PMID- 22067176
TI - The impact of obesity on outcomes following major surgery for Crohn's disease: an
American College of Surgeons National Surgical Quality Improvement Program
assessment.
AB - BACKGROUND: Whereas Crohn's disease is traditionally thought to represent a
wasting disease, little is currently known about the incidence and impact of
obesity in this patient cohort. OBJECTIVE: This study aimed to evaluate the
perioperative outcomes in patients with Crohn's disease who were obese vs those
who were not obese undergoing major abdominal surgery. DESIGN: This study is a
retrospective review of the American College of Surgeons National Surgical
Quality Improvement Program database (2005-2008). Risk-adjusted 30-day outcomes
were assessed by the use of regression modeling accounting for patient
characteristics, comorbidities, and surgical procedures. PATIENTS: Included were
all patients with Crohn's disease who were undergoing abdominal operations. MAIN
OUTCOME MEASURE: The primary outcomes measured were short-term perioperative
outcomes. Obesity was defined as a BMI of 30 or greater. RESULTS: We identified
2319 patients (mean age, 41.6 y; 55% female). Of these patients, 379 (16%) met
obesity criteria, 2% were morbidly obese, and 0.3% were super obese. Rates of
obesity significantly increased each year over the study period. Twenty-five
percent of the surgeries were performed laparoscopically (obese 21% vs nonobese
26%). Six percent were emergent, with no difference in patients with obesity.
Operative times were significantly longer among patients with obesity (177 min)
compared with patients who were not obese (164 min). After adjusting for
differences in comorbidities and steroid use, overall perioperative morbidity was
significantly higher in the obese cohort (32% vs 22% nonobese; OR 1.9). In
addition, the rates of postoperative complications increased directly with rising
BMI. Irrespective of procedure type, the patients who were obese were
significantly more likely to experience wound infections (OR 1.7), which
increased even further in patients who were morbidly obese (BMI >40; OR 7.1). By
specific operation, postoperative morbidity was increased in patients with
obesity following colectomies with primary anastomosis for both open and
laparoscopic approaches (OR 2.9 and OR 3.8). Cardiac, pulmonary, and renal
complications as well as overall mortality did not differ significantly based on
BMI. LIMITATIONS: This study was limited by being a retrospective review, and by
using data limited to the American College of Surgeons National Surgical Quality
Improvement Program database. CONCLUSION: Increasing BMI adversely affects
perioperative morbidity in patients with Crohn's disease.
PMID- 22067177
TI - Risk of postoperative venous thromboembolism after laparoscopic and open
colorectal surgery: an additional benefit of the minimally invasive approach?
AB - BACKGROUND: Venous thromboembolism constitutes a major cause of morbidity
associated with surgical procedures. Colorectal surgical patients are at an
elevated risk for postoperative venous thromboembolism. Whether the laparoscopic
approach influences this risk is not well defined. OBJECTIVE: This study aimed to
assess the risk of venous thromboembolism following major colorectal procedures.
The influences of laparoscopic and open approaches on venous thromboembolism were
compared while controlling for other potential confounders. DESIGN: Patients who
underwent major colorectal procedures were identified. Association between
patient, disease, operation-related factors, and venous thromboembolism within 30
days of surgery was determined by the use of a logistic regression analysis.
SETTINGS: Patients were identified from the National Surgical Quality Improvement
Program database (2005-2008). PATIENTS: According to the National Surgical
Quality Improvement Program database, 31,109 patients underwent colorectal
surgery (open, 71%; laparoscopic, 29%) for cancer (48.3%), IBD (10.1%),
diverticular disease (24.2%), and other benign conditions (17.4%). MAIN OUTCOME
MEASURES: The primary outcomes measured were deep venous thrombosis and pulmonary
embolism. RESULTS: The venous thromboembolism rate was 2.4% (laparoscopic 1.2% vs
open 2.9%, P < .001). Patients who developed venous thromboembolism were older
(age 65.4 vs 61.5, P < .001), more often male (52.5% vs 47.5%, P = .023), with
worse functional status (P < .001), and more comorbidities (P < .001). Venous
thromboembolism was associated with sepsis (7.9% vs 1.8%, P < .001), steroid use
(5.4% vs 2.2%, P < .001), surgical site infection (4.8% vs 2%, P < .001), and
reoperation (7% vs 2.1%, P < .001). On multivariate analysis, open surgery, older
age, steroid use, sepsis, surgical site infection, reoperation, prolonged
ventilation, and low albumin were independently associated with a higher venous
thromboembolism rate. LIMITATIONS: The details regarding determinants of the
decision for laparoscopic surgery, conversion rates for laparoscopic procedures,
and thrombosis prophylaxis regimens were not available. CONCLUSIONS: The
laparoscopic approach is associated with a lower venous thromboembolism rate in
comparison with open surgery, despite controlling for other variables. This
additional benefit of the minimally invasive approach further supports its use,
whenever feasible, for a variety of colorectal conditions.
PMID- 22067178
TI - The anatomical and surgical consequences of right colectomy for cancer.
AB - BACKGROUND: Current practice when performing right colectomy for cancer is to
divide the feeding vessels for the right colon on the right side of the superior
mesenteric vein. OBJECTIVE: This study aims to show that arterial stumps can be
visualized through an early postoperative CT and analyze their anatomical and
surgical characteristics. DESIGN: This study presents a retrospective review of
prospective data. SETTINGS: :The study was conducted at the Department of
Surgery, Vestfold Hospital, Tonsberg, Norway. PATIENTS: Patients with leakage
after a right colectomy for cancer (2003-2011) were identified through a local
prospective complication registry (FileMaker Pro 9.0v3 software). INTERVENTIONS:
Both preoperative and postoperative CTs were retrieved, reanalyzed, and 3
dimensionally reconstructed (Osirix v.3.0.2./Mimics v.13.1.). Patients without
postoperative CTs were excluded. MAIN OUTCOME MEASURES: The main outcomes
measured were length, caliber of presumed and actual arterial stumps, and their
position relative to the superior mesenteric vein. RESULTS: Eighteen patients,
median age 69 (10 men) were included. All patients had postoperative CTs, and 15
patients had preoperative CTs. Median time from operation to postoperative CT was
5 days. The ileocolic artery was found in 14 (11 CT pairs) patients, and the
right colic artery was found in 5 (4 pairs) patients. Actual stump lengths were
28.0 mm (SD 9.3) and 37.3 mm (SD 14.9). A significant statistical difference
between presumed and actual ileocolic artery stump lengths was found (P = .002).
Posterior crossing to the superior mesenteric vein was noticed in 8 of 14
ileocolic arteries and in 3 of 5 right colic arteries. There was no statistical
difference in mean caliber for the preoperative and postoperative right colic
artery (P = .505) and ileocolic artery (P = .474). LIMITATIONS: Difficulties when
interpreting the postoperative images, due to intra-abdominal effusion, staples,
edema, and altered syntopy of blood vessels, were overcome through comparison
with preoperative CTs. CONCLUSION: An early postoperative CT can show arterial
stumps after right colectomy for cancer. These stumps appear to be significantly
longer than presumed; implying a significant improvement potential when specimen
size is concerned.
PMID- 22067179
TI - Intramural and mesorectal distal spread detected by whole-mount sections in the
determination of optimal distal resection margin in patients undergoing surgery
for rectosigmoid or rectal cancer without preoperative therapy.
AB - BACKGROUND: The current Japanese general rules for clinical and pathologic
studies on cancer of the colon, rectum, and anus state that a 3-cm distal
resection margin is needed in resecting rectosigmoid cancer and rectal cancer
with a distal edge above the peritoneal reflection, and 2 cm is needed for rectal
cancer with a distal edge below the peritoneal reflection. The appropriateness of
these rules has not been proved. OBJECTIVE: Our aim was to evaluate the
appropriateness of the Japanese rules. DESIGN AND SETTING: We retrospectively
analyzed surgical and pathology records of patients who underwent surgery at a
tertiary care cancer center in Japan. PATIENTS: The study included 381
consecutive patients with stage I to IV rectosigmoid or rectal cancer without
preoperative chemotherapy or radiotherapy. MAIN OUTCOME MEASURES: We investigated
both intramural and mesorectal distal spread, using whole-mount sections to
measure the maximum length of distal spread. Long distal spread was defined as
distal spread longer than the distal resection margin stated in the Japanese
general rules. Risk factors for both distal spread and long distal spread were
evaluated. RESULTS: Of 381 patients, 325 (85.3%) had no distal spread and a total
of 56 (14.7%) had distal spread. Distal spread was within the limits specified by
the Japanese general rules in 48 of the 381 patients (12.6%) and beyond the
Japanese limits (long distal spread) in 8 patients (2.1%). The prevalence of
distal spread increased with TNM stage (stage I, 2.7%; stage II, 5.3%; stage III,
17.4%; stage IV, 46.2%). Long distal spread was not observed in stage I or II,
was found in only 1.4% of patients with stage III disease and in 11.5% of
patients with stage IV. The maximum extent of distal spread in patients with
rectosigmoid cancer or rectal cancer with the distal edge above the peritoneal
reflection was 38 mm; in patients with rectal cancer with the distal edge below
the peritoneal reflection, 35 mm. Multivariable analyses showed that nodal
involvement and distant metastasis were independent risk factors for distal
spread; distant metastasis was the only independent risk factor for long distal
spread. CONCLUSIONS: The Japanese general rules specifying the distal resection
margin are appropriate for most patients who undergo surgery for rectosigmoid and
rectal cancer without preoperative chemotherapy or radiotherapy. A further
increase of 1 to 2 cm beyond the recommended distal resection margin may
contribute to improved local control for patients with distant metastasis.
PMID- 22067180
TI - Long-term follow-up features on rectal MRI during a wait-and-see approach after a
clinical complete response in patients with rectal cancer treated with
chemoradiotherapy.
AB - BACKGROUND: The "wait-and-see" policy instead of standard surgery for patients
with rectal cancer who undergo a complete tumor regression after chemoradiation
treatment is highly controversial. It is not clear yet how patients should be
monitored once they are managed nonoperatively and whether follow-up by MRI has
any potential role. OBJECTIVE: This study aimed to describe the rectal wall MRI
morphology during short-term and long-term follow-up in patients with a clinical
complete tumor response undergoing a wait-and-see policy without surgical
treatment. DESIGN, SETTING, AND PATIENTS: As part of an observational study in
our center, a cohort of 19 carefully selected patients with a clinical complete
response after chemoradiation was managed with a wait-and-see policy and followed
regularly (every 3-6 mo) by clinical examination, endoscopy with biopsies, and a
rectal MRI. The MR morphology of the tumor bed was studied on the consecutive MRI
examinations. MAIN OUTCOME MEASURES: The primary outcome measured was the
morphology of the tumor bed on the consecutive MRI examinations performed during
short-term (<=6 mo) and long-term (>6 mo) follow-up. RESULTS: Patients with a
complete tumor response after chemoradiation presented with either a normalized
rectal wall (26%) or fibrosis (74%). In the latter group, 3 patterns of fibrosis
were observed (full-thickness, minimal, or spicular fibrosis). The morphology
patterns of a normalized rectal wall or fibrosis remained consistent during long
term follow-up in 18 of 19 patients. One patient developed a small, endoluminal
recurrence, which was salvaged with transanal endoscopic microsurgery. In 26% of
patients, an edematous wall thickening was observed in the first months after
chemoradiation, which gradually decreased during long-term follow-up. Median
follow-up was 22 months (range, 12-60). LIMITATIONS: This was a small
observational study, and had no histological validation. CONCLUSIONS: Four MR
patterns of a persistent complete response of rectal cancer after chemoradiation
were identified. These MR features can serve as a reference for the follow-up in
a wait-and-see policy.
PMID- 22067181
TI - Proximity to disease and perception of utility: physicians' vs patients'
assessment of treatment options for ulcerative colitis.
AB - BACKGROUND: Physician values regarding the benefit of continued medical therapy
vs colectomy for moderate ulcerative colitis have not been defined. If physicians
perceive these states differently than patients, their therapeutic
recommendations may not align with patient values. OBJECTIVE: This study aimed to
compare physician and patient willingness to trade life years with moderately
active ulcerative colitis vs undergoing colectomy. DESIGN: This survey of
physicians' and patients' utility values used standardized scenarios for
moderately active ulcerative colitis and colectomy. SETTING: The investigation
was conducted at a tertiary academic medical center. METHODS:
Gastroenterologists, colorectal surgeons, and patients with ulcerative colitis
who were either living with moderate disease or were postcolectomy completed the
survey. MAIN OUTCOME MEASURES: Utility values were measured by the use of the
time trade-off method. RESULTS: We surveyed 17 physicians, 150 postcolectomy
patients, and 69 patients with moderate ulcerative colitis. Utility values for
ulcerative colitis and colectomy states were (0.87, 0.95), (0.86, 0.92), and
(0.91, 0.91). On average, physicians and postcolectomy patients assessed the
utility of life with ulcerative colitis more poorly than the postcolectomy state.
Patients with moderately active ulcerative colitis who had not undergone
colectomy viewed both health states equally. LIMITATIONS: This study was limited
by the physician subject sample size. CONCLUSIONS: Patients living with moderate
ulcerative colitis value the pre- and postcolectomy states differently than
physicians and postcolectomy patients. Recognizing the differences between their
own and patients' values may help physicians to better counsel patients
preoperatively. In addition, exposure to postcolectomy patients may help those
with moderate disease who are weighing the comparative benefits of colectomy.
PMID- 22067182
TI - Advancement flap repair: a good option for complex anorectal fistulas.
AB - BACKGROUND: Rectal advancement flap is a popular option for treatment of complex
anal fistula. Although early outcomes vary, concerns remain about postoperative
continence, long-term healing, and its role in patients with Crohn's disease and
anovaginal fistulas. PURPOSE: This study aimed to report long-term outcomes in
patients with complex fistula disease. PATIENTS: Patients who were undergoing
rectal advancement flap for anal fistula were included in the study. DESIGN:
Patients were contacted to determine the status of their fistula disease, their
bowel function, and their degree of fecal incontinence. MAIN OUTCOME MEASURES:
The main outcomes measured were healing rate and continence. RESULTS: There were
98 patients, 43 men and 55 women, mean age 53 +/- 14 years. Fifty-eight had
cryptoglandular fistulas, and 40 (41%) had IBD (33 had Crohn's disease). Seventy
seven of 98 patients had perianal fistulas, and all 77 underwent seton drainage
before advancement flap. Twenty-one women had anovaginal fistulas. Average
postoperative length of stay was 3 +/- 1 days. There were no mortalities. Follow
up was possible in 75 patients, a mean of 7 +/- 3 years after surgery. Primary
healing occurred in 54 (72%) patients. Twenty-one patients (28%) underwent
further treatment, and 12 (57%) healed after a second advancement flap. Four more
patients healed after more than 2 flaps or fistulotomy leading to an overall
healing rate of 70 of 75 (93%). Patients with Crohn's disease had lower healing
rates than those with cryptoglandular fistulas (87% vs 98%). Thirty-two patients
(43%) had normal fecal continence before flap, and 43 (57%) had normal fecal
continence after flap. CONCLUSION: Advancement flap is a good option for patients
with complex anal fistulas.
PMID- 22067183
TI - Scarless single-incision laparoscopic loop ileostomy: a novel technique.
AB - BACKGROUND: Laparoscopic surgery has become a favorable alternative to
conventional open surgery for the creation of intestinal stomas, and it offers
many benefits including reduced postoperative pain, ileus, and hospital stay.
Single-incision laparoscopic surgery has been described for many abdominal
operations. It may offer better cosmetic outcomes and reduce incisional pain,
adhesions, and recovery time. OBJECTIVE: In this study, we aimed to describe a
novel technique of scarless single-incision laparoscopic loop ileostomy for fecal
diversion and to report our experience with 8 patients who underwent this
procedure within a 1-year period. DESIGN: This study was designed as a
retrospective case series. SETTINGS: This investigation was conducted at a single
institution, tertiary referral center. PATIENTS: Eight consecutive patients
undergoing scarless single-incision laparoscopic loop ileostomy between August
2009 and August 2010 were included. INTERVENTION: Scarless single-incision
laparoscopic loop ileostomies were performed. MAIN OUTCOME MEASURES: Among the
outcomes measured were operation time, intraoperative blood loss, recovery of
intestinal function, length of hospital stay, and surgical complications.
RESULTS: Seven patients underwent surgery for active Crohn's disease refractory
to medical therapy. One patient underwent surgery for radiation-induced
rectovesical fistula. Median surgery time was 76 minutes, and median
intraoperative blood loss was 10 mL. Median length of postoperative
hospitalization was 7 days. Of the 8 patients included in our series, 2 patients
(25%) required reoperation for stoma ischemia because of vascular congestion that
we attribute to a tight fascial opening or extensive bowel manipulation. Other
surgical complications included nonoperative readmission for ileus and partial
small-bowel obstruction (n = 2), anal dilation to evacuate an obstructed distal
colon (n = 1), and peristomal cellulitis (n = 1). LIMITATIONS: This study was
limited by its small sample size and its retrospective nature. CONCLUSION:
Scarless single-incision laparoscopic loop ileostomy is a feasible alternative to
standard laparoscopy for fecal diversion. Surgeons attempting this technique
should do so with caution, given the high stoma ischemia rate in our small case
series.
PMID- 22067184
TI - Peutz-Jeghers syndrome: intriguing suggestion of gastrointestinal cancer
prevention from surveillance.
AB - BACKGROUND: Peutz-Jeghers syndrome is characterized by GI polyps and
mucocutaneous pigmentation and carries an increased risk of GI cancer. GI polyps
may bleed or cause intussusception. Luminal GI surveillance is recommended, but
there are few data detailing outcomes from GI surveillance in Peutz-Jeghers
syndrome. OBJECTIVE: This study aimed to assess outcomes from GI surveillance in
patients with Peutz-Jeghers syndrome. DESIGN: This study is a retrospective
review, using hospital and registry notes and endoscopy and histology reports.
SETTING: The investigation was conducted at a tertiary referral center. PATIENTS:
All patients with Peutz-Jeghers syndrome who were followed up at St Mark's
hospital were included. MAIN OUTCOME MEASURES: The primary outcomes measured were
surveillance procedures performed, complications, and long-term outcomes.
RESULTS: Sixty-three patients from 48 pedigrees were included; the median age
when patients were first seen was 20 years (range, 3-59). Only baseline
investigations were performed in 12 patients. The remaining patients were
followed up for 683 patient years, a median of 10 years (range, 2-41). Seven
hundred seventy-six procedures were performed to assess the GI tract. These led
to 5 double-balloon enteroscopies, 1 push enteroscopy, and 71 surgical
procedures. Of the surgical procedures, 20 were performed as a result of baseline
investigations, 12 arose from investigations of symptoms, and 39 were due to
surveillance of asymptomatic patients. No emergency surgical interventions were
performed. No luminal GI cancers were diagnosed. Of the 2461 polypectomies
performed, 6 polyps contained atypia or dysplasia. Six complications arose from
endoscopy or surgical intervention, requiring 5 laparotomies to manage these
complications. CONCLUSION: GI surveillance in Peutz-Jeghers syndrome is
relatively safe and avoids the need for emergency surgery for small-bowel polyps.
The lack of GI cancers may reflect that surveillance and polypectomy have
prevented cancer from developing, although the detection of neoplasia or
dysplasia is uncommon.
PMID- 22067185
TI - Bupivacaine extended-release liposome injection for prolonged postsurgical
analgesia in patients undergoing hemorrhoidectomy: a multicenter, randomized,
double-blind, placebo-controlled trial.
AB - BACKGROUND: Bupivacaine extended-release liposome injection is a novel
formulation of bupivacaine designed to achieve long-acting postoperative
analgesia. OBJECTIVE: The aim of this study was to compare the magnitude and
duration of postoperative analgesia from a single dose of bupivacaine extended
release injection with placebo administered intraoperatively in patients
undergoing hemorrhoidectomy. DESIGN: This evaluation was a multicenter,
randomized, double-blind, parallel-group, placebo-controlled phase 3 study.
SETTINGS: Data were obtained from 13 centers in the Republic of Georgia, Poland,
and Serbia. PATIENTS: Included in this study were patients aged 18 to 86 years
undergoing excisional hemorrhoidectomy. INTERVENTIONS: All patients received
either a single dose of bupivacaine extended-release 300 mg or placebo
administered intraoperatively via wound infiltration. MAIN OUTCOME MEASURE: The
cumulative pain score was assessed by measurement of the area under the curve of
pain intensity through 72 hours after study drug administration. RESULTS: One
hundred eighty-nine patients were randomly assigned and treated; 186 completed
the study. Pain intensity scores were significantly lower in the bupivacaine
extended-release group in comparison with the group receiving placebo (141.8 vs
202.5, P < .0001). More patients in the bupivacaine extended-release group
remained opioid free from 12 hours (59%) to 72 hours (28%) after surgery compared
with patients receiving placebo (14% and 10%; P < .0008 through 72 h). The mean
total amount of opioids consumed through 72 hours was 22.3 mg and 29.1 mg in the
bupivacaine extended-release and placebo groups (P <= .0006). The median time to
first opioid use was 14.3 hours in the bupivacaine extended-release group vs 1.2
hours in the placebo group (P < .0001). A greater proportion of patients in the
bupivacaine extended-release group were satisfied with their postsurgical
analgesia (95% vs 73%, P = .0007) than in the placebo group. CONCLUSIONS:
Bupivacaine extended-release demonstrated a statistically significant reduction
in pain through 72 hours, decreased opioid requirements, delayed time to first
opioid use, and improved patient satisfaction compared with placebo after
hemorrhoidectomy.
PMID- 22067186
TI - Prevalence and pathophysiology of functional constipation among women in
Catalonia, Spain.
AB - BACKGROUND: Specific treatment of functional bowel disorders requires precise
diagnosis. However, prevalence and subtypes of functional constipation among
women are not completely understood. OBJECTIVE: Our aim was to assess the
prevalence of functional constipation and investigate the subtypes of dyssynergic
defecation and slow transit constipation among Spanish women. DESIGN: We
performed a prospective epidemiological study in healthy young women and
retrospective pathophysiological studies in 2 patient cohorts of women with
functional constipation according to Rome II criteria. SETTINGS: Referral centers
at Clinica Sagrada Familia, Barcelona, Spain, and Hospital de Mataro, Mataro,
Spain. PATIENTS: The epidemiological study included 600 healthy young women, aged
37.1 (SD, 8.2) years. Patient cohort 1 comprised 172 women with functional
constipation without symptoms of pelvic floor dysfunction, ranging in age from 18
to 45 years. Patient cohort 2 comprised 106 women with functional constipation
and symptoms of dyssynergic defecation, ranging in age from 45 to 65 years. MAIN
OUTCOME MEASURES: In healthy women, a questionnaire was used to determine rates
of functional constipation, dyssynergic defecation, and slow transit
constipation. In patients, results of anorectal manometry, EMG, and colonic
transit studies were reviewed to assess subtypes of functional constipation; in
addition, results of dynamic videoproctography were reviewed in cohort 2 to
assess the role of structural pelvic floor disorders. RESULTS: The prevalence of
functional constipation in healthy young women was 28.8%; symptoms of dyssynergic
defecation were found in 8.2%, those of isolated slow transit in only 0.17%. In
patient cohort 1, a total of 143 patients (83.1%) showed dyssynergic defecation:
117 patients (68.0%) had paradoxical external anal sphincter contraction and 26
(15.1%) had impaired internal anal sphincter relaxation). Slow transit
constipation without dyssynergia was observed in 15 (8.7%). Up to 40.2% of
patients with dyssynergia also had delayed colonic transit. In the cohort of 106
women with dyssynergic defecation, videoproctography showed impaired puborectal
relaxation in 64 patients (60.4%), anterior rectocele in 65 (61.3%), and rectal
prolapse in 13 (12.3%). LIMITATIONS: We could not estimate the prevalence and
subtypes of irritable bowel syndrome in the epidemiological study. CONCLUSIONS:
Functional constipation is extremely prevalent among young, healthy, Spanish
women, dyssynergic defecation being the most prevalent subtype. Slow transit
constipation without dyssynergic defecation is uncommon. Structural pelvic floor
disorders are highly prevalent in middle-aged women with dyssynergic defecation.
PMID- 22067187
TI - Different bowel preparation schedule leads to different diagnostic yield of
proximal and nonpolypoid colorectal neoplasm at screening colonoscopy in average
risk population.
AB - BACKGROUND: Accumulating evidence indicates that the timing of bowel preparation
is crucial, but its impact on the diagnostic yield of proximal or nonpolypoid
colorectal neoplasm remains unclear. OBJECTIVE: This study aimed to investigate
the impact of the timing of bowel preparation on the adenoma detection rate for
nonpolypoid colorectal neoplasm at colonoscopy. DESIGN: This study is a
retrospective analysis of a screening colonoscopy cohort database. SETTING: The
investigation was conducted at a screening colonoscopy unit in an university
hospital. PATIENTS: A consecutive series of 3079 subjects who received primary
screening colonoscopy with different timing of bowel preparation was analyzed.
INTERVENTION: Different timing of bowel preparation (same day vs prior day) was
studied. MAIN OUTCOME MEASURES: The main outcomes measured were patient
demographics, timing of bowel preparation, colon-cleansing levels, diagnostic
yields of colonoscopy, including adenoma, advanced adenoma, and nonpolypoid
colorectal neoplasm. RESULTS: There were a total of 1552 subjects in the morning
group and 1527 in the evening group. More subjects had proximal adenoma (175,
11.3% vs 138, 9.0%, P = .04), advanced adenoma (68, 4.4% vs 46, 13.0%, P = .044),
nonpolypoid colorectal neoplasm (98, 6.3% vs 67, 4.4%, P = .018), proximal
nonpolypoid colorectal neoplasm (71, 4.6% vs 40, 2.6%, P = .004), and advanced
nonpolypoid colorectal neoplasm (25, 1.6% vs 12, 0.8%, P = .036) detected by same
day preparation. On multivariate regression analysis, the adenoma detection rate
was significantly higher in the same-day group regarding overall and proximal
adenoma (OR 1.23, 95% CI: 1.00-1.50; OR 1.35, 95% CI: 1.05-1.74), advanced
adenoma (OR 1.53, 95% CI: 1.04-2.28), overall, proximal, and advanced nonpolypoid
colorectal neoplasm (OR 1.48, 95% CI: 1.06-2.08; OR 1.82, 95% CI: 1.20-2.75; OR
1.96, 95% CI: 1.12-3.37). The adenoma detection rate was also significantly
different among endoscopists. LIMITATION: This was a single-center, nonrandomized
trial. CONCLUSIONS: Improving bowel preparation quality by same-day preparation
may lead to enhanced detection of overall, proximal, and advanced nonpolypoid
colorectal neoplasm.
PMID- 22067188
TI - Usefulness of an intensive bowel cleansing strategy for repeat colonoscopy after
preparation failure.
AB - BACKGROUND: No consensus exists regarding the optimal bowel preparation regimen
for patients with poor bowel cleansing at a previous colonoscopy. OBJECTIVE: We
investigated the usefulness of an intensive cleansing regimen for repeat
colonoscopy after previous failure of bowel preparation. DESIGN AND SETTING: A
prospective observational study was performed in patients undergoing colonoscopy
at a university-based, tertiary referral hospital. PATIENTS AND INTERVENTION:
Outpatients with inadequate preparation at an index colonoscopy were offered a
repeat colonoscopy and instructed to follow an intensive preparation regimen
consisting of a low-fiber diet for 72 hours, liquid diet for 24 hours, bisacodyl
(10 mg) in the evening of the day before the colonoscopy, and a split dose of
polyethylene glycol (1.5 L in the evening before and 1.5 L in the morning on the
day of the colonoscopy). MAIN OUTCOME MEASURES: The adequacy of bowel cleansing
was assessed according to the Boston Bowel Preparation Scale (0 or 1 on any colon
segment = inadequate bowel preparation). Procedural variables, detection rates
for polyps and adenomas, compliance, and tolerability of the regimen were
assessed. Satisfaction with the regimen was assessed with a 10-point visual
analog scale. RESULTS: Of 83 patients with inadequate bowel preparation at
colonoscopy, 51 underwent a second colonoscopy and were analyzed; 46 patients
(90.2%) had adequate bowel cleansing at the second colonoscopy, with a mean (SD)
total Boston Bowel Preparation Scale score of 7.43 (1.5) and scores of 2.31 (0.6)
for the right colon, 2.49 (0.6) for the transverse colon, and 2.63 (0.6) for the
left colon. Polyps, flat lesions, or flat lesions proximal to the splenic flexure
were found in significantly more patients at the second colonoscopy than at the
index colonoscopy. The global satisfaction score was 6.6 (2.7). LIMITATIONS: The
study was limited because of its open observational design, possible patient
learning effect for bowel preparation at the repeat colonoscopy, and the
inclusion of only outpatients. CONCLUSIONS: An intensive regimen consisting of a
low-fiber diet, bisacodyl, and a split dose of polyethylene glycol can achieve
good colon preparation with an improved detection rate for polyps and adenomas in
most patients who have had poor bowel cleansing at a previous colonoscopy.
PMID- 22067189
TI - Single-port laparoscopic diverting sigmoid colostomy.
AB - BACKGROUND: Single-port laparoscopic surgery has been described for various
colorectal conditions. Here, we report the first 4 single-port laparoscopic
sigmoid colostomies for fecal diversion. METHODS: A 1.5-cm-round incision was
made on the skin at a previously marked colostomy site. A wound retractor was
inserted and an access platform with four 5-mm trocars was attached to the wound
retractor. The sigmoid colon was mobilized using electrocautery, laparoscopic
scissors, or an advanced bipolar device. A standard Brooke colostomy was created
through the initial skin incision. RESULTS: Four elective single-port
laparoscopic diverting colostomies were performed. Indications included
obstructing colon and rectal cancers and intractable Crohn's proctitis. The
average operative time was 73 minutes (range, 53-105), and blood loss was minimal
(<50 mL). There were no intraoperative complications. Three of 4 patients
received oral analgesia, and one patient received patient-controlled intravenous
analgesia postoperatively. The average time to passage of flatus was 1 day. Diet
was advanced either on the day of surgery or on postoperative day 1. The length
of hospital stay ranged from 0 to 15 days. CONCLUSION: Single-port laparoscopic
sigmoid colostomy is an effective technique that allows full intra-abdominal
visualization and colonic mobilization while eliminating the need for additional
skin incisions other than the colostomy site itself.
PMID- 22067190
TI - The long-term gastrointestinal functional outcomes following curative anterior
resection in adults with rectal cancer: a systematic review and meta-analysis.
AB - BACKGROUND: Significant variability and a lack of transparency exist in the
reporting of anterior resection outcomes. OBJECTIVES: This study aimed to
qualitatively analyze the long-term functional outcomes and assessment tools used
in evaluating patients with rectal cancer following anterior resection, to
quantify the incidence of these outcomes, and to identify risk factors for long
term incontinence. DATA SOURCES: MEDLINE, Embase, and CINAHL were searched using
the terms rectal neoplasms, resection, and gastrointestinal function. STUDY
SELECTION: The studies included were in English and evaluated adults with rectal
cancer, curative anterior resection, and a minimum 1-year follow-up. Patients
with recurrent/metastatic disease were excluded. Of the 805 records identified,
48 articles were included. INTERVENTION: The intervention performed was anterior
resection. MAIN OUTCOME MEASURES: The main outcome measure was incontinence (gas,
liquid stool, and solid stool). RESULTS: The histories of 3349 patients from 17
countries were summarized. Surgeries were conducted between 1978 to 2004 with a
median follow-up of 24 months (interquartile range, 12, 57). Sixty-five percent
of studies did not use a validated assessment tool. Reported outcomes and
incidence rates were variable. The reported proportion of patients with
incontinence ranged from 3.2% to 79.3%, with a pooled incidence of 35.2% (95% CI
27.9, 43.3). Risk factors for incontinence, identified by meta-regression, were
preoperative radiation 0.009 and, in particular, short-course radiation (P =
.006), and study quality (randomized controlled trial P = .004, observational P =
.006). LIMITATIONS: The meta-analysis was limited by the significant
heterogeneity of the primary data. CONCLUSIONS: Functional outcomes are
inconsistently assessed and reported and require common definitions, and the more
regular use of validated assessment tools, as well. Preoperative radiation and,
in particular, short-course radiation may be a strong risk factor for
incontinence; however, further studies are needed.
PMID- 22067195
TI - Plasmonic Mach-Zehnder interferometer for ultrasensitive on-chip biosensing.
AB - We experimentally demonstrate a plasmonic Mach-Zehnder interferometer (MZI)
integrated with a microfluidic chip for ultrasensitive optical biosensing. The
MZI is formed by patterning two parallel nanoslits in a thin metal film, and the
sensor monitors the phase difference, induced by surface biomolecular
adsorptions, between surface plasmon waves propagating on top and bottom surfaces
of the metal film. The combination of a nanoplasmonic architecture and sensitive
interferometric techniques in this compact sensing platform yields enhanced
refractive index sensitivities greater than 3500 nm/RIU and record high sensing
figures of merit exceeding 200 in the visible region, greatly surpassing those of
previous plasmonic sensors and still hold potential for further improvement
through optimization of the device structure. We demonstrate real-time, label
free, quantitative monitoring of streptavidin-biotin specific binding with high
signal-to-noise ratio in this simple, ultrasensitive, and miniaturized plasmonic
biosensor.
PMID- 22067196
TI - Plasma and cerebrospinal fluid pharmacokinetics of naproxen in children.
AB - The aim of this study was to characterize pediatric pharmacokinetics and central
nervous system exposure of naproxen after oral administration. The
pharmacokinetics of naproxen was studied in 53 healthy children aged 3 months to
12 years undergoing surgery with spinal anesthesia. Children received
preoperatively a single dose of 10 mg/kg oral naproxen suspension. A single
cerebrospinal fluid (CSF) sample (n = 52) was collected at the induction of
anesthesia, and plasma samples (n = 270) were collected before, during, and after
the operation (up to 51 hours after administration). A population pharmacokinetic
model was built using the NONMEM software. Naproxen concentrations in plasma were
well described by a 2-compartment model. The estimated oral clearance (CL/F) was
0.62 L/h when linearly scaled by weight to 70 kg. The apparent volume of
distribution at steady state (Vss/F) was 12.5 L /70 kg. The findings are
consistent with previously reported pharmacokinetic parameters for children older
than 5 years. Naproxen permeated into the CSF and reached CSF concentrations that
were 4 times higher than unbound plasma concentrations. Based on these data,
weight can be used as a basis for naproxen dosing in children older than 3 months
of age.
PMID- 22067197
TI - Shortening of the QT interval after food can be used to demonstrate assay
sensitivity in thorough QT studies.
AB - The effect of food was investigated under conditions of a thorough QT (TQT) study
and with confirmation of assay sensitivity by the use of a positive control (400
mg of moxifloxacin). Fifty-five healthy subjects were randomized to treatment and
a sequence of fasted and fed baseline electrocardiography days. Subjects received
standard breakfast 30 to 10 minutes prior to dosing. Measurement of QT interval
was performed automatically with subsequent manual onscreen overreading using
electronic calipers. A profound increase in heart rate of 9.4 bpm was observed in
the fed condition compared with the fasted condition at 1.5 hours after dose with
a corresponding shortening of QT (27 milliseconds); (baseline data). When
corrected, QTcF interval was shortened significantly with the maximal effect
observed at 2 hours after dose of 8.2 (95% confidence interval, 6-10)
milliseconds. A concurrent shortening of the PR interval with a maximum value of
5.6 milliseconds was also observed. The findings of this study demonstrate that
food alters the QT-RR relationship and shortens QTc and PR for at least 4 hours
after a carbohydrate-rich meal. The findings are of regulatory interest as this
study shows that normal physiological causes can shorten QTc significantly and
that it could be used as a method to demonstrate assay sensitivity.
PMID- 22067198
TI - Smoking and risk of incident psoriatic arthritis in US women.
AB - OBJECTIVES: Psoriatic arthritis (PsA) is an inflammatory arthritis that is
associated with psoriasis. Previous studies have found an association between
smoking and psoriasis, but the association with PsA is unclear. The authors aimed
to evaluate the association between smoking and the risk of incident PsA in a
large cohort of women. METHODS: 94 874 participants were included from the
Nurses' Health Study II over a 14-year period (1991-2005). Information on smoking
was collected biennially during follow-up. The incidence of clinician-diagnosed
PsA was ascertained and confirmed by self-reported questionnaires. RESULTS:
During 1 303 970 person-years' follow-up, the authors identified 157 incident PsA
cases. Among total participants, smoking was associated with an elevated risk of
incident PsA. Compared with never smokers, the RR was 1.54 for past smokers (95%
CI 1.06 to 2.24) and 3.13 for current smokers (95% CI 2.08 to 4.71). With
increasing smoking duration or pack-years, the risk of PsA increased
monotonically (p for trend <0.0001). The increase in risk was particularly
significant for PsA cases with more severe phenotypes. Secondary analysis among
participants developing psoriasis during the follow-up replicated the
association, demonstrating an increased risk of PsA among psoriasis cases. The
risk was significant for those with higher cumulative measures of smoking or PsA
cases with more severe phenotypes. CONCLUSION: In this study smoking was found to
be associated with a risk of PsA and cumulative measures of smoking were also
associated with a higher risk of PsA among women.
PMID- 22067199
TI - Association of osteonecrosis and peripheral neuropathy in HIV-1-infected
patients: possible roles of nerve growth factor and vascular endothelial growth
factor.
PMID- 22067200
TI - Sustained virological response to a raltegravir-containing salvage therapy in an
HIV-2-infected patient.
PMID- 22067201
TI - The price of tenofovir-emtricitabine undermines the cost-effectiveness and
advancement of pre-exposure prophylaxis.
PMID- 22067202
TI - Synthesis and characterization of novel 2, 2'-bipyrimidine fluorescent derivative
for protein binding.
AB - BACKGROUND: Fluorescent dyes with biocompatible functional group and good
fluorescence behavior are used as biosensor for monitoring different biological
processes as well as detection of protein assay. All reported fluorophore used as
sensors are having high selectivity and sensitivity but till there is more demand
to synthesized new fluorophore which have improved fluorescence properties and
good biocompatibility. RESULTS: Novel 4, 4'-(1, 1'-(5-(2-methoxyphenoxy)-[2, 2'
bipyrimidine]-4, 6-diyl)bis(1H-pyrazol-3, 1-diyl)) dianiline fluorescent dye was
synthesized by multistep synthesis from 2-phenylacetonitrile, 2-chloropyrimidine
and 2-methoxyphenol. This dye has absorption at 379 nm with intense single
emission at 497 nm having fairly good quantum yield (0.375) and Stokes shift. The
intermediates and dye were characterized by FT-IR, 1H NMR, 13C NMR and Mass
spectral analysis. The pyrazole bipyrimidine based fluorescent dye possessing two
amino groups suitable for binding with protein is reported. Its utility as a
biocompatible conjugate was explained by conjugation with bovine serum albumin.
The method is based on direct fluorescence detection of fluorophore-labelled
protein before and after conjugation. Purified fluorescent conjugate was
subsequently analyzed by fluorimetry. The analysis showed that the tested
conjugation reaction yielded fluorescent conjugates of the dye through
carbodiimide chemistry. CONCLUSION: In summery synthesized fluorophore pyrazole
bipyrimidine has very good interaction towards protein bovine serum albumin and
it acts as good candidate for protein assay.
PMID- 22067204
TI - Learning curve and surgical outcome for single-port access total laparoscopic
hysterectomy in 100 consecutive cases.
AB - AIMS: To define the learning curve for single-port access (SPA) total
laparoscopic hysterectomy (TLH) and evaluate the surgical outcomes. METHODS:
Patient demographics and segmental operating times of all 100 patients who
underwent SPA-TLH by a single surgeon were analyzed. Patients were arranged in
order based on surgery date. RESULTS: 100 patients underwent SPA-TLH. There was
no conversion to conventional laparoscopy or laparotomy. The median time until
the removal of a specimen (T(R)) was 45 min and the median time for closure of
the vaginal cuff (T(C)) was 18 min. The median total operating time from skin
opening to closure (T(O)) was 80 min. T(R), T(C), and T(O) decreased
significantly over the study period. The T(C) decreased significantly from the
first 20 cases to the next 20 (p = 0.028) and the T(O) from the second 20 cases
to the next 20 (p = 0.029). CONCLUSIONS: Proficiency for SPA-TLH was achieved
after 40 cases. Operating time and postoperative hemoglobin drop decreased with
experience, without increasing complication.
PMID- 22067203
TI - Isolation of protein-tyrosine phosphatase-like member-a variant from cementum.
AB - Cementum has been shown to contain unique polypeptides that participate in cell
recruitment and differentiation during cementum formation. We report the
isolation of a cDNA variant for protein-tyrosine phosphatase-like (proline
instead of catalytic arginine) member-a (PTPLA) from cementum. A cementifying
fibroma-derived lambda-ZAP expression library was screened by panning with a
monoclonal antibody to cementum attachment protein (CAP), and 1435 bp cDNA (gb
AC093525.3) was isolated. This cDNA encodes a 140-amino-acid polypeptide, and its
N-terminal 125 amino acids are identical to those of PTPLA. This isoform,
designated as PTPLA-CAP, results from a read-through of the PTPLA exon 2 splice
donor site, truncating after the second putative transmembrane domain. It
contains 15 amino acids encoded within the intron between PTPLA exons 2 and 3,
which replace the active site for PTPLA phosphatase activity. The recombinant
protein, rhPTPLA-CAP, has Mr 19 kDa and cross-reacts with anti-CAP antibody. Anti
rhPTPLA-CAP antibody immunostained cementum cells, cementum, heart, and liver.
Quantitative RT-PCR showed that PTPLA was expressed in all periodontal cells;
however, PTPLA-CAP expression was limited to cementum cells. The rhPTPLA-CAP
promoted gingival fibroblast attachment. We conclude that PTPLA-CAP is a splice
variant of PTPLA, and that, in the periodontium, cementum and cementum cells
express this variant.
PMID- 22067205
TI - Mean displacement map of spine and spinal cord disorders using high b-value q
space imaging-feasibility study.
AB - BACKGROUND: Q-space analysis is a new metric that uses multiple, high b-value,
diffusion-weighted magnetic resonance (MR) data. This technique shows promising
results as a tool to provide information complementary to that of other imaging
techniques used on biological tissue in vivo. PURPOSE: To investigate the use of
a mean displacement (MDP) map of high b-value, q-space imaging (QSI) to
characterize spinal and spinal cord lesions in vivo. MATERIAL AND METHODS: Eight
patients with spine or spinal cord disorders (two neurinomas, one myeloma, three
cases of syringohydromyelia, and two cases of cervical spondylosis) were
included. The MR imaging protocol consisted of conventional MR sequences,
conventional diffusion-weighted imaging (DWI; b = 1000), and high b-value QSI
with a maximum q value of 836.9 cm(-1). Apparent diffusion coefficient (ADC) maps
of conventional DWI and MDP maps of QSI data were obtained and region-of-interest
analyses for the lesions were performed. RESULTS: MDP values of normal spinal
cord, cerebrospinal fluid (CSF), and tumor parenchyma were 6.57 +/- 0.52, 17.6 +/
2.75, and 8.49 +/- 2.09, respectively (um, mean +/- standard deviation). In
general, MDP maps were not well correlated with the corresponding ADC maps at the
pathologic lesions. Spondylotic lesions tended to have higher MDP values than
normal spinal cord, whereas syringohydromyelia produced MDP values slightly lower
than those of CSF. CONCLUSION: The heterogeneous MDP values were probably due to
differences in tissues and pathologic structures. This technique has potential to
provide additional clinical information to that obtained with conventional MR
imaging.
PMID- 22067206
TI - FDG-PET/CT in the diagnosis of recurrent breast cancer.
AB - BACKGROUND: An advantage of PET/CT has been demonstrated for diagnosis of several
tumor entities. In patients with breast cancer, early diagnosis and accurate
restaging of recurrence after surgery is important for selection of the most
appropriate therapeutic strategy. Purpose To evaluate the accuracy of integrated
positron emission tomography and computed tomography (PET/CT) using 18F
fluorodeoxyglucose (FDG), for follow-up of patients with suspected recurrent
breast cancer. MATERIAL AND METHODS: Forty-seven patients with suspected
recurrent breast cancer underwent PET/CT. The PET and PET/CT images were
interpreted without knowledge of the results of other diagnostic modalities, and
compared with each other with reference to the final diagnosis. RESULTS: Twenty
five (53%) patients suffered tumor recurrence. The overall sensitivity,
specificity, positive predictive value (PPV), negative predictive value (NPV),
and accuracy of PET/CT were 96%, 91%, 92%, 95%, and 94%, respectively. In
comparison with PET, PET/CT had a higher sensitivity and accuracy (96% vs. 80%
and 94% vs. 81%, respectively). The difference in diagnostic accuracy between
PET/CT and PET was significant (P < 0.05). CONCLUSION: The present findings
indicate that PET/CT is an accurate, sensitive and reliable modality for
screening and detection of breast cancer recurrence. PET/CT appears to be an
effective surveillance tool, as it is able to cover the whole body in a single
procedure and shows good performance.
PMID- 22067207
TI - Main bronchial diverticula in the subcarinal region: their relation to airflow
limitations.
AB - BACKGROUND: To date, bronchial diverticula have generally been treated as a
pathological condition associated with chronic obstructive pulmonary disease
(COPD), although only a limited amount of published information is available on
the relationship between bronchial diverticula as depicted by multidetector
computed tomography (MDCT) and airflow limitations. PURPOSE: To evaluate the
relationship between airflow limitations and main bronchial diverticula in the
subcarinal region using spirometry and thin-section MDCT. MATERIAL AND METHODS: A
total of 189 consecutive adult patients were retrospectively evaluated based on
spirometry and thin-section MDCT of the chest. All examinations were performed at
our institution between June and October 2008. The study group included 70 women
and 119 men with a mean age of 65 years (range 19-86 years). The relationship
between the FEV(1)% and bronchial diverticula in the subcarinal region was
analyzed (Student's t-test). RESULTS: The indications for conducting the
examinations were pulmonary diseases (82 patients), cardiovascular diseases (22),
extrapulmonary malignancies (74), and other conditions (11). A total of 84/189
(44.4%) patients showed bronchial diverticula, and the FEV(1)% of 70/84 (83.3%)
patients was above 70. The FEV(1)% of patients with lesions ranged from 26.0 to
97.8 (mean 76.8), whereas the range was 28.1-94.4 (mean 73.7) in those without
lesions. There was no significant association between the FEV(1)% and the
presence of subcarinal bronchial diverticula (P > 0.05). CONCLUSION: Our data
demonstrate that thin-section chest CT commonly demonstrates main bronchial
diverticula in the subcarinal region in patients without airflow limitations. We
propose that the presence of a small number of tiny bronchial diverticula under
the carina may not be a criterion for the diagnosis of COPD.
PMID- 22067208
TI - Stent-graft placement for urgent treatment or prevention of bleeding.
AB - BACKGROUND: Stent-graft treatment of the patients with ongoing bleeding may be
beneficial in specific situations, especially when preservation of blood flow to
the distant organs is important. PURPOSE: To present the results of stent-graft
placement for urgent treatment or prevention of the bleeding. MATERIAL AND
METHODS: Stent-graft placement was performed urgently for the treatment of active
bleeding and/or pseudoaneurysm/aneurysm in 17 patients. Diagnoses were based on
clinical findings and/or imaging studies. The etiology was previous major surgery
and/or percutaneous intervention in 13, malignancy in one, pancreatitis and
pseudocyst in one, multitrauma due to traffic accident in one and unknown cause
in one patient. RESULTS: A total of 23 stent-grafts were placed. Angiograms
obtained after placement revealed patent stent-graft with no further active
extravasation or filling of pseudoaneurysm in 14 patients. Due to persistent
bleeding, embolization was performed in two patients. In three patients, the
stent-grafts were found to be thrombosed either immediately after placement (n =
1) or at follow-up (n = 2). Stent-grafts were patent in six of nine patients that
could be followed (between 3 months and 6 years). CONCLUSION: Urgent stent-graft
placement may be an alternative to endovascular embolization or surgery. It may
be preferred when embolization is technically difficult or impossible and/or when
preservation of blood supply to distal organs is essential such as in liver
transplant grafts or extremity salvage.
PMID- 22067209
TI - Vahlkampfia sp: structural observations of cultured trophozoites.
AB - Some structural observations on cultured Vahlkampfia sp. trophozoites are
reported. Trophozoites are active and pleomorphic, producing large cell
protrusions related to locomotion such as lamellipodia, filopodia and endocytic
structures formed by hyaline cytoplasm, in which actin provides a framework that
allows rapid changes in morphology. As observed by transmission electron
microscopy, the cytoplasm is highly granular masking some cell organelles and the
major cytoplasmic membrane systems. The structure of cell organelles such as the
nucleus, endoplasmic reticulum, and digestive vacuoles is described. A common
finding was the presence of 50 nm electron-dense round granules that are not
limited by a membrane and that appear scattered in the cytoplasm, and whose
function remains unknown. Apparently, the cell reserve material is glycogen,
since complete trophozoites were positive to Schiff periodic-acid technique.
PMID- 22067210
TI - Angiotensin-(1-7)/Mas axis integrity is required for the expression of object
recognition memory.
AB - It has been shown that the brain has its own intrinsic renin-angiotensin system
(RAS) and angiotensin-(1-7) (Ang-(1-7)) is particularly interesting, because it
appears to counterbalance most of the Ang II effects. Ang-(1-7) exerts its
biological function through activation of the G-protein-coupled receptor Mas.
Interestingly, hippocampus is one of the regions with higher expression of Mas.
However, the role of Ang-(1-7)/Mas axis in hippocampus-dependent memories is
still poorly understood. Here we demonstrated that Mas ablation, as well as the
blockade of Mas in the CA1-hippocampus, impaired object recognition memory (ORM).
We also demonstrated that the blockade of Ang II receptors AT1, but not AT2,
recovers ORM impairment of Mas-deficient mice. Considering that high
concentrations of Ang-(1-7) may activate AT1 receptors, nonspecifically, we
evaluate the levels of Ang-(1-7) and its main precursors Ang I and Ang II in the
hippocampus of Mas-deficient mice. The Ang I and Ang II levels are unaltered in
the whole hipocampus of MasKo. However, Ang-(1-7) concentration is increased in
the whole hippocampus of MasKo mice, as well as in the CA1 area. Taken together,
our findings suggest that the functionality of the Ang-(1-7)/Mas axis is
essential for normal ORM processing.
PMID- 22067211
TI - Effects of anticipation certainty on preparatory brain activity and anticipatory
postural adjustments associated with voluntary unilateral arm movement while
standing.
AB - We examined the effects of anticipation certainty concerning which voluntary
movement is required in response to a stimulus while standing on preparatory
brain activity and anticipatory postural adjustments (APAs). Ten right-handed
adults abducted their left or right arm rapidly in response to a visual
imperative stimulus, based on the type of stimulus. A warning cue, which did or
did not contain information about the side of arm abduction, was presented 2000ms
before the imperative stimulus. Preparatory brain activity before arm abduction
was quantified by the mean amplitude of the contingent negative variation 100ms
before the imperative stimulus (late CNV amplitude). Compared with the low
anticipation condition, in the high anticipation condition the following results
were obtained only in the case of right arm abduction: (1) larger late CNV
amplitude, (2) earlier postural muscle activities with respect to the focal
muscle of arm abduction, and (3) smaller peak displacement of center of pressure
during the abduction. These findings suggest that high anticipation of voluntary
movement of dominant arm to a stimulus while standing influences preparatory
brain activity before the movement, resulting in earlier APAs and thus smaller
disturbance of postural equilibrium during the movement.
PMID- 22067212
TI - Population variation in NAIP functional copy number confers increased cell death
upon Legionella pneumophila infection.
AB - The NAIP gene encodes an intracellular innate immunity receptor that senses
flagellin. The genomic region containing NAIP presents a complex genomic
organization and includes various NAIP paralogs. Here, we assessed the degree of
copy number variation of the complete NAIP gene (NAIPFull) in various human
populations and studied the functional impact of such variation on host cell fate
using Legionella pneumophila as an infection model. We determined that African
populations have a NAIPFull duplication at a higher frequency than Europeans and
Asians, with an increased transcription of the gene. In addition, we demonstrated
that a higher amount of the NAIPFull protein dramatically increases cell death
upon infection by L. pneumophila, a mechanism that may account for increased host
resistance to infection. We postulate that the NAIPFull gene duplication might
have been evolutionary maintained, or even selected for, because it may confer an
advantage to the host against flagellated bacteria.
PMID- 22067213
TI - Measuring capacity building in communities: a review of the literature.
AB - BACKGROUND: Although communities have long been exhorted to make efforts to
enhance their own health, such approaches have often floundered and resulted in
little or no health benefits when the capacity of the community has not been
adequately strengthened. Thus being able to assess the capacity building process
is paramount in facilitating action in communities for social and health
improvement. The current review aims to i) identify all domains used in
systematically documented frameworks developed by other authors to assess
community capacity building; and ii) to identify the dimensions and attributes of
each of the domains as ascribed by these authors and reassemble them into a
comprehensive compilation. METHODS: Relevant published articles were identified
through systematic electronic searches of selected databases and the examination
of the bibliographies of retrieved articles. Studies assessing capacity building
or community development or community participation were selected and assessed
for methodological quality, and quality in relation to the development and
application of domains which were identified as constituents of community
capacity building. Data extraction and analysis were undertaken using a realist
synthesis approach. RESULTS: Eighteen articles met the criteria for this review.
The various domains to assess community capacity building were identified and
reassembled into nine comprehensive domains: "learning opportunities and skills
development", "resource mobilization", "partnership/linkages/networking",
"leadership", "participatory decision-making", "assets-based approach", "sense of
community", "communication", and "development pathway". Six sub-domains were also
identified: "shared vision and clear goals", "community needs assessment",
"process and outcome monitoring", "sustainability", "commitment to action" and
"dissemination". CONCLUSIONS: The set of domains compiled in this review serve as
a foundation for community-based work by those in the field seeking to support
and nurture the development of competent communities. Further research is
required to examine the robustness of capacity domains over time and to examine
capacity development in association with health or other social outcomes.
PMID- 22067214
TI - Hypertrophic cardiomyopathy in a neonate associated with nemaline myopathy.
AB - Nemaline myopathy is a congenital nonprogressive skeletal muscle disorder with a
characteristic rod body formation in the skeletal muscle fibers. Cardiac
involvement in nemaline myopathy is rare, although both dilated and hypertrophic
cardiomyopathy have been reported. We describe an infant diagnosed with
hypertrophic cardiomyopathy and hypotonia on the first day of life. Muscle biopsy
confirmed nemaline myopathy at 3 weeks of age. The diagnosis of nemaline myopathy
precluded consideration of heart transplantation, thus shifting the focus to
comfort care. This is the earliest presentation of hypertrophic cardiomyopathy
reported in the literature in the setting of nemaline myopathy. The approach to
determining an etiology for hypertrophic cardiomyopathy in an infant is reviewed.
PMID- 22067215
TI - First report of the protective effects of remote per- and postconditioning on
ischemia/reperfusion-induced renal injury.
PMID- 22067216
TI - Sitagliptin therapy in kidney transplant recipients with new-onset diabetes after
transplantation.
PMID- 22067217
TI - Accelerated kidney transplant rejection and hypertensive encephalopathy in a
pediatric patient associated with antibodies against angiotensin type 1 receptor
and HLA class II.
PMID- 22067218
TI - Successful splenic venous drainage for kidney transplant in case of inferior vena
cava thrombosis.
PMID- 22067219
TI - Letter referring to the paper by Galinovic et al.: fully automated postprocessing
carries a risk of substantial overestimation of perfusion deficits in acute
stroke magnetic resonance imaging.
PMID- 22067220
TI - Multi-detector computed tomography demonstration of double-lumen aortic arch-
persistent fifth arch--as an isolated anomaly in an adult.
AB - Persistent fifth - double-lumen - aortic arch is a rarely seen entity, which is
usually associated with other cardiac anomalies; it has been previously reported
only in children. We report a new case of double-lumen aortic arch with a
systemic-to-systemic connection diagnosed incidentally during cardiac multi
detector computer tomography evaluation of coronary artery bypass grafts in an
adult.
PMID- 22067221
TI - Creutzfeldt-Jakob disease surveillance in Argentina, 1997-2008.
AB - BACKGROUND: Epidemiological data on Creutzfeldt-Jakob disease (CJD) from Latin
America are limited. We present a comprehensive epidemiological survey on CJD
patients in Argentina based on systematic surveillance between 1997 and 2008.
METHODS: A CJD Surveillance Referral Center (SRC) was established in Argentina in
1997; previously a Neuropathology Referral Center was used from 1983 to 1996. All
suspected cases referred to the SRC were classified using established criteria on
the basis of information derived from the following: clinical data form, EEG, MRI
(both for central review), cerebrospinal fluid (CSF) for protein 14-3-3 Western
blot (WB), autopsy or biopsy material for neuropathology, prion protein (PrP)
immunohistochemistry and PrP WB, as well as blood for DNA studies (when brain
tissue was not available). RESULTS: Of the 517 patients referred to the SRC
between 1997 and 2008, 211 (40.8%) had CJD or other transmissible spongiform
encephalopathies (TSEs) (definite or probable). Possible cases totaled 14.5%,
while cases with no WHO criteria accounted for 16.4%. Non-CJD cases excluded by
biopsy/autopsy or during follow-up corresponded to 28.2% of the 517 referrals.
Main differential diagnoses included neurodegenerative diseases such as
Alzheimer's disease, frontotemporal dementia, vascular, metabolic or viral
encephalopathy, and Hashimoto's disease. Five percent of referred patients
ultimately recovered. Eighty-three percent of TSE cases were sporadic CJD; 17%
were genetic, mainly E200K (15.6%); the remaining 1.4% included an octarepeat
insertion and two Gerstmann-Straussler-Scheinker cases (P102L). Seventy-four of
100 definite cases had frozen tissue available for molecular subtyping
(PrP(Sc)/codon 129). CSF protein 14-3-3 WB sensitivity was 72.3% and specificity
was 92.1%. Clinical diagnostic criteria for probable CJD when compared to
definite diagnosis by neuropathology showed 71.3% sensitivity, 86.2% specificity,
94.4% positive predictive value and 48% negative predictive value. Country
incidence increased over time and reached 0.85 cases per million in 2008, with
the highest rate detected in the city of Buenos Aires (1.8). Districts with 6% of
the total population have never reported suspected cases. CONCLUSION: In spite of
an increase in incidence observed over time, the difference between Buenos Aires
city, where the incidence is comparable to that of smaller European countries
with higher population density, and the incidence observed in the rest of the
country suggests underreporting in nonmetropolitan areas, probably due to a lack
of access to specialized medical facilities. CSF WB sensitivity results for
protein 14-3-3 were probably linked to the fact that testing was not routinely
repeated during the course of the disease, when earlier test results had been
negative. The spectrum of molecular CJD subtypes observed did not differ from
other countries in Europe. No iatrogenic or variant CJD cases were identified.
The sensitivity and negative predictive value of clinical diagnostic criteria for
probable CJD (which includes EEG and/or CSF protein 14-3-3 levels) may have been
resulted from confirmed cases not meeting probable criteria before autopsy, due
to a lack of ancillary tests such as EEG and/or CSF 14-3-3 WB, or because
negative tests were not repeated during follow-up.
PMID- 22067222
TI - Back to the future: the changing frontiers of nutrition research and its
relationship to policy.
AB - Seventy years have elapsed since the Nutrition Society was founded and John Boyd
Orr became its first Chairman. Over the intervening period, nutrition research
has embraced and responded to a wide variety of challenges as the requirements of
research have evolved and changed. This paper reflects on some of the major
challenges that have influenced nutrition research over the past 70 years and
considers where nutrition stands today along with the challenges for the future.
In the past, these challenges have included food security and improvements in
animal nutrition to enhance production through problems of overnutrition, such as
CVD and obesity, as well as the recognition of the importance of early-life
nutrition. The challenges for the future include how to translate the
increasingly comprehensive and complex understanding of the relationship between
nutrition and health, being gained as a result of the genomic revolution, into
simple and accessible policy advice. It also includes how we learn more about the
ways in which diet can help in the prevention of obesity as well as the ways in
which we prevent the rise in complex diseases in emerging nations as they undergo
nutritional transition. From this, it is clear that nutrition research has moved
a long way from its initial focus on nutritional deficiencies to a subject, which
is at the heart of public health consideration. This evolution of nutrition
research means that today diet and health are high on the political agenda and
that nutrition remains a priority area for research. It has been 70 years since
1941 when the Nutrition Society was established, under its first Chairman, John
Boyd Orr. At that time there were many who believed that nutrition research had
reached its peak and there was little left to discover. This view stemmed from
the fact that most vitamins and minerals had been discovered and that the
syndromes associated with nutritional deficiencies in these were largely known.
Despite this gloomy prognosis, the intervening 70 years have witnessed a
remarkable evolution in nutrition research, which has underpinned key Government
policies, ranging from food security right through to public health. This review
considers some major developments that have helped to shape nutrition research
over the past 70 years and in so doing have changed its frontiers.
PMID- 22067223
TI - Effect of ghrelin on protein kinase C-epsilon and protein kinase C-delta gene
expression in the pulmonary arterial smooth muscles of chronic hypoxic rats.
AB - INTRODUCTION: Protein kinase C (PKC), can be activated in pulmonary arterial
smooth muscle cells during hypoxia, leading to hypoxic pulmonary vasoconstriction
(HPV). Studies are going on to detect the strict PKC isoform involved in the
phenomenon. It has been shown that ghrelin, a 28-amino-acid peptide, may protect
lungs from HPV side effects, to some extent. The aim of study was to evaluate the
effect of exogenous ghrelin on PKC-epsilon and PKC-delta gene expression during
chronic hypoxia. MATERIAL AND METHODS: Twenty-four adult male Wistar rats were
divided randomly in 3 groups. Hypoxic rats with saline or ghrelin treatment were
placed in a normobaric hypoxic chamber for 2 weeks. Controls remained in room
air. PKC-epsilon and PKC-delta gene expression was measured by real-time RT-PCR.
RESULTS: Morphometric analysis showed that ghrelin reversed the hypoxia induced
pulmonary artery wall thickness. In hypoxic animals, there was a 2- and 4-fold
increment in PKC-epsilon and PKC- delta gene expression, respectively. Ghrelin
treatment reduced the overexpression of PKC-epsilon and PKC-delta to control
animals' value. CONCLUSION: Ghrelin by decreasing the expression of PKC-epsilon
and PKC-delta in hypoxic animals reduces the HPV. Although more studies are
needed, it could be an honest deduction that ghrelin affects HPV in a
multifunctional manner and might be used as a therapeutic agent in the future.
PMID- 22067225
TI - Correlates of male fitness in captive zebra finches--a comparison of methods to
disentangle genetic and environmental effects.
AB - BACKGROUND: It is a common observation in evolutionary studies that larger, more
ornamented or earlier breeding individuals have higher fitness, but that body
size, ornamentation or breeding time does not change despite of sometimes
substantial heritability for these traits. A possible explanation for this is
that these traits do not causally affect fitness, but rather happen to be
indirectly correlated with fitness via unmeasured non-heritable aspects of
condition (e.g. undernourished offspring grow small and have low fitness as
adults due to poor health). Whether this explanation applies to a specific case
can be examined by decomposing the covariance between trait and fitness into its
genetic and environmental components using pedigree-based animal models. We here
examine different methods of doing this for a captive zebra finch population
where male fitness was measured in communal aviaries in relation to three
phenotypic traits (tarsus length, beak colour and song rate). RESULTS: Our case
study illustrates how methods that regress fitness over breeding values for
phenotypic traits yield biased estimates as well as anti-conservative standard
errors. Hence, it is necessary to estimate the genetic and environmental
covariances between trait and fitness directly from a bivariate model. This
method, however, is very demanding in terms of sample sizes. In our study
parameter estimates of selection gradients for tarsus were consistent with the
hypothesis of environmentally induced bias (betaA=0.035+/-0.25 (SE), betaE=0.57+/
0.28 (SE)), yet this differences between genetic and environmental selection
gradients falls short of statistical significance. CONCLUSIONS: To examine the
generality of the idea that phenotypic selection gradients for certain traits
(like size) are consistently upwardly biased by environmental covariance a meta
analysis across study systems will be needed.
PMID- 22067226
TI - Local morphology fitting active contour for automatic vascular segmentation.
AB - In this paper, we propose an active contour model using local morphology fitting
for automatic vascular segmentation on 2-D angiogram. The vessel and background
are fitted to fuzzy morphology maximum and minimum opening, separately, using
linear structuring element with adaptive scale and orientation. The minimization
of the energy associated with the active contour model is implemented within a
level set framework. As in the current local model, fitting the image to local
region information makes the model robust against the inhomogeneous background.
Moreover, selective local estimations for fitting that are precomputed instead of
updated in each contour evolution makes the evolution of level set robust again
initial location compared to the current local model. The results on synthetic
image and real angiogram compared with other methods are presented. It is shown
that the proposed method can achieve automatic and accurate segmentation of
vascular angiogram.
PMID- 22067224
TI - Identification, characterization, and comparative genomic distribution of the
HERV-K (HML-2) group of human endogenous retroviruses.
AB - BACKGROUND: Integration of retroviral DNA into a germ cell may lead to a provirus
that is transmitted vertically to that host's offspring as an endogenous
retrovirus (ERV). In humans, ERVs (HERVs) comprise about 8% of the genome, the
vast majority of which are truncated and/or highly mutated and no longer encode
functional genes. The most recently active retroviruses that integrated into the
human germ line are members of the Betaretrovirus-like HERV-K (HML-2) group, many
of which contain intact open reading frames (ORFs) in some or all genes,
sometimes encoding functional proteins that are expressed in various tissues.
Interestingly, this expression is upregulated in many tumors ranging from breast
and ovarian tissues to lymphomas and melanomas, as well as schizophrenia,
rheumatoid arthritis, and other disorders. RESULTS: No study to date has
characterized all HML-2 elements in the genome, an essential step towards
determining a possible functional role of HML-2 expression in disease. We present
here the most comprehensive and accurate catalog of all full-length and partial
HML-2 proviruses, as well as solo LTR elements, within the published human genome
to date. Furthermore, we provide evidence for preferential maintenance of
proviruses and solo LTR elements on gene-rich chromosomes of the human genome and
in proximity to gene regions. CONCLUSIONS: Our analysis has found and corrected
several errors in the annotation of HML-2 elements in the human genome, including
mislabeling of a newly identified group called HML-11. HML-elements have been
implicated in a wide array of diseases, and characterization of these elements
will play a fundamental role to understand the relationship between endogenous
retrovirus expression and disease.
PMID- 22067227
TI - Ligand-controlled rates of photoinduced electron transfer in hybrid CdSe
nanocrystal/poly(viologen) films.
AB - This paper describes a study of the rates of photoinduced electron transfer (PET)
from CdSe quantum dots (QDs) to poly(viologen) within thin films, as a function
of the length of the ligands passivating the QDs. Ultrafast (<10 ps),
quantitative PET occurs from CdSe QDs coated with HS-(CH(2))(n)-COOH for n = 1,
2, 5, and 7 to viologen units. The observed decrease in the magnitude of the PET
rate constant with n is weaker than that expected from the decay of the electron
tunneling probability across extended all-trans mercaptocarboxylic acids but well
described by electron tunneling across a collapsed ligand shell. The PET rate
constants for films with n = 10 and 15 are much slower than those expected based
on the trend for n = 1-7; this deviation is ascribed to the formation of bundles
of ligands on the surface of the QD that make the tunneling process prohibitively
slow by limiting access of the viologen units to the surfaces of the QDs. This
study highlights the importance of molecular-level morphology of donor and
acceptor materials in determining the rate and yield of interfacial photoinduced
electron transfer in thin films.
PMID- 22067228
TI - From the editor: re-form: inside and outside perspectives on health care.
PMID- 22067229
TI - Knowledge for re-forming nursing's future: standpoint makes a difference.
AB - We draw on our recent research that has convinced us of the importance of nurses'
participating in re-forming health care on their own terms. The empirical
evidence we present here shows how "boardroom knowledge" of health care is
constructed with different priorities than is the knowledge of direct care on
which nurses' safe, efficient, and effective work relies. The 2 forms of
knowledge are not the same. Nurses' knowledge is routinely transposed through a
procrustean process of "working up" direct care nurses' knowledge into new
informational forms without attention to the importance of the full range of what
nurses know from their unique standpoint.
PMID- 22067230
TI - Instrumental care and human-centered caring: rhetoric and lived reality.
AB - This article explores the nursing discourse on relational caring as a context for
examining the authors' recent lived realities with the health care system. Two
narratives detail experiences of instrumental care and human-centered caring as
the authors journeyed with a loved one who was dying. Commonalities across the
stories are identified and caring analyzed using Halldorsdottir's ways of being
with another. From weaving an analysis of the realities with the literature
emerges a recognition of a critical turning point in nursing and health care.
PMID- 22067231
TI - Critical interactionism: an upstream-downstream approach to health care reform.
AB - Currently, per capita health care expenditures in the United States are more than
20% higher than any other country in the world and more than twice the average
expenditure for European countries, yet the United States ranks 37th in life
expectancy. Clearly, the health care system is not succeeding in improving the
health of the US population with its focus on illness care for individuals. A new
theoretical approach, critical interactionism, combines symbolic interactionism
and critical social theory to provide a guide for addressing health care problems
from both an upstream and downstream approach. Concepts of meaning from symbolic
interactionism and emancipation from critical perspective move across system
levels to inform and reform health care for individuals, organizations, and
societies. This provides a powerful approach for health care reform, moving back
and forth between the micro and macro levels. Areas of application to nursing
practice with several examples (patients with obesity; patients who are lesbian,
gay, bisexual, and transgender; workplace bullying and errors), nursing
education, and research are also discussed.
PMID- 22067232
TI - Accounting for care: exploring tensions and contradictions.
AB - Within the context of neoliberal restructuring, accountability is primarily
linked to efficiency, determined through standardized, numerically based
technologies and focused on lengths of stay, utilization indicators, and the
like. Disappearing from view in this approach is what is actually happening at
the point of care for registered nurses. Grounded in semistructured interviews,
this article casts a critical light on the tensions and contradictions
experienced by nurses, arguing that instead of a more accountable, effective, or
efficient system, this path is jeopardizing nurses' ability to provide needed
care within healthy, supportive work environments, setting into motion a
fundamental transformation of nursing practice.
PMID- 22067233
TI - "I will not be discriminated against": older lesbians creating new communities.
AB - Lesbian, gay, bisexual, and transgender (LGBT) older adults fear discrimination
in long-term care and voice a preference for LGBT-specific services. The purpose
of this study was to describe, through the eyes of 10 socially interconnected
older lesbians (>55 years), the roles that life experiences, social ties, and
expectations for aging play in their decision to live in an LGBT continuous-care
setting. Nursing has been largely inattentive to older lesbians' unique health
and care issues. Reforms in nursing research, education, and practice are
suggested to make this population more visible and better understood.
PMID- 22067234
TI - Advancing population-based health-promotion and prevention practice in community
health nursing: key conditions for change.
AB - Community-health nursing practice is a pivotal aspect of present-day health
reforms. In Quebec, Canada, the recent introduction of a population-based
approach has entailed increasing the resources allocated to health promotion and
disease prevention. Semistructured interviews were conducted with nurses and
managers (N = 69) in an effort to understand how these new resources are
reflected in nursing practice. Three classes of factors emerged as key conditions
for change: contextual and historical, training and professional-development, and
work-organization factors. The authors propose courses of action respecting these
conditions to provide support for community-health nursing practices that
incorporate a contemporary population-based approach.
PMID- 22067235
TI - Of courage and leaving safe harbors.
AB - If the health care system in the United States is to be sustainable, dramatic
changes that result in better outcomes, lower costs, and improved quality are
needed. As the largest group of health care providers, successful change will
depend, in part, upon nursing practitioners, leaders, visionaries, advocates, and
educators who are courageous enough to make difficult decisions and to follow
through with actions. This philosophical inquiry addresses the nature of courage,
types and motivations for courageous actions, and the complex psychological
manifestations of thinking courageously. The inquiry concludes with educational
and practical goals to promote proper use of courage in clinical practice.
PMID- 22067236
TI - Delivering specialized palliative care in the community: a new role for nurse
practitioners.
AB - The rising population of older Americans with advanced illness challenges current
care delivery models. We use the metaphor of advanced illness as a difficult
journey and propose a specific role, that of the "OACIS NP [nurse practitioner],"
who helps provide a place of refuge during this journey. "OACIS" is an acronym
for Optimizing Advanced Complex Illness Support, a program to provide home-based
palliative medical care. The 4 pillars of this collaborative model for advanced
nursing care include care coordination, medical management, psychosocial support,
and education. We make the case for this expanded role for nurse practitioners
who specialize in palliative care.
PMID- 22067237
TI - Effect of motivational music on lactate levels during recovery from intense
exercise.
AB - The effects of music played during an exercise task on athletic performance have
been previously studied. Yet, these results are not applicable for competitive
athletes, who can use music only during warm-up or recovery from exercise.
Therefore, the aim of this study was to determine the effect of motivational
music (music that stimulates or inspires physical activity) during recovery from
intense exercise, on activity pattern, rate of perceived exertion (RPE), and
blood lactate concentration. Twenty young, active men (mean age 26.2 +/- 2.1
years) performed a 6-minute run at peak oxygen consumption speed (predetermined
from the VO(2) max test). The mean heart rate (HR), RPE, number of steps
(determined by step counter), and blood lactate concentrations were determined at
3, 6, 9, 12, and 15 minutes during the recovery from the exercise, with and
without motivational music (2 separate sessions, at random order). There was no
difference in the mean HR during the recovery with and without music. Listening
to motivational music during the recovery was associated with increased voluntary
activity of the participants, determined by increased number of steps (499.4 +/-
220.1 vs. 413.2 +/- 150.6 steps, with and without music, respectively; p <=
0.05). The increased number of steps during the recovery was accompanied by a
significantly greater decrease in blood lactate concentration percentage (28.1 +/
12.2 vs. 22.8 +/- 10.9%, with and without music, respectively, p <= 0.05). This
was associated with a greater decrease in RPE (77.7 +/- 14.4 vs. 73.1 +/- 14.7%
with and without music, respectively; p <= 0.05). Our results suggest that
listening to motivational music during nonstructured recovery from intense
exercise leads to increased activity, faster lactate clearance, and reduced RPE
and therefore may be used by athletes in their effort to enhance recovery.
PMID- 22067238
TI - An exercise sequence for progression in balance training.
AB - Compared with resistance training, information concerning the progressive
configuration of balance training (BT) is rare and lacks scientific validation.
Therefore, a study was designed to determine participants' ability to perform
balance exercises with increasing level of difficulty. The task required the
participants (N = 20) to stand as stable as possible on a computerized balance
platform. The experiment was performed on 3 testing days using different stance
and sensory conditions. On each day, bipedal, step, tandem, and monopedal stands
were performed 3 times while sensory conditions changed from firm ground, eyes
opened (day 1) over foam ground, eyes opened (day 2) to firm ground, eyes closed
(day 3). The results showed that total center of pressure displacements
significantly increased when the use of sensory information (comparison between
testing days: all p < 0.001) or when the base of support (comparison within
testing days: all p < 0.001) was gradually reduced. Based on the observed pattern
of increased postural sway across all testing conditions and the levels of trial
variability, exercises were categorized into several stages of training. Findings
indicate that balance performance decreased in response to an increased level of
task difficulty introduced by narrowing the base of support and by limiting the
use of sensory information. Practitioners can use the derived exercise ranking to
select exercises for BT appropriate to the level of participants' balance ability
and to implement progression in balance training.
PMID- 22067239
TI - Metabolic and cardiac autonomic effects of high-intensity resistance training
protocol in Wistar rats.
AB - The aim of this study was to assess the effects of metabolic and autonomic
nervous control on high-intensity resistance training (HRT) as determined by
pancreatic glucose sensitivity (GS), insulin sensitivity (IS), blood lactate
([La]), and heart rate variability (HRV) in rats. Thirty male, albino Wistar rats
(292 +/- 20 g) were divided into 3 groups: sedentary control (SC), low-resistance
training (LRT), and HRT. The animals in the HRT group were submitted to a high
resistance protocol with a progressively increasing load relative to body weight
until exhaustion, whereas the LRT group performed the same exercise regimen with
no load progression. The program was conducted 3 times per week for 8 weeks. The
[La], parameters related to the functionality of pancreatic tissue, and HRV were
measured. There was a significant increase in peak [La] only in the HRT group,
but there was a reduction in [La] when corrected to the maximal load in both
trained groups (LRT and HRT, p < 0.05). Both trained groups exhibited an increase
in IS; however, compared with SC and LRT, HRT demonstrated a significantly higher
GS posttraining (p < 0.05). With respect to HRV, the low-frequency (LF) band, in
milliseconds squared, reduced in both trained groups, but the high-frequency
band, in milliseconds squared and nu, increased, and the LF in nu, decreased only
in the HRT group (p < 0.05). The HRT protocol produced significant and beneficial
metabolic and cardiac autonomic adaptations. These results provide evidence for
the positive benefits of HRT in counteracting metabolic and cardiovascular
dysfunction.
PMID- 22067240
TI - Inflammatory response and neutrophil functions in players after a futsal match.
AB - Inflammatory response and neutrophil functions in players after a futsal match. J
Strength Cond Res 26(9): 2507-2514, 2012-Futsal players suffer injuries resulting
from muscle fatigue and contact or collision among players. Muscle lesions can be
detected by measuring muscle lesion markers such as creatine kinase (CK) and
lactate dehydrogenase (LDH) in plasma. After an initial lesion, there is an
increase in the plasma levels of C-reactive protein (CRP) and proinflammatory
cytokines. These mediators may activate neutrophils and contribute to tissue
damage and increase susceptibility to invasive microorganisms. In this study, we
investigated the effect of a futsal match on muscle lesion markers, cytokines,
and CRP in elite players. The basal and stimulated neutrophil responsiveness
after a match was also evaluated based on measurements of neutrophil necrosis,
apoptosis, phagocytic capacity, reactive oxygen species (ROS) production, and
cytokines (tumor necrosis factor-alpha [TNF-alpha], interleukin [IL]-8, IL-1beta,
IL-10, and IL-1ra) production. Blood samples were taken from 16 players (26.4 +/-
3.2 years, 70.2 +/- 6.9 kg, 59.7 +/- 5.1 ml.kg.min, sports experience of 4.4 +/-
0.9 years) before and immediately after a match. Exercise increased the serum
activities of CK (2.5-fold) and LDH (1.3-fold). Playing futsal also increased the
serum concentrations of IL-6 (1.6-fold) and CRP (1.6-fold). The TNF-alpha, IL
1beta, IL-8, IL-1ra, and IL-10 serum levels were not modified in the conditions
studied. The futsal match induced neutrophil apoptosis, as indicated by
phosphatidylserine externalization (6.0-fold). The exercise induced priming of
neutrophils by increasing ROS (1.3-fold), TNF-alpha (5.8-fold), and IL-1beta (4.8
fold) released in nonstimulated cells. However, in the stimulated condition, the
exercise decreased neutrophil function, diminishing the release of ROS by phorbol
myristate acetate-stimulated neutrophils (1.5-fold), and the phagocytic capacity
(1.6-fold). We concluded that playing futsal induces inflammation, primes and
activates neutrophils, and reduces the efficiency of neutrophil phagocytosis
immediately after a match.
PMID- 22067241
TI - Effect of different warm-up procedures on subsequent swim and overall sprint
distance triathlon performance.
AB - This study investigated the effect of 3 warm-up procedures on subsequent swimming
and overall triathlon performance. Seven moderately trained, amateur triathletes
completed 4 separate testing sessions comprising 1 swimming time trial (STT) and
3 sprint distance triathlons (SDT). Before each SDT, the athletes completed 1 of
three 10-minute warm-up protocols including (a) a swim-only warm-up (SWU), (b) a
run-swim warm-up (RSWU), and (c) a control trial of no warm-up (NWU). Each
subsequent SDT included a 750-m swim, a 500-kJ (~20 km) ergometer cycle and a 5
km treadmill run, which the athletes performed at their perceived race intensity.
Blood lactate, ratings of perceived exertion, core temperature, and heart rate
were recorded over the course of each SDT, along with the measurement of swim
speed, swim stroke rate, and swim stroke length. There were no significant
differences in individual discipline split times or overall triathlon times
between the NWU, SWU, and RSWU trials (p > 0.05). Furthermore, no difference
existed between trials for any of the swimming variables measured (p > 0.05) nor
did they significantly differ from the preliminary STT (p > 0.05). The findings
of this study suggest that warming up before an SDT provides no additional
benefit to subsequent swimming or overall triathlon performance.
PMID- 22067242
TI - Evaluation of muscle activity during a standardized shoulder resistance training
bout in novice individuals.
AB - Momentary fatigue is an important variable in resistance training periodization
programs. Although several studies have examined neuromuscular activity during
single repetitions of resistance training, information is lacking in regard to
neuromuscular fatigue indices throughout a full resistance training bout. The
purpose of this study was to evaluate muscle activity during a shoulder
resistance training bout with 15 repetitions maximum (RM) loadings in novice
individuals. Twelve healthy sedentary women (age = 27-58 years; weight = 54-85
kg; height = 160-178 cm) were recruited for this study. Normalized
electromyographic (nEMG) activity and median power frequency (MPF) of the upper,
medial, and lower trapezius; the medial deltoid, infraspinatus, and serratus
anterior was measured during 3 sets of 15RM during the exercises front raise,
reverse flyes, shrugs, and lateral raise. For the majority of exercises, nEMG
activity was high (>60% of maximal isometric contractions). From the first to the
last repetition of each set nEMG-averaged for all muscles-increased 10. 0 +/-
0.4% (p < 0.05) and MPF decreased -7.7 +/- 0.5 Hz (p < 0.05). By contrast, nEMG
activity and MPF were unchanged from the first to the third set (averaged for all
muscles: 38.1 +/- 23.6 vs. 47.6 +/- 28.8% and 88.4 +/- 21.3 vs. 82.1 +/- 18.1 Hz,
respectively). In conclusion, during a shoulder resistance training bout in
novice individuals using 15RM loading muscle activity of the upper, medial, and
lower trapezius, the medial deltoid, infraspinatus, and serratus anterior
increased, and MPF decreased within each set-indicating momentary neuromuscular
fatigue. By contrast, no such change was observed between the 3 sets. This
indicates that momentary neuromuscular fatigue in shoulder resistance training is
induced more efficiently within a set than between sets.
PMID- 22067243
TI - Speed and agility of 12- and 14-year-old elite male basketball players.
AB - The aims of this study were (a) to identify and compare the speed and agility of
12- and 14-year-old elite male basketball players and (b) to investigate
relations between speed and agility for both age groups of basketball players, to
help coaches to improve their work. Sixty-four players aged 12 (M = 11.98 years,
SD = 0.311) and 54 players aged 14 (M = 14.092 years, SD = 0.275) were tested.
Three agility tests: agility t-test, zigzag agility drill, and agility run 4 * 15
m and 3 speed tests: 20-m run, 30-m run, and 50-m run were applied. Fourteen-year
old players achieved significantly better results in all speed and agility tests
compared with 12-year-old players. The correlation coefficient (r = 0.81, p =
0.001) showed that 12-year-old players have the same ability in the 30- and 50-m
runs. The other correlation coefficient (r = 0.59, p = 0.001) indicated that 20-
and 30-m runs had inherently different qualities. The correlation coefficients
between agility tests were <0.71, and therefore, each test in this group
represents a specific task. In 14-year-old players, the correlation coefficients
between the speed test results were <0.71. In contrast, the correlation
coefficients between the agility tests were >0.71, which means that all the 3
tests represent the same quality. During the speed training of 12-year-old
players, it is advisable to focus on shorter running distances, up to 30 m.
During the agility training of the same players, it is useful to apply exercises
with various complexities. In speed training of the 14-year-old players, the 30-
and 50-m runs should be applied, and agility training should include more
specific basketball movements and activities.
PMID- 22067244
TI - Acute effects of a warm-up including active, passive, and dynamic stretching on
vertical jump performance.
AB - The purpose of this study was to examine the acute effects of 3 different
stretching methods combined with a warm-up protocol on vertical jump performance.
Sixteen young tennis players (14.5 +/- 2.8 years; 175 +/- 5.6 cm; 64.0 +/- 11.1
kg) were randomly assigned to 4 different experimental conditions on 4 successive
days. Each session consisted of a general and specific warm-up, with 5 minutes of
running followed by 10 jumps, accompanied by one of the subsequent conditions:
(a) Control Condition (CC)-5 minutes of passive rest; (b) Passive Stretching
Condition (PSC)-5 minutes of passive static stretching; (c) Active Stretching
Condition (ASC)-5 minutes of active static stretching; and (d) Dynamic Stretching
Condition (DC)-5 minutes of dynamic stretching. After each intervention, the
subjects performed 3 squat jumps (SJs) and 3 countermovement jumps (CMJs), which
were measured electronically. For the SJ, 1-way repeated measures analysis of
variance (CC * PSC * ASC * DC) revealed significant decreases for ASC (28.7 +/-
4.7 cm; p = 0.01) and PSC (28.7 +/- 4.3 cm; p = 0.02) conditions when compared
with CC (29.9 +/- 5.0 cm). For CMJs, there were no significant decreases (p >
0.05) when all stretching conditions were compared with the CC. Significant
increases in SJ performance were observed when comparing the DC (29.6 +/- 4.9 cm;
p = 0.02) with PSC (28.7 +/- 4.3 cm). Significant increases in CMJ performance
were observed when comparing the conditions ASC (34.0 +/- 6.0 cm; p = 0.04) and
DC (33.7 +/- 5.5 cm; p = 0.03) with PSC (32.6 +/- 5.5 cm). A dynamic stretching
intervention appears to be more suitable for use as part of a warm-up in young
athletes.
PMID- 22067246
TI - A 6-month supervised employer-based minimal exercise program for police officers
improves fitness.
AB - The purpose of the study was to determine the effects of a 6-month supervised,
job-specific moderate exercise program in police officers on body composition,
cardiovascular and muscular fitness. Body weight (BW), body mass index (BMI), and
cardiovascular and muscular fitness were assessed at baseline, after a 6-month
supervised fitness program and at 12-month follow-up (18 months). One hundred
sixty-five (n = 131 men and n = 34 women) young (mean +/- SEM, 26.4 +/- 1.9
years), overweight (BMI = 26.2 +/- 1.2 kg.m) police officers participated.
Aerobic exercise progressed from 3 d.wk, 20 minutes per session at 60% of the
heart rate reserve (HRR) to 5 d.wk, 30 minutes per session at 75% of HRR at 3
months, and this level was maintained until 6 months. Muscular strength training
progressed using 8 different calisthenics exercises from 3 d.wk, 2 sets of 5
repetitions using the participant's own BW to 5 d.wk, 3 sets of 15 repetitions of
the participant's own BW at 3 months, and this level was maintained until 6
months. Cardiovascular and muscular fitness was measured using a 0.25-mile
obstacle course incorporating various job-specific exercises and expressed as the
physical abilities test (PAT) time. There was a significant reduction in BMI (
0.6 +/- 0.2 kg.m, p < 0.001) and BW (-2.8 +/- 2.3 kg) and reduction in PAT time (
11.9 +/- 2.1%, p < 0.01) from baseline to 6 months. However, BMI (1.4 +/- 1.1
kg.m, p < 0.001), BW (5.1 +/- 3.0 kg, p < 0.01), and PAT time significantly
increased (12.8 +/- 2.2%, p < 0.01) from 6 to 18 months. There were no sex by
time differences. The practical applications of this study indicate that a
supervised, job-specific exercise program for police officers improves fitness
and body composition after 6 months in both men and women, but continued
supervision of exercise program may be necessary for maintenance of health
benefits.
PMID- 22067247
TI - Electromyostimulation--a systematic review of the effects of different
electromyostimulation methods on selected strength parameters in trained and
elite athletes.
AB - This is the first part of 2 studies that systematically review the current state
of research and structure the results of selected electromyostimulation (EMS)
studies in a way that makes accurate comparisons possible. This part will focus
on the effects of EMS on strength enhancement. On the basis of these results,
part 2 will deal with the influence of the training regimen and stimulation
parameters on EMS training effectiveness to make recommendations for training
control. Out of about 200 studies, 89 trials were selected according to
predefined criteria: subject age (<35 years), subject health (unimpaired), EMS
type (percutaneous stimulation), and study duration (>7 days). To evaluate these
trials, we first defined appropriate categories according to the type of EMS
(local or whole body) and type of muscle contraction (isometric, dynamic,
isokinetic). Then, we established the most relevant strength parameters for high
performance sports: maximal strength, speed strength, power, jumping and
sprinting ability. Unlike former reviews, this study differentiates between 3
categories of subjects based on their level of fitness (untrained subjects,
trained subjects, and elite athletes) and on the types of EMS methods used
(local, whole-body, combination). Special focus was on trained and elite
athletes. Untrained athletes were investigated for comparison purposes. This
scientific analysis revealed that EMS is effective for developing physical
performance. After a stimulation period of 3-6 weeks, significant gains (p <
0.05) were shown in maximal strength (isometric Fmax +58.8%; dynamic Fmax
+79.5%), speed strength (eccentric isokinetic Mmax +37.1%; concentric isokinetic
Mmax + 41.3%; rate of force development + 74%; force impulse + 29%; vmax + 19%),
and power (+67%). Developing these parameters increases vertical jump height by
up to +25% (squat jump +21.4%, countermovement jump +19.2%, drop jump +12%) and
improves sprint times by as much as -4.8% in trained and elite athletes. With
regard to the level of fitness, the analysis shows that trained and elite
athletes, despite their already high level of fitness, are able to significantly
enhance their level of strength to same extent as is possible with untrained
subjects. The EMS offers a promising alternative to traditional strength training
for enhancing the strength parameters and motor abilities described above.
Because of the clear-cut advantages in time management, especially when whole
body EMS is used, we can expect this method to see the increasing use in high
performance sports.
PMID- 22067248
TI - Repeated-sprint and change-of-direction abilities in physically active
individuals and soccer players: training and testing implications.
AB - The relationship between repeated-sprint ability (RSA) and repeated change-of
direction (RCOD) matched on intervals and distances was investigated in this
study. The discrimination abilities of the tests were also examined. Using a
within-subject repeated measures design, 25 physically active individuals (ACTs),
16 college soccer players (COL), and 18 professional soccer players (PRO)
performed the RSA and RCOD tests during which the fastest time (FT), average time
(AT), total time (TT), and percentage decrement score (%Dec) were recorded. We
concluded that RSA and RCOD tested separate motor abilities because the shared
variance between them in the FT, AT, and TT was <=50%. Both RSA and RCOD tests
were reliable (intraclass correlation coefficient ranged 0.79-0.90) and valid
performance assessments in terms of construct in that they discriminated between
ACT and soccer players (irrespective of the soccer skill level in this study).
Specifically, the FT, AT, and TT (but not %Dec) of RSA and RCOD were
significantly higher in ACT as compared with that in both COL and PRO (p < 0.05).
Most values of the RSA/RCOD index in COL and PRO were 0.59, which were
significantly higher than those of ACT (0.53, p < 0.05). We proposed the use of
the RSA/RCOD index with a target value of 0.59 to prioritize and quantify the
training needs of RSA and RCOD for soccer players.
PMID- 22067249
TI - Metabolic syndrome is inversely related to cardiorespiratory fitness in male
career firefighters.
AB - Cardiovascular disease (CVD) accounts for 45% of on-duty fatalities among
firefighters, occurring primarily in firefighters with excess CVD risk factors in
patterns resembling the metabolic syndrome (MetSyn). Additionally, firefighters
have a high prevalence of obesity and sedentary behavior suggesting that MetSyn
is also common. Therefore, we assessed the prevalence of MetSyn in firefighters
and its association with cardiorespiratory fitness (CRF) in a cross-sectional
study of 957 male career firefighters. The CRF was measured by maximal exercise
tolerance testing (standard metabolic equivalent [METS]). The MetSyn was defined
according to modified criteria from the Joint Scientific Statement. Group
differences were compared using chi-test and logistic regression. The prevalence
of MetSyn was 28.3%. Firefighters in the lowest fitness category (METS <= 10) had
a nearly 10-fold higher prevalence of MetSyn (51.2%) compared with colleagues in
the highest fitness category (METS > 14) (MetSyn prevalence 5.2%) (p value <
0.0001, adjusted for age). In multivariate regression models, every 1-unit
increase in METS decreased the odds of having the MetSyn by 31% (odds ratio 0.69
[95% confidence interval 0.63-0.76] [age adjusted]), whereas age had no
significant effect after adjusting for CRF. We found a high prevalence of the
MetSyn in this group of career emergency responders expected to be more active,
fit, and relatively younger than the general population. Moreover, there is a
highly significant inverse, dose-response association with CRF. Firefighters
should be given strong incentives to improve their fitness, which would decrease
prevalent MetSyn, a likely precursor of on-duty CVD events and contributor to CVD
burden in this population.
PMID- 22067250
TI - A simplified model to predict stress fracture in young elite combat recruits.
AB - The purpose of the study was to develop a simple prediction model for stress
fractures (SFs) in young male recruits to identify risk factors for SF. Data were
collected from 57 young (18.5 +/- 0.5 years) male athletes before elite combat
basic training (BT). Measurements included anthropometric variables, blood
samples, fitness tests, bone quality (peripheral quantitative computed tomography
[pQCT]), psychological assessment, nutritional habits, and history of physical
activity. A medical evaluation was done periodically. The SFs were clinically
diagnosed during the 1-year training and thereafter confirmed by bone
scintigraphy. Three "simple to monitor" variables were found to be significantly
(p < 0.05) related to SF occurrence during basic and advanced training: aerobic
training frequency, aerobic training duration, and waist circumference. From
these 3 variables, a new model was constructed that successfully predicted 85% of
the soldiers with (n = 23) and without (n = 34) SF as follows:(Equation is
included in full-text article.)where PSF is the stress fracture prediction
according to the Log Odds (SF), Odds(SF) is the ratio between the probability of
SF existence and nonexistence, ATn is the aerobic training (times per week), ATt
is the aerobic training duration (minutes per week), and waist is the
circumference (centimeters). This model was validated on a different database
taken from another 59 elite combat recruits before BT and successfully predicted
76.5% of the soldiers with SF (n = 22) and without SF (n = 37). A young male
recruit for elite combat unit is at a greater risk of developing SF if, before
entering BT, he trained aerobically <2 times per week with each training >40
minutes and has a waist circumference of <75 cm. However, further evaluation is
required for different combat recruits, ages, and training programs to validate
these results.
PMID- 22067251
TI - Physiological responses and perceived exertion during cycling with superimposed
electromyostimulation.
AB - The goal of the study was to evaluate and to quantify the effects of local
electromyostimulation (EMS) during cycling on the cardiorespiratory system,
muscle metabolism, and perceived exertion compared with cycling with no EMS. Ten
healthy men (age: 24.6 +/- 3.2 years, V[Combining Dot Above]O2max: 54.1 +/- 6.0
ml.min.kg) performed 3 incremental cycle ergometer step tests, 1 without and 2
with EMS (30 and 85 Hz) until volitional exhaustion. Lactate values and
respiratory exchange ratio were significantly higher at intensities >=75% peak
power output (PPO) when EMS was applied. Bicarbonate concentration, base excess
(BE), and Pco2 were significantly lower when EMS was applied compared with the
control at intensities >=75% PPO. Saliva cortisol levels increased because of the
exercise but were unaffected by EMS. Furthermore, EMS showed greater effects on
CK levels 24 hours postexercise than normal cycling did. Rating of perceived
exertion was significantly higher at 100% PPO with EMS. No statistical
differences were found for heart rate, pH, and Po2 between the tested cycling
modes. The main findings of this study are greater metabolic changes (lactate,
respiratory exchange ratio, BE, (Equation is included in full-text article.),
Pco2) during cycling with EMS compared with normal cycling independent of
frequency, mainly visible at higher work rates. Because metabolic alterations are
important for the induction of cellular signaling cascades and adaptations, these
results lead to the hypothesis that applied EMS stimulations during cycling
exercise might be an enhancing stimulus for skeletal muscle metabolism and
related adaptations. Thus, superimposed EMS application during cycling could be
beneficial to aerobic performance enhancements in athletes and in patients who
cannot perform high workloads. However, the higher demand on skeletal muscles
involved must be considered.
PMID- 22067252
TI - Increasing the distance of an external focus of attention enhances standing long
jump performance.
AB - Numerous studies have demonstrated that using verbal instructions to direct a
performers attention externally (i.e., toward the effect of the movement)
significantly enhances motor skill performance. Limited research has also
demonstrated that increasing the distance of an external focus relative to the
body magnifies the effect of an external focus of attention. The purpose of this
study was to investigate the effect of increasing the distance of an external
focus of attention on standing long jump performance. Using a counterbalanced
within-participant design, recreationally trained male subjects (n = 35)
performed 2 standing long jumps following 3 different sets of verbal instructions
(total of 6 jumps; each separated by 1 minute of seated rest). One set of
instructions was designed to focus attention externally near the body (EXN);
another set of instructions directed attention externally to a target farther
from the body (EXF); the last set of instructions served as a control condition
(CON) and did not encourage a specific focus of attention. The results indicated
that the EXN and EXF conditions elicited jump distances that were significantly
greater than the CON condition. In addition, the subjects in the EXF condition
jumped significantly farther than those in the EXN condition. These findings
suggest that increasing the distance of an external focus of attention, relative
to the body, immediately improves standing long jump performance.
PMID- 22067253
TI - Changes in Acid-base balance during simulated soccer match play.
AB - This study evaluated changes in markers of acid-base balance that occurred during
simulated soccer match play. Sixteen academy soccer players participated in a
soccer match simulation that consisted of 90 minutes of soccer-specific exercise
with skills throughout. Blood samples were obtained before exercise
(preexercise), every 15 minutes during the simulation (15, 30, 45, 60, 75, and 90
minutes), and 10 minutes into the half-time break (half time). Blood lactate
concentrations were elevated throughout exercise (preexercise: 1.5 +/- 0.12
mmol.L; 90 minutes: 6.1 +/- 0.7 mmol.L, time effect: p < 0.01, partial-eta =
0.740). Relative to preexercise values, actual blood bicarbonate (preexercise:
28.02 +/- 0.92 mmol.L; 90 minutes: 21.73 +/- 0.65 mmol.L, time effect = p < 0.01,
partial-eta = 0.680), standard blood bicarbonate (preexercise: 25.97 +/- 0.43
mmol.L; 90 minutes: 22.87 +/- 0.31 mmol.L, time effect = p < 0.01, partial-eta =
0.667), base excess (preexercise: 2.40 +/- 0.54 mmol.L, 90 minutes: -1.57 +/-
0.39 mmol.L, time effect = p < 0.01, partial-eta = 0.664), and pH (preexercise:
7.44 +/- 0.01 units; 90 minutes: 7.39 +/- 0.01 units, time effect = p < 0.01,
partial-eta = 0.542) were depressed throughout the exercise. Interestingly, blood
bicarbonate, base excess, and pH recovered at half time (p > 0.05). This is the
first study to provide data concerning the acid-base balance of familiarized
soccer players during exercise that simulates soccer match play. These findings
suggest that (a) blood pH is reduced during soccer-specific exercise and (b)
although buffering capacity is reduced throughout exercise, it returns to normal
during half time. Further research is warranted to develop interventions that can
maintain acid-base balance throughout the full duration of a soccer match.
PMID- 22067254
TI - Effects of MagProTM on muscle performance.
AB - Athletes are on an endless quest to enhance performance and are frequently
barraged by products that purport to contribute to various components of athletic
activity. The purpose of this study was to determine if MagProTM influenced
muscle flexibility or muscle endurance. This was a double-blind, randomized,
controlled study using a repeated-measures design. The Institutional Review Board
approved consent was obtained. The participants were healthy, physically active
adults (n = 38 for phase 1; n = 18 for phase 2). Two creams were used: MagProTM
(Mg cream) and a placebo. In phase 1, each cream was applied to the gastroc
soleus muscles. A stretching protocol was completed, and ankle dorsiflexion was
compared. In phase 2, 1 cream was applied to both quadriceps muscles. An
endurance protocol using a Life Fitness bicycle was completed. The procedure was
repeated with the other cream on the quadriceps muscle 1 week later. For the
flexibility phase, an analysis of variance with repeated measures revealed no
difference between the 2 creams (p = 0.50), but there was a change in the
flexibility over time (p = 0.00). For the endurance phase, paired t-tests
revealed that there was no significant difference between the first (p = 0.26) or
second (p = 0.35) cycling bouts of either cream. Likewise, there were no
differences between the first and second cycling bouts of both the creams
(MagProTM p = 0.46; Placebo p = 0.08). Despite previous studies demonstrating
improved performance with Mg supplements, MagProTM did not enhance the outcome
measures of this study. Examination of alternative application techniques and
other outcome measures would be appropriate.
PMID- 22067255
TI - The effects of whole-body vibration in isolation or combined with strength
training in female athletes.
AB - The aims of this study were to assess the behavior of a vibrating platform under
different conditions and to compare the effects of an 8-week periodized training
program with whole-body vibration (WBV) alone or in combination with conventional
strength training (ST). Vibrating frequencies, displacements, and peak
accelerations were tested through a piezoelectric accelerometer under different
conditions of load and subjects' position. Eighteen national-level female
athletes were assigned to 1 of 3 different groups performing WBV, conventional
ST, or a combination of the 2 (WBV + ST). Isometric maximal voluntary
contraction, dynamic maximal concentric force, and vertical jump tests were
performed before and after the conditioning program. Vibrating displacements and
maximum accelerations measured on the device were not always consistent with
their expected values calculated from the display and manufacturers' information
(sinusoidal waveforms). The WBV alone or in combination with low-intensity
resistance exercise did not seem to induce significant enhancements in force and
power when compared with ST. It appears that WBV cannot substitute parts of ST
loading in a cohort of young female athletes. However, vibration effects might be
limited by the behavior of the commercial platforms as the one used in the study.
More studies are needed to analyze the performances of devices and the
effectiveness of protocols.
PMID- 22067256
TI - Comparative analysis of miRNAs and their targets across four plant species.
AB - BACKGROUND: MicroRNA (miRNA) mediated regulation of gene expression has been
recognized as a major posttranscriptional regulatory mechanism also in plants. We
performed a comparative analysis of miRNAs and their respective gene targets
across four plant species: Arabidopsis thaliana (Ath), Medicago truncatula(Mtr),
Brassica napus (Bna), and Chlamydomonas reinhardtii (Cre). RESULTS: miRNAs were
obtained from mirBase with 218 miRNAs for Ath, 375 for Mtr, 46 for Bna, and 73
for Cre, annotated for each species respectively. miRNA targets were obtained
from available database annotations, bioinformatic predictions using RNAhybrid as
well as predicted from an analysis of mRNA degradation products (degradome
sequencing) aimed at identifying miRNA cleavage products. On average, and
considering both experimental and bioinformatic predictions together, every miRNA
was associated with about 46 unique gene transcripts with considerably variation
across species. We observed a positive and linear correlation between the number
miRNAs and the total number of transcripts across different plant species
suggesting that the repertoire of miRNAs correlates with the size of the
transcriptome of an organism. Conserved miRNA-target pairs were found to be
associated with developmental processes and transcriptional regulation, while
species-specific (in particular, Ath) pairs are involved in signal transduction
and response to stress processes. Conserved miRNAs have more targets and higher
expression values than non-conserved miRNAs. We found evidence for a conservation
of not only the sequence of miRNAs, but their expression levels as well.
CONCLUSIONS: Our results support the notion of a high birth and death rate of
miRNAs and that miRNAs serve many species specific functions, while conserved
miRNA are related mainly to developmental processes and transcriptional
regulation with conservation operating at both the sequence and expression level.
PMID- 22067257
TI - Epigenetic-aging-signature to determine age in different tissues.
AB - All tissues of the organism are affected by aging. This process is associated
with epigenetic modifications such as methylation changes at specific cytosine
residues in the DNA (CpG sites). Here, we have identified an Epigenetic-Aging
Signature which is applicable for many tissues to predict donor age. DNA
methylation profiles of various cell types were retrieved from public data
depositories - all using the HumanMethylation27 BeadChip platform which
represents 27,578 CpG sites. Five datasets from dermis, epidermis, cervical
smear, T-cells and monocytes were used for Pavlidis Template Matching to identify
19 CpG sites that are continuously hypermethylated upon aging (R>0.6; p-value<10
13). Four of these CpG sites (associated with the genes NPTX2, TRIM58, GRIA2 and
KCNQ1DN) and an additional hypomethylated CpG site (BIRC4BP) were implemented in
a model to predict donor age. This Epigenetic-Aging-Signature was tested on a
validation group of eight independent datasets corresponding to several cell
types from different tissues. Overall, the five CpG sites revealed age-associated
DNA-methylation changes in all tissues. The average absolute difference between
predicted and real chronological age was about 11 years. This method can be used
to predict donor age in various cell preparations - for example in forensic
analysis.
PMID- 22067258
TI - Body mass index at 11-13 weeks' gestation and pregnancy complications.
AB - OBJECTIVE: To examine the association between body mass index (BMI) at 11-13
weeks' gestation and a wide range of adverse pregnancy outcomes after adjustment
for confounding factors in obstetric history and maternal characteristics.
METHODS: This was a prospective screening study for adverse obstetric outcomes in
women with singleton pregnancies attending for their first routine hospital visit
at 11(+0)-13(+6) weeks of gestation. The maternal weight and height were measured
and the BMI was calculated. Regression analysis was performed to examine the
association between BMI and each of the adverse pregnancy outcomes. RESULTS: We
examined 41,577 pregnancies with a live fetus at 11-13 weeks. There was a
significant contribution from maternal BMI, in addition to maternal
characteristics and obstetric history, in the prediction of subsequent
miscarriage, stillbirth, preeclampsia, gestational hypertension, gestational
diabetes mellitus, delivery of small and large for gestational age neonates, and
both elective and emergency cesarean section, but not spontaneous preterm
delivery. The risk for each pregnancy complication increased exponentially with
BMI, except for delivery of small for gestational age neonates which decreased
with BMI. CONCLUSIONS: Maternal BMI at 11-13 weeks can be combined with other
maternal characteristics and obstetric history to estimate patient-specific risks
for many pregnancy complications.
PMID- 22067259
TI - Characterization of a novel oral glucocorticoid system and its possible role in
disease.
AB - Synthetic corticosteroids are used widely for the treatment of a variety of
diseases of the mouth. However, little is known as to whether the oral mucosa is
able to modulate the local concentration of active corticosteroids or to produce
steroids de novo. This has important clinical implications, because tissue
specific regulation of glucocorticoids is a key determinant of the clinical
efficacy of these drugs. In the present study, we show that oral fibroblasts and
keratinocytes expressed ACTH receptor (MC2R), glucocorticoid receptor (GR), and
11beta-hydroxysteroid dehydrogenases (11beta-HSDs). Unlike keratinocytes,
fibroblasts lacked 11beta-HSD2 and could not effectively deactivate exogenously
administered cortisol. However, both cell types were able not only to activate
cortisone into the active form cortisol, but also to synthesize cortisol de novo
following stimulation with ACTH. 11beta-HSD2, the enzyme controlling cortisol
deactivation, exhibited different patterns of expression in normal (squamous
epithelium and salivary glands) and diseased oral mucosa (squamous cell carcinoma
and mucoepidermoid carcinoma). Blocking of endogenous cortisol catabolism in
keratinocytes with the 11beta-HSD2 inhibitor 18beta-glycyrrhetinic acid mimicked
the effect of exogenous administration of hydrocortisone and partially prevented
the detrimental effects induced by pemphigus vulgaris sera. Analysis of the data
demonstrates that a novel, non-adrenal glucocorticoid system is present in the
oral mucosa that may play an important role in disease.
PMID- 22067260
TI - Chronic administration of tibolone modulates anxiety-like behavior and enhances
cognitive performance in ovariectomized rats.
AB - Hormone replacement therapy (HRT) may be prescribed to prevent the symptoms of
menopause. This therapy may include estrogenic and/or progestin components and
may increase the incidence of endometrial and breast cancers. Tibolone (TIB),
which is also made up of estrogen and progestin components, is often used to
reduce the impact of HRT. However, the effect of TIB on the processes of
learning, memory and anxiety has yet to be fully elucidated. The aim of this
study was to evaluate the long-term effect on learning, memory processes and
anxiety in ovariectomized rats caused by different doses of TIB (0 mg/kg, 0.01
mg/kg, 0.1 mg/kg 1.0 mg/kg and 10 mg/kg, administered daily via the oral route
for 18 weeks). Two behavioral animal models, the autoshaping and T maze models
were employed. The concentrations of acetyl choline transferase (ChAT) and
tryptophan hydroxylase (TPH) in the hippocampus were directly measured by Western
blot. No significant changes were observed in the autoshaping model and
spontaneous activity test. In the T maze, increased latency was observed with TIB
doses of 1 and 10 mg/kg compared to the vehicle. We observed that the ChAT
content decreased with increasing doses of TIB, whereas TPH content increased
with doses of 1 and 10 mg/kg of TIB. These data indicate that high doses of TIB
improved emotional learning, which may be related to the modulation of the
cholinergic and serotonergic systems by TIB.
PMID- 22067261
TI - Photoperiodicity and increasing salinity as environmental cues for reproduction
in desert adapted rodents.
AB - Understanding the ways environmental signals, regulate reproduction and
reproductive behavior of desert adapted rodents is a major gap in our knowledge.
In this study, we assessed the roles of photoperiod and diet salinity, as signals
for reproduction. We challenged desert adapted common spiny mice, Acomys
cahirinus, males and females with osmotic stress, by gradually increasing
salinity in their water source - from 0.9% to 5% NaCl under short and long days
(SD and LD, respectively). Photoperiodicity affected testosterone levels, as
under LD-acclimation, levels were significantly (p<0.05) higher than under SD
acclimation. Salinity treatment (ST) significantly reduced SD-acclimated male
body mass (W(b)) and testis mass (p<0.005; normalized to W(b)). ST-LD-females
significantly (p<0.005) decreased progesterone levels and the numbers of estrous
cycles. A reduction in white adipose tissue (WAT) to an undetectable level was
noted in ST-mice of both sexes under both photoperiod regimes. Receptors for
vasopressin (VP) and aldosterone were revealed on testes of all male groups and
on WAT in control groups. Our results suggest that photoperiod serves as an
initial signal while water availability, expressed by increased salinity in the
water source, is an ultimate cue for regulation of reproduction, in both sexes of
desert-adapted A. cahirinus. We assume that environmental changes also affect
behavior, as water seeking behavior by selecting food items, or locomotor
activity may change in extreme environment, and thus indirectly affect
reproduction and reproductive behavior. The existence of VP and aldosterone
receptors in the gonads and WAT suggests the involvement of osmoregulatory
hormones in reproductive control of desert adapted rodents.
PMID- 22067262
TI - Evaluation of the humoral immune response in adult dairy cattle three years after
vaccination with a bluetongue serotype 8 inactivated vaccine.
AB - Despite the widespread use of bluetongue serotype 8 (BTV-8) inactivated vaccines
across Europe from 2008 to 2011, two very practical questions remain unanswered
about the length of persistence of group-specific antibodies in milk and serum
post-vaccination and the duration of protection beyond one year post-vaccination.
This study has firstly revealed that group-specific antibodies persist at high
levels in milk and serum in the majority of cattle for at least 3 years post
vaccination, thus removing the option of using these animals in ELISA-based
surveillance programmes. Secondly neutralising antibodies have been shown to
persist in the majority of cattle for at least 3 years post-vaccination,
indicating that the cattle are likely to be protected for this time period. This
extended duration of protection may have contributed towards the rapid and
efficient eradication of BTV-8 from many European countries, despite reducing
levels of vaccine coverage.
PMID- 22067263
TI - Vaccination with NS1-truncated H3N2 swine influenza virus primes T cells and
confers cross-protection against an H1N1 heterosubtypic challenge in pigs.
AB - The diversity of contemporary swine influenza virus (SIV) strains impedes
effective immunization of swine herds. Mucosally delivered, attenuated virus
vaccines are one approach with potential to provide broad cross-protection.
Reverse genetics-derived H3N2 SIV virus with truncated NS1 (NS1Delta126 TX98) is
attenuated and immunogenic when delivered intranasally in young pigs. We analyzed
T-cell priming and cross-protective efficacy in weanling piglets after intranasal
inoculation with NS1Delta126 TX98 versus wild type TX98. In vivo replication of
the truncation mutant was minimal compared to the wild type virus. T-cell
responses were greater in magnitude in pigs infected with the wild type virus in
in vitro restimulation assays. According to the expression of activation marker
CD25, peripheral T cell recall responses in NS1Delta126 TX98 infected pigs were
minimal. However, intracellular IFN-gamma data indicate that the attenuated virus
induced virus-specific CD4(+)CD8(-), CD4(+)CD8(+), CD4(-)CD8(+), and gammadelta T
cells within 28 days. The IFN-gamma response appeared to contract, as responses
were reduced at later time points prior to challenge. CD4(+)CD8(+) cells isolated
5 days after heterosubtypic H1N1 challenge (day 70 overall) showed an elevated
CD25 response to virus restimulation. Pigs previously infected with wild type
TX98 were protected from replication of the H1N1 challenge virus. Vaccination
with NS1Delta126 TX98 was associated with significantly lower levels of Th1
associated cytokines in infected lungs but provided partial cross-protection
against the H1N1 challenge. These results demonstrate that NS1Delta SIV vaccines
can elicit cell-mediated cross-protection against antigenically divergent
strains.
PMID- 22067264
TI - Lung function reduction and chronic respiratory symptoms among workers in the
cement industry: a follow up study.
AB - BACKGROUND: There are only a few follow-up studies of respiratory function among
cement workers. The main aims of this study were to measure total dust exposure,
to examine chronic respiratory symptoms and changes in lung function among cement
factory workers and controls that were followed for one year. METHODS: The study
was conducted in two cement factories in Ethiopia. Totally, 262 personal
measurements of total dust among 105 randomly selected workers were performed.
Samples of total dust were collected on 37-mm cellulose acetate filters placed in
closed faced Millipore-cassettes. Totally 127 workers; 56 cleaners, 44 cement
production workers and 27 controls were randomly selected from two factories and
examined for lung function and interviewed for chronic respiratory symptoms in
2009. Of these, 91 workers; 38 cement cleaners (mean age 32 years), 33 cement
production workers (36 years) and 20 controls (38 years) were examined with the
same measurements in 2010. RESULTS: Total geometric mean dust exposure among
cleaners was 432 mg/m(3). The fraction of samples exceeding the Threshold Limit
Value (TLV) of 10 mg/m(3) for the cleaners varied from 84-97% in the four
departments. The levels were considerably lower among the production workers (GM
= 8.2 mg/m(3)), but still 48% exceeded 10 mg/m(3).The prevalence of all the
chronic respiratory symptoms among both cleaners and production workers was
significantly higher than among the controls.Forced Expiratory Volume in one
second (FEV(1)) and FEV(1)/Forced Vital Capacity (FEV(1)/FVC) were significantly
reduced from 2009 to 2010 among the cleaners (p < 0.002 and p < 0.004,
respectively) and production workers (p < 0.05 and p < 0.02, respectively), but
not among the controls. CONCLUSIONS: The high prevalence of chronic respiratory
symptoms and reduction in lung function is probably associated with high cement
dust exposure. Preventive measures are needed to reduce the dust exposure.
PMID- 22067265
TI - The feasibility of endocardial propagation mapping using magnetic resonance
guidance in a Swine model, and comparison with standard electroanatomic mapping.
AB - The introduction of electroanatomic mapping (EAM) has improved the understanding
of the substrate of ventricular tachycardia. EAM systems are used to delineate
scar regions responsible for the arrhythmia by creating voltage or activation
time maps. Previous studies have identified the benefits of creating MR-guided
voltage maps; however, in some cases voltage maps may not identify regions of
slow propagation that can cause the reentrant tachycardia. In this study, we
obtained local activation time maps and analyzed propagation properties by
performing MR-guided mapping of the porcine left ventricle while pacing from the
right ventricle. Anatomical and myocardial late gadolinium enhancement images
were used for catheter navigation and identification of scar regions. Our MR
guided mapping procedure showed qualitative correspondence to conventional
clinical EAM systems in healthy pigs and demonstrated altered propagation in
endocardial infarct models.
PMID- 22067266
TI - A Bayesian framework for automated cardiovascular risk scoring on standard lumbar
radiographs.
AB - We present a fully automated framework for scoring a patient's risk of
cardiovascular disease (CVD) and mortality from a standard lateral radiograph of
the lumbar aorta. The framework segments abdominal aortic calcifications for
computing a CVD risk score and performs a survival analysis to validate the
score. Since the aorta is invisible on X-ray images, its position is reasoned
from 1) the shape and location of the lumbar vertebrae and 2) the location,
shape, and orientation of potential calcifications. The proposed framework
follows the principle of Bayesian inference, which has several advantages in the
complex task of segmenting aortic calcifications. Bayesian modeling allows us to
compute CVD risk scores conditioned on the seen calcifications by formulating
distributions, dependencies, and constraints on the unknown parameters. We
evaluate the framework on two datasets consisting of 351 and 462 standard lumbar
radiographs, respectively. Promising results indicate that the framework has
potential applications in diagnosis, treatment planning, and the study of drug
effects related to CVD.
PMID- 22067267
TI - Dynamics of a contrast agent microbubble attached to an elastic wall.
AB - A modified Rayleigh-Plesset equation is derived to model the oscillation of a
contrast agent microbubble attached to an elastic wall. The obtained equation
shows that contact with the wall affects the bubble oscillation as if the bubble
oscillated in a liquid with a changed (effective) density. Depending on the wall
properties, the effective density can be either higher or lower than the real
liquid density and hence the natural frequency of the attached bubble can be
either lower or higher than the natural frequency of the same bubble in an
unbounded liquid. Numerical simulations are made for a contrast bubble with shell
properties similar to those used in the Marmottant shell model. The cases of a
rigid wall and a plastic wall are compared. The properties of the plastic wall
are set to correspond to walls of OptiCell chambers commonly used in experiments.
It is shown that contact with the rigid wall decreases the natural frequency of
the bubble as compared to its natural frequency in an unbounded liquid, whereas
contact with the OptiCell wall increases the natural frequency of the bubble.
Bubble resonance curves for three cases are compared: the bubble in an unbounded
liquid; the bubble at a distance from an OptiCell wall; the bubble in contact
with an OptiCell wall. Results obtained for a 2- MUm -radius bubble insonified
with a 10-cycle, 40 kPa, 2.1 MHz Gaussian pulse show that contact with the
OptiCell wall leads to the following effects. The amplitude of the radial
oscillation of the attached bubble is decreased by about 70% as compared to that
of the same bubble in an unbounded liquid. The fundamental component in the
spectrum of the scattered pressure of the attached bubble is decreased by 12 dB.
A strong second harmonic appears in the spectrum of the scattered pressure of the
attached bubble; its magnitude is about 11.5 dB higher than the level
corresponding to the case of an unbounded liquid.
PMID- 22067268
TI - Usefulness of repeated recombinant human thyrotropin-stimulated thyroglobulin
test in the post-surgical follow-up of very low-risk patients with differentiated
thyroid carcinoma.
AB - BACKGROUND: The European Thyroid Association (ETA) and the American Thyroid
Association (ATA) guidelines identify subgroups of patients affected by thyroid
carcinoma in whom, due to a low risk of recurrence, radioiodine ablation is not
indicated. These patients are referred to as "very low-risk" according to the ETA
consensus and "low-risk" patients according to the ATA guidelines. The
recommended post-surgical follow-up of these patients is based upon periodical
measurements of serum thyroglobulin (Tg) on levothyroxine therapy and neck
ultrasound (US). AIM: To evaluate the usefulness of recombinant human (rh)-TSH Tg
test and its repetition 2-3 yr afterwards in very low-risk patients. MATERIALS
AND METHODS: We consecutively enrolled 32 patients with undetectable anti-Tg
antibodies. Basal serum Tg levels was undetectable in all patients. RESULTS:
Following rhTSH serum Tg remained undetectable in 23 (71.9%) patients (UP) and
was >1.0 ng/ml in 9 (DP). US and whole body scan, revealed lymph node metastasis
in 4/9 DP patients. A second rhTSH stimulation test (36.9+/-3.5 months later) was
performed in all UP and in 5 DP patients without proven recurrences. All the UP
and 4/5 formerly DP patients showed undetectable Tg stimulation. CONCLUSIONS: Our
results suggest that rhTSH Tg test may be helpful in very low-risk patients,
given its ability to differentiate those who may be considered "free of disease"
from those who require further investigation and treatment. Repeated rhTSH Tg
tests may be indicated only in patients with detectable serum Tg at prior
stimulation testing.
PMID- 22067269
TI - Joint impact of donor and recipient parameters on the outcome of liver
transplantation in Germany.
AB - BACKGROUND: The shortage of donor organs in Germany has led to the use of organs
from donors with extended donor criteria (EDC). EDC have been defined on the
basis of expert opinions, but their clinical relevance is controversial. This may
cause loss of organs otherwise available for transplantation. We evaluated the
impact of donor and recipient factors in liver transplants on patient and graft
survival in a nationwide multicenter analysis, with special focus on EDC and
donor risk index. METHODS: A database was created from data on livers donated and
transplanted in Germany between 2006 and 2008 as provided by Deutsche Stiftung
Organ transplantation and BQS Institute. Cox regression (significance level 5%,
risk ratio [95% confidence interval]) was used for calculating the impact on
patient survival (n=2095) and on graft survival (n=2175). RESULTS: Patient and
graft survival were significantly affected only by donor age (1.012 and
1.011/year), recipient age (1.019 and 1.014/year), creatinine (1.248 and
1.205/mg/dL), bilirubin (1.022 and 1.023/mg/dL), and high urgency status (1.783
and 1.809). Inferior organ quality resulted in lower graft survival (1.243) and
donor history of smoking in lower patient survival (1.249). CONCLUSION: Multiple
Cox regression revealed no significant impact of EDC or donor risk index on
patient and graft survival except for donor age after donor selection at
recovery. Among recipient variables, only age, creatinine and bilirubin, and high
urgency status were associated with poorer outcome.
PMID- 22067270
TI - Impact of early conversion from tacrolimus to sirolimus on chronic allograft
changes in kidney recipients on rapid steroid withdrawal.
AB - BACKGROUND: Calcineurin-inhibitor therapy is a contributing factor to the origin
of interstitial fibrosis and tubular atrophy (IFTA). METHODS: We conducted a
prospective randomized trial of conversion of tacrolimus to sirolimus at 1-month
posttransplant in kidney transplant recipients on rapid steroid withdrawal. We
compared the chronic changes (IFTA and sum of Banff chronic scores--Total Score)
on protocol biopsies at 1 month, 1 year, and 2 years in all randomized patients.
We compared the outcomes between treatment groups and analyzed the impact of
previous rejection on the chronic changes. RESULTS: We randomized 122 patients,
62 to sirolimus and 60 to tacrolimus. The 1-year biopsy was performed in 54
patients (90%) of the tacrolimus group and 56 patients (90%) of the sirolimus
group. The proportion of biopsies with IFTA more than or equal to 2 and the Total
Score more than 2 increased over the 2 years but were not different between the
study groups at any time point. On the 1-year biopsy, there was more IFTA, and
the fraction with Total Score more than 2 was higher in the tacrolimus group with
previous rejection. In the cohort without rejection, there was a significant
progression of the IFTA and Total Score between 1 and 2 years in both the
sirolimus and tacrolimus groups. CONCLUSION: Conversion from tacrolimus to
sirolimus at 1-month posttransplant in kidney transplant recipients on rapid
steroid withdrawal does not decrease the progression of chronic changes on
protocol biopsies during the first 2 years even in those patients without
previous acute rejection.
PMID- 22067271
TI - QT dispersion and cardiac involvement in children with Familial Mediterranean
fever.
AB - Familial Mediterranean fever is a hereditary disease characterised by recurrent
and self-terminated attacks of fever and polyserositis. An earlier study found
that adult patients of Familial Mediterranean fever had an abnormally longer QT
dispersion and corrected QT dispersion, markers for ventricular
arrhythmogenicity. QT dispersion is a simple non-invasive arrhythmogenic marker
that can be used to assess homogeneity of cardiac repolarisation; however, it has
not been studied in children with Familial Mediterranean fever before. The aim of
this study was to assess QT dispersion and corrected QT dispersion, and their
relationship with systolic and diastolic function of the left ventricle in a
group of children with Familial Mediterranean fever. We performed
electrocardiography and Doppler echocardiography on patients and controls.
Maximum QT, minimum QT, QT dispersion, corrected QT, maximum corrected QT,
minimum corrected QT, and corrected QT dispersion intervals were measured from
standard 12-lead electrocardiography. No statistically significant differences
were found between the groups in QT dispersion, corrected QT dispersion, and
systolic-diastolic function of the left ventricle parameters. During the 12
months of follow-up, no ventricular arrhythmias were documented in either group.
PMID- 22067273
TI - An autoimmune disease prevented by anti-retroviral drugs.
AB - BACKGROUND: Both Aicardi-Goutieres syndrome, a Mendelian mimic of congenital
infection, and the autoimmune disease systemic lupus erythematosus can result
from mutations in the gene encoding the enzyme Trex1. In mice, the absence of
Trex1 causes severe myocarditis. The enzyme is thought to degrade endogenous
retroelements, thus linking them to autoimmune disease. However, inhibition of
reverse transcription by the inhibitor zidovudine (AZT) did not ameliorate the
disease, weakening the link to retroelements. FINDINGS: Here, we show that two
other FDA-approved drugs that inhibit reverse transcriptase can ameliorate the
myocarditis in Trex1-null mouse. CONCLUSIONS: The result suggests that
retroelements contribute to this hereditary form of autoimmunity, and that
treatment with retroelement inhibitors might ameliorate Aicardi-Goutieres
syndrome in humans.
PMID- 22067275
TI - Adaptive timing of detachment in a tick parasitizing hole-nesting birds.
AB - In non-permanent parasites with low intrinsic mobility such as ticks, dispersal
is highly dependent on host movements as well as the timing of separation from
the hosts. Optimal detachment behaviour is all the more crucial in nidicolous
ticks as the risk of detaching in non-suitable habitat is high. In this study, we
experimentally investigated the detachment behaviour of Ixodes arboricola, a
nidicolous tick that primarily infests birds roosting in tree-holes. We infested
great tits with I. arboricola larvae or nymphs, and submitted the birds to 2
experimental treatments, a control treatment in which birds had normal access to
nest boxes and an experimental treatment, in which the birds were prevented
access to their nest boxes for varying lengths of time. In the control group,
most ticks detached within 5 days, whereas in the experimental group, ticks
remained on the bird for as long as the bird was prevented access (up to 14
days). This prolonged attachment caused a decrease in survival and engorgement
weight in nymphs, but not in larvae. The capacity of I. arboricola larvae to
extend the duration of attachment in non-suitable environments with no apparent
costs, may be an adaptation to unpredictable use of cavities by roosting hosts
during winter, and at the same time may facilitate dispersal of the larval
instars.
PMID- 22067274
TI - Global analysis of gene expression in NGF-deprived sympathetic neurons identifies
molecular pathways associated with cell death.
AB - BACKGROUND: Developing sympathetic neurons depend on nerve growth factor (NGF)
for survival and die by apoptosis after NGF withdrawal. This process requires de
novo gene expression but only a small number of genes induced by NGF deprivation
have been identified so far, either by a candidate gene approach or in mRNA
differential display experiments. This is partly because it is difficult to
obtain large numbers of sympathetic neurons for in vitro studies. Here, we
describe for the first time, how advances in gene microarray technology have
allowed us to investigate the expression of all known genes in sympathetic
neurons cultured in the presence and absence of NGF. RESULTS: We have used
Affymetrix Exon arrays to study the pattern of expression of all known genes in
NGF-deprived sympathetic neurons. We identified 415 up- and 813 down-regulated
genes, including most of the genes previously known to be regulated in this
system. NGF withdrawal activates the mixed lineage kinase (MLK)-c-Jun N-terminal
kinase (JNK)-c-Jun pathway which is required for NGF deprivation-induced death.
By including a mixed lineage kinase (MLK) inhibitor, CEP-11004, in our
experimental design we identified which of the genes induced after NGF withdrawal
are potential targets of the MLK-JNK-c-Jun pathway. A detailed Gene Ontology and
functional enrichment analysis also identified genetic pathways that are highly
enriched and overrepresented amongst the genes expressed after NGF withdrawal.
Five genes not previously studied in sympathetic neurons - trib3, ddit3, txnip,
ndrg1 and mxi1 - were validated by real time-PCR. The proteins encoded by these
genes also increased in level after NGF withdrawal and this increase was
prevented by CEP-11004, suggesting that these genes are potential targets of the
MLK-JNK-c-Jun pathway. CONCLUSIONS: The sympathetic neuron model is one of the
best studied models of neuronal apoptosis. Overall, our microarray data gives a
comprehensive overview of, and provides new information about, signalling
pathways and transcription factors that are regulated by NGF withdrawal.
PMID- 22067276
TI - Considerations on the measurement of follicular squamous cell carcinoma.
PMID- 22067277
TI - Effects of administration of hormone therapy or raloxifene on the immune system
and on biochemical markers of bone remodeling.
AB - OBJECTIVE: Over the last few years, conclusive evidence of the involvement of the
immune system in the regulation of bone metabolism has been identified.
Consequently, one question that should be formulated concerns the possible
effects of antiresorptive therapies on the immune system. Therefore, the purpose
of the present work was to evaluate both the functionality of the immune system
and bone turnover in women receiving antiresorptive therapies, such as hormone
therapy (HT; n = 33) and raloxifene (RLX; n = 66), acting through estrogen
receptors. METHODS: To that end, this study analyzed bone turnover markers in a
population of postmenopausal women before and after beginning therapy and
compared these with data of women not treated (NT; n = 102). In a subgroup of
participants (NT = 33, RLX = 24, and HT = 26), we analyzed the effects of
treatments on immune system parameters such as serum levels of interleukin (IL)
6, tumor necrosis factor alpha, and IL-1beta; lymphocyte subpopulations; cell
proliferation by peripheral blood mononuclear cells (PBMCs); in vitro production
of IL-1beta by PBMCs; and the expression of receptor activator of nuclear factor
kappaB ligand, transforming growth factor beta, and IL-4 genes by PBMCs. RESULTS:
The results showed that bone resorption was inhibited strongly in women in the
RLX and HT groups when compared with women in the NT group. Interestingly, the
administration of RLX inhibited the production of the Wnt/beta-catenin signaling
pathway inhibitor Dickkopf Homolog-1 (P < 0.05) and tended to increase the levels
of the osteoclastogenesis inhibitor osteoprotegerin at month 6 (P = 0.059). With
regard to the immune system, the different treatments did not markedly perturb
the parameters analyzed, with the exception of the increase in serum IL-1beta
detected in the HT group at month 6 (P < 0.05). CONCLUSIONS: The main conclusions
of the present work were that HT or RLX do not disturb the immune system and that
both treatments have a similar antiresorptive power.
PMID- 22067278
TI - Optimal waist circumference cutoff value for defining the metabolic syndrome in
postmenopausal Latin American women.
AB - OBJECTIVE: The aim of this study was to determine an optimal waist circumference
(WC) cutoff value for defining the metabolic syndrome (METS) in postmenopausal
Latin American women. METHODS: A total of 3,965 postmenopausal women (age, 45-64
y), with self-reported good health, attending routine consultation at 12
gynecological centers in major Latin American cities were included in this cross
sectional study. Modified guidelines of the US National Cholesterol Education
Program, Adult Treatment Panel III were used to assess METS risk factors.
Receiver operator characteristic curve analysis was used to obtain an optimal WC
cutoff value best predicting at least two other METS components. Optimal cutoff
values were calculated by plotting the true-positive rate (sensitivity) against
the false-positive rate (1 - specificity). In addition, total accuracy, distance
to receiver operator characteristic curve, and the Youden Index were calculated.
RESULTS: Of the participants, 51.6% (n = 2,047) were identified as having two or
more nonadipose METS risk components (excluding a positive WC component). These
women were older, had more years since menopause onset, used hormone therapy less
frequently, and had higher body mass indices than women with fewer metabolic risk
factors. The optimal WC cutoff value best predicting at least two other METS
components was determined to be 88 cm, equal to that defined by the Adult
Treatment Panel III. CONCLUSIONS: A WC cutoff value of 88 cm is optimal for
defining METS in this postmenopausal Latin American series.
PMID- 22067279
TI - The effect of red blood cell transfusion on tissue oxygenation and
microcirculation in severe septic patients.
AB - BACKGROUND: Microcirculation plays a vital role in the development of multiple
organ failure in severe sepsis. The effects of red blood cell (RBC) transfusions
on these tissue oxygenation and microcirculation variables in early severe sepsis
are not well defined. METHODS: This is a prospective, observational study of
patients with severe sepsis requiring RBC transfusions of one to two units of non
leukoreduced RBCs for a hemoglobin < 7.0, or for a hemoglobin between 7.0 and 9.0
with lactic acidosis or central venous oxygen saturation < 70%. This study took
place in a 54-bed, medical-surgical intensive care unit of a university
affiliated hospital. Thenar tissue oxygen saturation was measured by using a
tissue spectrometer on 21 patients, and a vaso-occlusive test was performed
before and 1 hour after transfusion. The sublingual microcirculation was assessed
with a Sidestream Dark Field device concomitantly on 11 of them. RESULTS: RBC
transfusion resulted in increase in hemoglobin (7.23 (+/- 0.87) to 8.75 (+/-
1.06) g/dl; p < 0.001). RBC transfusion did not globally affect near-infrared
spectrometry (NIRS)-derived variables. However, percent change in muscle oxygen
consumption was negatively correlated with baseline (r = - 0.679, p = 0.001).
There was no statistically significant correlation between percent change in
vascular reactivity and baseline (p = 0.275). There was a positive correlation
between percent change in oxygen consumption and percent change in vascular
reactivity (r = 0.442, p = 0.045). In the 11 patients, RBC transfusion did not
globally affect NIRS-derived variables or SDF-derived variables. There was no
statistically significant correlation between percent change in small vessel
perfusion and baseline perfusion (r = -0.474, p = 0.141), between percent change
in small vessel flow and baseline flow (r = -0.418, p = 0.201), or between
percent change in small vessel perfusion and percent change in small vessel flow
(r = 0.435, p = 0.182). CONCLUSIONS: In a small sample population, muscle tissue
oxygen consumption, microvascular reactivity and sublingual microcirculation were
globally unaltered by RBC transfusion in severe septic patients. However, muscle
oxygen consumption improved in patients with low baseline and deteriorated in
patients with preserved baseline. Future research with larger samples is needed
to further examine the association between RBC transfusion and outcomes of
patients resuscitated early in severe sepsis, with an emphasis on elucidating the
potential contribution of microvascular factors.
PMID- 22067280
TI - External exercise information provides no immediate additional performance
benefit to untrained individuals in time trial cycling.
AB - OBJECTIVE: To determine the importance of the provision of external exercise
information to the setting of the pacing strategy, in subjects unfamiliar with a
cycling task. DESIGN: Twenty-two healthy, untrained cyclists (VO(2max), 50 +/- 9
mL-(1).kg-(1).min-(1)) were randomly assigned to a control (CON) group or an
experimental (EXP) group and two successive 4 km time trials (TT) were performed,
separated by a 17 min recovery. The CON group received distance knowledge and
distance feedback; the EXP group received neither, but knew that each TT was to
be of the same distance. RESULTS: No significant difference in completion time
(p>0.05) was observed between the groups for either time to complete TT one (TT1)
(CON=443 +/- 33 s versus EXP=471 +/- 63 s) or time to complete TT two (time trial
2) (CON=461 +/- 37 s versus EXP=501 +/- 94 s). No significant difference in the
final RPE was observed between groups. However, a significant interaction for RPE
(rating of perceived exertion)*TT in the CON was observed (F7,70=5.32, p<0.05),
with significantly higher RPE values in the final kilometre of TT2 (p<0.05).
CONCLUSION: The lack of any performance improvement in either group, despite the
differences in exercise information received, indicates both a reliance on the
afferent feedback for setting a pacing strategy and slow learning effect from
practice in subjects unfamiliar with the task. The modification in RPE profile
observed in the CON, despite no performance improvement, suggests exercise
perception based changes may pre-empt work rate based changes and thus not
immediately translate to improved performance.
PMID- 22067281
TI - More research is needed into the effects on injury of substitute and interchange
rules in team sports.
PMID- 22067282
TI - European consensus on epidemiological studies of injuries in the thoroughbred
horse racing industry.
PMID- 22067283
TI - Events leading to anterior cruciate ligament injury in World Cup Alpine Skiing: a
systematic video analysis of 20 cases.
AB - BACKGROUND: The authors have recently identified three main mechanisms for
anterior cruciate ligament (ACL) injuries among World Cup (WC) alpine skiers,
termed as "the slip-catch", "the landing back-weighted" and "the dynamic
snowplow". However, for a more complete understanding of how these injuries
occur, a description of the events leading to the injury situations is also
needed. OBJECTIVE: To describe the skiing situation leading to ACL injuries in WC
alpine skiing. METHODS: Twenty cases of ACL injuries reported through the
International Ski Federation Injury Surveillance System (FIS ISS)for three
consecutive WC seasons (2006-2009) were obtained on video. Ten experts (9 WC
coaches, 1 former WC athlete) performed visual analyses of each case to describe
in their own words, factors they thought may have contributed to the injury
situation related to different predefined categories: (1) skier technique, (2)
skier strategy, (3) equipment, (4) speed and course setting, (5) visibility, snow
and piste conditions and (6) any other factors. RESULTS: Factors related to the
three categories, namely skier technique, skier strategy, and visibility, snow
and piste conditions, were assumed to be the main contributors to the injury
situations. Skier errors, technical mistakes and inappropriate tactical choices,
were the dominant factors. In addition, bumpy conditions, aggressive snow,
reduced visibility and course difficulties were assumed to contribute.
CONCLUSION: Based on this systematic video analysis of 20 injury situations,
factors related to skier technique, skier strategy and specific race conditions
were identified as the main contributors leading to injury situations.
PMID- 22067285
TI - Telemedical home-monitoring of diabetic foot disease using photographic foot
imaging--a feasibility study.
AB - We assessed the feasibility of using a photographic foot imaging device (PFID) as
a tele-monitoring tool in the home environment of patients with diabetes who were
at high risk of ulceration. Images of the plantar foot were taken three times a
week over a period of four months in the home of 22 high-risk patients. The
images were remotely assessed by a diabetic foot specialist. At the end of the
study, 12% of images were missing, mainly due to modem or server failures (66%),
or non-adherence (11%). All three referrals for diagnosed ulcers and 31 of 32
referrals for abundant callus resulted in treatment. Health-related quality of
life (EQ-5D visual analogue scale), increased from 7.5 at baseline to 7.9 at end
of follow-up, but not significantly. Mean scores on a visual analogue scale for
different usability domains (independence, ease of use, technical aspects and
value) ranged from seven to nine. The study demonstrates the feasibility of using
the PFID for the early diagnosis of foot disease, which may prevent complications
in high-risk patients with diabetes.
PMID- 22067284
TI - Genome protective effect of metformin as revealed by reduced level of
constitutive DNA damage signaling.
AB - We have shown before that constitutive DNA damage signaling represented by H2AX
Ser139 phosphorylation and ATM activation in untreated normal and tumor cells is
a reporter of the persistent DNA replication stress induced by endogenous
oxidants, the by-products of aerobic respiration. In the present study we
observed that exposure of normal mitogenically stimulated lymphocytes or tumor
cell lines A549, TK6 and A431 to metformin, the specific activator of 5'AMP
activated protein kinase (AMPK) and an inhibitor of mTOR signaling, resulted in
attenuation of constitutive H2AX phosphorylation and ATM activation. The effects
were metformin-concentration dependent and seen even at the pharmacologically
pertinent 0.1 mM drug concentration. The data also show that intracellular levels
of endogenous reactive oxidants able to oxidize 2',7'-dihydro-dichlorofluorescein
diacetate was reduced in metformin-treated cells. Since persistent constitutive
DNA replication stress, particularly when paralleled by mTOR signaling, is
considered to be the major cause of aging, the present findings are consistent
with the notion that metformin, by reducing both DNA replication stress and mTOR
signaling, slows down aging and/or cell senescence processes.
PMID- 22067286
TI - Development of a remote monitoring satisfaction survey and its use in a clinical
trial with lung transplant recipients.
AB - We developed an instrument to measure the satisfaction of lung transplant
recipients with home monitoring. The survey comprised 15 items, each scored on a
five-point Likert-type scale (from strongly disagree to strongly agree). Three
additional free-text items enabled subjects to provide comments. The survey had a
scoring range of 15-75. In a test group of 43 patients, the internal consistency
(Cronbach's alpha) was 0.93 overall for all questions. The intra-class
correlation for scores from the same 27 patients approximately 2.5 months apart
was 0.77 for the total score. The survey was used to evaluate subject
satisfaction in a randomized controlled trial of a computerized algorithm for
triaging lung transplant recipients. Surveys were mailed to 50 study subjects and
were returned by 32 (64% return rate). Ninety percent of respondents were
satisfied with the home monitoring programme and would recommend it to other
patients.
PMID- 22067287
TI - Remote CT reading using an ultramobile PC and web-based remote viewing over a
wireless network.
AB - We developed a new type of mobile teleradiology system using an ultramobile PC
(UMPC) for web-based remote viewing over a wireless network. We assessed the
diagnostic performance of this system for abdominal CT interpretation.
Performance was compared with an emergency department clinical monitor using a
DICOM viewer. A total of 100 abdominal CT examinations were presented to four
observers. There were 56 examinations showing appendicitis and 44 which were
normal. The observers viewed the images using a UMPC display and an LCD monitor
and rated each examination on a five-point scale. Receiver operating
characteristics (ROC) analysis was used to test for differences. The sensitivity
and specificities of all observers were similarly high. The average area under
the ROC curve for readings performed on the UMPC and the LCD monitor was 0.959
and 0.976, respectively. There were no significant differences between the two
display systems for interpreting abdominal CTs. The web-based mobile
teleradiology system appears to be feasible for reading abdominal CTs for
diagnosing appendicitis and may be valuable in emergency teleconsultation.
PMID- 22067288
TI - Sarcoglycans in the normal and pathological breast tissue of humans: an
immunohistochemical and molecular study.
AB - The sarcoglycan complex, consisting of alpha-, beta-, gamma-, delta- and epsilon
sarcoglycans, is a multimember transmembrane system providing a mechanosignaling
connection from the cytoskeleton to the extracellular matrix. Whereas the
expression of alpha- and gamma-sarcoglycan is restricted to striated muscle,
other sarcoglycans are widely expressed. Although many studies have investigated
sarcoglycans in all muscle types, insufficient data are available on the
distribution of the sarcoglycan complex in nonmuscle tissue. On this basis, we
used immunohistochemical and RT-PCR techniques to study preliminarily the
sarcoglycans in normal glandular breast tissue (which has never been studied in
the literature on these proteins) to verify the effective wider distribution of
this complex. Moreover, to understand the role of sarcoglycans, we also tested
samples obtained from patients affected by fibrocystic mastopathy and breast
fibroadenoma. Our data showed, for the first time, that all sarcoglycans are
always detectable in all normal samples both in epithelial and myoepithelial
cells; in pathological breast tissue, all sarcoglycans appeared severely reduced.
These data demonstrated that all sarcoglycans, not only beta-, delta-, and
epsilon-sarcoglycans, have a wider distribution, implying a new unknown role for
these proteins. Moreover, in breast diseases, sarcoglycans containing cadherin
domain homologs could provoke a loss of strong adhesion between epithelial cells,
permitting and facilitating the degeneration of these benign breast tumors into
malignant tumors. Consequently, sarcoglycans could play an important and
intriguing role in many breast diseases and in particular in tumor progression
from benign to malignant.
PMID- 22067289
TI - Medial prefrontal cortical activation during working memory differentiates
schizophrenia and bipolar psychotic patients: a pilot fMRI study Response to the
comment.
PMID- 22067290
TI - Learning and perceptual similarity among cuticular hydrocarbons in ants.
AB - Nestmate recognition in ants is based on perceived differences in a multi
component blend of hydrocarbons that are present on the insect cuticle. Although
supplementation experiments have shown that some classes of hydrocarbons, such as
methyl branched alkanes and alkenes, have a salient role in nestmate recognition,
there was basically no information available on how ants detect and perceive
these molecules. We used a new conditioning procedure to investigate whether
individual carpenter ants could associate a given hydrocarbon (linear or methyl
branched alkane) to sugar reward. We then studied perceptual similarity between a
hydrocarbon previously associated with sugar and a novel hydrocarbon. Ants learnt
all hydrocarbon-reward associations rapidly and with the same efficiency,
regardless of the structure of the molecules. Ants could discriminate among a
large number of pairs of hydrocarbons, but also generalised. Generalisation
depended both on the structure of the molecule and the animal's experience. For
linear alkanes, generalisation was observed when the novel molecule was smaller
than the conditioned one. Generalisation between pairs of methyl-alkanes was
high, while generalisation between hydrocarbons that differed in the presence or
absence of a methyl group was low, suggesting that chain length and functional
group might be coded independently by the ant olfactory system. Understanding
variations in perception of recognition cues in ants is necessary for the general
understanding of the mechanisms involved in social recognition processes based on
chemical cues.
PMID- 22067291
TI - Attraction of Drosophila melanogaster males to food-related and fly odours.
AB - The fruit fly Drosophila melanogaster has become a model for olfaction and odour
mediated behaviour. In the wild, Drosophila flies aggregate on decaying fruit
where they mate and oviposit and a strategy to find mates would be to locate
fruit which has already been colonized by other flies. We therefore developed a
bioassay to investigate attraction of males to food and fly odours. We showed
that upwind flights are initiated by food odours. At shorter distances, males are
attracted by volatiles produced by conspecifics. However, only odours produced by
copulating flies attract males. This suggests either a synergistic effect of both
male and female odours or changes in pheromone release during mating, that
indicate the presence of sexually receptive females. Our findings demonstrate the
essential role of food odours and pheromones for mate location in D.
melanogaster.
PMID- 22067292
TI - Improved GART neural network model for pattern classification and rule extraction
with application to power systems.
AB - Generalized adaptive resonance theory (GART) is a neural network model that is
capable of online learning and is effective in tackling pattern classification
tasks. In this paper, we propose an improved GART model (IGART), and demonstrate
its applicability to power systems. IGART enhances the dynamics of GART in
several aspects, which include the use of the Laplacian likelihood function, a
new vigilance function, a new match-tracking mechanism, an ordering algorithm for
determining the sequence of training data, and a rule extraction capability to
elicit if-then rules from the network. To assess the effectiveness of IGART and
to compare its performances with those from other methods, three datasets that
are related to power systems are employed. The experimental results demonstrate
the usefulness of IGART with the rule extraction capability in undertaking
classification problems in power systems engineering.
PMID- 22067293
TI - Hepatoprotective potential of polyphenol rich extract of Murraya koenigii L.: an
in vivo study.
AB - The present study investigates hepatoprotective effects of polyphenol rich
Murraya koenigii L. (MK) hydro-ethanolic leaf extract in CCl(4) treated
hepatotoxic rats. Plasma markers of hepatic damage, lipid peroxidation levels,
enzymatic, and non-enzymatic antioxidants in liver and histopathological changes
were investigated in control and treated rats. MK pretreated rats with different
doses (200, 400 and 600mg/kg body weight) showed significant decrement in
activity levels of alanine aminotransferase, aspartate aminotransferase, alkaline
phosphatase, total protein, and bilirubin. Also, MK treated rats recorded a dose
dependent increment in hepatic super oxide dismutase, catalase, reduced
glutathione and ascorbic acid and, a decrement in lipid peroxidation. Microscopic
evaluations of liver revealed CCl(4)-induced lesions and related toxic
manifestations that were minimal in liver of rats pretreated with MK extract.
These results demonstrate that hydro-ethanolic leaf extract of MK possesses
hepatoprotective potentials.
PMID- 22067294
TI - Evaluation of antioxidative, protective effect against H2O2 induced cytotoxicity,
and cytotoxic activities of three different Quercus species.
AB - Quercus species are used as antidiarrheic, for the treatment of hemorrhoid, oral
and anal mucosa inflammation. These tree species have been of interest to
researchers because of their usage in folk medicine, consumption as food,
beverage and especially usage of oak woods for construction in wine barrels. The
DPPH, SO and NO radical scavenging activities, protective effect against H2O2
induced cytotoxicity as well as their cytotoxic activity against Hep-2 human
larynx epidermoid carcinoma cell line of the MeOH and water extracts of the barks
of Quercus cerris var. cerris, Quercusmacranthera subsp. syspirensis and Quercus
aucheri were investigated for the first time. Total phenolic content of the
extracts was also evaluated by Folin-Ciocalteu method. Results demonstrated that
the extracts showed strong radical scavenging activity comparable to those of
standard compounds. Extracts also showed good protective effect against H2O2
induced cytotoxicity on human erythrocytes comparing to ascorbic acid. On the
other hand, while each extract showed dose dependent cytotoxic activity, MeOH
extract of Q.macranthera subsp. syspirensis showed the strongest cytotoxicity
against the tested cell line. Taken together, the results showed that Quercus
species may be a promising alternative to synthetic substances as natural
compound with high antioxidant and antiproliferative activities.
PMID- 22067295
TI - Reliability, construct validity and measurement potential of the ICF
comprehensive core set for osteoarthritis.
AB - BACKGROUND: This study aimed to investigate the reliability and construct
validity of the International Classification of Functioning, Disability and
Health (ICF) Comprehensive Core Set for osteoarthritis (OA) in order to test its
possible use as a measuring tool for functioning. METHODS: 100 patients with OA
(84 F, 16 M; mean age 63 yr) completed forms including demographic and clinical
information besides the Short Form (36) Health Survey (SF-36(r)) and the Western
Ontario and McMaster Universities Index of Osteoarthritis (WOMAC). The ICF
Comprehensive Core Set for OA was filled by health professionals. The internal
construct validities of "Body Functions-Body structures" (BF-BS), "Activity" (A),
"Participation" (P) and "Environmental Factors" (EF) domains were tested by Rasch
analysis and reliability by internal consistency and person separation index
(PSI). External construct validity was evaluated by correlating the Rasch
transformed scores with SF-36 and WOMAC. RESULTS: In each scale, some items
showing disordered thresholds were rescored, testlets were created to overcome
the problem of local dependency and items that did not fit to the Rasch model
were deleted. The internal construct validity of the four scales (BF-BS 16 items,
A 8 items, P 7 items, EF 13 items) were good [mean item fit (SD) 0.138 (0.921),
0.216 (1.237), 0.759 (0.986) and -0.079 (2.200); person item fit (SD) -0.147
(0.652), -0.241 (0.894), -0.310 (1.187) and -0.491 (1.173) respectively],
indicating a single underlying construct for each scale. The scales were free of
differential item functioning (DIF) for age, gender, years of education and
duration of disease. Reliabilities of the BF-BS, A, P, and EF scales were good
with Cronbach's alphas of 0.79, 0.86, 0.88, and 0.83 and PSI's of 0.76, 0.86,
0.87, and 0.71, respectively. Rasch scores of BF-BS, A, and P showed moderate
correlations with SF-36 and WOMAC scores where the EF had significant but weak
correlations only with SF36-Social Functioning and SF36-Mental Health.
CONCLUSION: Since the four different scales derived from BF-BS, A, P, and EF
components of the ICF core set for OA were shown to be valid and reliable through
a combination of Rasch analysis and classical psychometric methods, these might
be used as clinical assessment tools.
PMID- 22067296
TI - Is sparing the pronator quadratus muscle possible in volar plating of the distal
radius?
AB - We measured the length of the distal radius that can be exposed by mobilizing the
distal edge of pronator quadratus (PQ) without detaching its radial attachment.
Measurements were made in 20 cadaveric upper limbs from the distal margin of the
radius in line with the scaphoid and lunate fossae to the distal margin of the
PQ, before and after mobilization of the muscle from its distal attachment. The
mean distance from the distal edge of the PQ to the scaphoid fossa was 13.1 mm
and to the lunate fossa was 10.7 mm. This increased to a mean of 26.2 mm for the
scaphoid and a mean of 23.8 mm for the lunate fossa following mobilization of PQ.
Subperiosteal retrograde release of the PQ from its distal margin will allow for
the placement of a volar plate and insertion of locking peri-articular screws in
the great majority of volar locking plate systems on the market.
PMID- 22067297
TI - Kienbock's disease: percutaneous cement lunatoplasty--a cadaver study.
PMID- 22067298
TI - Outcome of nerve transfers for traumatic complete brachial plexus avulsion:
results of 28 patients by DASH and NRS questionnaires.
AB - The objective of this study was to evaluate outcomes of patients who suffered
complete brachial plexus avulsion before and after nerve transfers by assessing
upper extremity function and pain using the DASH and NRS questionnaires. Patients
who underwent nerve transfers improved their DASH and NRS scores compared with
before surgery. Although individually there was no correlation with improved
scores, the triple combination of rehabilitation exercises, electrical
stimulation therapy and neurotrophic drugs postoperatively correlated positively
with improved functional outcomes. This study suggested a positive effect of the
passage of time and nerve transfers in total brachial plexus avulsions from
patients' self assessments.
PMID- 22067299
TI - Scanning electron microscopy analysis of erythrocytes in thromboembolic ischemic
stroke.
AB - INTRODUCTION: Erythrocytes play an important role in hemostasis and disease
conditions. During ischemic stroke, erythrocytes undergo oxidative and
proteolytic changes resulting in a changed cellular rheology. METHODS: Blood
samples were obtained from controls and thromboembolic ischemic stroke patients
(within 48 h of stroke). The ultrastructure of erythrocytes was compared, using a
scanning electron microscope (SEM). Abnormal morphology included codocytes,
knizocytes, stomatocytes, and echinocytes. Percentage of abnormal cells was
calculated, and the analyses were performed using the statistical program NCSS
with the level of significance set at 0.05. A t-test was carried out to compare
the data from the erythrocyte counts of stroke patients with that of the control
subjects. RESULTS: Ultrastructural SEM results showed that there are a large
percentage of erythrocytes in healthy individuals that do not have a typical
discoid shape, when studying the cells using a high magnification electron
microscope. Furthermore, analysis showed that variation in shape is so subtle
that it is not clearly visible using a typical light microscopy blood smear
analysis. Thromboembolic ischemic stroke patients presented with a significant
amount of erythrocytes with abnormal morphology. CONCLUSION: We suggest that in
healthy individuals, a typical smear would contain several nondiscoid-shaped
erythrocytes, only clearly visible at high magnification. However, thromboembolic
ischemic stroke does significantly impact erythorcyte shape, and this change in
morphology may result in an impaired microcirculation, as well as impaired oxygen
carrying capacity. This changed morphology may further complicate the restoring
of homeostasis caused by acute thromboembolic stroke.
PMID- 22067300
TI - Freshwater ascomycetes: Natipusillaceae, a new family of tropical fungi,
including Natipusilla bellaspora sp. nov. from the Peruvian Amazon.
AB - A new ascomycete species, Natipusilla bellaspora, collected from submerged woody
debris in a freshwater stream at Los Amigos Biological Station, Madre De Dios in
the Peruvian Amazon is described and illustrated. This fungus is characterized by
small, globose to subglobose, hyaline ascomata; small, globose to subglobose,
eight-spored fissitunicate asci; one-septate, multiguttulate ascospores with two
different gelatinous sheaths, an outer amorphous sheath that enlarges in water
and an inner sheath that has a distinctive persistent shape and is attached to
the ascospore apex. Morphologically N. bellaspora differs from other Natipusilla
species in having larger ascospores and two ascospore sheaths. A second
Natipusilla species, N. limonensis, is reported for the first time from Peru.
Based on the unique morphological characters of taxa in Natipusilla and results
of previous molecular phylogenetic analyses with other members of the
Dothideomycetes, we establish Natipusillaceae fam. nov. for this unique tropical
freshwater clade.
PMID- 22067301
TI - Fine-scale mapping in Neurospora crassa by using genome-wide knockout strains.
AB - Fine-scale genetic mapping is often hindered by the lack of adequate markers
surrounding the locus of interest. In the filamentous ascomycete Neurospora
crassa, the genome has been sequenced and an effort has been made to generate
genome-wide deletion strains for the entire gene set. Accordingly, the hygromycin
resistant marker in each deletion strain can be used as a mapping locus in a
classical three-point cross, along with the mapping target and a standard marker.
We have demonstrated the feasibility of this fine-scale mapping approach in N.
crassa by refining the location of r(Sk-2).
PMID- 22067302
TI - Characterization of a Basidiomycete fungus from stored sugar beet roots.
AB - Eighteen isolates from sugar beet roots associated with an unknown etiology were
characterized based on observations of morphological characters, hyphal growth at
4-28 C, production of phenol oxidases and sequence analysis of internal
transcribed spacer (ITS) and large subunit (LSU) regions of the ribosomal DNA
(rDNA). The isolates did not produce asexual or sexual spores, had binucleate
hyphal cells with clamp connections, grew 4-22 C with estimated optimal growth at
14.5 C and formed a dark brown pigment on potato dextrose or malt extract agar
amended with 0.5% tannic acid. Color changes observed when solutions of gum
guiac, guiacol and syringaldzine were applied directly to mycelium grown on these
media indicated that all isolates produced phenol oxidases. Sequences of ITS and
LSU regions on the rDNA gene from 15 isolates were 99.2-100% identical, and
analysis of sequence data with maximum likelihood and maximum parsimony suggest
that the isolates from sugar beet roots are phylogenetically related to Athelia
bombacina, Granulobasidium vellereum and Cyphella digitalis. High statistical
support for both loci under different criteria confirmed that Athelia bombacina
was consistently the closest known relative to the sugar beet isolates.
Additional taxonomic investigations are needed before species can be clarified
and designated for these isolates.
PMID- 22067303
TI - Phialide arrangement and character evolution in the helotialean anamorph genera
Cadophora and Phialocephala.
AB - The dematiaceous hyphomycete genera Cadophora and Phialocephala are anamorphs
associated with mollisioid inoperculate discomycetes (Helotiales) and are
delineated based on the complexity of the phialide arrangement with members of
Cadophora producing solitary phialides and species of Phialocephala producing
complex heads of multiple phialides. A third phylogenetically related taxon,
Leptodontidium orchidicola, produces mostly indehiscent conidia that may
represent non-functional phialides. Morphological characteristics of both sexual
and asexual states of these and other fungi in a focal group of helotialean taxa
were re-examined, in light of relationships shown by molecular phylogenetic
analyses of rDNA ITS sequences, to determine the evolutionary significance of
phialide arrangement. The focal species of Phialocephala formed a monophyletic
clade, while five of six species of Cadophora including the type were in a
separate clade along with L. orchidicola. C. finlandica was placed in a third
clade with species of Meliniomyces and Rhizoscyphus. We hypothesized that the
ancestral state for species in Cadophora and Phialocephala is the production of
sclerotium-like heads of multiple phialides, which has been retained in most
species assignable to Phialocephala. A reduction to solitary phialides occurred
in the lineage leading to the clade containing most of the Cadophora species. Two
possible reductions to non-functional phialides were identified: one in the
Meliniomyces-C. finlandica-Chloridium paucisporum clade and another in the L.
orchidicola and Mollisia "rhizophila": clade. A reversion to increased phialide
complexity might have occurred in the clade containing C. finlandica and Ch.
paucisporum. Our data and analyses also show a previously unrecognized
relationship between teleomorph and anamorph morphology in that Mollisia species
with smaller asci would be expected to have Phialocephala states while those with
larger asci would be expected to have Cadophora states. Based on morphology and
phylogenetic placement, L. orchidicola and C. hiberna are transferred
respectively to Cadophora and Phialocephala.
PMID- 22067304
TI - New teleomorph combinations in the entomopathogenic genus Metacordyceps.
AB - The genus Metacordyceps contains arthropod pathogens in Clavicipitaceae
(Hypocreales) that formerly were classified in Cordyceps sensu Kobayasi et Mains.
Of the current arthropod pathogenic genera of Hypocreales, the genus
Metacordyceps remains one of the most poorly understood and contains a number of
teleomorphic morphologies convergent with species of Cordyceps s.s.
(Cordycipitaceae) and Ophiocordyceps (Ophiocordycipitaceae). Of note, the
anamorph genera Metarhizium and Pochonia were found to be associated only with
Metacordyceps and demonstrated to be phylogenetically informative for the clade.
Several species of Cordyceps considered to have uncertain placements (incertae
sedis) in the current taxonomic framework of clavicipitoid fungi were collected
during field expeditions mostly in eastern Asia. Species reclassified here in
Metacordyceps include Cordyceps atrovirens Kobayasi & Shimizu, Cordyceps
indigotica Kobayasi & Shimizu, Cordyceps khaoyaiensis Hywel-Jones, Cordyceps
kusanagiensis Kobayasi & Shimizu, Cordyceps martialis Speg., Ophiocordyceps
owariensis Kobayasi, Cordyceps pseudoatrovirens Kobayasi & Shimizu and
Ophicordyceps owariensis f. viridescens (Uchiy. & Udagawa) G.H. Sung, J.M. Sung,
Hywel-Jones & Spatafora. Incorporation of these species in a multigene
phylogenetic framework of the major clades of clavicipitoid fungi more than
doubled the number of species in Metacordyceps and allowed for refinement of
morphological concepts for the genus consistent with the phylogenetic structure.
Based on these findings we then discuss evolution of this genus, subgeneric
relationships, anamorph connections, and suggest additional species that should
be confirmed for possible inclusion in Metacordyceps.
PMID- 22067305
TI - Sequestrate species of Agaricus and Macrolepiota from Australia: new species and
combinations and their position in a calibrated phylogeny.
AB - Australian collections of sequestrate Agaricaceae were examined with
morphological and molecular data (nuclear DNA from ITS and LSU), and the majority
were found to belong to the genera Agaricus and Macrolepiota. Previously
described Australian species of Endoptychum are transferred to the appropriate
agaricoid genera and several new combinations proposed. Descriptions and
illustrations are provided for these and eight new species: Agaricus eburneocanus
sp. nov., A. chartaceus sp. nov., A. erythrosarx sp. nov., A. inilleasper sp.
nov., A. pachydermus sp. nov., Macrolepiota gasteroidea sp. nov., M.
vinaceofibrillosa sp. nov. and M. turbinata sp. nov. The sequestrate genus
Barcheria is retained as a distinct taxon. Timing of evolution of sequestrate
sporocarp forms in Macrolepiota, Chlorophyllum and Agaricus seems to have
occurred in the past 15 000 000 y, and a stem age is approximately 65 000 000 y
for Barcheria.
PMID- 22067306
TI - New species of Clavulina (Cantharellales, Basidiomycota) with resupinate and
effused basidiomata from the Guiana Shield.
AB - Three new species of Clavulina (Cantharellales, Basidiomycota) are described from
rainforests dominated by ectomycorrhizal trees of the leguminous genus Dicymbe
(Fabaceae subfam. Caesalpinioideae) from the central Guiana Shield. Species of
Clavulina typically form branched, coralloid basidiomata with amphigenous
hymenia. However, the three species described here form resupinate or effuso
coralloid basidiomata, macromorphological forms previously unknown in Clavulina.
Macromorphological, micromorphological, habitat and DNA sequence data are
provided for each new species. Micromorphological features and DNA sequence data
from the second largest subunit of DNA-dependant RNA polymerase II (rpb2) and
internal transcribed spacer (ITS) and large subunit (28S) of the ribosomal repeat
justify placement of these new species in Clavulina. Comparisons with described
Clavulina species and other resupinate taxa within the Cantharellales are
provided.
PMID- 22067307
TI - The treatment of neuroendocrine tumors with long-acting somatostatin analogs: a
single center experience with lanreotide autogel.
AB - The aim of this retrospective study was to evaluate the efficacy, safety, and
tolerability of lanreotide autogel given to metastatic well-differentiated (WD)
neuroendocrine tumors (NET) patients observed in our Institute between 2005 and
2008. Patients with metastatic NET referred to our tertiary referral center were
given lanreotide autogel 120 mg/month by deep sc injection for a period of at
least 24 months. The efficacy was evaluated by the relief of disease symptoms,
behavior of tumor markers and response rate in terms of time to tumor
progression. Safety and tolerability were evaluated by assessing the onset of
adverse events and treatment feasibility. Twenty-three patients (13 males),
median age 62 yr (range 32-87) were considered for the study. All patients were
affected by WD metastatic NET and had tumor progression in the last 6 months
before the enrolment in the study. Median duration of response was 28 months
(range 6-50 months). Fourteen patients (60.9%) showed flushing and diarrhea which
improved by 85.7% and 55.6%, respectively, bronchoconstrinction and abdominal
pain also ameliorated. A complete, partial or no-changed response in the tumor
markers behavior was observed, respectively, in 42.9%, 22.9%, and 17.1% of cases.
According to RECIST (Response Evaluation Criteria In Solid Tumors) criteria
(version 1.1), there were 2 partial regression (8.7%) and 15 stable disease
(65.3%); 6 patients (26.0%) progressed. No patient complained from any severe
adverse reaction. The results of our study suggest that lanreotide autogel is
effective in the symptoms, biochemical markers, and tumor progression control of
WD metastatic NET and confirm that the treatment is well tolerated.
PMID- 22067308
TI - Current status of pediatric intestinal failure, rehabilitation, and
transplantation: summary of a colloquium.
AB - An international symposium convened September 9-11, 2010, in Chicago to present
the state of the art and science of the multidisciplinary care of intestinal
failure in children. Medical and surgical management of the child with intestinal
failure was presented with a focus on the importance of multidisciplinary
intestinal failure management. Issues of timing of referral and benefit risk
analysis for intestine "rehabilitation" and transplant were presented. Areas of
opportunity such as increased donor recovery, improvement of long-term transplant
outcomes, optimization of immune monitoring, and quality-of-life outcomes were
reviewed.
PMID- 22067309
TI - Donor desmopressin is associated with superior graft survival after kidney
transplantation.
AB - BACKGROUND: A recent randomized trial showed that pretreatment of the brain-dead
donor with low-dose dopamine improves immediate kidney graft function, by
limiting injury from cold storage (ClinicalTrials.gov Identifier: NCT00115115).
This study determines whether donor exposure to desmopressin (1-deamino-8-d
arginine-vasopressin [DDAVP]) before organ retrieval affects renal transplant
outcome. METHODS: This retrospective multicenter cohort study, nested in the
database of the dopamine trial, includes 264 deceased heart-beating donors with
confirmed brain death and corresponding 487 renal allograft recipients
transplanted at 60 European centers between March 2004 and August 2007. We
assessed differences in delayed graft function, biopsy-proven acute rejections,
and 2-year kidney graft survival in recipients of a DDAVP-exposed versus
unexposed graft. RESULTS: DDAVP was associated with improved graft survival
(85.4% vs. 73.6%, P=0.003). This survival benefit persisted after censoring for
death with functioning graft (91.1% vs. 82.0%, P=0.01) and after adjustment for
confounders including covariate adjustment from propensity scoring (hazard ratio
0.40, 95% confidence interval [CI] 0.21-0.77; P=0.006). Delayed graft function
(odds ratio 0.97, 95% CI 0.57-1.65; P=0.92) and biopsy-proven acute rejections
(odds ratio 1.32, 95% CI 0.70-2.49; P=0.40) were unaffected. The survival effect
was enhanced after a shorter cold ischemic time less than 14 hr (91.3% vs. 77.8%,
P=0.008) and after dopamine pretreatment (92.7% vs. 78.6%, P=0.006). By contrast,
prolonged cold ischemic time more than or equal to 14 hr (91.2% vs. 86.5%,
P=0.39) and assignment to the nondopamine group (89.7% vs. 84.8%, P=0.37)
abrogated the survival advantage. CONCLUSIONS: Donor DDAVP seems to improve renal
allograft survival. Combined use of donor DDAVP and low-dose dopamine should
receive further evaluation.
PMID- 22067310
TI - Islet graft survival and function: concomitant culture and transplantation with
vascular endothelial cells in diabetic rats.
AB - BACKGROUND: Human islet transplantation is a great potential therapy for type I
diabetes. To investigate islet graft survival and function, we recently showed
the improved effects after co-culture and co-transplantation with vascular
endothelial cells (ECs) in diabetic rats. METHODS: ECs were isolated, and the
viability of isolated islets was assessed in two groups (standard culture group
and co-culture group with ECs). Then streptozotocin-induced diabetic rats were
divided into four groups before islet transplantation as follows: group A with
infusion of islet grafts; group B with combined vascular ECs and islet grafts;
groups C and D as controls with single ECs infusion and phosphate-buffered saline
injection, respectively. Blood glucose and insulin concentrations were measured
daily. Expression of vascular endothelial growth factor was investigated by
immunohistochemical staining. The mean microvascular density was also calculated.
RESULTS: More than 90% of acridine orange-propidium iodide staining positive
islets demonstrated normal morphology while co-cultured with ECs for 7 days.
Compared with standard control, insulin release assays showed a significantly
higher simulation index in co-culture group except for the first day (P<0.05).
After transplantation, there was a significant difference in concentrations of
blood glucose and insulin among these groups after 3 days (P<0.05). The mean
microvascular density in co-culture group was significantly higher than that in
single islet group (P=0.04). CONCLUSION: Co-culture with ECs in vitro could
improve the survival and function of isolated rat islet, and co-transplantation
of islets with ECs could effectively prolong the islet graft survival in diabetic
rats.
PMID- 22067311
TI - Early changes in kidney function predict long-term chronic kidney disease and
mortality in patients after liver transplantation.
AB - BACKGROUND: Chronic kidney disease (CKD) is a well-known complication after liver
transplantation (LT) and is associated with increased mortality. The purpose of
this study was to determine risk factors of advanced CKD and mortality after LT.
METHODS: Four hundred forty-five adult patients underwent LT between June 1990
and September 2007 and survived more than 1 month. Multivariate Cox regression
analyses were performed for time to CKD stage 4 (glomerular filtration rate [GFR]
<=30 mL/min), time to chronic dialysis, and all-cause mortality. Several patient
and disease characteristics were used as independent pre- and posttransplant
variables. We specifically analyzed a drop more than or equal to 30% in the
estimated GFR (eGFR) during the first year posttransplant. RESULTS: Diabetes
mellitus pretransplant and a drop more than or equal to 30% in the eGFR between 3
and 12 months predicted CKD stage 4 (odds ratio [OR] 4.1, 95% confidence interval
[CI] 1.9-5.4, P<0.001 and OR 16.1, 95% CI 5.9-44.5, P<0.0001, respectively), the
need for chronic dialysis (OR 3.8, 95% CI 1.1-13.2, P=0.03 and OR 14.6, 95% CI
3.0-71.4, P<0.001, respectively), and all-cause mortality (OR 1.9, 95% CI 1.2
2.9, P=0.004 and OR 2.6, 95% CI 1.6-4.4, P<0.001, respectively), more than 1 year
after LT. CONCLUSIONS: Diabetes mellitus pretransplant and a drop more than or
equal to 30% in the eGFR within the first year are strong predictors of advanced
CKD, chronic dialysis, and death more than 1 year after LT. These easily
determined clinical variables define a population at risk for CKD who should be
targeted for renal protection strategies.
PMID- 22067312
TI - Early steroid withdrawal and optimization of mycophenolic acid exposure in kidney
transplant recipients receiving mycophenolate mofetil.
AB - BACKGROUND: Early posttransplant steroid withdrawal may increase the risk of
acute rejection and the occurrence of subclinical acute rejection (SCAR). We
assessed the feasibility and safety of early steroid withdrawal in low-risk
patients receiving cyclosporine A (CsA) and the impact of optimization of
mycophenolic acid exposure on steroid withdrawal success. METHODS: De novo, low
immunological risk kidney recipients received an anti-interleukin-2-receptor
alpha antibody induction, a short course of 7 days of corticosteroids, and CsA
with 2-hr postdose concentration monitoring. They were randomized to adjusted
dose (AD) of mycophenolate mofetil (MMF) using therapeutic drug monitoring (TDM)
or a fixed-dose (FD) regimen. MMF 3 g was initiated posttransplant and then
adjusted starting at week 2 to a 0 to 12 hr area under the concentration time
curve of 40 mg . h/L versus 2 g daily, respectively. The primary endpoint was a
composite of the proportion of patients experiencing biopsy-proven acute
rejection (BPAR) and those with SCAR identified on the 3-month protocol biopsy.
RESULTS: Among 247 analyzed patients, only 22 in the AD group and 17 in the FD
group experienced BPAR or SCAR (P=0.46). The rate of SCAR was low: 4% (AD) and
2.5% (FD). No between-group difference in the incidence of BPAR was observed. TDM
yielded MMF doses ranging from 1 to 4 g/d and significantly reduced interpatient
variability at weeks 26 and 52 in the AD group. CONCLUSIONS: In low-immunological
risk kidney recipients, MMF combined with CsA allows early corticosteroid
discontinuation with good tolerability. In this group of patients, TDM of MMF
does not improve clinical outcome.
PMID- 22067313
TI - Innominate vein vascular ring provides novel insight into systemic venous
embryogenesis.
AB - Anomalies of the innominate vein are uncommon in congenital cardiac disease. We
report a case of duplicate innominate veins forming a vascular ring encircling
the ascending aorta. We postulate that this vascular ring represents the failure
of both a dorsal and ventral precardinal anastomosis to regress.
PMID- 22067314
TI - TGF-beta mediates suppression of adipogenesis by estradiol through connective
tissue growth factor induction.
AB - In the bone marrow cavity, adipocyte numbers increase, whereas osteoblast
progenitor numbers decrease with aging. Because adipocytes and osteoblasts share
a common progenitor, it is possible that this shift is due to an increase in
adipocyte-lineage cells at the expense of osteoblast-lineage commitment.
Estrogens inhibit adipocyte differentiation, and in both men and women,
circulating estrogens correlate with bone loss with aging. In bone cells,
estrogens stimulate expression of TGF-beta and suppress mesenchymal cell
adipogenesis. Using a tripotential mesenchymal cell line, we have examined
whether estradiol suppression of adipocyte differentiation is due to stimulation
of TGF-beta and the mechanism by which TGF-beta suppresses adipogenesis. We
observed that estradiol-mediated suppression of adipogenic gene expression
required at least 48 h treatment. TGF-beta expression increased within 24 h of
estradiol treatment, and TGF-beta inhibition reversed estradiol influences on
adipogenesis and adipocyte gene expression. Connective tissue growth factor
(CTGF) mediates TGF-beta suppression of adipogenesis in mouse 3T3-L1 cells. CTGF
expression was induced within 24 h of TGF-beta treatment, whereas estradiol
mediated induction required 48 h treatment. Moreover, estradiol-mediated
induction of CTGF was abrogated by TGF-beta inhibition. These data support that
estradiol effects on adipogenesis involves TGF-beta induction, which then induces
CTGF to suppress adipogenesis.
PMID- 22067316
TI - Neural distribution of vasotocin receptor mRNA in two species of songbird.
AB - The neurohypophyseal hormones vasopressin and oxytocin are produced and released
within the mammalian brain, where they act via multiple receptor subtypes. The
neural distributions of these receptors, for example, V1a and oxytocin receptors,
have been well described in many mammals. In birds, the distribution of binding
sites for the homologous neuropeptides, vasotocin (VT) and mesotocin, has been
studied in several species by using synthetic radioligands designed to bind to
mammalian receptors. Such binding studies, however, may not reveal the specific
distributions of each receptor subtype. To identify and map the receptors likely
to bind VT and mesotocin, we generated partial cDNA sequences for four VT
receptor subtypes, VT1, VT2 (V1b), VT3 (oxytocin-like), and VT4 (V1a), in white
throated sparrow (Zonotrichia albicollis) and zebra finch (Taeniopygia guttata).
These genes shared high sequence identity with the homologous avian and mammalian
neurohypophyseal peptide receptors, and we found evidence for VT1, VT3, and VT4
receptor mRNA expression throughout the brains of both species. As has been
described in rodents, there was striking interspecific and intraspecific
variation in the densities and distribution of these receptors. For example,
whereas the VT1 receptor mRNA was more widespread in zebra finch brain, the VT3
(oxytocin-like) receptor mRNA was more prevalent in the sparrow brain. Although
VT2 (V1b) receptor mRNA was abundant in the pituitary, it was not found in the
brain. Because of their association with brain regions implicated in social
behavior, the VT1, VT3, and VT4 receptors are all likely candidates for mediating
the behavioral effects of VT.
PMID- 22067315
TI - Type 2 corticotropin-releasing factor receptor in the ventromedial nucleus of
hypothalamus is critical in regulating feeding and lipid metabolism in white
adipose tissue.
AB - Ventromedial nucleus of hypothalamus (VMH) plays a critical role in regulating
feeding and energy metabolism. The nucleus expresses high levels of the type 2
corticotropin-releasing factor receptor (CRFR2) and receives prominent
innervation of nerve fibers containing Urocortin 3 (Ucn 3), an endogenous ligand
of the receptor. In the present study, we showed that mice deficient in Ucn 3 had
elevated basal feeding and increased nocturnal food intake after overnight
fasting compared with the wild-type (WT) littermates. The Ucn 3 null mice also
had lower circulating insulin levels compared with those of the WT mice.
Interestingly, the mutant mice maintained a comparable body weight with the WT
littermates. Mice with reduced CRFR2 expression in the VMH by small hairpin RNA
knockdown (KD) recapitulated feeding phenotypes observed in the Ucn 3 null mice.
However, VMH CRFR2 KD mice gained significantly more weight than control mice.
The weight gain was due to an accumulation of white adipose tissue (WAT)
accompanied by reduced plasma free fatty acids and glycerol levels, increased
respiratory quotients, and improved glucose tolerance. On the other hand, plasma
insulin levels were comparable with the receptor KD and control mice.
Furthermore, the expression of several genes, including hormone-sensitive lipase,
was significantly reduced in the WAT of VMH CRFR2 KD mice compared with controls.
These results indicate that Ucn 3 signaling through CRFR2 is a critical molecular
mediator in the VMH in regulating feeding and lipid metabolism in WAT.
PMID- 22067317
TI - Lack of overt FGF21 resistance in two mouse models of obesity and insulin
resistance.
AB - Circulating levels of fibroblast growth factor 21 (FGF21), a metabolic regulator
of glucose, lipid, and energy homeostasis, are elevated in obese diabetic
subjects, raising questions about potential FGF21 resistance. Here we report
tissue expression changes in FGF21 and its receptor components, and we describe
the target-organ and whole-body responses to FGF21 in ob/ob and diet-induced
obese (DIO) mice. Plasma FGF21 concentrations were elevated 8- and 16-fold in DIO
and ob/ob mice, respectively, paralleling a dramatic increase in hepatic FGF21
mRNA expression. Concurrently, expression levels of betaKlotho, FGF receptor
(FGFR)-1c, and FGFR2c were markedly down-regulated in the white adipose tissues
(WAT) of ob/ob and DIO mice. However, dose-response curves of recombinant human
FGF21 (rhFGF21) stimulation of ERK phosphorylation in the liver and WAT were not
right shifted in disease models, although the magnitude of induction in ERK
phosphorylation was partially attenuated in DIO mice. Whole-body metabolic
responses were preserved in ob/ob and DIO mice, with disease models being more
sensitive and responsive than lean mice to the glucose-lowering and weight-loss
effects of rhFGF21. Endogenous FGF21 levels, although elevated in diseased mice,
were below the half-maximal effective concentrations of rhFGF21, suggesting a
state of relative deficiency. Hepatic and WAT FGF21 mRNA expression levels
declined after rhFGF21 treatment in the absence of the increased expression
levels of betaKlotho and FGFR. We conclude that overt FGF21 resistance was not
evident in the disease models, and increased hepatic FGF21 expression as a result
of local metabolic changes is likely a major cause of elevated circulating FGF21
levels.
PMID- 22067318
TI - 11beta-Hydroxysteroid dehydrogenase type 1, but not type 2, deficiency worsens
acute inflammation and experimental arthritis in mice.
AB - Glucocorticoids profoundly influence immune responses, and synthetic
glucocorticoids are widely used clinically for their potent antiinflammatory
effects. Endogenous glucocorticoid action is modulated by the two isozymes of
11beta-hydroxysteroid dehydrogenase (11beta-HSD). In vivo, 11beta-HSD1 catalyzes
the reduction of inactive cortisone or 11-dehydrocorticosterone into active
cortisol or corticosterone, respectively, thereby increasing intracellular
glucocorticoid levels. 11beta-HSD2 catalyzes the reverse reaction, inactivating
intracellular glucocorticoids. Both enzymes have been postulated to modulate
inflammatory responses. In the K/BxN serum transfer model of arthritis, 11beta
HSD1-deficient mice showed earlier onset and slower resolution of inflammation
than wild-type controls, with greater exostoses in periarticular bone and,
uniquely, ganglion cysts, consistent with greater inflammation. In contrast,
K/BxN serum arthritis was unaffected by 11beta-HSD2 deficiency. In a distinct
model of inflammation, thioglycollate-induced sterile peritonitis, 11beta-HSD1
deficient mice had more inflammatory cells in the peritoneum, but again 11beta
HSD2-deficient mice did not differ from controls. Additionally, compared with
control mice, 11beta-HSD1-deficient mice showed greater numbers of inflammatory
cells in pleural lavages in carrageenan-induced pleurisy with lung pathology
consistent with slower resolution. These data suggest that 11beta-HSD1 limits
acute inflammation. In contrast, 11beta-HSD2 plays no role in acute inflammatory
responses in mice. Regulation of local 11beta-HSD1 expression and/or delivery of
substrate may afford a novel approach for antiinflammatory therapy.
PMID- 22067319
TI - Role of IGFBP-3 in the regulation of beta-cell mass during obesity: adipose
tissue/beta-cell cross talk.
AB - In obesity an increase in beta-cell mass occurs to cope with the rise in insulin
demand. This beta-cell plasticity is essential to avoid the onset of
hyperglycemia, although the molecular mechanisms that regulate this process
remain unclear. This study analyzed the role of adipose tissue in the control of
beta-cell replication. Using a diet-induced model of obesity, we obtained
conditioned media from three different white adipose tissue depots. Only in the
adipose tissue depot surrounding the pancreas did the diet induce changes that
led to an increase in INS1E cells and the islet replication rate. To identify the
factors responsible for this proliferative effect, adipose tissue gene expression
analysis was conducted by microarrays and quantitative RT-PCR. Of all the
differentially expressed proteins, only the secreted ones were studied. IGF
binding protein 3 (Igfbp3) was identified as the candidate for this effect.
Furthermore, in the conditioned media, although the blockage of IGFBP3 led to an
increase in the proliferation rate, the blockage of IGF-I receptor decreased it.
Taken together, these data show that obesity induces specific changes in the
expression profile of the adipose tissue depot surrounding the pancreas, leading
to a decrease in IGFBP3 secretion. This decrease acts in a paracrine manner,
stimulating the beta-cell proliferation rate, probably through an IGF-I-dependent
mechanism. This cross talk between the visceral-pancreatic adipose tissue and
beta-cells is a novel mechanism that participates in the control of beta-cell
plasticity.
PMID- 22067320
TI - Identical gene regulation patterns of T3 and selective thyroid hormone receptor
modulator GC-1.
AB - Synthetic selective thyroid hormone (TH) receptor (TR) modulators (STRM) exhibit
beneficial effects on dyslipidemias in animals and humans and reduce obesity,
fatty liver, and insulin resistance in preclinical animal models. STRM differ
from native TH in preferential binding to the TRbeta subtype vs. TRalpha,
increased uptake into liver, and reduced uptake into other tissues. However,
selective modulators of other nuclear receptors exhibit important gene-selective
actions, which are attributed to differential effects on receptor conformation
and dynamics and can have profound influences in animals and humans. Although
there are suggestions that STRM may exhibit such gene-specific actions, the
extent to which they are actually observed in vivo has not been explored. Here,
we show that saturating concentrations of the main active form of TH, T(3), and
the prototype STRM GC-1 induce identical gene sets in livers of euthyroid and
hypothyroid mice and a human cultured hepatoma cell line that only expresses
TRbeta, HepG2. We find one case in which GC-1 exhibits a modest gene-specific
reduction in potency vs. T(3), at angiopoietin-like factor 4 in HepG2.
Investigation of the latter effect confirms that GC-1 acts through TRbeta to
directly induce this gene but this gene-selective activity is not related to
unusual T(3)-response element sequence, unlike previously documented promoter
selective STRM actions. Our data suggest that T(3) and GC-1 exhibit almost
identical gene regulation properties and that gene-selective actions of GC-1 and
similar STRM will be subtle and rare.
PMID- 22067321
TI - Kisspeptin signaling is indispensable for neurokinin B, but not glutamate,
stimulation of gonadotropin secretion in mice.
AB - Kisspeptins (Kp), products of the Kiss1 gene that act via Gpr54 to potently
stimulate GnRH secretion, operate as mediators of other regulatory signals of the
gonadotropic axis. Mouse models of Gpr54 and/or Kiss1 inactivation have been used
to address the contribution of Kp in the central control of gonadotropin
secretion; yet, phenotypic and hormonal differences have been detected among the
transgenic lines available. We report here a series of neuroendocrine analyses in
male mice of a novel Gpr54 knockout (KO) model, generated by heterozygous
crossing of a loxP-Gpr54/Protamine-Cre double mutant line. Gpr54-null males
showed severe hypogonadotropic hypogonadism but retained robust responsiveness to
GnRH. Gonadotropic responses to the agonist of ionotropic glutamate receptors, N
methyl-d-aspartate, were attenuated, but persisted, in Gpr54-null mice. In
contrast, LH secretion after activation of metabotropic glutamate receptors was
totally preserved in the absence of Gpr54 signaling. Detectable, albeit reduced,
LH responses were also observed in Gpr54 KO mice after intracerebroventricular
administration of galanin-like peptide or RF9, putative antagonist of
neuropeptide FF receptors for the mammalian ortholog of gonadotropin-inhibiting
hormone. In contrast, the stimulatory effect of senktide, agonist of neurokinin B
(NKB; cotransmitter of Kiss1 neurons), was totally abrogated in Gpr54 KO males.
Lack of Kp signaling also eliminated feedback LH responses to testosterone
withdrawal. However, residual but sustained increases of FSH were detected in
gonadectomized Gpr54 KO males, in which testosterone replacement failed to fully
suppress circulating FSH levels. In sum, our study provides novel evidence for
the relative importance of Kp-dependent vs. -independent actions of several key
regulators of GnRH secretion, such as glutamate, galanin-like peptide, and
testosterone. In addition, our data document for the first time the indispensable
role of Kp signaling in mediating the stimulatory effects of NKB on LH secretion,
thus supporting the hypothesis that NKB actions on GnRH neurons are indirectly
mediated via its ability to regulate Kiss1 neuronal output.
PMID- 22067322
TI - Prenatal dexamethasone exposure potentiates diet-induced hepatosteatosis and
decreases plasma IGF-I in a sex-specific fashion.
AB - The clinical use of synthetic glucocorticoids in preterm infants to promote lung
development has received considerable attention due to the potential for
increased risk of developing metabolic disease in adulthood after such treatment.
In this study, we examined the hypothesis that exposure to the synthetic
glucocorticoid, dexamethasone (DEX), during late gestation in the rat results in
the development of nonalcoholic fatty liver disease in adult offspring. Pregnant
Sprague Dawley dams were treated with 0.4 mg/kg DEX beginning on gestational d 18
until parturition (gestational d 23). At postnatal d 21, offspring were weaned
onto either a standard chow or high-fat (60% fat-derived calories) diet. In
adulthood (postnatal d 60-65), hepatic tissue was harvested and examined for
pathology. Liver steatosis, or fat accumulation, was found to be more severe in
the DEX-exposed female offspring that were weaned onto the high-fat diet. This
finding corresponded with decreased plasma IGF-I concentrations, as well as
decreased hypothalamic expression of GHRH mRNA. Morphological measurements on
body and long bone length further implicate a GH signaling deficit after fetal
DEX exposure. Collectively, these data indicate suppression of GH axis function
in the female DEX/high-fat cohort but not in the male offspring. Because deficits
in the GH signaling can be linked to the development of nonalcoholic fatty liver
disease, our results suggest that the prominent liver injury noted in female
offspring exposed to DEX during late gestation may stem from abnormal development
of the GH axis at the hypothalamic level.
PMID- 22067323
TI - Mutual regulation of growth hormone and bone morphogenetic protein system in
steroidogenesis by rat granulosa cells.
AB - GH induces preantral follicle growth and differentiation with oocyte maturation.
However, the effects of GH on ovarian steroidogenesis and the mechanisms
underlying its effects have yet to be elucidated. In this study, we investigated
the actions of GH on steroidogenesis by rat granulosa cells isolated from early
antral follicles by focusing on the ovarian bone morphogenetic protein (BMP)
system. We found that GH suppressed FSH-induced estradiol production with
reduction in aromatase expression and, in contrast, GH increased FSH-induced
progesterone level with induction of steroidogenic acute regulatory protein, side
chain cleavage cytochrome P450, and 3beta-hydroxysteroid dehydrogenase. The
effects of GH on steroidogenesis by granulosa cells were enhanced in the presence
of the BMP antagonist noggin. Coculture of GH with oocytes did not alter GH
regulation of steroidogenesis. Steroid production induced by cAMP donors was not
affected by GH treatment and the GH effects on FSH-induced steroid production
were not accompanied by changes in cAMP synthesis, suggesting that GH actions
were not directly mediated by the cAMP-protein kinase A pathway. GH exerted
synergistic effects on MAPK activation elicited by FSH, which regulated FSH
induced steroidogenesis. In addition, GH-induced signal transducer and activator
of transcription phosphorylation was involved in the induction of IGF-I
expression. GH increased IGF-I, IGF-I receptor, and FSH receptor expression in
granulosa cells, and inhibition of IGF-I signaling restored GH stimulation of FSH
induced progesterone production, suggesting that endogenous IGF-I is functionally
involved in GH effects on progesterone induction. BMP inhibited IGF-I effects
that increased FSH-induced estradiol production with suppression of expression of
the GH/IGF-I system, whereas GH/IGF-I actions impaired BMP-Sma and Mad related
protein 1/5/8 signaling through down-regulation of the expression of BMP
receptors. Thus, GH acts to modulate estrogen and progesterone production
differentially through endogenous IGF-I activity in granulosa cells, in which GH
IGF-I interaction leads to antagonization of BMP actions including suppression of
FSH-induced progesterone production. Mutual balance between GH/IGF-I and BMP
signal intensities may be a key for regulating gonadotropin-induced
steroidogenesis in growing follicles.
PMID- 22067324
TI - Constitutive activation of IKKbeta in adipose tissue prevents diet-induced
obesity in mice.
AB - The IkappaB kinase beta (IKKbeta) is a master kinase involved in obesity-related
inflammation and insulin resistance through nuclear factor kappaB dependent and
independent pathways. However, the effect of IKKbeta activation in adipose
tissue, the organ critical for storage of excessive energy and initiation of
inflammatory responses in the context of obesity, on systemic insulin sensitivity
and metabolism, has not been investigated. In our study, we found that mice
overexpressing the constitutively active IKKbeta in adipose tissue under the
control of murine adipocyte fatty acid binding protein (aP2) promoter were
protected from age-related and diet-induced body weight gains, despite increased
food intake. The aP2-IKKbeta SE mice have significantly reduced weights in all
white adipose tissue depots and reduced triglyceride contents in adipose tissue,
liver, and muscle. Despite increased systemic and tissue inflammation, aP2
IKKbeta SE mice displayed decreased blood glucose levels, improved glucose, and
insulin tolerance. This may be at least partially attributable to increased
energy expenditure. Histological analysis revealed presence of many small
adipocytes in white adipose tissue of aP2-IKKbeta SE mice fed on high-fat diet.
Furthermore, transgenic expression of IKKbeta in adipose tissue improved high-fat
diet-induced hepatosteatosis. Collectively, increased energy expenditure and
reduced plasma free fatty acid levels may contribute to enhanced systemic insulin
sensitivity in aP2-IKKbeta SE mice. Our study demonstrates that presence of
inflammation in adipose tissue before the development of obesity has beneficial
effect on metabolism.
PMID- 22067325
TI - Forkhead box A1 (FOXA1) and A2 (FOXA2) oppositely regulate human type 1
iodothyronine deiodinase gene in liver.
AB - Type 1 iodothyronine deiodinase (D1), a selenoenzyme that catalyzes the
bioactivation of thyroid hormone, is expressed mainly in the liver. Its
expression and activity are modulated by several factors, but the precise
mechanism of its transcriptional regulation remains unclear. In the present
study, we have analyzed the promoter of human D1 gene (hDIO1) to identify factors
that prevalently increase D1 activity in the human liver. Deletion and mutation
analyses demonstrated that a forkhead box (FOX)A binding site and an E-box site
within the region between nucleotides -187 and -132 are important for hDIO1
promoter activity in the liver. EMSA demonstrated that FOXA1 and FOXA2
specifically bind to the FOXA binding site and that upstream stimulatory factor
(USF) specifically binds to the E-box element. Overexpression of FOXA2 decreased
hDIO1 promoter activity, and short interfering RNA-mediated knockdown of FOXA2
increased the expression of hDIO1 mRNA. In contrast, overexpression of USF1/2
increased hDIO1 promoter activity. Short interfering RNA-mediated knockdown of
FOXA1 decreased the expression of hDIO1 mRNA, but knockdown of both FOXA1 and
FOXA2 restored it. The response of the hDIO1 promoter to USF was greatly
attenuated in the absence of FOXA1. Taken together, these results indicate that a
balance of FOXA1 and FOXA2 expression modulates hDIO1 expression in the liver.
PMID- 22067326
TI - betaC1 of chili leaf curl betasatellite is a pathogenicity determinant.
AB - BACKGROUND: Cotton leaf curl disease in the Indian subcontinent is associated
with several distinct begomoviruses that interact with a disease-specific DNA
satellite named Cotton leaf curl Multan betasatellite (CLCuMB). However, we have
recently reported that Chili leaf curl betasatellite (ChLCB) is also occasionally
found associated with the disease in Pakistan. The question as to whether ChLCB
contributes to the development of disease symptoms such as leaf curling and
enations remain to be answered. We have previously shown that the expression of
betaC1 of CLCuMB develops all symptoms of cotton leaf curl disease in Nicotiana
benthamiana when expressed from PVX vector. FINDINGS: The role of ChLCB in the
induction of typical disease symptoms was studied by its expression from PVX
vector in N. benthamiana. The expression of betaC1 from PVX vector developed
severe leaf curl symptoms and leaf-like enations that resemble the phenotype
induced by betaC1 of CLCuMB. CONCLUSIONS: The results presented here show that
the expression of betaC1 of ChLCB from PVX vector exhibit phenotype typical of
cotton leaf curl and therefore ChLCB may contribute to the disease symptoms.
PMID- 22067328
TI - Dissociation and emotion regulation in borderline personality disorder.
AB - BACKGROUND: Although some evidence suggests that borderline personality disorder
(BPD) is primarily a disorder of the emotion regulation system, findings remain
inconsistent. One potential explanation for this is the moderating role of
dissociation. METHOD: In this study, 33 female subjects with BPD and 26 healthy
controls (HC; matched by education level and nicotine intake) were presented
idiographic aversive, standard unpleasant and neutral scripts. Modulation of
startle reflex and electrodermal responses (skin conductance level; SCL) were
measured during imagery of emotional and neutral scripts. Additionally, self
reports of emotional experience (valence and arousal) and present-state
dissociation were assessed. RESULTS: Patients with BPD showed elevated levels of
dissociative experiences during testing. Present-state dissociation mediated
group differences in SCL and startle response between the HC and BPD groups.
CONCLUSIONS: These results suggest that careful attention must be paid to the
moderating effect of dissociative symptoms on the psychophysiological responses
of BPD patients. Furthermore, the findings have important implications for the
assessment and treatment of BPD, including the need to carefully assess BPD
patients for dissociative symptoms and to incorporate the treatment of
dissociation.
PMID- 22067327
TI - Exploring the gonad transcriptome of two extreme male pigs with RNA-seq.
AB - BACKGROUND: Although RNA-seq greatly advances our understanding of complex
transcriptome landscapes, such as those found in mammals, complete RNA-seq
studies in livestock and in particular in the pig are still lacking. Here, we
used high-throughput RNA sequencing to gain insight into the characterization of
the poly-A RNA fraction expressed in pig male gonads. An expression analysis
comparing different mapping approaches and detection of allele specific
expression is also discussed in this study. RESULTS: By sequencing testicle mRNA
of two phenotypically extreme pigs, one Iberian and one Large White, we
identified hundreds of unannotated protein-coding genes (PcGs) in intergenic
regions, some of them presenting orthology with closely related species.
Interestingly, we also detected 2047 putative long non-coding RNA (lncRNA),
including 469 with human homologues. Two methods, DEGseq and Cufflinks, were used
for analyzing expression. DEGseq identified 15% less expressed genes than
Cufflinks, because DEGseq utilizes only unambiguously mapped reads. Moreover, a
large fraction of the transcriptome is made up of transposable elements (14500
elements encountered), as has been reported in previous studies. Gene expression
results between microarray and RNA-seq technologies were relatively well
correlated (r = 0.71 across individuals). Differentially expressed genes between
Large White and Iberian showed a significant overrepresentation of gamete
production and lipid metabolism gene ontology categories. Finally, allelic
imbalance was detected in ~ 4% of heterozygous sites. CONCLUSIONS: RNA-seq is a
powerful tool to gain insight into complex transcriptomes. In addition to
uncovering many unnanotated genes, our study allowed us to determine that a
considerable fraction is made up of long non-coding transcripts and transposable
elements. Their biological roles remain to be determined in future studies. In
terms of differences in expression between Large White and Iberian pigs, these
were largest for genes involved in spermatogenesis and lipid metabolism, which is
consistent with phenotypic extreme differences in prolificacy and fat deposition
between these two breeds.
PMID- 22067329
TI - KBA62 and PNL2: 2 new melanoma markers-immunohistochemical analysis of 1563
tumors including metastatic, desmoplastic, and mucosal melanomas and their
mimics.
AB - Identification of metastatic melanoma can be difficult because of its
considerable morphologic variation and mimicry of a wide variety of other tumors.
The more melanoma-specific melanoma markers, MelanA/MART-1, HMB45, and
tyrosinase, used in addition to S100 protein, all have limitations in sensitivity
and specificity. In this study, we evaluated 2 new melanoma markers, monoclonal
antibodies KBA62 and PNL2 to yet unidentified antigens, using a large panel of
metastatic melanomas (n=214), desmoplastic melanomas (n=34), gastrointestinal
mucosal melanomas (n=54), benign nevi (n=27), clear cell sarcomas (n=16), and
nonmelanocytic tumors (n=1218). Immunoreactivity for KBA62 and PNL2 was found in
all pigmented nevi and in 86% and 90% of metastatic melanomas, respectively.
Mucosal melanomas showed a similar rate of PNL2 immunoreactivity but somewhat
less frequent KBA62 positivity (72%). In addition, KBA62 was found to be a
sensitive diagnostic marker for desmoplastic melanoma (28 of 34; 82%), whereas
PNL2 was only rarely positive (2 of 34; 6%). KBA62-positive normal tissues
included pericytes, vascular and parenchymal smooth muscles, and basal cells of
complex epithelia, including myoepithelia, whereas PNL2 labeled only melanocytes
and neutrophils. Among nonmelanocytic tumors, those that were KBA62 positive were
nodular fasciitis, leiomyoma and leiomyosarcoma, gastrointestinal stromal tumors,
benign and malignant nerve sheath tumors, synovial sarcoma, and subsets of
various carcinomas, especially those with squamous cell/stratified epithelial
differentiation. PNL2 positivity in nonmelanocytic tumors was more restricted but
occurred consistently in angiomyolipoma and other perivascular epitheloid cell
tumor and in chronic myeloid leukemia tissue infiltrates. KBA62 may assist in the
identification of desmoplastic melanomas, but its widespread occurrence in
nonmelanomas limits utility. PNL2 is highly specific for melanomas but lacks
reactivity with desmoplastic melanomas. It is also an excellent supplementary
marker for perivascular epitheloid cell tumor at various sites.
PMID- 22067330
TI - Absence of Merkel cell polyomavirus in primary parotid high-grade neuroendocrine
carcinomas regardless of cytokeratin 20 immunophenotype.
AB - High-grade neuroendocrine carcinoma of the salivary glands is a rare malignancy
that can be difficult to distinguish from metastatic neuroendocrine (Merkel cell)
carcinoma of the skin, which often occurs on the head and neck and may
metastasize to lymph nodes in or adjacent to salivary glands, particularly the
parotid gland. As the 2 tumors have morphologic and immunophenotypic overlap,
additional diagnostic tools may be clinically useful. Merkel cell carcinoma is
known to harbor Merkel cell polyomavirus in up to 80% of cases. However, the
presence or absence of this virus in salivary gland neuroendocrine carcinomas has
not been investigated. We evaluated 7 primary salivary gland high-grade
neuroendocrine carcinomas (all from the parotid) for the virus by both
immunohistochemistry (CM2B4 clone) and real-time polymerase chain reaction
directed against the conserved small T antigen. Five of the tumors had small cell
morphology, and 2 had large cell morphology. All were either chromogranin and/or
synaptophysin positive. Four of the 5 small cell (80%) and 1 of the 2 large cell
(50%) carcinomas were cytokeratin 20 positive. All but 1 case had cervical lymph
node metastases at presentation. Merkel cell polyomavirus T antigen was not
detected in any of the 7 tumors, either by immunohistochemistry or by polymerase
chain reaction with adequate controls. These observations suggest that primary
parotid high-grade neuroendocrine carcinoma arises from a biological pathway that
is different from that of cutaneous Merkel cell carcinomas. Furthermore, viral
testing may aid in distinguishing the 2 tumor types, as a positive result would
favor a metastasis.
PMID- 22067331
TI - Prox1 transcription factor as a marker for vascular tumors-evaluation of 314
vascular endothelial and 1086 nonvascular tumors.
AB - Prox1, a transcription factor important in the regulation and maintenance of the
lymphatic endothelial phenotype, is consistently expressed in lymphangiomas and
Kaposi sarcoma and has also been reported in Kaposiform hemangioendothelioma.
However, information on its distribution in vascular tumors, such as
angiosarcoma, is limited. In this study, we examined selected normal tissues and
314 vascular endothelial and 1086 nonvascular tumors to get an insight into the
biology of these tumors and on potential diagnostic use of Prox1 as an
immunohistochemical marker. In adult tissues, Prox1 was essentially restricted to
lymphatic endothelia, with expression in subsets of pancreatic and
gastrointestinal epithelia. However, it was also detected in embryonic liver and
heart. Prox1 was consistently expressed in lymphangiomas, venous hemangiomas,
Kaposi sarcoma, in endothelia of spindle cell hemangioma, Kaposiform
hemangioendothelioma, and retiform hemangioendothelioma, and in half of
epithelioid hemangioendotheliomas. It was present in most cutaneous angiosarcomas
from different sites but was less commonly expressed in deep soft tissue and
visceral angiosarcomas. In contrast, Prox1 was generally absent in capillary and
cavernous hemangiomas. In positive hemangiomas and angiosarcomas it was
coexpressed with podoplanin, another marker of the lymphatic endothelial
phenotype. There was an inverse correlation with CD34 expression. The expression
in mesenchymal nonendothelial neoplasm was limited. Prox1 was detected in 5 of 27
synovial sarcomas, specifically in the epithelia of biphasic tumors. Four of 16
Ewing sarcomas and 5 of 15 paragangliomas were also positive. All melanomas and
undifferentiated sarcomas were negative. Among epithelial neoplasms, Prox1 was
detected in 18 of 38 colonic carcinomas and 10 of 15 cholangiocarcinomas and in a
minority of pulmonary, prostatic, and endometrial adenocarcinomas. The common
Prox1 expression in angiosarcoma and its rare presence in nonvascular mesenchymal
tumors make this marker suitable for the diagnosis of angiosarcoma and Kaposi
sarcoma. However, the presence of Prox1 in some malignant epithelial tumors
necessitates caution in applying Prox1 as a marker for vascular tumors. Common
Prox1 expression in angiosarcoma may reflect the lymphatic endothelial phenotype
in these tumors. Its patterns of expression in hemangiomas and angiosarcoma may
be diagnostically useful and offer a new parameter in the biological
classification of vascular tumors.
PMID- 22067332
TI - Pax8 detection in well-differentiated pancreatic endocrine tumors: how reliable
is it?
PMID- 22067333
TI - Prediction of adenocarcinoma in esophagectomy specimens based upon analysis of
preresection biopsies of Barrett esophagus with at least high-grade dysplasia: a
comparison of 2 systems.
AB - Distinguishing Barrett esophagus with high-grade dysplasia (BE-HGD) from
intramucosal and submucosal adenocarcinomas on biopsies is challenging, yet
important, in the choice of therapy. The current study evaluates preresection
biopsies from patients who underwent esophagectomy for at least BE-HGD, to
compare the recently published histologic categories by the University of
Michigan (UM) and Cleveland Clinic (CC), correlate preresection and final
resection diagnosis, and identify histologic features in biopsies that might be
predictive of adenocarcinoma on esophagectomy. A total of 112 cases with a
consensus biopsy diagnosis (agreement by >=4 of 7 gastrointestinal pathologists)
were statistically analyzed to identify histologic features that predicted
adenocarcinoma on resection. Applying the UM criteria to the biopsy series showed
excellent agreement with the CC system (kappa=0.86) and significant correlation
between preoperative and esophagectomy diagnoses (P<0.001). The likelihood of
finding carcinoma on resection was significantly higher with the category of HGD
with marked glandular distortion cannot exclude intramucosal adenocarcinoma [CC;
odd ratio (OR), 2.8; P=0.046] or HGD suspicious for adenocarcinoma (UM; OR, 4.3;
P=0.008), compared to HGD alone. The presence of "never-ending" glands (OR, 3.7;
P=0.008), sheet-like growth (P<0.001), angulated glands (OR, 8.5; P<0.001), >=3
dilated glands with intraluminal debris (OR, 2.6; P=0.05), and >1 focus of single
cell infiltration into the lamina propria (OR, 8.9; P<0.001) increased the odds
of finding carcinoma on resection. The latter 2 variables remained independent
predictors of adenocarcinoma in multivariable analysis. In conclusion, the CC and
UM systems show excellent agreement and define histologic categories that can
improve prediction of adenocarcinoma on resection.
PMID- 22067334
TI - "Null pattern" of immunoreactivity in a Lynch syndrome-associated colon cancer
due to germline MSH2 mutation and somatic MLH1 hypermethylation.
AB - Lynch syndrome accounts for approximately 3% of newly diagnosed colorectal
cancers and is caused by germline defects in DNA mismatch repair genes. Screening
of patients for Lynch syndrome can be done by immunohistochemical staining for a
panel of mismatch repair proteins and/or DNA testing for microsatellite
instability. We describe a unique "null" immunophenotype in a Lynch syndrome
associated colon cancer in a 71-year-old woman who also had a personal history of
ureteral cancer and a strong family history of various malignancies.
Immunohistochemical stains for MLH1, MSH2, PMS2, and MSH6 were completely
negative in the tumor cells, with positive staining in stromal and inflammatory
cells. Mutation analysis using peripheral blood showed a germline G587R mutation
in the MSH2 gene. Further testing revealed the tumor to be positive for MLH1
promoter hypermethylation. Normal colonic mucosa adjacent to the tumor was
negative for MLH1 promoter methylation. The lack of immunostaining for any of the
4 DNA mismatch repair proteins in this extremely unusual case was, therefore,
related to a germline MSH2 mutation and somatic MLH1 promoter hypermethylation.
PMID- 22067335
TI - Video as poetry: Felix in Exile (1994) by William Kentridge (b. 1955).
PMID- 22067336
TI - Electrostimulation mapping of spatial neglect.
AB - BACKGROUND: Cortical and subcortical electrostimulation mapping during awake
brain surgery for tumor removal is usually used to minimize deficits. OBJECTIVE:
To use electrostimulation to study neuronal substrates involved in spatial
awareness in humans. METHODS: Spatial neglect was studied using a line bisection
task in combination with electrostimulation mapping of the right hemisphere in 50
cases. Stimulation sites were identified with Talairach coordinates. The
behavioral effects induced by stimulation, especially eye movements and
deviations from the median, were quantified and compared with preoperative data
and a control group. RESULTS: Composite and highly individualized spatial neglect
maps were generated. Both rightward and leftward deviations were induced,
sometimes in the same patient but for different stimulation sites. Group analysis
showed that specific and reproducible line deviations were induced by stimulation
of discrete cortical areas located in the posterior part of the right superior
and middle temporal gyri, inferior parietal lobe, and inferior postcentral and
inferior frontal gyri (P < .05). Fiber tracking identified stimulated subcortical
areas important to spare as sections of fronto-occipital and superior
longitudinal II fascicles. According to preoperative and postoperative neglect
battery tests, the specificity and sensitivity of intraoperative line bisection
tests were 94% and 83%, respectively. CONCLUSION: In humans, discrete cortical
areas that are variable in location between individuals but mainly located within
the right posterior Sylvian fissure sustain visuospatial attention specifically
toward the contralateral or ipsilateral space direction. Line bisection mapping
was found to be a reliable method for minimizing spatial neglect caused by brain
tumor surgery.
PMID- 22067340
TI - A better understanding of myelination using nano-indentation.
PMID- 22067341
TI - Predicting the first site of relapse for cancerous tumors using protein
expression profiles.
PMID- 22067342
TI - Seizures and free radicals: the antioxidant may become the next anticonvulsant.
PMID- 22067343
TI - The effect of endothelin receptor antagonists on vasospasm following aneurysmal
subarachnoid hemorrhage.
PMID- 22067344
TI - Enhancing memory via stimulation: a promising new technique?
PMID- 22067345
TI - SAMMPRIS: end of intracranial stenting for atherosclerosis or back to the drawing
board?
PMID- 22067346
TI - Direct conversion of human fibroblasts to functional neurons in one step.
PMID- 22067347
TI - Neurosurgery tops malpractice risk.
PMID- 22067359
TI - Hypertriglyceridemic pancreatitis in infant with erysipelas.
PMID- 22067362
TI - Protein acetylation and aging.
AB - Our results suggest the possible benefit of manipulating an intrinsic aging
pathway that is independent of nutrition availability, a potential therapeutic
route that might be able to bypass shortcomings of calorie restriction.
PMID- 22067349
TI - Three interrelated themes in current breast cancer research: gene addiction,
phenotypic plasticity, and cancer stem cells.
AB - Recent efforts to understand breast cancer biology involve three interrelated
themes that are founded on a combination of clinical and experimental
observations. The central concept is gene addiction. The clinical dilemma is the
escape from gene addiction, which is mediated, in part, by phenotypic plasticity
as exemplified by epithelial-to-mesenchymal transition and mesenchymal-to
epithelial transition. Finally, cancer stem cells are now recognized as the basis
for minimal residual disease and malignant progression over time. These themes
cooperate in breast cancer, as induction of epithelial-to-mesenchymal transition
enhances self-renewal and expression of cancer stem cells, which are believed to
facilitate tumor resistance.
PMID- 22067363
TI - Spatial and temporal distribution patterns of enkephalinergic neurons in adult
and developing retinas of the preproenkephalin-green fluorescent protein
transgenic mouse.
AB - Enkephalin (ENK) peptides are present in the retina of several vertebrate species
and play a crucial role in establishing specific circuits during retinal
development. However, there is no information available concerning the
development of ENKergic neurons in the mouse retina. To address this question, we
used preproenkephalin-enhanced green fluorescent protein (GFP) transgenic mice,
in which ENKergic neurons are revealed by GFP. Our results showed that most GFP
positive cells were located in the proximal part of the inner nuclear layer with
a scattering of GFP-immunoreactive cells in the ganglion cell layer (GCL) in the
adult retina. Double immunostaining with syntaxin indicates that GFP expression
was restricted to a population of amacrine cells. The proportions of glycine
transporter-1 and gamma-aminobutyric acid-positive cells among ENKergic neurons
were 57.3 +/- 2.4% and 10.1 +/- 1.8%, respectively. We then injected retrograde
tracer into the superior colliculus and observed that none of the ENKergic
neurons in the GCL were retrogradely labeled with the tracer. GFP-positive cells
were first observed at embryonic day (E) 15 in the inner neuroblastic layer at
only very low levels, which gradually increased until E18. After birth, there was
a steep rise in GFP expression levels, reaching maximal activity by postnatal day
(P) 7. The distribution and intensity of GFP-positive cells at P15 were similar
to those of adult retina. It was found that immunoreactive processes in the inner
plexiform layer formed strongly stained patches. The present results provide
detailed morphological evidence of the cell type and spatial and temporal
distribution of ENKergic neurons in the retina.
PMID- 22067364
TI - Particle filter with a mode tracker for visual tracking across illumination
changes.
AB - In this correspondence, our goal is to develop a visual tracking algorithm that
is able to track moving objects in the presence of illumination variations in the
scene and that is robust to occlusions. We treat the illumination and motion ( x
y translation and scale) parameters as the unknown "state" sequence. The
observation is the entire image, and the observation model allows for occasional
occlusions (modeled as outliers). The nonlinearity and multimodality of the
observation model necessitate the use of a particle filter (PF). Due to the
inclusion of illumination parameters, the state dimension increases, thus making
regular PFs impractically expensive. We show that the recently proposed approach
using a PF with a mode tracker can be used here since, even in most occlusion
cases, the posterior of illumination conditioned on motion and the previous state
is unimodal and quite narrow. The key idea is to importance sample on the motion
states while approximating importance sampling by posterior mode tracking for
estimating illumination. Experiments demonstrate the advantage of the proposed
algorithm over existing PF-based approaches for various face and vehicle
tracking. We are also able to detect illumination model changes, e.g., those due
to transition from shadow to sunlight or vice versa by using the generalized
expected log-likelihood statistics and successfully compensate for it without
ever loosing track.
PMID- 22067365
TI - Commutability of blur and affine warping in super-resolution with application to
joint estimation of triple-coupled variables.
AB - This paper proposes a new approach to the image blind super-resolution (BSR)
problem in the case of affine interframe motion. Although the tasks of image
registration, blur identification, and high-resolution (HR) image reconstruction
are coupled in the imaging process, when dealing with nonisometric interframe
motion or without the exact knowledge of the blurring process, classic SR
techniques generally have to tackle them (maybe in some combinations) separately.
The main difficulty is that state-of-the-art deconvolution methods cannot be
straightforwardly generalized to cope with the space-variant motion. We prove
that the operators of affine warping and blur commute with some additional
transforms and derive an equivalent form of the BSR observation model. Using this
equivalent form, we develop an iterative algorithm to jointly estimate the triple
coupled variables, i.e., the motion parameters, blur kernels, and HR image.
Experiments on synthetic and real-life images illustrate the performance of the
proposed technique in modeling the space-variant degradation process and
restoring local textures.
PMID- 22067366
TI - Incentives in the family II: behavioral dynamics and the evolution of non-costly
signaling.
AB - In many biological and social interactions, individuals with private information
have incentives to misrepresent their information. A prominent example is when
offspring know their need or condition but the parents do not. Theory showed that
signal costs can ensure truthful communication in such situations, but further
studies have cast in doubt whether empirically measured costs are high enough to
sustain honesty, and whether the costly signaling equilibrium represents a
fitness advantage over non-signaling. Here, I tackle these issues with a model of
signaling that takes place at the behavioral time-scale through dynamic responses
of individuals to each other. I then embed this behavioral model in an
evolutionary one that asks how the decision rules of the parent and offspring
evolve in response to the trade-off between signal costs and the costs of
provisioning. I find that a non-costly honest signaling equilibrium can evolve
when relatedness between siblings is above a certain threshold. This threshold is
lower when (i) offspring get satiated more quickly, (ii) the cost of provisioning
to the parent escalates less rapidly, or (iii) the variation in offspring need is
higher. These results provide a potential resolution to the apparent paradox of
costly begging. I also discuss the relation between costly signaling and
mechanism design theories.
PMID- 22067367
TI - Preventive and minimal intervention dentistry in the undergraduate curriculum.
AB - OBJECTIVES: This paper illustrates the preventive and minimal intervention
dentistry (MI) concepts with examples from cariology, but the philosophy is much
wider and should have an impact across all dental specialties and the entire
undergraduate curriculum. Collaboration between researchers, educators and
clinical dentists is very important for the continuing improvement of dentistry.
METHODS: This paper considers the potential of preventive dentistry and "MI
Dentistry" and then outlines a modern core cariology curriculum recently
developed for use across Europe. Related initiatives from the ICDAS Foundation's
ICCMSTM (International Caries Classification and Management System), the
International Association for Dental Research and the Alliance for a Cavity Free
Future (ACFF) are also outlined. CONCLUSIONS: Preventive and minimal intervention
dentistry are important topics, both for the present and increasingly for the
future.
PMID- 22067368
TI - Estrogenic botanical supplements, health-related quality of life, fatigue, and
hormone-related symptoms in breast cancer survivors: a HEAL study report.
AB - BACKGROUND: It remains unclear whether estrogenic botanical supplement (EBS) use
influences breast cancer survivors' health-related outcomes. METHODS: We examined
the associations of EBS use with health-related quality of life (HRQOL), with
fatigue, and with 15 hormone-related symptoms such as hot flashes and night
sweats among 767 breast cancer survivors participating in the Health, Eating,
Activity, and Lifestyle (HEAL) Study. HRQOL was measured by the Medical Outcomes
Study short form-36 physical and mental component scale summary score. Fatigue
was measured by the Revised-Piper Fatigue Scale score. RESULTS: Neither overall
EBS use nor the number of EBS types used was associated with HRQOL, fatigue, or
hormone-related symptoms. However, comparisons of those using each specific type
of EBS with non-EBS users revealed the following associations. Soy supplements
users were more likely to have a better physical health summary score (odds ratio
[OR] = 1.66, 95% confidence interval [CI] = 1.02-2.70). Flaxseed oil users were
more likely to have a better mental health summary score (OR = 1.76, 95% CI =
1.05-2.94). Ginseng users were more likely to report severe fatigue and several
hormone-related symptoms (all ORs >= 1.7 and all 95% CIs exclude 1). Red clover
users were less likely to report weight gain, night sweats, and difficulty
concentrating (all OR approximately 0.4 and all 95% CIs exclude 1). Alfalfa users
were less likely to experience sleep interruption (OR = 0.28, 95% CI = 0.12
0.68). Dehydroepiandrosterone users were less likely to have hot flashes (OR =
0.33, 95% CI = 0.14-0.82). CONCLUSIONS: Our findings indicate that several
specific types of EBS might have important influences on a woman's various
aspects of quality of life, but further verification is necessary.
PMID- 22067369
TI - Application of multiplex PCR approaches for shark molecular identification:
feasibility and applications for fisheries management and conservation in the
Eastern Tropical Pacific.
AB - Here we describe the application of new and existing multiplex PCR methodologies
for shark species molecular identification. Four multiplex systems (group ID,
thresher sharks, hammerhead sharks and miscellaneous shark) were employed with
primers previously described and some designed in this study, which allow for
species identification after running PCR products through an agarose gel. This
system was implemented for samples (bodies and fins) collected from unidentified
sharks landed in the port of Buenaventura and from confiscated tissues obtained
from illegal fishing around the Malpelo Island Marine Protected Area, Pacific
Coast of Colombia. This method has allowed reliable identification, to date, of
407 samples to the genus and/or species levels, most of them (380) identified as
the pelagic thresher shark (Alopias pelagicus). Another seven samples were
identified as scalloped hammerhead sharks (Sphyrna lewini). This is an easy-to
implement and reliable identification method that could even be used locally to
monitor shark captures in the main fishing ports of developed and developing
countries.
PMID- 22067370
TI - Serum superoxide dismutase and malondialdehyde levels in a group of Chinese
patients with age-related macular degeneration.
AB - BACKGROUND AND AIMS: The aim of this study was to investigate superoxide
dismutase (SOD) activity together with malondialdehyde (MDA) levels in a group of
Chinese patients with age-related macular degeneration (AMD). METHODS: Serum SOD
activity and MDA levels were analysed in 56 AMD patients with subtypes (early
dry, geographic atrophy, and wet) and 34 healthy controls matched with age and
sex. RESULTS: Serum MDA levels were significantly higher in AMD (3.68 +/- 1.06
nmol/mL) than in controls (2.83 +/- 0.43 nmol/mL; p=0.000), and was significantly
higher in wet AMD (3.79 +/- 0.79 nmol/mL) than in early dry AMD (3.26 +/- 0.99
nmol/mL; p=0.038). Serum SOD activity was significantly higher in AMD (87.12 +/-
13.22 U/mL) than in controls (79.91 +/- 11.80 U/mL; p=0.012), and slightly higher
in wet AMD (89.52 +/- 16.25 U/mL) than in GA (83.62 +/- 9.75 U/mL; p=0.275) and
early dry AMD (81.64 +/- 18.90 U/mL; p=0.093). There was a positive correlation
between serum MDA levels and SOD activities in AMD patients and controls
(r=0.320, p=0.002). CONCLUSIONS: The observed increase in SOD activity in our
study may be related to increased MDA levels, as a compensatory regulation in
response to oxidative stress in AMD patients. The present data also demonstrate
that oxido-reduction disturbance may be hypothesized in the pathogenesis of AMD.
PMID- 22067371
TI - Differences according to gender and health status in CD4:CD8 ratio in a sample of
community-dwelling oldest old. The OCTABAIX immune study.
AB - BACKGROUND AND AIMS: The presence of an immune-risk phenotype (IRP) has been
correlated with survival rates in elderly people. The aim of this study is to
characterize the inverted CD4:CD8 ratio as a possible marker of IRP in a sample
of oldest old (85 years) by assessing differences in gender and health status.
METHODS: Comorbidity, functional status (Barthel Index), and cognitive status
with the Spanish version of the Mini-Mental State Examination were evaluated. Non
disabled subjects were defined as those with better health status, with scores of
>90 on the Barthel Index and >23 points on the Spanish version of the Mini-Mental
State Examination. CD4:CD8 ratios were recorded, and a ratio of 1.00 or less was
used to define IRF. RESULTS: Three hundred and twelve subjects aged 85 years old
were studied, 190 women (60.9%) and 122 men. The CD4:CD8 ratio was 1.00 or less
in 47 subjects (15.6%) and higher than 2.2 in 115 (36.8%). There were no
differences in CD4:CD8 ratio according to health status. The inverted CD4:CD8
ratio was more frequent in men (55.3%). CONCLUSION: In this community-dwelling,
single year birth cohort study, the subgroup with poor health status did not have
a lower CD4:CD8 ratio. The inverted CD4:CD8 ratio was more frequent in men.
PMID- 22067372
TI - Association between generic and disease-specific quality of life questionnaires
and mobility and balance among women with osteoporosis and vertebral fractures.
AB - BACKGROUND AND AIMS: The aims of this study were to assess correlations between
two health-related quality of life (HRQOL) measurements, the Quality of Life
Questionnaire issued by the European Foundation for Osteoporosis (QUALEFFO- 41)
and the total score of The General Health Questionnaire (GHQ-20) in a population
of women living at home with well-established osteoporosis and at least one
vertebral fracture, as well as the internal consistency and floor and ceiling
effects of these measurements. Also examined were the mean values of these
measurements, to ascertain whether they were significantly different for the
group consisting of 75% of the women with the best performance on mobility and
balance, compared with the other participants. METHODS: Across-sectional study of
89 women aged 60 years or more, evaluated by QUALEFFO-41 (consisting of one total
score and five section scores), GHQ-20 (one total score), maximum speed and
Functional Reach (FR). RESULTS: Cronbach's alpha coefficient for measurements of
HRQOL ranged from 0.61 to 0.92. Significant correlations between 'QUALEFFO- 41:
total score' and 'GHQ-20: total score' were 0.49, and between 'GHQ-20: total
score' and section scores of 'QUALEFFO-41' 0.28-0.63. Those in the 75% group with
the highest maximum walking speed or longest distance on FR reported
significantly better disease-specific HRQOL than the others, with poorer results
on these tests. CONCLUSIONS: Disease-specific and generic HRQOL instruments are
not redundant when applied together, and the disease-specific 'QUALEFFO-41' and
generic GHQ-20 measure different aspects of HRQOL.
PMID- 22067373
TI - Carotid sinus syndrome is common in very elderly patients undergoing tilt table
testing and carotid sinus massage because of syncope or unexplained falls.
AB - BACKGROUND AND AIMS: Although the incidence of falls and syncope increase with
age, the underlying mechanisms are not fully understood, particularly in very old
patients. We report diagnostic outcomes of tilt table and carotid sinus massage
(TT/CSM) testing in a population of older old subjects (82% over 80 years of age)
referred for TT/CSM from a falls clinic for assessment of syncope or unexplained
falls. METHODS: Prospective observational study between January 1, 2001 and
January 1, 2005 involving 290 consecutive subjects undergoing TT/CSM testing
according to European Society of Cardiology guidelines for the diagnosis and
management of syncope. RESULTS: Combination of TT/CSM provided a positive result
in 62% of subjects, and was significantly more likely to be positive in subjects
over the age of 80 (68% vs 50%, p=0.001). Carotid sinus syndrome (CSS) was the
most common diagnosis, and was significantly more common in subjects over 80 (48%
vs 34%, p=0.022) particularly due to a higher incidence of mixed CSS (16% vs 7%,
p=0.023). There was no significant difference in the diagnosis of subjects
referred due to falls and those reporting syncope (p=0.93). No cardiovascular or
neurological adverse events occurred. CONCLUSIONS: In our cohort of very elderly
patients, the diagnostic accuracy of tilt testing and carotid sinus massage was
high and adverse incidents absent when testing was indicated by a geriatrician
experienced in the assessment of falls and syncope. We advocate the use of TT/CSM
testing as part of a comprehensive falls/syncope assessment for the diagnostic
evaluation of older patients presenting with unexplained falls and/or syncope in
whom structured assessment has not identified a cause for their symptoms.
PMID- 22067374
TI - Examination of the relationship between age and clinical function: missing the
trees for the wood.
PMID- 22067375
TI - Surfactant lavage therapy for meconium aspiration syndrome: a systematic review
and meta-analysis.
AB - BACKGROUND: Lung lavage with diluted surfactant has emerged as an innovative
treatment for meconium aspiration syndrome (MAS). However, the treatment effect
has not yet been fully established. OBJECTIVE: To investigate the effects of
surfactant lavage therapy for MAS by a systematic meta-analysis. METHODS:
Relevant studies were identified by database searches in MEDLINE (from 1950),
EMBASE (from 1980), and CENTRAL, up to June 2010, and by additional hand
searches. Meta-analyses were separately conducted for randomized controlled
trials (RCTs) and non-randomized controlled studies (NRSs). Risk of bias was
assessed and clinical as well as statistical heterogeneities were also
investigated in explaining the potential bias. RESULTS: Two RCTs (87 patients)
and eight NRSs (178 patients) were identified. From the results of the meta
analysis of RCTs, surfactant lavage significantly decreased death or the need for
extracorporeal membrane oxygenation (RR 0.34, 95% CI 0.11, 0.99). An
interventional benefit was indicated for other outcomes, although it was not
statistically significant based only on the two RCTs. Results from the analysis
of outcomes from NRSs are consistent with those from RCTs and demonstrated a
beneficial effect, which could be considered as supporting evidence. CONCLUSIONS:
Lung lavage with diluted surfactant appeared to improve the clinical outcome in
infants with MAS. Given that less than 100 infants were included in the two RCTs,
the findings of this study may still be regarded as insufficient evidence.
Further research will be needed to confirm the benefit as well as to refine the
lavage technique.
PMID- 22067383
TI - IL-15 administered by continuous infusion to rhesus macaques induces massive
expansion of CD8+ T effector memory population in peripheral blood.
AB - IL-15 promotes activation and maintenance of natural killer (NK) and CD8(+) T
effector memory (T(EM)) cells, making it a potential immunotherapeutic agent for
the treatment of cancer and immunodeficiency states. Here we report the
immunologic effects of 3 different IL-15 dosing strategies in Rhesus macaques. IL
15 at a dose of 20 MUg/kg/d administered by continuous intravenous infusion for
10 days resulted in a massive (100-fold) expansion of CD8(+) T(EM) cells in the
peripheral blood. In contrast, the administration of 20-40 MUg/kg/d of IL-15 by
subcutaneous injection resulted in a more modest (10-fold) expansion of CD8(+)
T(EM) cells. NK expansion was similar in both the continuous intravenous and
daily subcutaneous treatment groups. The observation that IL-15 administered by
continuous intravenous infusion is able to induce markedly greater expansions of
CD8(+) T(EM) cells than the same dose administered by other routes may have
important implications for clinical development of this cytokine.
PMID- 22067384
TI - Activity of alemtuzumab monotherapy in treatment-naive, relapsed, and refractory
severe acquired aplastic anemia.
AB - Antithymocyte globulin (ATG) + cyclosporine is effective in restoring
hematopoiesis in severe aplastic anemia (SAA). We hypothesized that the humanized
anti-CD52 mAb alemtuzumab might be active in SAA because of its lymphocytotoxic
properties. We investigated alemtuzumab monotherapy from 2003-2010 in treatment
naive, relapsed, and refractory SAA in 3 separate research protocols at the
National Institutes of Health. Primary outcome was hematologic response at 6
months. For refractory disease, patients were randomized between rabbit ATG +
cyclosporine (n = 27) and alemtuzumab (n = 27); the response rate for alemtuzumab
was 37% (95% confidence interval [CI], 18%-57%) and for rabbit ATG 33% (95% CI,
14%-52%; P = .78). The 3-year survival was 83% (95% CI, 68%-99%) for alemtuzumab
and 60% (95% CI, 43%-85%) for rabbit ATG (P = .16). For relapsed disease (n =
25), alemtuzumab was administered in a single-arm study; the response rate was
56% (95% CI, 35%-77%) and the 3-year survival was 86% (95% CI, 72%-100%). In
treatment-naive patients (n = 16), alemtuzumab was compared with horse and rabbit
ATG in a 3-arm randomized study; the response rate was 19% (95% CI 0%-40%), and
the alemtuzumab arm was discontinued early. We conclude that alemtuzumab is
effective in SAA, but best results are obtained in the relapsed and refractory
settings. The present trials were registered at www.clinicaltrials.gov as
NCT00195624, NCT00260689, and NCT00065260.
PMID- 22067385
TI - Role of c-MYC in alternative activation of human macrophages and tumor-associated
macrophage biology.
AB - In response to microenvironmental signals, macrophages undergo different
activation, including the "classic" proinflammatory phenotype (also called M1),
the "alternative" activation induced by the IL-4/IL-13 trigger, and the related
but distinct heterogeneous M2 polarization associated with the anti-inflammatory
profile. The latter is induced by several stimuli, including IL-10 and TGF-beta.
Macrophage-polarized activation has profound effects on immune and inflammatory
responses and in tumor biology, but information on the underlying molecular
pathways is scarce. In the present study, we report that alternative polarization
of macrophages requires the transcription factor c-MYC. In macrophages, IL-4 and
different stimuli sustaining M2-like polarization induce c-MYC expression and its
translocation to the nucleus. c-MYC controls the induction of a subset (45%) of
genes associated with alternative activation. ChIP assays indicate that c-MYC
directly regulates some genes associated with alternative activation, including
SCARB1, ALOX15, and MRC1, whereas others, including CD209, are indirectly
regulated by c-MYC. c-MYC up-regulates the IL-4 signaling mediators signal
transducer and activator of transcription-6 and peroxisome proliferator-activated
receptorgamma, is also expressed in tumor-associated macrophages, and its
inhibition blocks the expression of protumoral genes including VEGF, MMP9, HIF
1alpha, and TGF-beta. We conclude that c-MYC is a key player in alternative
macrophage activation, and is therefore a potential therapeutic target in
pathologies related to these cells, including tumors.
PMID- 22067386
TI - The repertoire of lambda light chains causing predominant amyloid heart
involvement and identification of a preferentially involved germline gene, IGLV1
44.
AB - Monoclonal Ig light chains (LC) can be responsible for pathologic conditions in
humans, as in systemic amyloid light amyloidosis. Protean clinical manifestations
characterize this disorder with the most varied combination of symptoms generated
by different degrees of diverse organ involvement. Kidney and heart are most
frequently interested, with major heart involvement as the most relevant
prognostic factor. The identification of the underlying mechanism involved in
organ targeting is of major relevance for the pathobiology of this disorder. To
this aim, we characterized the repertoire of variable region germline genes of
lambda LC preferentially targeting the heart and compared it with the repertoire
of LC that do not in a case-control study. We found that the repertoires were
highly restricted, showing preferential use of the same few germline genes but
with a different frequency pattern. A single gene, IGVL1-44, was found associated
with a 5-fold increase in the odds of dominant heart involvement (after adjusting
for confounders in a multivariable logistic model). These results support an
involvement of LC genetics in the determination of organ targeting. Study of the
characteristics of IGVL1-44-LC with, and of the minority without, heart
involvement might lead to identification of LC/tissue interactions.
PMID- 22067387
TI - Maturation and function of human dendritic cells are regulated by B lymphocytes.
AB - Mature dendritic cells (DCs) are stimulators of T-cell immune response, whereas
immature DCs support T-cell tolerance. Murine B cells can inhibit the production
of IL-12 by DCs and thereby hinder the inflammatory response. Notwithstanding the
importance of this modulation, only a few studies are available in humans. Here,
we have developed an in vitro model of cocultures to assess its significance. We
establish that human activated B cells restrained the development of monocytes
into immature DCs and their differentiation into mature DCs. In addition, they
decreased the density of HLA-DR from mature DCs, the expression of CD80 and CD86
coactivation molecules, the production of IL-12p70 required for antigen
presentation and Th1 differentiation, and inhibited the DC-induced T-cell
proliferation. These modulations were mediated by
CD19(+)IgD(low)CD38(+)CD24(low)CD27(-) B cells and needed direct cell-to-cell
contacts that involved CD62L for the control of CD80 and CD86 expression and a
soluble factor for the control of IL-12 production. Moreover, mature DCs from
patients with systemic lupus erythematosus displayed insensitivity to the
regulation of IL-12. Overall, it appears that human B cells can regulate DC
maturation and function and that inefficient B-cell regulation may influence an
improper balance between an effector inflammatory response and tolerance
induction.
PMID- 22067388
TI - Tumor penetrative depth considers both the size of sentinel lymph node metastases
and their location in relation to the nodal capsule.
PMID- 22067389
TI - Phase II study of intraperitoneal paclitaxel plus cisplatin and intravenous
paclitaxel plus bevacizumab as adjuvant treatment of optimal stage II/III
epithelial ovarian cancer.
AB - PURPOSE: Intraperitoneal (IP) cisplatin and intravenous (IV) or IP paclitaxel
constitute a standard therapy for optimally debulked ovarian cancer. Bevacizumab
prolongs progression-free survival (PFS) when included in first-line IV
chemotherapy. In this study, the safety and feasibility of adding bevacizumab to
a first-line IP regimen were assessed. PATIENTS AND METHODS: Treatment was as
follows: paclitaxel 135 mg/m(2) IV over 3 hours day 1, cisplatin 75 mg/m(2) IP
day 2, and paclitaxel 60 mg/m(2) IP day 8. Bevacizumab 15 mg/kg IV was given
after paclitaxel on day 1 beginning in cycle 2. After six cycles of chemotherapy,
bevacizumab was given every 3 weeks for 17 additional treatments. The primary end
point was safety and tolerability determined by whether 60% of patients completed
six cycles of IV/IP chemotherapy. RESULTS: Of 41 treated patients, 30 (73%)
received six cycles of IV/IP chemotherapy and 35 (85%) received at least four
cycles. Three (27%) of those who discontinued chemotherapy did so because of
complications related to bevacizumab (hypertension, n = 2; perforation, n = 1).
Grades 3 to 4 toxicities included neutropenia (34%), vasovagal syncope (10%),
hypertension (7%), nausea/vomiting (7%), hypomagnesemia (7%), and abdominal pain
(7%). There were three grade 3 small bowel obstructions (7%) during cycles 3, 9,
and 15. One patient died following rectosigmoid anastomotic dehiscence during
cycle 4. Estimated median PFS is 28.6 months (95% CI, 19.1 to 38.9 months). Three
patients (7%) had IP port malfunction. CONCLUSION: The addition of bevacizumab to
this IP regimen is feasible; however, bevacizumab may increase the risk of bowel
obstruction/perforation. The observed median PFS is similar to that seen with
IP/IV chemotherapy alone.
PMID- 22067390
TI - Validation study of a quantitative multigene reverse transcriptase-polymerase
chain reaction assay for assessment of recurrence risk in patients with stage II
colon cancer.
AB - PURPOSE: We developed quantitative gene expression assays to assess recurrence
risk and benefits from chemotherapy in patients with stage II colon cancer.
PATIENTS AND METHODS: We sought validation by using RNA extracted from fixed
paraffin-embedded primary colon tumor blocks from 1,436 patients with stage II
colon cancer in the QUASAR (Quick and Simple and Reliable) study of adjuvant
fluoropyrimidine chemotherapy versus surgery alone. A recurrence score (RS) and a
treatment score (TS) were calculated from gene expression levels of 13 cancer
related genes (n = 7 recurrence genes and n = 6 treatment benefit genes) and from
five reference genes with prespecified algorithms. Cox proportional hazards
regression models and log-rank methods were used to analyze the relationship
between the RS and risk of recurrence in patients treated with surgery alone and
between TS and benefits of chemotherapy. RESULTS: Risk of recurrence was
significantly associated with RS (hazard ratio [HR] per interquartile range,
1.38; 95% CI, 1.11 to 1.74; P = .004). Recurrence risks at 3 years were 12%, 18%,
and 22% for predefined low, intermediate, and high recurrence risk groups,
respectively. T stage (HR, 1.94; P < .001) and mismatch repair (MMR) status (HR,
0.31; P < .001) were the strongest histopathologic prognostic factors. The
continuous RS was associated with risk of recurrence (P = .006) beyond these and
other covariates. There was no trend for increased benefit from chemotherapy at
higher TS (P = .95). CONCLUSION: The continuous 12-gene RS has been validated in
a prospective study for assessment of recurrence risk in patients with stage II
colon cancer after surgery and provides prognostic value that complements T stage
and MMR. The TS was not predictive of chemotherapy benefit.
PMID- 22067391
TI - More than just an oncogene translocation and a kinase inhibitor: Kevin's story.
PMID- 22067392
TI - When a decision must be made: role of computer modeling in clinical cancer
research.
PMID- 22067393
TI - Assessment of BCR-ABL1 transcript levels at 3 months is the only requirement for
predicting outcome for patients with chronic myeloid leukemia treated with
tyrosine kinase inhibitors.
AB - PURPOSE: We studied BCR-ABL1 transcript levels in patients with chronic myeloid
leukemia in chronic phase (CML-CP) at 3, 6, and 12 months after starting imatinib
to identify molecular milestones that would predict for overall survival (OS) and
other outcomes more reliably than serial marrow cytogenetics. PATIENTS AND
METHODS: We analyzed 282 patients with CML-CP who received imatinib 400 mg/d as
first-line therapy followed by dasatinib or nilotinib if treatment with imatinib
failed. We used a receiver operating characteristic curve to identify the cutoffs
in transcript levels at 3, 6, and 12 months that would best predict patient
outcome. We validated our findings in an independent cohort of 95 patients
treated elsewhere. RESULTS: Patients with transcript levels of more than 9.84% (n
= 68) at 3 months had significantly lower 8-year probabilities of OS (56.9% v
93.3%; P < .001), progression-free survival, cumulative incidence of complete
cytogenetic response, and complete molecular response than those with higher
transcript levels. Similarly, transcript levels of more than 1.67% (n = 87) at 6
months and more than 0.53% (n = 93) at 12 months identified high-risk patients.
However, transcript levels at 3 months were the most strongly predictive for the
various outcomes. When we compared OS for the groups defined molecularly at 6 and
12 months with the usual cytogenetic milestones, categorization by transcript
numbers was the only independent predictor for OS (relative risk, 0.207; P < .001
and relative risk, 0.158; P < .001, respectively). CONCLUSION: A single
measurement of BCR-ABL1 transcripts performed at 3 months is the best way to
identify patients destined to fare poorly, thereby allowing early clinical
intervention.
PMID- 22067394
TI - Individualizing follow-up for patients with early-stage melanoma.
PMID- 22067395
TI - Minimizing therapy and maximizing outcomes in rectal cancer.
PMID- 22067396
TI - Chemoresponsive liver hemangioma in a patient with a metastatic germ cell tumor.
PMID- 22067397
TI - Phase II study of the mammalian target of rapamycin inhibitor ridaforolimus in
patients with advanced bone and soft tissue sarcomas.
AB - PURPOSE: Ridaforolimus is an inhibitor of mammalian target of rapamycin, an
integral component of the phosphatidyl 3-kinase/AKT signaling pathway, with early
evidence of activity in sarcomas. This multicenter, open-label, single-arm, phase
II trial was conducted to assess the antitumor activity of ridaforolimus in
patients with distinct subtypes of advanced sarcomas. PATIENTS AND METHODS:
Patients with metastatic or unresectable soft tissue or bone sarcomas received
ridaforolimus 12.5 mg administered as a 30-minute intravenous infusion once daily
for 5 days every 2 weeks. The primary end point was clinical benefit response
(CBR) rate (complete response or partial response [PR] or stable disease >= 16
weeks). Safety, progression-free survival (PFS), overall survival (OS), time to
progression, and duration of response were also evaluated. RESULTS: A total of
212 patients were treated in four separate histologic cohorts. In this heavily
pretreated population, 61 patients (28.8%) achieved CBR. Median PFS was 15.3
weeks; median OS was 40 weeks. Response Evaluation Criteria in Solid Tumors
(RECIST) confirmed response rate was 1.9%, with four patients achieving confirmed
PR (two with osteosarcoma, one with spindle cell sarcoma, and one with malignant
fibrous histiocytoma). Archival tumor protein markers analyzed were not
correlated with CBR. Related adverse events were generally mild or moderate and
consisted primarily of stomatitis, mucosal inflammation, mouth ulceration, rash,
and fatigue. CONCLUSION: Single-agent ridaforolimus in patients with advanced and
pretreated sarcomas led to PFS results that compare favorably with historical
metrics. A phase III trial based on these data will further define ridaforolimus
activity in sarcomas.
PMID- 22067398
TI - Path toward prognostication and prediction: an evolving matrix.
PMID- 22067399
TI - Optimizing the frequency of follow-up visits for patients treated for localized
primary cutaneous melanoma.
AB - PURPOSE: To develop more evidence-based guidelines for the frequency of patient
follow-up after treatment of localized (American Joint Committee on Cancer [AJCC]
stage I or II) melanoma. METHODS: We used data from Melanoma Institute Australia
on an inception cohort of 3,081 consecutive patients first diagnosed with stage I
or II melanoma between January 1985 and December 2009. Kaplan-Meier curves and
Cox models were used to characterize the time course and predictors for
recurrence and new primaries. We modeled the delay in diagnosis of recurrence or
new primary as well as the number of monitoring visits required using two
monitoring schedules: first, according to 2008 Australian and New Zealand
guidelines and, second, with fewer visits, especially for those at lowest risk of
recurrence. RESULTS: For every 1,000 patients beginning follow-up, 229 developed
recurrence and 61 developed new primary within 10 years. There was only a small
difference in modeled delay in diagnosis (extra 44.9 and 9.6 patients per 1,000
for recurrence and new primary, respectively, with delay greater than 2 months)
using a schedule that requires far fewer visits (3,000 fewer visits per 1,000
patients) than recommended by current guidelines. AJCC substage was the most
important predictor of recurrence, whereas age and date of primary diagnosis were
important predictors of developing new primary. CONCLUSION: By providing less
intensive monitoring, more efficient follow-up strategies are possible. Fewer
visits with a more focused approach may address the needs of patients and
clinicians to detect recurrent or new melanoma.
PMID- 22067400
TI - Wait-and-see policy for clinical complete responders after chemoradiation for
rectal cancer.
AB - PURPOSE: Neoadjuvant chemoradiotherapy for rectal cancer can result in complete
disappearance of tumor and involved nodes. In patients without residual tumor on
imaging and endoscopy (clinical complete response [cCR]) a wait-and-see-policy
(omission of surgery with follow-up) might be considered instead of surgery. The
purpose of this prospective cohort study was to evaluate feasibility and safety
of a wait-and-see policy with strict selection criteria and follow-up. PATIENTS
AND METHODS: Patients with a cCR after chemoradiotherapy were prospectively
selected for the wait-and-see policy with magnetic resonance imaging (MRI) and
endoscopy plus biopsies. Follow-up was performed 3 to 6 monthly and consisted of
MRI, endoscopy, and computed tomography scans. A control group of patients with a
pathologic complete response (pCR) after surgery was identified from a
prospective cohort study. Functional outcome was measured with the Memorial Sloan
Kettering Cancer Center (MSKCC) bowel function questionnaire and Wexner
incontinence score. Long-term outcome was estimated by using Kaplan-Meier curves.
RESULTS: Twenty-one patients with cCR were included in the wait-and-see policy
group. Mean follow-up was 25 +/- 19 months. One patient developed a local
recurrence and had surgery as salvage treatment. The other 20 patients are alive
without disease. The control group consisted of 20 patients with a pCR after
surgery who had a mean follow-up of 35 +/- 23 months. For these patients with a
pCR, cumulative probabilities of 2-year disease-free survival and overall
survival were 93% and 91%, respectively. CONCLUSION: A wait-and-see policy with
strict selection criteria, up-to-date imaging techniques, and follow-up is
feasible and results in promising outcome at least as good as that of patients
with a pCR after surgery. The proposed selection criteria and follow-up could
form the basis for future randomized studies.
PMID- 22067402
TI - Older women with breast cancer: slow progress, great opportunity, now is the
time.
PMID- 22067401
TI - RAS mutations are associated with the development of cutaneous squamous cell
tumors in patients treated with RAF inhibitors.
AB - PURPOSE: RAF inhibitors are effective against melanomas with BRAF V600E mutations
but may induce keratoacanthomas (KAs) and cutaneous squamous cell carcinomas
(cSCCs). The potential of these agents to promote secondary malignancies is
concerning. We analyzed cSCC and KA lesions for genetic mutations in an attempt
to identify an underlying mechanism for their formation. METHODS: Four
international centers contributed 237 KA or cSCC tumor samples from patients
receiving an RAF inhibitor (either vemurafenib or sorafenib; n = 19) or
immunosuppression therapy (n = 53) or tumors that developed spontaneously (n =
165). Each sample was profiled for 396 known somatic mutations across 33 cancer
related genes by using a mass spectrometric-based genotyping platform. RESULTS:
Mutations were detected in 16% of tumors (38 of 237), with five tumors harboring
two mutations. Mutations in TP53, CDKN2A, HRAS, KRAS, and PIK3CA were previously
described in squamous cell tumors. Mutations in MYC, FGFR3, and VHL were
identified for the first time. A higher frequency of activating RAS mutations was
found in tumors from patients treated with an RAF inhibitor versus populations
treated with a non-RAF inhibitor (21.1% v 3.2%; P < .01), although overall
mutation rates between treatment groups were similar (RAF inhibitor, 21.1%;
immunosuppression, 18.9%; and spontaneous, 17.6%; P = not significant). Tumor
histology (KA v cSCC), tumor site (head and neck v other), patient age (<= 70 v >
70 years), and sex had no significant impact on mutation rate or type.
CONCLUSION: Squamous cell tumors from patients treated with an RAF inhibitor have
a distinct mutational profile that supports a mechanism of therapy-induced
tumorigenesis in RAS-primed cells. Conceivably, cotargeting of MEK together with
RAF may reduce or prevent formation of these tumors.
PMID- 22067403
TI - From node to pathway blockade: lessons learned from targeting mammalian target of
rapamycin.
PMID- 22067404
TI - Nomogram for predicting the benefit of adjuvant chemoradiotherapy for resected
gallbladder cancer.
AB - PURPOSE: Although adjuvant chemoradiotherapy for resected gallbladder cancer may
improve survival for some patients, identifying which patients will benefit
remains challenging because of the rarity of this disease. The specific aim of
this study was to create a decision aid to help make individualized estimates of
the potential survival benefit of adjuvant chemoradiotherapy for patients with
resected gallbladder cancer. METHODS: Patients with resected gallbladder cancer
were selected from the Surveillance, Epidemiology, and End Results (SEER)
Medicare database who were diagnosed between 1995 and 2005. Covariates included
age, race, sex, stage, and receipt of adjuvant chemotherapy or chemoradiotherapy
(CRT). Propensity score weighting was used to balance covariates between treated
and untreated groups. Several types of multivariate survival regression models
were constructed and compared, including Cox proportional hazards, Weibull,
exponential, log-logistic, and lognormal models. Model performance was compared
using the Akaike information criterion. The primary end point was overall
survival with or without adjuvant chemotherapy or CRT. RESULTS: A total of 1,137
patients met the inclusion criteria for the study. The lognormal survival model
showed the best performance. A Web browser-based nomogram was built from this
model to make individualized estimates of survival. The model predicts that
certain subsets of patients with at least T2 or N1 disease will gain a survival
benefit from adjuvant CRT, and the magnitude of benefit for an individual patient
can vary. CONCLUSION: A nomogram built from a parametric survival model from the
SEER-Medicare database can be used as a decision aid to predict which gallbladder
patients may benefit from adjuvant CRT.
PMID- 22067405
TI - Induction of cutaneous squamous cell carcinomas by RAF inhibitors: cause for
concern?
PMID- 22067406
TI - Development and independent validation of a prognostic assay for stage II colon
cancer using formalin-fixed paraffin-embedded tissue.
AB - PURPOSE: Current prognostic factors are poor at identifying patients at risk of
disease recurrence after surgery for stage II colon cancer. Here we describe a
DNA microarray-based prognostic assay using clinically relevant formalin-fixed
paraffin-embedded (FFPE) samples. PATIENTS AND METHODS: A gene signature was
developed from a balanced set of 73 patients with recurrent disease (high risk)
and 142 patients with no recurrence (low risk) within 5 years of surgery.
RESULTS: The 634-probe set signature identified high-risk patients with a hazard
ratio (HR) of 2.62 (P < .001) during cross validation of the training set. In an
independent validation set of 144 samples, the signature identified high-risk
patients with an HR of 2.53 (P < .001) for recurrence and an HR of 2.21 (P =
.0084) for cancer-related death. Additionally, the signature was shown to perform
independently from known prognostic factors (P < .001). CONCLUSION: This gene
signature represents a novel prognostic biomarker for patients with stage II
colon cancer that can be applied to FFPE tumor samples.
PMID- 22067407
TI - Improvement in breast cancer outcomes over time: are older women missing out?
AB - PURPOSE: Women aged >= 75 years account for 40,000 breast cancers/yr and are the
most rapidly growing demographic. Recent data demonstrated that breast cancer
death rates in the US population are declining, but it is not known whether death
rates have declined similarly for older and younger women. We examined the
following two outcomes: the rate of breast cancer death in the general population
and the risk of breast cancer death in newly diagnosed patients, and we compared
change over time in these outcomes for older versus younger women. METHODS: By
using data from National Vital Statistics Reports that spanned from 1990 to 2007,
the yearly change in the age-specific rate of breast cancer death was
characterized with linear regression. With the use of the Surveillance,
Epidemiology, and End Results nine-registry cohort that spanned from 1980 to
1997, the yearly change in age-specific risk of breast cancer death was
characterized by using competing-risks regression adjusted for race and stage.
RESULTS: Relative to 1990, the rate of breast cancer death in the general
population decreased by 2.5%/yr for women age 20 to 49 years, 2.1%/yr for women
age 50 to 64 years, 2.0%/yr for women age 65 to 74 years, and 1.1%/yr for women
age >= 75 years. From 1980 to 1997, the adjusted risk of breast cancer death in
newly diagnosed patients decreased by 3.6%/yr for women age less than 75 years
versus 1.3%/yr for women age >= 75 years (P < .001). Over this time interval, the
10-year absolute risk of breast cancer death decreased by 15.3% for women age 50
to 64 years (from 31.9% to 16.6%) but by only 7.5% (from 24.8% to 17.4%) for
women age >= 75 years. CONCLUSION: Breast cancer outcomes have preferentially
improved in women age less than 75 years. Focused research is needed to improve
outcomes in older women.
PMID- 22067408
TI - Esophageal cancer: a critical evaluation of systemic second-line therapy.
AB - The objective of this article was to review clinical trials that used
antineoplastic second-line chemotherapy and/or targeted therapies in patients
with esophageal cancer after first-line therapy. Computerized (MEDLINE) and
manual searches were performed to identify articles published on this topic
between 1996 and 2011. Twenty-five published trials and four abstracts presented
at scientific meetings were identified. A total of 10 trials included only
patients with squamous cell carcinomas (SCCs), four focused exclusively on
adenocarcinoma (AC), the remaining 15 studies included both SCC and AC. The
majority of trials (17 of 29) used docetaxel in combination with platinum
analogs, eight used single-agent cytotoxic chemotherapy, and six evaluated
targeted therapies. The numbers of patients were relatively small, ranging from
eight to 55 patients. The response rates were generally low (between 0% and 39%),
with only two small studies reporting objective responses of 50% and 63%,
respectively. Time to progression ranged from 1.4 to 6.2 months, and the overall
survival was disappointing at 4.0 to 11.4 months. Approximately 40% of patients
who experience progressive disease after first-line chemotherapy are able to
undergo second-line treatment. On the basis of data published so far, no standard
second-line therapy has emerged. Future research will need to focus on individual
therapy strategies such as genetic receptor mutations to increase the therapeutic
outcome.
PMID- 22067409
TI - Balloon atrial septostomy and pre-operative brain injury in neonates with
transposition of the great arteries: a systematic review and a meta-analysis.
AB - OBJECTIVE: To perform a systematic review and a meta-analysis of the effects of
balloon atrial septostomy on peri-operative brain injury in neonates with
transposition of the great arteries. DATA SOURCE: We conduct a systematic review
of the literature to identify all observational studies that included neonates
born with transposition of the great arteries who had peri-operative evidence of
brain injury. STUDY SELECTION AND DATA EXTRACTION: The search strategy produced
three prospective and two retrospective cohort studies investigating the
association between balloon atrial septostomy and brain injury totalling 10,108
patients. In two studies, the outcome was represented by the presence of a coded
diagnosis of a clinically evident stroke at discharge, whereas in three studies
the outcome was represented by the finding of pre-operative brain injury
identified by magnetic resonance scans. DATA SYNTHESIS: The overall brain injury
rate for neonates who underwent balloon atrial septostomy versus control patients
was 60 of 2273 (2.6%) versus 45 of 7835 (0.5%; pooled odds ratio, 1.90; 95%
confidence intervals, 0.93-3.89; p = 0.08). A subgroup analysis of the three
studies that used pre-operative brain injury as the primary outcome found no
significant association between balloon atrial septostomy and brain injury
(pooled odds ratio, 2.70; 95% confidence intervals, 0.64-11.33; p = 0.17).
Balloon atrial septostomy frequency was 22.4% (2273 of 10,108), with reported
rates ranging from 20% to 75%. CONCLUSION: Our analysis shows that balloon atrial
septostomy is not associated with increased odds for peri-operative brain injury.
Balloon atrial septostomy should still be used for those patients with
significant hypoxaemia, haemodynamic instability, or both.
PMID- 22067410
TI - Phosphodiesterase 5 inhibition with sildenafil reverses exercise oscillatory
breathing in chronic heart failure: a long-term cardiopulmonary exercise testing
placebo-controlled study.
AB - AIMS: Exercise oscillatory breathing (EOB) is a ventilatory abnormality that
occurs in ~20% of heart failure (HF) patients and carries a very unfavourable
prognosis. Pulmonary vasoconstriction has been suggested to be involved in this
disorder. We hypothesized that modulation of pulmonary vascular hypertone by
oversignalling of the nitric oxide pathway with phosphodiesterase 5 (PDE5)
inhibition might be beneficial. Accordingly, we performed a 1-year pilot trial
with sildenafil in patients with HF and EOB. METHODS AND RESULTS: Among 122 HF
cases, 32 presented with EOB during cardiopulmonary exercise testing (CPX) and
were randomized to receive placebo (n = 16) or sildenafil (n = 16) at the dose of
50 mg three times a day, in addition to their current antifailure treatment. CPX
derived variables and pulmonary haemodynamics were assessed at 6 and 12 months.
Sildenafil reversed EOB in 87% of patients at 6 months and 93% at 1 year,
respectively (P < 0.01). This effect was accompanied by an improvement in
functional performance (peak VO(2); from 9.6 to 12.4 and 13.2 mL/min/kg; P <
0.01) and exercise ventilation efficiency (ventilation to CO(2) production slope;
from 41.1 to 32.7 and 31.5; P < 0.01). Chronic treatment with PDE5 inhibition
significantly decreased pulmonary capillary wedge pressure (from 21 to 14 and 14
mmHg), mean pulmonary artery pressure (PAP; from 34.8 to 23 and 24 mmHg), and
pulmonary vascular resistance (PVR; from 360 to 270 and 266 dyne/s/cm(5))
compared with placebo (P < 0.01 for each comparison). On exploratory analysis,
there was a correlation between PAP and PVR and the decrease in EOB in the
treatment group. Placebo did not alter any of the aforementioned variables.
CONCLUSIONS: PDE5 inhibition in HF patients with EOB offers the dual advantage of
improving functional capacity and modulating the EOB pattern. PAP and PVR
reduction seem to underlie the correction of the breathing disorder. Whether
reversal of this unfavourable prognostic signal can affect survival remains
unconfirmed at the moment.
PMID- 22067411
TI - Dental caries area of rat molar expanded by cigarette smoke exposure.
AB - OBJECTIVES: Passive smoking is the involuntary inhalation of cigarette smoke (CS)
and has an adverse impact on oral health. We examined the effect of CS exposure
on caries risk and experimental dental caries. METHODS: Experimental dental
caries was induced in rat maxillary molars which were inoculated orally with
Streptococcus mutans MT8148 and maintained on a cariogenic diet (diet 2000) and
high sucrose water during the experimental period. CS-exposed rats were
intermittently housed in an animal chamber with whole-body exposure to CS until
killed. Whole saliva was collected before CS exposure (day 0) and for 30 days
after the start of CS exposure. Saliva secretion was stimulated by administration
of isoproterenol and pilocarpine after anesthesia. Maxillary molars were
harvested on day 31. RESULTS: The increase in body weight of the CS-exposed rats
was less than that of the control rats. Salivary flow rate, concentration of S.
mutans in the stimulated saliva and caries activity score did not significantly
differ between 0 and 30 days after the start of CS exposure. Histological
examination of the caries-affected area on maxillary molars 30 days after CS
exposure showed expansion compared to control rats. In the electron probe
microanalysis, no differences were observed between the mineral components of the
CS-exposed teeth and the control teeth. CONCLUSION: These results suggest that CS
exposure expands the caries-affected area in the maxillary molars of the rat.
PMID- 22067412
TI - Phase-specific plasticity of synaptic structures in the somatosensory cortex of
living mice during neuropathic pain.
AB - BACKGROUND: Postsynaptic dendritic spines in the cortex are highly dynamic,
showing rapid morphological changes including elongation/retraction and
formation/elimination in response to altered sensory input or neuronal activity,
which achieves experience/activity-dependent cortical circuit rewiring. Our
previous long-term in vivo two-photon imaging study revealed that spine turnover
in the mouse primary somatosensory (S1) cortex markedly increased in an early
development phase of neuropathic pain, but was restored in a late maintenance
phase of neuropathic pain. However, it remains unknown how spine morphology is
altered preceding turnover change and whether gain and loss of presynaptic
boutons are changed during neuropathic pain. FINDINGS: Here we used short-term (2
hour) and long-term (2-week) time-lapse in vivo two-photon imaging of individual
spines and boutons in the S1 cortical layer 1 of the transgenic mice expressing
GFP in pyramidal neurons following partial sciatic nerve ligation (PSL). We found
in the short-term imaging that spine motility (Delta length per 30 min)
significantly increased in the development phase of neuropathic pain, but
returned to the baseline in the maintenance phase. Moreover, the proportion of
immature (thin) and mature (mushroom) spines increased and decreased,
respectively, only in the development phase. Long-term imaging data showed that
formation and elimination of boutons moderately increased and decreased,
respectively, during the first 3 days following PSL and was subsequently
restored. CONCLUSIONS: Our results indicate that the S1 synaptic structures are
rapidly destabilized and rearranged following PSL and subsequently stabilized in
the maintenance phase of neuropathic pain, suggesting a novel therapeutic target
in intractable chronic pain.
PMID- 22067413
TI - The uncertain consequences of transferring bacterial strains between laboratories
- rpoS instability as an example.
AB - BACKGROUND: Microbiological studies frequently involve exchanges of strains
between laboratories and/or stock centers. The integrity of exchanged strains is
vital for archival reasons and to ensure reproducible experimental results. For
at least 50 years, one of the most common means of shipping bacteria was by
inoculating bacterial samples in agar stabs. Long-term cultures in stabs exhibit
genetic instabilities and one common instability is in rpoS. The sigma factor
RpoS accumulates in response to several stresses and in the stationary phase. One
consequence of RpoS accumulation is the competition with the vegetative sigma
factor sigma70. Under nutrient limiting conditions mutations in rpoS or in genes
that regulate its expression tend to accumulate. Here, we investigate whether
short-term storage and mailing of cultures in stabs results in genetic
heterogeneity. RESULTS: We found that samples of the E. coli K-12 strain MC4100TF
exchanged on three separate occasions by mail between our laboratories became
heterogeneous. Reconstruction studies indicated that LB-stabs exhibited mutations
previously found in GASP studies in stationary phase LB broth. At least 40% of
reconstructed stocks and an equivalent proportion of actually mailed stock
contained these mutations. Mutants with low RpoS levels emerged within 7 days of
incubation in the stabs. Sequence analysis of ten of these segregants revealed
that they harboured each of three different rpoS mutations. These mutants
displayed the classical phenotypes of bacteria lacking rpoS. The genetic
stability of MC4100TF was also tested in filter disks embedded in glycerol. Under
these conditions, GASP mutants emerge only after a 3-week period. We also confirm
that the intrinsic high RpoS level in MC4100TF is mainly due to the presence of
an IS1 insertion in rssB. CONCLUSIONS: Given that many E. coli strains contain
high RpoS levels similar to MC4100TF, the integrity of such strains during
transfers and storage is questionable. Variations in important collections may be
due to storage-transfer related issues. These results raise important questions
on the integrity of bacterial archives and transferred strains, explain variation
like in the ECOR collection between laboratories and indicate a need for the
development of better methods of strain transfer.
PMID- 22067414
TI - Emotional and symptomatic reactivity to stress in individuals at ultra-high risk
of developing psychosis.
AB - BACKGROUND: The stress-vulnerability model of psychosis continues to be
influential. The aim of this study was to compare emotional and symptomatic
responses to stress in individuals at ultra-high risk (UHR) of developing
psychosis, in age- and gender-matched healthy controls, and in patients with non
affective psychosis. METHOD: A total of 27 UHR, 27 psychotic and 27 healthy
individuals completed the experience sampling method, an ambulant diary
technique, where they were required to fill in self-assessment questions about
their emotions, symptoms and perceived stress at semi-random times of the day for
6 days. Quesionnaire and interview assessments were also completed. RESULTS:
Multilevel regression analyses showed that individuals at UHR of developing
psychosis reported greater negative emotions in response to stress than the
healthy individuals. Against the initial hypotheses, the UHR individuals also
experienced greater emotional reactivity to stress when compared with the patient
group. No significant differences were observed between the patients and the non
clinical sample. Stress measures significantly predicted the intensity of
psychotic symptoms in UHR individuals and patients, but the extent of this did
not significantly differ between the groups. CONCLUSIONS: Individuals at UHR of
developing psychosis may be particularly sensitive to everyday stressors. This
effect may diminish after transition to psychosis is made and in periods of
stability. Subtle increases in psychotic phenomena occur in response to stressful
events across the continuum of psychosis.
PMID- 22067415
TI - Predictive value and safety of intraoperative neurophysiological monitoring with
motor evoked potentials in glioma surgery.
AB - BACKGROUND: Resection of gliomas in or adjacent to the motor system is widely
performed with intraoperative neuromonitoring (IOM). Despite the fact that data
on the safety of IOM are available, the significance and predictive value of the
procedure are still under discussion. Moreover, cases of false-negative
monitoring affect the surgeon's confidence in IOM. OBJECTIVE: To examine cases of
false-negative IOM to reveal structural explanations. METHODS: Between 2007 and
2010, we resected 115 consecutive supratentorial gliomas in or close to eloquent
motor areas using direct cortical stimulation for monitoring of motor evoked
potentials (MEPs). The monitoring data were reviewed and related to new
postoperative motor deficit and postoperative imaging. Clinical outcomes were
assessed during follow-up. RESULTS: Monitoring of MEPs was successful in 112
cases (97.4%). Postoperatively, 30.3% of patients had a new motor deficit, which
remained permanent in 12.5%. Progression-free follow-up was 9.7 months (range, 2
weeks-40.6 months). In 65.2% of all cases, MEPs were stable throughout the
operation, but 8.9% showed a new temporary motor deficit, whereas 4.5% (5
patients) presented with permanently deteriorated motor function representing
false-negative monitoring at first glance. However, these cases were caused by
secondary hemorrhage, ischemia, or resection of the supplementary motor area.
CONCLUSION: Continuous MEP monitoring provides reliable monitoring of the motor
system, influences the course of operation in some cases, and has to be regarded
as the standard for IOM of the motor system. In our series, we found no false
negative MEP results.
PMID- 22067416
TI - Conservative management of vestibular schwannoma--a prospective cohort study:
treatment, symptoms, and quality of life.
AB - BACKGROUND: One hundred ninety-three patients with sporadic unilateral vestibular
schwannoma given conservative management were enrolled in a prospective study.
OBJECTIVE: To evaluate the efficacy of conservative management and to determine
the effect of an initial conservative management on the quality of life (QOL) and
severity of audio vestibular symptoms. METHODS: The patients underwent magnetic
resonance imaging scans, clinical examination, and QOL assessment by 2 validated
questionnaires, the Short Form-36 (SF-36) and Glasgow Benefit Inventory (GBI).
Using regression analysis of clustered data, we analyzed possible associations
between tumor growth and symptoms and tested whether our earlier finding that
vertigo is associated with reduced QOL could be verified. RESULTS: The median
follow-up time was 43 months (range, 9-115 months; SD, 21.48 months). Results are
based on 703 clinical controls and 642 (SF-36) and 638 (GBI) questionnaires.
Seven patients were lost to follow-up. Approximately 40% of patients were in need
of treatment during follow-up. We found a statistically significant association
between tinnitus and vertigo and tumor growth. Vertigo was found to significantly
reduce QOL. There was a significant drop in the Social Function subscales of both
SF-36 and GBI, possibly attributable to progressive hearing loss. Otherwise,
there was no overall trend toward any change in QOL during the observation
period. In addition, QOL seemed to be little affected by treatment. CONCLUSION:
There was a small but statistically significant improvement in vestibular
complaints and no change in the occurrence of tinnitus. Except for hearing loss
caused by surgery, treatment did not affect symptoms or QOL significantly. Growth
was associated with the occurrence of tinnitus and balance problems.
PMID- 22067417
TI - Surgery of insular nonenhancing gliomas: volumetric analysis of tumoral
resection, clinical outcome, and survival in a consecutive series of 66 cases.
AB - BACKGROUND: Despite intraoperative technical improvements, the insula remains a
challenging area for surgery because of its critical relationships with vascular
and neurophysiological functional structures. OBJECTIVE: To retrospectively
investigate the morbidity profile in insular nonenhancing gliomas, with special
emphasis on volumetric analysis of tumoral resection. METHODS: From 2000 to 2010,
66 patients underwent surgery. All surgical procedures were conducted under
cortical-subcortical stimulation and neurophysiological monitoring. Volumetric
scan analysis was applied on T2-weighted magnetic resonance images (MRIs) to
establish preoperative and postoperative tumoral volume. RESULTS: The median
preoperative tumor volume was 108 cm. The median extent of resection was 80%. The
median follow-up was 4.3 years. An immediate postoperative worsening was detected
in 33.4% of cases; a definitive worsening resulted in 6% of cases. Patients with
extent of resection of > 90% had an estimated 5-year overall survival rate of
92%, whereas those with extent of resection between 70% and 90% had a 5-year
overall survival rate of 82% (P < .001). The difference between preoperative
tumoral volumes on T2-weighted MRI and on postcontrast T1-weighted MRI ([T2 - T1]
MRI volume) was computed to evaluate the role of the diffusive tumoral growing
pattern on overall survival. Patients with preoperative volumetric difference <
30 cm demonstrated a 5-year overall survival rate of 92%, whereas those with a
difference of > 30 cm had a 5-year overall survival rate of 57% (P = .02).
CONCLUSION: With intraoperative cortico-subcortical mapping and
neurophysiological monitoring, a major resection is possible with an acceptable
risk and a significant result in the follow-up.
PMID- 22067418
TI - Predicting long-term remission by measuring immediate postoperative growth
hormone levels and oral glucose tolerance test in acromegaly.
AB - BACKGROUND: The suppression of the growth hormone (GH) on an oral glucose
tolerance test (OGTT) has been accepted as the most reliable parameter for
determining remission of acromegaly. OBJECTIVE: To evaluate the role of immediate
postoperative GH level and 1-week postoperative OGTT as early predictive tools of
long-term surgical remission. METHODS: One hundred ninety-four acromegalic
patients who received transsphenoidal tumor resection and were followed up for >
1.5 years (3.80 +/- 0.17 years) with at least 3 postoperative OGTTs were
evaluated. Level of GH was measured 2, 6, 12, 18, 24, 48, and 72 hours
postoperatively, and an OGTT was performed 1 week after surgery, every 6 months
for the first 3 years, and annually thereafter. RESULTS: One hundred seventy
seven patients underwent gross total resection; long-term remission was achieved
in 153. The GH level at 24 hours after surgery showed the highest predictive
power for long-term remission. Long-term remission was maintained in 125/127
(98.4%) patients who had nadir GH levels < 1.0 MUg/L on an early postoperative
OGTT. However, when nadir GH levels were > 1.0 MUg/L on an early postoperative
OGTT, long-term remission was observed in 28 patients (28 of 67, 41.8%) in a
delayed fashion. One-week postoperative OGTT had a sensitivity of 81.7% and
specificity of 95.1% for predicting remission. CONCLUSION: Immediate
postoperative GH level is a very good predictor of long-term outcome in
acromegaly. One-week postoperative OGTT is also a good predictor with high
specificity. These findings may provide critical information for the
determination of adjuvant treatment after surgery.
PMID- 22067420
TI - Impact of changes in intraoperative somatosensory evoked potentials on stroke
rates after clipping of intracranial aneurysms.
AB - BACKGROUND: Somatosensory evoked potential (SSEP) monitoring is used during
intracranial aneurysm surgery to track the effects of anesthesia, surgical
manipulation, and temporary clipping. OBJECTIVE: To present the outcomes of 663
consecutive patients (691 cases) treated surgically for intracranial aneurysms
who underwent intraoperative SSEP monitoring and to analyze the sensitivity and
specificity of significant SSEP changes in predicting postoperative stroke.
METHODS: Of 691 surgeries analyzed, 403 (391 anterior circulation, 12 posterior
circulation) were unruptured aneurysms and 288 (277 anterior, 11 posterior) were
ruptured. Postoperatively, symptomatic patients underwent computed tomography
imaging. Positive predictive value, negative predictive value, sensitivity, and
specificity were calculated with a Fisher exact test (2-tailed P value). RESULTS:
Changes in SSEP occurred in 45 of 691 cases (6.5%): 16 of 403 (4.0%) in
unruptured aneurysms and 29 of 288 (10%) in ruptured aneurysms. In unruptured
aneurysms, reversible SSEP changes were associated with a 20% stroke rate, but
irreversible changes were associated with an 80% stroke rate. In ruptured
aneurysms, however, reversible changes were associated with a 12% stroke rate,
and irreversible changes were associated with a 42% stroke rate. The overall
accuracy of SSEP changes in predicting postoperative stroke was as follows:
positive predictive value, 30%; negative predictive value, 94%; sensitivity, 25%;
and specificity, 95%. CONCLUSION: Intraoperative SSEP changes are more reliable
in unruptured aneurysm cases than in ruptured cases. Whereas irreversible changes
in unruptured cases were associated with an 80% stroke rate, such changes in
ruptured cases did not have any adverse ischemic sequelae in 58% of patients.
This information is helpful during the intraoperative assessment of reported SSEP
changes.
PMID- 22067421
TI - Effectiveness of repeat glycerol rhizotomy in treating recurrent trigeminal
neuralgia.
AB - BACKGROUND: Percutaneous glycerol rhizotomy (GR) is used to treat trigeminal
neuralgia (TN), with satisfactory pain relief lasting 2 to 3 years in most
patients after the first intervention. The efficacy of subsequent GRs, however,
has not been studied. OBJECTIVE: To compare the pain relief and durability
achieved by the first GR with those obtained after subsequent GRs in a
retrospective cohort of TN patients. METHODS: Between 1998 and 2010, 548 patients
with TN underwent 708 GRs. After exclusions, 430 initial GRs (GR1) and 114
subsequent GRs (GR2+) were compared in terms of initial pain relief, durability,
sensory change, and complications. Durability was assessed by determining median
time to treatment failure for all GRs achieving complete pain relief without
medications (n = 375: 264 failures, 111 censored). Predictors of initial pain
relief were assessed by logistic regression, and predictors of failure were
assessed by Cox regression analysis. RESULTS: After GR1, pain relief results were
as follows: 285 patients (66%) were pain free without medications, 26 (6%) were
pain free with medications, 66 (15%) improved, and 53 (12%) were unchanged. After
GR2+, results were as follows: 90 patients (79%) were pain free without
medications, 6 (5%) were pain free with medications, 7 (6%) improved, and 11
(10%) were unchanged (P = .03). Median time to treatment failure was 26 months
after GR1 and 25 months after GR2+ (P = .34). On multivariate analysis, prior GR
was a positive predictor of initial pain relief (odds ratio, 2.067; 95%
confidence interval, 1.243-3.437; P = .005) and had no effect on durability.
CONCLUSION: TN patients experienced greater pain relief and equivalent durability
after GR2+ beyond the initial treatment.
PMID- 22067422
TI - Characterization of the supplementary motor area syndrome and seizure outcome
after medial frontal lobe resections in pediatric epilepsy surgery.
AB - BACKGROUND: In adults, resection of the medial frontal lobe has been shown to
result in supplementary motor area (SMA) syndrome, a disorder characterized by
transient motor impairment. Studies examining the development of SMA syndrome in
children, however, are wanting. OBJECTIVE: To characterize the development of SMA
syndrome and to analyze seizure outcomes after surgery in the medial frontal lobe
for medically intractable epilepsy. METHODS: Thirty-nine patients with medically
intractable epilepsy who underwent surgery in the medial frontal lobe were
reviewed retrospectively. The progression of neurological impairment and seizure
outcome after surgery was recorded, and the extent of cortex resected was
analyzed. RESULTS: After resection in the region of the SMA, 23 patients (59%)
developed postoperative neurological impairment; 17 (74%) were identified as SMA
syndrome. No neurological impairment was found after surgery in 16 patients
(41%). Six patients (15%) experienced permanent neurological impairment. The
majority of patients (82%) who developed SMA syndrome had resolution of their
symptoms by 1 month postoperatively. Preoperative magnetic resonance imaging
finding of lesional cases was associated with a significantly decreased
likelihood of developing SMA syndrome (P = .02). Seizure outcome was favorable
after surgery in most patients. CONCLUSION: Surgery for medically intractable
epilepsy in the region of the medial frontal cortex is effective and associated
with reversible neurological impairment in children. All patients had resolution
of their SMA syndrome by 6 months postoperatively.
PMID- 22067423
TI - Ventricular catheter location and the clearance of intraventricular hemorrhage.
AB - BACKGROUND: There is no consensus regarding optimal position of an external
ventricular drain (EVD) with regard to clearance of intraventricular hemorrhage
(IVH). OBJECTIVE: To assess the hypothesis that EVD laterality may influence the
clearance of blood from the ventricular system with and without administration of
thrombolytic agent. METHODS: The EVD location was assessed in 100 patients in 2
Clot Lysis Evaluating Accelerated Resolution of Intraventricular Hemorrhage
(CLEAR IVH) phase II trials assessing the safety and dose optimization of
thrombolysis through the EVD to accelerate the clearance of obstructive IVH.
Laterality of catheter was correlated with clearance rates. RESULTS: Clearance of
IVH over the first 3 days was significantly greater when thrombolytic compared
with placebo was administered regardless of catheter laterality (P < .005; 95%
confidence interval, -14.0 to -4.14 for contralateral EVD and -24.7 to -5.44 for
ipsilateral EVD). When thrombolytic was administered, there was a trend toward
more rapid clearance of total IVH through an EVD placed on the side of dominant
intraventricular blood compared with an EVD on the side with less blood (P = .09;
95% confidence interval, -9.62 to 0.69). This was not true when placebo was
administered. Clearance of third and fourth ventricular blood was unrelated to
EVD laterality. CONCLUSION: It is possible that placement of EVD may be optimized
to enhance the clearance of total IVH if lytic agents are used. Catheters on
either side can clear the third and fourth ventricles with equal efficiency.
PMID- 22067424
TI - Prognostic value of pulmonary dead space in patients with the acute respiratory
distress syndrome.
AB - A study published in the previous issue of Critical Care demonstrates that
measurement of the pulmonary dead-space fraction is superior to hypoxemia as an
indicator of a favorable physiologic response to prone positioning in patients
with severe acute respiratory distress syndrome. These results add to the growing
evidence supporting the clinical and research value of measuring pulmonary dead
space in patients with acute respiratory distress syndrome and using this
pulmonary physiologic end-point as one indicator of a favorable response to
therapy.
PMID- 22067426
TI - The performance of the leveraged buyout of the Hospital Corporation of America,
Inc.
AB - BACKGROUND: A leveraged buyout (LBO) is a type of corporate reorganization and
acquisition practice whereby private investors borrow a substantial amount of
debt to acquire a firm by buying back its publicly held stock to go private. The
Hospital Corporation of America, Inc. (HCA), went through its second LBO in July
of 2006. A prior study on the performance changes of the first LBO found no
significant changes in revenues, expenses, or profitability. PURPOSES: In this
study, we evaluated the changes in performance measures for HCA hospitals during
the second LBO period. We looked at the effect of the LBO on financial and
operational performance indicators, controlling for market and hospital
characteristics. METHODOLOGY: We identified 121 urban HCA hospitals that
consistently reported data over a 4-year window from 1 year pre-LBO to 3 years
post-LBO and evaluated their study performance changes during the period. Primary
data for operational and financial measures are derived from Health Care Cost
Report Information System data sets. FINDINGS: On the basis of this study, the
LBO led to significant increases in cash flow margin, net patient revenues, and
total asset turnover ratio. It also increased operating expenses significantly.
However, it was not associated with changes in labor costs, staffing, and capital
investment. PRACTICE IMPLICATIONS: The management of publicly traded hospitals
that consider an LBO should develop operating strategies to maintain a strong
cash flow performance and find ways to boost patient volume. It also needs to
determine if it would be able to continue investing in its facilities to keep
physicians and patients loyal and to keep investing in the training and retention
of employees, which ultimately improves the quality of care and enhances
operational efficiency.
PMID- 22067427
TI - Work environment factors other than staffing associated with nurses' ratings of
patient care quality.
AB - BACKGROUND: The impact of registered nurse (RN) staffing on patient care quality
has been extensively studied. Identifying additional modifiable work environment
factors linked to patient care quality is critical as the projected shortage of
approximately 250,000 RNs over the next 15 years will limit institutions' ability
to rely on RN staffing alone to ensure high-quality care. PURPOSE: We examined
the association between RNs' ratings of patient care quality and several novel
work environment factors adjusting for the effects of two staffing variables:
reported patient-to-RN ratios and ratings of staffing adequacy. METHODOLOGY: We
used a cross-sectional, correlational design and a mailed survey to collect data
in 2009 from a national sample of RNs (n = 1,439) in the United States. A
multivariate logistic regression was used to analyze the data. FINDINGS:
Workgroup cohesion, nurse-physician relations, procedural justice, organizational
constraints, and physical work environment were associated with RNs' ratings of
quality, adjusting for staffing. Furthermore, employment in a Magnet hospital and
job satisfaction were positively related to ratings of quality, whereas
supervisory support was not. PRACTICE IMPLICATIONS: Our evidence demonstrates the
importance of considering RN work environment factors other than staffing when
planning improvements in patient care quality. Health care managers can use the
results of our study to strategically allocate resources toward work environment
factors that have the potential to improve quality of care.
PMID- 22067428
TI - Are utilities bounded at 1.0? Implications for statistical analysis and scale
development.
PMID- 22067429
TI - Calculating utility decrements associated with an adverse event: marginal Tobit
and CLAD coefficients should be used with caution.
AB - BACKGROUND: When calculating the decreases in health utility associated with
adverse events, often a number of respondents achieve the upper utility bound of
1. "Marginal" Tobit or CLAD coefficients have been used to account for this.
These are calculated by using a Tobit or a CLAD model to estimate the decrease in
a latent unbounded variable associated with the event or condition, then to
multiply by the proportion of respondents falling below 1 in order to transform
back to the utility scale. OBJECTIVE: & METHODS: Starting with the Tobit model,
we show mathematically that this procedure is not valid, when calculating
decreases in utility associated with binary events. We then generalize the result
to the CLAD model. A selection of published studies is used to illustrate the
bias in the marginal Tobit decrements. RESULTS: The degree of bias is more severe
the greater the decrease in utility associated with the event, and the larger the
proportion of individuals at the upper ceiling.In the examples studied, the
degree of bias was often greater than 10%. We provide the correct formula for
calculating the utility decrement. CONCLUSIONS: The marginal Tobit and CLAD
coefficients should not be used as estimates of a utility decrement corresponding
to an adverse event or health condition unless the coefficients are small in
absolute value, or if the proportion of individuals at the upper utility bound is
small. In other settings, the corrected formula or alternative regression methods
(e.g. linear models of mean utility) should be considered.
PMID- 22067430
TI - The relative importance of quality of care information when choosing a hospital
for surgical treatment: a hospital choice experiment.
AB - OBJECTIVE: To assess the impact of quality of care and other hospital information
on patients' choices between hospitals. METHODS: 665 former surgical patients
were invited to respond to an Internet-based questionnaire including a choice
based conjoint analysis. Each patient was presented with 12 different comparisons
of 2 hospitals, with each hospital characterized by 6 attributes containing 2
levels. Hospital attributes were included if frequently reported by patients as
most important for future hospital choices. These included both general hospital
information (e.g., atmosphere), information on quality of care (e.g., percentage
of patients with "textbook outcome"), and surgery-specific information (e.g.,
possibility for minimally invasive procedure). Hierarchial Bayes estimation was
used to estimate the utilities for each attribute level for each patient. Based
on the ranges of these utilities, the relative importance of each hospital
attribute was determined for each participant as a measure of the impact on
patients' choices. RESULTS: 308 (46.3%) questionnaires were available for
analysis. Of the hospital attributes that patients considered, surgery-specific
information on average had the highest relative importance (25.7 [23.9-27.5]),
regardless of gender, age, and education. Waiting time and hospital atmosphere
were considered least important. The attribute concerning the percentage of
patients with "textbook outcomes" had the second greatest impact (18.3 [16.9
19.6]), which was similar for patients with different adverse outcome experience.
CONCLUSIONS: Surgery-specific and quality of care information are more important
than general information when patients choose between hospitals.
PMID- 22067431
TI - Varieties of uncertainty in health care: a conceptual taxonomy.
AB - Uncertainty is a pervasive and important problem that has attracted increasing
attention in health care, given the growing emphasis on evidence-based medicine,
shared decision making, and patient-centered care. However, our understanding of
this problem is limited, in part because of the absence of a unified, coherent
concept of uncertainty. There are multiple meanings and varieties of uncertainty
in health care that are not often distinguished or acknowledged although each may
have unique effects or warrant different courses of action. The literature on
uncertainty in health care is thus fragmented, and existing insights have been
incompletely translated to clinical practice. This article addresses this problem
by synthesizing diverse theoretical and empirical literature from the fields of
communication, decision science, engineering, health services research, and
psychology and developing a new integrative conceptual taxonomy of uncertainty. A
3-dimensional taxonomy is proposed that characterizes uncertainty in health care
according to its fundamental sources, issues, and locus. It is shown how this new
taxonomy facilitates an organized approach to the problem of uncertainty in
health care by clarifying its nature and prognosis and suggesting appropriate
strategies for its analysis and management.
PMID- 22067433
TI - Conversion of the Mini-Mental State Examination to the International
Classification of Functioning, Disability and Health terminology and scoring
system.
AB - BACKGROUND: In older patients, evaluation of the cognitive status is crucial. The
Mini-Mental State Examination (MMSE) is widely used for screening of cognition,
providing fairly high sensitivity, specificity and reproducibility. Recently, a
consensus emerged on the necessity of an international and transparent language,
as provided by the WHO's International Classification of Functioning, Disability
and Health (ICF). Most assessment tools however are not in accordance with the
ICF. OBJECTIVE: To reformulate the MMSE according to the ICF, both for the
individual items and for the scoring system. METHOD: MMSE data (scores varying
from 3 to 30/30) of (1) 217 cognitively healthy elderly, (2) 60 persons with mild
cognitive impairment, (3) 60 patients with mild Alzheimer's disease (AD), and (4)
60 patients with moderate/severe AD were obtained from studies at a university
hospital setting. Subjects were aged 65 years or more and recruited either
through advertisement (group 1), from the geriatric day hospital (groups 2 and
3), or the geriatric ward (group 4). The allocation to the groups was done after
multidisciplinary evaluation. The conversion of the MMSE to ICF-MMSE was done by
content comparison and by subsequent translation of the scoring system using
automatic algorithms. RESULTS: All MMSE items were converted to the corresponding
ICF categories. Three ICF domains were addressed: global and specific mental
functions, general tasks and demands, divided over 6 ICF categories (orientation
time/place, sustaining attention, memory functions, mental functions of language,
undertaking a simple task). Scores on individual items were transformed according
to their relative weight on the original MMSE scale, and a total ICF-MMSE score
from 0 (no problem) to 100 (complete problem) was generated. Translation was
satisfying, as illustrated by a good correlation between MMSE and ICF-MMSE. The
diagnostic groups were distributed over the ICF-MMSE scores as expected. For each
ICF domain, ICF-MMSE subscores were higher with increasing severity in cognitive
decline. There was a higher dispersion, in accordance with the more detailed
scoring possibilities of the ICF-MMSE. CONCLUSIONS: It is possible to adapt the
MMSE to the ICF concept. This adaptation enhances interdisciplinary communication
since it provides more clarity in assessment, with better visibility of the areas
covered by the instrument.
PMID- 22067432
TI - 'Relax and Repair' to restrain aging.
AB - The maintenance of genomic integrity requires the precise identification and
repair of DNA damage. Since DNA is packaged and condensed into higher order
chromatin, the events associated with DNA damage recognition and repair are
orchestrated within the layers of chromatin. Very similar to transcription,
during DNA repair, chromatin remodelling events and histone modifications act in
concert to 'open' and relax chromatin structure so that repair proteins can gain
access to DNA damage sites. One such histone mark critical for maintaining
chromatin structure is acetylated lysine 16 of histone H4 (AcH4K16), a
modification that can disrupt higher order chromatin organization and convert it
into a more 'relaxed' configuration. We have recently shown that impaired H4K16
acetylation delays the accumulation of repair proteins to double strand break
(DSB) sites which results in defective genome maintenance and accelerated aging
in a laminopathy-based premature aging mouse model. These results support the
idea that epigenetic factors may directly contribute to genomic instability and
aging by regulating the efficiency of DSB repair. In this article, the interplay
between epigenetic misregulation, defective DNA repair and aging is discussed.
PMID- 22067434
TI - An optimization of allocation of information granularity in the interpretation of
data structures: toward granular fuzzy clustering.
AB - Clustering forms one of the most visible conceptual and algorithmic framework of
developing information granules. In spite of the algorithm being used, the
representation of information granules-clusters is predominantly numeric (coming
in the form of prototypes, partition matrices, dendrograms, etc.). In this paper,
we consider a concept of granular prototypes that generalizes the numeric
representation of the clusters and, in this way, helps capture more details about
the data structure. By invoking the granulation-degranulation scheme, we design
granular prototypes being reflective of the structure of data to a higher extent
than the representation that is provided by their numeric counterparts
(prototypes). The design is formulated as an optimization problem, which is
guided by the coverage criterion, meaning that we maximize the number of data for
which their granular realization includes the original data. The granularity of
the prototypes themselves is treated as an important design asset; hence, its
allocation to the individual prototypes is optimized so that the coverage
criterion becomes maximized. With this regard, several schemes of optimal
allocation of information granularity are investigated, where interval-valued
prototypes are formed around the already produced numeric representatives.
Experimental studies are provided in which the design of granular prototypes of
interval format is discussed and characterized.
PMID- 22067435
TI - A self-learning particle swarm optimizer for global optimization problems.
AB - Particle swarm optimization (PSO) has been shown as an effective tool for solving
global optimization problems. So far, most PSO algorithms use a single learning
pattern for all particles, which means that all particles in a swarm use the same
strategy. This monotonic learning pattern may cause the lack of intelligence for
a particular particle, which makes it unable to deal with different complex
situations. This paper presents a novel algorithm, called self-learning particle
swarm optimizer (SLPSO), for global optimization problems. In SLPSO, each
particle has a set of four strategies to cope with different situations in the
search space. The cooperation of the four strategies is implemented by an
adaptive learning framework at the individual level, which can enable a particle
to choose the optimal strategy according to its own local fitness landscape. The
experimental study on a set of 45 test functions and two real-world problems show
that SLPSO has a superior performance in comparison with several other peer
algorithms.
PMID- 22067436
TI - Symbolic dynamic filtering and language measure for behavior identification of
mobile robots.
AB - This paper presents a procedure for behavior identification of mobile robots,
which requires limited or no domain knowledge of the underlying process. While
the features of robot behavior are extracted by symbolic dynamic filtering of the
observed time series, the behavior patterns are classified based on language
measure theory. The behavior identification procedure has been experimentally
validated on a networked robotic test bed by comparison with commonly used tools,
namely, principal component analysis for feature extraction and Bayesian risk
analysis for pattern classification.
PMID- 22067437
TI - Application of lignocellulolytic enzymes produced under solid state cultivation
conditions.
AB - In this paper, cellulose from brown-rot fungus Fomitopsis sp. RCK2010,
thermostable and alkalostable xylanase from Bacillus pumilus MK001 and laccase
from Ganoderma sp. rckk-02 were evaluated for (i) saccharification of alkali
pretreated rice straw and wheat straw, (ii) upgradation of chick feed and (iii)
decolorization of dyes, respectively. The cellulose from brown-rot fungus
resulted in a sugar release of 151.48 and 214.11 mg/g, respectively, from rice
straw and wheat straw, which was comparatively higher than the earlier reports.
While xylan, one of the main anti-nutritional factors (ANFs) present in the chick
feed was removed to an extent of 11.6 mg/g xylose sugars at 50 degrees C using
the thermostable xylanase. Besides, the treatment with thermostable xylanase also
brought about a release of 0.85 (mg/g) of soluble phosphorous. Moreover, the
laccase when used for the decolorization of Remazol Brilliant Blue R (RBBR) and
xylidine ponceau cause almost complete decolorization in 2 and 4h, respectively,
depicting high rate of decolorization.
PMID- 22067438
TI - Biosorption of food dyes onto Spirulina platensis nanoparticles: equilibrium
isotherm and thermodynamic analysis.
AB - The biosorption of food dyes FD&C red no. 40 and acid blue 9 onto Spirulina
platensis nanoparticles was studied at different conditions of pH and
temperature. Four isotherm models were used to evaluate the biosorption
equilibrium and the thermodynamic parameters were estimated. Infra red analysis
(FT-IR) and energy dispersive X-ray spectroscopy (EDS) were used to verify the
biosorption behavior. The maximum biosorption capacities of FD&C red no. 40 and
acid blue 9 were found at pH 4 and 298 K, and the values were 468.7 mg g(-1) and
1619.4 mg g(-1), respectively. The Sips model was more adequate to fit the
equilibrium experimental data (R2>0.99 and ARE<5%). Thermodynamic study showed
that the biosorption was exothermic, spontaneous and favorable. FT-IR and EDS
analysis suggested that at pH 4 and 298 K, the biosorption of both dyes onto
nanoparticles occurred by chemisorption.
PMID- 22067439
TI - The anti-inflammatory effect of paraoxonase 1 against oxidized lipids depends on
its association with high density lipoproteins.
AB - AIM: The aims of this study were to investigate whether purified PON1 can reduce
the pro-inflammatory effect of oxidized phospholipids and whether the effect
depended on its association with HDL. MAIN METHODS: Lipid peroxidation was
induced by copper ions and was measured using the conjugated diene method.
Lysophosphatidylcholine (lyso-PC) formation was measured by HPLC with evaporative
light scattering detection (ELSD) and ICAM-1 expression on Ea.hy926 endothelial
cells was analyzed by flow cytometry. KEY FINDINGS: Purified PON1 significantly
inhibited copper-induced oxidation of LDL and HDL, causing a 60.5% and 77.7%
decrease in conjugated diene formation, respectively. Incubating PON1 with oxLDL
caused a significant increase in lyso-PC levels, while oxHDL caused a significant
decrease. PON1 (12.5 to 50 MUg/mL) had a pro-inflammatory effect in the presence
of oxLDL, increasing ICAM-1 levels in Ea.hy926 cells by 33.0% and 40.6% (p<0.001)
respectively, and had an anti-inflammatory effect in the presence of oxHDL,
causing a 3-fold reduction in ICAM-1 levels. PON1 also caused a significant
decrease in TNFalpha? and purified lyso-PC-induced ICAM-1 expression. The results
obtained with reconstituted HDL as well as LCAT and PAF-AH inhibitors suggested
that the anti-inflammatory effect of PON1 against oxidized lipids is dependent on
its association with HDL. SIGNIFICANCE: Our results clearly showed that PON1 is
involved in the anti-inflammatory effect of HDL and that the effect appears to
depend on its association with HDL.
PMID- 22067441
TI - Perceived stress and gastrointestinal symptoms in nursing students in Korea: A
cross-sectional survey.
AB - BACKGROUND: Although nursing students experience a high level of stress during
their training, there has been limited research on stress and its impact on the
student's physical responses, such as gastrointestinal symptoms. The aims of this
study are to assess the prevalence of GI symptoms in nursing students in Korea
and to examine the association between the perceived stress and GI symptoms.
METHODS: A cross-sectional descriptive study design was used. A total of 715
students of a three-year associate degree nursing program in a Korean college
participated. The Perceived Stress Scale and a GI Symptoms Questionnaire were
administered through a self-reported system. Chi-square tests, Fisher's exact
test, and logistic regression analysis were performed using SPSS 17.0. RESULTS:
Sixty-five percent of the nursing students experienced more than one GI symptom,
with 31.1% of students reporting more than three GI symptoms. Most of the nursing
students complained of upper dysmotility and bowel symptoms. In addition,
students who reported higher perceived stress were significantly more likely to
complain of GI symptoms. Compared to nursing students with the lowest perceived
stress level, the adjusted odds ratio (OR) for GI symptoms in students with the
highest perceived stress level was 3.52 times higher (95% CI = 2.05-6.06).
CONCLUSIONS: GI symptoms that are highly prevalent among nursing students are
significantly associated with the perceived stress level. High perceived stress
should be considered a risk factor for GI symptoms. To reduce perceived stress,
stress management programs including cognitive reappraisal training are needed in
nursing curriculum.
PMID- 22067440
TI - Neurometabolic mechanisms for memory enhancement and neuroprotection of methylene
blue.
AB - This paper provides the first review of the memory-enhancing and neuroprotective
metabolic mechanisms of action of methylene blue in vivo. These mechanisms have
important implications as a new neurobiological approach to improve normal memory
and to treat memory impairment and neurodegeneration associated with
mitochondrial dysfunction. Methylene blue's action is unique because its
neurobiological effects are not determined by regular drug-receptor interactions
or drug-response paradigms. Methylene blue shows a hormetic dose-response, with
opposite effects at low and high doses. At low doses, methylene blue is an
electron cycler in the mitochondrial electron transport chain, with unparalleled
antioxidant and cell respiration-enhancing properties that affect the function of
the nervous system in a versatile manner. A major role of the respiratory enzyme
cytochrome oxidase on the memory-enhancing effects of methylene blue is supported
by available data. The memory-enhancing effects have been associated with
improvement of memory consolidation in a network-specific and use-dependent
fashion. In addition, low doses of methylene blue have also been used for
neuroprotection against mitochondrial dysfunction in humans and experimental
models of disease. The unique auto-oxidizing property of methylene blue and its
pleiotropic effects on a number of tissue oxidases explain its potent
neuroprotective effects at low doses. The evidence reviewed supports a
mechanistic role of low-dose methylene blue as a promising and safe intervention
for improving memory and for the treatment of acute and chronic conditions
characterized by increased oxidative stress, neurodegeneration and memory
impairment.
PMID- 22067442
TI - Expression and imprinting analysis of the NESP55 gene in pigs.
AB - Most imprinted genes play important roles in a mammalian development. One of them
is GNAS complex locus which codes for several imprinted or biallelically
expressed transcripts. The function of some of them are well understood (for
example GSalpha-guanine nucleotide binding, alpha -stimulating protein is
essential element of cell signaling), whereas the others are little known. The
function of NESP55 (Neuroendocrine secretory protein 55) remains elusive,
although there are suggestions about its role in brain development. Imprinted
genes are currently being studied as potential candidate genes for quantitative
trait loci (QTLs) in farm animals. In our study, we analyzed tissue distribution
of NESP55 mRNA in pigs and established imprinting status of this gene in the
brain stem, muscle, kidney and liver at several developmental stages. NESP55 mRNA
was most abundant in central nervous system (CNS) and pituitary. Substantial
expression was also noticed in the kidney, testis and muscle. Moreover, we
identified a 12-nucleotides deletion within the coding region of NESP55
(accession number ss#342570450) which was used in imprinting analysis. The
deletion was very rare in the analyzed populations and present only in
heterozygous form. The imprinting analysis showed that NESP55 is maternally
expressed in young and adult pigs, similar to what was obtained in humans, mice
and cattle.
PMID- 22067443
TI - PINA v2.0: mining interactome modules.
AB - The Protein Interaction Network Analysis (PINA) platform is a comprehensive web
resource, which includes a database of unified protein-protein interaction data
integrated from six manually curated public databases, and a set of built-in
tools for network construction, filtering, analysis and visualization. The second
version of PINA enhances its utility for studies of protein interactions at a
network level, by including multiple collections of interaction modules
identified by different clustering approaches from the whole network of protein
interactions ('interactome') for six model organisms. All identified modules are
fully annotated by enriched Gene Ontology terms, KEGG pathways, Pfam domains and
the chemical and genetic perturbations collection from MSigDB. Moreover, a new
tool is provided for module enrichment analysis in addition to simple query
function. The interactome data are also available on the web site for further
bioinformatics analysis. PINA is freely accessible at
http://cbg.garvan.unsw.edu.au/pina/.
PMID- 22067444
TI - zfishbook: connecting you to a world of zebrafish revertible mutants.
AB - zfishbook is an internet-based openly accessible database of revertible protein
trap gene-breaking transposon (GBT) insertional mutants in the zebrafish, Danio
rerio. In these lines, a monomeric red fluorescent protein (mRFP) is encoded by
an artificial 3' exon, resulting in a translational fusion to endogenous loci.
The natural transparency of the zebrafish embryo and larvae greatly facilitates
the expression annotation of tagged loci using new capillary-based SCORE imaging
methods. Molecular annotation of each line is facilitated by cloning methods such
as 5'-Rapid Amplification of cDNA Ends (RACE) and inverse polymerase chain
reaction (PCR). zfishbook (http://zfishbook.org) represents a central hub for
molecular, expression and mutational information about GBT lines from the
International Zebrafish Protein Trap Consortium (IZPTC) that includes researchers
from around the globe. zfishbook is open to community-wide contributions
including expression and functional annotation. zfishbook also represents a
central location for information on how to obtain these lines from diverse
members of the IZPTC and integration within other zebrafish community databases
including Zebrafish Information Network (ZFIN), Ensembl and National Center for
Biotechnology Information.
PMID- 22067445
TI - SCRIPDB: a portal for easy access to syntheses, chemicals and reactions in
patents.
AB - The patent literature is a rich catalog of biologically relevant chemicals; many
public and commercial molecular databases contain the structures disclosed in
patent claims. However, patents are an equally rich source of metadata about
bioactive molecules, including mechanism of action, disease class, homologous
experimental series, structural alternatives, or the synthetic pathways used to
produce molecules of interest. Unfortunately, this metadata is discarded when
chemical structures are deposited separately in databases. SCRIPDB is a chemical
structure database designed to make this metadata accessible. SCRIPDB provides
the full original patent text, reactions and relationships described within any
individual patent, in addition to the molecular files common to structural
databases. We discuss how such information is valuable in medical text mining,
chemical image analysis, reaction extraction and in silico pharmaceutical lead
optimization. SCRIPDB may be searched by exact chemical structure, substructure
or molecular similarity and the results may be restricted to patents describing
synthetic routes. SCRIPDB is available at http://dcv.uhnres.utoronto.ca/SCRIPDB.
PMID- 22067446
TI - Specialization of an Exonuclease III family enzyme in the repair of 3' DNA
lesions during base excision repair in the human pathogen Neisseria meningitidis.
AB - We have previously demonstrated that the two Exonuclease III (Xth) family members
present within the obligate human pathogen Neisseria meningitidis, NApe and NExo,
are important for survival under conditions of oxidative stress. Of these, only
NApe possesses AP endonuclease activity, while the primary function of NExo
remained unclear. We now reveal further functional specialization at the level of
3'-PO(4) processing for NExo. We demonstrate that the bi-functional meningococcal
glycosylases Nth and MutM can perform strand incisions at abasic sites in
addition to NApe. However, no such functional redundancy exists for the 3'
phosphatase activity of NExo, and the cytotoxicity of 3'-blocking lesions is
reflected in the marked sensitivity of a mutant lacking NExo to oxidative stress,
compared to strains deficient in other base excision repair enzymes. A histidine
residue within NExo that is responsible for its lack of AP endonuclease activity
is also important for its 3'-phosphatase activity, demonstrating an evolutionary
trade off in enzyme function at the single amino acid level. This specialization
of two Xth enzymes for the 3'-end processing and strand-incision reactions has
not previously been observed and provides a new paradigm within the prokaryotic
world for separation of these critical functions during base excision repair.
PMID- 22067447
TI - Ensembl Genomes: an integrative resource for genome-scale data from non
vertebrate species.
AB - Ensembl Genomes (http://www.ensemblgenomes.org) is an integrative resource for
genome-scale data from non-vertebrate species. The project exploits and extends
technology (for genome annotation, analysis and dissemination) developed in the
context of the (vertebrate-focused) Ensembl project and provides a complementary
set of resources for non-vertebrate species through a consistent set of
programmatic and interactive interfaces. These provide access to data including
reference sequence, gene models, transcriptional data, polymorphisms and
comparative analysis. Since its launch in 2009, Ensembl Genomes has undergone
rapid expansion, with the goal of providing coverage of all major experimental
organisms, and additionally including taxonomic reference points to provide the
evolutionary context in which genes can be understood. Against the backdrop of a
continuing increase in genome sequencing activities in all parts of the tree of
life, we seek to work, wherever possible, with the communities actively
generating and using data, and are participants in a growing range of
collaborations involved in the annotation and analysis of genomes.
PMID- 22067448
TI - VFDB 2012 update: toward the genetic diversity and molecular evolution of
bacterial virulence factors.
AB - The virulence factor database (VFDB, http://www.mgc.ac.cn/VFs/) has served as a
comprehensive repository of bacterial virulence factors (VFs) for >7 years.
Bacterial virulence is an exciting and dynamic field, due to the availability of
complete sequences of bacterial genomes and increasing sophisticated technologies
for manipulating bacteria and bacterial genomes. The intricacy of virulence
mechanisms offers a challenge, and there exists a clear need to decipher the
'language' used by VFs more effectively. In this article, we present the recent
major updates of VFDB in an attempt to summarize some of the most important
virulence mechanisms by comparing different compositions and organizations of VFs
from various bacterial pathogens, identifying core components and phylogenetic
clades and shedding new light on the forces that shape the evolutionary history
of bacterial pathogenesis. In addition, the 2012 release of VFDB provides an
improved user interface.
PMID- 22067450
TI - Permanent or reversible conjugation of 2'-O- or 5'-O-aminooxymethylated
nucleosides with functional groups as a convenient and efficient approach to the
modification of RNA and DNA sequences.
AB - 2'-O-Aminooxymethyl ribonucleosides are prepared from their 3',5'-disilylated 2'
O-phthalimidooxymethyl derivatives by treatment with NH(4)F in MeOH. The reaction
of these novel ribonucleosides with 1-pyrenecarboxaldehyde results in the
efficient formation of stable and yet reversible ribonucleoside 2'-conjugates in
yields of 69-82%. Indeed, exposure of these conjugates to 0.5 M tetra-n
butylammonium fluoride (TBAF) in THF results in the cleavage of their iminoether
functions to give the native ribonucleosides along with the innocuous nitrile
side product. Conversely, the reaction of 5-cholesten-3-one or dansyl chloride
with 2'-O-aminooxymethyl uridine provides permanent uridine 2'-conjugates, which
are left essentially intact upon treatment with TBAF. Alternatively, 5'-O
aminooxymethyl thymidine is prepared by hydrazinolysis of its 3'-O-levulinyl-5'-O
phthalimidooxymethyl precursor. Pyrenylation of 5'-O-aminooxymethyl thymidine and
the sensitivity of the 5'-conjugate to TBAF further exemplify the usefulness of
this nucleoside for modifying DNA sequences either permanently or reversibly.
Although the versatility and uniqueness of 2'-O-aminooxymethyl ribonucleosides in
the preparation of modified RNA sequences is demonstrated by the single or double
incorporation of a reversible pyrenylated uridine 2'-conjugate into an RNA
sequence, the conjugation of 2'-O-aminooxymethyl ribonucleosides with aldehydes,
including those generated from their acetals, provides reversible 2'-O-protected
ribonucleosides for potential applications in the solid-phase synthesis of native
RNA sequences. The synthesis of a chimeric polyuridylic acid is presented as an
exemplary model.
PMID- 22067449
TI - LSD1 cooperates with CTIP2 to promote HIV-1 transcriptional silencing.
AB - Microglial cells are the main HIV-1 targets in the central nervous system (CNS)
and constitute an important reservoir of latently infected cells. Establishment
and persistence of these reservoirs rely on the chromatin structure of the
integrated proviruses. We have previously demonstrated that the cellular cofactor
CTIP2 forces heterochromatin formation and HIV-1 gene silencing by recruiting
HDAC and HMT activities at the integrated viral promoter. In the present work, we
report that the histone demethylase LSD1 represses HIV-1 transcription and viral
expression in a synergistic manner with CTIP2. We show that recruitment of LSD1
at the HIV-1 proximal promoter is associated with both H3K4me3 and H3K9me3
epigenetic marks. Finally, our data suggest that LSD1-induced H3K4 trimethylation
is linked to hSET1 recruitment at the integrated provirus.
PMID- 22067451
TI - IDEAL: Intrinsically Disordered proteins with Extensive Annotations and
Literature.
AB - IDEAL, Intrinsically Disordered proteins with Extensive Annotations and
Literature (http://www.ideal.force.cs.is.nagoya-u.ac.jp/IDEAL/), is a collection
of knowledge on experimentally verified intrinsically disordered proteins. IDEAL
contains manual annotations by curators on intrinsically disordered regions,
interaction regions to other molecules, post-translational modification sites,
references and structural domain assignments. In particular, IDEAL explicitly
describes protean segments that can be transformed from a disordered state to an
ordered state. Since in most cases they can act as molecular recognition elements
upon binding of partner proteins, IDEAL provides a data resource for functional
regions of intrinsically disordered proteins. The information in IDEAL is
provided on a user-friendly graphical view and in a computer-friendly XML format.
PMID- 22067452
TI - WormBase 2012: more genomes, more data, new website.
AB - Since its release in 2000, WormBase (http://www.wormbase.org) has grown from a
small resource focusing on a single species and serving a dedicated research
community, to one now spanning 15 species essential to the broader biomedical and
agricultural research fields. To enhance the rate of curation, we have automated
the identification of key data in the scientific literature and use similar
methodology for data extraction. To ease access to the data, we are collaborating
with journals to link entities in research publications to their report pages at
WormBase. To facilitate discovery, we have added new views of the data,
integrated large-scale datasets and expanded descriptions of models for human
disease. Finally, we have introduced a dramatic overhaul of the WormBase website
for public beta testing. Designed to balance complexity and usability, the new
site is species-agnostic, highly customizable, and interactive. Casual users and
developers alike will be able to leverage the public RESTful application
programming interface (API) to generate custom data mining solutions and
extensions to the site. We report on the growth of our database and on our work
in keeping pace with the growing demand for data, efforts to anticipate the
requirements of users and new collaborations with the larger science community.
PMID- 22067453
TI - A flexible brace maintains the assembly of a hexameric replicative helicase
during DNA unwinding.
AB - The mechanism of DNA translocation by papillomavirus E1 and polyomavirus LTag
hexameric helicases involves consecutive remodelling of subunit-subunit
interactions around the hexameric ring. Our biochemical analysis of E1 helicase
demonstrates that a 26-residue C-terminal segment is critical for maintaining the
hexameric assembly. As this segment was not resolved in previous crystallographic
analysis of E1 and LTag hexameric helicases, we determined the solution structure
of the intact hexameric E1 helicase by Small Angle X-ray Scattering. We find that
the C-terminal segment is flexible and occupies a cleft between adjacent subunits
in the ring. Electrostatic potential calculations indicate that the negatively
charged C-terminus can bridge the positive electrostatic potentials of adjacent
subunits. Our observations support a model in which the C-terminal peptide serves
as a flexible 'brace' maintaining the oligomeric state during conformational
changes associated with ATP hydrolysis. We argue that these interactions impart
processivity to DNA unwinding. Sequence and disorder analysis suggest that this
mechanism of hexamer stabilization would be conserved among papillomavirus E1 and
polyomavirus LTag hexameric helicases.
PMID- 22067454
TI - A role for insulator elements in the regulation of gene expression response to
hypoxia.
AB - Hypoxia inducible factor (HIF) up-regulates the transcription of a few hundred
genes required for the adaptation to hypoxia. This restricted set of targets is
in sharp contrast with the widespread distribution of the HIF binding motif
throughout the genome. Here, we investigated the transcriptional response of GYS1
and RUVBL2 genes to hypoxia to understand the mechanisms that restrict HIF
activity toward specific genes. GYS1 and RUVBL2 genes are encoded by opposite DNA
strands and separated by a short intergenic region (~1 kb) that contains a
functional hypoxia response element equidistant to both genes. However, hypoxia
induced the expression of GYS1 gene only. Analysis of the transcriptional
response of chimeric constructs derived from the intergenic region revealed an
inhibitory sequence whose deletion allowed RUVBL2 induction by HIF. Enhancer
blocking assays, performed in cell culture and transgenic zebrafish, confirmed
the existence of an insulator element within this inhibitory region that could
explain the differential regulation of GYS1 and RUVBL2 by hypoxia. Hence, in this
model, the selective response to HIF is achieved with the aid of insulator
elements. This is the first report suggesting a role for insulators in the
regulation of differential gene expression in response to environmental signals.
PMID- 22067455
TI - SuperTarget goes quantitative: update on drug-target interactions.
AB - There are at least two good reasons for the on-going interest in drug-target
interactions: first, drug-effects can only be fully understood by considering a
complex network of interactions to multiple targets (so-called off-target
effects) including metabolic and signaling pathways; second, it is crucial to
consider drug-target-pathway relations for the identification of novel targets
for drug development. To address this on-going need, we have developed a web
based data warehouse named SuperTarget, which integrates drug-related information
associated with medical indications, adverse drug effects, drug metabolism,
pathways and Gene Ontology (GO) terms for target proteins. At present, the
updated database contains >6000 target proteins, which are annotated with
>330,000 relations to 196,000 compounds (including approved drugs); the vast
majority of interactions include binding affinities and pointers to the
respective literature sources. The user interface provides tools for drug
screening and target similarity inclusion. A query interface enables the user to
pose complex queries, for example, to find drugs that target a certain pathway,
interacting drugs that are metabolized by the same cytochrome P450 or drugs that
target proteins within a certain affinity range. SuperTarget is available at
http://bioinformatics.charite.de/supertarget.
PMID- 22067456
TI - FlyRNAi.org--the database of the Drosophila RNAi screening center: 2012 update.
AB - FlyRNAi (http://www.flyrnai.org), the database and website of the Drosophila RNAi
Screening Center (DRSC) at Harvard Medical School, serves a dual role, tracking
both production of reagents for RNA interference (RNAi) screening in Drosophila
cells and RNAi screen results. The database and website is used as a platform for
community availability of protocols, tools, and other resources useful to
researchers planning, conducting, analyzing or interpreting the results of
Drosophila RNAi screens. Based on our own experience and user feedback, we have
made several changes. Specifically, we have restructured the database to
accommodate new types of reagents; added information about new RNAi libraries and
other reagents; updated the user interface and website; and added new tools of
use to the Drosophila community and others. Overall, the result is a more useful,
flexible and comprehensive website and database.
PMID- 22067457
TI - Management of seasonal allergic conjunctivitis: guide to therapy.
AB - Seasonal allergic conjunctivitis (SAC) is an inflammatory response of the
conjunctiva triggered by exposure to seasonal allergens. Treatment options for
SAC include artificial tears, antihistamines, decongestants, mast cell
stabilizers, nonsteroidal anti-inflammatory drugs, dual antihistamine/mast cell
stabilizers, immunotherapy and corticosteroids. Topical, intranasal and systemic
formulations of corticosteroids have traditionally provided the most effective
relief of the inflammation and signs and symptoms associated with severe, acute
exacerbations of SAC. However, steroid-induced ocular and systemic side-effects
have limited the prescribing of these agents. This limitation of traditional
corticosteroids led to the development of modified corticosteroids that retain
the anti-inflammatory mechanism of action of traditional corticosteroids with a
much-improved safety profile because of their rapid breakdown to inactive
metabolites after exerting their activity. The development of one such novel
corticosteroid, loteprednol etabonate (LE), led to the insertion of an ester
(instead of a ketone) group at the carbon-20 (C-20) position of the basic
corticosteroid structure. Clinical trials assessing this C-20 ester
corticosteroid have demonstrated similar efficacy to C-20 ketone corticosteroids
in the prevention or treatment of the signs and symptoms of SAC but with a
greatly improved safety profile, as the C-20 ester corticosteroid is less likely
to elevate intraocular pressure. In addition, the ketone at the C-20 position has
been implicated in the formation of cataract, while nonketolic corticosteroids do
not form Schiff base intermediates with lens proteins, which is a common first
step in cataractogenesis. The clinical relevance of the C-20 ester corticosteroid
class, as modelled by LE, is that they provide both effective and safe treatment
of the inflammation associated with SAC and relief of its signs and symptoms.
Loteprednol etabonate offers a well-tolerated treatment option for patients with
debilitating acute exacerbations as well as chronic forms of the disease.
PMID- 22067458
TI - Setting the clock for recirculating lymphocytes.
AB - In their search for antigens, lymphocytes continuously shuttle among blood
vessels, lymph vessels, and lymphatic tissues. Chemokines mediate entry of
lymphocytes into lymphatic tissues, and sphingosine 1-phosphate (S1P) promotes
localization of lymphocytes to the vasculature. Both signals are sensed through G
protein-coupled receptors (GPCRs). Most GPCRs undergo ligand-dependent homologous
receptor desensitization, a process that decreases their signaling output after
previous exposure to high ligand concentration. Such desensitization can explain
why lymphocytes do not take an intermediate position between two signals but
rather oscillate between them. The desensitization of S1P receptor 1 (S1PR1) is
mediated by GPCR kinase 2 (GRK2). Deletion of GRK2 in lymphocytes compromises
desensitization by high vascular S1P concentrations, thereby reducing
responsiveness to the chemokine signal and trapping the cells in the vascular
compartment. The desensitization kinetics of S1PR1 allows lymphocytes to
dynamically shuttle between vasculature and lymphatic tissue, although the
positional information in both compartments is static.
PMID- 22067459
TI - Short RNA duplexes elicit RIG-I-mediated apoptosis in a cell type- and length
dependent manner.
AB - Short double-stranded RNAs (dsRNAs) induce type I interferon (IFN)-mediated
innate immune responses. In functional studies with short interfering RNAs or
synthetic mimics of microRNA precursors in vitro, we found that short dsRNAs
readily induced apoptosis in cells derived from human granulosa cell tumors, but
not in other cell types. Apoptosis was independent of the sequence of the dsRNA,
but depended on its length, and was induced by 23- and 24-nucleotide (nt) dsRNAs,
but not by shorter dsRNAs (< 22 nt) or by the long dsRNA polyinosinic
polycytidylic acid. Microarray analysis revealed that apoptosis was accompanied
by the increased expression of IFN-stimulated genes; however, several lines of
evidence showed that IFNs did not directly induce apoptosis. Subsequent analyses
revealed that the short dsRNAs increased the expression of retinoic acid
inducible gene I (RIG-I) through dsRNA-activated protein kinase (PKR). Although
these dsRNAs bore 3' overhangs and nontriphosphate 5' termini, which are not
thought to be RIG-I-activating structures, the dsRNAs bound to RIG-I and
triggered proapoptotic signaling mostly by activating RIG-I, which was followed
by activation of the mitogen-activated protein kinase p38. Thus, we suggest that
ligand recognition and subsequent signaling by RNA sensors are more complicated
than previously believed. In addition, short dsRNAs may serve as pharmacological
agents to target specific tumors, such as granulosa cell tumors.
PMID- 22067462
TI - Promoting services.
PMID- 22067461
TI - Differential hemodynamic effects of levosimendan in a porcine model of neonatal
hypoxia-reoxygenation.
AB - BACKGROUND: Neonatal asphyxia can be complicated by myocardial dysfunction with
secondary alterations in pulmonary and regional hemodynamics. Levosimendan is a
calcium-sensitizing inotrope that may support cardiac output, but little is known
regarding its differential hemodynamic effects in asphyxiated neonates. METHODS:
Mixed breed piglets (1-4 days old, weight 1.6-2.3 kg) were acutely instrumented.
Normocapnic alveolar hypoxia (10-15% oxygen) was induced for 2 h, followed by
reoxygenation with 100% (1 h) and then 21% oxygen (3 h). At 2 h of reoxygenation,
after volume loading (Ringer's lactate 10 ml/kg), either levosimendan (0.1 or 0.2
MUg/kg/min) or D(5)W (placebo) was infused for 2 h in a blinded, block-randomized
fashion (n = 7-8/group). The systemic, pulmonary and regional (carotid, superior
mesenteric and renal) hemodynamics were compared. RESULTS: At 0.1 and 0.2
MUg/kg/min, levosimendan significantly increased cardiac output (121 and 123% of
pretreatment, respectively) and heart rate, and decreased systemic vascular
resistance without causing hypotension. Pulmonary arterial pressure and estimated
pulmonary vascular resistance were significantly increased from pretreatment
baseline in 0.1 but not 0.2 MUg/kg/min levosimendan. Levosimendan infusion had no
effects on regional hemodynamics. Myocardial efficiency but not oxygen
consumption increased with 0.1 MUg/kg/min levosimendan without significant
effects on plasma troponin and myocardial lactate levels. CONCLUSIONS: In newborn
piglets following hypoxia-reoxygenation injury, levosimendan improves cardiac
output but has no marked effects in carotid, superior mesenteric and renal
perfusion. It appears that various doses of levosimendan increase the cardiac
output through different mechanisms. Further investigations are needed to examine
the effectiveness of levosimendan as a cardiovascular supportive therapy either
alone or in conjunction with other inotropes in asphyxiated neonates.
PMID- 22067460
TI - Systematic phosphorylation analysis of human mitotic protein complexes.
AB - Progression through mitosis depends on a large number of protein complexes that
regulate the major structural and physiological changes necessary for faithful
chromosome segregation. Most, if not all, of the mitotic processes are regulated
by a set of mitotic protein kinases that control protein activity by
phosphorylation. Although many mitotic phosphorylation events have been
identified in proteome-scale mass spectrometry studies, information on how these
phosphorylation sites are distributed within mitotic protein complexes and which
kinases generate these phosphorylation sites is largely lacking. We used
systematic protein-affinity purification combined with mass spectrometry to
identify 1818 phosphorylation sites in more than 100 mitotic protein complexes.
In many complexes, the phosphorylation sites were concentrated on a few subunits,
suggesting that these subunits serve as "switchboards" to relay the kinase
regulatory signals within the complexes. Consequent bioinformatic analyses
identified potential kinase-substrate relationships for most of these sites. In a
subsequent in-depth analysis of key mitotic regulatory complexes with the Aurora
kinase B (AURKB) inhibitor Hesperadin and a new Polo-like kinase (PLK1)
inhibitor, BI 4834, we determined the kinase dependency for 172 phosphorylation
sites on 41 proteins. Combination of the results of the cellular studies with
Scansite motif prediction enabled us to identify 14 sites on six proteins as
direct candidate substrates of AURKB or PLK1.
PMID- 22067463
TI - Skin care in the older person: a focus on the use of emollients.
AB - This article gives an overview of pathophysiological changes in the older
person's skin, with particular focus on dry skin and the important use of
emollient therapy. This includes guidance on emollient choice, function and
application. Education is emphasized as an important factor in patient/carer
concordance, with additional advice also recommended to extend education to other
health care professionals in terms of correct usage and prescription amounts.
Best practice of the use of emollient therapy is the main objective of this
article, promoting the health professional to place skin care assessment high on
the patient need agenda, and to recognize this therapy as the first-line
treatment in all dry skin management.
PMID- 22067464
TI - Providing information and advice on diet to stoma patients.
AB - The community nurse will encounter many queries and requests for advice in the
work environment. This article offers information on diet related to the stoma.
Specific advice for the three different types of stoma, and for certain
situations that might arise after the ostomate (person with a stoma) is
discharged home, is provided. Much of the advice is common sense, but it might
prevent the use of medication such as laxatives. Nutrition is essential for
recovery following surgery and in the long-term. By using the information
provided, the community nurse can give better care to this group of patients.
PMID- 22067465
TI - Assessment and management of diabetic foot ulcers.
AB - Diabetic foot ulcers can cause considerable disability and morbidity. The complex
pathology requires expert and in-depth assessment and management to achieve the
best outcomes. Assessment is underpinned by attention to four key points:
vascular sufficiency, neurological/sensory status, appropriateness of footwear,
and presence of foot deformity. The 'shopping list' for management is derived
from the assessment and requires careful planning and a multidisciplinary
approach. This article outlines key first line principles and practices in
assessment and management of diabetic foot ulcers, including the importance of
offloading pressure and mechanical trauma to aid healing and prevent recurrence.
PMID- 22067466
TI - Skin changes at life's end: SCALE ulcer or pressure ulcer?
AB - Pressure ulceration causes much distress to patients and family, and can be taken
as an indication of poor nursing care. It is vital that both parties understand
when pressure damage can be prevented, and those circumstances when skin failure
at the end of life cannot be avoided. Appropriate risk assessment, provision of
all appropriate care, and use of pressure relieving equipment to minimize the
risk of any loss of skin integrity are required. The recognition of Skin Changes
at Life's End (SCALE) ulcers may herald the imminent demise of a loved one.
Families require support and good communication from nursing staff at this
difficult time.
PMID- 22067467
TI - Meeting the policy agenda, part 1: the role of the modern district nurse.
AB - The challenges posed by the current context of health and social care offer
opportunities for different models of care delivery. District nursing has
evolved, and continues to evolve to meet these challenges. The traditional
reactive role of district nursing has developed as contemporary practice expects
district nurses to meet both planned and unplanned care required by practice
populations. Modern anticipatory care approaches to care are being adopted, while
care and case management is being facilitated and delivered to patients and
families with complex health and social care needs. Additionally, district nurses
are recognizing the need to further develop management and leadership skills as
the teams delivering care consist of a skill mix of nurses and other disciplines.
They are also charged with evidencing the impact of what they do and influencing
care delivery at every level of healthcare organizations. This first paper of two
will explore the current UK policy context and ways in which district nursing
services within each country are changing to meet the challenges posed. A second
article will argue the need to ensure the district nursing workforce is
underpinned by robust educational standards that ensure protection of the public.
The influences of education and development from professional and organizational
perspectives will be examined.
PMID- 22067468
TI - Self-management for young people with mental health conditions.
PMID- 22067469
TI - Social media and health care: an interactive future.
PMID- 22067470
TI - Looking at the extent of fraud within the NHS.
AB - Fraud costs the NHS billions of pounds each year, and takes money away from
frontline services. The Fraud Act 2006 has made the offence clearer and therefore
easier to bring prosecutions. Offences can range from false representation about
qualifications and experience to fraudulent consent forms, and through to bogus
invoices and charging ghost patients. In this article, Richard Griffith and
Cassam Tengnah outline what constitutes fraud and the measures taken by the NHS
to counter fraudulent activity.
PMID- 22067471
TI - Learning from others.
PMID- 22067472
TI - The expanding relevance of nuclear mTOR in carcinogenesis.
AB - Deregulated mTOR signaling drives the growth of various human cancers, making
mTOR a major target for development of cancer chemotherapeutics. The role of mTOR
in carcinogenesis is thought to be largely a consequence of its activity in the
cytoplasm resulting in increased translation of pro-tumorigenic genes. However,
emerging data locate mTOR in various subcellular compartments including Golgi,
mitochondria, endoplasmic reticulum, and the nucleus, implying the presence of
compartment-specific mTOR substrates and functions. Efforts to identify mTOR
substrates in these compartments, and the mechanisms by which mTOR recruits these
substrates and affects downstream cellular processes, will add to our
understanding of the diversity of roles played by mTOR in carcinogenesis.
PMID- 22067474
TI - Medical evacuation of patients to other hospitals due to the Fukushima I nuclear
accidents.
PMID- 22067473
TI - Dedicated outreach service for hard to reach patients with tuberculosis in
London: observational study and economic evaluation.
AB - OBJECTIVE: To assess the cost effectiveness of the Find and Treat service for
diagnosing and managing hard to reach individuals with active tuberculosis.
DESIGN: Economic evaluation using a discrete, multiple age cohort, compartmental
model of treated and untreated cases of active tuberculosis. SETTING: London,
United Kingdom. Population Hard to reach individuals with active pulmonary
tuberculosis screened or managed by the Find and Treat service (48 mobile
screening unit cases, 188 cases referred for case management support, and 180
cases referred for loss to follow-up), and 252 passively presenting controls from
London's enhanced tuberculosis surveillance system. MAIN OUTCOME MEASURES:
Incremental costs, quality adjusted life years (QALYs), and cost effectiveness
ratios for the Find and Treat service. RESULTS: The model estimated that, on
average, the Find and Treat service identifies 16 and manages 123 active cases of
tuberculosis each year in hard to reach groups in London. The service has a net
cost of L1.4 million/year and, under conservative assumptions, gains 220 QALYs.
The incremental cost effectiveness ratio was L6400-L10,000/QALY gained (about
?7300-?11,000 or $10,000-$16 000 in September 2011). The two Find and Treat
components were also cost effective, even in unfavourable scenarios (mobile
screening unit (for undiagnosed cases), L18,000-L26,000/QALY gained; case
management support team, L4100-L6800/QALY gained). CONCLUSIONS: Both the
screening and case management components of the Find and Treat service are likely
to be cost effective in London. The cost effectiveness of the mobile screening
unit in particular could be even greater than estimated, in view of the secondary
effects of infection transmission and development of antibiotic resistance.
PMID- 22067475
TI - Welfare, temperance and compulsory commitment to care for persons with substance
misuse problems: a comparative study of 38 European countries.
AB - AIMS: The study explores the existence and types of law on compulsory commitment
to care (CCC) of adult substance misusers in Europe and how such laws are related
to variations in demographics, alcohol consumption and epidemiology in misuse of
opiates, cocaine, amphetamines, temperance culture heritage, health and welfare
expenditure, and involvement and role of the state in welfare distribution.
MATERIAL AND METHODS: Legal information on laws on CCC of misusers was obtained
primarily through a survey of 38 European countries. Predictors of laws on CCC,
and types of such, were analyzed from country descriptors in multivariate models.
RESULTS: A majority (74%) of the explored countries have a law concerning CCC.
The most common type of CCC law is within criminal justice legislation (45%), but
civil CCC is almost as frequent (37%). These two models of CCC legislation are
related to differences in cultural heritage and welfare distribution models.
CONCLUSIONS: Temperance cultures, i.e. countries with a history of a strong
temperance movement, and countries with a Beveridgean distribution of welfare,
i.e. through the state, tend to favor civil CCC, while countries with a
Bismarckian distribution of welfare, i.e. through insurance with less state
interference, tend to favor CCC within criminal justice legislation.
PMID- 22067476
TI - Effect of additional treatment with EXenatide in patients with an Acute
Myocardial Infarction (EXAMI): study protocol for a randomized controlled trial.
AB - BACKGROUND: Myocardial infarction causes irreversible loss of cardiomyocytes and
may lead to loss of ventricular function, morbidity and mortality. Infarct size
is a major prognostic factor and reduction of infarct size has therefore been an
important objective of strategies to improve outcomes. In experimental studies,
glucagon-like peptide 1 and exenatide, a long acting glucagon-like peptide 1
receptor agonist, a novel drug introduced for the treatment of type 2 diabetes,
reduced infarct size after myocardial infarction by activating pro-survival
pathways and by increasing metabolic efficiency. METHODS: The EXAMI trial is a
multi-center, prospective, randomized, placebo controlled trial, designed to
evaluate clinical outcome of exenatide infusion on top of standard treatment, in
patients with an acute myocardial infarction, successfully treated with primary
percutaneous coronary intervention. A total of 108 patients will be randomized to
exenatide (5 MUg bolus in 30 minutes followed by continuous infusion of 20 MUg/24
h for 72 h) or placebo treatment. The primary end point of the study is
myocardial infarct size (measured using magnetic resonance imaging with delayed
enhancement at 4 months) as a percentage of the area at risk (measured using T2
weighted images at 3-7 days). DISCUSSION: If the current study demonstrates
cardioprotective effects, exenatide may constitute a novel therapeutic option to
reduce infarct size and preserve cardiac function in adjunction to reperfusion
therapy in patients with acute myocardial infarction. TRIAL REGISTRATION:
ClinicalTrials.gov: NCT01254123.
PMID- 22067477
TI - Identification, phylogenetic analysis and expression profile of an anionic insect
defensin gene, with antibacterial activity, from bacterial-challenged cotton
leafworm, Spodoptera littoralis.
AB - BACKGROUND: Defensins are a well known family of cationic antibacterial peptides
(AMPs) isolated from fungi, plants, insects, mussels, birds, and various mammals.
They are predominantly active against gram (+) bacteria, and a few of them are
also active against gram (-) bacteria and fungi. All insect defensins belonging
to the invertebrate class have a consensus motif, C-X5-16-C-X3-C-X9-10-C-X4-7-CX1
C. Only seven AMPs have already been found in different lepidopteran species. No
report was published on the isolation of defensin from the Egyptian cotton
leafworm, Spodoptera littoralis. RESULTS: An anionic defensin, termed SpliDef,
was isolated from the haemolymph of the cotton leafworm, S. littoralis, after
bacterial challenge using differential display technique. Based on sequence
analyses of the data, specific primers for full length and mature peptide of
defensin were designed and successfully amplified 471 and 150 bp amplicons. The
integration of the results revealed that the 471 bp-PCR product has one open
reading frame (orf) of 303 bp long, including both start codon (AUG) and stop
codon (UGA). The deduced peptide consists of a 23-residues signal peptide, a 27
residues propeptide and a 50-residues mature peptide with the conserved six
cysteine motif of insect defensins. Both haemolymph and expressed protein
exhibited antibacterial activities comparable to positive control. The RT-qPCR
indicated that it was more than 41-folds up-regulated at 48 h p.i. CONCLUSION:
Our results highlight an important immune role of the defensin gene in Spodoptera
littoralis by cooperating with other AMPs to control bacterial infection.
PMID- 22067478
TI - Risk of schizophrenia in relation to parental origin and genome-wide divergence.
AB - BACKGROUND: Second-generation immigrants have an increased risk of schizophrenia,
a finding that still lacks a satisfactory explanation. Various operational
definitions of second-generation immigrants have been used, including foreign
parental country of birth. However, with increasing global migration, it is not
clear that parental country of birth necessarily is informative with regard to
ethnicity. We compare two independently collected measures of parental foreign
ethnicity, parental foreign country of birth versus genetic divergence, based on
genome-wide genotypic data, to access which measure most efficiently captures the
increased risk of schizophrenia among second-generation immigrants residing in
Denmark. METHOD: A case-control study covering all children born in Denmark since
1981 included 892 cases of schizophrenia and 883 matched controls. Genetic
divergence was assessed using principal component analyses of the genotypic data.
Independently, parental foreign country of birth was assessed using information
recorded prospectively in the Danish Civil Registration System. We compared
incidence rate ratios of schizophrenia associated with these two independently
collected measures of parental foreign ethnicity. RESULTS: People with foreign
born parents had a significantly increased risk of schizophrenia [relative risk
(RR) 1.94 (95% confidence intervals (CI) 1.41-2.65)]. Genetically divergent
persons also had a significant increased risk [RR 2.43 (95% CI 1.55-3.82)].
Mutual adjustment of parental foreign country of birth and genetic divergence
showed no difference between these measures with regard to their potential impact
on the results. CONCLUSIONS: In terms of RR of schizophrenia, genetic divergence
and parental foreign country of birth are interchangeable entities, and both
entities have validity with regard to identifying second-generation immigrants.
PMID- 22067479
TI - Intrathecal lemnalol, a natural marine compound obtained from Formosan soft
coral, attenuates nociceptive responses and the activity of spinal glial cells in
neuropathic rats.
AB - The investigators previously found that the administration of lemnalol, a natural
marine compound isolated from the Formosan soft coral Lemnalia cervicorni,
produced anti-inflammatory and analgesic effects in carrageenan-injected rats.
Recently, several studies have demonstrated that the development and maintenance
of neuropathic pain are accompanied by releasing of proinflammatory mediators
from activated glial cells in the spinal cord. In this study, we investigated the
antinociceptive properties of lemnalol, a potential anti-inflammatory compound,
on chronic constriction injury (CCI) in a well-established rat model of
neuropathic pain. Our results demonstrated that a single intrathecal
administration of lemnalol (0.05-10 MUg) significantly attenuated CCI-induced
thermal hyperalgesia and mechanical allodynia, 14 days postsurgery. Furthermore,
immunohistofluorescence analyses showed that lemnalol (10 MUg) also significantly
inhibits CCI-induced upregulation of microglial and astrocytic
immunohistochemical activation markers in the dorsal horn of the lumbar spinal
cord. Double immunofluorescent staining demonstrated that intrathecal injection
of lemnalol (10 MUg) markedly inhibited spinal proinflammatory mediator tumor
necrosis factor-alpha expression in microglial cells and astrocytes in
neuropathic rats. Collectively, our results indicate that lemnalol is a potential
therapeutic agent for neuropathic pain, and that further exploration of the
effects of lemnalol on glial proinflammatory responses is warranted.
PMID- 22067480
TI - Learning impairment by Delta(9)-tetrahydrocannabinol in adolescence is
attributable to deficits in chunking.
AB - Cannabis is the most popular illicit drug used by adolescents. Yet, there are
only a few studies that have examined the effects of cannabis use on learning and
memory during this sensitive and important neurodevelopmental stage. Male
adolescent Sprague-Dawley rats were treated with Delta(9)-tetrahydrocannabinol
(THC, 6 mg/kg) daily for 27 days and concurrently trained in a spatial learning
and memory task. The chronic effects of cannabis use were specifically examined
by assessing animal behaviour during the 'postacute' period (17 h after drug
exposure), when minimal acute drug burden is expected to be present. The
postacute period is a good model for cannabis use patterns in human adolescents.
In addition, we investigated whether the hierarchical organization of working
memory (chunking) was impaired by THC-treatment. We show that THC exposure
impairs adolescent learning when tested in the postacute period, and that THC
impairs the ability of animals to use a chunking strategy.
PMID- 22067481
TI - Heat treatment of retinal pigment epithelium induces production of elastic lamina
components and antiangiogenic activity.
AB - Age-related macular degeneration (AMD) is the leading cause of blindness in the
Western world. In advanced AMD, new vessels from choriocapillaris (CC) invade
through the Bruch's membrane (BrM) into the retina, forming choroidal
neovascularization (CNV). BrM, an elastic lamina that is located between the
retinal pigment epithelium (RPE) and CC, is thought to act as a physical and
functional barrier against CNV. The BrM of patients with early AMD are
characterized by decreased levels of antiangiogenic factors, including
endostatin, thrombospondin-1 (TSP-1), and pigment epithelium-derived factor
(PEDF), as well as by degeneration of the elastic layer. Motivated by a previous
report that heat increases elastin expression in human skin, we examined the
effect of heat on human ARPE-19 cell production of BrM components. Heat treatment
stimulated the production of BrM components, including TSP-1, PEDF, and
tropoelastin in vitro and increased the antiangiogenic activity of RPE measured
in a mouse corneal pocket assay. The effect of heat on experimental CNV was
investigated by pretreating the retina with heat via infrared diode laser prior
to the induction of CNV. Heat treatment blocked the development of experimental
CNV in vivo. These findings suggest that heat treatment may restore BrM integrity
and barrier function against new vessel growth.
PMID- 22067482
TI - HIF-1alpha coordinates lymphangiogenesis during wound healing and in response to
inflammation.
AB - This study aimed to investigate the mechanisms that coordinate lymphangiogenesis.
Using mouse models of lymphatic regeneration and inflammatory lymphangiogenesis,
we explored the hypothesis that hypoxia inducible factor-alpha (HIF-1alpha) is a
central regulator of lymphangiogenesis. We show that HIF-1alpha inhibition by
small molecule inhibitors (YC-1 and 2-methyoxyestradiol) results in delayed
lymphatic repair, decreased local vascular endothelial growth factor-C (VEGF-C)
expression, reduced numbers of VEGF-C(+) cells, and reductions in inflammatory
lymphangiogenesis. Using transgenic HIF-1alpha/luciferase mice to image HIF
1alpha expression in real time in addition to Western blot analysis and
pimonidazole staining for cellular hypoxia, we demonstrate that hypoxia
stabilizes HIF-1alpha during initial stages of wound repair (1-2 wk); whereas
inflammation secondary to gradients of lymphatic fluid stasis stabilizes HIF
1alpha thereafter (3-6 wk). In addition, we show that CD4(+) cell-mediated
inflammation is necessary for this response and regulates HIF-1alpha expression
by macrophages, as CD4-deficient or CD4-depleted mice demonstrate 2-fold
reductions in HIF-1alpha expression as compared to wild-types. In summary, we
show that HIF-1alpha is a critical coordinator of lymphangiogenesis by regulating
the expression of lymphangiogenic cytokines as part of an early response
mechanism to hypoxia, inflammation, and lymphatic fluid stasis.
PMID- 22067483
TI - The ubiquitin hydrolase USP22 contributes to 3'-end processing of JAK-STAT
inducible genes.
AB - The JAK-STAT (Janus kinase-signal transducer and activator of transcription)
signaling pathway drives cellular growth, differentiation, and the immune
response. STAT-activated gene expression is both rapid and transient and requires
dynamic post-translational modification of the chromatin template. We previously
showed that monoubiquitination of histone H2B (ubH2B) is highly dynamic at the
STAT1 target gene, interferon regulatory factor 1 (IRF1), suggesting that a
deubiquitinase is recruited during gene activation. Here, we report that RNAi
mediated knockdown of the ubiquitin hydrolase, USP22, results in 2-fold higher
ubH2B, and 2-fold lower transcriptional elongation at IRF1. We also demonstrate
that USP22 depletion diminishes 3'-end cleavage/polyadenylation by 2- to 3-fold.
Furthermore, the polyadenylation factor CPSF73 is not effectively recruited, and
serine 2 phosphorylation (Ser2P) of the C-terminal domain of RNA polymerase II is
also disrupted. The transcriptional and processing defects observed in the USP22
knockdown cells are reversed by transient USP22 overexpression. Together, these
results suggest that ubH2B helps recruit polyadenylation factors to STAT1
activated genes. We propose a working model, wherein a cycle of H2B
ubiquitination/deubiquitination specifies Ser2P to regulate elongation and 3'-end
processing of JAK-STAT-inducible mRNAs. These results further elaborate USP22
function and its role as a putative cancer stem cell marker.
PMID- 22067484
TI - Evaluation of genomic high-throughput sequencing data generated on Illumina HiSeq
and genome analyzer systems.
AB - BACKGROUND: The generation and analysis of high-throughput sequencing data are
becoming a major component of many studies in molecular biology and medical
research. Illumina's Genome Analyzer (GA) and HiSeq instruments are currently the
most widely used sequencing devices. Here, we comprehensively evaluate properties
of genomic HiSeq and GAIIx data derived from two plant genomes and one virus,
with read lengths of 95 to 150 bases. RESULTS: We provide quantifications and
evidence for GC bias, error rates, error sequence context, effects of quality
filtering, and the reliability of quality values. By combining different
filtering criteria we reduced error rates 7-fold at the expense of discarding
12.5% of alignable bases. While overall error rates are low in HiSeq data we
observed regions of accumulated wrong base calls. Only 3% of all error positions
accounted for 24.7% of all substitution errors. Analyzing the forward and reverse
strands separately revealed error rates of up to 18.7%. Insertions and deletions
occurred at very low rates on average but increased to up to 2% in homopolymers.
A positive correlation between read coverage and GC content was found depending
on the GC content range. CONCLUSIONS: The errors and biases we report have
implications for the use and the interpretation of Illumina sequencing data.
GAIIx and HiSeq data sets show slightly different error profiles. Quality
filtering is essential to minimize downstream analysis artifacts. Supporting
previous recommendations, the strand-specificity provides a criterion to
distinguish sequencing errors from low abundance polymorphisms.
PMID- 22067485
TI - What part can nurses play in the prevention of suicide?
AB - Professor Alan Glasper discusses the new goverment initiative which aims to
tackle the number of suicides ocurring in the UK. There are many different
reasons people choose to take their own lives and it is important that nurses
have a good understanding and awareness of these in order to contribute to the
reduction of the problem.
PMID- 22067486
TI - NHS future forum: is anyone listening?
AB - The NHS Future Forum has been asked by the Government to continue what it refers
to as 'conversations' with patients, service users and professionals (Department
of Health (DH), 2011a). The key focus points of the forum are information,
education and training, integrated care and public health. The forum was first
put into action following the publication of Modernising the NHS: The Health and
Social Care Bill 2011 (DH, 2011b), which met with opposition from many who felt
that encouraging competition within the NHS was a bad idea and put the NHS at
risk of privatization. Andrew Lansley, Secretary of State for Health, launched a
'listening exercise' as a response to this widespread criticism. However, this
too has been criticized after a confidential memo came to light suggesting that
there was actually little room for manoeuvre in terms of changes to the Bill
(Bosely et al, 2011).
PMID- 22067487
TI - The importance of hand disinfection prior to surgery.
AB - 'Hand washing is a practice we perform ritualistically, but as healthcare
professionals we need to appreciate its importance in clinical practice and not
become complacent about it' (Kerr, 1998).
PMID- 22067488
TI - Inadvertant hypothermia and active warming for surgical patients.
AB - Inadvertant hypothermia is common among surgical patients and can result in
serious complications. This article describes active warming systems which can be
used preoperatively and intraoperatively to prevent hypothermia and maintain
normothermia (normal body temperature).
PMID- 22067489
TI - Emergency and intensive care: assessing and managing the airway.
AB - Airway assessment and management skills are vital in an emergency department (ED)
as they are the most sensitive indicator of patient deterioration. Problems as
common as head injury or alcohol intoxication are associated with an inadequate
airway or diminished respiratory function and can cause a patient's condition to
decline rapidly. Maintaining a patient's airway and facilitating breathing is the
main priority in any emergency situation, although achieving airway control can
be difficult. All health professionals need to be able to safely undertake airway
management. The key is a thorough assessment to determine whether the airway is
patent or not. This paper will discuss the importance and practicalities of
airway management and assessment in the emergency and intensive care.
PMID- 22067490
TI - The future of surgical nursing and enhanced recovery programmes.
AB - Patients undergoing surgery in the UK are seeing a rise in the development of
enhanced recovery programmes as a result of increasing medical advances. Enhanced
recovery is concerned with helping patients get better sooner after an operation
by following a meticulous regime of care. The practical application of these
programmes is undertaken largely by nurses, despite encompassing explicit,
medically-driven protocols. However, beyond the professional knowledge and skills
required to aid the programmes, nursing knowledge has contributed little to this
rapidly developing aspect of surgery to date. Nursing has much to offer through
future creation of centrally coordinated, surgical nursing units focusing on
patients' holistic experience. This article will briefly describe enhanced
recovery, identify aspects of nursing knowledge that can have a positive
influence, and outline practical changes to assist the development of such
programmes, thereby benefiting all patients undergoing elective surgery.
PMID- 22067491
TI - Hirsutism: causes and treatment for women.
AB - Hirsutism is defined as an androgen-dependent, male pattern of hair distribution
in women. It affects between 5-15% of all women across all ethnic backgrounds
(Azziz, 2003). The presence of unwanted female facial hair (and male hair
patterns in other body areas) is the devastating consequence of hirsutism. It is
also estimated that up to 40% of the general female population have some degree
of unwanted facial hair (Hamzavi et al, 2007). The treatment of hirsutism is
twofold; treating the underlying cause and reducing visible hair. This article
will seek to define the causes of hirsutism, explore current treatment options
for the removal of unwanted hair and discuss the psychosocial effects for the
woman with hirsutism.
PMID- 22067492
TI - Fibromyalgia: an overview and comparison of treatment options.
AB - Fibromyalgia is a common condition characterized by a multitude of physical and
psychological symptoms which tend to persist overtime. The dominant symptom is
that of widespread musculoskeletal pain. This paper discusses the possible
causations of fibromyalgia, as well as the need for a biopsychosocial assessment
to fully understand the pain experience and to inform treatment and the evidence
base regarding therapeutic interventions.
PMID- 22067493
TI - Why is the regulation of advanced practice essential?
AB - For many years, nurses have sought to define advanced practice (AP). There is now
a developing consensus that it should be broadly defined in ways which include
and embrace not only clinical practice, but also other domains such as education,
management and leadership. This broad definition is congruent with Benner's
notion of the novice to expert trajectory and lends support to the view that the
risks around AP are minimal, since advanced practitioners by definition have
expertise. The broad model of AP, however, ignores one distinct subset of
advanced practice nurses who we term nurse practitioners (NPs). NPs are distinct
because of their hybrid, quasi-medical practice, which crucially involves
autonomous medical diagnosis and treatment. The risks in this area of practice
are substantial, and consequently require nothing less than a nationally
regulated set of benchmarks. These must address the training, assessment and
registration of NPs for the primary purpose of public protection.
PMID- 22067494
TI - Supporting self and others: from staff nurse to nurse consultant. Part 8: dealing
with negative staff.
AB - One of the most destructive forces to teamwork and morale are people within the
team who are negative. Everyone has days when they feel a bit 'down' and most
people enjoy a bit of a coffee break moan, but a few seem to dedicate their lives
to moaning and take this to an extreme, becoming a destructive negative element
when working in a team.
PMID- 22067495
TI - The Care Quality Commission's end-of-year report.
AB - Annual reports of organizations are very useful, they portray the activities that
have been carried out and also lay out plans for the future. Trends, challenges
and opportunities for the organization and the sector are also identified. Annual
reports are in many respects very similar to the end of year reports that we all
received at school but perhaps not as blunt. The Care Quality Commission (CQC)
annual report (the report) has just been published and it provides an
illuminating picture of NHS care in England with many challenges, successes and
failures clearly revealed. Key sections of the report that relate to NHS health
care will be discussed in this article.
PMID- 22067496
TI - Essential tips for nurses.
AB - A useful book for teachers of nurses as well as for their pupils was reviewed in
the BJN 100 years ago. It was called, 'A Quiz Book of Nursing,' by Miss Amy
Elizabeth Pope, with regard to the restraint of patients, it is suggested that
the following points should be emphasized by the instructor:
PMID- 22067497
TI - Reviewing nurse safety in the aftermath of the riots.
AB - Many cities in the UK including London, Birmingham and Manchester, were ravaged
by the shocking levels of rioting and looting that took place a few weeks ago and
are still attempting to recover.
PMID- 22067498
TI - Care transitions: more important than ever and on the national agenda.
PMID- 22067500
TI - OASIS-C importance of accurate pressure ulcer assessment and management in home
healthcare: part II.
AB - This is Part II of an article describing an educational initiative by certified
wound, ostomy, and continence nurses to strengthen clinical and documentation
skills related to the Outcome and Assessment Information Set (OASIS)-C M items.
Part I, published in Home Healthcare Nurse in April 2011 (vol. 29, issue 4, pp.
233-245), reviewed the fundamentals of wound assessment, wound healing, and OASIS
C wound terminology. The focus of this article is to show the connection between
the clinical assessment, interventions, documentation, and the impact on quality
outcomes and reimbursement. Because of the scope of this topic and the emphasis
given to the problem of pressure ulcers by varying sectors of healthcare,
including hospitals and nursing homes, this article focuses on pressure ulcers
and OASIS-C.
PMID- 22067502
TI - A call to action: helping hospice social workers embrace evidence-based practice
improving hospice documentation.
AB - Hospice programs provide interdisciplinary palliative care and services to
patients with a life-limiting illness; 6 months or less if the disease runs its
normal course. Social workers have been a required part of the hospice
interdisciplinary group since the inception of the Hospice Medicare Benefit in
1983 (), and it is impossible to imagine hospice care without the involvement of
social workers. Social workers are uniquely trained to assess the psychological
and social factors that impact well-being and intervene when the inability to
cope and emotional stress further impair the function of the patient and family.
PMID- 22067504
TI - A systems-based medication reconciliation process: with implications for home
healthcare.
AB - This article describes the medication reconciliation process applied on hospital
discharge of patients to home with home care services within Seton Health System,
an integrated health delivery network located in Troy, New York. The project,
which was not research based, was characterized by an intensive
pharmacotherapeutic medication reconciliation at hospital discharge by the
hospital-based pharmacist with continued pharmacist support available to home
healthcare nurses collaboratively at the time of start of care and resumption of
care. The goal of this process was to identify and resolve medication-related
problems and reduce hospital readmissions.
PMID- 22067505
TI - The challenge of improving transitional care: lessons learned in a home
healthcare agency.
AB - This article describes the efforts undertaken to reduce avoidable
hospitalizations in a large, not-for-profit home healthcare agency over a period
of 4 years by focusing on the improvement of transitional care-that is, moving
patients from 1 level of care to another. Beginning as an effort to simply
"teach" home care staff about best-practice tools, the challenges of implementing
the changes required to improve the transition period were found to be complex
and associated with a number of factors, ranging from the variation in discharge
processes across hospitals to how clinicians perceived their roles and those of
patients in the transition process. The author discusses the insights gleaned
from the work that has been completed-and the work that still remains-while
steadily decreasing the home healthcare agency's overall hospitalization rate.
PMID- 22067506
TI - Research on transitional care: from hospital to home.
AB - Concern over the human and financial costs of healthcare "silos" and poorly
coordinated care has been growing, fueled by data on risky patient "handoffs,"
repeat hospitalizations, and avoidable emergency department visits. More than 20%
of patients experience an adverse clinical event within 30 days of the discharge
from a hospital (). This column features research and review articles focused on
the issue of "handoffs" and transitional care. The investigators of the 1st
article described studied communication and information transfer deficits between
hospitalists and primary care physicians. The 2nd article presents findings of a
systematic hospital-based intervention geared toward improving discharge
experiences. The 3rd article describes another hospital-based initiative. This
reengineered discharge intervention uses nurse discharge advocates as one
component of a strategy to help patients understand, develop, and implement their
discharge plans. The final article profiled in this column presents findings of a
systematic literature review of randomized clinical trials that aimed to improve
transitional care for chronically ill adults and opportunities to promote more
widespread use of evidence-based programs through initiatives sponsored by the
Patient Protection and Affordable Care Act. Interested readers are encouraged to
read the articles for full information about the interventions and review
findings.
PMID- 22067507
TI - The complexity of care transitions.
PMID- 22067508
TI - Olfactory projections in the lepidosirenid lungfishes.
AB - Olfactory nerve and olfactory bulb projections in lepidosirenid lungfishes were
experimentally determined with neural tracers. Unilateral injections of DiI into
the olfactory nerve labeled the accessory and main olfactory bulbs as well as
fibers of the anterior root of the terminal nerve, which terminates extensively
in cell groups of the medial hemispheric wall, the dorsal and lateral pallia, and
the preoptic nuclei and posterior tubercle. Lepidosirenid lungfishes do not
exhibit separate vomeronasal nerves, but previous data indicate that calbindin
positive receptors within basal crypts of the olfactory epithelium are homologous
to the vomeronasal organ of tetrapods. Unilateral injections of DiI into the
accessory olfactory bulb reveal an accessory olfactory tract which terminates
primarily if not solely in the ipsilateral medial amygdalar nucleus as in
amphibians. Unilateral injections of tracers into the main olfactory bulb reveal
extensive projections to all cell groups in the ipsilateral telencephalic
hemisphere, except for the medial amygdalar nucleus, as well as secondary
olfactory projections (decussating in the habenular commissure) to the
contralateral dorsal pallium and main olfactory bulb. Secondary olfactory
projections also terminate bilaterally in diencephalic and midbrain centers after
partial decussation in the anterior and postoptic commissures, as well as in the
ventral hypothalamus and posterior tubercle. Cladistic analysis of the extensive
secondary olfactory projections indicates that this pattern is primitive for all
bony fishes whereas the reduction in secondary olfactory projections in
amphibians, particularly anurans, is a derived, simplified pattern.
PMID- 22067509
TI - Damping by branching: a bioinspiration from trees.
AB - Man-made slender structures are known to be sensitive to high levels of vibration
due to their flexibility which often cause irreversible damage. In nature, trees
repeatedly endure large amplitudes of motion, mostly caused by strong climatic
events, yet with minor or no damage in most cases. A new damping mechanism
inspired by the architecture of trees is identified here and characterized in the
simplest tree-like structure, a Y-shaped branched structure. Through analytical
and numerical analyses of a simple two-degree-of-freedom model, branching is
shown to be the key ingredient in this protective mechanism that we call damping
by-branching. It originates in the geometrical nonlinearities so that it is
specifically efficient to damp out large amplitudes of motion. A more realistic
model, using flexible beam approximation, shows that the mechanism is robust.
Finally, two bioinspired architectures are analyzed, showing significant levels
of damping achieved via branching with typically 30% of the energy being
dissipated in one oscillation. This concept of damping-by-branching is of simple
practical use in the design of very slender and flexible structures subjected to
extreme dynamical loadings.
PMID- 22067510
TI - The importance of challenge for the enjoyment of intrinsically motivated, goal
directed activities.
AB - Although early interview-based analyses of the enjoyment of intrinsically
motivated, goal-directed activities (e.g., chess, rock climbing, art making)
suggested the importance of relatively difficult, "optimal" challenges,
subsequent findings derived from a wider range of activities have not provided
consistent support for this proposition. Two studies were conducted to clarify
the relation between challenge and enjoyment. Study 1 focused on a single
activity-Internet chess. The importance of challenge was evident at the
subjective level (perceived challenge strongly predicted enjoyment) as well as
the objective level (games against superior opponents were more enjoyable than
games against inferior opponents, and close games were more enjoyable than
blowouts). In Study 2, the experience sampling method was used to examine the
enjoyment of challenge across a wide range of everyday activities. Activity
motivation (intrinsically motivated, non-intrinsically motivated) and activity
type (goal directed, non-goal directed) moderated the relation. Implications for
theories of intrinsic motivation are discussed.
PMID- 22067511
TI - Cardiac excitation mechanisms, wavefront dynamics and strength-interval curves
predicted by 3D orthotropic bidomain simulations.
AB - The assessment and understanding of cardiac excitation mechanisms is very
important for the development and improvement of implantable cardiac devices,
pacing protocols, and arrhythmia treatments. Previous bidomain simulation studies
have investigated cathodal and anodal make/break mechanisms of cardiac excitation
and strength-interval (S-I) curves in two-dimensional sheets or cylindrical
domains, that by symmetry reduce to the two-dimensional case. In this work,
cathodal and anodal S-I curves are studied by means of detailed bidomain
simulations which include: (i) three-dimensional cardiac slabs; (ii) transmural
fiber rotation; (iii) unequal orthotropic anisotropy of the conducting media;
(iv) incorporation of funny and electroporation currents in the ventricular
membrane model. The predicted shape of cathodal and anodal S-I curves exhibit the
same features of the S-I curves observed experimentally and the break/make
transition coincides with the final descending phase of the S-I curves. Away from
the break/make transition, only the break or make excitation mechanism is
observed independently of the stimulus strength, whereas within an interval at
the break/make transition, new paradoxical excitation behaviors are observed that
depend on the stimulus strength.
PMID- 22067512
TI - Distinguishing possible mechanisms for auxin-mediated developmental control in
Arabidopsis: models with two Aux/IAA and ARF proteins, and two target gene-sets.
AB - New models of gene transcriptional responses to auxin signalling in Arabidopsis
are presented. This work extends a previous model of auxin signalling to include
networks of gene-sets which may control developmental responses along auxin
gradients. Key elements of this new study include models of signalling pathways
and networks involving two Aux-IAA proteins (IAAs), auxin response factors (ARFs)
and gene targets. Hypotheses for the gene network topologies which may be
involved in developmental responses have been tested against experimental
observations for root hair growth in particular. In studying these models, we
provide a framework for the analysis of auxin signalling with multiple IAAs and
ARFs, and discuss the implications of bistability in such systems.
PMID- 22067513
TI - Preconditions for successful guideline implementation: perceptions of oncology
nurses.
AB - BACKGROUND: Although evidence-based guidelines are important for improving the
quality of patient care, implementation in practice is below expectations. With
the recent focus on team care, guidelines are intended to promote the integration
of care across multiple disciplines. We conducted an exploratory study to
understand oncology nurses' perceptions of guideline implementation and to learn
their views on how their experiences affected the implementation. METHODS: A
qualitative study was used with focus group interviews. We collected data from 11
nurses with more than 5 years of oncology nursing experience in Japan. The data
were analyzed using grounded theory. RESULTS: Results of the analysis identified
"preconditions for successful guideline implementation" as a core category. There
were 4 categories (goal congruence, equal partnership, professional self
development and user-friendliness) and 11 subcategories related to
organizational, multidisciplinary, individual, and guideline levels. CONCLUSIONS:
Although the guidelines were viewed as important, they were not fully implemented
in practice. There are preconditions at the organizational, multidisciplinary,
individual, and guideline levels that must be met if an organization is to
successfully implement the guideline in clinical settings. Prioritizing
strategies by focusing on these preconditions will help to facilitate successful
guideline implementation.
PMID- 22067514
TI - The endometrial epigenome and its response to steroid hormones.
AB - The human endometrium undergoes cyclic morphological and functional changes
during the menstrual cycle. These changes are driven mainly by steroid hormones
and orchestrated by a myriad of genes - many of which have been identified
recently as being epigenetically regulated. Epigenetic modifications, including
DNA methylation and histone acetylations, are shown recently to be involved in
functional changes in endometrium and endometrial diseases. Since epigenetics
itself is a rapidly evolving field, this review starts with an overview of
epigenetics and its intrinsic connections with endometrial response to steroid
hormones, highlighting its various levels of complexities. This is followed by a
review of published and unpublished work on "writers", "erasers", and other
players of endometrial epigenome. In the end, areas in need for future research
in this area will be exposed.
PMID- 22067515
TI - DNA fingerprinting of Mycobacterium tuberculosis: from phage typing to whole
genome sequencing.
AB - Current typing methods for Mycobacterium tuberculosis complex evolved from simple
phenotypic approaches like phage typing and drug susceptibility profiling to DNA
based strain typing methods, such as IS6110-restriction fragment length
polymorphisms (RFLP) and variable number of tandem repeats (VNTR) typing.
Examples of the usefulness of molecular typing are source case finding and
epidemiological linkage of tuberculosis (TB) cases, international transmission of
MDR/XDR-TB, the discrimination between endogenous reactivation and exogenous re
infection as a cause of relapses after curative treatment of tuberculosis, the
evidence of multiple M. tuberculosis infections, and the disclosure of laboratory
cross-contaminations. Simultaneously, phylogenetic analyses were developed based
on single nucleotide polymorphisms (SNPs), genomic deletions usually referred to
as regions of difference (RDs) and spoligotyping which served both strain typing
and phylogenetic analysis. National and international initiatives that rely on
the application of these typing methods have brought significant insight into the
molecular epidemiology of tuberculosis. However, current DNA fingerprinting
methods have important limitations. They can often not distinguish between
genetically closely related strains and the turn-over of these markers is
variable. Moreover, the suitability of most DNA typing methods for phylogenetic
reconstruction is limited as they show a high propensity of convergent evolution
or misinfer genetic distances. In order to fully explore the possibilities of
genotyping in the molecular epidemiology of tuberculosis and to study the
phylogeny of the causative bacteria reliably, the application of whole-genome
sequencing (WGS) analysis for all M. tuberculosis isolates is the optimal,
although currently still a costly solution. In the last years WGS for typing of
pathogens has been explored and yielded important additional information on
strain diversity in comparison to the classical DNA typing methods. With the
ongoing cost reduction of DNA sequencing it is possible that WGS will become the
sole diagnostic tool in the secondary laboratory diagnosis of tuberculosis for
identification, drug susceptibility testing and genetic characterization.
PMID- 22067516
TI - Recombinant norovirus GII.g/GII.12 gastroenteritis in children.
AB - Recombinant GII.g/GII.12 norovirus (NoV) strains emerged in 2008 in Australia and
subsequently have been associated with gastroenteritis outbreaks worldwide. In
the winter season 2009-2010 GII.12 strains caused 16% of the NoV outbreaks in the
United States. During 2009-2010 we also identified GII.g/GII.12 strains during
surveillance of sporadic cases of gastroenteritis in Italian children. Severity
scores were calculated for the GII.g/GII.12 NoV infections using the Vesikari
scale and in two out of three paediatric cases they exceeded the median value
calculated for concomitant GII.4 infections. Upon sequence analysis, the Italian
strains were found to be recombinant viruses and displayed different patterns of
nucleotide polymorphisms. Phylodynamic analysis with other GII.g/GII.12
recombinants showed a high rate of evolution, comparable to the rates observed
for GII.4 viruses. The mechanisms leading to worldwide emergence of GII.12 NoV
strains in 2008-2010 are not clear. Monitoring of GII.12 NoV circulation is
necessary to understand these mechanisms of evolution.
PMID- 22067517
TI - Reactive lymphoid hyperplasia of the ocular surface: clinicopathologic features
and search for infectious agents.
PMID- 22067518
TI - Clinical features of patients with immunoglobulin light chain amyloidosis (AL)
with vascular-limited deposition in the kidney.
AB - In the kidney, immunoglobulin light chain amyloidosis (AL) can be deposited in
vascular-limited AL (V-AL) or diffuse (D-AL) pattern. These patterns are
associated with different clinical presentations. A nested case study was
performed to describe these differences. V-AL was defined by the vascular-limited
deposits. Cases were matched for age, sex and date of renal biopsy. There were 12
cases of V-AL (mean age 61 +/- 11 years) and 24 cases of D-AL. Median follow-up
was 26 months for V-AL and 38 months for D-AL, P = 0.14. Lambda was more common
in D-AL (83.3%) than V-AL (50%, P = 0.04). Cardiac function was similar between
the two groups. V-AL patients presented with lower renal function (serum
creatinine = 2.1 versus 1.3 mg/dL, P = 0.02; estimated glomerular filtration rate
31 versus 59 mL/min/1.73m(2), P = 0.01 and creatinine clearance 38.5 versus 64
mL/min/1.73m(2), P = 0.02, respectively). Proteinuria was low grade in V-AL [0.4
(0.09-0.98) g/day] compared to nephrotic range in D-AL patients [8.0 (0.2-22)
g/day, P < 0.001]. Stem cell transplantation was performed on 62.5% of the D-AL
but on only 25% of the V-AL, P = 0.08. Median survival was longer in patients
with D-AL (77.2 months) versus V-AL (40.6 months, log-rank P = 0.02). Our study
found that V-AL patients presented with more severe renal insufficiency and less
proteinuria than D-AL. There was a preference for lambda light chain in the D-AL
that was not noted in the V-AL. Patients with D-AL in this study had a longer
median survival but most of them were stem cell transplantation candidates.
PMID- 22067519
TI - Body plan in tetrapods: is it patterned by a hyperbolic tissue flow?
PMID- 22067520
TI - Levosimendan in two neonates with ischemic heart failure and pulmonary
hypertension.
AB - We report the successful and safe use of levosimendan, a new calcium-sensitizing
agent with positive inotropic and vasodilatory action, in 2 critically ill term
newborns with acute heart failure and pulmonary hypertension in the absence of
any underlying heart malformation and/or previous cardiosurgical procedures.
During the neonatal period, levosimendan may represent an ideal drug for immature
myocardium characterized by a higher calcium-dependent contractility than in
adults.
PMID- 22067526
TI - Is the intake of sugar-containing beverages during adolescence related to adult
weight status?
AB - OBJECTIVE: To investigate the relationship between the intake of sugar-containing
beverages (SCB) at the age of 13 years and adult weight status 24-30 years later.
DESIGN: A longitudinal study with 30 years of follow-up from adolescence (age 13
years in 1976) to adulthood (up to 2000 and 2006). Dietary intake was assessed
through cross-check dietary history face-to-face interviews by a dietitian.
Beverages were divided into two categories: (i) total SCB and (ii) SCB excluding
100% fruit juices. Percentage of total fat (%total fat) and percentage of trunk
fat (%trunk fat) were obtained through dual-energy X-ray absorptiometry
measurements; body weight and height were measured by trained staff. SETTING:
Amsterdam Growth and Health Longitudinal Study, the Netherlands. SUBJECTS: One
hundred and fourteen males and 124 females. RESULTS: In males, but not in
females, each additional daily serving of SCB excluding 100% fruit juices at 13
years was associated with 1?14% higher %total fat (95% CI 0?04, 2?23 %; P50?04)
and 1?62% higher %trunk fat (95% CI 0?14, 3?10 %; P50?03) in adulthood after
correction for confounders. No statistically significant relationship was found
between the intake of SCB excluding 100% fruit juices at the age of 13 and BMI in
both sexes. In addition, no statistically significant relationships were found
between the intake of total SCB and all measures of adult weight status in both
sexes. CONCLUSIONS: Intake of SCB excluding 100% fruit juices at the age of 13
years was positively associated with adult %total fat and %trunk fat in males,
but not in females.
PMID- 22067527
TI - Development of a novel, nearly insoluble antiadhesive membrane.
AB - BACKGROUND: Sodium hyaluronate/carboxymethylcellulose (HA/CMC) is difficult to
use in a moist environment because of its susceptibility to moisture. METHODS: We
developed the three-layered nDM-14R membrane. The surface layers are composed of
1-lactide, glycolide and e-caprolactone copolymers. HA/CMC and nDM-14R were used
in all these studies. (1) The central region of 1 * 10 cm specimens (n = 5) was
moistened for 0, 5, 10, 20, 30 or 60 s, after which the tensile strength was
determined; (2) one side of specimens of 1 * 10 cm (n = 5) was moistened with
agar gel for 5, 10, 15 or 30 s, after which the adhesion strength was determined,
and (3) Rat cecum (n = 10) was scratched, 3 * 3 cm specimens were placed on the
scratched area, and adhesions were evaluated on postoperative day 14. RESULTS AND
CONCLUSION: (1) The tensile strength of nDM-14R after contact for 10-30 s was
greater than that of HA/CMC. (2) The adhesive strength of HA/CMC after contact
for 5-10 s was greater than that of nDM-14R. (3) Adhesion scores in treatment
groups were significantly lower than in the control group. The results suggest
that nDM-14R has the same antiadhesive effect and allows easier placement under
moist conditions than HA/CMC.
PMID- 22067528
TI - Expression and role of fibroblast activation protein-alpha in microinvasive
breast carcinoma.
AB - BACKGROUND: Diagnosis of ductal carcinoma in situ (DCIS) in breast cancer cases
is challenging for pathologist due to a variety of in situ patterns and
artefacts, which could be misinterpreted as stromal invasion. Microinvasion is
detected by the presence of cytologically malignant cells outside the confines of
the basement membrane and myoepithelium. When malignant cells invade the stroma,
there is tissue remodeling induced by perturbed stromal-epithelial interactions.
Carcinoma-associated fibroblasts (CAFs) are main cells in the microenvironment of
the remodeled tumor-host interface. They are characterized by the expression of
the specific fibroblast activation protein-alpha (FAP-alpha), and differ from
that of normal fibroblasts exhibiting an immunophenotype of CD34. We hypothesized
that staining for FAP-alpha may be helpful in determining whether DCIS has
microinvasion. METHODS: 349 excised breast specimens were immunostained for
smooth muscle actin SMA, CD34, FAP-alpha, and Calponin. Study material was
divided into 5 groups: group 1: normal mammary tissues of healthy women after
plastic surgery; group 2: usual ductal hyperplasia (UDH); group 3: DCIS without
microinvasion on H & E stain; group 4: DCIS with microinvasion on H & E stain
(DCIS-MI), and group 5: invasive ductal carcinoma (IDC). A comparative evaluation
of the four immunostains was conducted. RESULTS: Our results demonstrated that
using FAP-alpha and Calponin adjunctively improved the sensitivity of
pathological diagnosis of DCIS-MI by 11.29%, whereas the adjunctive use of FAP
alpha and Calponin improved the sensitivity of pathological diagnosis of DCIS by
13.6%. CONCLUSIONS: This study provides the first evidence that immunostaining
with FAP-alpha and Calponin can serve as a novel marker for pathologically
diagnosing whether DCIS has microinvasion.
PMID- 22067530
TI - Emotion modulates cognitive flexibility in patients with major depression.
AB - BACKGROUND: Depression is associated with alterations of emotional and cognitive
processing, and executive control in particular. Previous research has shown that
depressed patients are impaired in their ability to shift attention from one
emotional category to another, but whether this shifting deficit is more evident
on emotional relative to non-emotional cognitive control tasks remains unclear.
METHOD: The performance of patients with major depressive disorder and matched
healthy control participants was compared on neutral and emotional variants of a
dynamic cognitive control task that requires participants to shift attention and
response from one category to another. RESULTS: Relative to controls, depressed
patients were impaired on both tasks, particularly in terms of performance
accuracy. In the neutral go/no-go task, the ability of depressed patients to
flexibly shift attention and response from one class of neutral stimuli to the
other was unimpaired. This contrasted with findings for the emotional go/no-go
task, where responding was slower specifically on blocks of trials that required
participants to shift attention and response from one emotional category to the
other. CONCLUSIONS: The present data indicate that any depression-related
difficulties with cognitive flexibility and control may be particularly evident
on matched tasks that require processing of relevant emotional, rather than
simply neutral, stimuli. The implications of these findings for our developing
understanding of cognitive and emotional control processes in depression are
discussed.
PMID- 22067531
TI - As we look toward the future, should we take note of the past?
PMID- 22067529
TI - Chemical and transcriptional responses of Norway spruce genotypes with different
susceptibility to Heterobasidion spp. infection.
AB - BACKGROUND: Norway spruce [Picea abies (L.) Karst.] is one of the most important
conifer species in Europe. The wood is economically important and infections by
wood-rotting fungi cause substantial losses to the industry.The first line of
defence in a Norway spruce tree is the bark. It is a very efficient barrier
against infection based on its mechanical and chemical properties. Once an injury
or an infection is recognized by the tree, induced defences are activated. In
this study we examined transcriptional response, using 454-sequencing, and
chemical profiles in bark of Norway spruce trees with different susceptibility to
Heterobasidion annosum s.l. infection. The aim was to find associations between
the transcriptome and chemical profiles to the level of susceptibility to
Heterobasidion spp. in Norway spruce genotypes. RESULTS: Both terpene and phenol
compositions were analysed and at 28 days post inoculation (dpi) high levels of 3
carene was produced in response to H. annosum. However, significant patterns
relating to inoculation or to genotypes with higher or lower susceptibility could
only be found in the phenol fraction. The levels of the flavonoid catechin, which
is polymerized into proanthocyanidins (PA), showed a temporal variation; it
accumulated between 5 and 15 dpi in response to H. annosum infection in the less
susceptible genotypes. The transcriptome data suggested that the accumulation of
free catechin was preceded by an induction of genes in the flavonoid and PA
biosynthesis pathway such as leucoanthocyanidin reductase. Quantitative PCR
analyses verified the induction of genes in the phenylpropanoid and flavonoid
pathway. The qPCR data also highlighted genotype-dependent differences in the
transcriptional regulation of these pathways. CONCLUSIONS: The varying dynamics
in transcriptional and chemical patterns displayed by the less susceptible
genotypes suggest that there is a genotypic variation in successful spruce
defence strategies against Heterobasidion. However, both high levels of
piceasides and flavonoids in the less susceptible genotypes suggested the
importance of the phenolic compounds in the defence. Clearly an extended
comparison of the transcriptional responses in the interaction with
Heterobasidion between several independent genotypes exhibiting reduced
susceptibility is needed to catalogue mechanisms of successful host defence
strategies.
PMID- 22067532
TI - Managing pain in obese patients.
AB - Obesity has become an epidemic in American healthcare. Comorbidities such as
diabetes and cardiac disease increase the mortality and morbidity for these
patients. Obesity-related pain conditions can limit the patient's efforts at
increasing activity and limit quality of life. This article will offer
information on these conditions and treatment options.
PMID- 22067533
TI - Anthracycline extravasations: prevention and management.
AB - This article highlights the importance of being knowledgeable about anthracycline
extravasations, including their prevention, early detection, and prompt and
effective management. It also emphasizes the need for chemotherapy nurses to
document and report all extravasations when they occur, summarizes the current
management options, and offers recommendations for clinical practice.
Extravasation refers to the unintentional administration of an agent into the
surrounding tissue instead of the venous system; in this case, vesicant
chemotherapy. Anthracycline extravasations can lead to significant and lasting
tissue damage, infection, pain, and functional impairment; they remain a feared
consequence for both the patient receiving the chemotherapy and the nurse
administering it. The management of anthracycline extravasations remains a
constant challenge to the professionals caring for the patient. One of these
challenges is the lack of evidence for many of the treatment options available.
The systemic antidote Savene(r) is the only approved treatment for anthracycline
extravasations. It has proved highly efficacious and well-tolerated in
prospective clinical studies and in routine clinical practice. Despite national
and international professional organizations recommending Savene in their
extravasation guidelines, many cancer networks in England still exclude it from
their local protocol. Funding decisions regarding the use of supportive
treatments are often made on the basis of clinical need; it is, therefore,
imperative that nurses promptly report all extravasations or they will remain
unknown and management of extravasations will not improve.
PMID- 22067534
TI - Clinical nurse specialists: essential resource for an effective NHS.
AB - Despite emerging evidence for the clinical and financial efficacy of the clinical
nurse specialist (CNS), the provision of this role is patchy across the country.
There is also a risk that incumbent CNS' may be redirected to less specialist
work in trusts that do not appreciate the full value of the service that these
nurses provide. Optimal and equitable patient access to CNS care will require the
development of a strong evidence base showing that specialist nurses not only
deliver patient-focused care, but that they can also help to meet healthcare
managers' objectives of streamlined, cost-effective clinical services.
PMID- 22067535
TI - It's a targeted world in non-Hodgkin's lymphoma.
PMID- 22067536
TI - Cancer nurses: value for money when it matters.
PMID- 22067537
TI - Young patients with chronic lymphocytic leukaemia.
PMID- 22067538
TI - Cancer-related fatigue: physical assessment is not enough.
AB - Cancer-related fatigue has been referred to as 'inevitable, unimportant and
untreatable' (Stone et al, 2000), with patient experiences easily overlooked or
even dismissed by health professionals. This article examines literature
regarding the prevalence of cancer-related fatigue, its manifestation and
assessment. It also aims to encourage fellow health professionals to reflect on
their own practice when assessing and managing fatigue, and identifies the need
to address the psychosocial dimensions of the experience, as well as the
physical.
PMID- 22067539
TI - The WHO analgesic ladder: 25 years on.
PMID- 22067540
TI - Effectively managing chemotherapy-induced nausea and vomiting.
AB - This article examines the unpleasant side effect of chemotherapy-induced nausea
and vomiting (CINV). What was once the main reason for withdrawing from treatment
is now much more tolerable owing to the advent of modern antiemetics. However,
because of the improvements in these antiemetics, the focus on CINV appears to
have lapsed. Despite this, some patients continue to experience problems and
under-report this side effect. This article looks at the mechanism of CINV and
clarifies terminology and definitions surrounding it. Risk factors are discussed
and management strategies advised, including appropriateness of modern
antiemetics and non-pharmacological strategies. To conclude, a brief look at
nursing implications and self-management strategies are explored.
PMID- 22067542
TI - Hypertrophy and dietary tyrosine ameliorate the phenotypes of a mouse model of
severe nemaline myopathy.
AB - Nemaline myopathy, the most common congenital myopathy, is caused by mutations in
genes encoding thin filament and thin filament-associated proteins in skeletal
muscles. Severely affected patients fail to survive beyond the first year of life
due to severe muscle weakness. There are no specific therapies to combat this
muscle weakness. We have generated the first knock-in mouse model for severe
nemaline myopathy by replacing a normal allele of the alpha-skeletal actin gene
with a mutated form (H40Y), which causes severe nemaline myopathy in humans. The
Acta1(H40Y) mouse has severe muscle weakness manifested as shortened lifespan,
significant forearm and isolated muscle weakness and decreased mobility. Muscle
pathologies present in the human patients (e.g. nemaline rods, fibre atrophy and
increase in slow fibres) were detected in the Acta1(H40Y) mouse, indicating that
it is an excellent model for severe nemaline myopathy. Mating of the Acta1(H40Y)
mouse with hypertrophic four and a half LIM domains protein 1 and insulin-like
growth factor-1 transgenic mice models increased forearm strength and mobility,
and decreased nemaline pathologies. Dietary L-tyrosine supplements also
alleviated the mobility deficit and decreased the chronic repair and nemaline rod
pathologies. These results suggest that L-tyrosine may be an effective treatment
for muscle weakness and immobility in nemaline myopathy.
PMID- 22067543
TI - IETS 2011 pre-Conference Symposium foreword.
PMID- 22067544
TI - Incidence of isolated heparin-induced thrombocytopenia and risk of thrombosis by
IgG-specific anti-PF4/heparin ELISA.
AB - Heparin-induced thrombocytopenia (HIT) antibodies are screened by an enzyme
linked immunosorbent assay (ELISA). Polyspecific ELISA detects anti-PF4/heparin
IgG, IgA, and IgM. Recently, anti-PF4/heparin IgG ELISA has been shown to be more
specific. However, the impact of using the IgG-ELISA on the incidence of isolated
HIT (thrombocytopenia alone without clinically evident thrombosis) and the risk
of developing subsequent thrombosis are still unknown. METHODS: A total of 492
consecutive patients with clinically suspected HIT at The University of Texas
Southwestern Medical Center and affiliated hospitals were retrospectively
reviewed from December 2008 to May 2010. RESULTS: 29 patients (6%) were diagnosed
with HIT based on clinical findings and positive ELISA. 19 of the 29 patients
(65%) had thrombosis at the time of diagnosis; whereas 10 of the 29 (35%) had
only isolated HIT. The ten patients with isolated HIT had serial follow up for at
least 3 months. 3 of 10 were treated with direct thrombin inhibitors and 5 of 10
were treated with Warfarin for at least 1 month upon discharge. None of them
developed symptoms or signs of thrombosis during 3 months of follow up.
CONCLUSION: The incidence of isolated HIT in this study was 35%, which is
significantly lower than previously reported in the literature. It is possible
that some patients previously thought to have HIT by the poly-specific ELISA
assay had false positive results. The improved specificity of the IgG- ELISA
appears to reduce the incidence of isolated HIT which may have lower risk of
subsequent thrombosis.
PMID- 22067545
TI - Deep venous thrombosis in acute stroke patients.
AB - Deep venous thrombosis (DVT) is a complication of stroke. Our aim was to
determine the frequency of DVT in patients with acute stroke, risk factors for
its development, and its influence on the 3-month outcome. A total of 323
consecutive patients with acute stroke were enrolled. We performed ultrasound
imaging within 7 days after stroke. Deep venous thrombosis was found in 8.7% of
patients, only in those with ischemic stroke. Patients with DVT were more
frequently female (71.4% vs 49.5%), had prestroke Modified Rankin scale (mRS) 3
to 5 (42.9% vs 15.3%), elevated C-reactive protein (CRP) serum level (65.4% vs
32.5%), and a trend toward elevated serum fibrinogen level (85.7% vs 70.1%; P =
.08). In a multivariate analysis, elevated CRP (odds ratio [OR] 3.15) and
prestroke disability (OR 2.89) were independent risk factors for DVT. Deep venous
thrombosis occurs in <10% of patients with acute stroke and does not
significantly affect the 3-month outcome. Prestroke dependency and elevated CRP
level at baseline are independent risk factors for DVT.
PMID- 22067546
TI - Four-terminal resistance of an interacting quantum wire with weakly invasive
contacts.
AB - We analyze the behavior of the four-terminal resistance, relative to the two
terminal resistance of an interacting quantum wire with an impurity, taking into
account the invasiveness of the voltage probes. We consider a one-dimensional
Luttinger model of spinless fermions for the wire. We treat the coupling to the
voltage probes perturbatively, within the framework of non-equilibrium Green
function techniques. Our investigation unveils the combined effect of impurities,
electron-electron interactions and invasiveness of the probes on the possible
occurrence of negative resistance.
PMID- 22067548
TI - Attitudes toward people with disabilities caused by illness or injury: beyond
physical impairment.
AB - This study examined differences in attitudes toward and reactions to individuals
with comparable disabilities caused by injury or illness. Participants were
students and healthcare professionals randomly assigned to read one of eight
vignettes constructed in a between-subjects, full-factorial design: 2
(illness/injury)* 2 (male/female)* 2 (visible/not visible). Participants
completed questionnaires measuring reactions to the target person and attitudes
toward people with disabilities. We found that both students and healthcare
professionals expressed more positive attitudes toward persons with injury
related compared with illness-related disabilities, but that these differences
were typically not expressed in reported social encounters with individual
disabled persons. The effects of the illness-injury distinction were moderated by
sex only among students, with the highest distancing reported from a female with
an illness-related disability. Visibility of the disability triggered more social
distancing among students, regardless of the injury-illness distinction. We
concluded that the illness-injury distinction is a socially and psychologically
significant factor.
PMID- 22067547
TI - The virulence regulator Agr controls the staphylococcal capacity to activate
human neutrophils via the formyl peptide receptor 2.
AB - The Agr quorum-sensing system represents the master regulator for staphylococcal
virulence factors and is known to have a strong impact on the release of pathogen
associated molecular pattern (PAMP) molecules. Among the various staphylococcal
PAMPs, phenol-soluble modulin (PSM) peptides have attracted increasing interest
because they are crucial for staphylococcal virulence and have neutrophil
recruiting properties. The latter depend on recognition of PSMs by the neutrophil
formyl peptide receptor 2 (FPR2/ALX), for which PSMs are highly efficient
agonists. We demonstrate that Agr inactivation in Staphylococcus aureus or S.
epidermidis leads to strongly reduced neutrophil responses, which is in agreement
with the previously reported strict control of PSM expression by Agr. Agr had a
distinct and profound impact on activation of FPR2/ALX but not of the related
FPR1 receptor that senses bacterial formylated peptides. S. epidermidis PSMs had
similar FPR2/ALX-activating properties but differed in their dependence on N
terminal formylation compared to S. aureus PSMs. Moreover, S. aureus and S.
epidermidis PSMs upregulated the neutrophil complement receptor CD11b via
FPR2/ALX stimulation in an Agr-dependent fashion. Hence, Agr controls the
capacity of staphylococcal pathogens to activate FPR2/ALX-dependent neutrophil
responses, underscoring the crucial role of FPR2/ALX and PSMs in staphylococcus
host interaction.
PMID- 22067541
TI - Neurological diseases and pain.
AB - Chronic pain is a frequent component of many neurological disorders, affecting 20
40% of patients for many primary neurological diseases. These diseases result
from a wide range of pathophysiologies including traumatic injury to the central
nervous system, neurodegeneration and neuroinflammation, and exploring the
aetiology of pain in these disorders is an opportunity to achieve new insight
into pain processing. Whether pain originates in the central or peripheral
nervous system, it frequently becomes centralized through maladaptive responses
within the central nervous system that can profoundly alter brain systems and
thereby behaviour (e.g. depression). Chronic pain should thus be considered a
brain disease in which alterations in neural networks affect multiple aspects of
brain function, structure and chemistry. The study and treatment of this disease
is greatly complicated by the lack of objective measures for either the symptoms
or the underlying mechanisms of chronic pain. In pain associated with
neurological disease, it is sometimes difficult to obtain even a subjective
evaluation of pain, as is the case for patients in a vegetative state or end
stage Alzheimer's disease. It is critical that neurologists become more involved
in chronic pain treatment and research (already significant in the fields of
migraine and peripheral neuropathies). To achieve this goal, greater efforts are
needed to enhance training for neurologists in pain treatment and promote greater
interest in the field. This review describes examples of pain in different
neurological diseases including primary neurological pain conditions, discusses
the therapeutic potential of brain-targeted therapies and highlights the need for
objective measures of pain.
PMID- 22067549
TI - Rehabilitation of critical illness polyneuropathy and myopathy patients: an
observational study.
AB - Critical illness polyneuropathy and myopathy (CIPNM) frequently develops in
patients hospitalized in intensive care units. The number of patients with CIPNM
admitted to inpatient rehabilitation is increasing. The aim of this study was to
comprehensively evaluate the outcome of their rehabilitation. Twenty-seven
patients with CIPNM were included in the study. The diagnosis was established
clinically and confirmed electrophysiologically. Manual muscle testing was used
for the assessment of function. Activity was assessed using the functional
independence measure and two walking tests. The patients were also assessed using
an adapted International Classification of Functioning, Disability and Health
(ICF) checklist. All assessments were performed at admission and discharge.
Clinically important and statistically significant improvements were found in all
observed measures. High and significant correlations were found between the
measures, except between muscle strength and the results of walking tests.
Improvement in body functions during rehabilitation decreased as the time from
established diagnosis to the start of rehabilitation increased, but it was not
related to rehabilitation duration. Improvements in terms of the ICF mainly
corresponded to the gain in functional independence measure scores. Major
improvement regarding body functions and activities/participation was achieved in
patients with CIPNM with a relatively short rehabilitation. Rehabilitation of
such patients should start as early as possible once the diagnosis has been
established. Comprehensive assessment of such patients combining established
scales, objective clinical tests, and the ICF is recommended.
PMID- 22067550
TI - Effect of long-term physical activity practice after cardiac rehabilitation on
some risk factors.
AB - The objective of this study was to evaluate the effects of long-term physical
activity practice after a cardiac rehabilitation program on weight, physical
capacity and arterial compliance. The Dijon Physical Activity Score was used to
identify two groups: sedentary and active. Weight, distance at the 6-min walk
test and the small artery elasticity indice were measured at the beginning, at
the end of the rehabilitation program and at 18.3 +/- 5.3 months after. After the
cardiac rehabilitation, sedentary patients showed a significant increase in
weight and a significant reduction in distance on the 6-min walk test and in the
arterial compliance. Active patients did not show any alteration in these
parameters. We concluded that, after a cardiac rehabilitation program, the
sedentary lifestyle has a negative influence on weight, physical capacity and
arterial compliance, which are major markers of risk factors. In contrast, the
practice of physical activity preserves these parameters.
PMID- 22067551
TI - When control fails: influence of the prefrontal but not striatal dopaminergic
system on behavioural flexibility in a change detection task.
AB - There is growing interest in understanding the neurobiological foundations of
attention. To examine whether attentional processes in a change detection task
are modulated by dopamine signalling, we investigated the influence of two
polymorphisms, i.e. Val158Met (rs4680) in the catechol-O-methyl transferase
(COMT) and a variable number of tandem repeats polymorphism (VNTR, rs28363170) in
the dopamine transporter (DAT1). The COMT Met allele, which results in lower
enzyme activity and therefore probably enhanced PFC dopamine signalling, was
significantly associated with task-performance and modulated executive control:
Homozygous Met/Met allele carriers had difficulties when performing a change
detection task, particularly showing the greatest difficulties in case cognitive
and behavioural flexibility was necessary and the required reaction was not part
of the subject's primary task set. Contrary, no difference between the two
genotype groups were evident, when an attentional conflict emerged and
attentional control was needed for adequate responding. No association with
variation in DAT1 was observed. The results indicate a dissociation of the
prefrontal and striatal dopamine system for attentional control and behavioural
flexibility in a change detection task: While prefrontal dopamine turnover seems
to modulate performance, putatively via difficulties in set shifting leading to
behavioural inflexibility in COMT Met allele carriers, striatal dopamine turnover
seems less important in this regard. With respect to other studies examining
mechanisms of attentional functions in different paradigms, the results suggest
that behavioural flexibility and attentional control as two executive
subprocesses are differentially influenced by genetic polymorphisms within the
dopaminergic system. This article is part of a Special Issue entitled 'Post
Traumatic Stress Disorder'.
PMID- 22067552
TI - A case-referent study of lung cancer and incense smoke, smoking, and residential
radon in Chinese men.
AB - BACKGROUND: Burning incense generates large amounts of air pollutants, many of
which are confirmed or suspected human lung carcinogens. OBJECTIVES: We conducted
a population-based case-referent study to examine the effect of incense smoke
exposure on lung cancer risk among Chinese males and explored the joint effect of
cigarette smoking and exposure to residential radon. METHODS: We recruited 1,208
male lung cancer incident cases and 1,069 community referents from 2004 to 2006
and estimated their lifetime exposures to incense smoke and other residential
indoor air pollutants based on self-reported information collected during
interviews. We performed unconditional multivariable logistic regression analysis
to estimate the odds ratio (OR) for lung cancer associated with exposure to
incense smoke after adjusting for possible confounders. We conducted stratified
analyses by smoking status and exposures to incense burning and residential radon
and explored the potential additive-scale interactions. RESULTS: We observed an
association between incense exposure and lung cancer that was limited primarily
to smokers. Cigarette smoking and high cumulative incense exposure at home
appeared to have a synergistic effect on lung cancer (compared with never-smokers
who never used incense, the OR for lung cancer for smokers who used incense >= 60
day-years = 5.00; 95% confidence interval: 3.34, 7.51). Power was limited, but we
also found preliminary evidence suggesting that radon exposure may increase risk
among smokers using incense. CONCLUSION: Our study suggests that exposure to
incense smoke in the home may increase the risk of lung cancer among smokers and
that exposure to radon may further increase risk.
PMID- 22067553
TI - Ultrasound-assisted synthesis of Li-rich mesoporous LiMn2O4 nanospheres for
enhancing the electrochemical performance in Li-ion secondary batteries.
AB - The hierarchically structured mesoporous LiMn(2)O(4) (LMO) nanospheres were
synthesized using a template-free self-assembly process that was coupled with
ultrasound (U). The ultrasound technique suggested here is very powerful for
controlling an ordered nanostructure and improving crystallinity with large
single-crystalline domains. Owing to the hierarchical mesoporous structure and
high crystallinity, U-LMO provides an excellent rate capability and cycle
stability with a capacity retention of more than 98% up to 50 cycles at a 0.2C
rate. Here, we demonstrate that mesoporous U-LMO nanospheres were fabricated to
enhance the electrochemical performance and protect it from structurally
significant collapsing because of high crystallinity.
PMID- 22067555
TI - Biomarkers predicting tumor response and evasion to anti-angiogenic therapy.
AB - No fully validated biological markers currently exist to predict responsiveness
to or the development of evasion to anti-angiogenic therapy of cancer. The
identification of such biomarkers is vital to move these therapies forward, as
failure to respond to these treatments is often associated with rapid tumor
progression that could have been averted had the intrinsic or acquired evasion to
anti-angiogenic therapy been identified in a timely fashion. Furthermore, the
high cost of antiangiogenic therapies makes it important to avoid utilizing them
in the setting of lack of response or developing evasion, making the
identification of biomarkers even more important. A number of potential
physiologic, circulating, tissue, and imaging biomarkers have emerged from
recently completed preclinical animal studies and clinical trials. In this
review, we define 5 different types of biomarkers (physiologic, circulating,
intratumoral, genetic polymorphisms, and radiographic); discuss the challenges in
establishing biomarkers of antiangiogenic therapy in animal models and in
clinical trials; and discuss future strategies to identify and validate
biomarkers of anti-angiogenic therapy.
PMID- 22067554
TI - A novel esterase gene cloned from a metagenomic library from neritic sediments of
the South China Sea.
AB - BACKGROUND: Marine microbes are a large and diverse group, which are exposed to a
wide variety of pressure, temperature, salinity, nutrient availability and other
environmental conditions. They provide a huge potential source of novel enzymes
with unique properties that may be useful in industry and biotechnology. To
explore the lipolytic genetic resources in the South China Sea, 23 sediment
samples were collected in the depth < 100 m marine areas. RESULTS: A metagenomic
library of South China Sea sediments assemblage in plasmid vector containing
about 194 Mb of community DNA was prepared. Screening of a part of the
unamplified library resulted in isolation of 15 unique lipolytic clones with the
ability to hydrolyze tributyrin. A positive recombinant clone (pNLE1), containing
a novel esterase (Est_p1), was successfully expressed in E. coli and purified. In
a series of assays, Est_p1 displayed maximal activity at pH 8.57, 40 degrees C,
with rho-Nitrophenyl butyrate (C4) as substrate. Compared to other metagenomic
esterases, Est_p1 played a notable role in specificity for substrate C4 (kcat/Km
value 11,500 S-1m M-1) and showed no inhibited by phenylmethylsulfonyl fluoride,
suggested that the substrate binding pocket was suitable for substrate C4 and the
serine active-site residue was buried at the bottom of substrate binding pocket
which sheltered by a lid structure. CONCLUSIONS: Esterase, which specificity
towards short chain fatty acids, especially butanoic acid, is commercially
available as potent flavoring tools. According the outstanding activity and
specificity for substrate C4, Est_p1 has potential application in flavor
industries requiring hydrolysis of short chain esters.
PMID- 22067557
TI - Effect of intraocular lens asphericity on posterior capsule opacification between
two intraocular lenses with same acrylic material: a fellow-eye study.
AB - PURPOSE: To evaluate intra-individual differences in posterior capsule
opacification (PCO) and visual performance between spherical AcrySof SN60AT and
an aspheric AcrySof SN60WF intraocular lens (IOL) with a posterior aspheric
surface, both of which are made of same hydrophobic acrylic material. SETTING:
Ophthalmology Department, St Thomas' Hospital, London, UK. METHODS: In this
prospective randomized, fellow-eye comparison, an aspheric IOL, which is 9%
thinner in comparison with the spherical IOL, was randomized to the first eye of
47 patients and fellow-eye surgery was performed within 3 weeks. Follow-up was at
1, 3, 6, 12 and 24 months. Corrected logMAR visual acuity (CDVA) was measured at
100% and 9% contrast. After pupil dilation, digital retroillumination photographs
were taken and the mean PCO percentage was calculated using poco software at each
follow-up visit. RESULTS: At 1, 3, 6, 12 and 24 months, 47 (94 eyes), 44 (88
eyes), 42 (84 eyes) and 41 (82 eyes) patients were followed-up respectively.
Hundred per cent and 9% of LogMAR CDVA was not significantly different between
the two IOLs (p = NS at all time-points). Percentage area PCO scores (mean +/-
SD) at 1, 3, 6, 12 and 24 months with the spherical IOL was 5.82 +/- 9.89, 7.76
+/- 16.83, 7.21 +/- 12.46, 9.29 +/- 18.25 and 14.39 +/- 25.42, respectively, and
with an aspheric IOL was 8.91 +/- 12.79, 5.97 +/- 10.32, 5.15 +/- 7.92, 7.68 +/-
11.18 and 12.18 +/- 20.10, respectively (p = NS at all time-points). CONCLUSIONS:
Posterior capsule opacification was not significantly different between the
spheric and aspheric IOLs in this fellow-eye, randomized comparison. Additional
asphericity on the existing model of IOL does not influence PCO performance.
PMID- 22067556
TI - Age and sex differences in the ventilatory response to hypoxia and hypercapnia in
awake neonatal, pre-pubertal and young adult rats.
AB - There is evidence for a "sensitive period" in respiratory development in rats
around postnatal age (P) 12-13d. Little is known about sex differences during
that time. The purpose of this study was to assess the effect of sex on breathing
development, specifically around the "sensitive period". We used whole-body
plethysmography to study breathing in normoxic, hypoxic and hypercapnic gases in
non-anesthetized male and female neonatal rats from P10 to P15, juvenile (P30)
and young adult (P90) rats. Compared to other neonatal ages, P12-13 male rats had
significantly lower ventilation during normoxia, hypoxia, and hypercapnia.
Compared to age-matched females, P12-13 male rats had lower ventilation in
normoxia and hypoxia and a lower O(2) saturation during hypoxia. Circulating
estradiol was greater in P12-13 male vs. female rats. Estradiol and ventilatory
responses to hypoxia and hypercapnia were negatively correlated in neonatal male,
but not female rats. Our results suggest that P10-15 includes a critical
developmental period in male but not female rats.
PMID- 22067558
TI - [Awake fibrecapnic intubation].
AB - Awake flexible intubation is the gold standard for difficult airway management
but failures have been reported in up to 13% of cases. A novel technique called
'awake fibrecapnic intubation', developed in the Netherlands, is described here
for the intubation of patients with head and neck cancer who have a difficult
airway. After topical anaesthesia is administered, a flexible fibrescope is
introduced into the pharynx. A special suction catheter is then advanced through
the suction channel of this scope and then into the airway for the recording of
carbon dioxide measurements. The catheter may also be used for oxygenation during
the procedure. Spontaneous respiration is maintained in all patients. When four
capnograms have been obtained, the flexible scope is railroaded over the catheter
and after identification of tracheal rings or carina, the tracheal tube is
placed. This new intubation technique is easier to learn than awake flexible
intubation.
PMID- 22067559
TI - [Cardiovascular polypill in high risk patients].
AB - The initial theoretical concept of a polypill was a fixed-dosed combination pill
containing an antiplatelet agent, a cholesterol-lowering agent and multiple blood
pressure-lowering agents aimed at the prevention of atherosclerotic vascular
disease in the population aged 55 years and up. The reduction in the risk of
cardiovascular disease does not depend on the cholesterol level and blood
pressure at the start of treatment. The pharmacological reduction in risk factors
in individuals with a high risk of atherosclerotic vascular disease is often
suboptimal, partly due to the complexity of the guidelines and low adherence to
the therapy. A polypill may offer opportunities for improvement. Research has
shown that the use of combination products leads to a greater reduction in risk
factors than the use of separate substances, possibly through improved adherence
to the therapy. The use of a polypill in the prevention of vascular disease in
high-risk patients may lead to a more effective reduction in risk, a decrease in
costs and a reduction in pharmacological expenditure.
PMID- 22067560
TI - [Intestinal ischaemia caused by acute mesenteric vein thrombosis].
AB - Acute mesenteric vein thrombosis is an uncommon cause of intestinal ischaemia,
with a considerable morbidity and mortality rate. There is a delay in diagnosis
owing to the fact that patients present with non-specific abdominal symptoms;
there is often a low level of suspicion by the clinician. We discuss the case
histories of a 23 year-old woman and a 49 year-old woman with intestinal
ischaemia caused by acute mesenteric vein thrombosis. These patients presented at
two different hospital emergency departments. In patients with acute, progressive
abdominal complaints, mesenteric vein thrombosis must be included in the
differential diagnosis, especially if there are thromboembolic risk factors. In
such patients, CT angiography (CTA) must be performed during the arterial and
portal-venous phase to evaluate the mesenteric circulation.
PMID- 22067561
TI - [Cholecystectomy without visible scars: the transvaginal method].
AB - Since the 1990's, laparoscopic cholecystectomy has been the standard procedure
for symptomatic gallstone disease. Natural Orifice Transluminal Endoscopic
Surgery (NOTES) is the next logical step in the development of minimal invasive
surgery. During a NOTES procedure, a natural orifice is used to gain access to
the abdominal cavity instead of incisions through the abdominal wall. In this
article we report the introduction of the NOTES technique to the Netherlands. In
our institution, 15 women with gallstone disease recently underwent transvaginal
cholecystectomy. The procedure performed was a hybrid NOTES cholecystectomy. Only
one trocar was inserted through the umbilicus, and two other instruments were
inserted through the vagina. The potential advantages of this technique include a
better cosmetic result and less postoperative pain. The number and type of
complications do not appear to differ from those of the standard laparoscopic
cholecystectomy.
PMID- 22067562
TI - [Primary torsion of the omentum majus].
AB - BACKGROUND: Primary torsion of the omentum majus is a rare condition. The
clinical picture can mimic other causes of acute abdominal pain. The condition is
therefore often not recognised and the diagnosis is made only perioperatively.
CASE DESCRIPTION: A 32-year-old man visited the emergency department with acute
abdominal pain. During surgery, the pain turned out to be caused by an ischaemic
segment of the omentum majus, resulting in torsion. In retrospect, the patient
had been suffering from recurrent attacks of similar abdominal pain for years
without a satisfactory diagnosis. CONCLUSION: In any patient with episodes of
recurrent, localised, stabbing abdominal pain, possibly related to exercise and
without any other clear diagnosis, the diagnosis 'torsion of the omentum majus'
should be considered.
PMID- 22067563
TI - Maintenance of primary tumor phenotype and genotype in glioblastoma stem cells.
AB - The clinicopathological heterogeneity of glioblastoma (GBM) and the various
genetic and phenotypic subtypes in GBM stem cells (GSCs) are well described.
However, the relationship between GSCs and the corresponding primary tumor from
which they were isolated is poorly understood. We have established GSC-enriched
neurosphere cultures from 15 newly diagnosed GBM specimens and examined the
relationship between the histopathological and genomic features of GSC-derived
orthotopic xenografts and those of the respective patient tumors. GSC-initiated
xenografts recapitulate the distinctive cytological hallmarks and diverse
histological variants associated with the corresponding patient GBM, including
giant cell and gemistocytic GBM, and primitive neuroectodermal tumor (PNET)-like
components. This indicates that GSCs generate tumors that preserve patient
specific disease phenotypes. The majority of GSC-derived intracerebral xenografts
(11 of 15) demonstrated a highly invasive behavior crossing the midline, whereas
the remainder formed discrete nodular and vascular masses. In some cases, GSC
invasiveness correlated with preoperative MRI, but not with the status of PI3
kinase/Akt pathways or O(6)-methylguanine methyltransferase expression. Genome
wide screening by array comparative genomic hybridization and fluorescence in
situ hybridization revealed that GSCs harbor unique genetic copy number
aberrations. GSCs acquiring amplifications of the myc family genes represent only
a minority of tumor cells within the original patient tumors. Thus, GSCs are a
genetically distinct subpopulation of neoplastic cells within a GBM. These
studies highlight the value of GSCs for preclinical modeling of clinically
relevant, patient-specific GBM and, thus, pave the way for testing novel anti
GSC/GBM agents for personalized therapy.
PMID- 22067564
TI - A new level of spindle assembly checkpoint inactivation that functions without
mitotic spindles.
PMID- 22067566
TI - Holding the line.
AB - When problems occur in healthcare nurses are often blamed. Press and public may
consider that the nurse should have done more or that nurses should have spoken
out. Do problems occur because of staff who are not fit to be nurses, or is the
situation more complex?
PMID- 22067565
TI - Inotropic support in the NICU: ever more compounds in search of guidance?
Commentary on J. Esch et al.: differential hemodynamic effects of levosimendan in
a porcine model of neonatal hypoxia-reoxygenation (Neonatology 2012;101:192-200)
and M.P. De Carolis et al.: levosimendan in two neonates with ischemic heart
failure and pulmonary hypertension (Neonatology 2012;101:201-205).
PMID- 22067567
TI - End-of-life care in the community: attaining a critical balance.
AB - There are not many people who will argue against the need for high-quality care
in all healthcare settings, and particularly at the end of life. The recently
published report by the Care Quality Commission (CQC, 2011) makes a number of
negative observations about poor quality of care in the 100 hospitals they
inspected. The report concluded that many hospitals are failing to meet basic
needs of older people. It is important that we nurses provide essential care, as
there is nothing basic about patients' needs, wishes and aspirations at the end
of their lives.
PMID- 22067568
TI - Catheterization in the community: converting to the Foley catheter tray.
AB - With the aim of minimizing clinical incidents and improving clinical practice,
the Cardiff and Vale University Health Board carried out a trial of a Foley
catheter tray to be carried by district nurses as emergency boot stock. As part
of the trial all nurses had to undergo training on the Foley catheter tray prior
to use. The district nurses using the tray reported improvement in confidence,
efficiency, convenience and patient experience. Owing to the success of the
initiative, use of the Foley catheter tray as emergency boot stock is now
standard practice for District Nursing/Acute Response and out-of-hours care in
the Cardiff and Vale area.
PMID- 22067569
TI - Using FRAX to assess the risk that an older person will suffer a fragility
fracture.
AB - A challenge of planning for local health services is how to prevent the effects
of diseases of older age in order to reduce individual suffering and also wider
economic costs to society. This article discusses FRAX(r) (Fracture Risk
Assessment), a computer-based algorithm that allows 10-year probability of a hip
and osteoporotic fracture calculation in people aged 40-90 years. The inclusion
of FRAX within the portfolio of risk assessment measures available to community
nurses is recommended to aid identification and referral of clients at risk of
fragility fractures.
PMID- 22067570
TI - Meeting the policy agenda, part 2: is a 'Cinderella service' sufficient?
AB - This is the second of two papers that examine district nursing within a changing
health policy and service environment. The first paper explored the current UK
policy context and the way in which district nursing and community nursing
services within each country are changing to meet the challenges posed. This
article considers the current district nurse (DN) role, which has constantly
evolved over the past 150 years, and considers the educational framework that
underpins the preparation of these specialist community practitioners in relation
to community colleagues such as specialist community public health nurses
(SCPHNs). In the context of the current economic climate, there is concern that
the apprenticeship model of staff development is replacing specialist
practitioner education for DNs, solely on the basis of resource. This article
explores the current challenges for DN education within the UK. The underpinning
educational preparation of DN programmes is examined and key issues such as
safeguarding the public are discussed. The need to develop a cohesive approach to
education for post-qualification nurses in the community is advocated. These are
important considerations for the future of a discipline which currently feels
under threat.
PMID- 22067571
TI - Spirituality in end-of-life care: attending the person on their journey.
AB - Spirituality is a fundamental element to the human experience of health and
healing, illness and dying. Spiritual care is an essential component of
palliative and end-of-life care provision and is the responsibility of all staff
and carers involved in the care of patients and families. As end-of-life care is
a significant element of community nursing, this article explores the relevancy
of spirituality to end-of-life practice, the challenge of defining spirituality
and the attributes and skills required for the practice of spiritual care. The
aim of is to encourage self reflection and open dialogue about the subject, thus
enhancing community nurses' understanding of spiritual care practice. By
reflecting and generating talk about the practice of spiritual care, it may
become more normalized, recognized, and practically meaningful, thereby retaining
its significance in holistic nursing.
PMID- 22067572
TI - Transforming the UK's response to HIV: addressing AIDS in the community.
AB - HIV (human immunodeficiency virus) is a serious public health issue in UK. More
than 90000 people are currently living with HIV and a full quarter of these
people are not aware that they have it. Further, there are 6000-7000 new HIV
diagnoses every year.
PMID- 22067573
TI - A process for developing a principle-centred district nursing service philosophy.
AB - While it is well known that team vision and values statements in nursing can
promote person-centred care, practitioner role clarity and empowerment, and
service effectiveness, especially during times of change, their use in district
nursing is limited. Accepted practice is to formulate vision and values
statements 'from the top', and then seek buy-in from other staff groups, however,
this inhibits practitioner engagement. This paper describes the use of group
facilitation, based on principle-centred leadership practices, to engage
practitioners in all the creative aspects of developing a values statement.
Increasing practitioner engagement would be expected to provide the above
benefits by improving communication with service-users and other key stakeholders
and by motivating performance evaluation and improvement.
PMID- 22067574
TI - Prescription of controlled drugs by non-medical prescribers.
AB - The Government has again promised to end the confusion over the range and use of
controlled drugs by non-medical prescribers (NMPs). A recent written answer
suggests that regulations allowing district nurses who are NMPs to prescribe the
full range of controlled drugs will be in place by the end of October 2011. This
is the third time successive governments have made such a commitment, and until
it is confirmed district nurses who are independent nurse prescribers must limit
their use of controlled drugs to those set out in the British National Formulary
and Drug Tariff. This article sets out the limitations on an independent nurse
prescriber's right to prescribe controlled drugs under current legislation.
PMID- 22067575
TI - What's happening to nursing?
AB - This year has been remarkable for the negative media reporting of nursing and
nurses. First, the Health Service Ombudsman (2011) highlighted the deficiencies
of care relating to ten older people who as a consequence experienced unnecessary
pain, distress and indignity which cast a long shadow over their families.
PMID- 22067577
TI - Television viewing and variations in energy intake in adults and children in the
USA.
AB - OBJECTIVE: Examine the association between energy intake and television (TV)
viewing in Americans. DESIGN: Nationally representative, cross-sectional study of
2003-2006 National Health and Nutrition Examination Survey. SETTING: Total energy
intake was determined by two 24 h recalls. TV viewing was reported as low (<=1
h/d), middle (2-3 h/d), and high (>=4 h/d). Multivariate linear regression models
were used to analyse TV viewing and energy intake, adjusted for BMI (percentile
for children 2-18 years), age, ethnicity and physical activity. SUBJECTS: Pre
school children (2-5 years; n 1369), school-age children (6-11 years; n 1759),
adolescents (12-18 years; n 3233) and adults (>=19 years; n 7850) in the USA.
RESULTS: There was a significant association between TV viewing and energy intake
for adolescent girls (high v. low: beta = 195.2, P = 0.03) and men (high v. low:
beta = -113.0, P = 0.02; middle v. low: beta = -131.1, P = 0.0002). Mean adjusted
energy intake for adolescent girls was 7801.0, 8088.5 and 8618.2 kJ/d for low,
middle and high TV viewing, respectively. Mean adjusted energy intake for men was
9845.9, 9297.2 and 9372.8 kJ/d for low, middle and high TV viewing. CONCLUSIONS:
TV viewing was associated with energy intake in US children and adults only in 12
18-year-old girls and men. For girls, the high TV viewing category consumed more
energy daily (816.3 kJ (195 kcal)) than the low category. In men, the middle and
high TV viewing categories consumed less energy daily (548.4 kJ (131 kcal) and
473.0 kJ (113 kcal), respectively) than the low category. Our findings support
some, but not all previous research. Future research is needed to explore this
complicated relationship with rigorous measures of energy intake and TV viewing.
PMID- 22067578
TI - Ethnobotanical investigation of 'wild' food plants used by rice farmers in
Kalasin, Northeast Thailand.
AB - BACKGROUND: Wild food plants are a critical component in the subsistence system
of rice farmers in Northeast Thailand. One of the important characteristics of
wild plant foods among farming households is that the main collection locations
are increasingly from anthropogenic ecosystems such as agricultural areas rather
than pristine ecosystems. This paper provides selected results from a study of
wild food conducted in several villages in Northeast Thailand. A complete
botanical inventory of wild food plants from these communities and surrounding
areas is provided including their diversity of growth forms, the different
anthropogenic locations were these species grow and the multiplicity of uses they
have. METHODS: Data was collected using focus groups and key informant interviews
with women locally recognized as knowledgeable about contemporarily gathered
plants. Plant species were identified by local taxonomists. RESULTS: A total of
87 wild food plants, belonging to 47 families were reported, mainly trees, herbs
(terrestrial and aquatic) and climbers. Rice fields constitute the most important
growth location where 70% of the plants are found, followed by secondary woody
areas and home gardens. The majority of species (80%) can be found in multiple
growth locations, which is partly explained by villagers moving selected species
from one place to another and engaging in different degrees of management. Wild
food plants have multiple edible parts varying from reproductive structures to
vegetative organs. More than two thirds of species are reported as having diverse
additional uses and more than half of them are also regarded as medicine.
CONCLUSIONS: This study shows the remarkable importance of anthropogenic areas in
providing wild food plants. This is reflected in the great diversity of species
found, contributing to the food and nutritional security of rice farmers in
Northeast Thailand.
PMID- 22067580
TI - Keeping patients safe: protecting this priority.
PMID- 22067579
TI - Impact of intraperitoneal chemotherapy after gastrectomy with positive
cytological findings in peritoneal washings.
AB - BACKGROUND: There is no standard treatment available for gastric cancer patients
whose sole 'non-curative factor' is positivecytological findings in peritoneal
washings (CFPW). The aim of this study was to examine the safety,
pharmacokinetics and efficacy for free intraperitoneal cancer cells of
intraperitoneal chemotherapy with paclitaxel after gastrectomy with en bloc D2
lymph node dissection in cases of gastric cancer with positive CFPW. METHODS: Ten
patients with gastric cancer who underwent gastrectomy and systemic
lymphadenectomy with D2 dissection, without any other non-curative factors
besides positive CFPW, were treated with early postoperative intraperitoneal
paclitaxel. Intra-chemotherapeutic toxicity and operative complications were
measured using NCI-CTC version 3.0. Intraperitoneal and plasma paclitaxel
concentrations were measured using a high-performance liquid chromatographic
assay. RESULTS: Grade 3/4 toxic effects included anemia (20%) and neutropenia
(10%) that required no treatment. Operative complications were, for example,
superficial surgical site infections (10%) that were treated with antibiotics. No
viable cancer cells were observed in the intra-abdominal fluid 24 h after
intraperitoneal administration of paclitaxel. The intraperitoneal/plasma area
under the drug concentration-time curve ratio was 2,003.3:1. CONCLUSION:
Intraperitoneal chemotherapy with paclitaxel is a safe and effective treatment
modality for free intraperitoneal cancer cells.
PMID- 22067581
TI - Perfect victims: protecting vulnerable adults.
PMID- 22067582
TI - Patient safety and healthcare-associated infection.
AB - Healthcare-associated infection (HCAI) has become a major patient safety issue in
National Health Service (NHS) hospitals. The UK has an HCAI prevalence rate of
8.2% which equates to an annual incidence of approximately 300000 patients
(Hospital Infection Society, 2007). HCAI is a safety issue because it is
associated with increased morbidity and mortality as well as increased healthcare
costs (National Audit Office (NAO), 2009). In 2000, the NAO identified cultural
change as a requirement if the NHS is to get a grip of infection prevention and
control. This article has taken a 'conceptual culture of safety model' that has
been previously described in the literature and applied it to the organization of
infection control. It is clear that while there are many areas of good practice,
in relation to infection prevention and control, there is still much to do if the
NHS is to invoke the type of paradigm shift that will result in a mature
sustainable safety culture.
PMID- 22067583
TI - Tuberculosis and infection control.
AB - Against a background of rising tuberculosis (TB) rates, increasing incidence of
TB and human immunodeficiency virus (HIV) co-infection, coupled with the
emergence of multidrug-resistant TB (MDR-TB) and extensively drug-resistant TB
(XDR-TB), the need for effective TB infection control has never been more vital
(World Health Organization (WHO), 2009). TB infection control has been defined as
'a combination of measures aimed at minimizing the risk of TB transmission within
populations' (WHO, 2009: p.ix). Health professionals are frequently confused
about appropriate infection control measures when caring for patients affected by
infectious respiratory tuberculosis (Mohandas and Cunniffe, 2009). This article
aims to address the key infection control measures required to optimize patient
care and reduce the risk of TB transmission within hospital and community
settings.
PMID- 22067584
TI - Sexual dysfunction in rheumatoid arthritis: a hot but sensitive issue.
AB - Rheumatoid arthritis (RA) has considerable personal impact for sufferers and
their families. Those with RA suffer from pain, restricted joint movements, and
fatigue, and can have problems with self-esteem and body image. It is also
possible that medication causes sexual problems. Research on the subject is
limited, and shows a divergent picture. Assessment for sexual dysfunction in
clinical practice might be another hurdle, as patients and health professionals
are reluctant to discuss this issue face to face. The aim of the work carried out
and described in this article was to study the possibility of implementing sexual
dysfunction assessment into standard rheumatology clinical practice. Results
revealed that the multidimensional patient-reported outcome measures
questionnaire offered the opportunity to assess the disease activity parameters,
functional disability, quality of life, sexual dysfunction, and self-helplessness
in one format. The patients appeared willing to complete questionnaires and this
may be an acceptable tool for assessment. Improving patient education, as well as
nurse-patient communication, through discussions about available options may
minimize patients' feelings of isolation in addressing the problem and could help
compensate for negative effects resulting from the disease.
PMID- 22067585
TI - Supporting self and others: from staff nurse to nurse consultant. Part 9:
solution-focused support.
PMID- 22067586
TI - The snapshot pre-registration assessment tool. Part 1: background, literature and
research.
AB - This is the first of a two-part article that presents a new assessment tool - the
snapshot - for pre-registration nurses in clinical practice, that is being used
at Kingston University/St George's University of London during year 3 of the
Diploma and BSc programmes. A pilot study of the use of the snapshot in a
simulation environment had previously been undertaken and as a result of this,
the snapshot was piloted as part of continuous clinical assessment in practice on
two cohorts of third-year pre-registration student nurses. An evaluative study
was undertaken. This article describes the background to the project, the
literature and the research methods used to undertake the evaluative research
study. Part 2 will focus on the findings and a discussion of these findings
mapped against the literature.
PMID- 22067588
TI - Regulating support workers: is the Government listening?
PMID- 22067587
TI - The future of nurse training: can unsuitable students be identified?
PMID- 22067589
TI - When health professionals cause harm to patients.
PMID- 22067590
TI - Prejudice in nursing appointments 100 years ago.
PMID- 22067591
TI - Practice makes perfect.
PMID- 22067592
TI - Acute infarct of the corpus callosum presenting as alien hand syndrome: evidence
of diffusion weighted imaging and magnetic resonance angiography.
AB - BACKGROUND: Infarcts of the corpus callosum are rare and have not been well
documented previously. As for a variety of signs and symptoms presented, alien
hand syndrome (AHS) can be easily overlooked. CASE PRESENTATION: In this report,
we present a patient with a mixed types of AHS coexistence secondary to the
corpus callosum infarction, including a motor type of AHS by intermanual conflict
(callosal type AHS) and a sensory type of AHS by alien hand and left
hemianesthesia (posterior AHS). CONCLUSIONS: Our case may contribute to the early
recognition of AHS and to explore the abnormal neural mechanism of AHS. To our
knowledge, rare reports have ever documented such mixed AHS coexisting secondary
to the callosal lesion, based on advanced neuroimaging methods as in our case.
PMID- 22067593
TI - The winding road from research to practice through theory.
PMID- 22067594
TI - Perceived family functioning and suicidal ideation: hopelessness as mediator or
moderator.
AB - BACKGROUND: : Suicidal ideation has been identified increasingly as a problem
among university students in Hong Kong. Understanding the relationships among
hopelessness, perceived family functioning, and suicidal ideation is essential to
designing effective interventions. OBJECTIVES: : The aims of this study are to
explore the relationships among hopelessness, perceived family functioning, and
suicidal ideation and to examine the moderating and mediating roles played by
hopelessness on suicidal ideation. METHODS: : A cross-sectional survey using
convenience sampling was conducted. A questionnaire was administered to 350
university students with ages 18 to 25 years. The questions measured levels of
suicidal ideation, perceived family functioning, and hopelessness of the
students. Data analyses, including correlation and multiple hierarchical
regression analyses, were conducted to examine the mediating and moderating roles
of hopelessness on suicidal ideation. RESULTS: : Perceived family functioning (B
= -.10, p < .05) and hopelessness (B = .56, p < .001) were shown to be
significant predictors of suicidal ideation. Hopelessness was found to mediate
only partially but moderate the impact of perceived family functioning on
suicidal ideation (B = -.88, p < .05), hence expanding Beck's cognitive theory of
suicide. CONCLUSION: : Perceived family functioning and hopelessness are
significant predictors, whereas hopelessness is a partial mediator and a
significant moderator of suicidal ideation. Therefore, interventions that enhance
family functioning and lower the sense of hopelessness may be effective in
decreasing suicidal ideation among university students.
PMID- 22067595
TI - Pilot study: individualized training for caregivers of hospitalized older
veterans.
AB - BACKGROUND: : The hospital-to-home transition represents a vulnerable time for
older veterans who are more medically and socioeconomically challenged than the
general population of older adults. OBJECTIVES: : The aim of this study was
examine the feasibility of delivering an individualized caregiver training
program before hospital discharge of older veterans. As an exploratory aim, the
impact of this training on caregiver self-efficacy and preparedness was
determined. METHODS: : The sample consisted 50 caregiver-patient dyads. Training
included medication management, identification of medical red flags,
identification of Veterans Affairs community-based resources, and specific
caregiver concerns about home care. Program feasibility was determined by whether
caregivers could be recruited and could complete the training before patients
were discharged from the hospital. Caregiver self-efficacy (using modified
Lorig's self-efficacy scale) and preparedness (using Preparedness in Caregiving
Scale) were assessed before the training (T1), immediately after the training
(T2), and 1 week (T3) and 4 weeks (T4) after hospital discharge. RESULTS: : One
thousand six hundred ninety inpatients were screened, 252 of whom (15%) met study
eligibility criteria. Of these, 112 were approached, and 50 dyads (44.6%) agreed
to participate. Of the 50 consented dyads, 40 caregivers (80%) received the
training. The mean self-efficacy among caregivers improved at T2, and the
improvement was sustained at T3 and T4 (T1, 783.86; T2, 903.64; T3, 867.85; T4,
877.02). The same trend was observed in caregiving preparedness (T1, 26. 95; T2,
30.55; T3, 28.88; T4, 28.91). DISCUSSION: : Providing an individualized training
program to informal caregivers of hospitalized older veterans was not only
feasible but was also associated with increased self-efficacy and caregiving
preparedness. This study has the potential to assist caregivers in their home
care of older veterans after hospital discharge.
PMID- 22067596
TI - Decreased heart rate variability during emotion regulation in subjects at risk
for psychopathology.
AB - BACKGROUND: Dysfunctions in the regulation of emotional responses are related to
poor psychological well-being and increased impact of cardiovascular disease. It
has been suggested that the relationship between negative affect and higher
morbidity could be mediated by a dysregulation of the autonomic nervous system
(ANS), for example, of heart rate variability (HRV). Neuroticism is a personality
trait associated with a maladaptive emotion regulation and also with alterations
in ANS function. However, it is unknown whether subjects with high neuroticism
present with specific biases in emotion regulation associated with reduced HRV.
METHOD: In total, 33 healthy subjects (n=13, highly neurotic) performed an
emotion regulation task, during which they were instructed to either passively
view negative pictures or attempt to down-regulate the affect elicited by the
images. During the task an electrocardiogram was recorded and HRV was measured by
calculation of the high frequency spectrum (HF-HRV). RESULTS: A significant
interaction between task condition and personality group was observed on HF-HRV
measures (F 1,31=6.569, p=0.016). This was driven by subjects with low
neuroticism presenting higher HF-HRV during down-regulation compared to passive
exposure to negative stimuli, while subjects with high neuroticism reported an
opposite tendency. CONCLUSIONS: Our results show reduced HF-HRV during cognitive
reappraisal of negative stimuli in high neuroticism and indicate a specific link
between loss of flexibility in the parasympathetic cardiovascular tone and
emotion regulation, consistent with previous work. Such findings support the
importance of exploring the combination of ANS adaptability and emotional
dysregulation in neuroticism as different facets of a common psychosomatic
vulnerability factor.
PMID- 22067597
TI - Hydrogen induced changes in the crystal structure and magnetic properties of
UCoGe.
AB - Hydrogen pressure of 0.5-140 bar has been applied to synthesize hydrides of
UCoGe. Besides an alpha hydride crystallizing in the structure type of the parent
compound, which loses the weak ferromagnetism found in pure UCoGe, two distinctly
different beta hydrides were identified. The almost pure beta hydride
(UCoGeH(1.7)) is a ferromagnet below T(C) = 50 K. The highest H(2) pressures (>
130 bar) produce admixture of another hydride called beta' hydride, with less
H/f.u. and T(C) = 8 K, obtained presumably as a decay product of a full hydride
UCoGeH(2.0) unstable at ambient conditions. The value of the Sommerfeld
coefficient of electronic specific heat gamma increases over 100 mJ mol(-1) K(-2)
for the magnetic hydrides.
PMID- 22067598
TI - Brain arginine vasotocin immunoreactivity differs between urban and desert curve
billed thrashers, Toxostoma curvirostre: relationships with territoriality and
stress physiology.
AB - The neuropeptide arginine vasotocin (AVT: the avian homolog of vasopressin) has
numerous functional roles including mediating social behaviors, coregulating the
adrenocortical stress response and maintaining water balance. These functions of
AVT make it susceptible to environmental influence, yet little is understood
concerning the variation in the AVT system across habitats. In this study, AVT
immunoreactivity was compared between male curve-billed thrashers, Toxostoma
curvirostre, from native Sonoran Desert locations and those within the city of
Phoenix, Ariz. Previous research found that urban thrashers are more responsive
to territorial intrusion, secrete more corticosterone (CORT) during capture
stress, and they may also have greater access to water than desert counterparts.
Variation in AVT immunoreactivity was also related to levels of plasma CORT and
osmolality, and with behavioral responses to a simulated territorial intrusion.
Birds from these two habitats showed different AVT immunoreactive patterns in two
brain regions: the paraventricular nucleus of the hypothalamus and the medial bed
nucleus of the stria terminalis (BSTM), a part of the limbic system.
Immunoreactive AVT within the paraventricular nucleus was associated with plasma
CORT levels in urban, but not desert, birds, but no such association with
osmolality was observed in birds from either habitat. The total number of BSTM
AVT-immunoreactive cells was related to a decreased responsiveness to territorial
intrusion. These data suggest that divergence in the AVT system between urban and
desert thrashers may help explain observed differences in both the adrenocortical
stress response and territorial behavior between populations. Whether differences
in water availability between habitats contribute to population differences in
the brain AVT system is unknown.
PMID- 22067599
TI - Controlled hydrostatic sinus elevation: a novel method of elevating the sinus
membrane.
AB - Maxillary sinus elevation surgery has been practiced successfully over the past
several decades with good outcomes, but tears and perforations still occur in
significant numbers. The presumed cause of these problems is the fact that all
methods currently used place "point sources" of lifting pressure on the
Schneiderian membrane. A new procedure, controlled hydrostatic sinus lift, is
presented herein as a safer, more controlled "lifting pressure" which
simultaneously places equal force per square millimeter of bone-membrane
interface. Hydraulic pressure in a closed system places equal pressure on all
surfaces within the system, thereby eliminating "point sources" of pressure and
gently elevating the Schneiderian membrane equally at all points of attachment.
This controlled hydrostatic sinus lift procedure is accomplished by using a
calibrated, hand-controlled pump and in-line pressure sensor meter.
PMID- 22067600
TI - Maxillary sinus floor augmentation using bovine bone grafts with simultaneous
implant placement: a 5-year prospective follow-up study.
AB - PURPOSE: The aim of this study was to evaluate the 5 year clinical results of
maxillary sinus floor augmentation using bovine bone grafts with simultaneous
implant placement. MATERIAL AND METHODS: A total of 28 patients were enrolled in
the study, and a total of 84 implants were placed into the grafted sites.
Unilateral or bilateral sinus augmentation was performed, and implants were
inserted into the augmented sinuses. After osseointegration and insertion of the
prosthesis, the implants were evaluated by clinical and radiographic parameters.
RESULTS: The cumulative implant survival rate after 60 months of loading was
100%. Periimplant bone loss was at clinically acceptable levels (0.146 and 0.34
mm after 1 and 5 years, respectively). CONCLUSIONS: Bovine bone grafts used in 1
stage sinus augmentation yields sufficient quality and volume of bone for
predictable simultaneous implant placement.
PMID- 22067601
TI - Clinical study of graft materials using autogenous teeth in maxillary sinus
augmentation.
AB - PURPOSE: The purpose of this study was to evaluate the effectiveness of
autogenous tooth bone graft materials. MATERIALS AND METHODS: Hundred implants in
51 patients were selected, and the patients received maxillary sinus augmentation
and implant placement using autogenous tooth graft materials at the Chosun
University Dental Hospital and Seoul National University Bundang Hospital between
July 2009 and November 2010. RESULTS: In cases using autogenous tooth bone graft
alone, or together with other graft material, the implant survival rate was
96.15%. On histomorphologic examination, autogenous tooth bone graft materials
showed gradual resorption and new bone formation through osteoconduction and
osteoinduction. CONCLUSIONS: The results indicated that autogenous tooth bone
graft materials are appropriate for use in maxillary sinus augmentation.
PMID- 22067602
TI - Flapless approach to maxillary sinus augmentation using minimally invasive antral
membrane balloon elevation.
AB - In the atrophic posterior maxilla, successful implant placement is often
complicated by the lack of quality and volume of available bone. In these cases,
sinus floor augmentation is recommended to gain sufficient bone around the
implants. Sinus elevation can be performed by either an open lateral window
approach or by a closed osteotome approach depending on available bone height.
This case series demonstrates the feasibility and safety of minimally invasive
antral membrane balloon elevation, followed by bone augmentation and implant
fixation in 20 patients with a residual bone height of 2 to 6 mm below the sinus
floor. The surgical procedure was performed using a flapless approach. At 18
months follow-up, the implant survival rate was 100%. Absence of patient
morbidity and satisfactory bone augmentation with this minimally invasive
procedure suggests that minimally invasive antral membrane balloon elevation
should be considered as an alternative to some of the currently used methods of
maxillary bone augmentation.
PMID- 22067604
TI - Surface edges mitigate the disparity gradient constraint on binocular fusion and
visual direction.
AB - One function of the visual system is to combine the different views of the two
eyes so that each object appears in a single direction. Using pairs of isolated
dots, previous studies have found that binocular fusion gives way to diplopia if
the disparity gradient between the dots is steep. This paper evaluates whether
fusion is possible in the presence of steep disparity gradients if those
gradients occur between the edges of two surfaces, not isolated dots. Two target
squares with a steep disparity gradient were presented alone, or were
incorporated into separate surfaces - one foreground, the other background. The
addition of surfaces, or support texture, restored fusion, overcoming the
disparity gradient constraint on fusion. Visual direction was the average of the
monocular views in the presence of support texture, indicating that single vision
arose from fusion rather than a local suppression of one eye's view. The results
suggest a close relationship between the disparity gradient constraint on fusion
and the mitigating influence of support texture, because both effects decline
dramatically over the same small range of element separations and both effects
are reduced by differences in contrast polarity.
PMID- 22067605
TI - The role of extracorporeal photopheresis in the treatment of cutaneous T-cell
lymphomas.
AB - Extracorporeal photochemotherapy (ECP) is an effective treatment modality for
patients with erythrodermic myocosis fungoides (MF) and Sezary syndrome (SS).
During ECP, a fraction of peripheral blood mononuclear cells is collected,
incubated ex-vivo with methoxypsoralen, UVA irradiated, and finally reinfused to
the patient. Although the mechanism of action of ECP is not well established,
clinical and laboratory observations support the hypothesis of a vaccination-like
effect. ECP induces apoptosis of normal and neoplastic lymphocytes, while
enhancing differentiation of monocytes towards immature dendritic cells (imDCs),
followed by engulfment of apoptotic bodies. After reinfusion, imDCs undergo
maturation and antigenic peptides from the neoplastic cells are expressed on the
surface of DCs. Mature DCs travel to lymph nodes and activate cytotoxic T-cell
clones with specificity against tumor antigens. Disease control is mediated
through cytotoxic T-lymphocytes with tumor specificity. The efficacy and
excellent safety profile of ECP has been shown in a large number of retrospective
trials. Previous studies showed that monotherapy with ECP produces an overall
response rate of approximately 60%, while clinical data support that ECP is much
more effective when combined with other immune modulating agents such as
interferons or retinoids, or when used as consolidation treatment after total
skin electron beam irradiation. However, only a proportion of patients actually
respond to ECP and parameters predictive of response need to be discovered. A
patient with a high probability of response to ECP must fulfill all of the
following criteria: (1) SS or erythrodermic MF, (2) presence of neoplastic cells
in peripheral blood, and (3) early disease onset. Despite the fact that ECP has
been established as a standard treatment modality, no prospective randomized
study has been conducted so far, to the authors' knowledge. Considering the high
cost of the procedure, the role of ECP in the treatment of SS/MF needs to be
clarified via well designed multicenter prospective randomized trials.
PMID- 22067606
TI - Large-scale use of mosquito larval source management for malaria control in
Africa: a cost analysis.
AB - BACKGROUND: At present, large-scale use of two malaria vector control methods,
long-lasting insecticidal nets (LLINs) and indoor residual spraying (IRS) is
being scaled up in Africa with substantial funding from donors. A third vector
control method, larval source management (LSM), has been historically very
successful and is today widely used for mosquito control globally, except in
Africa. With increasing risk of insecticide resistance and a shift to more
exophilic vectors, LSM is now under re-evaluation for use against afro-tropical
vector species. Here the costs of this intervention were evaluated. METHODS: The
'ingredients approach' was used to estimate the economic and financial costs per
person protected per year (pppy) for large-scale LSM using microbial larvicides
in three ecologically diverse settings: (1) the coastal metropolitan area of Dar
es Salaam in Tanzania, (2) a highly populated Kenyan highland area (Vihiga
District), and (3) a lakeside setting in rural western Kenya (Mbita Division).
Two scenarios were examined to investigate the cost implications of using
alternative product formulations. Sensitivity analyses on product prices were
carried out. RESULTS: The results show that for programmes using the same
granular formulation larviciding costs the least pppy in Dar es Salaam (US$0.94),
approximately 60% more in Vihiga District (US$1.50) and the most in Mbita
Division (US$2.50). However, these costs are reduced substantially if an
alternative water-dispensable formulation is used; in Vihiga, this would reduce
costs to US$0.79 and, in Mbita Division, to US$1.94. Larvicide and staff salary
costs each accounted for approximately a third of the total economic costs per
year. The cost pppy depends mainly on: (1) the type of formulation required for
treating different aquatic habitats, (2) the human population density relative to
the density of aquatic habitats and (3) the potential to target the intervention
in space and/or time. CONCLUSION: Costs for LSM compare favourably with costs for
IRS and LLINs, especially in areas with moderate and focal malaria transmission
where mosquito larval habitats are accessible and well defined. LSM presents an
attractive tool to be integrated in ongoing malaria control effort in such
settings. Further data on the epidemiological health impact of larviciding is
required to establish cost effectiveness.
PMID- 22067607
TI - Intravitreous interleukin-2 treatment and inflammation modulates glial cells
activation and uncrossed retinotectal development.
AB - Interleukin-2 (IL-2) plays regulatory functions both in immune and nervous
system. However, in the visual system, little is known about the cellular types
which respond to IL-2 and its effects. Herein, we investigated the influence of
IL-2 in the development of central visual pathways. Lister Hooded rats were
submitted to multiple (at postnatal days [PND]7/10/13) or single (at PND10)
intravitreous injections of phosphate-buffered saline (PBS) (vehicle), zymosan,
or IL-2. IL-2 receptor alpha subunit was detected in the whole postnatal retina.
Chronic treatment with either PBS or IL-2 increases retinal glial fibrillary
acidic protein (GFAP) expression, induces intravitreous inflammation revealed by
the presence of macrophages, and results in a slight rearrangement of
retinotectal axons. Acute zymosan treatment disrupts retinotectal axons
distribution, confirming the influence of inflammation on retinotectal pathway
reordering. Furthermore, acute IL-2 treatment increases GFAP expression in the
retina without inflammation and produces a robust sprouting of the intact
uncrossed retinotectal pathway. No difference was observed in glial cells
activity in superior colliculus. Taken together, these data suggest that
inflammation and interleukin-2 modulate retinal ganglion cells development and
the distribution of their axons within central targets.
PMID- 22067608
TI - The vertebrate homolog of sulfide-quinone reductase is expressed in mitochondria
of neuronal tissues.
AB - Hydrogen sulfide (H2S) can be consumed by both invertebrates and vertebrates as
an inorganic substrate. The pathway metabolizing H2S probably involves three
mitochondrial enzymes, one of which is sulfide-quinone oxidoreductase (SQR),
known as sulfide-quinone reductase-like protein (SQRDL) in vertebrates. Evidence
from fission yeast suggests that SQR might have a role in regulating sulfide
levels in the cell. Regulation might be essential for H2S to act as a gaseous
transmitter (gasotransmitter). The brain is an organ with high activity of
gasotransmitters, like nitric oxide (NO) and H2S, which are known to affect
synaptic transmission. In this study, we provide evidence that SQRDL is expressed
in the mammalian brain. Real-time polymerase chain reaction (PCR) showed an
increase in the number of Sqrdl transcripts in the brain with increasing age.
Cellular fractionation and subsequent analysis by Western blotting indicated that
the protein is located in mitochondria, which is the site of sulfide consumption
in the cell. With an immunohistochemical approach, we demonstrated that the SQRDL
protein is expressed in neurons, oligodendrocytes, and endothelial cells. Taken
together, our data suggest that brain tissue harbors the machinery required for
local regulation of sulfide levels.
PMID- 22067609
TI - Memory impairment induced by brain iron overload is accompanied by reduced H3K9
acetylation and ameliorated by sodium butyrate.
AB - Iron accumulation in the brain has been associated to the pathogenesis of
neurodegenerative disorders. We have previously demonstrated that iron overload
in the neonatal period results in severe and persistent memory deficits in adult
rats. Alterations in histone acetylation have been associated with memory
deficits in models of neurological disorders. Here we examine histone acetylation
in the brain and the effects of the histone deacetylase inhibitor (HDACi) sodium
butyrate (NaB) on memory in the neonatal iron overload model in rats. Rats
received vehicle or 30.0-mg/kg Fe+2 orally at postnatal days 12-14. When animals
reached adulthood, they were given training in either novel object recognition or
inhibitory avoidance. Histone acetylation in the dorsal hippocampus and the
effects of NaB were examined in separate sets of rats. Iron overload led to a
reduction in H3 lysine 9 acetylation in the hippocampus, without affecting the
acetylation of other H3 and H4 lysine residues. A single systemic injection of
NaB (1.2 g/kg) immediately after training ameliorated iron-induced memory
impairments. The results suggest that a reduction in H3K9 acetylation might play
a role in iron-induced memory impairment and support the view that HDACis can
rescue memory dysfunction in models of brain disorders.
PMID- 22067610
TI - BMPR1A is a candidate gene for congenital heart defects associated with the
recurrent 10q22q23 deletion syndrome.
AB - Congenital heart defects (CHD) are associated with the recurrent 10q22q23
deletion syndrome and with partially overlapping distal 10q23.2.q23.31
microdeletions. We report on a de novo intragenic deletion of the BMPR1A gene in
a normally developing adolescent boy with short stature, delayed puberty, facial
dysmorphism and an atrioventricular septal defect. Based on this finding,
complemented with computational prioritization data and molecular evidence in
literature, the critical region for CHD on 10q23 can be downsized to a single
gene, BMPR1A. Although loss-of-function mutations in BMPR1A typically result in
juvenile polyposis syndrome, none of the patients with the typical 10q22q23
microdeletion syndrome, comprising this gene, were reported to have juvenile
polyposis thus far. We reason that, even in the absence of juvenile polyposis
syndrome, sequencing and copy number analysis of BMPR1A should be considered in
patients with (atrioventricular) septal defects, especially when associated with
facial dysmorphism and anomalous growth.
PMID- 22067611
TI - P16/p53 expression and telomerase activity in immortalized human dental pulp
cells.
AB - INTRODUCTION: Residing within human dental pulp are cells of an ectomesenchymal
origin which have the potential to differentiate into odontoblast-like cells.
These cells have a limited growth potential owing to the effects of cell
senescence. This study examines the effects of immortalizing odontoblast-like
cells on cell proliferation and mineralization by comparing transformed dental
pulp stem cells (tDPSCs) and non-transformed dental pulp stem cells (nDPSCs).
RESULTS: With the exogenous expression of hTERT, tDPSCs maintained a continued
expression of odontogenic markers for cell proliferation and mineralization (ALP,
COL-1, DMP-1, DSPP, OCN amd OPN)as did nDPScs. Oncoprotein expression was seen in
both groups except for a noted absence of p16 in the tDPSCs. nDPSCs also showed
lower levels of total ALP and DNA activity in comparison to tDPSCs when assayed
as well as low telomerase activity readings. METHODS: Using a retroviral vector,
exogenous human telomerase reverse transcriptase (hTERT) was expressed in tDPSCs.
Both cell groups were cultured and their telomerase activities is determined
using a telomerase quantification assay. Also examined were the expression of
genes involved in proliferation and mineralization such as human alkaline
phosphatase (ALP), beta-actin, collagen 1 (col-1), core binding factor (cbfa-1),
dentin matrix protein (DMP-1), dentin sialophosphoprotein (DSPP), GAPDH, hTERT,
osteocalcin (OCN), osteopontin (OPN) as well as oncoproteins involved in
senescence (p16, p21 and p53) using RT-PCR. DNA and alkaline phosphatase activity
was assayed in both cell groups. CONCLUSIONS: These results indicate maintainance
of odontoblast-like differentiation characteristics after retroviral
transformation with hTERT and suggest a possible link with a reduced p16
expression.
PMID- 22067612
TI - Cholesterol-lowering efficacy of a microencapsulated bile salt hydrolase-active
Lactobacillus reuteri NCIMB 30242 yoghurt formulation in hypercholesterolaemic
adults.
AB - Several studies have reported limited or no reduction in serum cholesterol in
response to probiotic formulations. Recently, probiotics have shown promise in
treating metabolic disease due to improved strain selection and delivery
technologies. The aim of the present study was to evaluate the cholesterol
lowering efficacy of a yoghurt formulation containing microencapsulated bile salt
hydrolase (BSH)-active Lactobacillus reuteri NCIMB 30242, taken twice per d over
6 weeks, in hypercholesterolaemic adults. A total of 114 subjects completed this
double-blind, placebo-controlled, randomised, parallel-arm, multi-centre study.
This interventional study included a 2-week washout, 2-week run-in and 6-week
treatment period. Subjects were randomised to consume either yoghurts containing
microencapsulated L. reuteri NCIMB 30242 or placebo yoghurts. Over the
intervention period, subjects consuming yoghurts containing microencapsulated L.
reuteri NCIMB 30242 attained significant reductions in LDL-cholesterol (LDL-C) of
8.92 % (P = 0.016), total cholesterol (TC) of 4.81 % (P = 0.031) and non-HDL
cholesterol (HDL-C) of 6.01 % (P = 0.029) over placebo, and a significant
absolute change in apoB-100 of - 0.19 mmol/l (P = 0.049). Serum concentrations of
TAG and HDL-C were unchanged over the course of the study. Present results show
that consumption of microencapsulated BSH-active L. reuteri NCIMB 30242 yoghurt
is efficacious and safe for lowering LDL-C, TC, apoB-100 and non-HDL-C in
hypercholesterolaemic subjects. The efficacy of microencapsulated BSH-active L.
reuteri NCIMB 30242 yoghurts appears to be superior to traditional probiotic
therapy and akin to that of other cholesterol-lowering ingredients.
PMID- 22067613
TI - Reconstituted HDL elicits marked changes in plasma lipids following single-dose
injection in C57Bl/6 mice.
AB - High-density lipoprotein (HDL)-targeting therapies, including reconstituted HDL
(rHDL), are attractive agents for treating dyslipidemia and atherosclerosis, as
they may increase HDL levels and enhance therapeutic activities associated with
HDL, including reverse cholesterol transport (RCT). Using CSL-111, a rHDL
consisting of native human apolipoprotein AI (hApoAI) and phospholipids, we
characterized the acute effects of rHDL administration in C57Bl/6 mice to (i)
further our understanding of the mechanism of action of rHDL, and (ii) evaluate
the usefulness of the mouse as a preclinical model for HDL-targeting therapies.
After a single injection of CSL-111, there was a dose- and time-dependent
increase of hApoAI, human pre-beta HDL, total cholesterol, and triglycerides in
serum, consistent with the effects of CSL-111 in humans. However, unlike in
humans, there was no measurable increase in cholesteryl esters. Evaluated ex
vivo, the ATP binding cassette A1 (ABCA1)- and scavenger receptor type BI (SR-BI)
dependent cholesterol efflux capacity of serum from CSL-111-treated mice was
increased compared with serum from vehicle-treated animals. Fractionation by size
exclusion chromatography of lipoproteins in serum from treated mice revealed
hApoAI in particles the size of endogenous HDL and slightly larger, cholesterol
enriched particles of all sizes, including sizes distinct from endogenous HDL or
CSL-111 itself, and triglyceride-enriched particles the size of very-low-density
lipoprotein (VLDL). These results suggest that in mouse blood CSL-111 is
remodeled and generates enhanced cholesterol efflux capacity which increases
mobilization of free cholesterol from peripheral tissues. Our findings complement
the previous reports on CSL-111 in human participants and provide data with which
to evaluate the potential utility of mouse models in mechanistic studies of HDL
targeting therapies.
PMID- 22067614
TI - Acute electrical stimulation of the human retina with an epiretinal electrode
array.
AB - PURPOSE: To determine the threshold charges needed for eliciting visual
perceptions through acute electrical stimulation of the human retina in patients
suffering from retinitis pigmentosa, using an epiretinal microelectrode array.
METHODS: In a multicentre study, 20 patients (average age 55 years) with visual
acuities ranging from 4/200 to no light perception were included. The stimulation
procedure was performed during a pars plana vitrectomy, for a maximum of 45 min,
by using a microcontact film with IrO(x) electrodes connected by cable to a
current generator. After repeated stimulation and threshold charge determination,
the microelectrode array was removed. RESULTS: Nineteen of 20 patients stated in
the postoperative interviews that they experienced one or more visual perceptions
with close time correlation to single stimulation events. Minimum threshold
charges needed to generate visual perceptions could be measured and verified in
15 patients. The charge level ranged from 20 to 768 nC with single or multiple
electrodes. One patient suffered a retinal detachment during the procedure; this
patient's retina was successfully reattached. There were no further adverse
reactions observed during the 3-month follow-up. CONCLUSION: Acute epiretinal
stimulation of the human retina, using a microelectrode array, can elicit visual
perceptions in blind patients with retinitis pigmentosa.
PMID- 22067615
TI - Transformation and regeneration of the holoparasitic plant Phelipanche
aegyptiaca.
AB - BACKGROUND: Transformation and subsequent regeneration of holoparasitic plants
has never been reported, in part due to challenges in developing transformation
protocols, but also because regeneration of obligate parasites is difficult since
their survival depends completely on successful haustorium penetration of a host
and the formation of vascular connections. The recent completion of a massive
transcriptome sequencing project (the Parasitic Plant Genome Project) will fuel
the use of genomic tools for studies on parasitic plants. A reliable system for
holoparasite transformation is needed to realize the full value of this resource
for reverse genetics and functional genomics studies. RESULTS: Here we
demonstrate that transformation of Phelipanche aegyptiaca is achieved by
infection of 3 month-old in vitro grown P. aegyptiaca calli with Agrobacterium
rhizogenes harboring the yellow fluorescent protein (YFP). Four months later, YFP
positive regenerated calli were inoculated onto tomato plants growing in a
minirhizotron system. Eight days after inoculation, transgenic parasite tissue
formed lateral haustoria that penetrated the host and could be visualized under
UV illumination through intact host root tissue. YFP-positive shoot buds were
observed one month after inoculation. CONCLUSIONS: This work constitutes a
breakthrough in holoparasitic plant research methods. The method described here
is a robust system for transformation and regeneration of a holoparasitic plant
and will facilitate research on unique parasitic plant capabilities such as host
plant recognition, haustorial formation, penetration and vascular connection.
PMID- 22067616
TI - Effects of repeated stress on distal airway inflammation, remodeling and
mechanics in an animal model of chronic airway inflammation.
AB - BACKGROUND/AIMS: Epidemiological studies suggest that stress has an impact on
asthmatic exacerbations. We evaluated if repeated stress, induced by forced
swimming, modulates lung mechanics, distal airway inflammation and extracellular
matrix remodeling in guinea pigs with chronic allergic inflammation. METHODS:
Guinea pigs were submitted to 7 ovalbumin or saline aerosols (1-5 mg/ml during 4
weeks; OVA and SAL groups). Twenty-four hours after the 4th inhalation, guinea
pigs were submitted to the stress protocol 5 times a week during 2 weeks (SAL-S
and OVA-S groups). Seventy-two hours after the 7th inhalation, guinea pigs were
anesthetized and mechanically ventilated. Resistance and elastance of the
respiratory system were obtained at baseline and after ovalbumin challenge. Lungs
were removed, and inflammatory and extracellular matrix remodeling of distal
airways was assessed by morphometry. Adrenals were removed and weighed. RESULTS:
The relative adrenal weight was greater in stressed guinea pigs compared to non
stressed animals (p < 0.001). Repeated stress increased the percent elastance of
the respiratory system after antigen challenge and eosinophils and lymphocytes in
the OVA-S compared to the OVA group (p < 0.001, p = 0.003 and p < 0.001). Neither
collagen nor elastic fiber contents were modified by stress in sensitized
animals. CONCLUSIONS: In this animal model, repeated stress amplified
bronchoconstriction and inflammatory response in distal airways without
interfering with extracellular matrix remodeling.
PMID- 22067617
TI - Immunolocalization of Toll-like receptors 2 and 4 as well as their endogenous
ligand, heat shock protein 70, in rat traumatic brain injury.
AB - OBJECTIVE: Toll-like receptors (TLRs) are essential to the innate immune system
for recognizing not only microbial pathogens but also endogenous ligands from
injured cells, suggesting that TLRs are a sensitive detection system to tissue
injury and play roles in initiating tissue degeneration/regeneration. In this
study, the effects of traumatic brain injury (TBI) on lesional expression of
TLR2, TLR4, their most common adaptor molecule myeloid differentiation factor 88
(MyD88) and their endogenous ligand, heat shock protein 70 (HSP70), were
investigated. METHODS: Rat TBI was induced using an open-skull weight-drop model.
TLR2, TLR4, MyD88 and HSP70 expression was studied by immunohistochemistry.
RESULTS: TLR2, TLR4, HSP70 and MyD88 were mainly found in lesioned regions and
subcortical white matter. While infiltration of TLR2+ cells became significant on
day 2, significant accumulation of TLR4+, MyD88+ and HSP70+ cells was already
seen on day 1, and the numbers of immunopositive cells increased continuously
until day 4. Furthermore, double staining together with morphological
classification showed that major cellular sources for TLR2, TLR4 and MyD88 were
macrophages/microglia in lesioned areas and astrocytes in subcortical white
matter. But for HSP70, the major cellular sources were neurons in perilesion and
macrophages/microglia in lesion areas and astrocytes in subcortical white matter.
DISCUSSION: In summary, our data reveal distinct patterns of localization of TLR+
resident and infiltrating cells in TBI rat brain. Infiltrating activated
monocytic cells are the major source of TLR+ cells. These findings warrant
further investigation of the roles of TLRs in controlling immune and
degenerative/regenerative processes after TBI.
PMID- 22067618
TI - Neuromyelitis optica immunoglobulin G in Chinese patients detected by
immunofluorescence assay on a monkey brain substrate.
AB - BACKGROUND: Serum neuromyelitis optica immunoglobulin G (NMO-IgG) is used as a
biomarker to differentiate between neuromyelitis optica (NMO) and multiple
sclerosis (MS). However, the original assay is expensive and complex and shows
low sensitivity. Here, we investigated the potential of NMO-IgG detection using
an indirect immunofluorescence (IIF) assay on monkey brains. METHODS: NMO-IgG
seroprevalence was determined in 168 samples by an IIF assay on a monkey brain
substrate. The data were compared with those from a standard mouse brain IIF
assay using McNemar and kappa tests. RESULTS: Thirty-one of 50 (62%) NMO
patients, 7 of 18 (38.9%) longitudinally extensive transverse myelitis patients,
6 of 57 (10.5%) MS patients, and 5 of 10 (50%) optic neuritis patients were
seropositive for NMO-IgG. None of the acute partial transverse myelitis patients
(n = 3) or healthy controls (n = 20) was positive. Thus, the sensitivity of the
test was 62% for the patients with clinically definite NMO. The specificity was
89.5%, considering the 57 MS patients as the control group. The modified IIF
assay on monkey brains and the standard IIF assay based on mouse brains were not
significantly different (McNemar test; p = 1.000). The two assays were concordant
in 39 seropositive samples and 100 seronegative samples (kappa test; kappa =
0.592, p < 0.0001). CONCLUSIONS: Although the modified IIF monkey brain assay was
no better than the standard mouse brain IIF assay, we affirmed that NMO-IgG is a
sensitive and specific biomarker to differentiate between NMO and MS.
PMID- 22067619
TI - Effect of gender and menstrual cycle on immune system response to acute mental
stress: apoptosis as a mediator.
AB - BACKGROUND/AIMS: We aimed to explore the immunological outcomes of short-term
mental stress in apoptosis in peripheral lymphocytes and variations by gender and
hormonal status of the individuals together with possible mediators of this
interaction. METHODS: Acute mental stress (computerized Stroop color-word
interference and cold pressor tests) was applied to men (n = 17) and women (n =
16, in both follicular and luteal phases). Heart rate and blood pressure were
monitored throughout the test and after the test until baseline values were
recorded. Blood samples were drawn for measuring cortisol and nitric oxide (NO)
levels and flow-cytometric cell counting before and after the test. RESULTS:
Activation of the stress system was ascertained by increased heart rate, blood
pressure and serum cortisol levels after the test. Relative to baseline values,
acute mental stress altered the distribution of T and natural killer cells. There
was a significant decrease in T helper/T cytotoxic-suppressor cell ratio and an
increase in apoptotic T helper cell percentage irrespective of gender or
menstrual cycle phase. An increased number of natural killer cells was detected
in women, whereas it was decreased in men. After stress induction, serum NO
levels remained the same in women and increased in men. Although a correlation
was not found between immune system changes and NO levels, glucocorticoids seem
to have a role in the observed differences. CONCLUSION: Acute mental stress
triggers apoptotic T helper cell loss which was associated with stress system
activation, and sex steroids affect the pattern of stress-related immune cell
distribution.
PMID- 22067621
TI - Antioxidant status of bilirubin and uric acid in patients with myasthenia gravis.
AB - Oxidative stress and changes in antioxidant status have been implicated in the
pathogenesis of inflammatory and autoimmune diseases, and free radicals can cause
considerable damage to the acetylcholine receptors. 388 individuals, including 97
patients with myasthenia gravis (MG), 135 patients with multiple sclerosis (MS)
and 156 healthy controls, were assessed for serum levels of bilirubin and uric
acid (UA), in order to determine the levels of these natural antioxidants in the
serum. We found that serum UA levels in patients with MG were significantly lower
(266.03 +/- 93.09 MUmol/l) compared with those of the healthy control group
(338.87 +/- 107.10 MUmol/l, p = 0.001). However, there was no significant
difference of serum UA levels between patients with MG and those with MS (p =
0.071). We also found that serum levels of total, direct and indirect bilirubin
in patients with MG were significantly lower, compared with those in the healthy
control group, whether male or female. From this study, we conclude that serum
levels of bilirubin and UA are lower in MG patients.
PMID- 22067620
TI - Neuroimmunoendocrine interactions in patients with recurrent major depression,
increased early life stress and long-standing posttraumatic stress disorder
symptoms.
AB - BACKGROUND: Traumatic events experienced in childhood may lead to psychiatric
diseases in adult life, including major depressive disorder (MDD). It remains
obscure to what extent early life stress (ELS) is associated with biologically
relevant changes in MDD. OBJECTIVE: We investigated both neuroendocrine and
immunological correlates in recurrent MDD with ELS and current posttraumatic
stress disorder symptoms. METHODS: Thirty-eight female MDD patients with or
without childhood trauma and 15 healthy controls took part in this study.
Salivary cortisol and dehydroepiandrosterone sulfate (DHEAS) were assessed by
radioimmunoassays. Peripheral blood mononuclear cells (PBMCs) were isolated and T
cell proliferation and cellular sensitivity to steroids and DHEAS were evaluated
by colorimetric assays. Th1/Th2 cytokines were assessed by cytometric bead
arrays. RESULTS: MDD patients with or without previous trauma had similarly lower
salivary cortisol and DHEAS in parallel with blunted T cell proliferation. PBMCs
of depressives were significantly less sensitive to dexamethasone or epinephrine
than those of the controls. PBMCs of MDD patients produced significantly lower
interleukin (IL)-2, IL-4 and tumor necrosis factor-alpha levels when compared to
healthy controls. CONCLUSION: We found that a history of ELS did not modify the
blunted neuroendocrine and immunological alterations presented by recurrent
depressed patients.
PMID- 22067622
TI - Melatonin membrane receptor type MT1 modulates cell-mediated immunity in the
seasonally breeding tropical rodent Funambulus pennanti.
AB - OBJECTIVE: Despite the evidence for melatonin membrane receptors (MT1R and MT2R)
on lymphoid tissues in a wide range of seasonal breeders, their specific potency
has never been compared and correlated with cell-mediated immunity. METHODS: We
used luzindole, a nonselective MT2R antagonist, and 4-phenyl-2
propionamidotetralin (4P-PDOT), a selective MT2R antagonist, to assess the
potency of the melatonin receptors MT1 and MT2 in melatonin-induced immunity
under both in vivo as well as in vitro conditions. RESULTS: Physiological doses
(25 MUg/100 g body weight in vivo and 100 and 500 pg/ml in vitro) of melatonin
upregulated both MT1R and MT2R expression as well as splenocyte proliferation,
while higher doses (100 and 500 MUg/100 g body weight in vivo and 1 ng/ml in
vitro) downregulated splenocyte proliferation and the expression of both
receptors. Luzindole antagonized the expression of both MT1R and MT2R in a dose
dependent manner under in vivo as well as in vitro conditions, while 4P-PDOT
blocked the expression of MT2R only during both experimental conditions.
Splenocyte proliferation and IL-2 secretion (in vitro) followed the MT1R
expression pattern, while the MT2R expression pattern showed no definite relation
with either splenocyte proliferation or IL-2 secretion under in vivo and in vitro
conditions. CONCLUSION: Immune function in tropical rodents is directly regulated
by melatonin via its high-affinity membrane receptor MT1. MT1R plays a directive
role in mediating splenocyte proliferation and IL-2 release, while the MT2R
subtype appears not to be required for the immunoenhancing role of melatonin.
PMID- 22067623
TI - Expression of Toll-like receptors 2, 4 and 9 in patients with Guillain-Barre
syndrome.
AB - OBJECTIVE: A myriad of transcription factors and inflammatory cytokines have been
described to participate in the pathogenesis of Guillain-Barre syndrome (GBS).
However, the innate immunity components--Toll-like receptors (TLRs)--have never
been explored in this disease. We therefore investigated the expression of TLR2,
4 and 9 in the peripheral circulation of GBS patients as well as healthy
controls. METHODS: Twenty-one GBS patients and 21 healthy donors participated in
this study. Peripheral blood mononuclear cells were used for mRNA and protein
analysis of TLR-related molecules. Also, peripheral blood mononuclear cells from
different subjects were incubated with different TLR agonists and the subsequent
IFN-gamma secretion was determined. RESULTS: Expression of TLR2, 4 and 9 as well
as their related signaling molecules were higher in GBS patients compared to
healthy controls. Disability scores of GBS patients had a strong positive
correlation with the high levels of expression of TLR2, 4 and 9. CONCLUSIONS: The
TLR signaling pathway may be involved in the pathogenesis of GBS.
PMID- 22067625
TI - A mathematical model for simulating daily bed occupancy in an intensive care
unit.
AB - OBJECTIVES: To develop a mathematical model for simulating the daily bed
occupancy in an intensive care unit. DESIGN: Data collection and retrospective
analysis to develop a mathematical model for simulating daily bed occupancy in an
intensive care unit. SETTING: We studied all admissions to the intensive care
unit at the Hospital of Navarra over a 9-yr period. PATIENTS: Six-thousand three
hundred adult patients consecutively admitted to intensive care units at a
tertiary care hospital. INTERVENTIONS: None. MEASUREMENTS AND MAIN RESULTS: The
large set of data collected comprises an arrivals file, a patient file, and a bed
occupancy file. The arrival file records the number of patients admitted to the
intensive care unit each day, by admission type, and by day of the week. The
patient file contains records for all patients admitted to the intensive care
unit during the study period: Admission type, admission and discharge dates, age,
sex, Acute Physiology and Chronic Health Evaluation II score within the first 24
hrs, infections during hospitalization, and mortality. We used these two files to
fit appropriate statistical models of arrival rates and length of stay by patient
type. Based on this statistical analysis and the representation of the intensive
care unit as a queuing problem, we built a simulation model. The bed occupancy
file records the number of occupied beds at 4:00 PM each day. We used this file
to validate the simulation model by testing the similarity of the real and
simulated output data. The simulation model also includes bed management
decisions related to patient discharge. RESULTS: We obtained a valid simulation
model that reproduced on a computer the patient flow through the intensive care
unit at the Hospital of Navarra. This computerized simulation model can be used
to study the intensive care unit bed occupancy profile and can be used as a
reliable sizing and capacity analysis tool. As an example, we present the problem
of estimating the number of beds needed to meet an increase in patient arrivals
at the intensive care unit because of different causes. CONCLUSIONS: It is
possible to develop simulation models that can be used to predict future
intensive care unit resource needs.
PMID- 22067624
TI - Mild hypothermia decreases fentanyl and midazolam steady-state clearance in a rat
model of cardiac arrest.
AB - OBJECTIVES: Therapeutic hypothermia is widely employed for neuroprotection after
cardiac arrest. However, concern regarding elevated drug concentrations during
hypothermia and increased adverse drug reaction risk complicates concurrent
pharmacotherapy. Many commonly used medications in critically ill patients rely
on the cytochrome P450 3A isoform for their elimination. Therefore, our study
objectives were to determine the effect of mild hypothermia on the in vivo
pharmacokinetics of fentanyl and midazolam, two clinically relevant cytochrome
P450 3A substrates, after cardiac arrest and to investigate the mechanisms of
these alterations. DESIGN: Prospective, randomized, controlled study. SETTING:
University research laboratory. SUBJECTS: Thirty-two adult male Sprague-Dawley
rats. INTERVENTIONS: An asphyxial cardiac arrest rat model was used and mild
hypothermia (33 degrees C) was induced 1 hr post injury by surface cooling and
continued for 10 hrs to mimic the prolonged clinical application of hypothermia
accompanied by intensive care interventions. Fentanyl and midazolam were
independently administered by intravenous infusion and plasma and brain
concentrations were analyzed using ultraperformance liquid chromatography tandem
mass spectrometry. Cytochrome P450 3a2 protein expression was measured and a
Michaelis-Menten enzyme kinetic analysis was performed at 37 degrees C and 33
degrees C using control rat microsomes. MEASUREMENTS AND MAIN RESULTS: Mild
hypothermia decreased the systemic clearance of both fentanyl (61.5 +/- 11.5 to
48.9 +/- 8.95 mL/min/kg; p < .05) and midazolam (89.2 +/- 12.5 to 73.6 +/- 12.1
mL/min/kg; p < .05) after cardiac arrest. The elevated systemic concentrations
did not lead to parallel increased brain exposures of either drug.
Mechanistically, no differences in cytochrome P450 3a2 expression was observed,
but the in vitro metabolism of both drugs was decreased at 33 degrees C vs. 37
degrees C through reductions in enzyme metabolic capacity rather than substrate
affinity. CONCLUSIONS: Mild hypothermia reduces the systemic clearances of
fentanyl and midazolam in rats after cardiac arrest through alterations in
cytochrome P450 3a2 metabolic capacity rather than enzyme affinity as observed
with other cytochrome P450s. Contrasting effects on blood and brain levels
further complicates drug dosing. Consideration of the impact of hypothermia on
medications whose clearance is dependent on P450 3A metabolism is warranted.
PMID- 22067626
TI - Herpes simplex virus encephalitis despite normal cell count in the cerebrospinal
fluid.
AB - OBJECTIVES: To describe herpes simplex virus encephalitis despite normal cell
count in the cerebrospinal fluid in patients with malignoma after whole brain
irradiation. INTERVENTIONS: Blood and cerebrospinal fluid analysis and magnetic
resonance imaging. MEASUREMENTS AND MAIN RESULTS: Three male and two female
patients with malignoma and a recent history of whole-brain irradiation presented
with impaired consciousness with or without epileptic seizure. Although
cerebrospinal fluid analysis revealed a normal cell count, herpes simplex virus
DNA was detected in all samples by polymerase chain reaction. CONCLUSIONS: In
patients with impaired consciousness, epileptic seizure, or temporal lobe
symptoms of new onset and a recent history of brain irradiation with normal
cerebrospinal fluid, an atypical anergic course of herpes simplex virus
encephalitis should be considered. Herpes simplex virus polymerase chain reaction
should be used as method of choice to detect herpes simplex virus genomes as
early as possible rather than relying on routine cerebrospinal fluid parameters.
Importantly, antiviral therapy should be started without delay in any case of
faint suspicion and should be continued until herpes simplex virus encephalitis
is clearly ruled out.
PMID- 22067628
TI - Haloperidol prophylaxis decreases delirium incidence in elderly patients after
noncardiac surgery: a randomized controlled trial*.
AB - OBJECTIVES: To evaluate the efficacy and safety of short-term low-dose
intravenous haloperidol for delirium prevention in critically ill elderly
patients after noncardiac surgery. DESIGN: Prospective, randomized, double-blind,
and placebo-controlled trial in two centers. SETTING: Intensive care units of two
large tertiary teaching hospitals. PATIENTS: Four hundred fifty-seven patients 65
yrs or older who were admitted to the intensive care unit after noncardiac
surgery. INTERVENTION: Haloperidol (0.5 mg intravenous bolus injection followed
by continuous infusion at a rate of 0.1 mg/h for 12 hrs; n = 229) or placebo (n =
228) was randomly administered from intensive care unit admission. MEASURES: The
primary end point was the incidence of delirium within the first 7 days after
surgery. Secondary end points included time to onset of delirium, number of
delirium-free days, length of intensive care unit stay, all-cause 28-day
mortality, and adverse events. Delirium was assessed using the confusion
assessment method for the intensive care unit. RESULTS: The incidence of delirium
during the first 7 days after surgery was 15.3% (35/229) in the haloperidol group
and 23.2% (53/228) in the control group (p = .031). The mean time to onset of
delirium and the mean number of delirium-free days were significantly longer (6.2
days [95% confidence interval 5.9-6.4] vs. 5.7 days [95% confidence interval 5.4
6.0]; p = .021; and 6.8 +/- 0.5 days vs. 6.7 +/- 0.8 days; p = .027,
respectively), whereas the median length of intensive care unit stay was
significantly shorter (21.3 hrs [95% confidence interval 20.3-22.2] vs. 23.0 hrs
[95% confidence interval 20.9-25.1]; p = .024) in the haloperidol group than in
the control group. There was no significant difference with regard to all-cause
28-day mortality between the two groups (0.9% [2/229] vs. 2.6% [6/228]; p =
.175). No drug-related side effects were documented. CONCLUSIONS: For elderly
patients admitted to intensive care unit after noncardiac surgery, short-term
prophylactic administration of low-dose intravenous haloperidol significantly
decreased the incidence of postoperative delirium. The therapy was well
tolerated.
PMID- 22067627
TI - The acute pulmonary inflammatory response to the graded severity of smoke
inhalation injury.
AB - OBJECTIVES: To determine whether the graded severity of smoke inhalation is
reflected by the acute pulmonary inflammatory response to injury. DESIGN: In a
prospective observational study, we assessed the bronchoalveolar lavage fluid for
both leukocyte differential and concentration of 28 cytokines, chemokines, and
growth factors. Results were then compared to the graded severity of inhalation
injury as determined by Abbreviated Injury Score criteria (0, none; 1, mild; 2,
moderate; 3, severe; 4, massive). SETTING: All patients were enrolled at a single
tertiary burn center. PATIENTS: The bronchoalveolar lavage fluid was obtained
from 60 patients within 14 hrs of burn injury who underwent bronchoscopy for
suspected smoke inhalation. INTERVENTIONS: None. MEASUREMENTS AND MAIN RESULTS:
Those who presented with worse grades of inhalation injury had higher plasma
levels of carboxyhemoglobin and enhanced airway neutrophilia. Patients with the
most severe inhalation injuries also had a greater requirement for tracheostomy,
longer time on the ventilator, and a prolonged stay in the intensive care unit.
Of the 28 inflammatory mediators assessed in the bronchoalveolar lavage fluid, 21
were at their highest in those with the worst inhalation injury scores (grades 3
and 4), the greatest of which was interleukin-8 (92,940 pg/mL, grade 4). When
compared in terms of low inhalation injury (grades 1-2) vs. high inhalation
injury (grades 3-4), we found significant differences between groups for
interleukin-4, interleukin-6, interleukin-9, interleukin-15, interferon-gamma,
granulocyte-macrophage colony-stimulating factor, and monocyte chemotactic
protein-1 (p < .05 for all). CONCLUSIONS: These data reveal that the degree of
inhalation injury has basic and profound effects on burn patient morbidity,
evokes complex changes of multiple alveolar inflammatory proteins, and is a
determinant of the pulmonary inflammatory response to smoke inhalation.
Accordingly, future investigations should consider inhalation injury to be a
graded phenomenon.
PMID- 22067629
TI - The surgical intensive care unit optimal mobility score predicts mortality and
length of stay.
AB - OBJECTIVES: To test if the surgical intensive care unit optimal mobility score
predicts mortality and intensive care unit and hospital length of stay. DESIGN:
Prospective single-center cohort study. SETTING: Surgical intensive care unit of
the Massachusetts General Hospital. PATIENTS: One hundred thirteen consecutive
patients admitted to the surgical intensive care unit. INVESTIGATIONS: We tested
the hypotheses that the surgical intensive care unit optimal mobility score
independent of comorbidity index, Acute Physiology and Chronic Health Evaluation
II, creatinine, hypotension, hypernatremia, acidosis, hypoxia, and hypercarbia
predicts hospital mortality, surgical intensive care unit and total hospital
length of stay. MEASUREMENTS AND MAIN RESULTS: Two nurses independently predicted
the patients' mobilization capacity by using the surgical intensive care unit
optimal mobility score the morning after admission, whereas a third nurse
recorded the achieved mobilization levels of patients at the end of the day. A
multidisciplinary expert team measured patients' grip strength and assessed their
predicted mobilization capacity independently. Multivariate analysis revealed
that the surgical intensive care unit optimal mobility score was the only
independent predictor of mortality. Surgical intensive care unit optimal mobility
score, hypotension, and hypernatremia (>144 mmol/L) independently predicted
intensive care unit length of stay, whereas the surgical intensive care unit
optimal mobility score and hypernatremia predicted total hospital length of stay.
The Acute Physiology and Chronic Health Evaluation II score was not identified in
the multivariate analysis. The surgical intensive care unit optimal mobility
score was also a reliable and valid instrument in predicting achieved
mobilization levels of patients. CONCLUSIONS: In surgical critically ill patients
presenting without preexisting impairment of functional mobility, the surgical
intensive care unit optimal mobility score is a reliable and valid tool to
predict mortality and intensive care unit and hospital length of stay.
PMID- 22067630
TI - Oxygen blood transport during experimental sepsis: effect of hypothermia*.
AB - OBJECTIVES: The aim of this study was to highlight the link between induced
hypothermia and increased survival duration as observed in the septic model
developed by the laboratory. To reach this objective, survival duration and blood
oxygen transport capacity were measured at two temperatures-38 degrees C
(induced normothermia) and 34 degrees C (induced hypothermia)-in septic rats.
DESIGN: A prospective, randomized, experimental animal study. SETTING: University
laboratory. SUBJECTS: Forty-four male Sprague-Dawley rats (median weight, 232 g;
range, 200-303 g). INTERVENTIONS: After anesthesia and obtention of the
temperature goal, sepsis was induced by cecal ligation and perforation.
MEASUREMENTS AND MAIN RESULTS: Sepsis induction led to death 5 hrs 11 mins +/- 0
hr 36 mins after cecal ligation and perforation at 38 degrees C. At this
temperature, significant changes in blood oxygen transport capacity were observed
in septic rats; Hill number decreased from 2.36 +/- 0.10 (baseline group) to 1.99
+/- 0.17 (septic group) (p = .008) and oxygen-hemoglobin affinity decreased and
P50 increased from 41.40 +/- 2.4 Torr (baseline group) to 51.17 +/- 14.07 Torr
(septic group). Furthermore, in normothermia, a significant increase of
creatinine and albumin plasmatic concentrations was observed 4 hrs after sepsis
induction. Survival duration was significantly higher in induced hypothermia (7
hrs 22 mins +/- 0 hr 12 mins at 34 degrees C) compared with induced
normothermia. At 34 degrees C, no significant change in blood oxygen transport
capacity was observed. In the same way, exposure to 34 degrees C induced no
change in measured plasmatic parameters except an increase in albumin
concentration in septic rats compared with the baseline group. CONCLUSIONS:
Sepsis led to a decrease of both oxygen hemoglobin cooperativity and affinity at
38 degrees C. By contrast, no change in these parameters was observed when
sepsis was induced during hypothermia. Taken together, these results could be
interpreted in normothermia septic rats as an adaptive mechanism that could
enhance the release of oxygen at the tissue level. Hypothermia by slowing down
sepsis evolution could increase survival duration.
PMID- 22067631
TI - Impact of real-time electronic alerting of acute kidney injury on therapeutic
intervention and progression of RIFLE class.
AB - OBJECTIVE: To evaluate whether a real-time electronic alert system or "AKI
sniffer," which is based on the RIFLE classification criteria (Risk, Injury and
Failure), would have an impact on therapeutic interventions and acute kidney
injury progression. DESIGN: Prospective intervention study. SETTING: Surgical and
medical intensive care unit in a tertiary care hospital. PATIENTS: A total of 951
patients having in total 1,079 admission episodes were admitted during the study
period (prealert control group: 227, alert group: 616, and postalert control
group: 236). INTERVENTIONS: Three study phases were compared: A 1.5-month
prealert control phase in which physicians were blinded for the acute kidney
injury sniffer and a 3-month intervention phase with real-time alerting of
worsening RIFLE class through the Digital Enhanced Cordless Technology telephone
system followed by a second 1.5-month postalert control phase. MEASUREMENTS AND
MAIN RESULTS: A total of 2593 acute kidney injury alerts were recorded with a
balanced distribution over all study phases. Most acute kidney injury alerts were
RIFLE class risk (59.8%) followed by RIFLE class injury (34.1%) and failure
(6.1%). A higher percentage of patients in the alert group received therapeutic
intervention within 60 mins after the acute kidney injury alert (28.7% in alert
group vs. 7.9% and 10.4% in the pre- and postalert control groups, respectively,
p MU .001). In the alert group, more patients received fluid therapy (23.0% vs.
4.9% and 9.2%, p MU .01), diuretics (4.2% vs. 2.6% and 0.8%, p MU .001), or
vasopressors (3.9% vs. 1.1% and 0.8%, p MU .001). Furthermore, these patients had
a shorter time to intervention (p MU .001). A higher proportion of patients in
the alert group showed return to a baseline kidney function within 8 hrs after an
acute kidney injury alert "from normal to risk" compared with patients in the
control group (p = .048). CONCLUSIONS: The real-time alerting of every worsening
RIFLE class by the acute kidney injury sniffer increased the number and
timeliness of early therapeutic interventions. The borderline significant
improvement of short-term renal outcome in the RIFLE class risk patients needs to
be confirmed in a large multicenter trial.
PMID- 22067632
TI - Automated grain mapping using wide angle convergent beam electron diffraction in
transmission electron microscope for nanomaterials.
AB - The grain size statistics, commonly derived from the grain map of a material
sample, are important microstructure characteristics that greatly influence its
properties. The grain map for nanomaterials is usually obtained manually by
visual inspection of the transmission electron microscope (TEM) micrographs
because automated methods do not perform satisfactorily. While the visual
inspection method provides reliable results, it is a labor intensive process and
is often prone to human errors. In this article, an automated grain mapping
method is developed using TEM diffraction patterns. The presented method uses
wide angle convergent beam diffraction in the TEM. The automated technique was
applied on a platinum thin film sample to obtain the grain map and subsequently
derive grain size statistics from it. The grain size statistics obtained with the
automated method were found in good agreement with the visual inspection method.
PMID- 22067633
TI - [Access of French-speaking elderly to nursing homes among minorities, a
linguistic challenge for health and greater welfare].
AB - Access to long-term nursing homes by French-speaking seniors in minority
situations is a very real problem. However, few studies have been conducted on
this subject. We wanted to better understand this issue in New Brunswick while
taking into account the language aspect. In this article, we will present the
problem based on different issues encountered by Francophones in minority
situations and by giving an overview of the studies conducted on French-speaking
seniors in minority situations. We will then address the issue related to the
rights of French-speaking senior to receive services in French in nursing homes
by analyzing briefly the province's legal requirements. Furthermore, we will
present the regulatory framework of nursing homes in New Brunswick. Finally, we
will provide a geographic analysis of existing New Brunswick nursing homes while
taking into account the language aspect, the levels of service and the
distribution of French-speaking seniors within the territory.
PMID- 22067634
TI - Predictors of multiple sclerosis in children and implications for early
initiation of treatment.
PMID- 22067635
TI - MRI parameters for prediction of multiple sclerosis diagnosis in children with
acute CNS demyelination: a prospective national cohort study.
AB - BACKGROUND: Multiple sclerosis (MS) diagnostic criteria incorporate MRI features
that can be used to predict later diagnosis of MS in adults with acute CNS
demyelination. To identify MRI predictors of a subsequent MS diagnosis in a
paediatric population, we created a standardised scoring method and applied it to
MRI scans from a national prospective incidence cohort of children with CNS
demyelination. METHODS: Clinical and MRI examinations were done at the onset of
acute CNS demyelination and every 3 months in the first year after that, and at
the time of a second demyelinating attack. MS was diagnosed on the basis of
clinical or MRI evidence of relapsing disease. Baseline MRI scans were assessed
for the presence of 14 binary response parameters. Parameters were assessed with
a multiple tetrachoric correlation matrix. Univariate analyses and multivariable
Cox proportional hazards models were used to identify predictors of MS. FINDINGS:
Between Sept 1, 2004, and June 30, 2010, 332 children and adolescents were
assessed for eligibility. 1139 scans were available from 284 eligible
participants who had been followed up for 3.9 (SD 1.7) years. 57 (20%) were
diagnosed with MS after a median of 188 (IQR 144-337) days. Seven of 14 binary
response parameters were retained. The presence of either one or more T1-weighted
hypointense lesions (hazard ratio 20.6, 95% CI 5.46-78.0) or one or more
periventricular lesions (3.34, 1.27-8.83) was associated with an increased
likelihood of MS diagnosis (sensitivity 84%, specificity 93%, positive predictive
value 76%, negative predictive value 96%). Risk for MS diagnosis was highest when
both parameters were present (34.27, 16.69-70.38). Although the presence of
contrast enhancement, cerebral white matter, intracallosal, and brainstem lesions
was associated with MS in the univariate analyses, these parameters were not
retained in the multivariable models. INTERPRETATION: Specific MRI parameters can
be used to predict diagnosis of MS in children with incident demyelination of the
CNS. The ability to promptly identify children with MS will enhance timely access
to care and will be important for future clinical trials in paediatric MS.
FUNDING: Canadian Multiple Sclerosis Scientific Research Foundation.
PMID- 22067636
TI - Chemotherapeutic errors in hospitalised cancer patients: attributable damage and
extra costs.
AB - BACKGROUND: In spite of increasing efforts to enhance patient safety, medication
errors in hospitalised patients are still relatively common, but with potentially
severe consequences. This study aimed to assess antineoplastic medication errors
in both affected patients and intercepted cases in terms of frequency, severity
for patients, and costs. METHODS: A 1-year prospective study was conducted in
order to identify the medication errors that occurred during chemotherapy
treatment of cancer patients at a French university hospital. The severity and
potential consequences of intercepted errors were independently assessed by two
physicians. A cost analysis was performed using a simulation of potential
hospital stays, with estimations based on the costs of diagnosis-related groups.
RESULTS: Among the 6, 607 antineoplastic prescriptions, 341 (5.2%) contained at
least one error, corresponding to a total of 449 medication errors. However, most
errors (n = 436) were intercepted before medication was administered to the
patients. Prescription errors represented 91% of errors, followed by
pharmaceutical (8%) and administration errors (1%). According to an independent
estimation, 13.4% of avoided errors would have resulted in temporary injury and
2.6% in permanent damage, while 2.6% would have compromised the vital prognosis
of the patient, with four to eight deaths thus being avoided. Overall, 13
medication errors reached the patient without causing damage, although two
patients required enhanced monitoring. If the intercepted errors had not been
discovered, they would have resulted in 216 additional days of hospitalisation
and cost an estimated annual total of 92,907?, comprising 69,248? (74%) in
hospital stays and 23,658? (26%) in additional drugs. CONCLUSION: Our findings
point to the very small number of chemotherapy errors that actually reach
patients, although problems in the chemotherapy ordering process are frequent,
with the potential for being dangerous and costly.
PMID- 22067638
TI - The benefits of intermittent self-catheterization.
PMID- 22067639
TI - Improving staff engagement and patient care: use of novel initiatives.
AB - Staff engagement and patient care are two key aspects of the healthcare system.
Sandwell and West Birmingham Hospitals (SWBH) NHS Trust is focused on achieving
excellence in these areas and has used innovative initiatives to realize these
aims. Listening into Action (LiA) is a comprehensive outcome-orientated approach
which is aimed at harnessing the ideas of frontline staff. LiA has led to
improvement in results from staff surveys and the fostering of a more
collaborative approach. The Trust has started to use a Foley catheter tray with
the aim of improving catheter procedures. The Foley catheter trays, which include
all the necessary equipment for an aseptic catheterization, were introduced
across the Trust over a 4-week period. Uptake and implementation were excellent
with the use of the Foley catheter tray, and were associated with a reduction in
catheterization time.
PMID- 22067640
TI - Using behavioural methods to manage faecal incontinence.
AB - Faecal incontinence is a common problem for patients who have suffered a stroke.
However, patients arriving at a neurorehabilitation unit are often reluctant to
disclose their problem, and transfer documentation is sometimes incomplete.
Nurses need to be aware that this is a sensitive area for patients, which can
adversely affect their rehabilitation progress. Nurses and other professional
practitioners must work together as a team, as the impact of faecal incontinence
can be highly distressing for patients, and can result in inefficient use of
therapy time. This article examines how the rehabilitation nurse, using evidence
based practice, can manage faecal incontinence effectively within a structured
assessment and therapy programme, and shows it is possible to manage patients'
bowel actions proactively. The benefits of this include maximizing therapy and
nursing time and preventing delayed discharges. This article is based on a
thorough assessment originating from a case study.
PMID- 22067641
TI - Management of psoriasis and its comorbidities in primary care.
AB - Psoriasis is a common chronic disfiguring skin disease. Its management depends on
the extent of disease, sites affected, comorbidities, and patient's background or
lifestyle. In the UK, psoriasis treatment starts in the primary care with range
of topical applications, including steroids, vitamin D analogues and coal tar.
However, psoriasis is associated with physical, psychological and metabolic
comorbidities which could not be improved by topical therapy. The aim of this
review is to address the challenge in managing these comorbidities within primary
care.
PMID- 22067642
TI - Nurse-led homeless intermediate care: an economic evaluation.
AB - This article describes a homeless intermediate care pilot project that took place
at a 120-bedded homeless hostel in South London in 2009. During the year, 34
hostel clients directly benefited from intermediate care. At the end of the year,
the number of hospital admissions to the hostel had dropped 77% relative to 2008,
and the number of accident and emergency (A&E) attendances had dropped 52%.
Hospital 'did not attends' (DNAs) were 22% lower. An economic evaluation found
that the pilot project was cost neutral overall, and there is some evidence that
health outcomes improved. The project now has mainstream funding and has recently
received a national community nursing award. Its success has been recognized
nationally as an example of innovative practice in work with vulnerable groups
(Department of Health (DH), 2010).
PMID- 22067643
TI - To pray or not to pray: a question of ethics.
AB - There is a widespread belief that nurses have a duty to provide spiritual care.
However, many feel there is still a need for debate surrounding the ethical use
of prayer in both nursing research and practice. By using critical reflections
and evidence-based literature, this paper develops a discourse on the ethics of
prayer as a spiritual intervention in nursing and health care practice. Several
key ethical issues are highlighted. In regards to research, lack of informed
consent is a major concern in both research and nursing practice. Key ethical
issues in practice include questions around intention and authority, e.g. despite
the religious beliefs of the nurse, intentions to proselytize must be avoided to
protect patient autonomy and avoid abuse of the nurse's authority. Furthermore,
prayer has unknown side effects and implications. This paper concludes that, in
practice, nurses must reconcile their personal, spiritual beliefs with their
professional duties, and while this may be a delicate balance, it is not yet
appropriate to encourage or dissuade a patient from their beliefs until
appropriate research evidence is produced.
PMID- 22067644
TI - Supporting the self and others: from staff nurse to nurse consultant. Part 10:
confidentiality.
PMID- 22067645
TI - Can older nurses still provide care?
PMID- 22067646
TI - Tooling up for patient safety: 'seeing is believing'.
PMID- 22067647
TI - Smoking cessation: implementing hospital-based services.
AB - Smoking cessation services are well established in the community. In recent
years, an increase in their availability has been recommended and secondary care
settings were identified as suitable locations. This article draws on the
evidence base underpinning this move and uses findings from an evaluation of such
a service introduced into an acute hospital to illustrate the key principles to
be considered. These principles included receptiveness of the hospital
environment to the smoking cessation message, the importance of organizational
preparation before launching the service, collaboration within the hospital and
in the community, resourcing of the initiative, training of staff and providing
feedback to staff on progress (e.g. quit rates resulting from initiative). The
paper concludes that broad acceptance at all levels within the hospital, which
enhances a stop smoking culture, in parallel with an in-depth preparation and
training period, is required to successfully launch a hospital-based stop smoking
service.
PMID- 22067648
TI - The essence of the role of the nurse as teacher.
PMID- 22067649
TI - Education that works in practice.
PMID- 22067650
TI - Actomyosin contractililty: force power drives tumor growth.
PMID- 22067651
TI - Ribosome biogenesis and p53: who is regulating whom?
PMID- 22067652
TI - (H)if blood stem cells gO2 sleep: what can wake them up?
PMID- 22067653
TI - Lineage reprogramming: a shortcut to generating functional neurons from
fibroblasts.
PMID- 22067654
TI - A novel role for activation-induced cytidine deaminase: central B-cell tolerance.
PMID- 22067655
TI - Insulin resistance due to nutrient excess: is it a consequence of AMPK
downregulation?
AB - It has long been known that excesses of glucose and branched chain amino acids,
such as leucine, lead to insulin resistance in skeletal muscle. A recent study in
incubated rat muscle suggests that both molecules may do so by virtue of their
ability to downregulate the fuel sensing and signaling enzyme AMP-activated
protein kinase (AMPK) and activate mTOR/p70S6 kinase (p70S6K) signaling. The
results also demonstrated that inhibition of mTOR/p70S6K with rapamycin prevented
the development of insulin resistance but had no effect on AMPK activity (Thr172
phosphorylation of its catalytic subunit). In contrast, activation of AMPK by
both AICAR and alpha-lipoic acid led to the phosphorylation of specific molecules
that diminished both mTOR/p70S6K signaling and insulin resistance. These findings
suggest that downregulation of AMPK precedes mTOR/p70S6K activation in mediating
glucose and leucine-induced insulin resistance, although the mechanism by which
it does so remains to be determined. Also requiring study is how an excess of the
two nutrients leads to AMPK downregulation.
PMID- 22067656
TI - Bioenergy sensing in the brain: the role of AMP-activated protein kinase in
neuronal metabolism, development and neurological diseases.
AB - Bioenergy homeostasis constitutes one of the most crucial foundations upon which
other cellular and organismal processes may be executed. AMP-activated protein
kinase (AMPK) has been shown to be the key player in the regulation of energy
metabolism, and thus is becoming the focus of research on obesity, diabetes and
other metabolic disorders. However, its role in the brain, the most energy
consuming organ in our body, has only recently been studied and appreciated.
Widely expressed in the brain, AMPK activity is tightly coupled to the energy
status at both neuronal and whole-body levels. Importantly, AMPK signaling is
intimately implicated in multiple aspects of brain development and function
including neuronal proliferation, migration, morphogenesis and synaptic
communication, as well as in pathological conditions such as neuronal cell death,
energy depletion and neurodegenerative disorders.
PMID- 22067657
TI - Effects of conditional depletion of topoisomerase II on cell cycle progression in
mammalian cells.
AB - Topoisomerase II (Topo II) that decatenates newly synthesized DNA is targeted by
many anticancer drugs. Some of these drugs stabilize intermediate complexes of
DNA with Topo II and others act as catalytic inhibitors of Topo II. Simultaneous
depletion of Topo IIalpha and Topo IIbeta, the two isoforms of mammalian Topo II,
prevents cell growth and normal mitosis, but the role of Topo II in other phases
of mammalian cell cycle has not yet been elucidated. We have developed a
derivative of p53-suppressed human cells with constitutive depletion of Topo
IIbeta and doxycycline-regulated conditional depletion of Topo IIalpha. The
effects of Topo II depletion on cell cycle progression were analyzed by time
lapse video microscopy, pulse-chase flow cytometry and mitotic morphology. Topo
II depletion increased the duration of the cell cycle and mitosis, interfered
with chromosome condensation and sister chromatid segregation and led to frequent
failure of cell division, ending in either cell death or restitution of polyploid
cells. Topo II depletion did not change the rate of DNA replication but increased
the duration of G 2. These results define the effects of decreased Topo II
activity, rather than intermediate complex stabilization, on the mammalian cell
cycle.
PMID- 22067659
TI - Single molecule experimentation in biological physics: exploring the living
component of soft condensed matter one molecule at a time.
AB - The soft matter of biological systems consists of mesoscopic length scale
building blocks, composed of a variety of different types of biological
molecules. Most single biological molecules are so small that 1 billion would fit
on the full-stop at the end of this sentence, but collectively they carry out the
vital activities in living cells whose length scale is at least three orders of
magnitude greater. Typically, the number of molecules involved in any given
cellular process at any one time is relatively small, and so real physiological
events may often be dominated by stochastics and fluctuation behaviour at levels
comparable to thermal noise, and are generally heterogeneous in nature. This
challenging combination of heterogeneity and stochasticity is best investigated
experimentally at the level of single molecules, as opposed to more conventional
bulk ensemble-average techniques. In recent years, the use of such molecular
experimental approaches has become significantly more widespread in research
laboratories around the world. In this review we discuss recent experimental
approaches in biological physics which can be applied to investigate the living
component of soft condensed matter to a precision of a single molecule.
PMID- 22067660
TI - Focus on central venous pressure monitoring in an acute care setting.
PMID- 22067658
TI - Networks of intergenic long-range enhancers and snpRNAs drive castration
resistant phenotype of prostate cancer and contribute to pathogenesis of multiple
common human disorders.
AB - The mechanistic relevance of intergenic disease-associated genetic loci (IDAGL)
containing highly statistically significant disease-linked SNPs remains unknown.
Here, we present experimental and clinical evidence supporting the importantance
of the role of IDAGL in human diseases. A targeted RT-PCR screen coupled with
sequencing of purified PCR products detects widespread transcription at multiple
IDAGL and identifies 96 small noncoding trans-regulatory RNAs of ~100-300 nt in
length containing SNPs (snpRNAs) associated with 21 common disorders. Multiple
independent lines of experimental evidence support functionality of snpRNAs by
documenting their cell type-specific expression and evolutionary conservation of
sequences, genomic coordinates and biological effects. Chromatin state
signatures, expression profiling experiments and luciferase reporter assays
demonstrate that many IDAGL are Polycomb-regulated long-range enhancers.
Expression of snpRNAs in human and mouse cells markedly affects cellular behavior
and induces allele-specific clinically relevant phenotypic changes: NLRP1-locus
snpRNAs rs2670660 exert regulatory effects on monocyte/macrophage
transdifferentiation, induce prostate cancer (PC) susceptibility snpRNAs and
transform low-malignancy hormone-dependent human PC cells into highly malignant
androgen-independent PC. Q-PCR analysis and luciferase reporter assays
demonstrate that snpRNA sequences represent allele-specific "decoy" targets of
microRNAs that function as SNP allele-specific modifiers of microRNA expression
and activity. We demonstrate that trans-acting RNA molecules facilitating
resistance to androgen depletion (RAD) in vitro and castration-resistant
phenotype (CRP) in vivo of PC contain intergenic 8q24-locus SNP variants
(rs1447295; rs16901979; rs6983267) that were recently linked with increased risk
of PC. Q-PCR analysis of clinical samples reveals markedly increased and highly
concordant (r = 0.896; p < 0.0001) snpRNA expression levels in tumor tissues
compared with the adjacent normal prostate [122-fold and 45-fold in Gleason 7
tumors (p = 0.03); 370-fold and 127-fold in Gleason 8 tumors (p = 0.0001) for
NLRP1-locus and 8q24-locus snpRNAs, respectively]. Our experiments indicate that
RAD and CR phenotype of human PC cells can be triggered by ncRNA molecules
transcribed from the NLRP1-locus intergenic enhancer at 17p13 and by downstream
activation of the 8q24-locus snpRNAs. Our results define the IDAGL at 17p13 and
8q24 as candidate regulatory loci of RAD and CR phenotypes of PC, reveal
previously unknown molecular links between the innate immunity/inflammasome
system and development of hormone-independent PC and identify novel molecular and
genetic targets with diagnostic and therapeutic potentials, exploration of which
should be highly beneficial for personalized clinical management of PC.
PMID- 22067662
TI - Return on public health investment: CDC's Expanded HIV Testing Initiative.
AB - BACKGROUND: Over a 3-year period, the Centers for Disease Control and Prevention
invested $102.3 million in a large-scale HIV testing program, the Expanded HIV
Testing Initiative for populations disproportionally affected by HIV. Policy
makers, who must optimize public health given a set budget, are interested in the
financial return on investment (ROI) of large-scale HIV testing. METHODS: We
conducted an ROI analysis using expenditure and outcome data from the program. A
health system perspective was used that included all program expenditures
including medical costs of treating newly diagnosed patients. We incorporated
benefits of HIV transmissions averted from persons diagnosed of their infection
through the Initiative compared with when, on average, those persons would have
been diagnosed without the Initiative (3 years later in the base case). HIV
transmissions were derived from a published mathematical model of HIV
transmission. In sensitivity analysis, we tested the effect of 1-year to 5-year
alternate testing intervals and differences in the prevalence of undiagnosed HIV
infection. RESULTS: Under the Initiative, 2.7 million persons were tested for
HIV, there was a newly diagnosed HIV positivity rate of 0.7%, and an estimated
3381 HIV infections were averted. It achieved a return of $1.95 for every dollar
invested. ROI ranged from $1.46 to $2.01 for alternative testing intervals of 1-5
years and remained above $1 (positive return on investment) with a prevalence of
undiagnosed HIV infection as low as 0.12%. CONCLUSIONS: The expanded testing
Initiative yielded ROI values of >$1 under a broad range of sensitivity analyses
and provides further support for large-scale HIV testing programs.
PMID- 22067661
TI - A randomized, double-blind, controlled study of NGX-4010, a capsaicin 8% dermal
patch, for the treatment of painful HIV-associated distal sensory polyneuropathy.
AB - INTRODUCTION: Effective treatment of HIV-associated distal sensory polyneuropathy
remains a significant unmet therapeutic need. METHODS: In this randomized, double
blind, controlled study, patients with pain due to HIV-associated distal sensory
polyneuropathy received a single 30-minute or 60-minute application of NGX-4010-
a capsaicin 8% patch (n = 332)--or a low-dose capsaicin (0.04%) control patch (n
= 162). The primary endpoint was the mean percent change from baseline in Numeric
Pain Rating Scale score to weeks 2-12. Secondary endpoints included patient
global impression of change at week 12. RESULTS: Pain reduction was not
significantly different between the total NGX-4010 group (-29.5%) and the total
control group (-24.5%; P = 0.097). Greater pain reduction in the 60-minute (
30.0%) versus the 30-minute control group (-19.1%) prevented intended pooling of
the control groups to test individual NGX-4010 treatment groups. No significant
pain reduction was observed for the 30-minute NGX-4010 group compared with 30
minute control (-26.2% vs.-19.1%, respectively, P = 0.103). Pain reductions in
the 60-minute NGX-4010 and control groups were comparable (-32.8% vs. -30.0%,
respectively; P = 0.488). Posthoc nonparametric testing demonstrated significant
differences favoring the total (P = 0.044) and 30-minute NGX-4010 groups (P =
0.035). Significantly, more patients in the total and 30-minute NGX-4010 group
felt improved on the patient global impression of change versus control (67% vs.
55%, P = 0.011 and 65% vs. 45%, P = 0.006, respectively). Mild to moderate
transient application site pain and erythema were the most common adverse events.
CONCLUSIONS: Although the primary endpoint analyses were not significant, trends
toward pain improvement were observed after a single 30-minute NGX-4010
treatment.
PMID- 22067663
TI - Interpretation of genotypic resistance to predict darunavir/ritonavir failure in
antiretroviral experienced patients.
AB - From the Italian Antiretroviral Resistance Cohort Analysis database, 1104
patients starting ritonavir-boosted darunavir-containing regimen were included as
follows: 118 subsequently failed treatment at a median of 11 months
(interquartile range: 5-20); 3 years failure proportion: 24.6%. HIV Drug
Resistance Database and ANRS interpretation algorithms were associated with a
progressive risk prediction of virological failure at adjusted Cox. In contrast,
Rega algorithm allows to identify a higher number of patients at risk of failure,
without losing statistical significance. Four mutations (V32I, I50V, L76V, I84V)
were predictive of failure, the hazard ratio progressively increased by detecting
1 (hazard ratio: 2.0, 95% confidence interval: 1.3 to 3.0), 2 (3.6, 2.0 to 6.6),
or 3 of them (9.7, 2.8 to 33.5).
PMID- 22067664
TI - Rapamycin with antiretroviral therapy in AIDS-associated Kaposi sarcoma: an AIDS
Malignancy Consortium study.
AB - PURPOSE: The mammalian target of rapamycin is activated in Kaposi sarcoma (KS)
and its inhibitor, rapamycin, has induced KS regression in transplant-associated
KS. This study aimed to evaluate rapamycin's safety and toxicity in HIV-infected
individuals with KS receiving antiretroviral therapy (ART), investigate rapamycin
interactions with both protease inhibitor (PI)-containing and nonnucleoside
reverse transcriptase inhibitor (NNRTI)-containing ART regimens, and assess
clinical and biological endpoints including KS response and mammalian target of
rapamycin-dependent signaling. METHODS: Seven participants, 4 on PI-based and 3
on NNRTI-based ART, had rapamycin titrated to achieve trough concentrations of 5
10 ng/mL. Patients were monitored for safety and KS response. KS biopsies were
evaluated for changes in phosphoribosomal S6 protein, and phospho-Akt expression.
Interleukin 6 and vascular endothelial growth factor levels, HIV and KS
associated herpesvirus viral loads, and CD4 counts were monitored. RESULTS:
Despite pharmacokinetic interactions resulting in >200-fold differences in
cumulative weekly rapamycin doses between participants on PI-containing and NNRTI
containing regimens, treatment was well tolerated. There were no significant
changes in viral loads or cytokine levels; modest initial decreases in CD4 counts
occurred in some patients. Three participants, all on PI-containing regimens and
with higher rapamycin exposure, showed partial KS responses. Three of 4 subjects
whose biopsies were studied at >=day 50 showed decreased phosphoribosomal S6
protein staining. CONCLUSIONS: Rapamycin seems safe in HIV-infected individuals
with KS and can, in some cases, induce tumor regression and affect its molecular
targets. Significant pharmacokinetic interactions require careful titration to
achieve target drug trough concentrations but may be exploited to achieve
therapeutic benefit.
PMID- 22067665
TI - Outcomes of antiretroviral treatment programs in rural Southern Africa.
AB - BACKGROUND: Data on outcomes of antiretroviral treatment (ART) programs in rural
sub-Saharan African are scarce. We describe early losses and long-term outcomes
in 6 rural programs in Southern Africa with limited access to viral load
monitoring and second-line ART. METHODS: Patients aged >=16 years starting ART in
2 programs each in Zimbabwe, Mozambique, and Lesotho were included. We evaluated
risk factors for no follow-up after starting ART and mortality and loss to follow
up (LTFU) over 3 years of ART, using logistic regression and competing risk
models. Odds ratios and subdistribution hazard ratios, adjusted for gender, age
category, CD4 category, and World Health Organization stage at start of ART are
reported. RESULTS: Among 7725 patients, 449 (5.8%) did not return after
initiation of ART. During 9575 person-years, 698 (9.6%) of those with at least 1
follow-up visit died, and 1319 (18.1%) were LTFU. At 3 years, the cumulative
incidence of death and LTFU were 12.5% (11.5%-13.5%) and 25.4% (24.0%-26.9%),
respectively, with important differences between countries as follows: in
Zimbabwe 75.1% (72.8%-77.3%) were alive and on ART at 3 years compared with 55.4%
(52.8%-58.0%) in Lesotho and 51.6% (48.0%-55.2%) in Mozambique. In all settings,
young age and male gender predicted LTFU, whereas advanced clinical stage and low
baseline CD4 counts predicted death. CONCLUSIONS: In African ART programs with
limited access to second-line treatment, mortality, and LTFU are high in the
first 3 years of ART. Low retention in care is a major threat to the
sustainability of ART delivery in Southern Africa, particularly in rural sites.
PMID- 22067667
TI - Genotypic and phenotypic characterization of HIV-1 isolates obtained from
patients on rilpivirine therapy experiencing virologic failure in the phase 3
ECHO and THRIVE studies: 48-week analysis.
AB - Genotypic and phenotypic characterization was performed of HIV-1 isolates from
treatment-naive HIV-1-infected patients experiencing virologic failure (VF)
during treatment with the nonnucleoside reverse transcriptase inhibitor (NNRTIs)
rilpivirine or efavirenz in the pooled phase 3 studies ECHO and THRIVE. Among 686
patients receiving rilpivirine, 72 (10%) experienced VF versus 39 of 682 (6%)
receiving efavirenz. In patients with low baseline viral load (VL) <=100,000
copies per milliliter, the proportions of rilpivirine VFs (19 of 368) and
efavirenz VFs (16 of 330) were the same (5%). In patients with high baseline VL
>100,000 copies per milliliter, the proportion of VFs was higher with rilpivirine
(53 of 318; 17%) than efavirenz (23 of 352; 7%). The rate of rilpivirine VF was
comparable between HIV-1 subtype B-infected (11%) and nonsubtype B-infected (8%)
patients. The absolute number of VFs with treatment-emergent NNRTI resistance
associated mutations (RAMs) was higher for rilpivirine (most commonly E138K or
K101E) than efavirenz (most commonly K103N), but relative proportions were
similar [63% (39 of 62) vs. 54% (15 of 28), respectively]. More rilpivirine VFs
had treatment-emergent nucleoside/nucleotide reverse transcriptase inhibitor RAMs
than efavirenz VFs [68% (42 of 62) versus 32% (9 of 28), respectively], most
commonly M184I and M184V. The proportion of rilpivirine VFs with RAMs in patients
with low baseline VL was lower than in those with high baseline VL [38% (6 of 16)
versus 72% (33 of 46) for NNRTI RAMs and 44% (7 of 16) versus 76% (35 of 46) for
nucleoside/nucleotide reverse transcriptase inhibitor RAMs, respectively]. In
summary, VF and treatment-emergent reverse transcriptase RAMs were similar at low
baseline VL but more frequent at high baseline VL in rilpivirine-treated than in
efavirenz-treated patients. The frequent emergence of E138K, especially in
combination with M184I, in rilpivirine VFs is a unique finding of these trials.
PMID- 22067666
TI - Measurement of mucosal biomarkers in a phase 1 trial of intravaginal 3%
StarPharma LTD 7013 gel (VivaGel) to assess expanded safety.
AB - OBJECTIVE: The aim of this study was to examine the effect of the 3% StarPharma
LTD 7013 gel (VivaGel) on mucosal immune markers hypothesized to be associated
with HIV-1 acquisition. DESIGN: Phase 1, placebo-controlled, randomized, double
blind clinical trial was performed in 54 young women in the United States and
Kenya. Participants used carbopol gel with and without (placebo) StarPharma LTD
7013 twice daily over 14 days. Cervical specimens were collected for cytokines,
chemokines, T cells, and dendritic cells at days 0, 7, 14, and 21. A negative
binomial regression model was used to assess differences between study arms.
RESULTS: Several mucosal immune parameters were increased in the VivaGel arm
compared with placebo. For cytokines D7, IL-6 (P = 0.05); D 14, interferon gamma
(P = 0.03), IL-2 (P = 0.04), IL-5 (P = 0.003), and IL-10 (P = 0.001) were
increased. On D7, CD8+/CD69+ T cells tended to be increased (P < 0.08); limiting
analysis to visits without blood or bacterial vaginosis, these findings were
stronger as follows: at D7, CD8+/CD69+ T cells were increased in the VivaGel arm
(P < 0.005), as were CD4+/CD69+ cells (P = 0.001) and CD4+/CCR5+ T cells (P =
0.01). The changes described for D7 and 14 were no longer seen at D21.
CONCLUSIONS: Markers associated with inflammation and epithelial damage were
reversibly elevated in the VivaGel arm compared with the placebo arm after 7-14
days of twice daily product use.
PMID- 22067668
TI - Cell phone-based and internet-based monitoring and evaluation of the National
Antiretroviral Treatment Program during rapid scale-up in Rwanda: TRACnet, 2004
2010.
AB - BACKGROUND: Monitoring and evaluation of antiretroviral treatment (ART) scale-up
has been challenging in resource-limited settings. We describe an innovative cell
phone-based and internet-based reporting system (TRACnet) utilized in Rwanda.
METHODS: From January 2004 to June 30, 2010, all health facilities with ART
services submitted standardized monthly aggregate reports of key indicators.
National cohort data were analyzed to examine trends in characteristics of
patients initiating ART and cumulative cohort outcomes. Estimates of HIV-infected
patients eligible for ART were obtained from Joint United Nations Program on
HIV/AIDS (Estimation and Projection Package-Spectrum, 2010). RESULTS: By June 30,
2010, 295 (65%) of 451 health centers, District and referral hospitals provided
ART services; of these, 255 (86%) were located outside Kigali, the capital. Cell
phone-based and internet-based reporting was used by 253 (86%) and 42 (14%),
respectively. As of June 30, 2010, 83,041 patients were alive on ART, 6171 (6%)
had died, and 9621 (10%) were lost-to-follow-up. Of those alive on ART, 7111
(8.6%) were children, 50,971 (61.4%) were female, and 1823 (2.2%) were on a
second-line regimen. The proportion of all patients initiating ART at World
Health Organization clinical stages 3 and 4 declined from 65% in 2005 to 27% in
2010. National ART coverage of eligible patients increased from 13% in 2005 to
79% in 2010. CONCLUSIONS: Rwanda has successfully expanded ART access and
achieved high national ART coverage among eligible patients. TRACnet captured
essential data about the ART program during rapid scale-up. Cell phone-based and
internet-based reporting may be useful for monitoring and evaluation of similar
public health initiatives in other resource-limited settings.
PMID- 22067670
TI - Curcumin-supplemented yoghurt improves physiological and biochemical markers of
experimental diabetes.
AB - We investigated the effects of prolonged treatment of diabetic rats with curcumin
supplemented yoghurt on the physiological and biochemical changes associated with
diabetes mellitus. An established metabolic cage model was used to assess these
changes in three groups of streptozotocin-diabetic rats which had been
administered, by gavage, curcumin blended into yoghurt in the doses of 30, 60 and
90 mg/kg body weight (BW) per d (groups DC30, DC60, DC90) for 31 d. One group of
non-diabetic rats was also treated with 90 mg/kg BW per d curcumin (NDC90). Three
control groups of diabetic animals received water (DW), yoghurt (DY) and insulin
at 27.78 MUmol/d by subcutaneous injection (DI). Also, two groups of non-diabetic
animals received water (NDW) and yoghurt (NDY). Groups DI and DC90 exhibited
significant falls, relative to DW and DY, in food and water intake, urine volume,
glycaemia, urinary urea and glucose, proteinuria, serum TAG and activities of
aspartate and alanine aminotransferases, and higher hepatic glycogen and BW.
These improvements were greater in DI than in DC90. No difference was observed in
the serum levels of total cholesterol or HDL-cholesterol, or in the masses of
adipose and muscular tissues, between DC90 and DW or DY. Moreover, the
improvements in curcumin-treated rats, relative to DW and DY, were significant
and dose-dependent. The NDC90 group also showed no difference from the NDW or NDY
groups, in any of the markers for diabetes. In conclusion, curcumin mixed into
yoghurt at the highest dose tested exhibited anti-diabetic activity, improving
significantly most of the markers assessed in this study.
PMID- 22067669
TI - The GABA(A) receptor agonist THIP ameliorates specific behavioral deficits in the
mouse model of fragile X syndrome.
AB - Hyperactivity, hypersensitivity to auditory stimuli, and exaggerated fear are
common behavioral abnormalities observed in individuals with fragile X syndrome
(FXS), a neurodevelopmental disorder that is the most common genetic cause of
autism. Evidence from studies of the Fmr1 knockout (KO) mouse model of FXS
supports the notion that impaired GABAergic transmission in different brain
regions such as the amygdala, striatum or cerebral cortex is central to FXS
behavioral abnormalities. This suggests that the GABAergic system might be an
intriguing target to ameliorate some of the phenotypes in FXS. Our recent work
revealed that THIP (gaboxadol), a GABA(A) receptor agonist, can restore principal
neuron excitability deficits in the Fmr1 KO amygdala, suggesting that THIP may
also restore some of the key behavioral abnormalities in Fmr1 KO mice. Here, we
reveal that THIP significantly attenuated hyperactivity in Fmr1 KO mice, and
reduced prepulse inhibition in a volume-dependent manner. In contrast, THIP did
not reverse the deficits in cued fear or startle response. Thus, this study shows
that enhancing GABAergic transmission can correct specific behavioral phenotypes
of the Fmr1 KO mouse further supporting that targeting the GABAergic system, and
specifically tonic inhibition, might be important for correcting or ameliorating
some key behaviors in FXS.
PMID- 22067671
TI - Statistical learning methods as a preprocessing step for survival analysis:
evaluation of concept using lung cancer data.
AB - BACKGROUND: Statistical learning (SL) techniques can address non-linear
relationships and small datasets but do not provide an output that has an
epidemiologic interpretation. METHODS: A small set of clinical variables (CVs)
for stage-1 non-small cell lung cancer patients was used to evaluate an approach
for using SL methods as a preprocessing step for survival analysis. A stochastic
method of training a probabilistic neural network (PNN) was used with
differential evolution (DE) optimization. Survival scores were derived
stochastically by combining CVs with the PNN. Patients (n = 151) were
dichotomized into favorable (n = 92) and unfavorable (n = 59) survival outcome
groups. These PNN derived scores were used with logistic regression (LR) modeling
to predict favorable survival outcome and were integrated into the survival
analysis (i.e. Kaplan-Meier analysis and Cox regression). The hybrid modeling was
compared with the respective modeling using raw CVs. The area under the receiver
operating characteristic curve (Az) was used to compare model predictive
capability. Odds ratios (ORs) and hazard ratios (HRs) were used to compare
disease associations with 95% confidence intervals (CIs). RESULTS: The LR model
with the best predictive capability gave Az = 0.703. While controlling for gender
and tumor grade, the OR = 0.63 (CI: 0.43, 0.91) per standard deviation (SD)
increase in age indicates increasing age confers unfavorable outcome. The hybrid
LR model gave Az = 0.778 by combining age and tumor grade with the PNN and
controlling for gender. The PNN score and age translate inversely with respect to
risk. The OR = 0.27 (CI: 0.14, 0.53) per SD increase in PNN score indicates those
patients with decreased score confer unfavorable outcome. The tumor grade
adjusted hazard for patients above the median age compared with those below the
median was HR = 1.78 (CI: 1.06, 3.02), whereas the hazard for those patients
below the median PNN score compared to those above the median was HR = 4.0 (CI:
2.13, 7.14). CONCLUSION: We have provided preliminary evidence showing that the
SL preprocessing may provide benefits in comparison with accepted approaches. The
work will require further evaluation with varying datasets to confirm these
findings.
PMID- 22067674
TI - Shifting mindsets: work in progress.
AB - The past few months have seen a hive of activity related to the publishing of
reports and documents in the UK. July heralded the arrival of the long-awaited
final report on the Palliative Care Funding Review (Hughes-Hallett et al, 2011).
PMID- 22067673
TI - Adjuvant hepatic arterial infusional chemotherapy with 5-fluorouracil and
cisplatin after curative resection of hepatocellular carcinoma.
AB - OBJECTIVES: We investigated whether adjuvant hepatic arterial infusional
chemotherapy (HAIC) with 5-fluorouracil (5-FU) and cisplatin reduces the
recurrence of hepatocellular carcinoma (HCC) after curative resection. METHODS:
Between January 2006 and December 2008, 31 HCC patients received four cycles of
adjuvant HAIC with 5-FU and cisplatin via port system after curative resection.
During the same period, 62 patients, who did not take any adjuvant therapy, were
selected as controls. RESULTS: Tumor characteristics, such as distribution of TNM
stage, pathologic differentiation, portal vein invasion, or microscopic invasion
did not differ between control and adjuvant groups. During follow-up, recurrence
developed in 11 adjuvant (35.5%) and 24 control patients (38.7%; p = 0.823).
Tumor progression after recurrence was the cause of death in 2 adjuvant (28.6%)
and 7 control patients (38.8%; p = 0.912). The 2-year recurrence rate was 9.1% in
the adjuvant group and 4.2% in the control group, with the median recurrence-free
survival time being 10.5 and 7.5 months, respectively (p = 0.324). The 3-year
cumulative survival rate was 73.3% in the adjuvant group and 68.3% in the control
group (p = 0.355). CONCLUSION: Adjuvant HAIC with 5-FU and cisplatin did not
offer any beneficial effect on the recurrence after curative resection of HCC.
PMID- 22067675
TI - Transferring service provision from a Primary Care Trust to a charity: what
needs to be considered?
AB - On 1 April 2011, specialist palliative care (SPC) services in NHS Berkshire West
transferred to Sue Ryder, a third sector provider of health and social care in
the UK. Prior to the transfer, Berkshire West Primary Care Trust (PCT)
commissioned services from its own provider arm and from Sue Ryder's Nettlebed
Hospice in South Oxfordshire.
PMID- 22067676
TI - Advance directives: Portuguese palliative care professionals' views.
AB - Advance directives are a controversial subject in Portugal at present,
particularly among health professionals. Although some health professionals
consider them to be important tools that are helpful in making proper decisions
and promoting patient autonomy, others think that they may interfere with the
human and relational dimension of care. It is therefore timely to investigate
health professionals' views of advance directives in more detail. This study
aimed to identify and reflect on Portuguese palliative care professionals'
perspectives of advanced directives and their relevance to end-of-life decision
making. A qualitative exploratory methodology was adopted in which interviews
were conducted with two physicians, six nurses, two psychologists, and one social
worker from four palliative care teams based across Portugal. The findings
emphasize the relevance of advance directives to ethical decision-making
processes in palliative care. However, debates are needed regarding whether
advance directives should be legally binding.
PMID- 22067677
TI - Symptom experience and management among people with acute myeloid leukaemia in
Thailand.
AB - In Thailand, haematological malignancy is one of the most common types of cancer.
This cross-sectional study, conducted in Southern Thailand, aimed to describe the
symptom experiences of and symptom management strategies among patients with
acute myeloid leukaemia (AML). Sixty hospitalized patients with AML were
recruited. The Symptom Experience Scale and two open-ended questions regarding
symptom-management strategies were used to collect data via face-to-face
interviews 2 weeks after the induction phase of the AML protocol. The data was
analysed using descriptive statistics and content analysis. High fever, weakness,
nausea, and anorexia were the four most prevalent symptoms reported. Other
prevalent symptoms included weight loss, bleeding, nausea and vomiting,
dysphagia, and a cluster of psycho-emotional symptoms: worrying, fear, feeling
discouraged, and feeling bored. Patients used various approaches and strategies
to alleviate their symptoms, which could be categorized into five groups:
preventive, direct, distraction, complementary, and restorative approaches. This
study has provided important information for the development of symptom
management nursing programmes for patients with AML, particularly in Thailand.
PMID- 22067678
TI - Development and evaluation of the Pain Assessment in the Communicatively Impaired
(PACI) tool: part I.
AB - Pain is a common symptom for long-term care residents, particularly those in need
of palliative care. However, pain assessment in residents who have communication
limitations is challenging. A study was conducted with the aim of developing a
pain assessment tool that could feasibly be used by direct care providers in long
term care with minimal training yet demonstrating strong psychometric properties.
The study used both qualitative and quantitative methods to develop and test the
Pain Assessment in the Communicatively Impaired (PACI) tool. Part I of this paper
reports on the development phase; a forthcoming second part will report on the
testing phase. The overall results of this study support the psychometric
properties and feasibility of the PACI tool, offering preliminary support for its
use in clinical practice.
PMID- 22067679
TI - Nursing interventions to promote dignified dying in South Korea.
AB - PURPOSE: This descriptive study aimed to assess the appropriateness of the
International Classification for Nursing Practice (ICNP) catalogue Palliative
Care for Dignified Dying for palliative care nursing interventions in South
Korea. METHODS: The study surveyed 213 South Korean nurses who might regularly
care for dying patients. Nurses were recruited to complete a survey that included
interventions from the ICNP catalogue listed with Likert response sets. FINDINGS:
All of the interventions were scored as being at least 'slightly important' on
average. The following three nursing interventions were ranked as most important
when caring for dying patients: establish trust, establish rapport, and
administer pain medication. CONCLUSIONS: The study provides new insights into the
palliative care provided in South Korea by documenting nurses' views of what are
the most important palliative care nursing interventions. It also suggests that
the palliative care interventions listed in the ICNP catalogue Palliative Care
for Dignified Dying are in congruence with the interventions that nurses in South
Korea use.
PMID- 22067680
TI - Challenges in home-based palliative care in Norway: a qualitative study of
spouses' experiences.
AB - INTRODUCTION: Issues around patients' preferred place of care and death are
taking greater precedence of late. However, little is known about patients' and
carers' experiences of palliative care in the home setting. This study explored
carers' views of what determines the quality of home care at the end of life.
METHODS: The study made use of systems theory, the purpose of which is to obtain
a broad understanding of a phenomenon by looking at the relations between its
individual elements. Seven carers (spouses) of people who died having received
home-based palliative care in three different municipalities in Norway were
interviewed. RESULTS: None of the participants had planned to give or receive
palliative care in the home. However, they did not regret that the home had been
the place of care. Factors deemed important to acheiving the best possible home
based palliative care included around-the-clock help, holistic care, and
affirmation of the significance of the carer's role. CONCLUSION: Health
professionals should be more forthcoming in discussing the benefits and
challenges of home-based palliative care and make greater acknowledgment of the
carer's role. Treatment plans may be helpful in acheiving these goals.
PMID- 22067681
TI - End of life in an Icelandic nursing home: an ethnographic study.
AB - AIM: To examine care of the dying elderly in a nursing home in Iceland. METHODS:
An ethnographic study design was adopted. The study sample comprised all of the
nursing home residents, their relatives, and all of the staff working in the
nursing home, but with a particular focus on the 11 registered nurses (RNs) on
the site. Data was collected through participant observation, semi-structured
group interviews with the RNs, and an examination of the nursing home's official
records. Underlying themes were discovered using interpretative phenomenology.
FINDINGS: Two main themes emerged: that palliative care is the most important
element of care in the home, and that RNs are the pillars of caring for the dying
elderly in the nursing home. CONCLUSIONS: The findings suggest that RNs'
professional knowledge can greatly contribute to the care of dying elderly
residents in nursing homes. There seem to be many parallels between the condition
and wellbeing of very frail nursing home residents and patients in specialized
palliative care units for the elderly. Public awareness of palliative care of the
elderly in Icelandic nursing homes should be encouraged.
PMID- 22067682
TI - Politics and palliative care: China (part II).
PMID- 22067683
TI - Diazepam-induced decrease in anxiety-like behaviors of marmoset monkeys exposed
to a novel open-field.
AB - Unfamiliar environments can be a source of stress, fear and anxiety for marmoset
monkeys. In spite of existing data, the influence of putative anxiolytics on the
effects of novel environments has yet to be tested in primates. Therefore, the
behavior of adult black tufted-ear marmosets to a single brief (15 min) exposure
to a novel environment was analyzed in the presence and absence of diazepam
(DZP). Marmosets were pre-treated with vehicle (n=5) or diazepam (0.5 mg/kg, ip;
n=5) and submitted to a 15 min free exploration trial within a rectangular open
field arena. DZP-treated subjects, compared to vehicle controls, demonstrated
significantly lower rates of (phee) contact calls and exploration, while a higher
scan duration. Sojourn time in the arena's central zone was also significantly
higher in the former group and sedation was not observed. Thus, pre-treatment
with the benzodiazepine DZP decreased several anxiety-related behaviors induced
by subjecting the marmosets to a new environment. The results also indicate that,
as with rodent subjects, the open-field may provide a useful simple paradigm for
assessing anxiety-like behaviors in this primate and, as such, constitutes a
unique opportunity for direct comparative studies between rodents and marmoset
monkeys in terms of anxiety and/or sedation.
PMID- 22067684
TI - Chinese women's sexuality concerns after gynecologic cancer.
AB - BACKGROUND: Sexuality has been recognized as an integral aspect of quality of
life. Issues surrounding sexuality have also been acknowledged to have a great
impact on the quality of life of gynecologic cancer patients. OBJECTIVES: The
objectives of this study were to describe sexual function and sexual activity
among Chinese women after gynecologic cancer and to identify significant
predicting factors of women's sexuality outcome changes. METHODS: This is a
descriptive correlational study that was performed in mainland China. A sexuality
scale was used to assess women's sexuality outcomes. RESULTS: A total of 156
Chinese women who had gynecologic cancer were included in this study. Study
results revealed that sexual dysfunction was an important concern among these
women (62.2%). The rate of sexual inactivity (70.5%) was relatively high. Reasons
for sexual inactivity were related to worry about possibly weakening the potency
of treatment (46.5%), fear of cancer recurrence (41.1%), and lack of sexual
interest (31%). When looking at predictors of negative changes of women's
sexuality after cancer, this study found that older age (>50 years old) was
associated with the decrease of sexual desire/interest (odds ratio, 3.64;
confidence interval, 1.19-11.16). Women who received radiation therapy had more
severe vaginal dryness (odds ratio, 2.27; confidence interval, 1.10-4.72) and
were less sexually active than those who did not have radiation therapy.
CONCLUSION: Chinese women after gynecologic cancer suffered from various
sexuality concerns. IMPLICATIONS FOR PRACTICE: Considering the high rates of
sexual dysfunction and sexual inactivity, there is a need to integrate sexuality
into routine nursing care.
PMID- 22067685
TI - Male caregivers of patients with breast and gynecologic cancer: experiences from
caring for their spouses and partners.
AB - BACKGROUND: There is considerable evidence demonstrating the negative effects of
caregiving particularly in the areas of psychological well-being and quality of
life of family caregivers of patients with cancer. However, there is little work
on male caregivers' subjective experience of caring for family members with
cancer, and little is known on how caregivers experience the caring over time.
OBJECTIVE: The objective of the study was to explore male spouses'/partners'
experience of caring for their wives/partners with breast and gynecologic cancer
over a 1-year period. METHOD: An exploratory longitudinal qualitative descriptive
design using face-to-face interviews of 15 spouses/partners was used in this
study. Content analysis of the transcribed data was conducted to extract
significant categories and themes. RESULTS: Varying degrees of interrelated
cognitive, physical, and psychological impact were experienced by caregivers that
extended to 12 months. Gender-specific attitudes prevented male caregivers from
supporting their own self. Male caregivers dealt with problems that arose in the
caregiving congruent with their masculinity, such as minimizing disruptions,
focusing on tasks, and keeping their own stress to themselves. CONCLUSION: Male
caregivers as a separate group with their own needs have not received much
attention in the cancer literature, and their concerns and challenges may differ
from those of female caregivers. IMPLICATIONS FOR PRACTICE: Male caregivers'
concerns and challenges must be taken into consideration when planning
appropriate interventions to support them in their caregiving role.
PMID- 22067688
TI - Factors associated with self-care self-efficacy among gastric and colorectal
cancer patients.
AB - BACKGROUND: Many studies have demonstrated that self-efficacy plays a crucial
role in self-care. However, findings from previous studies indicate that the
relationships between self-efficacy and several variables are inconsistent.
OBJECTIVE: The purpose of this study was to assess the level of self-care self
efficacy among Chinese gastric and colorectal cancer patients and to identify the
demographic and disease-related, physical, psychological, and social factors
associated with their self-care self-efficacy. METHODS: One hundred forty-eight
cancer patients were recruited from hospitals in Shanghai and Shandong Province.
Their self-efficacy, health status, social support, and mental health were
assessed during face-to-face interview, using the Strategies Used by People to
Promote Health (Chinese version), Medical Outcome Study Short Form 36, Social
Support Questionnaire, and the Hospital Anxiety and Depression Scale. RESULTS:
The overall level of self-care self-efficacy was moderate (mean, 80.34). The
multiple regression analyses indicated that depression, physical functioning, use
of available social support, vitality, and profession were indicators of the
level of self-care self-efficacy and accounted for 60.9% of the variances.
CONCLUSION: Participants who had less depression, better physical function, more
social support, and higher vitality tended to have higher levels of self-care
self-efficacy. Depression levels had the largest influence on self-care self
efficacy. IMPLICATIONS FOR PRACTICE: Findings indicate that nurses may be able to
influence certain cancer patients' self-care self-efficacy by attending to
depression and other variables related to physical and social functioning.
PMID- 22067686
TI - Smoking cessation in hospital workers: effectiveness of a coordinated program in
33 hospitals in Catalonia (Spain).
AB - BACKGROUND: The Catalan Network of Smoke-free Hospitals coordinates a smoking
cessation program addressed to hospital workers. The program included training in
tobacco cessation, a common software, and free access to pharmacological
treatments. OBJECTIVE: This study aimed to evaluate the effectiveness of the
Catalan Network of Smoke-free Hospitals smoking cessation program for abstinence
among workers of the 33 participating hospitals. METHODS: A total of 930 hospital
workers (in 33 hospitals) attended the cessation units between July 2005 and
December 2007. The program included active follow-up during 6 months after
quitting. We calculated 6-month abstinence probabilities by means of Kaplan-Meier
curves according to gender, age, years of tobacco consumption, profession,
Fagerstrom Test for Nicotine Dependence (FTND) score, and use of pharmacotherapy.
RESULTS: Overall abstinence probability was 0.504 (95% confidence interval [CI]:
0.431-0.570) at 6 months of follow-up. Abstinence was higher in men (0.526, 95%
CI = 0.398-0.651) than in women (0.495, 95% CI = 0.410-0.581). Physicians had
higher abstinence (0.659, 95% CI = 0.506-0.811) than nurses (0.463, 95% CI =
0.349-0.576). Workers with high nicotine dependence (FTND > 7) had lower
abstinence probability (0.376, 95% CI = 0.256-0.495) than workers, with FTND
score equal or below 6 (0.529, 95% CI = 0.458-0.599). We observed the highest
abstinence probabilities in workers treated with combined pharmacotherapy (0.761,
95% CI = 0.588-0.933). CONCLUSION: Significant predictors of abstinence were
smoking 10 to 19 cigarettes/day, having present low or medium FTND score, and
using combined treatment (nicotine replacement therapy and bupropion).
IMPLICATIONS FOR PRACTICE: The results show the feasibility and success of a
smoking cessation program for hospital workers coordinated at the regional level.
PMID- 22067687
TI - Changes in siblings after the death of a child from cancer.
AB - BACKGROUND: Few studies have examined changes in siblings after the death of a
brother or sister, particularly from mother, father, and sibling perspectives
within the first year after death. OBJECTIVE: This descriptive study identified
and assessed the frequency of changes in siblings after a child's death from
cancer. METHODS: Participants were recruited from cancer registries at 3
hospitals in the United States and Canada 3 to 12 months after the child's death.
Thirty-six mothers, 24 fathers, and 39 siblings from 40 families were included.
Semistructured interviews using open-ended questions were conducted with each
parent and sibling separately in the home. Content analysis identified emerging
themes, and the McNemar tests compared frequencies between each paired set of
reports (sibling vs mother, sibling vs father, mother vs father). RESULTS: Sixty
nine percent of participants reported personal changes in siblings (eg, changes
in personality, school work, goals/life perspective, activities/interests). Forty
seven percent noted changes in siblings' relationships with family members and
peers. Only 21% of participants reported no changes attributed to the death.
Comparisons of frequencies across informants were not significant. CONCLUSIONS:
Most siblings experienced changes in multiple areas of their lives after the
death of a brother or sister to cancer. Some changes reflected siblings that were
positively adapting to the death, whereas other changes reflected difficulties.
IMPLICATIONS FOR PRACTICE: Our findings offer guidance to improve aftercare for
bereaved siblings and their families. Additional research is needed to further
delineate the needs of bereaved siblings and to develop strategies to promote
adaptation to loss.
PMID- 22067689
TI - Arab American women's lived experience with early-stage breast cancer diagnosis
and surgical treatment.
AB - BACKGROUND: Currently, limited literature addresses Arab American women's
responses to the impact of breast cancer and its treatments. OBJECTIVE: The
objective of the study was to understand the experience of being diagnosed with
and undergoing surgical treatment for early-stage breast cancer among Arab
American women. METHODS: A qualitative interpretive phenomenological research
design was used for this study. A purposive sample of 10 Arab American women who
were surgically treated for early-stage breast cancer in the United States was
recruited. Data were collected using individual interviews and analyzed using the
Heideggerian hermeneutical methodology. RESULTS: Arab American women accepted
breast cancer diagnosis as something in God's hands that they had no control
over. Although they were content with God's will, the women believed that the
diagnosis was a challenge that they should confront. The women confronted this
challenge by accessing the healthcare system for treatment, putting trust in
their physicians, participating when able in treatment decisions, using religious
practices for coping, maintaining a positive attitude toward the diagnosis and
the treatment, and seeking information. CONCLUSION: Arab American women's
fatalistic beliefs did not prevent them from seeking care and desiring treatment
information and options when diagnosed with breast cancer. IMPLICATIONS FOR
PRACTICE: It is important that healthcare providers encourage patients to express
meanings they attribute to their illness to provide them with appropriate
supportive interventions. They should also individually assess patients' decision
making preferences, invite them to participate in decision making, and provide
them with tailored means necessary for such participation without making any
assumptions based on patients' ethnic/cultural background.
PMID- 22067690
TI - Meaning in bone marrow transplant nurses' work: experiences before and after a
"meaning-centered" intervention.
AB - BACKGROUND: When a clinical culture emphasizes cure, as in bone marrow
transplantation (BMT) services, BMT nurses commonly experience enormous stress
when patients are suffering or dying. In this context, it is unclear what
meanings BMT nurses experience in their work and how they find meaning and
sustain hope, given conflicting responsibilities to patients. OBJECTIVE: This
study aimed to explore BMT nurses' experiences of meaning and hope and the
effects of a meaning-centered intervention (MCI) on these experiences using
qualitative methodology. METHODS: Fourteen BMT nurses engaged in a 5-session MCI,
with 7 members each participating in 2 groups. Semistructured qualitative
interviews were conducted at 1 month before and after the intervention.
Interpretive phenomenology guided data analysis. RESULTS: The BMT nurses in the
Princess Margaret Hospital experienced meaning in their involvement with their
patients' suffering. The MCI seemed to inspire participants to engage more with
patients and their suffering. Three subthemes reflected this influence: (a)
greater awareness of boundaries between their personal and professional
involvement, (b) enhanced empathy from an awareness of a shared mortality, and
(c) elevated hope when nurses linked patients' suffering with meaning.
CONCLUSIONS: This study confirms that patients' suffering constitutes nurses'
search for meaning and hope in their work. The MCI offers a way in which to
actively support nurses in this process. IMPLICATIONS FOR PRACTICE: Nurses can
learn to be more responsive to patients' suffering beyond limits of cure. A
minimal intervention, such as the MCI, supports BMT nurses in finding positive
personal meaning and purpose in their otherwise highly stressful work culture.
PMID- 22067691
TI - Sense of coherence and need for support among long-term survivors of childhood
cancer.
AB - BACKGROUND: The concept of sense of coherence (SOC) may be applied to explain
individuals' resources for dealing with the stressors confronted in daily life.
Little is known about what impact cancer in childhood may have on the development
of SOC. OBJECTIVE: The objectives of this study were to compare SOC between long
term survivors of childhood cancer and a comparison group and to explore the need
for current support among the survivors and the association between need for
support and SOC. METHODS: Data were collected from 224 long-term survivors aged
18 to 37 years using the 13-item SOC scale and interviews. A matched comparison
group (n = 283) randomly selected from the general population was included.
RESULTS: There was no significant difference in the mean SOC score between the
survivors and the comparison group. Twenty percent of the survivors reported a
need for support, a need significantly predicted by a low SOC, as well as surgery
and/or radiation treatment often in combination with chemotherapy. CONCLUSIONS:
Long-term survivors of childhood cancer seem to have resources to cope with
stressful situations in life to the same degree as people in general. Survivors
with fewer resources to cope and those having received a more intense treatment
were more likely to be in need of support. IMPLICATIONS FOR PRACTICE: The concept
of SOC in nursing practice may be helpful to identify and discuss an individual's
resources and impediments to health to better understand the need for support
among survivors of childhood cancer.
PMID- 22067692
TI - Comparing the meanings of fatigue in individuals with cancer in Thailand and
Canada.
AB - BACKGROUND: Illness is experienced in social contexts; its meaning is rooted in
local beliefs and values as well as one's personal and family situation. Health
professionals are steeped in knowledge of disease but know much less about
illness. OBJECTIVES: The objective of the study was to learn more about the
social construction of illness by comparing the meanings of fatigue in Thai and
Canadian individuals with cancer. METHODS: Using an ethnoscience design, 10 Thai
adults receiving chemotherapy for advanced lung cancer or colorectal cancer were
interviewed twice. They were asked to sort words and phrases about fatigue from
their first interview using Q-sort, triadic, and dyadic approaches; to name each
pile; and to describe the similarities and differences between the piles. The
card sorts were used to understand the relationships among key ideas in each
interview. A table summarizing the card sorts was constructed, and patterns in
the data were identified. RESULTS: Two segregates in the Thai data were
identified: essential/constant and intermittent, with essential/constant
including 2 segregates (feeble and altered cognition), and intermittent including
2 segregates (loss of mental strength and difficulty sleeping). The primary
meanings of fatigue in the Thai data were related to temporality, whereas the
primary meanings of fatigue in the Canadian data were related to the location of
the fatigue (mind/body). CONCLUSION: These findings help us understand the social
construction of fatigue, a common symptom in cancer. IMPLICATIONS FOR PRACTICE:
Further research in relation to links between fatigue and other related-symptoms
is needed with the goal of developing cross-cultural interventions for managing
fatigue in the future.
PMID- 22067693
TI - Invisibility: the lived experience of women with cancer of the vulva.
AB - BACKGROUND: This study describes an exploration of the lived experience of 13
British women with cancer of the vulva who underwent surgical treatment. A review
of the literature highlighted a paucity of knowledge as many studies were
conducted during the 1980s and 1990s and investigated sexual functioning only.
OBJECTIVE: An interpretive phenomenological approach based on the work of
Heidegger and van Manen was used to frame the study by posing the question, "What
must it be like to be diagnosed with, and have surgery for a cancer of the
vulva?" METHODS: The women, younger than 50 years, were identified by purposive
sampling and interviewed between 6 months and 5 years after surgery. The data
were analyzed using framework analysis. RESULTS: The women's lived experience is
described in its entirety by the concept of invisibility, characterized as
something "no one can see," "heard of," and "talks about." CONCLUSIONS: The
impact of this invisibility is discussed as the "invisibility of understanding"
and "invisibility of support." IMPLICATIONS FOR PRACTICE: Although many women may
expect to be cured of their vulval cancer, the invisible nature of the condition
and the resulting lack of understanding and support may continue for a
considerable period, as every aspect of their everyday life and in their
relationships may be affected. The challenge now is to raise awareness of this
condition and provide greater informational and emotional support for these
women.
PMID- 22067694
TI - Body image and its predictors in breast cancer patients receiving surgery.
AB - BACKGROUND: Negative body image may reduce patients' ability to cope with breast
cancer after surgery. OBJECTIVES: The purposes of this study were to (1) assess
breast cancer patients' perceived level of symptom distress, anxiety, depression,
disease impact, and body image and (2) evaluate factors associated with body
image in breast cancer patients during the postoperative period. METHODS: A cross
sectional and correlational design was used to collect data for this study,
conducted in northern Taiwan. A set of questionnaires was used to measure body
image, symptom distress, anxiety, depression, psychological impact of disease,
and demographic and disease-related information. Stepwise regression was
conducted to determine significant factors related to body image. RESULTS:
Surgical procedure and age were found to be important factors related to body
image concerns. Patient receipt of mastectomy and younger age were associated
with greater body image concerns. CONCLUSION: The average age of breast cancer
patients is declining in Taiwan, and body image problems in these patients are
growing. Several factors are significantly related to body image distress among
these patients. IMPLICATIONS FOR PRACTICE: By understanding variables associated
with breast cancer patients' body image, health professionals can coordinate
interventions to improve these women's body image. Among women with breast
cancer, those who have received mastectomy and those who are younger are
particularly vulnerable to body image concerns. Nursing assessment of body image
indicators and implementation of strategies to increase self-confidence and self
acceptance are needed for high-risk women.
PMID- 22067695
TI - Demographics, cancer-related factors, and sexual function in rectal cancer
patients in Taiwan: preliminary findings.
AB - BACKGROUND: Sexual function has been ignored because survival issues associated
with cancer treatment commonly take precedence over sexual issues. Sexual
dysfunction remains a recognized complication after cancer treatment despite
improvement in survival rates for patients with rectal cancer. OBJECTIVE: This
study investigated the relationships among demographics (ie, age, gender,
education, religion) and cancer-related factors (ie, stage of disease, type of
treatment, time since operation, comorbid conditions) and sexual function in
patients with rectal cancer. METHODS: A cross-sectional study with a convenience
sample of 120 rectal cancer patients from a medical center in southern Taiwan
completed the International Index of Erectile Function, Female Sexual Function
Index, a demographic questionnaire, and medical data during face-to-face
interviews. RESULTS: In both men and women, better sexual function was
significantly associated with younger age but not with religion, time since
operation, or number of chronic conditions. In men only, better sexual function
was associated with earlier stage of cancer, fewer cancer treatments, and higher
education. CONCLUSION: Sexual function may receive greater attention in Taiwan
when rectal cancer patients receive appropriate care. A larger diverse sample is
needed for further examination of sexual function over time. IMPLICATIONS FOR
PRACTICE: Health promotion programs for long-term survivors should include a
consistent assessment of sexual function before and after an operation, and
patients should receive clinical sexual counseling.
PMID- 22067696
TI - Effects of false-positive results in a breast screening program on anxiety,
depression and health-related quality of life.
AB - BACKGROUND: Decreased anxiety has been reported among women with false-positive
results in mammography screening programs. No long-term effects have been fully
demonstrated, and the findings for anxiety and depression are contradictory. Few
studies have addressed changes in health-related quality of life (HRQOL).
OBJECTIVE: The objective was to study the short- and long-term effects such as
changes in anxiety, depression, and HRQOL among women with false-positive
results. METHODS: With a longitudinal study design, data were collected on
anxiety and depression (Hospital Anxiety and Depression Scale) and HRQOL (Short
Form 36 [SF-36] Health Survey) among women with false-positive results before
screening, at recall, and at 3 and 6 months after screening. Controls (negative
results in screening) were measured before screening and at 6 months after.
RESULTS: Women with false-positive results (n = 128) showed increased anxiety at
recall (mean, 4.6 [SD, 3.7]) versus before screening (P = .04), but this
decreased until 6 months after screening. Depression was increased until 6 months
after screening (not statistically significant). Women with false-positive
results scored lower than did control subjects on general health (P = .02) and
mental health (P = .03) and higher on depression (P = .045) at 6 months after
screening. CONCLUSIONS: Efforts should be made to minimize anxiety at recall and
depression after screening. Further research is needed on the long-term effects
of recall and any effects on HRQOL. IMPLICATIONS FOR PRACTICE: Information about
the prevalence of false-positive results and time until unambiguous diagnostic
results should be improved. Information leaflet based on evidence needs to be
continually updated.
PMID- 22067697
TI - Prognostic items for the last 10 and 3 days of life of cancer patients at home.
AB - BACKGROUND: Prognostic indices are needed to optimize end-of-life care for cancer
patients at home, but few prognostic indices predict the last 10 days. OBJECTIVE:
The purpose of this study was to identify predictors for the last 10 and 3 days
of life in patients with lung, gastric, or colorectal cancer at home. METHODS:
Symptoms and signs were initially identified by literature review, and
questionnaire was developed. Evaluation of these items and identification of
additional items were then performed by 72 visiting nurses using the 3-round
Delphi approach. RESULTS: The evaluation of 31 third-round responses is reported.
The items for gastric and colorectal cancers were almost same; these cancers were
treated as gastrointestinal cancer. To predict the last 10 and 3 days, there were
6 and 0 specific items for lung cancer, respectively, and 5 and 13 specific items
for gastrointestinal cancer, respectively. There were 9 common items to predict
the last 10 days and 29 common items to predict the last 3 days. CONCLUSION: The
specific and common items that could be used to predict the last 10 and 3 days in
patients with lung or gastrointestinal cancer were identified. The prognostic
items for the last 3 days of life were more numerous among the gastrointestinal
cancers than those for the last 10 days. IMPLICATIONS FOR PRACTICE: Specific
prognostic items for each cancer are useful for visiting nurses to offer
individualized care to patients and families. Using the specific and common
prognostic items, end-of-life care may be improved.
PMID- 22067698
TI - From "no big deal" to "losing oneself": different meanings of mastectomy.
AB - BACKGROUND: Because of early detection and advanced treatment options, more women
with breast cancer survive after mastectomy and thus have to face the choice of
living with or without a reconstructed breast for many years to come. OBJECTIVE:
This article investigates these women's narratives about the impact of mastectomy
on their lives, as well as their reflections on breast reconstruction. METHODS:
Fifteen women were strategically chosen from a previous population-based study on
mastectomy. They were contacted for further exploration in thematic narrative
inspired interviews 4.5 years after mastectomy. RESULTS: Three types of
storylines were identified. In the first storyline, the mastectomy was described
as "no big deal"; losing a breast did not disturb the women's view of themselves
as women, and breast reconstruction was not even worth consideration. In the
second storyline, the women described the mastectomy as shattering their
identity. Losing a breast implied losing oneself as a sexual being, a woman, and
a person. The third storyline fell in between the other two; the sense of
femininity was wounded, but not to the extent that they felt lost as women.
CONCLUSION: Our findings suggest that the experience of mastectomy due to breast
cancer is very much individual and contextual. Losing a breast may be of minor or
major importance. IMPLICATIONS FOR PRACTICE: Healthcare practitioners should be
attentive to how the women themselves experience the personal meaning of losing a
breast and guard against vague preconceptions based on the breast-sexuality
femininity discourse and its connection to what the patient needs.
PMID- 22067699
TI - Challenging the distal-to-proximal cannulation technique for administration of
anticancer therapies: a prospective cohort study.
AB - BACKGROUND: Distal-to-proximal technique has been recommended for anticancer
therapy administration. There is no evidence to suggest that a 24-hour delay of
treatment is necessary for patients with a previous venous puncture proximal to
the administration site. OBJECTIVES: This study aims to identify if the practice
of 24-hour delay between a venous puncture and subsequent cannulation for
anticancer therapies at a distal site is necessary for preventing extravasation.
METHODS: A prospective cohort study was conducted with 72 outpatients receiving
anticancer therapy via an administration site distal to at least 1 previous
venous puncture on the same arm in a tertiary cancer center in Australia.
Participants were interviewed and assessed at baseline data before treatment and
on day 7 for incidence of extravasation/phlebitis. RESULTS: Of 72 participants
with 99 occasions of treatment, there was 1 incident of infiltration (possible
extravasation) at the venous puncture site proximal to the administration site
and 2 incidents of phlebitis at the administration site. CONCLUSION: A 24-hour
delay is unnecessary if an alternative vein can be accessed for anticancer
therapy after a proximal venous puncture. IMPLICATIONS FOR PRACTICE: Infiltration
can occur at a venous puncture site proximal to an administration site in the
same vein. However, the nurse can administer anticancer therapy at a distal site
if the nurse can confidently determine that the vein of choice is not in any way
connected to the previous puncture site through visual inspection and palpation.
PMID- 22067700
TI - Impact of health information-seeking behavior and personal factors on preferred
role in treatment decision making in men with newly diagnosed prostate cancer.
AB - BACKGROUND: Prostate cancer (PC) patients continue to have unmet information
needs at the time of diagnosis and are often unable to communicate their
preferences to physicians at the time of the treatment consultation. OBJECTIVE:
The objective of the study was to determine the impact of health information
seeking behavior (HISB) and personal factors on patients' preferred role in
treatment decision making (TDM). METHODS: Participants consisted of 150 men with
newly diagnosed PC seen at 2 urology clinics in western Canada. A survey
questionnaire was used to gather information on HISB, personal factors
influencing treatment choice, and decision control. RESULTS: More than 90% of the
participants reported a preference to play either an active or collaborative role
in TDM and having either an "intense" or "complementary" HISB. No significant
association was found between HISB and preferred role in TDM. Impact of treatment
on survival and urinary function and the urologist's recommendation were
identified as the 3 main factors influencing the treatment decision. CONCLUSIONS:
At the time of diagnosis, the majority of men want to be involved in TDM and have
access to information. Our findings suggest that the type and amount of
information men want to access are dependent on HISB. Assessing factors having an
impact on TDM may prove useful to guide patient-clinician treatment discussions.
IMPLICATIONS FOR PRACTICE: This survey provides clinicians with a method to
assess information and decision preferences of men with newly diagnosed PC and
factors having an influence on treatment choice.
PMID- 22067701
TI - High sero-prevalence of caseous lymphadenitis identified in slaughterhouse
samples as a consequence of deficiencies in sheep farm management in the state of
Minas Gerais, Brazil.
AB - BACKGROUND: Caseous lymphadenitis (CLA), caused by Corynebacterium
pseudotuberculosis, is one of the most important diseases of sheep and goats,
causing considerable economic losses for herd owners. RESULTS: We assessed the
seroprevalence of infection with C. pseudotuberculosis in 805 sheep from 23 sheep
farms that supply slaughterhouses in the state of Minas Gerais; we also analyzed
management practices that could be associated with CLA occurrence, used on these
and nearby farms that also supplied animals to the slaughterhouse (n = 60). The
serum samples for assaying CLA infection were taken at the slaughterhouse.
Frequency of infection with C. pseudotuberculosis was estimated at 43.7%, and
farm frequency was estimated at 100%. Management practices were analyzed through
a questionnaire. All farmers (60/60) had extensive/semi-extensive rearing system;
70.0% (42/60) identified sheep individually; 11.7% (7/60) had periodical
technical assistance; 41.7% (25/60) disinfected the facilities; 86.7% (52/60)
used barbed wire fences and did not implement adequate CLA control measures; only
11.7% (7/60) of breeders reported vaccination against C. pseudotuberculosis;
13.3% (8/60) took note of animals with clinical signs of CLA; 1.7% (1/60) opened
and sanitized abscesses, and isolated the infected animals; 10.0% (6/60) knew the
zoonotic potential of this disease and 1.7% (1/60) of the farmers culled animals
in case of recurrence of abscesses. CONCLUSIONS: It can be concluded that C.
pseudotuberculosis infection is widely spread in sheep flocks in Minas Gerais
state in Brazil and that there is a lack of good management measures and
vaccination, allowing transmission of this infectious agent throughout the
production network.
PMID- 22067702
TI - The effect of selenium enrichment on baker's yeast proteome.
AB - The use of regular yeast (RY) and selenium-enriched yeast (SEY) as dietary
supplement is of interest because the Nutritional Prevention of Cancer (NPC)
trial revealed that SEY but not RY decreased the incidence of prostate cancer
(PC). Using two-dimensional difference in gel electrophoresis (2D-DIGE)-tandem
mass spectrometry (MS/MS) approach, we performed proteomic analysis of RY and SEY
to identify proteins that are differentially expressed as a result of selenium
enrichment. 2D-DIGE revealed 96 candidate protein spots that were differentially
expressed (p<=0.05) between SEY and RY. The 96 spots were selected, sequenced by
LC/MS/MS and 37 proteins were unequivocally identified. The 37 identified
proteins were verified with ProteinProphet software and mapped to existing Gene
Ontology categories. Furthermore, the expression profile of 5 of the proteins
with validated or putative roles in the carcinogenesis process, and for which
antibodies against human forms of the proteins are available commercially was
verified by western analysis. This study provides evidence for the first time
that SEY contains higher levels of Pyruvate Kinase, HSP70, and Elongation factor
2 and lower levels of Eukaryotic Translation Initiation Factor 5A-2 and
Triosephosphate Isomerase than those found in RY.
PMID- 22067703
TI - Phosphorylatable short peptide conjugated low molecular weight chitosan for
efficient siRNA delivery and target gene silencing.
AB - Small interfering RNA (siRNA) has been widely investigated as a potential
therapeutic approach for diseases with genetic defects. However, its application
was greatly hampered by the rapid degradation and poor cellular uptake. Recently,
chitosan (CS) and its derivant have been considered as a promising siRNA
transporter with the advantages of low toxicity, good biodegradability and
biocompatibility. Chitosan of different molecular weight (Mw) and degrees of
deacetylation (DD) showed significantly varied target gene silencing efficacy,
and it is still not well clarified how these characteristics influence CS
mediated siRNA transfection. To compare the aspects of cell permeability and
intracellular unpacking of CS/siRNA complex on the effect of CS/siRNA
transfection. A radiolabeled siRNA, targeting firefly luciferase gene, was loaded
by chitosan of different molecular weight (varying from 2000 to 800,000 Da) and
subjected to the transfection against MDA-MB-231/Luc human breast cancer cell
line which stably expressed knocked in firefly Luciferase reporter gene.
Following transfection intracellular radioactivity was measured to represent cell
entrance ability of the CS/siRNA, while, luciferase activity in the cell lysate
was also determined to reflect target gene silencing effect. The results revealed
that although low molecular weight chitosan (LMWC) condensed siRNA has the
highest cell permeability of almost two folds of medium molecular weight chitosan
and lipofactamine, its target gene silencing effect is really low of almost eight
times less than lipofectamine. This conspicuous contradiction gave us the
hypothesis that LMWC generated more condensed CS/siRNA complex to facilitate cell
entrance but the tight electrostatic interaction probably limited intracellular
siRNA unpacking as well and unfavorably hindered target gene silencing as the
final consequence. To approve this hypothesis a phosphorylatable short peptide
conjugated LMWC was adopt to promote intracellular siRNA unpacking. Which was
demonstrated of perfect target gene knock down ability to the extent of being
even superior to lipofactamine 2000. In a conclusion, low molecular weight
chitosan has the great potential to be an ideal siRNA vehicle if the issue of
siRNA unpacking could be properly resolved.
PMID- 22067704
TI - Effect of androstenedione on the growth and meiotic competence of bovine oocytes
from early antral follicles.
AB - Medium that contains 17beta-estradiol has been reported to support in vitro
growth of bovine oocytes, isolated from early antral follicles, until the final
stage. The aim of this study was to determine the effects of androstenedione in
medium on such growing bovine oocytes. Oocyte-granulosa cell complexes were
collected from early antral follicles and cultured for 14 days in medium
supplemented with 17beta-estradiol (0, 10 and 100 ng/ml) or androstenedione (0,
10 and 100 ng/ml). The mean diameter of oocytes measured after seeding on the
culture substrate was 96.9 MUm (n = 191). Either steroid was necessary for
maintainance of the organization of oocyte-granulosa cell complexes over the 14
day culture period. In the 17beta-estradiol- or the androstenedione-supplemented
medium about 80% or 65%, respectively, of viable oocytes were recovered. In both
groups the increase in oocyte size was significant after 14 days. The in vitro
grown oocytes were cultured for a further 22-24 h for oocyte maturation; 13% and
30% of oocytes grown in the 10 and 100 ng/ml 17beta-estradiol-supplemented medium
reached metaphase II, respectively; more than 64% of oocytes grown in the
androstenedione-supplemented medium matured to metaphase II. These results show
that androstenedione, as 17beta-estradiol, can maintain the viability of bovine
oocyte-granulosa cell complexes and support the growth of oocytes, and that
androstenedione promotes the acquisition of oocyte meiotic competence efficiently
at a low dose.
PMID- 22067706
TI - Identifying weak linear features with the "coalescing shortest path image
transform".
AB - The detection of line-like features in images finds many applications in
microanalysis. Actin fibers, microtubules, neurites, pilis, DNA, and other
biological structures all come up as tenuous curved lines in microscopy images. A
reliable tracing method that preserves the integrity and details of these
structures is particularly important for quantitative analyses. We have developed
a new image transform called the "Coalescing Shortest Path Image Transform" with
very encouraging properties. Our scheme efficiently combines information from an
extensive collection of shortest paths in the image to delineate even very weak
linear features.
PMID- 22067705
TI - Genetic bases of stuttering: the state of the art, 2011.
AB - OBJECTIVE: The literature on the genetics of stuttering is reviewed with special
reference to the historical development from psychosocial explanations leading up
to current biological research of gene identification. SUMMARY: A gradual
progression has been made from the early crude methods of counting percentages of
stuttering probands who have relatives who stutter to recent studies using entire
genomes of DNA collected from each participant. Despite the shortcomings of some
early studies, investigators have accumulated a substantial body of data showing
a large presence of familial stuttering. This encouraged more refined research in
the form of twin studies. Concordance rates among twins were sufficiently high to
lend additional support to the genetic perspective of stuttering. More
sophisticated aggregation studies and segregation analyses followed, producing
data that matched recognized genetic models, providing the final 'go ahead' to
proceed from the behavior/statistical genetics into the sphere of biological
genetics. Recent linkage and association studies have begun to reveal
contributing genes to the disorder. CONCLUSION: No definitive findings have been
made regarding which transmission model, chromosomes, genes, or sex factors are
involved in the expression of stuttering in the population at large. Future
research and clinical implications are discussed.
PMID- 22067707
TI - The usefulness and feasibility of a screening instrument to identify psychosocial
problems in patients receiving curative radiotherapy: a process evaluation.
AB - BACKGROUND: Psychosocial problems in cancer patients are often unrecognized and
untreated due to the low awareness of the existence of these problems or
pressures of time. The awareness of the need to identify psychosocial problems in
cancer patients is growing and has affected the development of screening
instruments. This study explored the usefulness and feasibility of using a
screening instrument (SIPP: Screening Inventory of Psychosocial Problems) to
identify psychosocial problems in cancer patients receiving curative radiotherapy
treatment (RT). METHODS: The study was conducted in a radiation oncology
department in The Netherlands. Several methods were used to document the
usefulness and feasibility of the SIPP. Data were collected using self-report
questionnaires completed by seven radiotherapists and 268 cancer patients.
RESULTS: Regarding the screening procedure 33 patients were offered to consult a
psychosocial care provider (e.g. social worker, psychologist) during the first
consultation with their radiotherapist. Of these patients, 31 patients suffered
from at least sub-clinical symptoms and two patients hardly suffered from any
symptoms. Patients' acceptance rate 63.6% (21/33) was high. Patients were
positive about the content of the SIPP (mean scores vary from 8.00 to 8.88, out
of a range between 0 and 10) and about the importance of discussing items of the
SIPP with their radiotherapist (mean score = 7.42). Radiotherapists' perspectives
about the contribution of the SIPP to discuss the different psychosocial problems
were mixed (mean scores varied from 3.17 to 4.67). Patients were more positive
about discussing items of the SIPP if the radiotherapists had positive attitudes
towards screening and discussing psychosocial problems. CONCLUSIONS: The
screening procedure appeared to be feasible in a radiotherapy department. In
general, patients' perspectives were at least moderate. Radiotherapists
considered the usefulness and feasibility of the SIPP generally to be lower, but
their evaluations were mixed. A positive attitude to using screening instruments
like the SIPP needs to be encouraged among radiotherapists, as this may not only
improve the usefulness of a screening instrument, but also patients' satisfaction
with care. TRIAL REGISTRATION: ClinicalTrials.gov: NCT00859768.
PMID- 22067708
TI - A self-propelled biological process: Plk1-dependent, product-activated
feedforward mechanism.
PMID- 22067709
TI - Essential protein interactions within the replisome regulate DNA replication.
PMID- 22067710
TI - mTOR in podocyte function: is rapamycin good for diabetic nephropathy?
PMID- 22067718
TI - Viscoelastic properties of confined molecular layers.
AB - We study the viscoelastic properties of a film of n layers of spherical molecules
confined between two walls. We find that the dynamic response arises from two
competing contributions: the effective stiffness of n + 1 springs in series and
softening due to strain fluctuations. In particular, the latter are the origin of
the oscillatory behavior of the stiffness and the damping coefficient. The
dissipation is strongest at the minima of the stiffness; the inverse behavior may
occur for a modulated relaxation time. As a corollary we show that confined
molecular layers cannot be described as Maxwell fluids.
PMID- 22067717
TI - Colporrhaphy compared with mesh or graft-reinforced vaginal paravaginal repair
for anterior vaginal wall prolapse: a randomized controlled trial.
AB - OBJECTIVE: To report 2-year outcomes of a randomized controlled trial comparing
standard anterior colporrhaphy with reinforced vaginal paravaginal repair using
xenograft or synthetic mesh in women with symptomatic anterior vaginal wall
prolapse. METHODS: Women with stage II or greater anterior prolapse were randomly
assigned to three groups: anterior colporrhaphy, paravaginal repair with porcine
dermis, or polypropylene mesh. Outcomes of prolapse stage, quality of life,
degree of bother, and sexual symptoms were assessed by blinded examiners and
validated measures at 2 years. Anatomic failure was defined as anterior prolapse
at stage II or greater. Composite failure was defined as symptoms of "bulge" and
anterior prolapse at stage II or greater. Power calculations determined 33
participants per arm would detect a 40% difference in anatomic success between
standard and grafted repair. chi, Mann-Whitney U, and Student's t tests were used
for comparisons. RESULTS: Of the 99 participants enrolled, 78 (79%) completed a
minimum of 2-year follow-up. Those with mesh had a significantly lower anatomic
failure rate (18%) than both the porcine (46%, P=.015) and colporrhaphy groups
(58%, P=.002). All groups had statistically similar reductions in their prolapse
and urinary symptom subscale scores. Composite failure was not statistically
different between groups: 13% colporrhaphy, 12% porcine, and 4% mesh. Two
reoperations for anterior prolapse occurred in the porcine group. Mesh erosion
rates were 14% for the mesh group. CONCLUSION: Vaginal paravaginal repair with
polypropylene mesh has the lowest anatomic failure rate when compared with that
with xenograft and anterior colporrhaphy without differences in composite
failures. CLINICAL TRIAL REGISTRATION: ClinicalTrials.gov,
www.clinicaltrials.gov, NCT0139171. LEVEL OF EVIDENCE: I.
PMID- 22067716
TI - Effect of hysterectomy with ovarian preservation on ovarian function.
AB - OBJECTIVE: To prospectively estimate the risk for earlier ovarian failure among
women undergoing hysterectomy with ovarian preservation, as compared with women
of similar age without hysterectomy. METHODS: A prospective cohort study was
conducted among women aged 30 to 47 years undergoing hysterectomy without
bilateral oophorectomy (n=406) and women with intact uteri (n=465). Blood samples
and questionnaire data were obtained at baseline and annually for up to 5 years.
Hazard ratios (HR) for ovarian failure, defined as follicle-stimulating hormone
levels 40 international units/L or higher, were calculated using Cox proportional
hazards models. RESULTS: Ovarian failure occurred among 60 of the women with
hysterectomy and 46 of the women in the control group. Women undergoing
hysterectomy were at nearly a twofold increased risk for ovarian failure as
compared with women with intact uteri (HR 1.92, 95% confidence interval [CI] 1.29
2.86). The proportional hazards model further estimated that 14.8% of women with
hysterectomies experienced ovarian failure after 4 years of follow-up compared
with 8.0% of the women in the control group. Risk for ovarian failure was greater
for women who had a unilateral oophorectomy along with their hysterectomy (HR
2.93, 95% CI 1.57-5.49), but also it was significantly increased for women who
retained both ovaries (HR 1.74, 95% CI 1.14-2.65). CONCLUSION: Increased risk of
earlier ovarian failure is a possible consequence of premenopausal hysterectomy.
Although it is unresolved whether it is the surgery itself or the underlying
condition leading to hysterectomy that is the cause of earlier ovarian failure,
physicians and patients should take into account this possible sequela when
considering options for treatment of benign conditions of the uterus. LEVEL OF
EVIDENCE: II.
PMID- 22067719
TI - Managing heart failure: a critical appraisal of the literature.
AB - BACKGROUND: Preventing heart failure (HF) rehospitalizations requires examination
of evidence-based research, which may lead to opportunities to improve on care
transitions upon discharge from an acute care setting. This review was conducted
to identify current literature in HF and disease management without focusing
specifically on disease management programs. PURPOSE: The purpose of this study
was to conduct a systematic review of the literature to better understand how to
structure interventions for HF patients upon transition from the hospital to home
and to outline critical research gaps. CONCLUSION: Patients recently hospitalized
for HF or at high risk for HF decompensation should be considered for
comprehensive heart failure disease management (HFDM) and/or structured HF
interventions. Level 1 evidence demonstrated positive benefits from HFDM
programs, structured telephone support, and telemonitoring interventions as an
effective component of contemporary multidisciplinary HF management. CLINICAL
IMPLICATIONS: Based on the evidence from this critique, key features and
recommendations are provided. Also discussed is the State Action on Avoidable
Rehospitalizations program, which may provide acute care centers in Massachusetts
an opportunity to create an ideal transition home for HF patients.
PMID- 22067721
TI - Effects of social support and stressful life events on health-related quality of
life in coronary artery disease patients.
AB - OBJECTIVE: The objective of this study was to examine the effects of social
support and stressful life events on health-related quality of life (HRQoL) in
coronary artery disease (CAD) patients. METHODS: Five hundred sixty consecutive
patients with CAD attending cardiac rehabilitation program were invited to
participate in the study. Data on stressful life events, perceived social
support, and HRQoL were collected from the self-administered questionnaires,
Social Readjustment Rating Scale, Multidimensional Scale of Perceived Social
Support, and 36-Item Short Form Medical Outcome Questionnaire, respectively.
RESULTS: In male patients, multivariate linear regression analyses revealed that
physical domains of the HRQoL, specifically physical functioning, were associated
with clinical aspects of the CAD, such as New York Heart Association class and
angina pectoris class, and psychological domains of the HRQoL such as mental
health, energy/vitality, and social functioning were associated with social
characteristics such as stressful life events and perceived social support. In
women, both physical and psychological domains of the HRQoL were associated only
with social characteristics, especially with perceived social support.
CONCLUSION: Perceived social support and stressful life events have independent
significant effects on the HRQoL in CAD patients, especially in female patients.
When planning cardiac rehabilitation programs, special attention should be paid
to patients who experience high levels of stress and have low social support.
PMID- 22067720
TI - Biologic measures as epidemiological indicators of risk for the development of
hypertension in an African American adolescent population.
AB - Globally, the health disparity of hypertension is disproportionately greater
within the African American population and develops at an earlier age. Elevated
and continuous interaction of biologic measures during adolescence may be
precursors and indicators of risk for blood pressure changes and the subsequent
development of adult essential hypertension. The purpose of this study was to
describe (1) the prevalence of biologic measures of risk of hypertension,
specifically family history of hypertension, prehypertension, elevated salivary
cortisol, and hyperresponsive cortisol and cardiovascular reactivity, and (2) the
gender difference in the prevalence of biologic risk factors of hypertension.
SUBJECTS AND METHOD: This was an exploratory descriptive design with a nonrandom
purposive sample. Participants (N = 106) were high school, African American
adolescents, aged 14 to 18 years. Data, including family history of hypertension,
resting blood pressure, and blood pressure and cortisol levels before and after
induced physiologic stress by cold water hand immersion, were measured. RESULTS:
One hundred six African American participants (49 males and 57 females) completed
the study. Data described that 71% had a positive family history of hypertension.
Overall, the resting blood pressures were 120 mm Hg for systolic and 68 mm Hg for
diastolic. Forty-one percent of the patients had prehypertensive blood pressures;
86% had elevated cortisol; 49% had hyperresponsive blood pressure reactivity; and
35% had cortisol hyperresponsivity. Excluding ethnicity, 65% had 3 or more
biologic measures of risk of hypertension. Statistically significant gender
differences included male systolic pressure and number of males with
prehypertension. CONCLUSION: This study provides evidence of the high prevalence
of multiple physiologic biologic measures of risk of hypertension factors within
a vulnerable population. The continuous interaction of biologic measures over
time may increase the susceptibility and risk of essential hypertension
development and supports the development of appropriate physiologically based
behavioral interventions.
PMID- 22067722
TI - A comparison of the effect of glitazones on serum sialic acid in patients with
type 2 diabetes.
AB - Although management of hyperglycaemia represents one of the principal treatment
goals of diabetes therapy, the high incidence of cardiovascular (CV)
complications in diabetes also needs effective management. Therefore, the present
study was designed to determine and compare the effect of glitazones on serum
sialic acid (SSA), a known risk marker for CV disease, along with fasting plasma
glucose (FPG), glycohaemoglobin (HbA1-c) and blood lipids, in overweight,
previously only diet-treated patients with type 2 diabetes (n=60). The study was
conducted for a period of 12 months. Significant improvement in FPG and HbA1-c
were shown by both rosiglitazone (p<0.003 and p<0.001, respectively) and
pioglitazone (p<0.005 and p<0.001, respectively), compared with baseline, and
pioglitazone showed greater beneficial effects on other parameters monitored,
significantly reducing total cholesterol (TC) (p<=0.05). Both the drugs showed a
decrease in SSA and no significant differences were observed between the groups.
However, the decrease was significant only in the pioglitazone-treated group at
month 12 (p<=0.05), compared with baseline. A significant decrease in SSA by
pioglitazone indicates its greater cardioprotective effect compared with
rosiglitazone.
PMID- 22067723
TI - Association of diabetes with increased all-cause mortality following primary
percutaneous coronary intervention for ST-segment elevation myocardial infarction
in the contemporary era.
AB - BACKGROUND: We investigated the association between diabetes mellitus (DM) and
all-cause mortality in a large cohort of consecutive patients treated with
primary percutaneous coronary intervention (PPCI) in the contemporary era.
METHODS: We conducted a retrospective analysis of a single-centre registry of
patients undergoing PPCI for ST-segment elevation myocardial infarction (STEMI)
at a large regional PCI centre between 2005 and 2009. All-cause mortality in
relation to patient and procedural characteristics was compared between patients
with and without DM. RESULTS: Of 2586 patients undergoing PPCI, 310 (12%) had DM.
Patients with DM had a higher prevalence of multi-vessel coronary disease
(p<0.001) and prior myocardial infarction (p<0.001). Patients with DM were less
commonly admitted directly to the interventional centre (p=0.002). Symptom-to
balloon (p<0.001) and door-to-balloon time (p=0.002) were longer in patients with
DM. Final infarct-related-artery TIMI-flow grade was lower in patients with DM
(p=0.031). All-cause mortality at 30 days (p=0.0025) and 1 year (p<0.0001) was
higher in patients with DM. DM was independently associated with increased
mortality after multivariate adjustment for potential confounders. CONCLUSIONS:
Mortality remains substantially higher in patients with DM following reperfusion
for STEMI in comparison with those without diabetes, despite contemporary
management with PPCI. Greater co-morbidity, delayed presentation, longer times-to
reperfusion, and less optimal reperfusion may contribute to adverse outcomes.
PMID- 22067724
TI - The effects of rosiglitazone on myocardial triglyceride content in patients with
type 2 diabetes: a randomised, placebo-controlled trial.
AB - This was a nested sub-study of a randomised placebo-controlled trial of the
effect of 6 months of treatment with rosiglitazone added to existing therapy on
myocardial triglyceride (mTG) content in patients with type 2 diabetes (T2D) and
prevalent cardiovascular disease (CVD) or at least one additional risk factor.
The primary endpoint, mTG content, was measured with cardiac (1)H-magnetic
resonance spectroscopy. Of the 99 randomised participants selected for the
imaging sub-study, 49 (48%) had complete and interpretable spectroscopy data (age
= 58 years, duration of T2D = 9.5 years; 57% women and 69% non-white). There was
no significant change in mTG in either group (-0.1 +/- 0.6% and -0.05 +/- 0.8%
respectively) and the changes in mTG were not associated with changes in left
ventricular structure or function. Compared with placebo, treatment with
rosiglitazone for 6 months had no discernible effect on mTG or left ventricular
function in this population with long-standing diabetes and CVD.
PMID- 22067726
TI - Is genetic screening necessary for determining the possibility of venous
thromboembolism in cancer patients?
AB - OBJECTIVE: To determine the risk of an association with some genetic
polymorphisms involved in venous thromboembolism (VTE) gene variations (FVL, FV
H1299R, FII G20210A, MTHFR C677T, MTHFR A1298C, PAI-1 4G/5G, beta-fibrinogen -455
G -> A, FXIII Val34Leu and GpIIIa HPA-1a) in cancer patients. SUBJECTS AND
METHODS: Among 78 cancer patients, 28 who had proven first episode of VTE were
selected as the patient group, with 50 control samples selected from age-, sex-
and body mass index-matched healthy volunteers (healthy group). The differences
in frequency of genetic polymorphisms were found to be statistically
insignificant between these two groups. RESULTS: Logistic regression analysis
after adjustment for age, sex, smoking and hypertension showed no difference. The
screened mutations of these genes were not significantly associated with VTE
risk. CONCLUSION: There is no possible benefit from genetic screening tests
regarding VTE in cancer patients.
PMID- 22067725
TI - How can food extracts consumed in the Mediterranean and East Asia suppress
prostate cancer proliferation?
AB - We have developed a blend of food extracts commonly consumed in the Mediterranean
and East Asia, named blueberry punch (BBP), with the ultimate aim to formulate a
chemoprevention strategy to inhibit prostate cancer progression in men on active
surveillance protocol. We demonstrated previously that BBP inhibited prostate
cancer cell proliferation in vitro and in vivo. The purpose of this study was to
determine the molecular mechanism responsible for the suppression of prostate
cancer cell proliferation by BBP. Treatment of lymph node-metastasised prostate
cancer cells (LNCaP) and bone-metastasised prostate cancer cells (PC-3 and MDA
PCa-2b) with BBP (up to 0.8 %) for 72 h increased the percentage of cells at the
G0/G1 phase and decreased those at the S and G2/M phases. The finding was
supported by the reduction in the percentage of Ki-67-positive cells and of DNA
synthesis measured by the incorporation of 5-ethynyl-2'-deoxyuridine.
Concomitantly, BBP treatment decreased the protein levels of phosphorylated
retinoblastoma, cyclin D1 and E, cyclin-dependent kinase (CDK) 4 and 2, and pre
replication complex (CDC6 and MCM7) in LNCaP and PC-3 cells, whereas CDK
inhibitor p27 was elevated in these cell lines. In conclusion, BBP exerts its
anti-proliferative effect on prostate cancer cells by modulating the expression
and phosphorylation of multiple regulatory proteins essential for cell
proliferation.
PMID- 22067727
TI - Priorities and realities: addressing the rich-poor gaps in health status and
service access in Indonesia.
AB - INTRODUCTION: Over the past four decades, the Indonesian health care system has
greatly expanded and the health of Indonesian people has improved although the
rich-poor gap in health status and service access remains an issue. The
government has been trying to address these gaps and intensify efforts to improve
the health of the poor following the economic crisis in 1998. METHODS: This paper
examines trends and levels in socio-economic inequity of health and identifies
critical factors constraining efforts to improve the health of the poor.
Quantitative data were taken from the Indonesian Demographic Health Surveys and
the National Socio-Economic Surveys, and qualitative data were obtained from
interviews with individuals and groups representing relevant stakeholders.
RESULTS: The health of the population has improved as indicated by child
mortality decline and the increase in community access to health services.
However, the continuing prevalence of malnourished children and the persisting
socio-economic inequity of health suggest that efforts to improve the health of
the poor have not yet been effective. Factors identified at institution and
policy levels that have constrained improvements in health care access and
outcomes for the poor include: the high cost of electing formal governance
leaders; confused leadership roles in the health sector; lack of health inequity
indicators; the generally weak capacity in the health care system, especially in
planning and budgeting; and the leakage and limited coverage of programs for the
poor. CONCLUSIONS: Despite the government's efforts to improve the health of the
poor, the rich-poor gap in health status and service access continues. Factors at
institutional and policy levels are critical in contributing to the lack of
efficiency and effectiveness for health programs that address the poor.
PMID- 22067728
TI - Disseminated, eruptive molluscum contagiosum lesions in a psoriasis patient under
treatment with methotrexate and cyclosporine.
PMID- 22067729
TI - HER3 overexpression as an independent indicator of poor prognosis for patients
with curatively resected pancreatic cancer.
AB - OBJECTIVE: The association between human epidermal growth factor receptor 3
(HER3) overexpression and survival in patients with curatively resected
pancreatic cancer was investigated. METHODS: Tissue samples from 126 pancreatic
cancers without hematogenous or peritoneal metastases recovered from
macroscopically curative resection were fixed with formalin, embedded in paraffin
and subjected to immunohistochemical staining. Semiquantitative scores of zero
(no staining or staining in less than 10% of cancer cells), 1+, 2+, or 3+ were
assigned to each sample based on the intensity of staining. Scores of 2+ or 3+
were defined as HER3-positive staining, i.e., HER3 overexpression. RESULTS: HER3
overexpression was observed in 52 of the 126 tissue samples (41.3%). There were
no associations between HER3 overexpression and clinicopathological factors,
including tumor location, tumor size, tumor differentiation, T/N categories
according to the International Union against Cancer, and serum carbohydrate
antibody 19-9 level (CA19-9). Univariate analysis demonstrated the median
survival time of patients with HER3 overexpression was 37.2 months, while that of
patients with HER3-negative samples was 58.6 months (p = 0.008). HER3
overexpression, lymph node metastasis, and elevated serum CA19-9 level were
independent predictors of poor prognosis based on multivariate survival analysis.
CONCLUSION: A new prognostic predictor, HER3 overexpression, was identified for
resected pancreatic cancer.
PMID- 22067730
TI - Fusion tags: a collection of papers.
PMID- 22067732
TI - The role of pain treatment in managing the behavioural and psychological symptoms
of dementia (BPSD).
PMID- 22067733
TI - A guide to the use and care of vascular access devices in the palliative care
setting.
AB - There has been a steady increase in the number and range of vascular access
devices used in all areas of health care. With this increase there comes a
requirement that health professionals dealing with these devices be aware of the
range available, indications for use, and the most up to date guidance on the
care and maintenance procedures. Care of the device is paramount to preventing
complications. This article provides an overview of the types of venous access
devices that may be encountered when a patient is transferred to palliative care
services, as well as of the procedures for routine maintenance.
PMID- 22067734
TI - Development and evaluation of the Pain Assessment in the Communicatively
Impaired (PACI) tool: part II.
AB - Pain is a common symptom for long-term care residents, particularly those in need
of palliative care. However, pain assessment in residents who have communication
limitations is challenging. A study was conducted with the aim of developing a
pain assessment tool that could feasibly be used by direct care providers in long
term care with minimal training yet demonstrating strong psychometric properties.
The study used both qualitative and quantitative methods to develop and test the
Pain Assessment in the Communicatively Impaired (PACI) tool. Part I of this paper
reported on the development phase; this second part reports on the test results.
The validity and reliability results of the PACI tool were acceptable, and the
convergent validity was moderately strong. A moderate level of interobserver
agreement was evident, with kappas ranging from 0.46 to 0.63 for the individual
items and a kappa score of 0.59 for the total tool score. The overall results of
this study support the psychometric properties and feasibility of the PACI tool,
offering preliminary support for its use in clinical practice.
PMID- 22067735
TI - The practice of withholding and withdrawing life-support measures among patients
with cancer in Jordan.
AB - In Jordan, an Arabic Islamic country, decisions around withholding and/or
withdrawing life support measures still present both moral and professional
dilemmas. The purpose of this study was to explore the use of such measures among
patients with cancer in Jordan. The medical records of 436 patients with cancer
who were at least 18 years old and who died at a specialized cancer centre in
Jordan in 2008 were reviewed retrospectively. Of those, 212 (48.6%) had a written
decision to withhold or withdraw life support measures. Among the 436 charts
reviewed, only 7 patients (1.6%) had treatment withdrawn, while 212 (48.6%) had
treatment withheld. Resuscitation was the most common treatment withheld,
followed by medication, and poor prognosis was the most common reason for the
decision. The time period between a decision being made and the death of the
patient was short, indicating that treatment plans are not being made well in
advance. A cultural and religious consensus regarding such decisions is needed to
help ensure that a greater proportion of terminally ill people plan their care in
advance, and to aid health-care providers in advising their patients and acting
in the patients' best interests.
PMID- 22067736
TI - Student nurses' experience of their first death in clinical practice.
AB - BACKGROUND: It is important to understand how student nurses might feel when
confronted with a dying patient, so that appropriate support and education can be
offered prior to their placement. This paper aimed to explore student nurses'
experiences of their first death in clinical practice to help identify
educational, training, and support needs. METHODOLOGY: A qualitative
phenomenological approach was adopted and data was collected using a focus group
involving five student nurse participants. FINDINGS: The data analysis revealed
four themes: the emotional influence of death, skills required, the role of the
mentor, and relationships. The students' experiences of their first death in
clinical practice caused them considerable anxiety, and they reported feeling
inadequately prepared for the reality of the situation. CONCLUSIONS:
Educationalists and clinicians alike should give much consideration to finding
teaching and learning methods that are appropriate to this challenging subject
and that more effectively prepare students for what they might encounter.
PMID- 22067737
TI - Discussing life story, forgiveness, heritage, and legacy with patients with life
limiting illnesses.
AB - AIM: To explore the perceptions that individuals with life-limiting illnesses
have about their lives, both positive and negative, and their messages to future
generations. METHODS: A preparation and life completion intervention (Outlook)
was assessed for acceptability and feasibility in an Australian palliative care
setting. This paper reports a qualitative analysis of the participants' responses
to the intervention. The intervention sessions were audiotaped, transcribed, and
analysed using interpretative phenomenological analysis. RESULTS: Eleven
participants were recruited from inpatient and outpatient hospital and hospice
settings. Three overarching themes were identified: life review, current
situation, and legacy/principles. CONCLUSIONS: The intervention provided insights
into individual palliative care patients' sense of self, views of their current
situation, hopes, and how they would like to be remembered.
PMID- 22067740
TI - Transcriptional regulation of type I interferon gene expression by interferon
regulatory factor-3 in Japanese flounder, Paralichthys olivaceus.
AB - Type I interferon (IFN) induces the antiviral response in innate immunity. The
type I IFN gene cloned from Japanese flounder (Paralichthys olivaceus) has a
length of 1189 bp and consisting of 5 exons and 4 introns. In a phylogenetic tree
of type I IFNs, Japanese flounder grouped with other Acanthopterygii. To gain
insight into the transcriptional regulation of IFN gene, the 1.36 kb 5'-upstream
region including numerous canonical motifs to bind transcription factors [for
example, IFN regulatory factor (IRF)] was analyzed. In HINAE cells using a
luciferase reporter assay, poly I:C-responsive transcriptional activity was found
in the region from -634 to -179 bp. This region includes several IRF motifs. In
the presence of poly I:C, overexpression of IRF3 and RLR strongly enhanced
transcriptional activity. These results suggest that the transcriptional
regulation of Japanese flounder type I IFN is regulated by IRF3 after triggering
with dsRNA sensors.
PMID- 22067741
TI - Yeast-surface expressed BVDV E2 protein induces a Th1/Th2 response in naive T
cells.
AB - Yeast species such as Saccharomyces cerevisiae are known to be potent activators
of the immune system. S. cerevisiae activates the innate immune system by
engaging pattern recognition receptors such as toll like receptor 2 (TLR2) and
dectin-1. In the current project, we express the immunogenic envelope protein E2
of bovine viral diarrhoea virus (BVDV) on the surface of S. cerevisiae. After
successful expression, components of the innate and adaptive immune response
induced by the recombinant S. cerevisiaein vitro were analysed to determine if
expression in yeast enhances the immunogenicity of the viral protein. Recombinant
S. cerevisiae stimulated production of the chemokine CXCL-8 in primary bovine
macrophages, but did no stimulate production of reactive oxygen species (ROS) in
the same cells. Additionally, bovine macrophages primed with S. cerevisiae
expressing viral envelope proteins had a greater capacity for stimulating
proliferation of CD4+ T-cells from BVDV-free animals compared to macrophages
primed with envelope protein alone or S. cerevisiae without envelope protein
expression. Heat inactivation of recombinant S. cerevisiae increased ROS
production and capacity to stimulate CD4+ T-cells in macrophages but did not
alter CXCL-8 release compared to the live counter-part. Additionally, heat
inactivation of recombinant S. cerevisiae induced less INFgamma and IL-4 but
equal amounts of IL-10 compared to live yeast T-cell cultures. Our studies
demonstrate a use for S. cerevisiae as a vehicle for transporting BVDV vaccine
antigen to antigen-presenting cell in order to elicit cell-mediated immunity even
in naive animals.
PMID- 22067742
TI - Health status, infection and disease in California sea lions (Zalophus
californianus) studied using a canine microarray platform and machine-learning
approaches.
AB - Conservation biologists face many challenges in assessing health, immune status
and infectious diseases in protected species. These challenges include
unpredictable sample populations, diverse genetic and environmental backgrounds
of the animals, as well as the practical, legal and ethical issues involved in
experimentation. The use of whole genome scale transcriptomics with animal
samples obtained in a minimally invasive manner is an approach that shows promise
for health assessment. In this study we assessed the utility of a microarray to
identify changes in gene expression predictive of health status by interrogating
blood samples from California sea lions (Zalophus californianus) in
rehabilitation. A custom microarray was developed from the commercially available
dog microarray (Canis familiaris) by selecting probes that demonstrated reliable
cross-hybridization with RNA in sea lion blood. This custom microarray was used
for the analysis of RNA from 73 sea lion blood samples, from animals with a broad
spectrum of health changes. Both traditional classifying techniques and newer
artificial neural network approaches correctly classified sea lions with respect
to health status, primarily distinguishing between leptospirosis infection and
domoic acid exposure. Real time PCR validation for a small set of genes, followed
by sequencing, showed good correlation with array results and high identity (96
98%) between the dog and sea lion sequences. This approach to health status
classification shows promise for disease identification in a clinical setting,
and assessment of health status of wildlife.
PMID- 22067743
TI - Myocardial perfusion imaging with (99 m)Tc-tetrofosmin SPECT in breast cancer
patients that received postoperative radiotherapy: a case-control study.
AB - PURPOSE: To evaluate the cardiac toxicity of radiotherapy (RT) in breast cancer
(BC) patients employing myocardial perfusion imaging (MPI) with Tc-99 m
Tetrofosmin-single photon emission computer tomography (T-SPECT). MATERIALS AND
METHODS: We studied 46 BC female patients (28 patients with left and 18 patients
with right BC) treated with postoperative RT compared to a control group of 85
age-matched females. The median time of RT to SPECT was 40 months (6-263).
RESULTS: Abnormalities in the summed stress score (SSS) were found in 54% of left
BC patients, 44.4% of right BC patients, and 32.9% of controls. In left BC
patients there were significantly more SSS abnormalities compared to controls
(4.0 +/- 3.5 vs 2.6 +/- 2.0, p = 0.05) and possible trend of increased
abnormalities of right BC patients (3.7 +/- 3.0 vs 2.6 +/- 2.0, p = 0.14).
Multiple regression analysis showed more abnormalities in the MPI of left BC
patients compared to controls (SSS, p = 0.0001); Marginal toxicity was also noted
in right BC patients (SSS, p = 0.045). No additional toxicity was found in
patients that received adjuvant cardiotoxic chemotherapy. All T-SPECT
abnormalities were clinically silent. CONCLUSION: The study suggests that
radiation therapy to BC patients result in MPI abnormalities but without apparent
clinical consequences.
PMID- 22067745
TI - Assessment of the viability of embryos stored in liquid nitrogen produced
commercially using culture medium as a complementary test for stereoscopic
microscopy.
AB - Summary The objective of the present study was to evaluate the viability of
frozen embryos obtained from various private farmers in a culture medium for 4 h.
Forty-seven embryos were used that had been previously graded as good and fair.
These embryos were evaluated using stereoscopic microscopy by experienced
clinicians prior to freezing. Embryos were divided in two groups: the non
cultured group, made up of six good quality embryos, and five fair; and the
cultured group that consisted of 20 good quality embryos and 16 fair. Fifty-four
per cent of the good quality embryos achieved a favourable development during
culture whereas just 42% of embryos determined to be fair were observed to have
adequate development. This evaluation was undertaken by serial photographs
obtained at the onset of culture and 4 h later. This finding was corroborated by
a more specific technique: terminal deoxynucleotide transferase dUTP nick end
labelling-bromodeoxyuridine (TUNEL-BrdU). These results are indicative of the
necessity of tight quality controls for commercially produced frozen embryos, as
once thawed it is unlikely that clinicians will examine them to determine their
physiological status prior to transfer.
PMID- 22067744
TI - The interaction between MYB proteins and their target DNA binding sites.
AB - Members of the MYB family of transcription factors are found in all eukaryotic
lineages, where they function to regulate either fundamental cellular processes,
or specific facets of metabolism or cellular differentiation. MYB transcription
factors regulate these processes through modulation of transcription at target
genes, to which they bind in a sequence-specific manner. Over the past decades,
insights have been gained into the molecular interactions between MYB proteins
and their cognate DNA targets. This review focuses on those insights, the
emergence of common themes in DNA binding by diverse MYB family members. The
review also considers gaps in the current knowledge of MYB-DNA interactions,
particularly for plant MYB proteins, and how emerging techniques that examine
protein-DNA interactions can fill these gaps.
PMID- 22067746
TI - GH11 xylanases: Structure/function/properties relationships and applications.
AB - For technical, environmental and economical reasons, industrial demands for
process-fitted enzymes have evolved drastically in the last decade. Therefore,
continuous efforts are made in order to get insights into enzyme
structure/function relationships to create improved biocatalysts. Xylanases are
hemicellulolytic enzymes, which are responsible for the degradation of the
heteroxylans constituting the lignocellulosic plant cell wall. Due to their
variety, xylanases have been classified in glycoside hydrolase families GH5, GH8,
GH10, GH11, GH30 and GH43 in the CAZy database. In this review, we focus on GH11
family, which is one of the best characterized GH families with bacterial and
fungal members considered as true xylanases compared to the other families
because of their high substrate specificity. Based on an exhaustive analysis of
the sequences and 3D structures available so far, in relation with biochemical
properties, we assess biochemical aspects of GH11 xylanases: structure, catalytic
machinery, focus on their "thumb" loop of major importance in catalytic
efficiency and substrate selectivity, inhibition, stability to pH and
temperature. GH11 xylanases have for a long time been used as biotechnological
tools in various industrial applications and represent in addition promising
candidates for future other uses.
PMID- 22067748
TI - Oral contraceptive and progestin-only use correlates to tissue tumor marker
expression in women with cervical intraepithelial neoplasia.
AB - BACKGROUND: The study was conducted to investigate correlations between combined
oral contraceptive (COC), any progestin-only contraceptive, medicated
intrauterine device (MID) or systemic progestin-only (Syst-P) use and tumor
marker expression in cervical intraepithelial neoplasia compared to nonusers.
STUDY DESIGN: One-hundred ninety-five women of fertile age with cervical biopsies
ranging histologically from normal epithelium to carcinoma in situ were recruited
consecutively. Combined oral contraceptive, Syst-P and MID users were
investigated according to the expression of 11 tumor markers. RESULTS:
Overexpression of cyclooxygenase-2 (Cox-2) was observed in COC users, while
interleukin 10 was underexpressed. When users of progestogen-only contraceptives
were analyzed, there was a lower expression of cytokeratin 10 and interleukin 10.
When only MID users were analyzed, a high expression of p53 was found. Expression
of Cox-2, p53 and retinoblastoma protein differed between COC and MID users.
CONCLUSION: The study showed molecular alterations, which, in general, have not
been studied previously in COC users and have never been studied in progestogen
only users. These biological events might be involved in epidemiological
correlations found between hormonal contraceptive use and cervical neoplasms.
PMID- 22067747
TI - Secretory phospholipase A2 pathway in various types of lung injury in neonates
and infants: a multicentre translational study.
AB - BACKGROUND: Secretory phospholipase A2 (sPLA2) is a group of enzymes involved in
lung tissue inflammation and surfactant catabolism. sPLA2 plays a role in adults
affected by acute lung injury and seems a promising therapeutic target.
Preliminary data allow foreseeing the importance of such enzyme in some critical
respiratory diseases in neonates and infants, as well. Our study aim is to
clarify the role of sPLA2 and its modulators in the pathogenesis and clinical
severity of hyaline membrane disease, infection related respiratory failure,
meconium aspiration syndrome and acute respiratory distress syndrome. sPLA2 genes
will also be sequenced and possible genetic involvement will be analysed.
METHODS/DESIGN: Multicentre, international, translational study, including
several paediatric and neonatal intensive care units and one coordinating
laboratory. Babies affected by the above mentioned conditions will be enrolled:
broncho-alveolar lavage fluid, serum and whole blood will be obtained at definite
time-points during the disease course. Several clinical, respiratory and outcome
data will be recorded. Laboratory researchers who perform the bench part of the
study will be blinded to the clinical data. DISCUSSION: This study, thanks to its
multicenter design, will clarify the role(s) of sPLA2 and its pathway in these
diseases: sPLA2 might be the crossroad between inflammation and surfactant
dysfunction. This may represent a crucial target for new anti-inflammatory
therapies but also a novel approach to protect surfactant or spare it, improving
alveolar stability, lung mechanics and gas exchange.
PMID- 22067749
TI - Comment on: Li HW, Wong CY, Yeung WS, Ho PC, Ng EH. Serum anti-Mullerian hormone
level is not altered in women using hormonal contraceptives. Contraception
2011;83:582-5.
PMID- 22067751
TI - Women's preferences for pain control during first-trimester surgical abortion: a
qualitative study.
AB - BACKGROUND: To explore women's preferences for pain control during first
trimester surgical abortion. STUDY DESIGN: Pre- and postoperative semistructured
individual interviews were conducted with 40 women divided into eight strata by
anesthesia choice, age and prior vaginal delivery status. We identified key
themes in the interviews and analyzed baseline characteristics and responses to
close-ended questions within and across the strata. RESULTS: For most women, pain
control options were not the primary concern in choosing a clinic for an
abortion. Women who received intravenous (IV) sedation had lower pain scores and
were more likely to report that they would recommend that method to a friend than
women who received local anesthesia alone. Women described the pain as a
cramping, tugging, pulling and scraping sensation. Respondents recommended IV
sedation for a woman who was very anxious or could not tolerate pain, even though
more nausea and vomiting may occur. CONCLUSIONS: Most women felt that pain
control decisions should be individualized.
PMID- 22067752
TI - Development of a liquid chromatography-tandem mass spectrometry method for
measuring plasma and uterine tissue levels of indomethacin in rabbits treated
with indomethacin-medicated Cu-IUDs.
AB - BACKGROUND: Some side effects of copper intrauterine devices (Cu-IUDs) including
increased uterine bleeding, pelvic infections and pain have been reported. The
presence of indomethacin in such devices was found to be effective in relieving
the symptoms. The aim of this study was to develop and validate a rapid and
sensitive liquid chromatography-tandem mass spectrometric (LC-MS/MS) method for
measuring plasma and uterine tissue levels of indomethacin in rabbits after
insertion of indomethacin-medicated Cu-IUDs. STUDY DESIGN: Indomethacin was
extracted from rabbit plasma and uterine tissue by a simple protein precipitation
using acetonitrile. Chromatographic separation was carried out on a Diamonsil
C(18) column (150*4.6 mm ID, 5 MUm) with an isocratic mobile phase consisting of
methanol, acetonitrile, water and formic acid (45:45:10:0.5, v/v/v/v). Mass
spectrometric detection was achieved by a triple-quadrupole mass spectrometer
equipped with an atmospheric pressure chemical ionization interface operating in
positive ionization mode. Quantitation was performed using selective reaction
monitoring mode. The LC-MS/MS method was validated with respect to selectivity,
linearity, precision, accuracy, extraction recovery and stability, and then
applied to the in vivo studies of indomethacin in rabbits treated with
indomethacin-medicated Cu-IUDs. RESULTS: The linear calibration curves of
indomethacin in plasma and uterine tissue were obtained over the concentration
range of 2.0-400 ng/mL and 4.0-800 ng/mL, respectively. The intra- and interday
precision was less than 9.5%, and the relative error was within +/-5.3%. After
insertion of indomethacin-medicated Cu-IUDs in rabbits, indomethacin was rapidly
absorbed, and peak plasma levels were reached in approximately 1 h. A multiphasic
elimination pattern was observed, which was a rapid decline in plasma
concentration during the first 100 h followed by a very slow clearance phase. The
uterine indomethacin levels were significantly higher than simultaneous plasma
levels. CONCLUSIONS: The developed method proved to be rapid and sensitive, and
was successfully applied to investigate the absorption and uterine distribution
of indomethacin in rabbits after insertion of indomethacin-medicated Cu-IUDs.
PMID- 22067753
TI - The copper IUD for emergency contraception, a neglected option.
PMID- 22067754
TI - Extended regimens of the contraceptive vaginal ring versus hormonal oral
contraceptives: effects on lipid metabolism.
AB - BACKGROUND: Combined oral contraceptives used in an extended regimen have been
studied because of their potential benefits; however, there have been few
publications on extended regimens of contraceptive vaginal rings. The aim of this
study was to assess the effects of these two extended regimens on the lipid
metabolism of women using these contraceptive methods during 1 year. STUDY
DESIGN: This prospective study enrolled 150 women: 75 used a vaginal
contraceptive ring (11.7 mg etonogestrel and 2.7 mg ethinyl estradiol), and 75
used oral contraceptives (30 mcg ethinyl estradiol and 150 mg desogestrel). Both
groups used their respective method for 84 days followed by a 7-day pause during
1 year. At baseline and every 3 months during the study period, blood was
collected to assess total cholesterol, high-density lipoprotein cholesterol, low
density lipoprotein cholesterol, triglycerides and apolipoprotein (apo) A-I and
B. The analysis of variance test was used to analyze differences in the results
of these exams over time. RESULTS: A total of 62 vaginal ring and 61 oral
contraceptive users completed the study. There were no significant differences in
the discontinuation rate, mean total cholesterol and fraction levels, apo B
concentration or apo A-I/apo B ratio. Vaginal ring users had significantly higher
apo A-1 levels than oral contraceptive users. CONCLUSION: Despite the vaginal
route of administration, the steroids released by the ring had the same effects
on the lipid metabolism and lipoprotein levels typically seen with ethinyl
estradiol given either by oral or parenteral routes.
PMID- 22067755
TI - Controversies in family planning: management of second-trimester pregnancy
terminations complicated by placenta accreta.
PMID- 22067756
TI - Assessment of significant factors affecting acceptability of home administration
of misoprostol for medical abortion.
AB - BACKGROUND: Knowledge on factors affecting acceptability of medical abortion with
mifepristone followed by home administration of misoprostol is scarce. The
objective of this study was therefore to assess factors affecting acceptability
and experience of home use of misoprostol for medical abortion up to 63 days'
gestation. STUDY DESIGN: Prospective observational study with acceptability
assessed through questionnaires. Factors affecting acceptability were analyzed
using multivariate logistic regression. RESULTS: A total of 395 women were
included. Independent factors for acceptability were having a partner/friend
present and having a positive low-sensitivity urine hCG at follow-up, although
most of these patients had successful abortions. Age, gestational length and
requirement of extra pain medication did not affect acceptability. CONCLUSION:
Home administration of misoprostol is highly acceptable. Increasing the number of
complete abortions should be a focus of future research. Women should be
encouraged to have a partner/friend present during home administration of
misoprostol.
PMID- 22067757
TI - Oral contraceptive formulation and risk of breast cancer.
AB - BACKGROUND: While evidence on the association between oral contraceptive (OC) use
and breast cancer generally suggests little or no increased risk, the question of
whether breast cancer risk varies by OC formulation remains controversial. Few
studies have examined this issue because large samples and extensive OC histories
are required. STUDY DESIGN: We used data from a multicenter, population-based,
case-control investigation. Women aged 35-64 years were interviewed. To explore
the association between OC formulation and breast cancer risk, we used
conditional logistic regression to derive adjusted odds ratios, and we used
likelihood ratio tests for heterogeneity to assess whether breast cancer risk
varied by OC formulation. Key OC exposure variables were ever use, current or
former use, duration of use and time since last use. To strengthen inferences
about specific formulations, we restricted most analyses to the 2282 women with
breast cancer and the 2424 women without breast cancer who reported no OC use or
exclusive use of one OC. RESULTS: Thirty-eight formulations were reported by the
2674 women who used one OC; most OC formulations were used by only a few women.
We conducted multivariable analyses on the 10 formulations that were each used by
at least 50 women and conducted supplemental analyses on selected formulations of
interest based on recent research. Breast cancer risk did not vary significantly
by OC formulation, and no formulation was associated with a significantly
increased breast cancer risk. CONCLUSIONS: These results add to the small body of
literature on the relationship between OC formulation and breast cancer. Our data
are reassuring in that, among women 35-64 years of age, we found no evidence that
specific OC formulations increase breast cancer risk.
PMID- 22067759
TI - Feasibility of postpartum placement of the levonorgestrel intrauterine system
more than 6 h after vaginal birth.
AB - BACKGROUND: The objective of this study was to determine the feasibility of
postpartum levonorgestrel intrauterine system (LNG-IUS) placement on the
postpartum ward. STUDY DESIGN: This case-series study took place in a teaching
hospital in North Carolina. Women were followed for 6 months, and data on method
satisfaction, study design satisfaction and expulsion were collected. Descriptive
statistics were used. RESULTS: Forty women enrolled. Twenty-nine women (73%)
received the LNG-IUS at a median of 20 h (range 7-48 h) after delivery, and all
reported that they would recommend this method of contraception to a friend.
Eleven women had a spontaneous expulsion (38%; 95% confidence interval 21, 58).
CONCLUSION: Placement of LNG-IUS more than 6 h postpartum was acceptable to women
in this study. The expulsion rate of 38% had statistical instability and should
be interpreted with caution. However, our report may assist with individual
counseling of women interested in postpartum LNG-IUS placement, or in future
study designs.
PMID- 22067758
TI - A randomized controlled trial of subantimicrobial-dose doxycycline to prevent
unscheduled bleeding with continuous oral contraceptive pill use.
AB - BACKGROUND: Unscheduled bleeding is the main side effect of continuous oral
contraceptive pills (OCPs) and has been correlated with the up-regulation of
matrix metalloprotineases (MMPs). The study objective was to determine if
prophylactic administration of doxycycline (an MMP inhibitor at low
subantimicrobial doses) would prevent unscheduled bleeding during the initiation
of a continuous OCP. STUDY DESIGN: Subjects using cyclic hormonal contraceptives
(combined OCPs, patch or ring) without unscheduled bleeding were switched to
continuous OCPs (20 mcg ethinyl estradiol/100 mcg levonorgestrel). They were
randomized to receive daily doxycycline [sustained-release subantimicrobial dose
(40 mg daily)] or placebo for the first 84 days and then observed for an
additional 28 days on the continuous OCP alone. The number of bleeding/spotting
days and the time in days it took to achieve amenorrhea were compared using a t
test. RESULTS: Sixty-five subjects were randomized. Although the use of
doxycycline did not significantly decrease the number of mean bleeding/spotting
days in the first 84 days of the study [doxycycline 14.75 (SE 2.30), placebo
17.78 (2.31), p=.36], women who received doxycycline had a significantly earlier
onset of amenorrhea [mean last day of bleeding/spotting doxycycline 61.7 (7.7),
placebo 85.2 (6.7), p=.03]. CONCLUSION: The coadministration of subantimicrobial
dose doxycycline during initiation of continuous OCPs results in a significant
reduction in the length of time needed to achieve amenorrhea.
PMID- 22067760
TI - Associations between recent contraceptive use and quality of life among women.
AB - BACKGROUND: Whether contraception affects health-related quality of life (HRQoL)
is unclear. STUDY DESIGN: We conducted a cross-sectional analysis of routine
intake data collected from women aged 18-50 years, including the RAND-36
(Research and Development Corporation) measure of HRQoL, pregnancy intentions and
recent contraceptive use. We used multivariable logistic regression to test the
relationship between HRQoL and use of any and specific contraceptives. Physical
and mental HRQoLs were dichotomized based on US population averages. Models were
adjusted for age, race, marital status, education and pregnancy intentions.
RESULTS: Among the 726 women, those using any form of contraception were more
likely to have average or better mental HRQoL than women using no contraception
[adjusted odds ratio (aOR)=1.60, 95% confidence interval (CI) 1.01-2.53]. Women
using injectable contraception were less likely than those using combined
hormonal methods to have average or better physical HRQoL (aOR=0.26, 95% CI 0.09
0.80) and mental HRQoL (aOR=0.24, 95% CI 0.06-0.86). CONCLUSIONS: Measures of
women's HRQoL differ with contraceptive use.
PMID- 22067761
TI - The benefits and risks of using a levonorgestrel-releasing intrauterine system
for contraception.
AB - The contraceptive profile of the levonorgestrel-releasing intrauterine system
(LNG-IUS; Mirena(r)) is well established, with efficacy similar to that achieved
with sterilization and rapid return to fertility after discontinuation of use.
The LNG-IUS is typically associated with transient menstrual disturbance during
the first few months of use, but this usually settles with continued use, with a
concomitant decrease in menstrual blood loss. Overall, the safety profile of the
LNG-IUS has been well established across a wide population of women, and the
available data do not suggest that the LNG-IUS adversely affects bone health or
increase the risk of adverse cardiovascular events or breast and uterine cancers.
This article reviews the literature to provide updated information on the risks
and benefits associated with the LNG-IUS, particularly focusing on its use in
contraception.
PMID- 22067762
TI - Immediate versus delayed insertion of the levonorgestrel-releasing intrauterine
device following dilation and evacuation: a randomized controlled trial.
AB - BACKGROUND: The study was conducted to compare 6-month usage of the
levonorgestrel-releasing intrauterine device (LNG-IUD) when placed immediately or
3 to 6 weeks after dilation and evacuation (D&E) procedure. STUDY DESIGN: We
enrolled women undergoing D&E at 15 to 23 weeks of gestation. After completion of
the D&E, subjects without contraindications to immediate IUD insertion were
randomized to immediate or delayed (3 to 6 weeks later) LNG-IUD insertion.
Subjects in the immediate group had the LNG-IUD placed using ultrasound guidance.
All subjects returned at 3 to 6 weeks and 8 to 10 weeks after D&E and were
contacted by phone at 6 months. RESULTS: Of the 93 subjects enrolled, 88 were
randomized. All 44 subjects (100%) randomized to immediate insertion had
successful IUD placement, while only 20 (45.5%) of the 44 subjects randomized to
delayed insertion returned for IUD placement, all of which were successful
[difference 54.5%, 95% confidence interval (CI) 39.8%-69.3%]. Seventeen (38.6%)
participants in each group were lost to follow-up. Of subjects contacted at the 6
month follow-up phone call, 23 of 27 women (85.2%) and 17 of 27 women (62.9%)
were utilizing the LNG-IUD in the immediate and delayed groups, respectively
(difference 22.2%, 95% CI -0.4% to 44.8%). Intrauterine device expulsion occurred
in three subjects (6.8%) and one subject (5.0%) in whom the IUD was placed in the
immediate and delayed groups, respectively (p=1.0). No significant adverse events
occurred. CONCLUSION: Significantly more participants had the LNG-IUD placed in
the immediate insertion group compared with the delayed insertion group. Given
the low risk of complications, immediate post-D&E insertion of the LNG-IUD should
be offered, especially for populations that may have difficulty returning for
follow-up.
PMID- 22067763
TI - Body weight does not impact pregnancy rates during use of a low-dose extended
regimen 91-day oral contraceptive.
AB - BACKGROUND: This study evaluated the impact of weight on efficacy during use of
an extended oral contraceptive (OC). STUDY DESIGN: Data were from a Phase 3
clinical trial evaluating the efficacy of a low-dose 91-day extended regimen of
100 mcg levonorgestrel/20 mcg ethinyl estradiol (LNG/EE; 84 days)+10 mcg EE (7
days) for the prevention of pregnancy. Crude pregnancy rates were calculated for
weight and body mass index (BMI) deciles. RESULTS: Of the 1736 women in this
analysis, 878 (50.6%) had a BMI greater than 25 kg/m2, and 770 (44.4%) were
heavier than 70 kg. Pregnancies occurred in 36 women. Crude pregnancy rates were
similar across weight and BMI deciles, with no discernable differences observed
between deciles using either classification criterion. CONCLUSIONS: No evidence
of any reduction in the level of contraceptive efficacy was observed with this
low-dose extended OC regimen in overweight and obese women.
PMID- 22067764
TI - Bridge over troubled waters: considerations in transitioning emergency
contraceptive users to hormonal methods.
AB - BACKGROUND: As emergency contraceptive pills (ECPs) become increasingly available
through pharmacies, concerns about potential overuse of this product have
emerged. In response, bridging women from ECPs to ongoing contraception was
advanced as a solution. STUDY DESIGN: We collected information in Ghanaian
pharmacies on ECP users' sexual activity, use of contraceptive methods and
reasons for buying ECPs. Further, two behavioral indicators were examined to
determine whether a woman should consider using an ongoing contraceptive method:
how often she has sex and how she uses ECPs. RESULTS: Of the four types of ECP
users, stratified by those two indicators, only women who have sex frequently and
use ECPs as their main contraceptive method would be appropriate for, but not
necessarily amenable to, bridging. CONCLUSIONS: The challenges of bridging to
meet the contraceptive needs of women are discussed in light of the
characteristics of emergency contraceptive users and suggest that bridging is not
as straightforward as initially conceived.
PMID- 22067765
TI - Contraceptive vaginal ring treatment of heavy menstrual bleeding: a randomized
controlled trial with norethisterone.
AB - BACKGROUND: This study compared the efficacy of the contraceptive vaginal ring
(CVR; NuvaRing; N.V. Organon, Oss, the Netherlands) and norethisterone for
treatment of idiopathic heavy menstrual bleeding (HMB) during the fertile age.
STUDY DESIGN: Ninety-five women with idiopathic HMB were selected in this
randomized controlled trial. They were treated with either the CVR (n=48) or
norethisterone (n=47) for three cycles. Each cycle consisted of 3 weeks of CVR
use and then a 1-week ring-free period or norethisterone tablets, 5 mg three
times daily from Cycle Days 5 to 26. Outcome measures were as follows: menstrual
blood loss assessed by pictorial blood loss assessment chart (PBAC), duration of
menses, hemoglobin, serum ferritin, quality of life (QoL) questionnaire, side
effects and overall satisfaction with treatment. RESULT: Significant improvements
in PBAC score, the duration of menses, hemoglobin, serum ferritin and QoL were
observed at the end of the study in each group. No statistically significant
differences were found regarding the mean PBAC score (90.2+/-24.4 vs. 92.3+/
26.7) and its percent reduction (68.6% vs. 69.5%), duration of menses (5.3+/-1.2
vs. 5.5+/-1.1 days), hemoglobin and serum ferritin at the end of the study
between the CVR and the norethisterone groups, respectively. Significantly more
ring users were satisfied and elected to continue with treatment. CONCLUSION:
Both the CVR and oral norethisterone are effective treatments for idiopathic HMB.
The CVR may be an attractive option especially for those requesting contraception
as well.
PMID- 22067766
TI - Abortion practice in Mexico: a survey of health care providers.
AB - BACKGROUND: Little is known about abortion practice in Mexico postlegalization of
abortion in Mexico City in 2007. STUDY DESIGN: In 2009, we anonymously surveyed
418 Mexican health care providers at the Colegio Mexicano de Especialistas en
Ginecologia y Obstetricia meeting using audio computer-assisted self-interview
technology. RESULTS: The majority of respondents were obstetrician gynecologists
(376, 90%), Catholic (341, 82%), 35-60 years old (332, 79%) and male (222, 53%)
and worked with trainees (307, 74%). Prior to 2007, 11% (46) and 17% (71)
provided medical and surgical abortions; now, 15% (62) and 21% (86) provide these
services, respectively. Practitioners from Mexico City were more likely to
provide services than those from other areas. Most medical abortion providers
(50, 81%) used ineffective protocols. Surgical abortion providers mainly used
either manual vacuum aspiration (39, 45%) or sharp curettage (27, 32%). Most
abortion providers were trained in residency and wanted more training in medical
(54, 87%) and surgical (59, 69%) abortion. Among nonproviders, 49% (175) and 27%
(89) expressed interest in learning to perform medical and surgical abortion,
respectively. CONCLUSION: Given the interest in learning to provide safe abortion
services and the prevalent use of ineffective medical abortion regimens and sharp
curettage, abortion training in Mexico should be strengthened.
PMID- 22067767
TI - Effects of extended regimens of the contraceptive vaginal ring on carbohydrate
metabolism.
AB - BACKGROUND: There are few publications on the metabolic effects of extended
regimens of the contraceptive vaginal ring. The aim of this study was to assess
changes in fasting plasma glucose levels and insulin concentration of women using
the contraceptive vaginal ring continuously over a 1-year period. STUDY DESIGN:
This prospective cohort enrolled 75 women (ages 18-37 years) who used a
contraceptive vaginal ring releasing 120 mcg of etonogestrel and 15 mcg of
ethinyl estradiol daily continuously for 84 days, followed by a 7-day ring-free
interval, during 1 year. Fasting glucose and insulin levels were measured, and
homeostatic model assessment was calculated at baseline and every 3 months during
the 1-year study period. The repeated-measures analysis of variance test was used
to analyze differences in the results of these exams over time. RESULTS: None of
the 75 participants had results outside the normal range in any of the
assessments. There were no pregnancies during the 1-year period, and a total of
62 participants completed the study. There were no significant changes in mean
fasting glucose levels (79.3 and 78.9 mg/dL at baseline and after 12 months,
respectively), mean fasting insulin concentration (9.6 and 10.1 MUU/mL) or mean
homeostatic model assessment results (1.88% and 1.97%). CONCLUSION: Fasting
plasma glucose concentration, insulin levels and homeostatic model assessment
values of women using the vaginal ring on an extended regimen did not change
significantly over a 1-year period.
PMID- 22067768
TI - A life-threatening ectopic pregnancy with etonogestrel implant.
AB - BACKGROUND: Etonogestrel contraceptive implant is a highly effective subdermal
method of long-acting hormonal contraception for women. CASE: We describe a case
of ruptured ectopic pregnancy occurring in a patient with an etonogestrel
contraceptive implant (Implanon(r)). The only factor predisposing to a failure in
this case is a moderately elevated body mass index of 29. However, the implant
was in place for less than 2 years, and the plasma levels of etonogestrel were
within the expected range. CONCLUSION: This case report emphasizes the fact that
ectopic pregnancy should not be formally ruled out in women using this
contraceptive, and it highlights the need for further study of the effect of body
weight on this contraceptive method.
PMID- 22067770
TI - Contraceptive failure in the United States.
PMID- 22067771
TI - No sex for science? Formative research on the acceptability and feasibility of a
true contraceptive efficacy clinical trial.
AB - BACKGROUND: Recruitment challenges and restrictions on intercourse frequency and
timing have stymied previous attempts to implement true contraceptive efficacy
clinical trials. STUDY DESIGN: Qualitative research was conducted in Madagascar,
South Africa, and the United States to explore the acceptability of three
potential true contraceptive efficacy study designs and the feasibility of
recruitment for such trials, including characteristics of potential participants
who may be willing to join. RESULTS: Participants preferred the study design with
the least restrictive sex criteria: participants have sex with assigned
contraceptive method/no method on days around ovulation and use condoms on other
days. Participants suggested that condom adherence would be low. Differences were
noted across sites on whether female participants should be actively seeking
pregnancy or not actively seeking pregnancy but willing to accept a pregnancy.
Recruitment of participants was expected to be difficult. CONCLUSIONS: Data
suggest that a true contraceptive efficacy clinical trial may not be feasible at
this time in these settings.
PMID- 22067772
TI - Interest in using intrauterine contraception when the option of self-removal is
provided.
AB - BACKGROUND: The need to ask a clinician to remove an intrauterine contraceptive
(IUC) may deter some women from trying the method. There is little risk to a
woman who attempts to remove her own IUC. STUDY DESIGN: In 2010, 602 women
seeking abortion completed a computerized survey in the waiting rooms of six US
abortion clinics. The survey asked women to rate their interest in using the
currently available IUCs and a hypothetical "new" self-removable IUC. RESULTS:
Overall, 25% of respondents would be more willing to try an IUC if they could
remove it themselves. Interest in a self-removable IUC was higher among women who
were already considering use of an IUC. After controlling for multiple
covariates, women who were likely to have unprotected sex in the future were more
willing to consider use of an IUC if they had the option of self-removal (odds
ratio 1.63, 95% confidence interval 1.03-2.59). CONCLUSIONS: Informing women that
they may safely attempt self-removal of their IUC may increase interest in trying
an IUC.
PMID- 22067773
TI - IUD use in adolescent mothers: retention, failure and reasons for
discontinuation.
AB - BACKGROUND: Many professional organizations recommend intrauterine device (IUD)
use in adolescents, but data on performance of currently available devices in US
teens are scant. We describe IUD continuation, side effect and pregnancy rates in
parous adolescents. STUDY DESIGN: Between 2002 and 2008, a cohort of 136 young
mothers from an adolescent pregnancy/postpartum program received either a CuT380A
or LNG-IUS for contraception. A minimum of 2 years postplacement, IUD status was
ascertained by records review and phone interviews. Discontinuation, side effect
and pregnancy rates by IUD type were calculated and compared. RESULTS: Mean and
median survival times were 25.1 and 14.1 months, respectively, and did not differ
by IUD type. Twelve-month continuation was 55%. Of the 87 removals, the most
common reasons were expulsion (14.2%), pain (12.2%), bleeding (7.4%), pregnancy
desire (6.8%) and pregnancy (4.7%), and rates did not differ significantly by IUD
type. First-year pregnancy rates with IUD in situ was 6.2% for the CuT380A and
3.7% for the LNG-IUS (p=.5). Rates of removal for bleeding and pain were similar
for both devices. CONCLUSION: Over half of parous adolescents who choose IUDs
keep them for at least 1 year. Expulsion rates and pregnancy rates are higher
than reported in the general population.
PMID- 22067774
TI - Intrauterine lidocaine infusion for pain management during outpatient
transcervical tubal sterilization: a randomized controlled trial.
AB - BACKGROUND: The study was conducted to examine the effects of a 4% intrauterine
lidocaine infusion on patient-perceived pain during transcervical sterilization.
STUDY DESIGN: This was a randomized, double-blind, placebo-controlled trial.
Subjects received standard premedication with 800 mg ibuprofen, 2 mg lorazepam, a
10-mL 1% lidocaine paracervical block and transcervical instillation of 5 mL of
either 4% lidocaine or saline 3 min prior to insertion of the hysteroscope.
Subjects completed a series of 100-mm visual analog scales to measure their
perceived pain at set time points during and after the procedure. Serum lidocaine
levels were obtained in a subset of subjects. RESULTS: Pain scores at all
evaluation points did not significantly differ between groups (lidocaine n=29,
saline n=29). Mean lidocaine levels did not differ between groups, and no subject
demonstrated symptoms of lidocaine toxicity. The highest serum lidocaine level
(4022 ng/mL) occurred 20 min after infusion in a lidocaine-treated subject.
CONCLUSION: Intrauterine lidocaine prior to outpatient transcervical
sterilization does not decrease pain.
PMID- 22067775
TI - Routine training is not enough: structured training in family planning and
abortion improves residents' competency scores and intentions to provide abortion
after graduation more than ad hoc training.
AB - BACKGROUND: Abortion provision remains threatened by the paucity of physicians
trained to provide them. Lack of training during residency has been cited by
obstetrician and gynecologist (ob-gyn) physicians as a reason for not including
abortion in their practice. STUDY DESIGN: We administered surveys on interest,
competency and intention to provide abortions to two groups of ob-gyn residents:
one experiencing a new comprehensive and structured family planning rotation, and
another group at our affiliate hospital's residency program receiving "ad hoc"
training during their routine gynecology rotations. Surveys were anonymous and
blinded to investigator. RESULTS: The structured family planning rotation group
compared to the ad hoc group reported significantly increased competency score
using a Likert scale in manual vacuum aspiration (MVA) (4.5 vs. 1; p=.003) and
had a higher proportion reporting intent to provide office MVA postresidency
(100% vs. 39%; p=.01) and being trained to 22.5 weeks' vs. 12 weeks' gestation
(p=.005). In bivariate analysis, competency in MVA was associated with higher
intentions to provide MVA after residency (p=.007). CONCLUSIONS: A structured
rotation in family planning and abortion for obstetrics/gynecology residents
results in increases in competency and intentions to provide abortion, and an
association between the two. In-hospital structured training proved to be
superior to ad hoc training in our affiliate institution in improving competency
and intention to provide abortion after residency.
PMID- 22067776
TI - Update on and correction to the cost effectiveness of contraceptives in the
United States.
PMID- 22067777
TI - Pregnancy outcomes with an IUD in situ: a systematic review.
AB - BACKGROUND: While intrauterine devices (IUDs) provide highly effective
contraception, pregnancies among IUD users do rarely occur. The objective of this
systematic review is to assess the evidence about risks for adverse pregnancy
outcomes among women who conceive with an IUD in situ. METHODS: We searched
MEDLINE, POPLINE, EMBASE and LILACS databases from inception through April 2011
for peer-reviewed articles containing evidence related to pregnancy outcomes
among women who conceived while using copper (Cu) and levonorgestrel-releasing
(LNG) IUDs. RESULTS: Nine articles met our inclusion criteria. Women with
retained IUDs were at the greatest risk of adverse pregnancy outcomes, including
spontaneous abortion, preterm delivery, septic abortion and chorioamnionitis. Cu
IUD removal decreased risks but not to the baseline risk of pregnancies without
an IUD. One case series examined the LNG-IUD; when left in situ, 8 in 10 ended in
spontaneous abortions. CONCLUSION: Pregnancies complicated by a remaining IUD in
situ were at greater risk of adverse pregnancy outcomes. Early IUD removal
appeared to improve outcomes but did not entirely eliminate risks.
PMID- 22067778
TI - Revival of the intrauterine device: increased insertions among US women with
employer-sponsored insurance, 2002-2008.
AB - BACKGROUND: Use of the intrauterine device (IUD) in the United States has
recently increased. New evidence for women with employer-sponsored health
insurance permits analysis of variation and trends in such use. STUDY DESIGN: A
retrospective analysis of annual IUD insertion rates between 2002 and 2008 was
conducted by evaluating claims from the MarketScan(r) Commercial Research
Databases for US women insured by plans that covered IUD insertions. Estimates
were weighted to be nationally representative. RESULTS: IUD insertion rates
increased from 1.6/1000 women of reproductive age to 9.8/1000 over 2002-2008 and
varied substantially by state. Insertion rates of the levonorgestrel-releasing
intrauterine system (LNG-IUS) increased from 0.4/1000 to 7.7/1000, whereas the
insertion rates of copper T380A IUD (copper IUD) increased from 0.6/1000 to
1.5/1000. IUD insertions, which are most common among women aged 25-34 years,
increased at roughly the same rate across all age groups. CONCLUSIONS: The
sixfold increase in IUD insertion rates between 2002 and 2008 was accompanied by
an increase in the share IUD use with the LNG-IUS from 40% to 85%. Substantial
geographic and age variations existed.
PMID- 22067779
TI - Mifepristone+misoprostol vs. misoprostol alone for early medical abortion.
PMID- 22067781
TI - Access to contraception after health care reform in Massachusetts: a mixed
methods study investigating benefits and barriers.
AB - BACKGROUND: In 2006, Massachusetts passed sweeping health care reform legislation
aimed at improving access to health care for residents. This study investigates
how this landmark legislation affected contraceptive access for low-income women.
STUDY DESIGN: This study included (a) 16 in-depth interviews with family planning
providers, (b) 9 focus group discussions with 52 low-income English- and Spanish
speaking women, (c) 10 self-administered surveys of family planning
administrators and (d) a systematic review of Web sites for government-subsidized
insurance plans. RESULTS: Findings from all study components were highly
consistent. We found that while most low-income women in Massachusetts continue
to regularly obtain contraception, challenges such as maintaining insurance
coverage, understanding benefits, securing an appointment with a provider and
obtaining prescriptions were identified post reform. Findings about contraceptive
affordability under reform were mixed. CONCLUSION: Though health care reform
legislation has benefited many women, barriers remain to ensuring consistent
access to contraception for low-income women.
PMID- 22067782
TI - Does the provision of free intrauterine contraception reduce pregnancy rates
among uninsured low-income women? A cohort study: a two North Carolina clinics.
AB - BACKGROUND: Low-income women are disproportionately affected by unintended
pregnancy. The Access to Resources in Contraceptive Health Foundation was
established to provide free levonorgestrel intrauterine contraceptive systems to
uninsured women who meet specific socioeconomic eligibility criteria. The aim of
this study was to evaluate the effectiveness of this program at reducing
pregnancies among uninsured women attending two North Carolina clinics. STUDY
DESIGN: This was a retrospective cohort study including uninsured women (aged 15
44 years) who desired contraception, were deemed eligible for the program and
attended one of two North Carolina clinics between January 1, 2003, and June 30,
2009. The primary outcome was pregnancy. Pregnancy rates were compared between
those women who had a program-approved intrauterine device placed (exposed group)
and those women who were eligible for the program, but did not have a device
placed (the unexposed group). Questionnaires were used to determine the numbers
of pregnancies (and dates of conception), the types of alternative contraception
being used and basic demographic information about program participants. The data
were analyzed using survival analysis of time to pregnancy. A Cox proportional
hazards model was used to estimate a hazard ratio. RESULTS: We attempted to reach
321 patients and obtained 104 responses. After exclusions, data from 90
participants were analyzed. Sixty-five women received a levonorgestrel device,
and 25 eligible women did not. Seven pregnancies occurred in the exposed group
(11%), all after the IUD was removed; three of these pregnancies were planned.
Eight pregnancies occurred in the unexposed group (32%). The median follow-up
time was 2.4 years (range: 0.75-4 years) and 1.8 years (0.05-4 years) in the
exposed and unexposed groups, respectively. CONCLUSIONS: Provision of a
levonorgestrel-releasing device was associated with significantly lower risk of
pregnancy than was use of alternative or no contraception. If these data from
North Carolina are representative of experience nationwide, then the public
health benefit of the Foundation's philanthropy may be large.
PMID- 22067783
TI - Mifepristone may shorten the induction-to-abortion time for termination of second
trimester pregnancies by ethacridine lactate.
AB - BACKGROUND: We reviewed our experience with adding mifepristone to the protocol
for the termination of pregnancy up to 24 weeks of gestation by intra-amniotic
ethacridine lactate. STUDY DESIGN: The study consisted of women who presented for
the termination of a second-trimester pregnancy between August 2000 and July
2008. RESULTS: Of 1245 women who requested a termination of a second-trimester
pregnancy, 744 women underwent the induction of abortion by intra-amniotic
ethacridine lactate with mifepristone (mifepristone group), and 501 received
intra-amniotic ethacridine lactate alone (control group). The proportion of women
who delivered within 24 h was 25.94% in the mifepristone group and 10.18% in the
control group (p < .001); the failure rate of abortion was 5.38% in the
mifepristone group and 4.99% in the control group (p < .001). There was no
significant difference in the complication rate between the two groups. The rate
of cervical laceration was 0.54% in the mifepristone group and 0.60% in the
control group (p = .9315). The rate of retained placental tissue was 6.99% in the
mifepristone group and 6.19% in the control group (p = .1112). Nausea was
reported by 34.0% of women in the mifepristone group and none in the control
group. CONCLUSION: The addition of mifepristone to ethacridine lactate may
shorten the induction-to-abortion time compared with the use of ethacridine
lactate alone without increasing the number of complications.
PMID- 22067784
TI - Effect of shared contraceptive experiences on IUD use at an urban abortion
clinic.
AB - BACKGROUND: We studied the effect of contraceptive social networking on
postabortion intrauterine device (IUD) uptake. This study explores whether women
who have heard personal stories of IUD use are more likely to use an IUD for
postabortion contraception. STUDY DESIGN: We surveyed 299 women undergoing
induced abortion at San Francisco General Hospital's Women's Options Center
before and after contraceptive counseling. Both English- and Spanish-speaking
women, aged 15 years and older, were surveyed. RESULTS: Fifty percent of women
surveyed chose to use an IUD for postabortion contraception. Women choosing IUDs
were more likely than women choosing other contraceptives or no contraceptives to
be multiparous, Latina and interested in IUDs prior to contraceptive counseling.
Disclosure of personal IUD use by a clinic staff member was independently
associated with the decision to use an IUD (odds ratio 8.1, 95% confidence
interval 3.8-17.2). CONCLUSIONS: Women undergoing abortion in an urban clinic
have knowledge and high acceptance of IUDs, and sharing of contraceptive
experiences is common among women of all demographics. Controlling for
demographics and prior knowledge of IUDs, sharing of personal IUD experiences by
providers is significantly associated with IUD use.
PMID- 22067785
TI - Analyzing the impacts of abortion clinic structures and processes: a qualitative
analysis of women's negative experience of abortion clinics.
AB - BACKGROUND: In the United States, the social myth that abortion clinics are
unsafe, lonely places is pervasive. Little research has investigated the extent
to which women's negative experiences of clinic interactions and processes
confirm or contest this myth. STUDY DESIGN: Semistructured interviews with 41
women who received an abortion at a clinic were conducted and analyzed using
qualitative analytical techniques in Atlas 5.0. RESULTS: The processes and
structures of the abortion clinic necessitated by the realities of antiabortion
hostilities lead some women to react negatively to the clinic experience in ways
consistent with the social myth of the abortion clinic. Staff interactions can
mitigate or alleviate these experiences. CONCLUSIONS: Clinic workers and
administrators should be aware that safety structures and processes may create
negative experiences for some women. Policymakers should be aware of the extent
to which public policies and conflict over abortion render the social myth of the
clinic a reality.
PMID- 22067786
TI - Can women accurately assess the outcome of medical abortion based on symptoms
alone?
AB - BACKGROUND: The primary purpose of this study was to evaluate whether women
undergoing medical abortion can accurately assess abortion outcome based on
symptoms alone. Our secondary aim was to identify predictors of medical abortion
failure. STUDY DESIGN: We conducted a case-control study of women undergoing
medical abortion from January 1, 2004, to December 31, 2005, who were 63 days'
gestation or less and received 200 mg mifepristone followed by 800 mcg of vaginal
misoprostol 6-72 h later. Cases were defined as women who required uterine
evacuation for a retained gestational sac or ongoing pregnancy. Separate analyses
were conducted for the subset of cases with ongoing pregnancies. Controls were
defined as women who successfully expelled the pregnancy without uterine
evacuation. RESULTS: During the study period, 53 women had a retained gestational
sac (N = 26) or ongoing pregnancy (N = 27), and a total of 53 controls were
selected, matched by site and date of procedure. Case subjects were more likely
than controls to report minimal vaginal bleeding and ongoing pregnancy symptoms
and to express doubt that they expelled the pregnancy. When predictive modeling
was performed, ongoing pregnancy symptoms, minimal bleeding and gestational age
as determined by ultrasound measurement of gestational sac or crown-rump length
accurately identified only 68% of medical abortion failures. We also found that
the odds of medical abortion failure decreased progressively from approximately 4
to 7 weeks' gestational age, was lowest at approximately 7 weeks and increased
from 7 to 9 weeks' gestation. CONCLUSION: Patient symptomatology and self
assessment of complete abortion alone are moderately useful in identifying
medical abortion failure. An objective measure of complete abortion, such as a
pregnancy test, is still required.
PMID- 22067787
TI - Impact of etonogestrel-releasing implant and copper intrauterine device on
carbohydrate metabolism: a comparative study.
AB - BACKGROUND: We investigated the influence of an etonogestrel-releasing (ETG)
implant and copper intrauterine device (IUD) on carbohydrate metabolism. STUDY
DESIGN: In this nonrandomized, open-label, prospective controlled trial, 40
healthy women received an implant or IUD (20 per group). Outcome measures were
fasting glucose, fasting insulin, oral glucose tolerance test (OGTT) and
glycosylated hemoglobin A(1)C (HbA(1)C) levels at baseline and after 6 and 12
months. RESULTS: The groups were similar in age, body mass index and laboratory
parameters at baseline. Carbohydrate metabolism was not modified by the ETG
implant at baseline and at 6 and 12 months (mean +/- SD) (fasting glucose: 85.9
+/- 5.13, 87.05 +/- 5.36, 88.19 +/- 5.05; insulin: 7.77 +/- 2.42, 10.64 +/- 9.4,
8.82 +/- 3.73; OGTT: 94.8 +/- 25.28, 96.5 +/- 19.67, 99.47 +/- 24.6; HbA(1)C:
5.27 +/- .34, 5.55 +/- .39, 5.7 +/- 0.37). The same was true for the IUD (fasting
glucose: 88.87 +/- 7.2, 89.65 +/- 5.86, 88.75 +/- 4.79; insulin: 7.94 +/- 3.6,
8.3 +/- 4.1, 7.34 +/- 3.02; OGTT: 96.85 +/- 15.16, 97.48 +/- 13.42, 91.3 +/-
22.16; HbA(1)C: 5.41 +/- .49, 5.75 +/- .41, 5.9 +/- 0.73). CONCLUSIONS: The ETG
releasing implant did not affect carbohydrate metabolism in normal women after 12
months.
PMID- 22067788
TI - Safety of digoxin for fetal demise before second-trimester abortion by dilation
and evacuation.
AB - BACKGROUND: Digoxin is used to induce fetal demise before dilation and evacuation
(D&E) abortion. Published data on the safety of digoxin in abortion care are
limited. STUDY DESIGN: We conducted a retrospective cohort study with historical
controls at a large family planning center. We reviewed the records of patients
at 18 to 24 weeks' gestation who received digoxin before D&E from May 15, 2007
(date the center initiated digoxin use), through March 31, 2008. We also reviewed
the records of patients who presented for D&E without digoxin from February 22,
2006, through May 12, 2007. We compared the rates of immediate complications.
RESULTS: We included 566 digoxin patients and 513 controls. Eleven spontaneous
abortions occurred in the digoxin cohort; none occurred among controls (p<.001).
We found 19 cases of infection in the digoxin cohort and three among controls
(odds ratio 5.91; 95% confidence interval 1.74-20.07). Eleven digoxin patients
were admitted to a hospital after the preoperative visit; no controls were
admitted (p<.001). CONCLUSIONS: Patients who received digoxin before D&E were
more likely to experience spontaneous abortion, infection and hospital admission
than controls who underwent D&E without digoxin.
PMID- 22067789
TI - Bioequivalence study of an oral contraceptive containing
ethinylestradiol/drospirenone/levomefolate calcium relative to
ethinylestradiol/drospirenone and to levomefolate calcium alone.
AB - BACKGROUND: A new tablet formulation containing 0.02 mg ethinylestradiol/3 mg
drospirenone/0.451 mg levomefolate calcium (calcium salt containing 0.416 mg L-5
methyltetrahydrofolate) was assessed for bioequivalence compared to the approved
oral contraceptive (OC) tablet containing identical amounts of ethinylestradiol
and drospirenone and to a tablet containing 0.451 mg levomefolate calcium. STUDY
DESIGN: Forty-four subjects received in an intraindividual crossover design
single doses of the new tablet formulation or the established
ethinylestradiol/drospirenone tablet or the levomefolate calcium tablet. RESULTS:
Bioequivalence was demonstrated for ethinylestradiol, drospirenone and L-5
methyltetrahydrofolate (active moiety of levomefolate calcium) between the
investigated tablet formulations. The geometric mean ratios of the AUC((0-tlast))
and C(max) values for all three compounds and their 90% confidence intervals were
well within the 80%-125% range generally accepted to demonstrate bioequivalence.
CONCLUSION: The rate and extent of absorption of ethinylestradiol and
drospirenone were not affected by the concomitant administration of levomefolate
calcium and vice versa.
PMID- 22067790
TI - Folate status and homocysteine levels during a 24-week oral administration of a
folate-containing oral contraceptive: a randomized, double-blind, active
controlled, parallel-group, US-based multicenter study.
AB - BACKGROUND: This study investigated the effects of adding levomefolate calcium
0.451 mg (the calcium salt of L-5-methyltetrahydrofolate; Metafolin(r)) to an
oral contraceptive containing ethinylestradiol (EE) 20 mcg/drospirenone (drsp) 3
mg on folate levels in healthy women seeking contraception. STUDY DESIGN: In this
randomized, double-blind, multicenter US-based study, women (18-40 years)
received 24 weeks (six cycles) of EE/drsp/levomefolate calcium or EE/drsp for 24
days followed by 4 days of levomefolate calcium alone or placebo, respectively.
The primary efficacy variables were red blood cell (RBC) and plasma folate levels
at 24 weeks. RESULTS: At week 24, increases from baseline in mean RBC (990 +/-
390 nmol/L to 1406 +/- 440 nmol/L) and plasma folate (45.0 +/- 17.6 nmol/L to
60.8 +/- 19.9 nmol/L) levels were observed in women who received
EE/drsp/levomefolate calcium [per protocol set (n=262); all values are displayed
as mean +/- standard deviation]. In contrast, marginal fluctuations were observed
with EE/drsp (p<.0001 for between-treatment differences at week 24). CONCLUSION:
Clinically significant increases in folate status were observed with
EE/drsp/levomefolate calcium compared with EE/drsp alone in US women of
childbearing age.
PMID- 22067791
TI - Reduction of the "burst release" of copper ions from copper-based intrauterine
devices by organic inhibitors.
AB - BACKGROUND: The copper intrauterine device is a contraceptive method that is
based on the release of copper ions from a copper wire. Immediately after
insertion, the dissolution of copper in the uterine fluid is markedly higher
("burst release") than that necessary for contraception action, leading to a
variety of harmful effects. STUDY DESIGN: Pretreatments with organic compounds
[thiourea (TU) and purine (PU), 10(-4)-10(-2) M concentration range, 1- and 3-h
immersion times] were tested. The dissolution of copper with and without
pretreatments in TU and PU solutions was analyzed by conventional electrochemical
techniques and surface analysis. RESULTS: Pretreatments in PU solutions reduced
the initial corrosion rate of copper in simulated uterine solutions, with
inhibitory efficiencies that depend on the PU concentration and on the immersion
time assayed. Inhibitory efficiency values higher than 98% for pretreatments with
>=10(-3) M PU were found. Conversely, after TU pretreatments, a high copper
release was measured. CONCLUSIONS: It was concluded that 10(-3) M PU pretreatment
is a promising strategy able to reduce the "burst release" of copper and to
ensure contraceptive action.
PMID- 22067792
TI - Contraceptive discontinuation and repeat unintended pregnancy within 1 year after
an abortion.
AB - BACKGROUND: We examined 12-month hormonal contraceptive continuation and
pregnancy rates by abortion history. STUDY DESIGN: Women who wanted to avoid
pregnancy for at least 1 year were recruited at four San Francisco Bay area
family planning clinics on regular service days and on abortion care days.
Participants completed baseline and follow-up questionnaires. Multivariable Cox
models assessed the factors associated with method discontinuation and pregnancy.
RESULTS: Women who were enrolled into the study on the day of their abortion were
20% more likely to discontinue their contraceptive method than women who never
had an abortion [adjusted hazard ratio (AHR)=1.21, 95% confidence interval
(CI)=1.03-1.42]. Women who had a recent abortion or previous abortion were 60%
more likely to have a pregnancy during follow-up than women who never had an
abortion (AHR=1.63, 95% CI =1.21-2.20, and AHR=1.66, 95% CI=1.18-2.33,
respectively). CONCLUSION: The experience of having an unintended pregnancy and
abortion does not lead to behavioral changes that protect against another
unintended pregnancy.
PMID- 22067795
TI - Old barriers need not apply: opening doors for new contraceptives in the
developing world.
PMID- 22067793
TI - Continuous oral levonorgestrel/ethinyl estradiol for treating premenstrual
dysphoric disorder.
AB - BACKGROUND: The study was conducted to investigate continuous daily
levonorgestrel 90 mcg/ethinyl estradiol 20 mcg (LNG/EE) on premenstrual dysphoric
disorder (PMDD). STUDY DESIGN: In this multicenter, randomized, double-blind,
placebo-controlled study, women with PMDD received LNG/EE (n=186) or placebo
(n=181) daily for 112 days and completed the Daily Record of Severity of Problems
(DRSP). RESULTS: Mean DRSP change from baseline to late luteal phase was
significantly greater with LNG/EE than placebo at the late luteal phase of the
first estimated cycle (-30.52+/-1.73 [SE] vs. -22.47+/-1.77; p<.001) and the
worst 5 days during the last on-therapy estimated cycle (-26.77+/-1.83 vs.
20.89+/-1.82; p=.016). Other primary end points were not statistically
significant. Significantly more subject taking LNG/EE (52%) than placebo (40%)
responded (>=50% improvement in the DRSP 7-day late luteal phase score and
Clinical Global Impression of Severity score of >=1 improvement) at last on
therapy cycle (p=.025). CONCLUSIONS: Continuous daily LNG 90 mcg/EE 20 mcg was
well tolerated and may be useful for managing the physical, psychological and
behavioral symptoms and loss of work productivity related to PMDD.
PMID- 22067796
TI - Preventing repeat abortion in Canada: is the immediate insertion of intrauterine
devices postabortion a cost-effective option associated with fewer repeat
abortions?
AB - BACKGROUND: In 2005, 97,254 abortions were performed in Canada, of which 38% were
repeat abortions. The objective of this research was to determine if provision of
free intrauterine devices (IUDs) postabortion is associated with a reduction in
health-care costs and repeat abortions in a Canadian population compared with
provision of oral contraceptives (OCPs) or depo-medroxyprogesterone acetate
(DMPA). STUDY DESIGN: A retrospective cohort study was conducted by intention-to
treat chart review in a facility providing the majority of abortions in a
Canadian health region. All (n=1782) residents of this region who underwent
abortion in 2003, 2004 and 2008 were included. One- and 5-year rates of repeat
abortion were calculated, and a cost-effectiveness analysis was conducted to
compare health-care system costs of providing patients with IUDs, OCPs or DMPA
and subsequent repeat abortions. RESULTS: In 2003 and 2004, 1101 index abortions
occurred. The main contraceptive cohorts were immediate IUD insertion (n=117,
10.6%), immediate OCP (n=413, 37.5%) and immediate DMPA administration (n=357,
32.4%). After 5 years repeat abortion rates in the respective cohorts were: IUD,
9.4%, OCP, 17.4%, DMPA, 16.2% (p=.05). One-year rates of repeat abortion were not
significantly different. Costs of providing contraception and subsequent
abortions over 5 years were $142.63 (IUD), $385.61 (OCP) and $384.81 (DMPA) per
user. CONCLUSION: The immediate insertion of IUDs postabortion is associated with
a lower 5-year rate of repeat abortion than provision of OCPs or DMPA. A cost
reduction to the health-care system occurs when providing IUDs postabortion vs.
alternate contraception of equivalent duration.
PMID- 22067797
TI - Challenging the "insurance theory" of sexual and reproductive health behaviors.
PMID- 22067798
TI - Factors influencing partners' involvement in women's contraceptive services.
AB - BACKGROUND: Involving women's partners in family planning services may be one
avenue by which rates of unplanned pregnancies can be reduced. STUDY DESIGN: In
2009, we surveyed a nationally representative sample of 2113 women aged 18-49
years receiving services from Title X family planning clinics in the United
States about their contraceptive use and their current partner, including
partners' past involvement in seeking and obtaining contraceptive services.
RESULTS: More than half of the women in the sample (56%) indicated that their
partners were at least partially involved in their contraceptive services. In the
multivariable analysis, race/ethnicity, union status and relationship
satisfaction were all significant predictors of partner involvement in
contraceptive services. Women reporting that their partners interfere with their
birth control were nearly twice as likely as women without interfering partners
to report those partners being involved in their contraceptive services.
Contraceptive use at last sex was not associated with partner involvement in
contraceptive services in either bivariable or multivariable analyses.
CONCLUSION: In designing future couple-focused programs, clinics may need to
focus on the interpersonal dynamics of couple-based decision-making and behaviors
and tailor their programs given the characteristics of clients most likely to
avail themselves of these services.
PMID- 22067799
TI - Co-prescription of antiepileptic drugs and contraceptives.
AB - BACKGROUND: Enzyme-inducing antiepileptic drugs (AEDs) reduce the efficacy of
oral contraceptives. Little is known of contraceptive practice among reproductive
age women who receive AEDs. STUDY DESIGN: We explored the use of contraceptive
methods among Dutch women aged 15 to 49 years with prescriptions of AEDs using
pharmacy dispensing database. Drug dispensing data of AEDs and contraceptives in
2006 was retrieved from the InterAction Database (IADB.nl database). The
prevalence of contraceptives use and distribution of different contraceptive
methods were calculated. RESULTS: Of women who used enzyme-inducing AEDs in
combination with any highly effective contraceptive method, over 40% were on an
oral contraceptive (OC) containing <50 mcg estrogen. IUDs and injectable
contraception were used in 22.5% of women receiving AEDs in combination with any
highly effective contraceptive method, and 33.2% in those receiving enzyme
inducing AEDs in combination with any highly effective contraceptive method.
CONCLUSION: Fertile-age women who received AEDs often relied on less effective
contraceptive methods. Prescribers should be more aware of the interaction
between AEDs and OCs.
PMID- 22067801
TI - Laparoscopic removal of an intra-abdominal intrauterine device: case and
systematic review.
AB - BACKGROUND: Uterine perforation by intrauterine devices (IUDs) is a rare but well
recognized complication. In the past, the presence of adhesions and perforation
of viscera often resulted in the need for a laparotomy to remove the IUD.
However, advances in laparoscopic technique have allowed surgeons to safely
retrieve perforated IUDs. In this review, we analyze uterine perforation by an
IUD and assess laparoscopic vs. open methods for removal of a perforated IUD.
STUDY DESIGN: A systematic search strategy was applied to several electronic
bibliographic databases: Medline/Pubmed, Embase, Cochrane Library, and OCLC
PapersFirst. Key words used were IUD, laparoscopy, and uterine perforation.
RESULTS: One hundred seventy-nine cases of attempted laparoscopic removal of
perforated IUDs were identified in the English literature between 1970 and 2009.
Patient age ranged from 17 to 49 years. Diagnostic laparoscopy was performed in
all 179 cases reported. Laparoscopic removal of perforated IUDs was achieved
successfully in 64.2% (115/179) of cases. CONCLUSION: This systematic review
highlights how advances in laparoscopic technique and skill have allowed surgeons
to safely retrieve IUDs without laparotomy. We recommend an attempt at
laparoscopic removal as first-line treatment in symptomatic patients and as a
reasonable treatment option in asymptomatic patients.
PMID- 22067800
TI - Deterioration in cardiometabolic risk markers in obese women during depot
medroxyprogesterone acetate use.
AB - BACKGROUND: Highly effective contraception is essential in obese women, but it
should not increase their risk of developing or worsening obesity-related
cardiometabolic illness. The purpose of this 18-week prospective experimental
study was to compare the impact of subcutaneous depot medroxyprogesterone acetate
(DMPA-SC) on cardiometabolic markers in obese and normal-weight women. METHODS:
Normal-weight [body mass index (BMI) 18.5-24.9 kg/m(2)] and obese (BMI>=30
kg/m(2)) women received injections of 104 mg DMPA-SC at baseline and 12 weeks
later. Markers of cardiometabolic risk measured at baseline and 18 weeks after
the first injection included body morphometry, fasting blood tests, and oral and
frequently sampled intravenous glucose tolerance tests (FSIGT). RESULTS: At
baseline, median gravidity, BMI, abdominal circumference, and acute insulin
response to intravenous glucose were higher and high-density lipoprotein (HDL)
cholesterol and insulin sensitivity (S(I) from FSIGTs) were lower in the 10 obese
participants than the five normal-weight women (p<=.05 for each). While there was
no significant difference between median baseline and follow-up values among
normal-weight women, the difference between median baseline and follow-up among
the obese cohort was significantly higher for BMI and lower for HDL cholesterol
and insulin sensitivity (S(I)) (p<=.05 for each). The absolute changes for
routinely measured clinical laboratory values of metabolic decline were no
different among the normal-weight vs. obese women. The difference in absolute
change in beta-cell compensation for insulin resistance [disposition index (DI)]
was significant between the two groups at follow-up, with the normal-weight group
experiencing an increase in DI while the obese group experienced a decline in DI
(188.5 vs. -286, p=.04). CONCLUSIONS: Obese women have an increased baseline
cardiometabolic risk when compared with normal-weight women at baseline. There
was a significantly greater decline in beta-cell compensation for insulin
resistance in obese women on DMPA. Our data suggest potential deleterious effects
of DMPA on glucose regulation in obese women. Further studies should elucidate
the long-term cardiometabolic consequences of DMPA use in obese women.
PMID- 22067802
TI - Contraceptive efficacy and safety of HerbOshieldTM vaginal gel in rats.
AB - BACKGROUND: Spermicides represent one of the methods of contraception. The
synthetic agents available as spermicides produce severe side effects. Hence,
there is a need to replace these agents with safe and effective agents such as
plant-based contraceptive agents. STUDY DESIGN: The objective of the present
study was to develop and evaluate a stable, safe, effective and easily acceptable
contraceptive delivery system containing herbal drug. Efforts were made to
evaluate the contraceptive potential of the hydroalcoholic extract from the seeds
of Annona squamosa Linn. and the vaginal gel HerbOshieldTM containing the
extract. RESULTS: Spermicidal effect was evaluated in vitro using healthy human
spermatozoa and in vivo in rats. The in vitro results demonstrated that
HerbOshieldTM vaginal gel is an effective spermicide. At a 100-mg/mL dose,
complete immobilization of human spermatozoa was observed within 20 s. None of
the treated animals conceived, indicating 100% contraceptive effect as compared
to Gynol II, a nonoxynol-9-containing marketed formulation, which showed only 67%
contraceptive effect in vivo. HerbOshieldTM vaginal gel was found to be safe in
animals during a 14-day toxicity study. CONCLUSIONS: HerbOshieldTM vaginal gel
was found to be safe and effective in rats and could be developed as a potential
vaginal contraceptive for future use in humans.
PMID- 22067803
TI - Characteristics of women who undergo second-trimester abortion in the setting of
a fetal anomaly.
AB - BACKGROUND: Most women diagnosed with a fetal anomaly terminate the pregnancy.
Little is known about utilization of two procedures: dilation and evacuation
(D&E) and induction termination. STUDY DESIGN: This retrospective cohort study
included all women seen at the University of California, San Francisco (UCSF)
Prenatal Diagnosis Center (PDC) who underwent a second-trimester abortion for an
anomalous pregnancy from 2005 to 2008. We abstracted variables from the PDC
database and medical charts to identify predictors associated with undergoing
D&E. RESULTS: Three quarters of the 192 women underwent D&E (n=148). Higher
maternal age, proximity to UCSF, earlier gestational age, singleton gestation and
genetic anomaly were associated with undergoing D&E. In adjusted analysis,
earlier gestational age and singleton gestation were associated with undergoing
D&E. CONCLUSIONS: The D&E procedure was more commonly utilized for terminating an
anomalous pregnancy at UCSF than medical induction. Further inquiry is needed to
explore how provider counseling influences the choice of D&E vs. induction.
PMID- 22067804
TI - A pilot study of women's knowledge of pregnancy health risks: implications for
contraception.
AB - BACKGROUND: This study was performed to assess women's knowledge of the health
risks of pregnancy and how their assessment of pregnancy risks compared to their
estimates of the risks of oral contraceptives. STUDY DESIGN: A survey, which
asked both open-ended and specific questions about the health benefits and risks
of pregnancy, was administered verbally on a one-on-one basis to nonpregnant,
English-speaking, reproductive-age women. RESULTS: Of the 248 women who provided
information for analysis, over one quarter of women could not correctly name any
health risk associated with pregnancy. When shown a list of potential health
risks, only 13.3% correctly identified all the health problems that increased in
pregnancy. Only 49% knew that risks of venous thromboembolism (VTE), diabetes and
hypertension increase in pregnancy; 30.6% did not know that VTE risk increases.
Over 75% of respondents rated birth control pills as more hazardous to a woman's
health than pregnancy. The greater the women's education, the more likely she was
to believe that oral contraceptives are riskier than pregnancy. CONCLUSION: This
pilot project clearly demonstrates a need to assess women's understanding of the
health hazards of pregnancy on a national level. Underestimation of pregnancy
risks can lead to contraceptive method discontinuation, can decrease motivation
to seek preconceptional care and can lead to greater medicolegal liability for
providers of obstetrical care.
PMID- 22067805
TI - Extended intervention time and evaluation of sperm suppression by dienogest plus
testosterone undecanoate in male rat.
AB - BACKGROUND: The potential of using dienogest [DNG, 40 mg/kg body weight (bw)]
plus testosterone undecanoate (TU, 25 mg/kg bw) in rats for development of a once
a-month male hormonal contraceptive has been reported earlier in our
laboratories. STUDY DESIGN: In the present study, we report a separate efficacy
evaluation of the same combination, DNG (40 mg/kg bw) and TU (25 mg/kg bw) in
which interval of drug administration has been extended further to 45 and 60 days
instead of every 30 days. RESULTS: Complete sperm suppression was observed in
rats sacrificed either 60 or 90 days after DNG+TU administration, for two
injections at 45-day interval. The neutral alpha-glucosidase activity in these
treated rats remained in the normal range. Germ cell loss due to apoptosis was
frequently observed both after 60 or 90 days of combination treatment.
Significant decline in serum gonadotropin and testosterone, both serum and
intratesticular levels, were observed in the treated rats. Following stoppage of
treatment (given at 45-day interval) after two (0 and 45 days) or three
injections (0, 45 and 90 days), complete restoration of spermatogenesis was
observed by 120 and 165 days, respectively. The sperm suppression, however, could
not be sustained when the period of combined drug administration was extended
from every 45 to 60 days. CONCLUSIONS: Dienogest plus testosterone undecanoate in
the above doses retained contraceptive effectiveness when administered every 45
days but not 60 days. The spermatogenic arrest was completely reversible once
drug treatment is stopped. The dose and the frequency of intervention can be
extrapolated in future clinical trials.
PMID- 22067806
TI - Knowledge and beliefs about mechanism of action of birth control methods among
European women.
AB - BACKGROUND: Adequate knowledge is essential for making informed decisions. We
attempted to determine the level of knowledge about mechanisms of action of birth
control methods in five representative samples of European women. STUDY DESIGN:
Randomly selected women, aged 18-49 years, completed an anonymous survey in
Germany, France, the UK, Sweden and Romania (N=1137). Participants were asked
about how contraceptive methods work and if providers should inform them about
this issue. Multiple linear regression was used to evaluate women's
characteristics associated with their knowledge of mechanisms of action. RESULTS:
The majority of women identified the unequivocal mode of action of condoms,
sterilization and abortion. Fewer than 2% identified all possible mechanisms of
action of hormonal contraceptives and intrauterine devices. Highly educated women
correctly identified the mechanism or mechanisms of action of more methods than
less educated women (beta=0.22, 95% confidence interval 0.01-0.43). Regardless of
their sociodemographic characteristics and their belief about when human life
begins, most women (75%) stated that the provider should inform them about
possible postfertilization effects. CONCLUSIONS: European women have low
knowledge about mechanisms of action of several contraceptive methods. The
majority want to be informed about possible postfertilization effects. Since
adequate knowledge is essential for making informed decisions, providers are
encouraged to inform women about all possible mechanisms of action of
contraceptives.
PMID- 22067807
TI - Prevalence of genital tract infection with Entamoeba gingivalis among copper T
380A intrauterine device users in Egypt.
AB - BACKGROUND: This study was performed to study the prevalence and potential
pathogenicity of E. gingivalis in the genital tracts of intrauterine
contraceptive device (IUD) users. STUDY DESIGN: A prospective study conducted at
the Obstetrics and Gynecology Department and Fertility Care Unit, Mansoura
University Hospital, Egypt. The study was carried out on 87 IUD users and 87
nonusers. The copper T 380A IUD was removed from each woman and washed with
phosphate-buffered saline (PBS) pH 7.4; the IUD wash was centrifuged. The
sediment was resuspended in 2 ml PBS and divided into two portions. One portion
was used for preparation of direct and iron hematoxylin-stained smears. Direct
smears and stained smears were examined for detailed morphology. The second
portion of the sediment was used for DNA extraction and subsequent PCR
amplification targeting the small subunit ribosomal RNA of E. gingivalis.
RESULTS: The parasite was found in 12.64% of IUD users and in 6.9% of non users
(p>.3). It was found that 90.9% of those harboring E. gingivalis in their genital
tract had the parasite in their oral cavity. The percentage of genital infection
in IUD users increased with low level of education, rural areas, insertion in
primary health-care center and among those not washing hands before checking the
strings. In the infected cases, vaginal discharge was more common (81.8%) than in
noninfected cases (32.9%), such difference was statistically significant (p<.05).
Also, excessive vaginal discharge is more common than backache and menorrhagia in
the infected cases. CONCLUSION: Higher incidence of E. gingivalis infection in
IUD users is related to oral cavity infection, residence, the facility where they
inserted their IUD and washing hands attitude before checking the strings. We
recommend treatment of gingival infection, proper counseling and medical
education on oral and genital tract hygiene for IUD users.
PMID- 22067808
TI - A qualitative exploration of emergency contraception users' willingness to select
the copper IUD.
AB - BACKGROUND: The copper T intrauterine device (IUD) is an effective but
underutilized method of emergency contraception (EC). This study investigates the
factors influencing a woman's decision around which method of EC to select. STUDY
DESIGN: In-depth interviews with 14 IUD and 14 oral EC users aged 18-30 years
accessing public health clinics. RESULTS: Emergency contraception users
associated long-term methods of contraception with long-term sexual
relationships. Women were not aware of the possibility of using the copper IUD
for EC. Cost was identified as a major barrier to accessing IUDs. Perceived side
effects and impact on future pregnancies further influenced the EC method a
participant selected. CONCLUSIONS: Women think about contraception in the context
of each separate relationship and not as a long-term individual plan. Most women
were unaware of the copper IUD for EC. Furthermore, there is little discussion
between women and their health-care providers around EC.
PMID- 22067809
TI - Couples' acceptability of the SILCS diaphragm for microbicide delivery.
AB - BACKGROUND: Disposable plastic applicators used in microbicide gel studies are
expensive and have a negative environmental impact. The SILCS diaphragm is a
barrier contraceptive that could offer a reusable delivery system. STUDY DESIGN:
Thirty-six couples in this randomized, cross-over study evaluated single- and
double-sided gel delivery from a SILCS diaphragm compared with gel from an
applicator. Couples used each gel scenario during two acts of intercourse and
completed acceptability questionnaires after each. RESULTS: All three scenarios
received favorable ratings for ease of application, acceptability and perceived
effectiveness. Both female and male participants tended to rate the gel
applicator significantly more favorably than either SILCS gel delivery scenarios
for all attributes except messiness/leakage and effectiveness. Additionally,
about 60% of female participants and about half of male participants preferred
the gel applicator to either of the gel delivery systems using SILCS. The
preference for the SILCS scenario for pregnancy protection was statistically
significant for both sexes. Male participants were also significantly more likely
to prefer the SILCS single-sided delivery system to the gel applicator for
protection from sexually transmitted infection. CONCLUSIONS: In this study
population, participants found the gel applicator to be more acceptable than
either single- or double-sided gel delivery from a SILCS diaphragm. Further
research of the SILCS as a microbicide delivery system should be implemented to
assess its acceptability among study populations that reflect diverse potential
user groups such as women and men from both low and high HIV prevalence settings
including HIV-positive populations and with and without experience using female
barrier methods.
PMID- 22067810
TI - Feticidal digoxin injection before dilation and evacuation abortion: evidence and
ethics.
PMID- 22067811
TI - Infection and extramural delivery with use of digoxin as a feticidal agent.
AB - BACKGROUND: Many abortion providers use digoxin to induce fetal demise prior to
dilation and evacuation (D&E). Our primary objective was to examine the frequency
of infection and extramural delivery following digoxin use. STUDY DESIGN: We
conducted a retrospective single-cohort study. Inclusion criteria were all women
between 18 and 24 weeks of estimated gestational age who received digoxin in
preparation for D&E at our outpatient facility. We queried two electronic
databases to collect data on the frequency of extramural delivery and the rate of
perioperative infection. RESULTS: From January 1, 2000, to December 31, 2008,
4906 abortions were performed between 18 and 24 weeks of estimated gestation with
digoxin injection administered as feticidal agent 1 day prior to D&E. Extramural
delivery frequency was 0.30%, and infection frequency was 0.04%. There were no
significant differences in the frequency of extramural deliveries across
procedure year (p = .2), estimated gestational age (p = .3), race/ethnicity (p =
.2) or maternal age (p = .3). CONCLUSION: Rates of extramural delivery and
infection are acceptably low following digoxin use prior to scheduled D&E.
PMID- 22067812
TI - Imaging of vascular smooth muscle cells with soft X-ray spectromicroscopy.
AB - Using X-ray microscopy and spectromicroscopy, vascular smooth muscle cells
(VSMCs) were imaged, prepared without using additional embedding material or
staining, but by applying simple, noncryo fixation techniques. The cells were
imaged with a compact source transmission X-ray microscope and a scanning
transmission X-ray microscope (STXM). With the STXM, spectromicroscopy was
performed at the C K-edge and the Ca L(III,II)-edges. VSMCs were chosen because
of their high amount of actin stress fibers, so that the actin cytoskeleton
should be visible. Other parts of the cell, such as the nucleus and organelles,
were also identified from the micrographs. Both in the spectra and the images,
the effects of the different preparation procedures were observable. Furthermore,
Ca hotspots were detected and their density is determined.
PMID- 22067813
TI - Incidence and risk factors associated with venous thrombotic events in pediatric
intensive care unit patients.
AB - OBJECTIVE: To evaluate the incidence and risk factors associated with venous
thromboembolism (VTE) in children admitted to pediatric intensive care units
(PICUs). DESIGN: Prospective observational study. SETTING: Eleven tertiary care
PICUs in the United States. PATIENTS: Children who were admitted to PICUs and had
radiographically confirmed VTE over a rolling 6-month period were enrolled in the
study. Demographic, patient-related, and outcomes data were collected and
compared with all children admitted during the same period. INTERVENTIONS: None.
RESULTS: : Sixty-six symptomatic VTE were documented in sixty-two patients among
6653 patients admitted to 11 PICUs. Thirteen (19.7%) of the thrombi were present
on admission. The incidence rate was 0.74% (range, 0-2.7% per PICU) with a point
prevalence of 0.93%. Doppler ultrasound was most frequently used to diagnose or
confirm a suspected VTE. Variables associated with unadjusted risk for VTE
include: younger age (3.8 months for patients with VTE vs. 51 months for non-VTE
patients, p < .001), cardiac diagnosis (41% in VTE cases vs. 15% in non-VTE, p <
.001), pre-/post-operative status (63% in VTE cases vs. 40% in non-VTE, p =
.001), presence of central venous catheter (88% in VTE case vs. 17% in non-VTE, p
< .001), or mechanical ventilation (85% in VTE cases vs. 30% non-VTE, p < .001).
Multivariate analysis showed increased risk of VTE with CVC (odds ratio 6.9;
confidence interval 2.7-17.5) and mechanical ventilation (odds ratio 2.8;
confidence interval 0.98-7.93). Children with VTE were sicker (Pediatric Index of
Mortality 2 score risk of mortality of 3.0% vs. 0.9%; p<0.0001), stayed longer in
the ICU (21.2 days vs. 1.6 days; p < .0001) and had increased mortality (10.2%
vs. 2.6; p < .0001). CONCLUSIONS: Children admitted to the PICU have an increased
risk of VTE. The presence of a CVC is the strongest risk factor for VTE in this
PICU population. Children with VTE were younger, sicker, stayed longer in PICU,
and had a higher mortality rate.
PMID- 22067814
TI - The autopsy: underutilized weapon in the pediatric intensive care unit.
PMID- 22067815
TI - Follow-up after a child's death in the pediatric intensive care unit: Can it
improve family outcomes?
PMID- 22067816
TI - Venous thromboembolism in the pediatric intensive care unit: increased incidence
or increased awareness?
PMID- 22067817
TI - Oncology patients in the pediatric intensive care unit: it's time for prospective
study.
PMID- 22067818
TI - The pediatric BUS has arrived: is bedside ultrasound in the pediatric intensive
care unit a feasible option?
PMID- 22067819
TI - Pediatric heart transplant graft ischemic time and early morbidity.
PMID- 22067820
TI - Parental inclusion in pediatric intensive care rounds: how does it fit with
patient- and family-centered care?
PMID- 22067821
TI - Family conferences in the pediatric intensive care unit: how meaningful and
memorable?
PMID- 22067822
TI - Cerebral edema in diabetic ketoacidosis: time to go with the (cerebral blood)
flow?
PMID- 22067823
TI - Intracranial pressure monitoring in meningitis: thinking beyond traumatic brain
injury.
PMID- 22067824
TI - Toward individualized treatment of acute respiratory distress syndrome: response
guided mechanical ventilation.
PMID- 22067825
TI - Extracorporeal membrane oxygenation in the 21st century: a decade of change.
PMID- 22067826
TI - Review of dengue hemorrhagic fever and shock syndromes - comments.
PMID- 22067827
TI - Invasive versus noninvasive intracranial pressure monitoring in children with
acute liver failure.
PMID- 22067829
TI - Novel H1N1 influenza in pediatric intensive care units: what to be concerned
about.
PMID- 22067830
TI - Quantitative analysis of the ion-dependent folding stability of DNA triplexes.
AB - A DNA triplex is formed through binding of a third strand to the major groove of
a duplex. Due to the high charge density of a DNA triplex, metal ions are
critical for its stability. We recently developed the tightly bound ion (TBI)
model for ion-nucleic acids interactions. The model accounts for the potential
correlation and fluctuations of the ion distribution. We now apply the TBI model
to analyze the ion dependence of the thermodynamic stability for DNA triplexes.
We focus on two experimentally studied systems: a 24-base DNA triplex and a pair
of interacting 14-base triplexes. Our theoretical calculations for the number of
bound ions indicate that the TBI model provides improved predictions for the
number of bound ions than the classical Poisson-Boltzmann (PB) equation. The
improvement is more significant for a triplex, which has a higher charge density
than a duplex. This is possibly due to the higher ion concentration around the
triplex and hence a stronger ion correlation effect for a triplex. In addition,
our analysis for the free energy landscape for a pair of 14-mer triplexes
immersed in an ionic solution shows that divalent ions could induce an attractive
force between the triplexes. Furthermore, we investigate how the protonated
cytosines in the triplexes affect the stability of the triplex helices.
PMID- 22067832
TI - Attenuated Bordetella pertussis BPZE1 as a live vehicle for heterologous vaccine
antigens delivery through the nasal route.
AB - Whereas the great majority of the current vaccines are delivered through the
parenteral route, mucosal administration has been increasingly considered for
controlling infection and preventing disease. Mucosal vaccination can trigger
both humoral and cell-mediated protection, not only at the targeted mucosal
surface, but also systemically. In this regard, nasal vaccination has shown great
potential. The live attenuated strain of Bordetella pertussis, BPZE1, is
particularly attractive and promising as a nasal vaccine delivery vector of
heterologous antigen vaccine candidates. BPZE1 was originally developed as a live
nasal pertussis vaccine candidate, and is currently undergoing phase I clinical
trial in human (http://www.child-innovac.org). Highly adapted to the human
respiratory tract and offering several potential protein carriers for
presentation of the heterologous antigen vaccine candidates, BPZE1 represents an
appealing platform for the development of live recombinant vaccines delivered via
the nasal route that would confer simultaneous protection against pertussis and
the targeted infectious disease(s).
PMID- 22067833
TI - Unemployment figures at highest level: a health warning.
AB - The effects of unemployment can have a detrimental impact on individuals,
families and society. It has been announced that the latest unemployment figures
demonstrate that the employment rate fell by 0.3 percentage points to 70.4% of
the working population in the 3months to August. This reflects the fragility of
the global economy and the Government's aspirations to reduce spending.
PMID- 22067831
TI - The genetic toolbox for Leishmania parasites.
AB - Leishmania parasites cause a variety of devastating diseases in tropical areas
around the world. Due to the lack of vaccines and limited availability of drugs,
new therapeutic targets are urgently needed. A variety of genetic tools have been
developed to investigate the complex biology of this parasite and its
interactions with the host. One of the main techniques is the generation of knock
out parasites via targeted gene replacement, a process that takes advantage of
the parasites ability to undergo homologous recombination. Studying the effect of
gene deletions in vitro and in infectivity models in vivo allows understanding
the function of a target gene and its potential as a therapeutic target. Other
genetic manipulations available include episomal and chromosomal complementation
and the generation of overproducer strains. However, there are also limitations,
such as the lack of RNA interference machinery in most Leishmania species and
limited options for inducible expression systems. The genomes of several
Leishmania species have now been sequenced and will provide powerful resources in
combination with the genetic tools that are available. The increasing knowledge
of parasite biology and host parasite interactions derived from these studies
will raise the number of potential therapeutic targets, which are sorely needed
to combat leishmaniasis.
PMID- 22067834
TI - Promoting better care for patients with dementia.
AB - Admission to hospital or a visit to a local clinic can be a frightening
experience for a patient with dementia who faces interactions with strangers in
unfamiliar surroundings. A nursing team on an acute medical ward for individuals
with respiratory conditions, or in a busy general practice, simply has little
time to assess what the patient wants to communicate and provide reassurance.
PMID- 22067835
TI - Nutrition: safe practice in adult enteral tube feeding.
AB - The use of enteral feeding tubes, such as nasogastric and gastrostomy tubes, to
support a patient's nutritional intake is generally considered to be safe and
effective. However, recent alerts and recommendations from the National Patient
Safety Agency (NPSA) regarding enteral feeding have led health professionals to
review their practice and guidelines. This article explores safe practice in
enteral tube feeding in the light of three NPSA alerts: Promoting safer
measurement and administration of liquid medicines via oral and other enteral
routes (2007), Early detection of complications after gastrostomy (2010) and
Reducing the harm caused by misplaced nasogastric tubes in adults and children
(2011).
PMID- 22067836
TI - Rheumatoid arthritis: treating to target with disease-modifying drugs.
AB - Treating to target is an established concept in a number of long-term conditions,
which aims to improve outcomes and prevent disease progression. Treatment targets
in rheumatoid arthritis (RA) are to control the signs and symptoms of significant
inflammatory disease activity, with the ultimate goal of remission from disease.
Assessment of patients' disease activity includes clinical examination of the
number of swollen and tender joints, combined with measurement of inflammatory
markers and visual analogue scales of patients' overall wellbeing and symptoms.
Early initiation and rapid dose escalation of disease-modifying anti-rheumatic
drugs (DMARDs) has been shown to be crucial to optimizing disease control,
protecting joints from destruction and preserving health-related quality of life.
Corticosteroids can be prescribed for oral use but are often given by intra
muscular or joint injection in times of flare to minimize the risks of side
effects. The rational use of non-steroidal anti-inflammatory drugs and analgesia
remains important to symptom management throughout the patient journey. In part
two of this three-part series, the concept of treating to target in rheumatoid
arthritis is outlined, including the role of the nurse in optimizing outcomes and
an overview of treatment options using conventional DMARDs. Part three will cover
the use of biologic agents, which have increased treatment options for RA, but
their use is reserved for patients with severe disease activity who have failed
to respond to adequate trials of DMARDs.
PMID- 22067837
TI - Using Actico bandaging for chronic oedema/lymphoedema management.
AB - Actico has been used successfully within clinical and community settings and is
best used as part of a multi-layered lymphoedema bandaging (MLLB) system. This
system is designed to produce a semi-rigid casing that is comfortable enough to
allow patients to continue with their activities and exercises so as to generate
an optimal resisted muscle pump action within. Correct application is key to
using this cohesive short-stretch bandage, and collaborative working between
clinics and community nurses is made easier through using Actico as part of
oedema management. The case studies enclosed aim to illustrate the use of Actico
MLLB for both patients and clinicians.
PMID- 22067838
TI - Implementation of 'sign-off' mentorship: different perspectives.
AB - Students who entered nurse training from September 2007 onwards are required to
have a sign-off mentor (SOM) in their final clinical placement. The sign-off
mentor is responsible for confirming to the Nursing and Midwifery Council (NMC)
that a student nurse has met all the requirements of pre-registration clinical
assessment and can be registered as a nurse. This became mandatory in September
2010, and this article describes how one acute NHS foundation Trust implemented
and managed this process, with contributions from practice education
facilitators, an SOM and a student.
PMID- 22067839
TI - Serving within the British Army: research into mental health benefits.
AB - The mental health (MH) of soldiers remains extremely newsworthy and is regularly
featured in high profile media forums that focus on post-traumatic stress
disorder. However, the authors feel that there are distinct benefits to serving
within the Army, and that it provides effective occupational medical, MH and
welfare support. This research study explores potential benefits and stressors of
being in the Army and provides an overview of Army mental health services (AMHS)
through the perspectives of AMHS personnel, 84% of which were nurses. The study
indicated that the Army can provide a protective community, sharing a bond based
on common values and experiences. The Army can provide soldiers with career
opportunities that are not available in civilian life, and there are
opportunities to develop an employment profile, enhanced by internal and external
educational training, and encapsulated within a progressive career pathway. The
Army can also be seen to offer an escape route, preventing soldiers entering a
life of crime, and supplying the stable family these soldiers had never
experienced. The provision of leadership, within an environment where soldiers
are valued and stigma is not tolerated can potentially shield against MH
problems.
PMID- 22067840
TI - Seasonal influenza: what every nurse needs to know.
AB - Professor Alan Glasper discusses this year's seasonal influenza advice from the
Department of Health in preparation for winter, and the increasing importance of
influenza vaccination by nurses, for nurses.
PMID- 22067841
TI - A new paradigm in patient safety.
AB - John Tingle, Linda Gibson and Maria Ferrante discuss a new framework for the
patient safety agenda.
PMID- 22067842
TI - Supporting the self and others: from staff nurse to nurse consultant. Part 11: a
strategic model.
AB - The previous articles in this series have focused primarily on support and
supervision at a ward or unit level, and have examined the practicalities of
mentorship, clinical supervision, giving feedback, dealing with conflict and a
number of other strategies. This practical application is relevant to the staff
nurse, team leader and nurse consultant. At a more strategic level (those of the
hospital, Trust or national level), we need to step back from the interactional
and face-to-face structures and examine the organization of support and
supervision strategically.
PMID- 22067843
TI - Exploring the implications of modernized European legislation.
AB - On many occasions in this column, I have discussed the unique experience of the
student nurse and the many ways that that experience differs from other students
within the university. In June, the European Commission published a consultation
on a green paper entitled Modernising the Professional Qualifications Directive,
the aim of which was to modernize the legislation allowing individual EU citizens
to realize their individual right to work anywhere in the EU. This embraces
nurses as one of many professional groups; however, there are a number of issues
which must be considered discretely.
PMID- 22067844
TI - Taking the lead role in care delivery.
AB - The NHS community services in my local area are offering free health checks. My
invitation letter offered me a choice - I could take the enclosed form to my GP,
get another form and go to a phlebotomy clinic, then wait 2 weeks and go back to
my GP for the blood test result and the health check; or alternatively, I could
go to my local pharmacy, have a blood test and assessment, all in one visit. I
thought 'no contest, I am going to the pharmacy for my health check!'
PMID- 22067845
TI - Coexisting pustular and ulcerative pyoderma gangrenosum.
PMID- 22067846
TI - Nucleotide-binding oligomerization domain-like receptors and inflammasomes in the
pathogenesis of non-microbial inflammation and diseases.
AB - The nucleotide-binding oligomerization domain (NOD)-like receptor (NLR) or
nucleotide-binding domain leucine-rich repeat-containing family of genes plays an
important role in the development of innate immune responses. Some family members
are known to form multiprotein complexes known as inflammasomes that regulate the
processing and secretion of proinflammatory mediators, such as interleukin-1beta
and interleukin-18. Activity of the inflammasome is triggered not only by
microbial infection, but also by a wide range of both exogenous and endogenous
noninfectious stimuli. Consequently, the dysregulation of inflammasome activity
is associated with numerous proinflammatory, non-microbial human diseases. The
discovery of NLRP3 gene mutations in autoinflammatory diseases such as Muckle
Wells syndrome has led to the association of NLRs in the pathogenesis of many non
microbial diseases that include arthritis, neurodegenerative disorders, metabolic
disorders (obesity and diabetes), cardiovascular disease (atherosclerosis,
myocardial infarction), inflammatory bowel disease, kidney disease and
hypersensitivity dermatitis. A number of NLRs are also associated with human
disease in the absence of inflammasome activity, suggesting additional roles for
NLRs in the regulation of inflammation and disease. This review serves to provide
a summary of NLR-associated diseases and, where possible, the mechanisms behind
the associations.
PMID- 22067847
TI - Dietary fish oil preserves cardiac function in the hypertrophied rat heart.
AB - Regular fish or fish oil intake is associated with a low incidence of heart
failure clinically, and fish oil-induced reduction in cardiac remodelling seen in
hypertrophy models may contribute. We investigated whether improved cardiac
energy efficiency in non-hypertrophied hearts translates into attenuation of
cardiac dysfunction in hypertrophied hearts. Male Wistar rats (n 33) at 8 weeks
of age were sham-operated or subjected to abdominal aortic stenosis to produce
pressure-overload cardiac hypertrophy. Starting 3 weeks post-operatively to
follow initiation of hypertrophy, rats were fed a diet containing 10 % olive oil
(control) or 5 % fish oil (ROPUFA(r) 30 (17 % EPA, 10 % DHA))+5 % olive oil (FO
diet). At 15 weeks post-operatively, ventricular haemodynamics and oxygen
consumption were evaluated in the blood-perfused, isolated working heart. Resting
and maximally stimulated cardiac output and external work were >60 % depressed in
hypertrophied control hearts but this was prevented by FO feeding, without
attenuating hypertrophy. Cardiac energy efficiency was lower in hypertrophy, but
greater in FO hearts for any given cardiac mass. Coronary blood flow, restricted
in hypertrophied control hearts, increased with increasing work in hypertrophied
FO hearts, revealing a significant coronary vasodilator reserve. Pronounced
cardiac dysfunction in hypertrophied hearts across low and high workloads,
indicative of heart failure, was attenuated by FO feeding in association with
membrane incorporation of n-3 PUFA, principally DHA. Dietary fish oil may offer a
new approach to balancing the high oxygen demand and haemodynamic requirements of
the failing hypertrophied heart independently of attenuating hypertrophy.
PMID- 22067848
TI - Hilotherm efficacy in controlling postoperative facial edema in patients treated
for maxillomandibular malformations.
AB - BACKGROUND: A cooling system based on polyurethane preshaped masks for
postoperative cryotherapy, named Hilotherm, has been recently introduced. The
purpose of this study was to evaluate the effectiveness of this equipment in
controlling postoperative edema and compare the results with those obtained with
a group treated with conventional cryotherapy and a group not treated with
cryotherapy. METHODS: Ninety patients were included in this randomized controlled
trial. The 90 patients were divided into 3 groups. Group A was treated with
Hilotherm. Group B was treated with conventional cryotherapy. Group C was not
treated with cryotherapy. Using a tape measure, we measured for both sides of the
face the distances in centimeters between point 0 and external canthus ([alpha]),
most lateral point on the ala of the nose ([beta]), commissura labialis
([gamma]), and Pos ([delta]). We performed a Kruskal-Wallis test comparing the
average variation of edema on the right and left sides of the face for each
facial segment of patients of the 3 groups from time 0 to time 24 hours. RESULTS:
No cryotherapy is the worst treatment for every segment studied. In anatomic
regions defined [beta], [gamma], and [delta], Hilotherm was more effective in
containing edema than the ice pack 24 hours after the first measurement. Opposite
results were seen on district [alpha], the site not completely enclosed in the
mask. CONCLUSIONS: The substantial difference between different treatments
probably consisted in the greater reliability of the Hilotherm system, which is
characterized by easy handling, constant temperature control, comfort, and
practicality of the masks.
PMID- 22067849
TI - Oral rehabilitation after surgical removal of pleomorphic adenoma.
AB - Although tumors of minor salivary glands are rare, the pleomorphic adenoma is the
most common pathology among the benign neoplasm and can be found with high
prevalence in the junction between hard palate and soft palate. The treatment of
choice for most of maxillary tumors is surgical through either a total or partial
maxillectomy. However, surgical defects caused by such type of treatment lead to
both clinical and psychologic disorders for the patient. The immediate oral
rehabilitation using interim palate obturator after maxillectomy provides
optimization on the healing process, recovers the stomatognathic functions after
surgery, and avoids psychosocial sequelae for the patients. This clinical report
aimed to present the rehabilitation with immediate palate obturator of a patient
who underwent a partial maxillectomy due to a hard palate pleomorphic adenoma of
minor salivary glands. We report the clinical importance of the prosthetic
rehabilitation and the improvements on both quality of life and stomatognathic
functions of this patient. It can be concluded that the immediate rehabilitation
of the patient after partial maxillectomy by using an interim palate obturator
was a great option and provided clinical benefits in the immediate postoperative
period, improving the patient's quality of life, allowing the patient's
reinsertion into society, and reducing the surgical treatment sequelae.
PMID- 22067850
TI - Combined use of titanium mesh and resorbable PLLA-PGA implant in the treatment of
large orbital floor fractures.
AB - BACKGROUND: A variety of alloplastic permanent and resorbable materials have been
successfully used in orbital floor reconstruction; nevertheless, they both have
shown disadvantages in the reconstruction of large orbital floor defects. We
believe that, by combining both types of implants, the disadvantages could be
diminished. METHODS: This is a retrospective study that included all patients
with large orbital floor defects (>2 * 2 cm), pure or in association with other
facial fractures, treated in our service with the combined use of titanium mesh
and the resorbable implant LactoSorb. RESULTS: We included 20 patients, 7 had
pure blowout fractures and the rest had other associated maxillofacial fractures.
All of them had a large orbital floor defect with entrapment of periorbital
tissue and herniation into the maxillary sinus. Mean hospital stay was 2 days,
and our follow-up period was for at least 3 months. Seventeen patients had
complete coverage of their floor defect with restoration of orbital volume,
normal globe position, and full extraocular motility. We report 3 cases of
enophthalmos and 2 cases of ectropion. Follow-up tomographic scans showed
incomplete implant coverage of the orbital floor in 2 cases and a misplaced
implant in the other. Subsequent operation was needed for correction.
CONCLUSIONS: We believe that the combination of both implants is a good option
for the reconstruction of large orbital floor defects. It takes full advantage of
their intrinsic properties while at the same time lowers the disadvantages of
their individual use. Complications were attributed to technical errors and not
to the combination of both materials.
PMID- 22067851
TI - IMP3 is a novel biomarker to predict metastasis and prognosis of tongue squamous
cell carcinoma.
AB - Insulinlike growth factor II mRNA-binding protein 3 (IMP3) is a newly identified
mRNA-binding protein that is involved in embryogenesis and carcinogenesis of some
malignant tumors. The aim of this study was to investigate the clinicopathologic
significance of this protein in tongue squamous cell carcinoma (SCC). The
expression of IMP3 in 65 samples of tongue SCC and 27 cases of oral leukoplakia
(OL) was evaluated by immunohistochemistry. These expression levels were
correlated with clinical and pathologic features as well as death from tongue
SCC. Weak immunohistochemical stain for IMP3 was identified in all 19 cases of OL
with mild dysplasia, and no immunohistochemical reactivity was found in 8 cases
of OL without dysplasia. Positive immunohistochemical stain for IMP3 was
identified in 50 cases (77%) of SCC; among them, weak staining was identified in
33 cases (51%) and intermediate staining in 17 cases (26%). To compare the
expression of IMP3 in tongue SCC and OL, stronger immunohistochemical reactivity
was found in tongue SCC (P < 0.05). Stronger expression of IMP3 was found to be
associated with lymphoid metastasis (P < 0.05) and patient poor outcome (median
survival time of 40 months in the negative and weak expression group vs 10 months
in the intermediate expression group; P < 0.05). This study suggests that the
increase in IMP3 expression in tongue leukopathia and SCCs may play a role in the
carcinogenesis and tumor metastasis of tongue SCCs. Insulinlike growth factor II
mRNA-binding protein 3 could be a novel prognostic indicator for patients with
tongue SCCs.
PMID- 22067852
TI - Missile war injuries of the face.
AB - In a society struggling to rebuild its country after 3 decades of years of
dictatorships and wars, Iraqi maxillofacial and craniofacial surgeons play a
critical role in treatment of many most serious terrorist missile injuries of the
face by ongoing conflict in Iraq. This study reflects our surgical techniques of
treating explosive missile injuries and other combat- and terrorism-related
injuries and also evaluates the immediate and secondary phase managements of
patients with missile injuries. This study includes 235 patients with missile war
injuries of the face during a period of 4 years; all injured patients were
treated in the Maxillofacial Unit of Surgical Specialties Hospital, Medical City,
Baghdad. There were 195 men and 40 women; their ages ranged from 1 to 70 years
(mean, 39.5 years). Posttraumatic missile facial deformities were classified as
follows: 95 patients (40.43%) had bone loss; 72 patients (30.64%) had soft tissue
loss; 33 patients (14.05%) had orbital injuries; and 35 patients (14.90%) had
other deformities of scar contracture, fistula, and sinus formation. Two
techniques were used for reconstruction of the bony defect, either by bone chips
carried by osteomesh tray harvested from the iliac crest or by free block of
corticocancellous bone graft from the iliac crest. Soft tissue reconstruction was
done by local flaps and regional flaps such as lateral cervical and cervicofacial
flaps, and the orbit was reconstructed by bone graft, lyophilized dura, and
sialastic implant. Scar contracture was treated by scar revision and sinus tract
excised at the same time of scar revision. In conclusion, the primary phase
required an urgent airway management, controlling an active bleeding by surgical
intervention; most entrance and exit wounds as well as retained missiles were
located in the cheek, chin, and mandibular body, with few cases of mortality due
to complications related to head injuries. The secondary phase management of
deformities of the face as a complication of missile injuries was classified as
bone loss, soft tissue loss, combined bone and soft tissue loss, and others
(sinus tracts and poor scars).
PMID- 22067853
TI - Upper double-rotation advancement method for unilateral cleft lip repair of
severe forms: classification and surgical technique.
AB - BACKGROUND: The purpose of this study was to evaluate the symmetry in lip height
and lip width after double-rotation advancement unilateral cleft lip repair.
STUDY DESIGN: This was a retrospective audit of 1 surgeon's outcome of 72
consecutively performed unilateral cleft lip with short lateral segment. Two
hundred fifty patients with unilateral cleft lip were operated on using the upper
double-rotation advancement technique since 2007. Of those patients, 72 met the
study criterion of having anthropometric measurements performed at least 1 year
postoperatively. DATA COLLECTION: Measurement of lip height, lip width, vermilion
height, and alar base width was performed at the cleft and noncleft side under
general anesthesia, immediately before the lip (preoperative) and palate
(postoperative) surgery. The lip measurements were obtained using calipers. The
matched-pair t-test analyses were performed when the assumptions required were
met. When the normality assumption was not met, a nonparametric test was used,
the Wilcoxon signed rank test, to assess the statistical significance of
differences between the cleft side and the noncleft side. RESULTS: The study
found no statistically significant differences between cleft-side and noncleft
side lip height (P = 0.058), lip width (P = 0.295), and vermilion height (P =
0.237) after lip repair. CONCLUSIONS: The findings suggest that the upper double
rotation and advancement technique is a good alternative to repair short lateral
segment and severe forms of unilateral cleft lip.
PMID- 22067854
TI - Microvascular tissue transfer in cleft palate patients: advocacy of the
prelaminated radial free forearm flap.
AB - The closure of wide palatal clefts and recurrent oronasal fistulae may be
challenging. After repeated failure of conventional techniques, microvascular
tissue transfer may be indicated in the closure of such fistulae. Depending on
the location and the size of the palatal fistula, different tissues are required
to sufficiently close the palatal gaps. A subdivision of common flaps into
mucosa, muscular, bony, skin, and fascia flaps was carried out to analyze their
suitability for alveolar, hard, and soft palate reconstruction. Furthermore, the
bulk of flaps and the length of the vascular pedicle were analyzed to rate the
suitability of different flaps for palatal closure. Based on a new classification
of oronasal fistulae, all these factors were taken into consideration to
introduce a decision guidance of what microvascular flap fits a particular
clinical situation. The radial free forearm flap was found to be sufficient in
the closure of all classes of oronasal fistulae.
PMID- 22067855
TI - Use of stereolithographic drilling and cutting guides in bilateral mandibular
distraction.
AB - Mandibular distraction osteogenesis is used in the treatment of patients with
syndromic and nonsyndromic class II mandibular hypoplasia. The three-dimensional
distraction of the mandible is extremely complex. Past experience with mandibular
distraction has demonstrated the indispensability of solid presurgical planning
to achieve predictable results. We report a method for a virtual three
dimensional planning of the bilateral mandibular distraction with intraoperative
transfer by stereolithographic guides. Five patients (mean age, 22.8 years) with
bilateral mandibular hypoplasia were examined with preoperative and postoperative
computed tomographic scans. The direction and dimension of the distraction were
planned on the three-dimensional computed tomographic scans. Tooth- and bone
borne stereolithographic guides for transferring the planning were then applied
intraoperatively. It was feasible to transfer and perform the surgery as planned
by the use of the stereolithographic drilling and cutting guides. The mean
distraction width was 11.33 (SD, 8.32) mm. The mean difference of the distraction
width between the planning and the achieved final mandibular position was 1.80
(SD, 0.43) mm. The intercondyle distance decreased by 3.28 (SD, 1.01) mm. A
parallel distraction within the planned vectors was achieved. Mandibular
distraction osteogenesis in the treatment of severe mandibular hypoplasia needs
careful presurgical planning. Parallel distraction and fast placement of the
distractors are provided by the planning. The method provides a useful tool for
both planning and intraoperative transfer of the virtually preplanned distraction
vectors.
PMID- 22067856
TI - Photoelastic analysis of biomechanical behavior of single and multiple fixed
partial prostheses with different prosthetic connections.
AB - The aim of this study was to evaluate the stress distribution on external
hexagon, internal hexagon, and Morse taper implant in single and 3-unit implant
supported fixed partial prostheses (FPPs) using photoelasticity. Six models were
fabricated with the photoelastic resin PL-2: 3 models for the 3-unit implant
supported FPP with implants of 4.0 * 10.0 mm in the region of the second premolar
and molar including 1 model for each type of implant connection, and 3 models for
the single prosthesis for each implant type. The prostheses fabrication was
standardized. A circular polariscope was used, and axial and oblique (45 degrees)
loads of 100 N were applied in a universal testing machine. The results were
photographed and analyzed qualitatively. The internal hexagon implant exhibited
better stress distribution and lower intensity of fringes followed by the
external hexagon and Morse taper implants for the models with the 3-unit
prostheses. For the single implants, the Morse taper implant presented better
stress distribution, followed by the internal and external hexagon implants. The
oblique loading increased the number of photoelastic fringes in all models. It
was concluded that the internal hexagon implant exhibited better biomechanical
behavior for the 3-unit implant-supported FPP, whereas the Morse taper implant
was more favorable for the single implant-supported prosthesis. The oblique
loading increased the stress in all models.
PMID- 22067857
TI - Recession defects associated with scraped roots treated with subepithelial
connective tissue graft.
AB - The objective of the current study was to assess histomorphometrically the
healing process of recession defects associated with scraped roots treated with
subepithelial connective tissue graft (SCTG). Six dogs were used. Bone dehiscence
defects (6 * 8 mm) and root planing were carried out on maxillary canine teeth.
Following a split-mouth model, according to the treatment, left canines (control)
were covered with coronally positioned flap (CPF). Right canines were submitted
to treatments with SCTG. After a 3-month postoperative period, the animals were
killed, and the blocks processed for the histomorphometric assessment. Data
assessment demonstrated that the CPF group showed parameters of a new connective
tissue attachment, length of new cement (NC), length of new bone (mean +/- SD:
0.95 +/- 0.53, 2.44 +/- 1.97, and 1.96 +/- 2.29 mm, respectively), which were
higher than those of SCTG group (mean +/- SD: 0.71 +/- 0.36, 2.21 +/- 1.28, and
1.52 +/- 1.31 mm, respectively), although not significantly (P > 0.05). The
length of both epithelial tissue and connective tissue apposition in the SCTG
group (mean +/- SD: 1.70 +/- 0.53 and 2.62 +/- 1.52 mm, respectively) were higher
than those of the CPF group (mean +/- SD: 1.18 +/- 0.49 and 2.03 +/- 1.03 mm,
respectively), although showing no significant differences (P > 0.05). Within the
limits of the current study, it was possible to conclude that there were no
significant differences between the groups according to the histologic parameters
assessed.
PMID- 22067858
TI - Effect of mitomycin C on endoscopic dacryocystorhinostomy.
AB - OBJECTIVE: The objectives of the study were to retrospectively analyze the
efficacy of intraoperative mitomycin C (MMC) in endoscopic dacryocystorhinostomy
(END-DCR) and compare it with external dacryocystorhinostomy (EXT-DCR). METHODS:
For the comfort of the patients, the procedures were performed under general
anesthesia. Intraoperatively during the END-DCR, we applied a cotton pledget
soaked in a 0.5 mg/mL solution of MMC for 2.5 minutes. In each patient, a
silicone tube was placed into the nasal cavity via the superior and inferior
punctae and fixed in the vestibule. We retrospectively analyzed the medical
records of patients who underwent END-DCR and EXT-DCR. RESULTS: A retrospective
review was performed on the medical records of 43 patients (with a total of 49
affected cases) who were admitted to our clinics with a primary complaint of
epiphora. The overall success rates were 91% in END-DCR+MMC and 71.5% in EXT-DCR.
CONCLUSIONS: Mitomycin C, in appropriate doses, minimizes postoperative
granulations and fibrosis. Adjunctive use of MMC is considered to increase the
success rate of END-DCR.
PMID- 22067859
TI - Osteoconductive capacity of hydroxyapatite implanted into the skull of diabetics.
AB - Diabetes mellitus can cause various diseases, including loss of bone mineral
density as a characteristic manifestation of osteoporosis. In this condition,
bone is more vulnerable to pathologic fractures that can be treated by
implantation of biomaterial grafts. The aim of this study was to evaluate the
osteogenic capacity of hydroxyapatite implanted into bone defects in the skull of
nonobese diabetic mice. Fifteen nonobese diabetic mice were divided into 3
groups: control (nondiabetic), spontaneously diabetic, and spontaneously diabetic
receiving insulin replacement applied subcutaneously into the dorsum. Defects
were created experimentally in the skull with a surgical bur and filled with
hydroxyapatite granules. The animals were killed 4 weeks after surgery, and
samples were obtained for analysis. Quantitative methods were used for
measurement of the new bone formation. Data were analyzed by analysis of variance
followed by the Tukey test (P < 0.05). Radiographic results showed good
radiopacity of the hydroxyapatite; however, radiolucent spots were seen between
the hydroxyapatite granules in the diabetic groups, indicating infiltration of
connective tissue. Microscopic results showed projections of newly formed bone
from the margin of bone defect toward the implant. The quantity of newly formed
bone was significantly higher (P < 0.05) than that observed in the diabetic
groups. The recipient area of diabetic groups contained a larger amount of
connective tissue as demonstrated by radiographic analyses. In conclusion, the
osteogenesis guided by the properties of hydroxyapatite may even occur in bone
suffering from the effects of diabetes, but the quantity of newly formed bone is
lower, and the process is slower.
PMID- 22067860
TI - Rugby players' awareness of concussion.
AB - PURPOSE: Concussion is a common issue in most contact sports, such as rugby,
being one of the most troublesome injuries facing the sports medicine physician.
The aim of this article was to survey the knowledge and beliefs concerning
concussion in a sample of young rugby athletes in the northwest of Italy.
METHODS: The athletes of 4 amateur rugby teams completed a questionnaire about
their knowledge about the signs and symptoms of concussion and of return-to-play
strategies and protocols. RESULTS: Twenty-five athletes reported that they had
not been informed by anyone about symptoms of concussion and its consequences.
Among these, 7 players thought they could return to play immediately after a
concussion during the very same match. CONCLUSIONS: The surveyed group in this
study presented a general lack of knowledge of concussion. Rugby athletes and
coaches must be made aware about signs and symptoms of concussion to suspect this
injury. It would be desirable that rugby players are educated regarding the
potential risks of playing while symptomatic.
PMID- 22067861
TI - Analysis of short implants and lateralization of the inferior alveolar nerve with
2-stage dental implants by finite element method.
AB - There are difficulties for dental implant use in posterior mandible when there is
little bone height for implant placement. Among the treatment alternatives
available, there is no direct comparison between short implants and conventional
implants placed with lateralization of the inferior alveolar nerve. The present
study aimed to comparatively evaluate the risk of peri-implant bone loss of the
above treatments. With this aim, computed tomography scans of mandibles were
processed, and implants and prosthetic components were reverse engineered for
reconstruction of three-dimensional models to simulate the biomechanical behavior
of 3-element fixed partial dentures supported by 2 osseointegrated implants,
using simulations with the finite element method. The models of implants were
based on MK III implants (Nobel Biocare) of 5- and 4-mm diameter by 7-mm length,
representing short implants, and 4- and 3.75-mm diameter by 15-mm length,
representing implants used in lateralization of the inferior alveolar nerve. All
models were simulated with prestress concerning the stresses generated by the
torque of the screw. Axial and oblique occlusal loads at 45% were simulated,
resulting in 8 different simulations. The results showed that the risk for bone
loss in osseointegrated implants is greater for treatments with short implants.
PMID- 22067862
TI - Evaluation of the nose profile after maxillary advancement with impaction
surgeries.
AB - OBJECTIVE: The aim of this study was to identify and quantify nasal profile
changes following maxillary advancement (MA) and maxillary advancement with
impaction (MAI) with Le Fort I osteotomies. METHODS: The study consisted of
preoperative and postoperative lateral cephalograms of 42 class III adult
patients. The study sample was divided into 2 groups, with the first group
composed of 22 patients who underwent MA surgery and the second group composed of
20 patients who underwent MAI surgery. In total, 7 skeletal parameters and 17
soft-tissue parameters related to nasal projection, hump, dorsal convexity, and
the nasolabial region were evaluated on the cephalograms, and hard- and soft
tissue relationships were assessed. RESULTS: Nasal length, hump, nasal depths,
distance from the most convex point of the Alar curvature to the most inferior
point of the nostril, alar curvature-subnasale, and subnasale-pronasale
measurements decreased postoperatively. In the MAI group, MA correlated with
significant decreases in nasal length and hump. In the MA group, MA correlated
with pronasale position (P < 0.05); significant decreases in nasal depth,
columella convexity, and subnasale-pronasale length; and significant changes in
subnasale position. CONCLUSIONS: There is little difference in the effects of the
2 different maxillary surgeries on the postoperative nasal profile.
PMID- 22067863
TI - Upper lip asymmetry perception using three-dimensional anthropometry in patients
with unilateral cleft lip deformity.
AB - Conventional anthropometry using photographs has been widely used, but it does
not provide accurate length. For upper lip asymmetry analysis, three-dimensional
photographic system (3D VECTRA; Canfield, Fairfield, NJ) was used to calculate
the ratio of cleft-side and noncleft-side curvature lengths. Thirty patients with
unilateral cleft lip were analyzed. Three-dimensional photographic system was
used to calculate the length ratio of upper lip of cleft and noncleft sides. In
addition, two-dimensional photographs were analyzed by 10 plastic surgeons, and
upper lip asymmetry was scored using a visual analog scale (VAS). Pearson
analysis was used to identify relations between the ratios and the VAS scores.
Intrarater reliability for the VAS scores ranged from 0.653 to 0.925, and
interrater reliability was 0.611. Pearson correlation coefficients for each ratio
and the VAS score were 0.412 (P = 0.024) for cleft-side to noncleft-side
curvature length ratio of Cupid's bow, 0.250 (P = 0.182) for cleft-side to
noncleft-side curvature length ratio from the commissure to the lowest point of
the nasal ala, 0.214 (P = 0.018) for linear length ratio of Cupid's bow, and
0.356 (P = 0.153) for linear length ratio from the commissure to the lowest point
of the nasal ala. Analysis of upper lip asymmetry in unilateral cleft lip
patients using the three-dimensional photographic system is more accurate than
two-dimensional anthropometry. Horizontal curvature length ratio was the most
strongly correlated with VAS score.
PMID- 22067864
TI - Elongated styloid process associated with nevoid basal cell carcinoma syndrome.
AB - This article presents a case with nevoid basal cell carcinoma syndrome (NBCCS)
and an elongated styloid process. Basal cell carcinoma syndrome, also known as
Gorlin-Goltz syndrome, is an autosomal dominant inherited syndrome manifested by
multiple defects involving the skin, nervous system, eyes, endocrine system, and
bones. Elongated styloid process or calcified stylohyoid ligament cause
craniofacial or cervical pain. The actual cause of elongation of the styloid
process or the calcification of the stylohyoid ligament is unclear. The cause of
elongation of styloid process in this case may be the calcification induced by
NBCCS. This report is the first case presentation of NBCCS with elongated styloid
process. Elongated styloid process might be described as an anomaly of an NBCCS.
PMID- 22067865
TI - Mimix hydroxyapatite cement use in the reconstruction of the craniofacial
skeleton.
AB - Reconstruction of the craniofacial skeleton has undergone a significant evolution
during the past century. Initially, the use of autogenous bone grafts from
various sites was the criterion standard. However, owing to donor site morbidity
and lack of sufficient bone for large defects, surgeons have relied on various
bone substitutes. Hydroxyapatite (HA) has served as an alternative to autogenous
grafts, but questions regarding biocompatibility, risk of infection, and slow set
times have hampered its acceptance. This article serves as a review of a single
surgeon's experience using HA in the craniofacial skeleton. Eighteen patients
receiving HA between March 2000 and November 2006 were observed. Sixteen
underwent recontouring of skull-based bone defects, and 2 underwent recontouring
for nasal and alveolar defects. The mean amount of HA used in each patient was
30.2 g. For large contour irregularities, the maximum thickness of HA used was 8
mm. The size of bone defects ameliorated averaged 4.8 cm(2). Complications
occurred in 3 (16.7%) of 18 patients and included scalp hematoma and superficial
cellulitis. In addition, 1 patient developed a facial abscess after placement
along the alveolar floor, which necessitated removal. Hydroxyapatite represents a
viable alternative to autogenous bone grafts when used in the correct manner.
Hydroxyapatite should be used only for smaller defects or used in conjunction
with absorbable plates when attempting to fill larger defects. Use of HA for
nasal piriform augmentation or alveolar bone grafting should not be considered
owing to problems with late infections.
PMID- 22067866
TI - Worldwide incidence of odontogenic tumors.
AB - PURPOSE: The objective of the study was to determine the relative frequency of
odontogenic tumors (OTs) in the world population, directing an epidemiologic
profile to these lesions. METHODS: Was conducted a search in epidemiologic
studies involving OTs that are listed by PubMed and Ovid, EMBASE, and Cochrane
Library, embracing the period from January 1960 to January 2010, to verify their
incidence worldwide. We identified 195 articles, of which 19 articles were
selected, considering the location, age, sex, histologic type, and World Health
Organization classification. RESULTS: A total of 8544 OTs were found. The results
showed a low frequency of malignant OTs in selected works. The most frequent
tumor was ameloblastoma (39.6%), followed by odontoma (20.1%) and the newly
included keratocystic OT (13.8%). CONCLUSIONS: These OTs are uncommon lesions in
world population, and malignant OTs are very rare. The relative frequency of
different kinds of OTs, the age, and the sex distribution show a marked
geographic variation in incidence of those lesions. This was particularly notable
in the ameloblastomas and odontoma, with the incidences being relatively well and
weighted showing similar values to an average when compared with previous
studies.
PMID- 22067867
TI - Microdeletion 3q syndrome.
AB - The authors present the clinical case of a 5-month-old boy, affected by
multimalformative syndrome with features of microdeletion 3q syndrome. In the
literature so far, the real incidence is unknown because of its rarity. The goal
of this study was to describe the salient findings of this rare malformative
syndrome, which needs a multidisciplinary approach. The patient had 3q
interstitial chromosome deletion (q22.1-q25.2). He showed the following clinical
features: microcephaly, microphthalmia, epicantus inversus, blepharophimosis,
palpebral ptosis, short neck with pterygium, brachycephaly, round face,
hypotelorism, broad nasal bridge, beaked nose, large and low-set ears, soft cleft
palate, retromicrognathia with large mouth, arthrogryposis of the superior limbs
and knees in association with clinodactyly, overlapping of second and third
digits of both hands and feet, and gastroesophageal reflux. The patient developed
physical and motor development delay. He was affected by Dandy-walker
malformation, characterized by cerebellum vermis hypoplasia. The placement of the
patient in contiguous gene syndrome (Dandy walker syndrome, Pierre-Robin
sequence, and Seckel syndrome) was carried out by a multidisciplinary team to
have a holistic evaluation of clinical findings. Thanks to this approach, it was
possible to establish a complete diagnostic and therapeutic course. The genetic
analysis enables to arrange an assistive program. Surgeons' attention was focused
on the malformations, which represented an obstacle for normal development and
social life.
PMID- 22067868
TI - Clinical and immunohistochemical analysis of orbital metastasis from prostate
carcinoma.
AB - Orbital tumors can present with different symptoms, especially ophthalmic
disturbances. We describe 1 patient with an orbital metastasis from prostate
carcinoma presenting with proptosis and give details of his presentation,
diagnostic tools, and treatment. This is a rare case. Possible ocular spread of
prostate adenocarcinoma and the diagnostic techniques used are discussed. It is
stressed that immunohistochemical studies and comparison with primary tumor may
be essential for a definitive diagnosis. The treatment of prostate orbital
metastases is also reviewed.
PMID- 22067869
TI - Evaluation of stress patterns produced by implant-retained overdentures and
implant-retained fixed partial denture.
AB - The purposes of this study were to photoelastically measure the biomechanical
behavior of 4 implants retaining different cantilevered bar mandibular
overdenture designs and to compare a fixed partial denture (FPD). A photoelastic
model of a human edentulous mandible was fabricated, which contained 4 screw-type
implants (3.75 * 10 mm) embedded in the parasymphyseal area. An FPD and 3
overdenture designs with the following attachments were evaluated: 3 plastic
Hader clips, 1 Hader clip with 2 posterior resilient cap attachments, and 3
ball/O-ring attachments. Vertical occlusal forces of 100 N were applied between
the central incisor and unilaterally to the right and left second premolars and
second molars. Stresses that developed in the supporting structure were monitored
photoelastically and recorded photographically. The results showed that the
anterior loading, the overdenture with 3 plastic Hader clips, displayed the
largest stress concentration at the medium implant. With premolar loading, the
FPD and overdenture with 3 plastic Hader clips displayed the highest stresses to
the ipsilateral terminal implant. With molar loading, the overdenture with 3
ball/O-ring attachments displayed the most uniform stress distribution in the
posterior edentulous ridge, with less overloading in the terminal implant. It was
concluded that vertical forces applied to the bar-clip overdenture and FPD
created immediate stress patterns of greater magnitude and concentration on the
ipsilateral implants, whereas the ball/O-ring attachments transferred minimal
stress to the implants. The increased cantilever in the FPD caused the highest
stresses to the terminal implant.
PMID- 22067870
TI - Murine RANK protein's inhibition of bone resorption.
AB - OBJECTIVE: The objective was to study the inhibitory effects of recombinant
murine receptor activator of nuclear factor kappaB (RANK) protein on osteoclasts
in vivo and in vitro. METHODS: The RANK protein was added to the cocultures of
osteoclasts at concentrations of 10(-6), 10(-5), and 10(-4) g/L. The morphology
and number of osteoclasts were examined. Female KM mice were ovariectomized and
treated with RANK protein at 5 mg/kg body weight. Biochemical markers of bone
metabolism, bone mineral density, and bone morphology were examined. RESULTS:
Three days after RANK treatment, the numbers of tartrate-resistant acid
phosphatase-positive osteoclasts and resorption pits in bone slices decreased
significantly in each treatment group, with the most significant decrease
observed in the 10(-4) g/L group. Compared with the control group in vivo, the
RANK-treated group exhibited higher bone mineral density and nearly complete
inhibition of tartrate-resistant acid phosphatase-positive osteoclasts in bone
slices. CONCLUSIONS: Recombinant murine RANK protein effectively inhibits the
activity of osteoclasts and the resulting bone resorption.
PMID- 22067871
TI - Complex craniofacial reconstruction with prostheses as an alternative method to
autogenous reconstruction.
AB - Reconstruction of facial organ and tissue losses using autogenous tissue is a
permanent and effective method in plastic surgery. However, in cases where the
patient's general condition does not allow for surgical reconstruction or where
the patient is in need of reconstruction of complex organs such as the eye, nose,
or ear, an epithesis can be considered as an alternative to treatment with
autogenous tissue. The purpose of this study was to investigate the factors
affecting the success rate of facial reconstructions with an externally retained
prosthesis in relation to the defect location and radiotherapy treatment. Between
September 2004 and October 2008, 11 patients with facial tissue defects who had
been treated with an osseointegrated implant system were evaluated. Because 1
patient with a midfacial defect died 3 months after the surgery, this case was
excluded, leaving a total of 10 patients for evaluation. Five patients' facial
defects were located in the ear, 2 patients had nasal defects, and 3 patients had
defects in the midfacial region. The total numbers of implants applied to these
regions were 13, 6, and 25, respectively. A total of 44 implants applied were
followed for an average period of 52.4 months (between 6 and 77 months). In cases
where the reconstruction was considered satisfactory, no infections were
observed, and there were no implant losses in the mastoid region. Implant losses
were observed in a total of 14 implants (31.8%), 2 of which were in the glabellar
region (4.5%), and 12 were in the midfacial region (27.2%). The implant losses
were observed between the first and 18th postsurgical months (with an average of
6 months). The remaining implants were sufficient to retain the prostheses.
Because of these results, the use of implant-assisted epitheses can be considered
a viable alternative in patients whose facial defects cannot be corrected through
reconstructive surgery performed with autogenous tissue.
PMID- 22067872
TI - Mandibular sagittal split osteotomy: is a bicortical 2-screw osteosynthesis
adequate?
AB - The aim of this study was to prospectively evaluate the accuracy and reliability
of the use of the Hunsuck/Epker-type mandibular split osteotomy together with
osteosynthesis with placement of 2 bicortical positioning screws without the
adjunctive use of a postoperative maxilla-mandibular fixation in the treatment of
mandibular dentofacial deformities. We analyzed the clinical and radiologic data
of 54 patients with dentofacial deformities. We recorded age and sex, status of
healing, and complications. Postsurgical complications that were recorded as
minor did not require surgical intervention, whereas major complications required
further surgical intervention. Fifty-one patients (94.5%) had a successful
treatment outcome without complications, 13 patients (24%) developed minor
complications, and 3 patients (5.5%) developed screw loosening requiring hardware
removal and reosteosynthesis. The present study has demonstrated that
Hunsuck/Epker-type mandibular split osteotomy together with osteosynthesis with
placement of 2 bicortical positioning screws without the adjunctive use of a
postoperative maxilla-mandibular fixation in the treatment of mandibular
dentofacial deformities results in a low rate of major complications with a high
rate of success
PMID- 22067873
TI - Calcium hydroxide mixed with camphoric p-monochlorophenol or chlorhexidine in
delayed tooth replantation.
AB - This study evaluated the repair process after delayed replantation of rat teeth,
using calcium hydroxide (Ca(OH)2) mixed with camphorated p-monochlorophenol
(CMCP), chlorhexidine 2% (CHX), or saline as temporary root canal dressing to
prevent and/or control inflammatory radicular resorption. Thirty Wistar rats
(Rattus norvegicus albinos) had their right upper incisor extracted, which was
bench-dried for 60 minutes. The dental papilla, the enamel organ, the dental
pulp, and the periodontal ligament were removed. The teeth were immersed in 2%
acidulated-phosphate sodium fluoride solution for 10 minutes. The root canals
were dried with absorbent paper cones and divided into 3 groups of 10 animals
according to root canal dressing used: group 1: Ca(OH)2 + saline, group 2:
Ca(OH)2 + CMCP, and group 3: Ca(OH)2 + CHX 2%. Before replanting, the teeth
sockets were irrigated with saline. Histological analysis revealed the presence
of inflammatory resorption, replacement resorption, and ankylosis in all 3
groups. Statistical analysis showed a significant difference between group 3 and
the other groups. The use of Ca(OH)2 mixed with CMCP or CHX did not show an
advantage over the use of Ca(OH)2 mixed with saline in preventing and/or
controlling inflammatory resorption in delayed replantation of rat teeth.
PMID- 22067874
TI - Defining the protruding ear.
AB - OBJECTIVE: Although protruding ears are one of the most frequently occurring
congenital deformities in the craniofacial area, there is no clear consensus in
literature as to the definition. Our aim was to provide a clear delineation for
the definition of prominent ears, deduced from the anthropometry of normal
(nonprotruding) ears. METHODS: We performed a prospective cohort study to assess
the anatomy of the normal auricle in children using computer-assisted
anthropometry in photographs of 102 children aged 5 to 18 years. We compared the
anatomy to retrospectively assess photographs of 44 patients who were listed for
prominent ear correction. RESULTS: Our results show that protrusion differs
statistically between sexes. In view of these data, an ear could be defined as
prominent when the upper protrusion exceeds 21.5 mm or the lower protrusion
exceeds 20.0 mm in boys and the upper protrusion exceeds 17.5 mm or the lower
protrusion exceeds 15.5 mm in girls. Using these demarcations, 87.5% of our
operated prominent ears would qualify as a prominent ear. In addition, we found
that prominent ears have larger auricular lengths and consequently larger surface
measurements compared with normal ears (P = 0.001). Up to 19.3% of the normal
ears showed an asymmetry of more than 3 mm concerning protrusion. CONCLUSIONS:
Protrusion differs significantly between sexes. This indicates that different
criteria should be used to judge protrusion in boys and girls. None of our
prominent ears exceeded only the demarcation in the lower protrusion, suggesting
that upper protrusion plays a larger role in the perception of prominence than
lower protrusion and should therefore be the main objective of corrective
otoplasty. Furthermore, the variation of asymmetry of protrusion in the normal
population indicates that an often used success criteria in otoplasty of an
asymmetry less than 3 mm may be too strict.
PMID- 22067875
TI - Intraoral curved ostectomy for prominent mandibular angle by grinding, contiguous
drilling, and chiseling.
AB - There are several surgical techniques for mandibuloplasty, for example, ostectomy
of the lateral cortex around the mandibular angle, angle-splitting ostectomy,
sagittal split ramus ostectomy, multistage osteotomy of the mandibular angle.
These techniques all have achieved excellent aesthetic results, but they require
a high level of skill and are time consuming. From July 1995 to June 2010, a
total of 1006 patients underwent intraoral curved ostectomy for prominent
mandibular angle by grinding, contiguous drilling, and chiseling in our
department. A round bur was used to reduce the outer cortex thickness of the
mandible body. The ostectomy line on the mandibular angle was penetrated
contiguously using a long-shaft bur drill. A curved-headed chisel approximately
10 mm in width was hammered to separate the redundant mandibular angle.
Concurrent procedures such as reduction malarplasty and genioplasty were
performed in patients with protrusion of the malar bone and microgenia. A total
of 992 patients were satisfied with the final facial contour. The square-shaped
appearance was converted to an ovoid, slender, and feminine facial contour from
the frontal view. Ninety-three patients underwent postoperative complications.
Among the 93 cases, there were 14 patients who were unsatisfied with the final
results. The average operative time was 42 minutes for ostectomy of prominent
mandibular angle. Intraoral curved ostectomy for prominent mandibular angle by
grinding, contiguous drilling, and chiseling is an appropriate technique for
correcting lower facial contour. The surgical technique is not complex, and the
ostectomy is easy to manipulate. The operation consumes less time. Complications
of various degrees are relatively lower, and most of them can be treated
effectively. Both surgeons and most of the patients are satisfied with the
results.
PMID- 22067877
TI - Renal system.
PMID- 22067876
TI - Retinoic acid pathway activity in Wilms tumors and characterization of biological
responses in vitro.
AB - BACKGROUND: Wilms tumor (WT) is one of the most common malignancies in childhood.
With current therapy protocols up to 90% of patients can be cured, but there is
still a need to improve therapy for patients with aggressive WT and to reduce
treatment intensity where possible. Prior data suggested a deregulation of the
retinoic acid (RA) signaling pathway in high-risk WT, but its mode of action
remained unclear. RESULTS: The association of retinoid signaling and clinical
parameters could be validated in a large independent tumor set, but its relevance
in primary nephrectomy tumors from very young children may be different. Reduced
RA pathway activity and MYCN overexpression were found in high risk tumors as
opposed to tumors with low/intermediate risk, suggesting a beneficial impact of
RA especially on advanced WT. To search for possible modes of action of retinoids
as novel therapeutic options, primary tumor cell cultures were treated in vitro
with all-trans-RA (ATRA), 9cis-RA, fenretinide and combinations of retinoids and
a histone deacetylase (HDAC) inhibitor. Genes deregulated in high risk tumors
showed opposite changes upon treatment suggesting a positive effect of retinoids.
6/7 primary cultures tested reduced proliferation, irrespective of prior RA
signaling levels. The only variant culture was derived from mesoblastic nephroma,
a distinct childhood kidney neoplasm. Retinoid/HDAC inhibitor combinations
provided no synergistic effect. ATRA and 9cis-RA induced morphological changes
suggestive of differentiation, while fenretinide induced apoptosis in several
cultures tested. Microarray analysis of ATRA treated WT cells revealed
differential expression of many genes involved in extracellular matrix formation
and osteogenic, neuronal or muscle differentiation. The effects documented appear
to be reversible upon drug withdrawal, however. CONCLUSIONS: Altered retinoic
acid signaling has been validated especially in high risk Wilms tumors. In vitro
testing of primary tumor cultures provided clear evidence of a potential utility
of retinoids in Wilms tumor treatment based on the analysis of gene expression,
proliferation, differentiation and apoptosis.
PMID- 22067878
TI - Worldwide demand for critical care.
AB - PURPOSE OF REVIEW: Interest in the global burden of critical illness is growing,
but comprehensive data to describe this burden and the resources available to
provide care for critically ill patients are lacking. RECENT FINDINGS: Challenges
to obtaining population-based global estimates of critical illness and resources
to treat it include the syndrome-based definitions of critical illness, incorrect
equating of 'critical illness' with 'admission to an intensive care unit', lack
of reliable case ascertainment in administrative data, and short prodrome and
high mortality of critical illness, limiting the number of prevalent cases.
Modeling techniques will be required to estimate the burden of critical illness
and disparities in access to critical care using existing data sources. Demand
for critical care is likely to increase, related to urbanization, an aging
demographic, and the ongoing wars, disasters, and pandemics, whereas economic
crises will likely decrease the ability to pay for it. SUMMARY: Major unexplored
research and public health questions remain unanswered regarding the worldwide
burden of critical illness, variation in resources available for treatment, and
strategies to prevent and treat critical illness that are broadly effective and
feasible.
PMID- 22067879
TI - Remote care by telemedicine in the ICU: many models of care can be effective.
AB - PURPOSE OF REVIEW: Telemedicine, by the use of audiovisual technologies, is
increasingly being used to assist in patient care by ICUs unable to be staffed by
consultant intensivists. This review discusses the recent evaluation of these
services and their potential role in managing intensive care patients. RECENT
FINDINGS: Models of care range from complete remote 24 h surveillance requiring
direct video observation to a consultation liaison service only requiring
conventional telephone links. There has been a rapid adoption of such services
especially in North America where access to on-site intensive care specialists is
limited for the volume of intensive care being undertaken. Early work suggests
savings in terms of cost and length of stay with an improvement in compliance
with care protocols. However, later work is not as supportive of such services,
possibly related to differing care infrastructures and the organization of
individual units. The key task is to ascertain the most appropriate service
requirements that would assist in care for a given patient circumstance. SUMMARY:
Clear benefits of ICU-telemedicine systems remain unclear but at least the
systems appear safe. Formal reviews of the impacts and contribution of ICU
telemedicine to processes of care, the effects on unit staffing, hospital
organization, and the healthcare region are needed. However, ICU-telemedicine is
available and being embraced by some, especially to deal with the tyranny of
distance.
PMID- 22067880
TI - Current world literature.
PMID- 22067882
TI - A high court of wound care?
AB - I have just arrived back from two very enjoyable (albeit rainy) days in Galway,
attending the Wound Management Association of Ireland's biennial conference. The
title of one of the sessions, 'The great debate: technology versus dressings in
wound management - is there a difference in outcomes?', caught my eye.
Interestingly, while listening to the proceedings, it became apparent that there
was more consensus than debate among the delegates, with most agreeing that the
key to success is simply the ability to identify which option is most suited to
the needs of the patient and wound. Ironically, given that we were talking about
new technology, the old phrase 'back to basics' was used repeatedly, referring in
this instance to the need for thorough and holistic assessment. Plus ca change,
plus c'est la meme chose!
PMID- 22067883
TI - Quantitative estimation of exudate volume for full-thickness pressure ulcers: the
ESTimation method.
AB - OBJECTIVE: To develop an equation for the estimation of exudate volume in
millilitres, for full-thickness pressure ulcers, according to wound
characteristics. METHOD: In a cross-sectional study, 41 patients aged >60 years
with 58 full-thickness pressure ulcers were evaluated. Exudate was collected by
covering each wound with a transparent occlusive dressing and the accumulated
volume was measured to estimate volume per day. The overall severity of each
wound was evaluated by the DESIGN-R tool; a model was then developed to estimate
the volume of exudate based on these experimental values. Linear regression
analyses were performed to evaluate the precision and accuracy of the model.
RESULTS: The model, including exudate score, size score, and total score, showed
a higher adjusted coefficient of determination (R2=0.66) than the model with only
a traditional exudate score (R2=0.57). After adjustment for age, inclusion of
interaction terms, and modification of bias, a model with continuous parameters
was finally developed: exudate volume per day (ml/day) = exp([0.86*exudate
score]+ [0.21*size score]+[0.12*total score]-[0.013*size score*total score]
[0.04*age]-3.60). Furthermore, a categorical model was developed for clinical
simplicity of use. The adjusted R2 was increased to 0.73 for the continuous model
and to 0.77 for the categorical model. There were no apparent biases (p>0.05) and
no correlations between residuals and measured value (p>0.05) in these models.
CONCLUSION: The equation, including the exudate score, size score and total score
of DESIGN-R, as well as age, is called the ESTimation method. It will be useful
for clinicians to predict the absolute volume of exudate and to select
appropriate dressings for full-thickness pressure ulcers. DECLARATION OF
INTEREST: The authors have nothing to declare.
PMID- 22067884
TI - Modelling the cost-effectiveness of electric stimulation therapy in non-healing
venous leg ulcers.
AB - OBJECTIVE: To estimate the cost-effectiveness of using electric stimulation (ES)
therapy (Accel-Heal) plus dressings and compression bandaging compared with
dressings and compression bandaging alone in treating chronic, non-healing venous
leg ulcers (VLUs) of >6 months' duration from the perspective of the National
Health Service (NHS) in the UK. METHOD: A 5-month Markov model was constructed,
depicting the management of a chronic, non-healing VLU of >6 months' duration.
The model considers the decision by a clinician to continue with a patient's
previous care plan (comprising dressings and compression bandaging) or treating
with ES therapy plus dressings and compression bandaging. The model was used to
estimate the relative cost-effectiveness of ES therapy at 2008-2009 prices.
RESULTS: According to the model, 38% of VLUs are expected to heal within 5 months
after starting ES therapy, with a further 57% expected to improve. This
improvement in clinical outcome is expected to lead to a 6% health gain of 0.017
QALYs (from 0.299 to 0.316 QALYs) over 5 months. The model also showed that using
ES therapy instead of continuing with a patient's previous care plan is expected
to reduce the NHS cost of managing them by 15%, from L880 to L749, due in part to
a 27% reduction in the requirement for nurse visits (from mean 49.0 to 35.9
visits per patient) over the first 5 months after the start of treatment. Hence,
use of ES therapy was found to be a dominant treatment (improved outcome for less
cost). CONCLUSION: Within the model's limitations, use of ES therapy potentially
affords the NHS a cost-effective treatment, compared with patients remaining on
their previous care plan in managing chronic, non-healing VLUs of >6 months'
duration. However, this is dependant on the number of ES therapy units per
treatment, the unit cost of the device, and the number of nurse visits required
to manage patients in clinical practice. DECLARATION OF INTEREST: This study was
sponsored by Synapse Microcurrent Ltd., manufacturers of Accel-Heal. The authors
have no other conflicts of interest that are directly relevant to the content of
this manuscript. In particular, Synapse Microcurrent Ltd. had no role in the
study design, in the collection, analysis and interpretation of data, or in
writing the manuscript.
PMID- 22067885
TI - Effect of simulated leg length discrepancy on plantar pressure distribution in
diabetic patients with neuropathic foot ulceration.
AB - OBJECTIVE: To study the effect of a minor degree of artificially induced leg
length discrepancy (LLD) on plantar pressure distribution in diabetic patients
with neuropathic foot ulceration. METHOD: In-shoe plantar pressure distributions
were measured on the ulcerated foot during walking using F-scan (Tekscan Inc.).
To simulate minor LLD, the contralateral leg length was changed by asking
patients to walk under three different conditions: wearing shoe of the same sole
thickness (NLLD), walking with a bare foot (20mm long leg) and wearing a 40mm
high platform-sole shoe (20mm short leg). These three different walking
conditions were compared in a randomised, single-blinded crossover design.
RESULTS: The study included 28 diabetic patients with neuropathic foot ulcers
(53.7 +/- 6.8 years; 16 males, 12 female). Notably, the peak pressure and
pressure time integral (PTI) were the most affected parameters. PTI significantly
increased beneath total foot, mid-foot, 2nd, 3rd, 4th and 5th metatarsal heads
(MTHs), and 3rd toe, when the 20mm short leg was simulated (79.4 +/- 21.1; 61.5
+/- 32.3; 59.9 +/- 36.5; 69 +/- 42.1; 70.6 +/- 42.3; 63.9 +/- 33.7; 40.+/- 33.2
kPa.s, respectively), compared with NLLD (73.7 +/- 19.9; 55.524; 51.8 +/- 30.1;
58.4 +/- 37.6; 60.3 +/- 39.5; 57.2 +/- 32.3; 36.9 +/- 33.3 kPa.s, respectively).
CONCLUSION: The short leg of diabetic patients with neuropathic foot ulcers will
be subjected to greater pressure load, primarily beneath the total foot, mid-foot
and 2nd, 3rd, 4th and 5th metatarsal heads. As such, care should be taken to
avoid minor LLD, as it could inadvertently develop on using offloading devices.
DECLARATION OF INTEREST: The authors have nothing to declare.
PMID- 22067886
TI - The MOEWAT as a proposed method of evaluating orbital exenteration wounds.
AB - Orbital exenteration is a disfiguring and life-changing surgical procedure that
involves the removal of the socket contents, including the eye, surrounding
adnexa and, in some cases, the eyelids, orbital bone and sinuses. Wound healing
of exenterated sockets can be complex and may take several months to completely
heal. In an attempt to provide a framework for continuity of care for patients
who undergo orbital exenteration surgery, we propose a socket wound assessment
tool, which will allow professionals to track changes in orbital exenteration
wounds. The Manchester Orbital Exenteration Wound Assessment tool (MOEWAT) has
been developed to facilitate assessment and evaluation of postoperative
exenterated sockets at any given time. Consequently, as the first assessment
instrument specifically developed for use in orbital exenterated patients, the
MOEWAT could provide a basis for wound-care decision-making in relation to
clinical findings.
PMID- 22067887
TI - The effect of temperature and humidity on the permeability of film dressings.
AB - The moisture vapour permeability of a dressing can make an important contribution
to its overall fluid handling capacity. Despite the fact that this parameter is
often quoted in scientific literature and manufacturers' promotional material, it
is a concept that is not generally well understood. In particular, the effect of
changing environmental conditions upon experimentally determined permeability
values for particular dressings is rarely acknowledged. The present study was
undertaken to derive and validate a method that could be used to predict the
permeability of a self-adhesive semipermeable film dressing under specific
conditions of temperature and humidity using a single set of data generated in
the laboratory. A calculator that facilitates this process is also described.
PMID- 22067888
TI - Complex lower extremity wounds treated with skin grafts and NPWT: a retrospective
review.
AB - OBJECTIVE: To evaluate a single centre experience with the use of NPWT for
securing split-thickness skin grafts in the management of specifically lower
extremity chronic wounds, including revascularised arterial wounds, amputations,
diabetic and venous leg ulcers. METHOD: A seven-year retrospective review of a
prospectively maintained database of all the patients who underwent primary split
thickness skin grafts (STSGs) with immediate postoperative NPWT for at least 96
hours was carried out. The percentage graft take after removal of NPWT device and
clinical follow-up date were reviewed. RESULTS: A total of 59 skin grafts
procedures had adequate follow up to be reviewed. This included 39% post
debridement/ amputation wounds in patients who presented with diabetic foot
infection/gangrene, 31% venous leg ulcers, and 31% other post-surgical wounds
(arterial ulcers that had undergone revascularisation). The mean percentage graft
survival after removal of V.A.C. was 94%; 63% of cases had complete graft
survival, 25% had 90-99% survival, and 8.5% had 80-89% survival. Outpatient
follow up ranged from 2 weeks to 5 years (mean of 10 months). Fifteen per cent of
patients were lost to follow up, and, of the remaining patients, 76% remained
completely healed, 10% remained partially healed, and 14% lost the entire STSG.
CONCLUSION: Patients with STSGs secured with NPWT required fewer repeated
grafting procedures, had very high initial graft survival with complete recipient
bed coverage, and had good long-term wound closure rates compared with historical
controls. While retrospective reviews, such as this, support NPWT as a good
method of STSG affixation, the paucity of reviews with other study designs does
not allow for good historic comparison, so a well-enrolled prospective trial
would be of use. DECLARATION OF INTEREST: Dr J.C. Lantis is a member of the
speakers' bureau, has been a site principal investigator of four multicentre
trials and has been a consultant for KCI Inc. The same author has no equity in
the company and has no financial benefit from positive results for the company's
product(s). No external funding was sought nor obtained for this study.
PMID- 22067889
TI - The potential role of preventing atherosclerosis by induction of neonatal
tolerance to VLDL.
AB - Induction of immune tolerance to ox-LDL could reduce atherosclerosis by
modulation immune response. We suppose that very low density lipoprotein (VLDL)
may have a similar role to ox-LDL in autoimmune response of atherosclerosis. In
this study, neonatal rats were injected with ox-LDL, VLDL, or equal-volume
saline, respectively. Vaccination with ox-LDL reduced the level of specific
antibody, T cells proliferation response, and the level of endothelins. The
method also had a tendency of reducing blood lipids. Vaccination with VLDL
obviously reduced the level of specific antibody and T cells proliferation.
Though there was also a tendency of reducing blood lipids and endothelins, the
effect was less prominent than that with ox-LDL. We conclude that, although the
effect was less obvious, vaccination with VLDL to induce neonatal tolerance had
an effect on modulating immune response, protecting endothelial cells, and
reducing blood lipids.
PMID- 22067897
TI - Migraine- and dystonia-related disease-mutations of Na+/K+-ATPases: relevance of
behavioral studies in mice to disease symptoms and neurological manifestations in
humans.
AB - The two autosomal dominantly inherited neurological diseases: familial hemiplegic
migraine type 2 (FHM2) and familial rapid-onset of dystonia-parkinsonism
(Familial RDP) are caused by in vivo mutations of specific alpha subunits of the
sodium-potassium pump (Na(+)/K(+)-ATPase). Intriguingly, patients with classical
FHM2 and RDP symptoms additionally suffer from other manifestations, such as
epilepsy/seizures and developmental disabilities. Recent studies of FHM2 and RDP
mouse models provide valuable tools for dissecting the vital roles of the
Na(+)/K(+)-ATPases, and we discuss their relevance to the complex patient
symptoms and manifestations. Thus, it is interesting that mouse models targeting
a specific alpha-isoform cause different, although still comparable, phenotypes
consistent with classical symptoms and other manifestations observed in FHM2 and
RDP patients. This review highlights that use of mouse models have broad
potentials for future research concerning migraine and dystonia-related diseases,
which will contribute towards understanding the, yet unknown, pathophysiologies.
PMID- 22067898
TI - Does surgery for breast cancer induce angiogenesis and thus promote metastasis?
AB - At the time of surgery for breast cancer, cancer cells released from the primary
tumor have most likely entered blood or lymphatic vessels, leading to the
development of micrometastases. Cancer cells directly produce angiogenesis
stimulators, provoke the release of stimulators bound to the surrounding
extracellular matrix and induce macrophages to secrete angiogenesis stimulators,
thereby promoting angiogenesis. Metastasis dormancy is characterized by a balance
between cell proliferation and apoptosis and is thought to be controlled by
increased apoptosis, indirectly induced by angiogenesis inhibitors. Many patients
with solid tumors already have micrometastases at the time of detection and
surgical removal of their primary tumors. Primary tumor resection is believed to
stimulate angiogenesis, initiating the proliferation of latent micrometastases.
Latent micrometastases have already acquired angiogenic potential. The provision
of additional therapy to inhibit angiogenesis after surgery is therefore
considered a rational approach. The effectiveness of dormancy therapy should be
evaluated in the prospective clinical trials of chemotherapy with drugs such as
cyclophosphamide and UFT, which have been reported to inhibit angiogenesis as
demonstrated by the numbers of circulating endothelial cells and circulating
endothelial progenitors in peripheral blood before and after surgery in women
with primary breast cancer.
PMID- 22067899
TI - An Observational Study of the Etiology, clinical presentation and outcomes
associated with peritonitis in Lilongwe, Malawi.
AB - INTRODUCTION: Peritonitis is a life-threatening condition with a multitude of
etiologies that can vary with geographic location. The aims of this study were to
elucidate the etiology, clinical presentation and outcomes associated with
peritonitis in Lilongwe, Malawi. METHODS: All patients admitted to Kamuzu Central
Hospital (KCH) who underwent an operation for treatment of peritonitis during the
calendar year 2008 were eligible. Peritonitis was defined as abdominal rigidity,
rebound tenderness, and/or guarding in one or more abdominal quadrants. Subjects
were identified from a review of the medical records for all patients admitted to
the adult general surgical ward and the operative log book. Those who met the
definition of peritonitis and underwent celiotomy were included. RESULTS: 190
subjects were identified. The most common etiologies were appendicitis (22%),
intestinal volvulus (17%), perforated peptic ulcer (11%) and small bowel
perforation (11%). The overall mortality rate associated with peritonitis was
15%, with the highest mortality rates observed in solid organ rupture (35%),
perforated peptic ulcer (33%), primary/idiopathic peritonitis (27%), tubo-ovarian
abscess (20%) and small bowel perforation (15%). Factors associated with death
included abdominal rigidity, generalized (versus localized) peritonitis,
hypotension, tachycardia and anemia (p < 0.05). Age, gender, symptoms
(obstipation, vomiting) and symptom duration, tachypnea, abnormal temperature,
leukocytosis, hemoconcentration, thrombocytopenia and thrombocytosis were not
associated with mortality (p = NS). CONCLUSIONS: There are several signs and
laboratory findings predictive of poor outcome in Malawian patients with
peritonitis. Tachycardia, hypotension, anemia, abdominal rigidity and generalized
peritonitis are the most predictive of death (P < 0.05 for each). Similar to
studies from other African countries, in our population the most common cause of
peritonitis was appendicitis, and the overall mortality rate among all patients
with peritonitis was 15%. Identified geographical differences included intestinal
volvulus, rare in the US but the 2nd most common cause of peritonitis in Malawi
and gallbladder disease, common in Ethiopia but not observed in Malawi. Future
research should investigate whether correction of factors associated with
mortality might improve outcomes.
PMID- 22067900
TI - Individual variability and environmental characteristics influence older adults'
abilities to manage everyday technology.
AB - BACKGROUND: The ability to manage everyday technology (ET), such as computers and
microwave ovens, is increasingly required in the performance of everyday
activities and participation in society. This study aimed to identify aspects
that influence the ability to manage ET among older adults with and without
cognitive impairment. METHODS: Older adults with mild Alzheimer's disease and
mild cognitive impairment and without known cognitive impairment were assessed as
they managed their ET at home. Data were collected using the Management of
Everyday Technology Assessment (META). Rasch-based measures of the person's
ability to manage ET were analyzed. These measures were used as dependent
variables in backward procedure ANOVA analyses. Different predefined aspects that
could influence the ability to manage ET were used as independent variables.
RESULTS: Three aspects had a significant effect upon the ability to manage ET.
These were: (1) variability in intrapersonal capacities (such as "the capacity to
pay attention and focus", (2) environmental characteristics (such as "the impact
of the design") and (3) diagnostic group. CONCLUSIONS: Variability in
intrapersonal capacities seems to be of more importance than the actual level of
intrapersonal capacity in relation to the ability to manage ET for this sample.
This implies that investigations of ability to manage ET should also include
intraperson variability. Additionally, adaptations in environmental
characteristics could simplify the management of ET to support older adults as
technology users.
PMID- 22067901
TI - Anti-inflammatory effects of benfotiamine are mediated through the regulation of
the arachidonic acid pathway in macrophages.
AB - Benfotiamine, a lipid-soluble analogue of vitamin B1, is a potent antioxidant
that is used as a food supplement for the treatment of diabetic complications.
Our recent study (U.C. Yadav et al., Free Radic. Biol. Med. 48:1423-1434, 2010)
indicates a novel role for benfotiamine in the prevention of bacterial endotoxin,
lipopolysaccharide (LPS)-induced cytotoxicity and inflammatory response in murine
macrophages. Nevertheless, it remains unclear how benfotiamine mediates anti
inflammatory effects. In this study, we investigated the anti-inflammatory role
of benfotiamine in regulating arachidonic acid (AA) pathway-generated
inflammatory lipid mediators in RAW264.7 macrophages. Benfotiamine prevented the
LPS-induced activation of cPLA2 and release of AA metabolites such as
leukotrienes, prostaglandin E2, thromboxane 2 (TXB2), and prostacyclin (PGI2) in
macrophages. Further, LPS-induced expression of AA-metabolizing enzymes such as
COX-2, LOX-5, TXB synthase, and PGI2 synthase was significantly blocked by
benfotiamine. Furthermore, benfotiamine prevented the LPS-induced phosphorylation
of ERK1/2 and expression of transcription factors NF-kappaB and Egr-1.
Benfotiamine also prevented the LPS-induced oxidative stress and protein-HNE
adduct formation. Most importantly, compared to specific COX-2 and LOX-5
inhibitors, benfotiamine significantly prevented LPS-induced macrophage death and
monocyte adhesion to endothelial cells. Thus, our studies indicate that the dual
regulation of the COX and LOX pathways in AA metabolism could be a novel
mechanism by which benfotiamine exhibits its potential anti-inflammatory
response.
PMID- 22067902
TI - Impact of oral ibandronate 150 mg once monthly on bone structure and density in
post-menopausal osteoporosis or osteopenia derived from in vivo MUCT.
AB - The effect of ibandronate 150 mg/once monthly in the treatment of post-menopausal
osteopenia and osteoporosis on bone micro-structure at the distal tibia and
radius has not been considered to date. Seventy post-menopausal women with
osteoporosis or osteopenia were recruited. All subjects received calcium and
vitamin D supplementation and were randomized to either a group which took 150 mg
ibandronate oral monthly or a placebo group over a 12-month period. MUCT measures
of the distal tibia and radius were conducted every three months, with DXA lumbar
spine and hip measurements conducted only pre and post and serum markers of bone
formation and resorption measured every 6 months. After 12-months no significant
impact of ibandronate on the primary outcome measures bone-volume to tissue
volume and trabecular separation at the distal tibia (p>=0.15) was found. Further
multiple regression analyses of the primary end-points indicated a significant
effect favoring the ibandronate intervention (p=0.045). Analysis of secondary end
points showed greater increases in distal tibia cortical thickness, cortical
density and total density (p<=0.043) with ibandronate and no significant effects
at the distal radius, but greater increases of hip DXA-BMD and lumbar spine DXA
BMD (p<=0.017). Ibandronate use resulted in a marked reduction in bone turnover
(p<0.001). While ibandronate resulted in greater mineralization of bone, this
effect differed from one body region to another. There was some impact of
ibandronate on bone structure (cortical thickness) at the distal tibia, but not
on bone-volume to tissue-volume or trabecular separation.
PMID- 22067903
TI - Molecular characterisation of formalin-fixed paraffin-embedded (FFPE) breast
tumour specimens using a custom 512-gene breast cancer bead array-based platform.
AB - BACKGROUND: Formalin-fixed, paraffin-embedded (FFPE) tumour tissue represents an
immense but mainly untapped resource with respect to molecular profiling. The
DASL (cDNA-mediated Annealing, Selection, extension, and Ligation) assay is a
recently described, RT-PCR-based, highly multiplexed high-throughput gene
expression platform developed by Illumina specifically for fragmented RNA
typically obtained from FFPE specimens, which enables expression profiling. In
order to extend the utility of the DASL assay for breast cancer, we have custom
designed and validated a 512-gene human breast cancer panel. METHODS: The RNA
from FFPE breast tumour specimens were analysed using the DASL assay. Breast
cancer subtype was defined from pathology immunohistochemical (IHC) staining.
Differentially expressed genes between the IHC-defined subtypes were assessed by
prediction analysis of microarrays (PAM) and then used in the analysis of two
published data sets with clinical outcome data. RESULTS: Gene expression
signatures on our custom breast cancer panel were very reproducible between
replicates (average Pearson's R2=0.962) and the 152 genes common to both the
standard cancer DASL panel (Illumina) and our breast cancer DASL panel were
similarly expressed for samples run on both panels (average R2=0.877). Moreover,
expression of ESR1, PGR and ERBB2 corresponded well with their respective
pathology-defined IHC status. A 30-gene set indicative of IHC-defined breast
cancer subtypes was found to segregate samples based on their subtype in our data
sets and published data sets. Furthermore, several of these genes were
significantly associated with overall survival (OS) and relapse-free survival
(RFS) in these previously published data sets, indicating that they are
biomarkers of the different breast cancer subtypes and the prognostic outcomes
associated with these subtypes. CONCLUSION: We have demonstrated the ability to
expression profile degraded RNA transcripts derived from FFPE tissues on the DASL
platform. Importantly, we have identified a 30-biomarker gene set that can
classify breast cancer into subtypes and have shown that a subset of these
markers is prognostic of OS and RFS.
PMID- 22067904
TI - Overexpression of TMPRSS4 in non-small cell lung cancer is associated with poor
prognosis in patients with squamous histology.
AB - BACKGROUND: Mortality rates in lung cancer patients have not decreased
significantly in recent years, even with the implementation of new therapeutic
regimens. One of the main problems is that a large proportion of patients present
local or distant metastasis at the time of diagnosis. The need for identification
of novel biomarkers and therapeutic targets for a more effective management of
lung cancer led us to investigate TMPRSS4, a protease reported to promote tumour
growth and metastasis. MATERIAL AND METHODS: In all, 34 lung cancer cell lines
were used to evaluate the TMPRSS4 expression. Cell migration and clonogenic
assays, and an in-vivo lung metastasis model were used for functional analysis of
the TMPRSS4 downregulation in H358, H441 and H2170 cell lines. The TMPRSS4
expression analysis in normal and malignant lung tissue samples was performed by
qPCR. Five different microarray-based publicly available expression databases
were used to validate our results and to study prognosis. RESULTS: The TMPRSS4
knock down in H358, H441 and H2170 cells resulted in a significant reduction in
proliferation, clonogenic capacity and invasion. A significant (P<0.05) decrease
in the lung colonisation and growth was found when mice were injected with
TMPRSS4-depleated H358-derived clones, as compared with controls. Expression of
TMPRSS4 showed a >30-fold increase (P<0.001) in tumours in comparison with non
malignant samples. Levels in tumours with squamous cell carcinoma (SCC) histology
were found to be significantly higher (P<0.001) than those with adenocarcinoma
(AC) histology, which was confirmed in data retrieved from the microarrays.
Kaplan-Meier curves demonstrated that high levels of TMPRSS4 were significantly
associated (P=0.017) with reduced overall survival in the patients with SCC
histology, whereas no correlation was found for the AC histology. CONCLUSION: Our
results demonstrate that TMPRSS4 has a role in the lung cancer development. The
potential use of TMPRSS4 as a biomarker for lung cancer detection or as a
predictor of patient's outcome warrants further investigation.
PMID- 22067905
TI - Tumor cell cross talk with tumor-associated leukocytes leads to induction of
tumor exosomal fibronectin and promotes tumor progression.
AB - Exosomes participate in intercellular communication, but most data published are
based on exosomes released from in vitro cultured cells that do not communicate
with neighboring cells located in the same microenvironment as the exosomal
producing cells in vivo. In this study, our data show that co-culture of
leukocytes isolated from breast tumor tissue leads to uptake of fibronectin (FN)
on or in the tumor exosomes (Exo(fib+)). The induction of FN and exosomal uptake
is tumor tissue derived and leukocyte specific, because leukocytes isolated from
the peripheral blood of naive mice failed to induce FN uptake by tumor exosomes.
Furthermore, depletion of both CD25(+) cells and Gr-1(+) cells from tumor
associated leukocytes causes a reduction of Exo(fib+), suggesting that tumor
associated CD25(+) cells and Gr-1(+) cells participate in FN production and
uptake by tumor exosomes, resulting in Exo(fib+). As a result of tumor cells
absorbing Exo(fib+), two major events are induced: focal adhesion kinase/Src
dependent signaling pathways are activated, and the production of proinflammatory
cytokines and metalloproteinase 9 is enhanced in response to absorbing exosomes.
This, in turn, enhances tumor cell invasion in vitro and in vivo. Collectively,
our findings provide evidence that exosomes released from freshly excised tumor
tissue cells that have communicated/interacted with immune cells gain new immune
evasion capacity.
PMID- 22067906
TI - Persistent inflammation subverts thrombospondin-1-induced regulation of retinal
angiogenesis and is driven by CCR2 ligation.
AB - Neovascular retinal disease is a leading cause of blindness orchestrated by
inflammatory responses. Although noninfectious uveoretinitis is mediated by
CD4(+) T cells, in the persistent phase of disease, angiogenic responses are
observed, along with degeneration of the retina. Full clinical manifestation
relies on myeloid-derived cells, which are phenotypically distinct from, but
potentially sharing common effector responses to age-related macular
degeneration. To interrogate inflammation-mediated angiogenesis, we investigated
experimental autoimmune uveoretinitis, an animal model for human uveitis. After
the initial acute phase of severe inflammation, the retina sustains a persistent
low-grade inflammation with tissue-infiltrating leukocytes for over 4 months.
During this persistent phase, angiogenesis is observed as retinal neovascular
membranes that arise from inflamed venules and postcapillary venules, increase in
size as the disease progresses, and are associated with infiltrating arginase
1(+) macrophages. In the absence of thrombospondin-1, retinal neovascular
membranes are markedly increased and are associated with arginase-1(-) CD68(+)
macrophages, whereas deletion of the chemokine receptor CCR2 resulted in reduced
retinal neovascular membranes in association with a predominant neutrophil
infiltrate. CCR2 is important for macrophage recruitment to the retina in
experimental autoimmune uveoretinitis and promotes chronicity in the form of a
persistent angiogenesis response, which in turn is regulated by constitutive
expression of angiogenic inhibitors like thrombospondin-1. This model offers a
new platform to dissect the molecular and cellular pathology of inflammation
induced ocular angiogenesis.
PMID- 22067907
TI - Serine protease inhibition reduces post-ischemic granulocyte recruitment in mouse
intestine.
AB - Proteases and proteinase-activated receptor (PAR) activation are involved in
several intestinal inflammatory conditions. We hypothesized that serine proteases
and PAR activation could also modulate the intestinal injury induced by ischemia
reperfusion (I-R). C57Bl/6 mice were subjected to 90 minutes of intestinal
ischemia followed or not by reperfusion. Sham-operated animals served as
controls. After ischemia, plasma and tissue serine protease activity levels were
increased compared to the activity measured in plasma and tissues from sham
operated mice. This increase was maintained or further enhanced after 2 and 5
hours of reperfusion, respectively. Trypsin (25 kDa) was detected in tissues both
after ischemia and 2 hours of reperfusion. Treatment with FUT-175 (10 mg/kg), a
potent serine protease inhibitor, increased survival after I-R, inhibited tissue
protease activity, and significantly decreased intestinal myeloperoxidase (MPO)
activity and chemokine and adhesion molecule expression. We investigated whether
serine proteases modulate granulocyte recruitment by a PAR-dependent mechanism.
MPO levels and adhesion molecule expression were significantly reduced in I-R
groups pre-treated with the PAR(1) antagonist SCH-79797 (5 mg/kg) and in Par(2)(
/-)mice, compared, respectively, to vehicle-treated group and wild-type
littermates. Thus, increased proteolytic activity and PAR activation play a
pathogenic role in intestinal I-R injury. Inhibition of PAR-activating serine
proteases could be beneficial to reduce post-ischemic intestinal inflammation.
PMID- 22067908
TI - Chronic inhibition of epidermal growth factor receptor tyrosine kinase and
extracellular signal-regulated kinases 1 and 2 (ERK1/2) augments vascular
response to limb ischemia in type 2 diabetic mice.
AB - Type 2 diabetes is a key risk factor for ischemia-dependent pathology; therefore,
a significant medical need exists to develop novel therapies that increase the
formation of new vessels. We explored the therapeutic potential of epidermal
growth factor receptor tyrosine kinase (EGFRtk) and extracellular signal
regulated kinase 1/2 (ERK1/2) inhibition in impaired ischemia-induced
neovascularization in type 2 diabetes. Unilateral femoral artery ligation was
performed in diabetic (db(-)/db(-)) and their control (db(-)/db(+)) mice for 4
weeks, followed by treatments with EGFRtk and ERK1/2 inhibitors (AG1478, 10
mg/kg/day and U0126, 400 MUg/kg/day, respectively) for 3 weeks.
Neovascularization, blood flow recovery, vascular and capillary density, and
endothelial nitric oxide synthase activity were significantly impaired and were
associated with enhanced EGFRtk and ERK1/2 activity in db(-)/db(-) mice. EGFRtk
and ERK1/2 inhibitors did not have any effect in control mice, while in db(-)/db(
) mice there was a significant increase in neovascularization, blood flow
recovery, vascular and capillary density, endothelial nitric oxide synthase
activity, and were associated with a decrease in EGFRtk and ERK1/2 activity. Our
data demonstrated that the inhibition of EGFRtk and ERK1/2 restored ischemia
induced neovascularization and blood flow recovery in type 2 diabetic mice. Thus,
EGFRtk and ERK1/2 could be possible targets to protect from ischemia-induced
vascular pathology in type 2 diabetes.
PMID- 22067909
TI - LPS-induced murine systemic inflammation is driven by parenchymal cell activation
and exclusively predicted by early MCP-1 plasma levels.
AB - Systemic inflammation remains a major cause of morbidity and mortality in the
United States, across many disease processes. One classic murine model to study
this syndrome is lipopolysaccharide (LPS)-induced Toll-like receptor 4 (TLR4)
dependent systemic inflammation. Although most studies have focused on
inflammatory cell TLR4 responses, parenchymal cells also express TLR4. Our
objective was to define the in vivo role of parenchymal- versus marrow-derived
cell activation via TLR4 during LPS-induced inflammation. Mice bearing TLR4 on
parenchymal cells only, marrow-derived cells only, both, or neither were
generated using bone marrow transplantation. Mortality occurred only in mice that
had TLR4 expression on their parenchymal cells. Before onset, virtually all major
plasma cytokines and blood neutrophil responses were related to marrow-derived
cell activation via TLR4. The only cytokine predictive of oncoming systemic
inflammation was the chemokine monocyte chemoattractant protein-1. Late blood
neutrophil responses were related to the presence of TLR4 on either parenchymal
or marrow cells, whereas plasma cytokine elevations late in LPS-induced systemic
inflammation were dependent on mice having TLR4 in both cell compartments.
Parenchymal cell activation via TLR4 is a key component of LPS-induced systemic
inflammation and mortality, although most plasma cytokine levels and blood
neutrophil responses were not key components. Given its unique role, future
studies into monocyte chemoattractant protein-1's exact role during systemic
inflammation are warranted.
PMID- 22067910
TI - Cognitive decline typical of frontotemporal lobar degeneration in transgenic mice
expressing the 25-kDa C-terminal fragment of TDP-43.
AB - Transactive response DNA-binding protein 43 (TDP-43) is the pathological
signature protein in several neurodegenerative disorders, including the majority
of frontotemporal lobar degeneration cases (FTLD-TDP), motor neuron disease, and
amyotrophic lateral sclerosis. Pathological TDP-43 is mislocalized from its
nuclear location to the cytoplasm, where it accumulates and is proteolytically
cleaved to form C-terminal fragments. Although the 25-kDa C-terminal fragment of
TDP-43 (TDP-25) accumulates in affected brain regions, its role in the disease
pathogenesis remains elusive. To address this problem, we have generated a novel
transgenic mouse that selectively expresses TDP-25 in neurons. We show that
transgenic mice expressing TDP-25 develop cognitive deficits associated with the
build-up of soluble TDP-25. These cognitive deficits are independent of TDP-43
positive inclusions and occur without overt neurodegeneration. Additionally, we
show that the expression of TDP-25 is sufficient to alter the processing of
endogenous full-length TDP-43. These studies represent the first in vivo
demonstration of a pathological role for TDP-25 and strongly suggest that the
onset of cognitive deficits in TDP-43 proteinopathies is independent of TDP-43
inclusions. These data provide a framework for understanding the molecular
mechanisms underlying the onset of cognitive deficits in FTLD-TDP and other TDP
43 proteinopathies; thus, the TDP-25 transgenic mice represent a unique tool to
reach this goal.
PMID- 22067911
TI - Chlamydia trachomatis disturbs epithelial tissue homeostasis in fallopian tubes
via paracrine Wnt signaling.
AB - The obligate intracellular pathogen Chlamydia trachomatis (Ctr) is a major cause
of sexually transmitted disease and infertility worldwide. Ascending genital
infections cause inflammation of fallopian tubes and subsequent scarring and
occlusion. The cellular basis for such sequelae remains undetermined. We used
confocal immunofluorescence microscopy to show that Ctr disrupts epithelial
homeostasis in an ex vivo infection model of human fallopian tubes. Ctr triggered
loss of polarity of inclusion harboring cells and of neighboring uninfected
cells, as shown by subcellular redistribution of adhesion and polarity (occludin)
markers. beta-catenin (a component of the adherens junction and a Wnt signaling
transducer) was recruited to the bacterial inclusion, suggesting a role for Wnt
signaling in Ctr-mediated tissue damage. Comparative microarray analysis of
infected epithelium in the presence of the Wnt secretion inhibitor (IWP2)
demonstrated that the transcriptional response to Ctr infection was highly
dependent on active Wnt secretion, moreover IWP2 reversed Ctr-induced tissue
phenotypes. Notably, we observed the up-regulation of differentiation and
proliferation biomarkers olfactomedin 4 and epithelial cell adhesion molecule,
and also Ctr-induced proteolytic activation of epithelial cell adhesion molecule.
Thus, acute Ctr infection activates the paracrine Wnt signaling pathway, leading
to profound disruption of epithelial structure and function that facilitates the
dissemination of damage beyond that of infected cells.
PMID- 22067912
TI - Apelin is required for non-neovascular remodeling in the retina.
AB - Retinal pathologies are frequently accompanied by retinal vascular responses,
including the formation of new vessels by angiogenesis (neovascularization).
Pathological vascular changes may also include less well characterized traits of
vascular remodeling that are non-neovascular, such as vessel pruning and the
emergence of dilated and tortuous vessel phenotypes (telangiectasis). The
molecular mechanisms underlying neovascular growth versus non-neovascular
remodeling are poorly understood. We therefore undertook to identify novel
regulators of non-neovascular remodeling in the retina by using the dystrophic
Royal College of Surgeons (RCS) rat and the retinal dystrophy 1 (RD1) mouse, both
of which display pronounced non-neovascular remodeling. Gene expression profiling
of isolated retinal vessels from these mutant rodent models and wild-type
controls revealed 60 differentially expressed genes. These included the genes for
apelin (Apln) and for its receptor (Aplnr), both of which were strongly up
regulated in the mutants. Crossing RD1 mice into an Apln-null background
substantially reduced vascular telangiectasia. In contrast, Apln gene deletion
had no effect in two models of neovascular pathology [laser-induced choroidal
neovascularization and the very low density lipoprotein receptor (Vldlr)-knockout
mouse]. These findings suggest that in these models apelin has minimal effect on
sprouting retinal angiogenesis, but contributes significantly to pathogenic non
neovascular remodeling.
PMID- 22067913
TI - A collagen alpha2(I) mutation impairs healing after experimental myocardial
infarction.
AB - Collagen breakdown and de novo synthesis are important processes during early
wound healing after myocardial infarction (MI). We tested the hypothesis that
collagen I, the main constituent of the extracellular matrix, affects wound
healing after MI. The osteogenesis imperfecta mouse (OIM), lacking procollagen
alpha2(I) expression, represents a model of the type III form of the disease in
humans. Homozygous (OIM/OIM), heterozygous (OIM/WT), and wild-type (WT/WT) mice
were subjected to a permanent myocardial infarction protocol or sham surgery.
Baseline functional and geometrical parameters determined by echocardiography did
not differ between genotypes. After MI but not after sham surgery, OIM/OIM
animals exhibited significantly increased mortality, due to early ventricular
rupture between day 3 and 7. Echocardiography at day 1 demonstrated increased
left ventricular dilation in OIM/OIM animals. Less collagen I mRNA within the
infarct area was found in OIM/OIM animals. At 2 days after MI, MMP-9 expression
in the infarct border zone was higher in OIM/OIM than in WT/WT animals. Increased
granulocyte infiltration into the infarct border zone occurred in OIM/OIM
animals. Neither granulocyte depletion nor MMP inhibition reduced mortality in
OIM/OIM animals. In this murine model, deficiency of collagen I leads to a
myocardial wound-healing defect. Both structural alterations within pre-existing
collagen matrix and impaired collagen de novo expression contribute to a high
rate of early myocardial rupture after MI.
PMID- 22067914
TI - CCR7 with S1P1 signaling through AP-1 for migration of Foxp3+ regulatory T-cells
controls autoimmune exocrinopathy.
AB - Forkhead box p3-positive (Foxp3(+)) regulatory T cells (T(reg) cells) participate
in maintaining peripheral immune tolerance and suppressing autoimmunity. We
recently reported that in situ patrolling by C-C-chemokine receptor 7 (CCR7)(+)
T(reg) cells in target organs is essential for controlling autoimmune lesions in
Sjogren's syndrome. In the present study, the molecular mechanism underlying CCR7
mediated T(reg) cell migration was investigated in a mouse model. The impaired
migratory response of Ccr7(-/-) T(reg) cells to sphingosine 1-phosphate (S1P)
occurred because of defective association of S1P receptor 1 (S1P(1)) with a G
coupled-protein. In addition, T-cell receptor (TCR)- and S1P(1)-mediated Ras
related C3 botulinum toxin substrate 1 (Rac-1), extracellular signal-related
kinase (ERK), and c-Jun phosphorylation required for activator protein 1 (AP-1)
transcriptional activity were significantly impaired in Ccr7(-/-) T(reg) cells.
Surprisingly, the abnormal nuclear localization of Foxp3 was detected after
abrogation of the c-Jun and Foxp3 interaction in the nucleus of Ccr7(-/-) T(reg)
cells. These results indicate that CCR7 essentially controls the migratory
function of T(reg) cells through S1P(1)-mediated AP-1 signaling, which is
regulated through its interaction with Foxp3 in the nucleus.
PMID- 22067915
TI - 'Oh dear, should I really be saying that on here?': issues of identity and
authority in an online diabetes community.
AB - We explore peer-to-peer discussions which took place in a UK-based diabetes
'Virtual Clinic' online community. In particular, we seek to understand the
rhetorical nature and content of exchanges over a period of six months from the
community's inception. Data were captured weekly and analysis based on thematic
discourse analysis. Two key issues emerged regarding how the community shaped the
nature of the discussion forum. First, the identity of the forum was established,
and boundaries drawn about what was, and was not, acceptable. Second,
participants sought to present themselves as reliable and authoritative sources
of information. Internet discussion communities are shaped in important ways
early on by the community of users, including how the character and focus of
discussion is formed, and how both information and users can be constructed as
authoritative and reliable.
PMID- 22067916
TI - Gendered perceptions of own and partner weight-level.
AB - This study investigates gender differences in perceptions of body weight.
Previous research has found significant gender differences in perceived weight
level, but less is known about weight perceptions of the opposite gender. Based
on Danish survey data (859 women and 160 men), the discrepancy between BMI weight
level and self-perceived weight-level is estimated as well as the perception of
one's partner's weight-level. Two main empirical conclusions emerge. First, there
are significant gender differences in weight assessment. Whereas women assess
weight according to the standardized BMI weight-levels for both genders, men
assess weight according to gender. The weight-level of men is often
underestimated by men themselves, but both men and women tend to overestimate the
weight-level of women in the lower BMI deciles compared to standardized BMI
weight-levels. Second, there is greater underestimation of weight-levels for
individuals in the higher BMI--especially regarding weight perceptions of the
opposite gender. These findings are discussed within a framework of socio
cultural theory of body image and gendered relations.
PMID- 22067917
TI - Compton scattering artifacts in electron excited X-ray spectra measured with a
silicon drift detector.
AB - Artifacts are the nemesis of trace element analysis in electron-excited energy
dispersive X-ray spectrometry. Peaks that result from nonideal behavior in the
detector or sample can fool even an experienced microanalyst into believing that
they have trace amounts of an element that is not present. Many artifacts, such
as the Si escape peak, absorption edges, and coincidence peaks, can be traced to
the detector. Others, such as secondary fluorescence peaks and scatter peaks, can
be traced to the sample. We have identified a new sample-dependent artifact that
we attribute to Compton scattering of energetic X-rays generated in a small
feature and subsequently scattered from a low atomic number matrix. It seems
likely that this artifact has not previously been reported because it only occurs
under specific conditions and represents a relatively small signal. However, with
the advent of silicon drift detectors and their utility for trace element
analysis, we anticipate that more people will observe it and possibly misidentify
it. Though small, the artifact is not inconsequential. Under some conditions, it
is possible to mistakenly identify the Compton scatter artifact as approximately
1% of an element that is not present.
PMID- 22067918
TI - Deliberations and recommendations of the Pediatric Emergency Mass Critical Care
Task Force: executive summary.
PMID- 22067919
TI - Treatment and triage recommendations for pediatric emergency mass critical care.
AB - INTRODUCTION: This paper will outline the Task Force recommendations regarding
treatment during pediatric emergency mass critical care, issues related to the
allocation of scarce resources, and current challenges in the development of
pediatric triage guidelines. METHODS: In May 2008, the Task Force for Mass
Critical Care published guidance on provision of mass critical care to adults.
Acknowledging that the critical care needs of children during disasters were
unaddressed by this effort, a 17-member Steering Committee, assembled by the Oak
Ridge Institute for Science and Education with guidance from members of the
American Academy of Pediatrics, convened in April 2009 to determine priority
topic areas for pediatric emergency mass critical care recommendations.Steering
Committee members established subcommittees by topic area and performed
literature reviews of MEDLINE and Ovid databases. The Steering Committee produced
draft outlines through consensus-based study of the literature and convened
October 6-7, 2009, in New York, NY, to review and revise each outline. Eight
draft documents were subsequently developed from the revised outlines as well as
through searches of MEDLINE updated through March 2010.The Pediatric Emergency
Mass Critical Care Task Force, composed of 36 experts from diverse public health,
medical, and disaster response fields, convened in Atlanta, GA, on March 29-30,
2010. Feedback on each manuscript was compiled and the Steering Committee revised
each document to reflect expert input in addition to the most current medical
literature. TASK FORCE RECOMMENDATIONS: Recommendations are divided into three
operational sections. The first section provides pediatric emergency mass
critical care recommendations for hospitals that normally provide care to
pediatric patients. The second section provides recommendations for pediatric
emergency mass critical care at hospitals that do not routinely provide care to
pediatric patients. The final section provides a discussion of issues related to
developing triage algorithms and protocols and the allocation of scarce resources
during pediatric emergency mass critical care.
PMID- 22067920
TI - Supplies and equipment for pediatric emergency mass critical care.
AB - INTRODUCTION: Epidemics of acute respiratory disease, such as severe acute
respiratory syndrome in 2003, and natural disasters, such as Hurricane Katrina in
2005, have prompted planning in hospitals that offer adult critical care to
increase their capacity and equipment inventory for responding to a major demand
surge. However, planning at a national, state, or local level to address the
particular medical resource needs of children for mass critical care has yet to
occur in any coordinated way. This paper presents the consensus opinion of the
Task Force regarding supplies and equipment that would be required during a
pediatric mass critical care crisis. METHODS: In May 2008, the Task Force for
Mass Critical Care published guidance on provision of mass critical care to
adults. Acknowledging that the critical care needs of children during disasters
were unaddressed by this effort, a 17-member Steering Committee, assembled by the
Oak Ridge Institute for Science and Education with guidance from members of the
American Academy of Pediatrics, convened in April 2009 to determine priority
topic areas for pediatric emergency mass critical care recommendations.Steering
Committee members established subcommittees by topic area and performed
literature reviews of MEDLINE and Ovid databases. The Steering Committee produced
draft outlines through consensus-based study of the literature and convened
October 6-7, 2009, in New York, NY, to review and revise each outline. Eight
draft documents were subsequently developed from the revised outlines as well as
through searches of MEDLINE updated through March 2010.The Pediatric Emergency
Mass Critical Care Task Force, composed of 36 experts from diverse public health,
medical, and disaster response fields, convened in Atlanta, GA, on March 29-30,
2010. Feedback on each manuscript was compiled and the Steering Committee revised
each document to reflect expert input in addition to the most current medical
literature. TASK FORCE RECOMMENDATIONS: The Task Force endorsed the view that
supplies and equipment must be available for a tripling of capacity above the
usual peak pediatric intensive care unit capacity for at least 10 days. The
recommended size-specific pediatric mass critical care equipment stockpile for
two types of patients is presented in terms of equipment needs per ten mass
critical care beds, which would serve 26 patients over a 10-day period. Specific
recommendations are made regarding ventilator capacity, including the potential
use of high-frequency oscillatory ventilation and extracorporeal membrane
oxygenation. Other recommendations include inventories for disposable medical
equipment, medications, and staffing levels.
PMID- 22067921
TI - Neonatal and pediatric regionalized systems in pediatric emergency mass critical
care.
AB - INTRODUCTION: Improved health outcomes are associated with neonatal and pediatric
critical care in well-organized, cohesive, regionalized systems that are prepared
to support and rehabilitate critically ill victims of a mass casualty event.
However, present systems lack adequate surge capacity for neonatal and pediatric
mass critical care. In this document, we outline the present reality and suggest
alternative approaches. METHODS: In May 2008, the Task Force for Mass Critical
Care published guidance on provision of mass critical care to adults.
Acknowledging that the critical care needs of children during disasters were
unaddressed by this effort, a 17-member Steering Committee, assembled by the Oak
Ridge Institute for Science and Education with guidance from members of the
American Academy of Pediatrics, convened in April 2009 to determine priority
topic areas for pediatric emergency mass critical care recommendations.Steering
Committee members established subcommittees by topic area and performed
literature reviews of MEDLINE and Ovid databases. The Steering Committee produced
draft outlines through consensus-based study of the literature and convened
October 6-7, 2009, in New York, NY, to review and revise each outline. Eight
draft documents were subsequently developed from the revised outlines as well as
through searches of MEDLINE updated through March 2010.The Pediatric Emergency
Mass Critical Care Task Force, composed of 36 experts from diverse public health,
medical, and disaster response fields, convened in Atlanta, GA, on March 29-30,
2010. Feedback on each manuscript was compiled and the Steering Committee revised
each document to reflect expert input in addition to the most current medical
literature. TASK FORCE RECOMMENDATIONS: States and regions (facilitated by
federal partners) should review current emergency operations and devise
appropriate plans to address the population-based needs of infants and children
in large-scale disasters. Action at the state, regional, and federal levels
should address legal, operational, and information systems to provide effective
pediatric mass critical care through: 1) predisaster/mass casualty planning,
management, and assessment with input from child health professionals; 2) close
cooperation, agreements, public-private partnerships, and unique delivery
systems; and 3) use of existing public health data to assess pediatric
populations at risk and to model graded response plans based on increasing
patient volume and acuity.
PMID- 22067922
TI - Education in a pediatric emergency mass critical care setting.
AB - INTRODUCTION: An emergency mass critical care event puts significant strains on
all healthcare resources, including equipment, supplies, and manpower; it leads
to extraordinary stresses on healthcare providers, many of whom will be expected
to deliver care outside of their usual scope of practice. Education and
educational resources will be critically important for training providers and
diminishing the stress, anxiety, and chaos of delivering pediatric emergency mass
critical care. This article suggests educational tools, as well as potential
resources, that need to be developed to cope with a pediatric emergency mass
critical care event. METHODS: In May 2008, the Task Force for Mass Critical Care
published guidance on provision of mass critical care to adults. Acknowledging
that the critical care needs of children during disasters were unaddressed by
this effort, a 17-member Steering Committee, assembled by the Oak Ridge Institute
for Science and Education with guidance from members of the American Academy of
Pediatrics, convened in April 2009 to determine priority topic areas for
pediatric emergency mass critical care recommendations.Steering Committee members
established subgroups by topic area and performed literature reviews of MEDLINE
and Ovid databases. The Steering Committee produced draft outlines through
consensus-based study of the literature and convened October 6-7, 2009, in New
York, NY, to review and revise each outline. Eight draft documents were
subsequently developed from the revised outlines as well as through searches of
MEDLINE updated through March 2010.The Pediatric Emergency Mass Critical Care
Task Force, composed of 36 experts from diverse public health, medical, and
disaster response fields, convened in Atlanta, GA, on March 29-30, 2010. Feedback
on each manuscript was compiled and the Steering Committee revised each document
to reflect expert input in addition to the most current medical literature. TASK
FORCE RECOMMENDATIONS: Identifying educational needs to prepare for a pediatric
emergency mass critical care event is essential for all healthcare organizations.
Educational strategies and tactics should be developed at multiple levels for a
comprehensive approach to preparing for pediatric emergency mass critical care.
PMID- 22067923
TI - Pediatric emergency mass critical care: the role of community preparedness in
conserving critical care resources.
AB - INTRODUCTION: Public health emergencies require resources at state, regional,
federal, and often international levels; however, community preparedness is the
crucial first step in managing these events and mitigating their consequences,
particularly for children. Community preparedness can be optimized through system
wide planning that includes integrating multiple points of contact, such as the
community, prehospital care, health facilities, and regional level of care
assets.Citizen readiness, call centers, alternate care facilities, emergency
medical services, and health emergency operations centers linked to community
incident command systems should be considered as important options for delivery
of population-based care. Early collaboration between pediatric clinicians and
public health authorities is essential to ensure that pediatric needs are
addressed in community preparedness for mass critical care events. METHODS: In
May 2008, the Task Force for Mass Critical Care published guidance on provision
of mass critical care to adults. Acknowledging that the critical care needs of
children during disasters were unaddressed by this effort, a 17-member Steering
Committee, assembled by the Oak Ridge Institute for Science and Education with
guidance from members of the American Academy of Pediatrics, convened in April
2009 to determine priority topic areas for pediatric emergency mass critical care
recommendations.Steering Committee members established subcommittees by topic
area and performed literature reviews of MEDLINE and Ovid databases. The Steering
Committee produced draft outlines and convened October 6-7, 2009, in New York,
NY, to review and revise each outline. Eight draft documents were subsequently
developed from the revised outlines as well as through searches of MEDLINE
updated through March 2010.The Pediatric Emergency Mass Critical Care Task Force,
composed of 36 experts from diverse public health, medical, and disaster response
fields, convened in Atlanta, GA, on March 29-30, 2010. Feedback on each
manuscript was compiled and the Steering Committee revised each document to
reflect expert input in addition to the most current medical literature. TASK
FORCE RECOMMENDATIONS: The Pediatric Emergency Mass Critical Care Task Force
recommends active promotion of programs to ensure an informed citizenry;
education of children and families in Centers for Disease Control and Prevention
community mitigation strategies; emphasis on community-level preparedness
empowering the public to provide self care; use of 9-1-1 telephone triage with
pre-established protocols and in coordination with emergency medical services;
and advocacy for healthcare coalitions and other creative operational concepts
that provide guidance and protocols for care of the pediatric population.
PMID- 22067924
TI - Legal considerations during pediatric emergency mass critical care events.
AB - INTRODUCTION: Recent public health emergencies, such as the 2009 Influenza A/H1N1
Pandemic and Hurricane Katrina, underscore the importance of developing
healthcare response plans and protocols for disasters impacting large
populations. Significant research and scholarship, including the 2009 Institute
of Medicine report on crisis standards of care and the 2008 Task Force for Mass
Critical Care recommendations, provide guidance for healthcare responses to
catastrophic emergencies. Most of these efforts recognize but do not focus on the
unique needs of pediatric populations. In 2008, the Centers for Disease Control
and Prevention supported the formation of a task force to address pediatric
emergency mass critical care response issues, including legal issues. Liability
is a significant concern for healthcare practitioners and facilities during
pediatric emergency mass critical care that necessitates a shift to crisis
standards of care. This article describes the legal considerations inherent in
planning for and responding to catastrophic health emergencies and makes
recommendations for pediatric emergency mass critical care legal preparedness.
METHODS: The Pediatric Emergency Mass Critical Care Task Force, composed of 36
experts from diverse public health, medical, and disaster response fields,
convened in Atlanta, GA, on March 29-30, 2010, to review the pediatric emergency
mass critical care recommendations developed by a 17-member steering committee.
During the meeting, experts determined that the recommendations would be
strengthened by a manuscript addressing legal issues. Authors drafted the
manuscript through consensus-based study of peer-reviewed research, literature
reviews, and expert opinion. The manuscript was reviewed by Pediatric Emergency
Mass Critical Care Steering Committee members and additional legal counsel and
revised. TASK FORCE RECOMMENDATIONS: While the legal issues associated with
providing pediatric emergency mass critical care are not unique within the
overall context of disaster healthcare, the scope of the parens patriae power of
states, informed consent principles, and security should be considered in
pediatric emergency mass critical care planning and response efforts because
parents and legal guardians may be unavailable to participate in healthcare
decision making during disasters. In addition, practitioners who follow properly
vetted and accepted pediatric emergency mass critical care disaster protocols in
good faith should be protected from civil liability, and healthcare facilities
that provide pediatric care should incorporate informed consent and security
protocols into their disaster plans.
PMID- 22067925
TI - Pediatric emergency mass critical care: focus on family-centered care.
AB - INTRODUCTION: Pediatric emergency mass critical care during disasters requires
modifications to standard healthcare operations. Modification of standards for
pediatric emergency mass critical care should include incorporation of family
centered care principles. Family-centered care, which is an integral aspect of
current pediatric practice, encourages active participation of the child's family
in medical care delivery. While family-centered care should be practical in most
disasters, whether we can operationalize it in pediatric emergency mass critical
care is unknown. However, every effort to adhere to the principles should be
made. This manuscript addresses some of the basic tensions that exist between
creating efficient disaster-related standards and offering family-centered care
by augmenting the concepts outlined elsewhere in the supplement with practical
suggestions on incorporating family-centered care. In addition, this manuscript
demonstrates how family-centered care benefits not only children and families,
but also the staff providing care to pediatric patients in disasters. METHODS: In
May 2008, the Task Force for Mass Critical Care published guidance on provision
of mass critical care to adults. Acknowledging that the critical care needs of
children during disasters were unaddressed by this effort, a 17-member Steering
Committee, assembled by the Oak Ridge Institute for Science and Education with
guidance from members of the American Academy of Pediatrics, convened in April
2009 to determine priority topic areas for pediatric emergency mass critical care
recommendations.Steering Committee members established subgroups by topic area
and performed literature reviews of MEDLINE and Ovid databases. The Steering
Committee produced draft outlines through consensus-based study of the literature
and convened October 6-7, 2009, in New York, NY, to review and revise each
outline. Eight draft documents were subsequently developed from the revised
outlines as well as through searches of MEDLINE updated through March 2010.The
Pediatric Emergency Mass Critical Care Task Force, composed of 36 experts from
diverse public health, medical, and disaster response fields, convened in
Atlanta, GA, on March 29-30, 2010. Feedback on each manuscript was compiled and
the Steering Committee revised each document to reflect expert input in addition
to the most current medical literature. TASK FORCE RECOMMENDATIONS: This paper
offers a list of practical suggestions for incorporating family-centered care
principles into each of the following healthcare settings during a disaster,
including a pediatric emergency mass critical care event: emergency medical
services transport, emergency departments, pediatric intensive care units,
general pediatric wards, and alternative sites. Disaster and pediatric emergency
mass critical care responses must incorporate family-centered care principles to
the extent possible in a variety of healthcare settings.
PMID- 22067926
TI - Ethical issues in pediatric emergency mass critical care.
AB - INTRODUCTION: As a result of recent events, including natural disasters and
pandemics, mass critical care planning has become a priority. In general,
planning involves limiting the scope of disasters, increasing the supply of
medical resources, and allocating scarce resources. Entities at varying levels
have articulated ethical frameworks to inform policy development. In spite of
this increased focus, children have received limited attention. Children require
special attention because of their unique vulnerabilities and needs. METHODS: In
May 2008, the Task Force for Mass Critical Care published guidance on provision
of mass critical care to adults. Acknowledging that the critical care needs of
children during disasters were unaddressed by this effort, a 17-member Steering
Committee, assembled by the Oak Ridge Institute for Science and Education with
guidance from members of the American Academy of Pediatrics, convened in April
2009 to determine priority topic areas for pediatric emergency mass critical care
recommendations.Steering Committee members established subgroups by topic area
and performed literature reviews of MEDLINE and Ovid databases. Draft documents
were subsequently developed and revised based on the feedback from the Task
Force. The Pediatric Emergency Mass Critical Care Task Force, composed of 36
experts from diverse public health, medical, and disaster response fields,
convened in Atlanta, GA, on March 29-30, 2010. This document reflects expert
input from the Task Force in addition to the most current medical literature.
TASK FORCE RECOMMENDATIONS: The Ethics Subcommittee recommends that surge
planning seek to provide resources for children in proportion to their percentage
of the population or preferably, if data are available, the percentage of those
affected by the disaster. Generally, scarce resources should be allocated on the
basis of need, benefit, and the conservation of resources. Estimates of need,
benefit, and resource utilization may be more subjective or objective. While the
Subcommittee favors more objective methods, pediatrics lacks a simple, validated
scoring system to predict benefit or resource utilization. The Subcommittee
hesitantly recommends relying on expert opinion while pediatric triage tools are
developed. If resources remain inadequate, they should then be allocated based on
queuing or lottery. Choosing between these methods is based on ethical,
psychological, and practical considerations upon which the Subcommittee could not
reach consensus. The Subcommittee unanimously believes the proposal to favor
individuals between 15 and 40 yrs of age is inappropriate. Other age-based
criteria and criteria based on social role remain controversial. The Subcommittee
recommends continued work to engage all stakeholders, especially the public, in
deliberation about these issues.
PMID- 22067927
TI - The reality of pediatric emergency mass critical care in the developing world.
AB - INTRODUCTION: Public health emergencies resulting from major man-made crises and
large-scale natural disasters severely impact developing countries, causing
unprecedented rates of indirect mortality and morbidity, especially in children
and women. Concomitantly, the state of children's health in the least-developed
countries is the worst since the 1950s before the Declaration of Alma Ata.
Worldwide decline in public health protections, infrastructures, and systems, and
a health worker crisis primarily in Africa and Asia, limit the delivery of
intensive and critical care services. METHODS: In May 2008, the Task Force for
Mass Critical Care published guidance on provision of mass critical care to
adults. Acknowledging that the critical care needs of children during disasters
were unaddressed by this effort, a 17-member Steering Committee, assembled by the
Oak Ridge Institute for Science and Education with guidance from members of the
American Academy of Pediatrics, convened in April 2009 to determine priority
topic areas for pediatric emergency mass critical care recommendations.Steering
Committee members established subgroups by topic area and performed literature
reviews of MEDLINE and Ovid databases. The Steering Committee produced draft
outlines through consensus-based study of the literature and convened October 6
7, 2009, in New York, NY, to review and revise each outline. Eight draft
documents were subsequently developed from the revised outlines as well as
through searches of MEDLINE updated through March 2010.The Pediatric Emergency
Mass Critical Care Task Force, composed of 36 experts from diverse public health,
medical, and disaster response fields, convened in Atlanta, GA, on March 29-30,
2010. Feedback on each manuscript was compiled and the Steering Committee revised
each document to reflect expert input in addition to the most current medical
literature. TASK FORCE RECOMMENDATIONS: Using pandemics as a model of public
health emergencies, steps to improve care to the most vulnerable of populations
are outlined, including mandates under the International Health Regulations
Treaty of 2007 and World Health Organization guidelines. Recommendations include
an emphasis on first improving primary care, prevention, and basic emergency
care, where possible. Advances in care should move incrementally without
compromising primary care resources. A first step in preparing for a pandemic in
developing countries involves building capacity in public health surveillance and
proven community containment and mitigation strategies. Given the severe lack of
healthcare workers in at least 57 countries, the Task Force also supports World
Health Organization's recommendations that planning for a public health emergency
include means for health workers to collaborate with staff in the military,
transport, and education sectors as well as international healthcare workers to
maximize the efficiency of scarce human resources. Rapid response teams can be
augmented by international subject matter experts if these do not exist at the
country level.
PMID- 22067928
TI - Venous anomalies and horseshoe kidney. A minefield in open vascular surgery.
PMID- 22067929
TI - Chronic inflammation links cardiovascular, metabolic and renal diseases.
AB - Chronic inflammation appears to underlie most, if not all, the chronic diseases
of today, including cardiovascular disease, type 2 diabetes, chronic kidney
disease, Alzheimer's disease and cancer. We have demonstrated that obesity
induces chronic local inflammation in adipose tissue. We also found that chronic
inflammation is crucially involved in the development of heart failure and
chronic kidney disease. In this article, I review recent findings reported by my
group and others regarding the mechanisms underlying the chronic inflammatory
processes commonly observed in adipose tissue, heart and kidney. I then discuss
the key features of the chronic inflammation seen in chronic diseases.
PMID- 22067930
TI - Urgent statement on antithrombotic therapy of atrial fibrillation.
PMID- 22067931
TI - French national wound management survey: choice criteria of dressings.
AB - Across Europe, wound care management is organized differently, and in some
countries such as the UK or Denmark, wound healing centres have been implemented.
In France, a large number of health professionals are not sufficiently educated
in wound care management during their vocational training. The rapid evolution of
dressings has changed wound management practices and has given rise to new
professional recommendations. This national survey was carried out in France in
2009, including 465 health professionals, to determine the criteria they use to
choose a dressing and their habits of care with acute or chronic wounds. Around
73% of respondents were nurses and, on average, participants took care of 43
wounds per month. It was also found that 89% of the health professionals who took
part prefer the sequential treatment of the wound based on its appearance.
Regardless of whether the wound is acute or chronic, the priorities for wound
care and the choice of dressing are the management of the exudate and the
prevention or treatment of infection. These results put into evidence the
adequacy of the recommendations by these practitioners and the good correlation
between the choice of dressing and the local therapeutic goal. To reach the same
level of expertise, the professional training for health professionals who are
less frequently involved in wound care is necessary.
PMID- 22067932
TI - A meta-synthesis of research on leg ulceration and neuropathic pain component and
sequelae.
AB - Leg ulceration represents a substantial health problem, and pain is likely to be
an associated symptom. The aim of this meta-synthesis was to undertake a
systematic review of qualitative studies investigating the experience of chronic
painful leg ulceration. This study undertook the meta-synthesis approach
described by Sandelowski and Barroso (2003), which is a synthesis and re
interpretation of the findings from several qualitative studies. Findings were
extracted and synthesized. The overarching theme was that patients with chronic
leg ulceration suffer from persistent pain with associated sequelae. Word
descriptors used by participants also suggested that patients have neuropathic
pain. In addition, findings from the meta-synthesis suggested that pain
associated with chronic leg ulcer may have a neuropathic pain component. Pain
associated with leg ulceration is likely to have nociceptive properties as well
as neuropathic properties. If neuropathic pain is not identified and managed
effectively, patients are at risk of developing a chronic pain condition with
associated sequelae, such as poor sleep, depression and suicidal ideation. It is
proposed that early identification and management may enable appropriate pain
management which may prevent or reduce the associated risks.
PMID- 22067933
TI - Laboratory performance of alternating pressure air mattresses component and
sequelae.
AB - The performance of three different alternating pressure air mattresses with
different geometries of air cell were compared (Nimbus 3, Heritage, Tamora Plus),
using simple performance indices based on pressure mapping. The aim of this study
was to examine the effect on performance of elevating the backrest and thigh
section of the bed into sitting position. Ten healthy volunteers of various sizes
were pressure-mapped over the full pressure cycle on three alternating pressure
air mattresseses with differing cell geometries. This was then repeated with the
beds profiled to a sitting position. Performance of the alternating pressure air
mattresses in terms of their ability to redistribute pressure dynamically was
assessed in the different positions. The different alternating pressure air
mattresses performed similarly with the bed in the lying flat position, but
smaller cells appeared to be more effective in the sitting position. A conclusion
was made that cell geometry may have an effect on the ability of the mattress to
achieve alternating behaviour in the sitting position.
PMID- 22067934
TI - Clinical evaluation of Allevyn Gentle Border LiteTM within one health trust.
AB - This article outlines the financial cost of wound care and the importance of
appropriate dressings to achieve both clinical and economic outcomes. A clinical
evaluation of Allevyn Gentle Border LiteTM including 50 patients within one
health trust was undertaken to assess ease of application, wear time, ease of
removal, durability and patient comfort. An observation is made that wound care
is complex and health professionals require a knowledge and understanding of the
wound healing process, pain, dressing products, asepsis, microbiology,
pharmacology, psychosocial factors, and ethics, and should possess good
communication skills. A conclusion is made that the 50 patient evaluation
demonstrates the flexibility of the Allevyn Gentle Border Lite, which allows for
a secure fit, provides active fluid management in its control of exudates, may be
applied to patients with fragile skin, thereby avoiding the need for secondary
retention, and may be used on difficult-to-dress areas.
PMID- 22067935
TI - When is a grade 4 pressure ulcer not grade 4?
AB - The adoption of the European Pressure Ulcer Advisory Panel (EPUAP) (2009)
pressure ulcer classification system within healthcare trusts in the UK has
provided health professionals with a common approach for the description of
tissue damage for pressure ulcers. However, the omission of the
'unstageable/unclassified' and 'suspected deep tissue injury under intact skin'
has caused difficulties when the visual appearance of pressure ulcers falls
outside the four grades listed in the classification system. These difficulties
lead health professionals to overestimate the degree of tissue damage, resulting
in confusion in regards to determining the true extent of the tissue damage and
often misdirection of scarce resources (Moore, 2005). The Berkshire Tissue
Viability Nurses Group attempted to bring clarity to the situation by
highlighting the issues to raise awareness and stimulate discussion among health
professionals. The author, with support of the Berkshire Tissue Viability Nurses
Group, first presented the content of this article at a meeting of the No
Needless Skin Breakdown Work Stream meeting of the South Central Strategic Health
Authority Patient Safety Federation in January 2011.
PMID- 22067936
TI - Fusobacterium nucleatum: an emerging gut pathogen?
AB - The Gram-negative, non-sporulating, obligately anaerobic species, Fusobacterium
nucleatum, is rapidly gaining notoriety as a pathogen with a surprising number of
associated diseases. Recently, we have found that F. nucleatum is a more common
resident of the GI tract than originally thought, and thus, through several
studies, we have attempted to determine its gut-relevant potential for virulence.
We have found that F. nucleatum possesses a number of pathogenic traits with
relevance to gut diseases such as inflammatory bowel disease (IBD), however, we
have also documented strain-associated differences in virulence. An intriguing
picture emerges that paints F. nucleatum as both conferring beneficial as well as
detrimental effects on host cells; and we suggest that the ultimate effects of F.
nucleatum infection in the gut are a consequence of the microbes with which this
species aggregates.
PMID- 22067937
TI - N-Glycans on secretory component: mediators of the interaction between secretory
IgA and gram-positive commensals sustaining intestinal homeostasis.
AB - Human beings live in symbiosis with billions of microorganisms colonizing mucosal
surfaces. The understanding of the mechanisms underlying this fine-tuned
intestinal balance has made significant processes during the last decades. We
have recently demonstrated that the interaction of SIgA with Gram-positive
bacteria is essentially based on Fab-independent, glycan-mediated recognition.
Results obtained using mouse hybridoma- and colostrum-derived secretory IgA
(SIgA) consistently show that N-glycans present on secretory component (SC) play
a crucial role in the process. Natural coating may involve specific Gram-positive
cell wall components, which may explain selective recognition at the molecular
level. More widely, the existence of these complexes is involved in the
modulation of intestinal epithelial cell (IEC) responses in vitro and the
formation of intestinal biofilms. Thus, SIgA may act as one of the pillars in
homeostatic maintenance of the microbiota in the gut, adding yet another facet to
its multiple roles in the mucosal environment.
PMID- 22067938
TI - Polyethylene glycol diminishes pathological effects of Citrobacter rodentium
infection by blocking bacterial attachment to the colonic epithelia.
AB - Infections from enteric bacteria such as enteropathogenic Escherichia coli (EPEC)
and enterohemorrhagic Escherichia coli (EHEC) are a public health threat
worldwide. EPEC and EHEC are extracellular pathogens, and their interaction with
host surface receptors is critical to the infection process. We previously
demonstrated that polyethylene glycol (PEG) downregulates surface receptors in
intestinal cells. Here we show that PEG decreases beta1-integrin, the surface
receptor in intestinal cells that is critical for EPEC and EHEC attachment. We
hypothesized that PEG would inhibit the attachment of these enteric pathogens to
host cells and improve clinical signs of infection. We found that attachment of
the mouse enteric pathogen Citrobacter rodentium, which belongs to the same group
of pathogens as EPEC and EHEC, was attenuated by the concurrent presence of PEG.
Pretreatment with PEG, without concurrent presence during infection, also reduced
bacterial attachment. This finding was further supported in vivo such as that PEG
administered by gavage daily during infection as well as prior to infection
significantly decreased C. rodentium in the colon and improved the appearance of
the infected colon in mice. In addition, PEG decreased the beta1-integrin in
colonic mucosa and reduced the C. rodentium-induced activation of epidermal
growth factor receptors. PEG also significantly reduced infection-induced colonic
inflammation. Finally, PEG efficiently reduced C. rodentium shedding from the
colon during infection. In conclusion, PEG can be an efficient and safe
preventive agent against EPEC and EHEC infections.
PMID- 22067939
TI - Beneficial effect of probiotics in IBD: are peptidogycan and NOD2 the molecular
key effectors?
AB - Although the beneficial capacities of probiotics are more and more substantiated,
their effects clearly depend on the strains used and their mechanisms of action
remain poorly understood. Recent evidences have highlighted the potential role of
cell-wall components in the anti-inflammatory capacity of selected lactobacilli.
In this addendum, we summarize our recent results concerning the role of
peptidoglycan (PGN) and NOD2 signaling in the regulation of intestinal
inflammation. We showed that the protective effect of Lactobacillus PGN is strain
specific and linked to the induction of diverse immune regulatory pathways.
Moreover the beneficial effect of Lactobacillus PGN correlated with the release
of a specific muropeptide sensed by NOD2. These findings allow for a better
understanding of how probiotic lactobacilli exert their beneficial effect and
will help guide for more successful strain selection.
PMID- 22067940
TI - A role for quorum sensing in regulating innate immune responses mediated by
Vibrio cholerae outer membrane vesicles (OMVs).
AB - Outer membrane vesicles (OMVs) are released from many Gram-negative bacteria.
OMVs interact with and are taken up by human cells. We and others have now showed
that OMVs contain peptidoglycan, which is sensed mainly by the pattern
recognition receptor NOD1 in the cytoplasm of host cells. Vibrio cholerae is
clinically important as one of the causative agents of severe dehydrating
diarrhea in humans. We showed that non-O1 non-O139 V. cholerae (NOVC) strains of
V. cholera produce OMVs. Of note, we revealed that NOVC can evade NOD1-mediated
immune surveillance by the quorum sensing machinery. Here we review these recent
findings and discuss the relevance for our understanding of bacterial infections
and innate immune responses.
PMID- 22067941
TI - The design of probiotic studies to substantiate health claims.
AB - The EC Regulation No. 1924/2006 on Nutrition and Health claims made on foods has
generated considerable debate and concern among scientists and industry. At the
time of writing, the European Food Safety Authority (EFSA) has not approved any
probiotic claims despite numerous human trials and meta-analyses showing evidence
of beneficial effects. On 29th and 30th September 2010, ten independent, academic
scientists with a documented record in probiotic research, met to discuss designs
for future probiotic studies to demonstrate health benefits for gut and immune
function. The expert panel recommended the following: (i) always formulate a
precise and concrete hypothesis, and appropriate goals and parameters before
starting a trial; (ii) ensure trials have sufficient sample size, such that they
are adequately powered to reach statistically significant conclusions, either
supporting or rejecting the a priori hypothesis, taking into account adjustment
for multiple testing (this might necessitate more than one recruitment site);
(iii) ensure trials are of appropriate duration; (iv) focus on a single, primary
objective and only evaluate multiple parameters when they are hypothesis-driven.
The panel agreed that there was an urgent need to better define which biomarkers
are considered valuable for substantiation of a health claim. As a first step,
the panel welcomed the publication on the day of the meeting of EFSA's draft
guidance document on immune and gut health, although it came too late for study
designs and dossiers to be adjusted accordingly. New validated biomarkers need to
be identified in order to properly determine the range of physiological functions
influenced by probiotics. In addition, validated biomarkers reflecting risk
factors for disease, are required for article 14 claims (EC Regulation No.
1924/2006). Finally, the panel concluded that consensus among scientists is
needed to decide appropriate clinical endpoints for trials.
PMID- 22067942
TI - Rud syndrome does not exist.
PMID- 22067943
TI - Maternal intake of fatty acids during pregnancy and allergies in the offspring.
AB - Fatty acids (FA) are known to have a number of immunological effects and,
accordingly, may play a role in the development of allergic diseases. We
investigated the effect of maternal intake of FA during pregnancy on the risk of
allergic rhinitis, wheeze and atopic eczema in children aged 5 years. The present
study analysed data from the Finnish Type 1 Diabetes Prediction and Prevention
Nutrition Study, a population-based birth cohort study with a 5-year follow-up.
Complete information on maternal diet (assessed by a validated FFQ) and
International Study of Asthma and Allergies in Childhood-based allergic outcomes
was available for 2441 children. Cox proportional regression and logistic
regression were used for the analyses. After adjusting for potential confounding
variables, high maternal consumption of butter and butter spreads (hazard ratio
(HR) 1.33; 95 % CI 1.03, 1.71) and higher ratio of n-6:n-3 FA (HR 1.37; 95 % CI
1.07, 1.77) during pregnancy were associated with an increased risk of allergic
rhinitis in the offspring by 5 years of age. High maternal intakes of total PUFA
(HR 0.71; 95 % CI 0.52, 0.96) and alpha-linolenic FA (HR 0.73; 95 % CI 0.54,
0.98) were associated with a decreased risk of allergic rhinitis. However, these
results lost their significance after adjustment for multiple comparisons.
Overall, our data suggest that maternal consumption of butter, the ratio of n-6:n
3 FA and intake of PUFA and alpha-linolenic FA during pregnancy may be potential
determinants of allergic rhinitis in the offspring.
PMID- 22067944
TI - RAGE does not contribute to renal injury and damage upon ischemia/reperfusion
induced injury.
AB - The receptor for advanced glycation end products (RAGE) mediates a variety of
inflammatory responses in renal diseases, but its role in renal
ischemia/reperfusion (I/R) injury is unknown. We showed that during renal I/R,
RAGE ligands HMGB1 and S100B are expressed. However, RAGE deficiency does not
affect renal injury and function upon I/R-induced injury.
PMID- 22067946
TI - Current world literature.
PMID- 22067949
TI - Eczema diagnosis and management in the community.
AB - The old saying, 'a stitch in time saves nine' is particularly true in the
management of eczema. Early diagnosis and the recognition of an underlying cause
can mean that more simple measures, such as moisturizers, may be sufficient to
keep eczema under control, while the identification of an allergic stimulus can
forestall further problems. Equally, being aware of what action to take when a
course of treatment is ineffective, and having the ability to teach parents and
families to realize when they need extra help, may allow changes to be made that
will restore control of the condition more quickly. An understanding and
empathetic ear may make all the difference when a patient is having to come to
terms with eczema. This article discusses the aetiology and symptoms of different
types of eczema, and summarises the range of available options for the management
of this often disruptive condition.
PMID- 22067945
TI - Polyphenolic extract of lotus root (edible rhizome of Nelumbo nucifera)
alleviates hepatic steatosis in obese diabetic db/db mice.
AB - BACKGROUND: Nonalcoholic fatty liver disease (NAFLD) is emerging as the most
common liver disease of industrialized countries. Thus, discovering food
components that can ameliorate NAFLD is of interest. Lotus root, the edible
rhizome of Nelumbo nucifera, contains high levels of polyphenolic compounds, and
several health-promoting properties of lotus root have been reported. In this
study, we tested whether feeding a polyphenolic extract of lotus root to db/db
mice protects them from hepatic steatosis. RESULTS: After 3 weeks of feeding, the
hepatomegaly and hepatic triglyceride accumulation were markedly alleviated in
the lotus polyphenol-diet-fed db/db mice relative to the control mice. Although
the lipolytic enzyme activity was not changed, the activities of lipogenic
enzymes, such as fatty acid synthase and malic enzyme, were significantly lower
in the lotus polyphenol diet-fed db/db mice. Additionally, the ESI-IT/MS and
MALDI-TOF MS spectra revealed the presence of B-type proanthocyanidin polymers
with polymerization degree up to 9 in the polyphenolic lotus root extract.
CONCLUSION: We speculate that the condensed tannins contained in lotus root can
alleviate hepatic steatosis by suppressing the lipogenic enzyme activity in the
livers of db/db mice.
PMID- 22067950
TI - Advance care planning: thinking ahead to achieve our patients' goals.
AB - The End of Life Care Strategy for England describes advance care planning (ACP)
as a 'voluntary process of discussion about future care...concerns and
wishes...values or personal goals for care, their understanding of their illness
and prognosis...wishes for types of care or treatment and the availability of
these' (Department of Health (DH), 2008). In Scotland, Living and Dying Well:
Building on Progress (Scottish Government (SG), 2011) referred to adopting a
'thinking ahead' philosophy.
PMID- 22067952
TI - Challenges facing newly qualified community nurses: a qualitative study.
AB - This article describes a qualitative study that set out first to explore the
challenges facing recently qualified nurses working in community and intermediate
healthcare settings, and their perceptions of role and professional identity, and
then to propose effective support structures. Data were gathered using individual
interviews which were recorded, transcribed and analysed through categorization
using four key themes: transition work; new learning; support and supervision;
professional identity and integration. It was concluded that transition can be
challenging and stressful but, despite the level of independent working in the
community, participants can be helped to develop resilience by a supportive
environment in which their developmental needs are identified and met.
Recommendations are made concerning the need for a more structured and planned
approach to induction and preceptorship.
PMID- 22067953
TI - Link mentorship: improving support for pre-registration students and mentors.
AB - A very distinct policy change has, over recent years in the UK, prompted a marked
cultural change and a transition of health services from the acute sector to the
community setting. This transition has a direct implication for current and
future pre-registration nursing students and, therefore, for the mentors who
support them in community placements. This article will explore and discuss an
initiative to introduce a link mentor role to support and develop mentorship
within community district nurse settings in respect of adult pre-registration
nursing students. The role was implemented collaboratively between a Higher
Education Institution (HEI) and practice. Initially 15 community nurses undertook
the role which was evaluated after 6 months. Feedback from mentors was
overwhelmingly positive and plans are in place to develop the initiative further.
PMID- 22067954
TI - Non-pharmacological management of behavioural symptoms of dementia.
AB - This article describes a 6-month pilot project in which a community mental health
team provided a dementia inreach service into 4 care homes in Birmingham, UK. The
project included analysis of the impact of the service at the end of the project
as well as a literature review of dementia care in care homes, and especially the
issue of antipsychotic medication use and non-pharmacological approaches in
managing behavioural and psychological symptoms of dementia (BPSD). The project
included training care home staff in the management of BPSD; 2 questionnaires
distributed at the beginning of the project found that 65% of care home staff
felt a need for education and awareness, practical problem-solving and
counselling in managing BPSD. Self-reported knowledge of common mental health
problems and dementia increased in care home staff at the end of the project by a
margin of 7% and 11% respectively. Reported confidence in managing behavioural
problems increased by 9% among care home staff at the end of the project. The
project achieved regular monitoring of psychotropic medications, and enabled the
discharge of 14 out of 63 existing patients in the selected homes. The project
also provided guidance for non-pharmacological techniques for management of BPSD,
which included relaxation techniques, distraction techniques, reality
orientation, reminiscence work, needs led therapy, music therapy, person-centred
approach and behaviour therapy.
PMID- 22067955
TI - Protecting the health of offenders in prison and other places of detention.
AB - The care of prisoners (offenders) in England lies with the Department of Health
(DH) and the ability of the PCTs to commission services. In other countries of
the UK this differs; in Scotland the Scottish Prison Service manages the
responsibility of offender health, with responsibility resting with local health
boards in Wales and the South Eastern Health and Social Care Trust in Northern
Ireland. This article provides the community nurse with an overview of health
protection in England's prisons and places of detention along with a review of
communicable disease, immunization and infection prevention and control. General
advice on legal obligations is provided with infection control principles and a
discussion concerning incident and outbreak management. The role of the community
nurse in the prison setting is no different to the role being undertaken in any
other situation or environment where people require health care. Offenders are
entitled to the same standards of healthcare as the general public.
PMID- 22067956
TI - District nurses' use of social networking sites: caution required.
AB - Although an increasingly popular form of online communication and social
interaction, social network sites have to be used with caution by district
nurses. In common with all health professionals, the scope of a district nurse's
accountability extends to their online presence, and inappropriate remarks or
pictures posted online can call into question the fitness to practise of the
individual. In this article, Richard Griffith and Cassam Tengnah review your
accountability, as it applies to your online presence, and discuss the Nursing
and Midwifery Council's new advice to nurses and midwives on acceptable use of
social networks.
PMID- 22067957
TI - Diacylglycerol kinase zeta: at the crossroads of lipid signaling and protein
complex organization.
AB - Diacylglycerol (DAG) and phosphatidic acid (PA) are lipids with unique functions
as metabolic intermediates, basic membrane constituents, and second-signal
components. Diacylglycerol kinases (DGK) regulate the levels of these two lipids,
catalyzing the interconversion of one to the other. The DGK family of enzymes is
composed of 10 isoforms, grouped into five subfamilies based on the presence of
distinct regulatory domains. From its initial characterization as a type IV DGK
to the generation of mouse models showing its importance in cardiac dysfunction
and immune pathologies, diacylglycerol kinase zeta (DGKzeta) has proved an
excellent example of the critical role of lipid-metabolizing enzymes in the
control of cell responses. Although the mechanism that regulates this enzyme is
not well known, many studies demonstrate its subtle regulation and its strategic
function in specific signaling and as part of adaptor protein complexes. These
data suggest that DGKzeta offers new opportunities for therapeutic manipulation
of lipid metabolism.
PMID- 22067958
TI - The treatment of scaphoid nonunion using the Ilizarov fixator without bone graft,
a study of 18 cases.
AB - OBJECTIVES: Evaluating the safety and efficacy of the Ilizarov fine-wire
compression/distraction technique in the treatment of scaphoid nonunion (SNU),
without the use of bone graft. DESIGN: A retrospective review of 18 consecutive
patients in one centre. PATIENTS AND METHODS: 18 patients; 17 males; 1 female,
with a mean SNU duration of 13.9 months. Patients with carpal instability,
humpback deformity, carpal collapse, avascular necrosis or marked degenerative
change, were excluded. Following frame application the treatment consisted of
three stages: the frame was distracted 1 mm per day until radiographs showed a 2
3 mm opening at the SNU site (mean 10 days); the SNU site was then compressed for
5 days, at a rate of 1 mm per day, with the wrist in 15 degrees of flexion and 15
degrees of radial deviation; the third stage involved immobilization with the
Ilizarov fixator for 6 weeks. The technique is detailed herein. RESULTS:
Radiographic (CT) and clinical bony union was achieved in all 18 patients after a
mean of 89 days (70-130 days). Mean modified Mayo wrist scores improved from 21
to 86 at a mean follow-up of 37 months (24-72 months), with good/excellent
results in 14 patients. All patients returned to their pre-injury occupations and
levels of activity at a mean of 117 days. Three patients suffered superficial K
wire infections, which resolved with oral antibiotics. CONCLUSIONS: In these
selected patients this technique safely achieved bony union without the need to
open the SNU site and without the use of bone graft.
PMID- 22067959
TI - Vascular risk factors and cognitive impairment in a stroke-free cohort.
AB - OBJECTIVE: To examine vascular risk factors, as measured by the Framingham Stroke
Risk Profile (FSRP), to predict incident cognitive impairment in a large,
national sample of black and white adults age 45 years and older. METHODS:
Participants included subjects without stroke at baseline from the Reasons for
Geographic and Racial Differences in Stroke (REGARDS) study with at least 2
cognitive function assessments during the follow-up (n = 23,752). Incident
cognitive impairment was defined as decline from a baseline score of 5 or 6 (of
possible 6 points) to the most recent follow-up score of 4 or less on the Six
item Screener (SIS). Subjects with suspected stroke during follow-up were
censored. RESULTS: During a mean follow-up of 4.1 years, 1,907 participants met
criteria for incident cognitive impairment. Baseline FSRP score was associated
with incident cognitive impairment. An adjusted model revealed that male sex
(odds ratio [OR] = 1.59, 95% confidence interval [CI] 1.43-1.77), black race (OR
= 2.09, 95% CI 1.88-2.35), less education (less than high school graduate vs
college graduate, OR = 2.21, 95% CI 1.88-2.60), older age (10-year increments, OR
= 2.11, per 10-year increase in age, 95% CI 2.05-2.18), and presence of left
ventricular hypertrophy (LVH, OR = 1.29, 95% CI 1.06-1.58) were related to
development of cognitive impairment. When LVH was excluded from the model,
elevated systolic blood pressure was related to incident cognitive impairment.
CONCLUSIONS: Total FSRP score, elevated blood pressure, and LVH predict
development of clinically significant cognitive dysfunction. Prevention and
treatment of high blood pressure may be effective in preserving cognitive health.
PMID- 22067962
TI - An enhancing brainstem lesion in a patient with a history of worldwide travel.
PMID- 22067963
TI - Parkinson disease: the enteric nervous system spills its guts.
AB - Lewy pathology in Parkinson disease (PD) extends well beyond the CNS, also
affecting peripheral autonomic neuronal circuits, especially the enteric nervous
system (ENS). The ENS is an integrative neuronal network also referred to as "the
brain in the gut" because of its similarities to the CNS. We have recently shown
that the ENS can be readily analyzed using routine colonic biopsies. This led us
to propose that the ENS could represent a unique window to assess the
neuropathology in living patients with PD. In this perspective, we discuss
current evidence which indicates that the presence of ENS pathology may by
exploited to improve our understanding and management of PD and likely other
neurodegenerative disorders.
PMID- 22067965
TI - Love lies bleeding--those who are left behind salute you.
PMID- 22067964
TI - "The seagull cry" in internal carotid artery dissection.
PMID- 22067966
TI - Morphometry of dermal nerve fibers in human skin.
PMID- 22067967
TI - Pediatric sciatic neuropathies: a 30-year prospective study.
PMID- 22067968
TI - Improvement of migraine headaches in severely obese patients after bariatric
surgery.
PMID- 22067969
TI - Teaching NeuroImages: granulomatous angiitis of the CNS associated with Hodgkin
lymphoma.
PMID- 22067970
TI - Teaching NeuroImages: mesial temporal sclerosis after a prolonged unprovoked
seizure in an infant.
PMID- 22067971
TI - Teaching video NeuroImages: shake Mom's hand to get the diagnosis.
PMID- 22067972
TI - An unusual cause of symptomatic tension-type headache: hypertrophic branchial
myopathy.
PMID- 22067973
TI - A nonsense variation p.Arg325X in the vascular endothelial growth factor-A gene
may be associated with congenital tricuspid aortic valve stenosis.
AB - BACKGROUND: In our recent study, we first reported that mutation in vascular
endothelial growth factor-A is associated with bicuspid aortic valve stenosis.
However, to date no groups have explored the role of vascular endothelial growth
factor-A variations in the aetiology of congenital tricuspid aortic valve
stenosis. METHODS: We sequenced all eight coding exons and exon-intron boundaries
of the vascular endothelial growth factor-A gene in deoxyribonucleic acid samples
of a cohort of 32 sporadic patients with tricuspid aortic valve stenosis, 300
normal controls, and 103 disease controls - conotruncal defects - in order to
identify sequence variants. RESULTS: We identified a c.973C > T heterozygous
nonsense variation in exon 6 of the vascular endothelial growth factor-A gene in
a patient with an isolated tricuspid aortic valve stenosis. The c.973C > T
variation, which was absent in all controls, changes a highly conserved arginine
at amino acid position 325 to a stop codon (p.Arg325X) and is predicted to
produce a truncated protein of 324 amino acid residues. The proband's parents had
a normal cardiac phenotype; however, his father was a carrier of the p.Arg325X
variation, which indicates that the p.Arg325X variation is inherited and
incompletely penetrant. CONCLUSION: We report for the first time that the
p.Arg325X nonsense variation in the vascular endothelial growth factor-A gene may
be associated with congenital tricuspid aortic valve stenosis.
PMID- 22067974
TI - Study on formulation variables of methotrexate loaded mesoporous MCM-41
nanoparticles for dissolution enhancement.
AB - The aim of this study was to develop methotrexate loaded mesoporous MCM-41
nanoparticles for improved dissolution of methotrexate. The mesoporous MCM-41
nanoparticles act as carrier for drug and increase the solubility of the drug. In
order to achieve this objective small pore size MCM-41 nanoparticles have been
synthesized followed by drug loading process. The process of drug loading was
optimized using full 33 factorial design. With a view to obtain maximum drug
loading three variables, concentration of drug solution, stirring rate, and
drug:carrier ratio were optimized using a full 33 factorial design. Using
statistically designed experiments, the inclusion of methotrexate in MCM-41
nanoparticles was successfully carried out to obtain a drug loading of about 48%.
X-ray powder diffraction and differential scanning calorimetry revealed the
presence of methotrexate in amorphous form and FT-IR spectroscopy showed the
presence of light interactions between the silicate silanols and the drug. The
decrease of Brunauer, Emmett and Teller specific surface area and pore volume
between free MCM-41 and the inclusion compound was the proof of the presence of
methotrexate inside the mesopores. The inclusion compound was submitted to in
vitro dissolution tests and a remarkable dissolution rate improvement was
observed in comparison to the crystalline drug in all tested conditions.
PMID- 22067976
TI - Hospital admissions and pharmacotherapy before out-of-hospital cardiac arrest
according to age.
AB - BACKGROUND: The underlying etiology of sudden cardiac death varies with age and
is likely to be reflected in type and number of healthcare contacts. We aimed to
determine the specific type of healthcare contact shortly before out-of-hospital
cardiac arrest (OHCA) across ages. METHODS: OHCA patients were identified in the
nationwide Danish Cardiac Arrest Register and Copenhagen Medical Emergency Care
Unit (2001-2006). We matched every OHCA patients with 10 controls on sex and age.
Healthcare contacts were evaluated 30 days before event by individual-level
linkage of nationwide registers. RESULTS: We identified 16,924 OHCA patients,
median age 70.0 years (Q1-Q3: 59-80). OHCA patients had a higher number of
hospitalizations and received more pharmacotherapy compared to the control
population across all ages (p for difference <0.001). OHCA patients aged 70-79
and 80-89 years had the highest proportion of hospitalizations (70%) and
pharmacotherapy (73%), respectively. In general, the association between OHCA and
hospitalizations and pharmacotherapy was more pronounced among the youngest OHCA
patients compared to controls. OHCA patients in age groups 14-19, 20-29, 30-39
were ~5 times more likely to be in contact with the healthcare service than the
control population (p for difference <0.001). Similarly, OHCA patients in the
oldest age groups (60-69, 70-79, 80-89, >89) were <2 times more likely to be in
contact with the healthcare services shortly before OHCA compared to the control
population (p for difference <0.001). CONCLUSION: Young OHCA patients are more
likely to be in contact with the healthcare services compared with an age and sex
matched control population suggestive of traits that make them stand out from the
general population.
PMID- 22067975
TI - Rapid Response Team composition, resourcing and calling criteria in Australia.
AB - INTRODUCTION: Rapid Response Teams (RRTs) have been introduced into at least 60%
of Intensive Care Unit (ICU) - equipped Australian hospitals to review
deteriorating ward patients. Most studies have assessed their impact on patient
outcome and less information exists on team composition or aspects of their
calling criteria. METHODS: We obtained information on team composition,
resourcing and details of activation criteria from 39 of 108 (36.1%) RRT-equipped
Australian hospitals. RESULTS: We found that all 39 teams operated 24/7 (h/days),
but only 10 (25.6%) had received additional funding for the service. Although
38/39 teams, were physician-led medical emergency teams, in 7 (17.9%) sites the
most senior member would be unlikely to have advanced airway skills. Three
quarters of calling criteria were structured into "ABCD", and approximately 40%
included cardiac and/or respiratory arrest as a calling criterion. Thresholds for
calling criteria varied widely (particularly for respiratory rate and heart
rate), as did the wording of the worried/concerned criterion. There was also wide
variation in the number and nature of additional activation criteria.
CONCLUSIONS: Our findings imply the likelihood of significant practice variation
in relation to RRT composition, staff skill set and activation criteria between
hospitals. We recommend improved resourcing of RRTs, training of the team
members, and consideration for improved standardisation of calling criteria
across institutions.
PMID- 22067977
TI - Multienzymatic amperometric biosensor based on gold and nanocomposite planar
electrodes for glycerol determination in wine.
AB - Amperometric biosensors based on gold planar or nanocomposite electrode
containing multiwalled carbon nanotubes for determination of glycerol were
developed. The biosensors were constructed by immobilization of a novel
multienzyme cascade consisting of glycerol kinase/creatine
kinase/creatinase/sarcosine oxidase/peroxidase between a chitosan "sandwich." A
measuring buffer contained adenosine 5'-triphosphate (ATP), creatine phosphate,
and an artificial electrochemical mediator ferrocyanide. The currents
proportional to glycerol concentration were measured at working potential of -50
mV against Ag/AgCl reference electrode. The biosensors showed linearity over the
ranges of 5-640 MUM and 5-566 MUM with detection limits of 1.96 and 2.24 MUM and
sensitivities of 0.80 and 0.81 nA MUM(-1), respectively. Both types of biosensors
had a response time of 70s. The biosensors demonstrated satisfactory operational
stability (no loss of sensitivity after 90 consecutive measurements) and
excellent storage stability (90% of the initial sensitivity after 15 months of
storage at room temperature). The results obtained from measurements of wines
correlated well with those obtained with an enzymatic-spectrophotometric assay.
The presented multienzyme cascade can be used also for determination of
triglycerides or various kinase substrates when glycerol kinase is replaced by
other kinases.
PMID- 22067978
TI - Internally quenched fluorescent peptide libraries with randomized sequences
designed to detect endopeptidases.
AB - Identification of synthetic peptide substrates for novel peptidases is an
essential step for their study. With this purpose we synthesized fluorescence
resonance energy transfer (FRET) peptide libraries Abz (or MCA)-GXXXXXQ-EDDnp and
Abz (or MCA)-GXXZXXQ-EDDnp, where X consists of an equimolar mixture of all amino
acids, the Z position is fixed with one of the proteinogenic amino acids
(cysteine was excluded), Abz (ortho-aminobenzoic acid) or MCA ([7-amino-4
methyl]coumarin) is the fluorescence donor and Q-EDDnp (glutamine-[N-(2,4
dinitrophenyl)-ethylenediamine]) is the fluorescence acceptor. The peptide
libraries MCA-GXXX?XXQ-EDDnp and MCA-GXXZ?XXQ-EDDnp were cleaved as indicated (?)
by trypsin, chymotrypsin, cathepsin L, pepsin A, and Eqolisin as confirmed by
Edman degradation of the products derived from the digestion of these libraries.
The best hydrolyzed Abz-GXXZXXQ-EDDnp sublibraries by these proteases, including
Dengue 2 virus NS2B-NS3 protease, contained amino acids at the Z position that
are reported to be well accepted by their S(1) subsite. The pH profiles of the
hydrolytic activities of these canonical proteases on the libraries were similar
to those reported for typical substrates. The FRET peptide libraries provide an
efficient and simple approach for detecting nanomolar concentrations of
endopeptidases and are useful for initial specificity characterization as
performed for two proteases secreted by a Bacillus subtilis.
PMID- 22067980
TI - An artifact in studies of gene regulation using beta-galactosidase reporter gene
assays.
AB - Reporter gene assays are important tools for evaluating gene expression. A
frequently used assay measures the activity of beta-galactosidase (beta-gal)
expressed from lacZ in plasmid or genomic constructions. Such constructions are
often used to interrogate the ability of DNA (query DNA), potentially encoding a
transcription factor, to regulate in trans the expression of a promoter fused to
the reporter lacZ. Query DNA is frequently inserted into a second plasmid within
the alpha-subunit of beta-gal, interrupting its function. However, this plasmid
can induce up-expression of beta-gal even when void of query DNA, leading to
confusion between artifact and authentic regulation.
PMID- 22067979
TI - A protein switch sensing system for the quantification of sulfate.
AB - Protein engineering has generated versatile methods and technologies that have
been instrumental in advancements in the fields of sensing, therapeutics, and
diagnostics. Herein, we demonstrate the employment of rational design to engineer
a unique bioluminescence-based protein switch. A fusion protein switch combines
two totally unrelated proteins, with distinct characteristics, in a manner such
that the function of one protein is dependent on another. Herein we report a
protein switch sensing system by insertion of the sulfate-binding protein (SBP)
into the structure of the photoprotein aequorin (AEQ). In the presence of
sulfate, SBP undergoes a conformational change bringing the two segments of AEQ
together, "turning on" bioluminescence in a dose-dependent fashion, thus allowing
quantitative detection of sulfate. A calibration plot was obtained by correlating
the amount of bioluminescence generated with the concentration of sulfate
present. The switch demonstrated selectivity and reproducibility, and a detection
limit of 1.6*10(-4)M for sulfate. Moreover, the sensing system was validated by
performing sulfate detection in clinical and environmental samples, such as,
serum, urine, and tap water. The detection limits and working ranges in all three
samples fall within the average normal/recommended sulfate levels in the
respective matrices.
PMID- 22067981
TI - An acetic acid-based extraction method to obtain high quality collagen from
archeological bone remains.
AB - Human bones, recovered from excavations, are an important biological archive of
information. In particular, the analysis of the collagen fraction is useful for
paleodietary reconstruction, via light stable isotopes, and for (14)C dating.
Generally, collagen extraction procedures do not prevent loss of integrity of
proteins. As a consequence, information about the state-of-remains preservation
is unavailable. Here we describe a "soft" nondestructive CH(3)COOH-based method
to recover collagen from archaeological bones, and also to obtain material for
successive isotopic analyses. Our isotopic measurements on the extracts indicate
that the CH(3)COOH-based method of extraction may be routinely employed in the
context of paleodiet studies. In addition, we propose that biochemical
characterization by denaturant electrophoresis and Western blot on CH(3)COOH
extracts may be used as a bone collagen quality indicator.
PMID- 22067982
TI - Safety, efficacy, and tolerability of early initiation of noninvasive positive
pressure ventilation in pediatric patients admitted with status asthmaticus: a
pilot study.
AB - OBJECTIVES: Although noninvasive positive pressure ventilation is increasingly
used for respiratory distress, there is not much data supporting its use in
children with status asthmaticus. The objective of this study was to determine
safety, tolerability, and efficacy of early initiation of noninvasive positive
pressure ventilation in addition to standard of care in the management of
children admitted with status asthmaticus. STUDY DESIGN: A prospective,
randomized, controlled, clinical trial. PATIENTS: Twenty patients (1-18 yrs old)
admitted to the pediatric intensive care unit with status asthmaticus. METHODS
AND MAIN RESULTS: Children were randomized to receive either noninvasive positive
pressure ventilation plus standard of care (noninvasive positive pressure
ventilation group) or standard of care alone (standard group). Improvement in
clinical asthma score was significantly greater in noninvasive positive pressure
ventilation group compared to standard group at 2 hrs, 4-8 hrs, 12-16 hrs, and 24
hrs after initiation of interventions (p < .01). A significant decrease in
respiratory rate at >= 24 hrs oxygen requirement after 2 hrs was noted in
noninvasive positive pressure ventilation group as compared to standard group (p
= .01 and p = .03, respectively). Although statistically not significant, fewer
children in the noninvasive positive pressure ventilation group required adjunct
therapy compared to standard group (11% vs. 50%; p = .07). There were no major
adverse events related to noninvasive positive pressure ventilation. Nine out of
ten patients tolerated noninvasive positive pressure ventilation through the
duration of the study; noninvasive positive pressure ventilation had to be
discontinued in one patient because of persistent cough. CONCLUSIONS: Early
initiation of noninvasive positive pressure ventilation, along with short acting
beta-agonists and systemic steroids, can be safe, well-tolerated, and effective
in the management of children with status asthmaticus.
PMID- 22067983
TI - Automated respiratory cycles selection is highly specific and improves
respiratory mechanics analysis.
AB - OBJECTIVE: Selected optimal respiratory cycles should allow calculation of
respiratory mechanic parameters focusing on patient-ventilator interaction. New
computer software automatically selecting optimal breaths and respiratory
mechanics derived from those cycles are evaluated. DESIGN: Retrospective study.
SETTING: University level III neonatal intensive care unit. SUBJECTS: Ten mins
synchronized intermittent mandatory ventilation and assist/control ventilation
recordings from ten newborns. INTERVENTION: The ventilator provided respiratory
mechanic data (ventilator respiratory cycles) every 10 secs. Pressure, flow, and
volume waves and pressure-volume, pressure-flow, and volume-flow loops were
reconstructed from continuous pressure-volume recordings. Visual assessment
determined assisted leak-free optimal respiratory cycles (selected respiratory
cycles). New software graded the quality of cycles (automated respiratory
cycles). Respiratory mechanic values were derived from both sets of optimal
cycles. We evaluated quality selection and compared mean values and their
variability according to ventilatory mode and respiratory mechanic provenance. To
assess discriminating power, all 45 "t" values obtained from interpatient
comparisons were compared for each respiratory mechanic parameter. MEASUREMENTS
AND MAIN RESULTS: A total of 11,724 breaths are evaluated. Automated respiratory
cycle/selected respiratory cycle selections agreement is high: 88% of maximal
kappa with linear weighting. Specificity and positive predictive values are 0.98
and 0.96, respectively. Averaged values are similar between automated respiratory
cycle and ventilator respiratory cycle. C20/C alone is markedly decreased in
automated respiratory cycle (1.27 +/- 0.37 vs. 1.81 +/- 0.67). Tidal volume
apparent similarity disappears in assist/control: automated respiratory cycle
tidal volume (4.8 +/- 1.0 mL/kg) is significantly lower than for ventilator
respiratory cycle (5.6 +/- 1.8 mL/kg). Coefficients of variation decrease for all
automated respiratory cycle parameters in all infants. "t" values from ventilator
respiratory cycle data are two to three times higher than ventilator respiratory
cycles. CONCLUSIONS: Automated selection is highly specific. Automated
respiratory cycle reflects most the interaction of both ventilator and patient.
Improving discriminating power of ventilator monitoring will likely help in
assessing disease status and following trends. Averaged parameters derived from
automated respiratory cycles are more precise and could be displayed by
ventilators to improve real-time fine tuning of ventilator settings.
PMID- 22067984
TI - Critical care for pediatric asthma: wide care variability and challenges for
study.
AB - OBJECTIVES: To describe pediatric severe asthma care, complications, and outcomes
to plan for future prospective studies by the Collaborative Pediatric Critical
Care Research Network. DESIGN: Retrospective cohort study. SETTING: : Pediatric
intensive care units in the United States that submit administrative data to the
Pediatric Health Information System. PATIENTS: Children 1-18 yrs old treated in a
Pediatric Health Information System pediatric intensive care unit for asthma
during 2004-2008. INTERVENTIONS: None. MEASUREMENTS AND MAIN RESULTS: Thirteen
thousand five-hundred fifty-two children were studied; 2,812 (21%) were treated
in a Collaborative Pediatric Critical Care Research Network and 10,740 (79%) were
treated in a non-Collaborative Pediatric Critical Care Research Network pediatric
intensive care unit. Medication use in individual Collaborative Pediatric
Critical Care Research Network centers differed widely: ipratropium bromide (41%
84%), terbutaline (11%-74%), magnesium sulfate (23%-64%), and methylxanthines (0%
46%). Complications including pneumothorax (0%-0.6%), cardiac arrest (0.2%-2%),
and aspiration (0.2%-2%) were rare. Overall use of medical therapies and
complications at Collaborative Pediatric Critical Care Research Network centers
were representative of pediatric asthma care at non-Collaborative Pediatric
Critical Care Research Network pediatric intensive care units. Median length of
pediatric intensive care unit stay at Collaborative Pediatric Critical Care
Research Network centers was 1 to 2 days and death was rare (0.1%-3%). Ten
percent of children treated at Collaborative Pediatric Critical Care Research
Network centers received invasive mechanical ventilation compared to 12% at non
Collaborative Pediatric Critical Care Research Network centers. Overall 44% of
patients who received invasive mechanical ventilation were intubated in the
pediatric intensive care unit. Children intubated outside the pediatric intensive
care unit had significantly shorter median ventilation days (1 vs. 3), pediatric
intensive care unit days (2 vs. 4), and hospital days (4 vs. 7) compared to those
intubated in the pediatric intensive care unit. Among children who received
mechanical respiratory support, significantly more (41% vs. 25%) were treated
with noninvasive ventilation and significantly fewer (41% vs. 58%) were intubated
before pediatric intensive care unit care when treated in a Pediatric Health
Information System hospital emergency department. CONCLUSIONS: Marked variations
in medication therapies and mechanical support exist. Death and other
complications were rare. More than half of patients treated with mechanical
ventilation were intubated before pediatric intensive care unit care. Site of
respiratory mechanical support initiation was associated with length of stay.
PMID- 22067985
TI - Dexmedetomidine and ketamine: an effective alternative for procedural sedation?
AB - OBJECTIVES: Although generally effective for sedation during noninvasive
procedures, dexmedetomidine as the sole agent has not been uniformly successful
for invasive procedures. To overcome some of the pitfalls with dexmedetomidine as
the sole agent, there are an increasing number of reports regarding its
combination with ketamine. This article provides a descriptive account of the
reports from the literature regarding the use of a combination of dexmedetomidine
and ketamine for procedural sedation. DATA SOURCE: A computerized bibliographic
search of the literature regarding dexmedetomidine and ketamine for procedural
sedation. MEASUREMENTS AND MAIN RESULTS: The literature contains four reports
with cohorts of more than ten patients with a total of 122 patients. Two of these
studies were prospective randomized trials. Additionally, there are eight single
case reports or small case series (six patients or less) with an additional 21
pediatric patients. When used together, dexmedetomidine may prevent the
tachycardia, hypertension, salivation, and emergence phenomena from ketamine,
whereas ketamine may prevent the bradycardia and hypotension, which has been
reported with dexmedetomidine. An additional benefit is that the addition of
ketamine to initiate the sedation process speeds the onset of sedation, thereby
eliminating the slow onset time when dexmedetomidine is the sole agent. Although
various regimens have been reported in the literature, the most effective regimen
appears to be the use of a bolus dose of both agents, dexmedetomidine (1 ug/kg)
and ketamine (1-2 mg/kg), to initiate sedation. This can then be followed by a
dexmedetomidine infusion (1-2 ug/kg/hr) with supplemental bolus doses of ketamine
(0.5-1 mg/kg) as needed. CONCLUSIONS: The available literature except for one
trial is favorable regarding the utility of a combination of ketamine and
dexmedetomidine for procedural sedation. Future studies with direct comparisons
to other regimens appear warranted for both invasive and noninvasive procedures.
PMID- 22067986
TI - Development of a bedside tool to predict time to death after withdrawal of life
sustaining therapies in infants and children.
AB - OBJECTIVES: To generate a preliminary bedside predictor of rapid time-to-death
after withdrawal of support in children to help identify potential candidates for
organ donation after circulatory death. DESIGN: Retrospective chart review.
SETTING: Pediatric intensive care unit of an academic children's hospital.
PATIENTS: All deaths in the pediatric intensive care unit from May 1996 to April
2007. INTERVENTIONS: None. MEASUREMENTS AND MAIN RESULTS: Among 1389 deaths, 634
patients underwent withdrawal of support and 518 with complete data regarding
demographics, life-supportive therapies, and end-of-life circumstances were
analyzed. Three hundred seventy-three (72%) patients died within 30 mins of
withdrawal and 452 (87%) died within 60 mins. Using multiple logistic regression,
significant predictors of death within 30 or 60 mins (typical cut-off times for
organ donation) were identified and a predictor score was generated. Significant
predictors included: age 1 month or younger; norepinephrine, epinephrine, or
phenylephrine >0.2 ug/kg/min; extracorporeal membrane oxygenation; and positive
end-expiratory pressure >10 cmH2O; and spontaneous ventilation. Possible scores
for the 30-min predictor ranged from -17 to 67; a score <=-9 predicted a 37%
probability of death <= 30 mins, whereas a score >= 38 predicted an 85%
probability of death within 30 mins. For the 60-min predictor, scores ranged from
-21 to 38; score <=-10 predicted a 59% probability of death within 60 mins and a
score >= 16 predicted a 98% probability of death within 60 mins. CONCLUSIONS:
This tool is a reasonable preliminary predictor for death within 30 or 60 mins
after withdrawal of support in terminally ill or injured children and might
assist in identifying potential pediatric candidates for donation after
circulatory death, although prospective validation is required.
PMID- 22067987
TI - Quality of life of pediatric cardiac patients who previously required
extracorporeal membrane oxygenation.
AB - OBJECTIVES: We sought to assess quality of life of pediatric cardiac
extracorporeal membrane oxygenation survivors. We hypothesized that these
patients would have decreased quality of life when compared to that of a general
U.S. population sample. DESIGN: Cross-sectional study. SETTING: Patient homes and
Children's Hospital Boston. PATIENTS: Cardiac extracorporeal membrane oxygenation
survivors currently 5-18 yrs old. INTERVENTIONS: None. MEASUREMENTS AND MAIN
RESULTS: Quality of life was assessed by parent proxy report using the Child
Health Questionnaire Parent Form 50 and was compared to that of a general U.S.
population sample and other cardiac populations. Factors associated with lower
quality of life were sought. Physical summary scores for 41 cardiac
extracorporeal membrane oxygenation survivors were lower than the mean of the
general population sample (42.4 +/- 16.4 vs. 53.0 +/- 8.8; p < .001) but similar
to those of children with Fontan physiology or an automatic implantable
cardioverter defibrillator. Psychosocial summary scores in extracorporeal
membrane oxygenation patients were not different from those of the general
population (48.2 +/- 11.8 vs. 51.2 +/- 9.1; p = .11) or of other cardiac samples.
Postcardiotomy extracorporeal membrane oxygenation, more noncardiac operations,
total intensive care and hospital days, noncardiac medical conditions,
medications, and the need for physical, occupational, or speech therapy were
associated with low physical summary scores. More noncardiac operations,
noncardiac medical conditions, and the need for special education, physical,
occupational, or speech therapy were associated with low psychosocial summary
scores. CONCLUSIONS: In pediatric cardiac extracorporeal membrane oxygenation
survivors, the physical component of health-related quality of life is lower than
that of the general population but similar to that of patients with complex
cardiac disease, whereas psychosocial quality of life is similar to that of the
general population and of other pediatric cardiac populations.
PMID- 22067988
TI - ROPGAPs of Arabidopsis limit susceptibility to powdery mildew.
AB - The barley ROP GTPase HvRACB is a susceptibility factor of barley to powdery
mildew caused by the biotrophic fungus Blumeria graminis f.sp. hordei (Bgh). In a
recent publication, we reported about a MICROTUBULE-ASSOCIATED ROP GTPASE
ACTIVATING PROTEIN 1 (HvMAGAP1) of barley. Transient-induced gene silencing or
overexpression of HvMAGAP1 resulted in enhanced or reduced susceptibility to Bgh,
respectively, indicating a possible HvRACB-antagonistic function of HvMAGAP1 in
interaction with Bgh. HvMAGAP1 also influences the polarity of cortical
microtubules in interaction with Bgh. In AtROPGAP1 and AtROPGAP4, Arabidopsis
homologs of HvMAGAP1, knock-out T-DNA insertions enhanced susceptibility of
Arabidopsis to the virulent powdery mildew fungus Erysiphe cruciferarum,
indicating functions of ROPGAPs in pathogen interaction of monocots and dicots.
Here we discuss the role of AtROPGAP1 and AtROPGAP4 in Arabidopsis pathogenesis
of powdery mildew in some more detail.
PMID- 22067989
TI - Two Arabidopsis guard cell-preferential MAPK genes, MPK9 and MPK12, function in
biotic stress response.
AB - Abscisic acid (ABA) plays a major role in plant development and adaptation to
severe environmental conditions. ABA evokes cellular events to regulate stomatal
apertures and thus contributes to the plant's ability to respond to abiotic
stresses. Reactive oxygen species (ROS) are produced in response to ABA and
mediate ABA-induced stomatal closure. We have shown that two MAP kinases, MPK9
and MPK12, are highly and preferentially expressed in guard cells and function as
positive regulators of ROS-mediated ABA signaling in guard cells. Cell biological
and electrophysiological analyses demonstrated that MPK9 and MPK12 act downstream
of ROS and cytosolic Ca2+ and upstream of anion channels in the guard cell ABA
signaling cascade. Plant pathogens use stomata as the primary gateway to enter
into their hosts, and previous studies have indicated crosstalk between ABA and
defense signaling. Here we show that mpk9-1/12-1 double mutants are highly
susceptible to Pseudomonas syringae DC3000 compared to WT plants. These results
suggest that the regulation of stomatal apertures by MPK9 and MPK12 contributes
to the first line of defense against pathogens.
PMID- 22067990
TI - OsDIS1-mediated stress response pathway in rice.
AB - Ubiquitin-mediated protein degradation has been well demonstrated as a key
regulatory mechanism in response to drought stress in Arabidopsis. However, the
biological function of most E3 ligase genes in drought response is still unknown
in rice. We recently showed that OsDIS1 (Oryza sativa drought-induced SINA
protein 1), a SINA type E3 ligase, is involved in the drought-stress signal
transduction in rice. OsDIS1 plays a negative role in drought stress tolerance
through the transcriptional regulation of diverse stress-related genes and also
possibly through the posttranslational regulation of its interacting protein
OsNek6 in rice. Here we also show that OsDIS1 interacts with OsSKIPa, a drought
and salt stress positive regulator in rice. Based on these results, we propose a
working model for the function of OsDIS1 in regulating the stress signaling
pathway in rice.
PMID- 22067991
TI - Arabidopsis TRAPPII is functionally linked to Rab-A, but not Rab-D in polar
protein trafficking in trans-Golgi network.
AB - The trans-Golgi network (TGN) in plant cells is an independent organelle,
displaying rapid association and dissociation with Golgi bodies. In plant cells,
the TGN is the site where secretory and endocytic membrane trafficking meet. Cell
wall components, signaling molecules and auxin transporters have been found to
undergo intracellular trafficking around the TGN. However, how different
trafficking pathways are regulated and how different cargoes are sorted in the
TGN is poorly defined in plant cells. Using a combined approach of genetic and in
vivo imaging, we recently demonstrated that Arabidopsis TRAPPII acts in the TGN
and is required for polar targeting of PIN2, but not PIN1, auxin efflux carrier
in root tip cells. Here, we report that, TRAPPII in Arabidopsis is required for
polar distribution of AUX1, an auxin influx carrier in protophloem cells and
epidermal cells of Arabidopsis root tips. In yeast cells, TRAPPII serves as a
guanine-nucleotide exchange factor (GEF) for Ypt1 and Ypt31/32 in late Golgi
trafficking, while in mammalian cells, TRAPPII acts as a GEF for Rab1 (homolog of
yeast Ypt1) in early Golgi trafficking. We show here that TRAPPII in Arabidopsis
is functionally linked to Rab-A proteins, homologs of yeast Ypt31/32, but not Rab
D proteins, homologs of yeast Ypt1 and animal Rab1 proteins.
PMID- 22067992
TI - Glycerol-3-phosphate and systemic immunity.
AB - Glycerol-3-phosphate (G3P), a conserved three-carbon sugar, is an obligatory
component of energy-producing reactions including glycolysis and glycerolipid
biosynthesis. G3P can be derived via the glycerol kinase-mediated phosphorylation
of glycerol or G3P dehydrogenase (G3Pdh)-mediated reduction of dihydroxyacetone
phosphate. Previously, we showed G3P levels contribute to basal resistance
against the hemibiotrophic pathogen, Colletotrichum higginsianum. Inoculation of
Arabidopsis with C. higginsianum correlated with an increase in G3P levels and a
concomitant decrease in glycerol levels in the host. Plants impaired in GLY1
encoded G3Pdh accumulated reduced levels of G3P after pathogen inoculation and
showed enhanced susceptibility to C. higginsianum. Recently, we showed that G3P
is also a potent inducer of systemic acquired resistance (SAR) in plants. SAR is
initiated after a localized infection and confers whole-plant immunity to
secondary infections. SAR involves generation of a signal at the site of primary
infection, which travels throughout the plants and alerts the un-infected distal
portions of the plant against secondary infections. Plants unable to synthesize
G3P are defective in SAR and exogenous G3P complements this defect. Exogenous G3P
also induces SAR in the absence of a primary pathogen. Radioactive tracer
experiments show that a G3P derivative is translocated to distal tissues and this
requires the lipid transfer protein, DIR1. Conversely, G3P is required for the
translocation of DIR1 to distal tissues. Together, these observations suggest
that the cooperative interaction of DIR1 and G3P mediates the induction of SAR in
plants.
PMID- 22067993
TI - miR393: integrator of environmental cues in auxin signaling?
AB - Auxin signalling and plant development depend on a family of partially redundant
F-box receptors of the TIR1/AFB2 Auxin Receptor (TAAR) clade. We have recently
shown that the post-transcriptional regulation of the Arabidopsis thaliana TAAR
gene family invokes complex sRNA regulations during development. In leaves, the
microRNA miR393 appears (1) to be primarily generated from one of the two genes,
AtMIR393B, (2) to regulate the expression of all four members of the clade and
(3) to initiate the formation of functional secondary siRNAs, named siTAARs, from
TAAR transcripts themselves. Strikingly, mir393b-1 mutants, which are impaired in
the biogenesis of miR393b and siTAARs, exhibit rather mild developmental defects.
The known roles of miR393 in nitrate response, in defence against pathogenic
bacteria and in plant development lead us to hypothesize that miR393 plays an
important role to integrate complex environmental stimuli.
PMID- 22067994
TI - Type 2 histone deacetylases play a major role in the control of elicitor-induced
cell death in tobacco.
AB - The cell death which characterizes the onset of the Hypersensitive Response (HR)
is a very important weapon evolved by plants to block pathogen development. By
the use of numerous plant/avirulent pathogen or plant/elicitor models, we have
now obtained detailed signalling pathways allowing, after pathogen or elicitor
perception, the control of the expression of specific sets of genes that
contribute to cell death. However, our knowledge of the molecular actors involved
in this process still remains limited. This is particularly true when regarding
what happen in the nucleus. We recently reported that nuclear post-translational
protein modifications are major processes that control cell death. Using the
tobacco/cryptogein model, we showed that type 2 histone deacetylase activities,
which act as negative regulators of cell death, depend on their phosphorylation
status. In the present paper, we integrated all these results to propose a model
depicting the putative nuclear signalling pathways controlling the establishment
of cell death in tobacco in response to the cryptogein elicitor. This model
highlights the role of the nuclear protein acetylation and phosphorylation in the
establishment of plant defences.
PMID- 22067995
TI - Carnivorous Utricularia: the buckling scenario.
AB - We review recent results about the functioning of aquatic carnivorous traps from
the genus Utricularia. The use of high speed cameras has helped to elucidate the
mechanism at the origin of the ultra fast capture process of Utricularia, at a
millisecond time scale. As water is pumped out of the trap, pressure decreases
inside the trap and elastic energy is stored due to the change of shape of the
trap body. This energy is suddenly released when the trap is fired: the trap door
undergoes an elastical instability--buckling--which allows its fast and passive
opening and closure. This mechanism is used by Utricularia both to catch preys
touching its trigger hairs and to fire spontaneously at regular time intervals.
The results leading to this interpretation are reviewed and discussed and
suggestions for further work are briefly presented.
PMID- 22067996
TI - Crosstalk between blue-light- and ABA-signaling pathways in stomatal guard cells.
AB - We recently established an immunohistochemical method for the detection of blue
light (BL)-induced and phototropin-mediated phosphorylation of plasma-membrane H+
ATPase in stomatal guard cells of Arabidopsis thaliana. This technique makes it
possible to detect the phosphorylation/activation status of guard-cell H+-ATPase
in the epidermis of a single rosette leaf, without the need to prepare guard-cell
protoplasts (GCPs) from a large number of plants. Moreover, it can detect guard
cell responses under more natural and stress-free conditions compared to using
GCPs. Taking advantage of these properties, we examined the effect of abscisic
acid (ABA) on BL-induced phosphorylation of guard-cell H+-ATPase by using ABA
insensitive mutants. This revealed inhibition of BL-induced phosphorylation of
guard-cell H+-ATPase via the early ABA-signaling components PYR/PYL/RCAR-PP2Cs
SnRK2s, which are known to be early ABA-signaling components for a wide range of
ABA responses in plants.
PMID- 22067997
TI - Cell-specific compartmentation of mineral nutrients is an essential mechanism for
optimal plant productivity--another role for TPC1?
AB - Vacuoles of different leaf cell-types vary in their capacity to store specific
mineral elements. In Arabidopsis thaliana potassium (K) accumulates
preferentially in epidermal and bundle sheath cells whereas calcium (Ca) and
magnesium (Mg) are stored at high concentrations only in mesophyll cells.
Accumulation of these elements in a particular vacuole can be reciprocal, i.e. as
[K]vac increases [Ca]vac decreases. Mesophyll-specific Ca-storage involves CAX1
(a Ca2+/H+ antiporter) and Mg-storage involves MRS2-1/MGT2 and MRS2-5/MGT3 (both
Mg2+-transporters), all of which are preferentially expressed in the mesophyll
and encode tonoplast-localised proteins. However, what controls leaf-cell [K]vac
is less well understood. TPC1 encodes the two-pore Ca2+ channel protein
responsible for the tonoplast-localised SV cation conductance, and is highly
expressed in cell-types that not preferentially accumulate Ca. Here, we evaluate
evidence that TPC1 has a role in maintaining differential K and Ca storage across
the leaf, and propose a function for TPC1 in releasing Ca2+ from epidermal and
bundle sheath cell vacuoles to maintain low [Ca]vac. Mesophyll-specific Ca
storage is essential to maintain apoplastic free Ca concentration at a level that
does not perturb a range of physiological parameters including leaf gas exchange,
cell wall extensibility and growth. When plants are grown under serpentine
conditions (high Mg/Ca ratio), MGT2/MRS2-1 and MGT3/MRS2-5 are required to
sequester additional Mg2+ in vacuoles to replace Ca2+ as an osmoticum to maintain
growth. An updated model of Ca2+ and Mg2+ transport in leaves is presented as a
reference for future interrogation of nutritional flows and elemental storage in
plant leaves.
PMID- 22067998
TI - Cell wall integrity maintenance in plants: lessons to be learned from yeast?
AB - The plant cell wall is involved in different biological processes like cell
morphogenesis and response to biotic/abiotic stress. Functional integrity of the
wall is apparently being maintained during these processes by changing
structure/composition and coordinating cell wall with cellular metabolism. In
S.cerevisiae a well-characterized mechanism exists that is maintaining functional
integrity of yeast the cell wall during similar processes. During the last years
it has become obvious that plants have evolved a mechanism to monitor and
maintain functional integrity of their cell walls. However, our understanding of
the mechanism is rather limited. The available evidence suggests that similar
signaling cascades may be involved and particular protein activities may be
conserved between plants and yeast. Here we review the available evidence briefly
and highlight similarities between yeast and plants that could help us to
understand the mode of action of the signaling cascades maintaining plant cell
wall integrity.
PMID- 22067999
TI - Vertebrate unfolded protein response: mammalian signaling pathways are conserved
in Medaka fish.
AB - The accumulation of unfolded proteins in the endoplasmic reticulum (ER) activates
the unfolded protein response (UPR). The ER stress signal is sensed and
transmitted by a transmembrane protein(s) in the ER. The number of these
transducers has increased with evolution, one in yeast, three in worm and fly,
and five in mammals. Here, we examined medaka fish, Oryzias latipes, as a
vertebrate model organism, and found that the medaka genome encodes five UPR
transducers. Analysis of a medaka embryonic cell line revealed that the mammalian
UPR signaling mechanisms are very well conserved. Thus, XBP1 mRNA, which encodes
the transcription factor XBP1 downstream of the IRE1 pathway, was spliced in
response to ER stress, resulting in production of the active form of XBP1.
Translation was generally attenuated in response to ER stress, which
paradoxically induced the translation of ATF4, the transcription factor
downstream of the PERK pathway. ATF6 was constitutively synthesized as a
transmembrane protein and activated by ER stress-induced proteolysis. Results
obtained with the overexpression of active ATF6alpha, ATF6beta, and XBP1 strongly
suggested that ATF6alpha plays a major role in upregulating the major ER
chaperone BiP, contrary to the case in non-vertebrates, in which the IRE1 pathway
is essential to the induction of BiP. Physiological ER stress occurring during
embryonic development was visualized using transgenic medaka carrying the
enhanced green fluorescent protein gene under the control of the BiP promoter.
Thus, analysis of the vertebrate UPR using medaka will help provide a more
comprehensive understanding of the biology and physiology of the UPR.
PMID- 22068000
TI - Nursing in a changing NHS.
AB - In the past few weeks, I have had the privilege of attending a series of seminars
exploring the themes emerging from the Mid Staffordshire Public Inquiry to date.
They are highly relevant to nurses and to the future of nursing. They have
explored system and professional regulation, organizational culture, board
leadership, information systems, nurse training, patient experience and
commissioning.
PMID- 22068001
TI - Abusing the privelege to care: shame on us.
AB - Ian Peate, Consultant Editor of BJN, wrote the editorial 'Abusing the privelege:
to care - shame on us' which was adapted and published on the BBC website
(http://tinyurl.com/6cf2q5t). There was an overwhelming response to Ian's piece
and some examples are shown here.
PMID- 22068002
TI - Treating to target in rheumatoid arthritis: biologic therapies.
AB - Treating to target is an established concept in the management of a number of
long-term conditions to improve outcomes and prevent disease progression.
Treatment targets in rheumatoid arthritis (RA) are to control the signs and
symptoms of significant inflammatory disease activity, with the ultimate goal of
remission from disease. The previous article in this series (Firth, 2011)
outlined treating RA to target with conventional disease modifying drugs
(DMARDs), including the role of the nurse in assessing disease activity,
promoting shared clinical-decision making and monitoring treatment. In recent
years, biologic agents have increased the treatment options for RA, but their use
is reserved for patients with severe disease activity who fail to respond to
treatment with two or more DMARDs. This article outlines the role of biologic
therapies in treating RA to target, including eligibility criteria and the role
of the nurse in optimizing outcomes.
PMID- 22068003
TI - Critical care training: using Twitter as a teaching tool.
AB - In 2010, the Joint Information Systems Committee (JISC) funded a project to
explore the usefulness of Twitter as a teaching tool. The project, which was
based at the Clinical Simulation Centre at the University of Glamorgan, involved
the creation of four short, videoed clinical scenarios and used a high-fidelity
mannequin-based simulator. A group of twelve learners on a BSc Critical Care
course accessed these videos asynchronously and were encouraged to 'tweet' very
short messages on the evolving condition of the patient in the videos, on key
clinical decision points or respond to specific questions posted by the tutors.
Another group of learners on a pre-registration nursing course used Twitter in a
synchronous, face-to-face classroom environment and also tweeted their responses
to the videos. The overall aim of the project was to explore the merits, or
otherwise, of Twitter as a tool to scaffold learning and engage nursing students
in reflection and clinical decision making.
PMID- 22068004
TI - Developing a 'model of transition' prior to preceptorship.
AB - It has long been recognized (Kramer, 1974) that the transition from student to
qualified nurse or midwife is a steep learning curve which Kramer famously
described as a 'reality shock'. The University Hospital of North Staffordshire
(UHNS) NHS Trust has recently taken its established preceptorship programme a
step further by integrating 'key' components into the final module (module 9) of
nurse/midwifery training, now referred to as the 'transition' module. The
development of this new and exciting 'shared learning' approach has been the
result of collaborative working with the tutors at Keele University and the
clinical education team at the UHNS Trust. The subject material introduced into
module 9 aims to both reflect and support the current university curriculum.
Initial verbal and written feedback from students who have progressed through the
'transition' module has been both positive and encouraging. Students have
identified that they feel more prepared to take on their new roles, confident in
the knowledge that they can seek support from the lead preceptor nurse/midwife
prior to qualifying.
PMID- 22068005
TI - The snapshot pre-registration assessment tool. Part 2: data, discussion and
future directions.
AB - This paper is the second of a two-part article presenting a new assessment tool
(the snapshot) for pre-registration nurses in clinical practice that is being
used at Kingston University/St George's University of London during year 3 of the
Diploma and BSc programme. Part 1 of this article presented background to the
snapshot tool and the research approach used in the evaluative research study.
Part 2 will present the data collection, findings and discussion which show that
the snapshot is perceived positively by students in terms of the criteria,
documentation and process. However, mentor understanding of these factors varied
with some mentors having an inadequate understanding and additional questions of
assessment validity also arose and need to be addressed. Both parts of this
article make a contribution to the practice assessment agenda and to the
continuous clinical assessment methods currently in use that tests students'
competence against agreed criteria. The snapshot reflects the reality of practice
and assesses skills in a realistic environment.
PMID- 22068006
TI - A right to die or a right to live? Discontinuing medical treatment.
AB - This article explores the decision in the case of W v M, S and an NHS Primary
Care Trust [2011] EWHC 2443 (Fam) in which an application to withdraw feeding
from a woman in a minimally conscious state was rejected by Baker J in the Court
of Protection. The article places the case in the context of the development of
case law concerning the withdrawal of treatment from patients lacking decision
making capacity, where death will be the inevitable consequence of the withdrawal
of treatment after the decision in Airedale NHS Trust v Bland. It questions
whether nearly two decades after the Bland decision there is scope for the
boundaries of the decision to withdraw treatment from patients in 'futile'
conditions to be reconsidered by the Supreme Court.
PMID- 22068007
TI - The use of MRI scanning to triage patients.
AB - The rise in the incidence of prostate cancer is mainly attributed to the
increasing use of the serum prostate-specific antigen (PSA) blood test as a
screening tool. Regardless of doubts over its sensitivity and specificity, PSA
remain a key mechanism in the diagnosis and management of prostate cancer. The
Department of Health (DH) in the UK recommends urgent specialist referral for
patients presenting with age-elevated PSA. This national guideline, known as the
National Cancer Wait Times (NCWT) system, aims at monitoring the process of
referral, investigation and management of new suspicious prostate cancer cases.
The use of transrectal ultrasound (TRUS) guided prostate biopsy is the gold
standard specialist investigation for prostate cancer. However, there is growing
interest in the use of imaging techniques like magnetic resonance imaging (MRI)
as first-line specialist investigation for suspicious prostate cancer.
PMID- 22068008
TI - Supporting the self and others: from staff nurse to nurse consultant. Part 12:
series summary.
AB - This series has examined a number of important structures and systems involved in
supporting both yourself and others, in your work as a nurse.
PMID- 22068009
TI - Meet the needs of older people.
AB - The national report from the Care Quality Commission (CQC, 2011) detailed the
findings of an inspection programme which looked at standards of dignity and
nutrition in 100 NHS acute hospitals in England. The CQC carried out unannounced
inspections at these hospitals in England between March and June 2011. Inspection
teams were made up of CQC inspectors, a practising and experienced nurse, and an
'expert by experience' - someone with experience of caring or receiving care,
trained and supported by Age UK.
PMID- 22068010
TI - Duty of candour: can nurses lead the way in implementation?
AB - Professor Alan Glasper discusses the Department of Health's Duty of Candour
consultation, which is reminiscent of the NPSA's Being Open Framework in its aims
for openness across health care and creating a more accountable and transparent
NHS.
PMID- 22068011
TI - Nutritional intervention and quality of life in palliative care patients.
AB - Quality of life measures can be used by health professionals to assess
effectiveness of nutritional interventions administered to palliative care
patients. Stabilizing, maintaining and attempting to increase weight in
palliative care patients through the support of oral feeding, and provision of
artificial feeding, has been shown to mediate the metabolic and physical wasting
effects of the disease process and improve general comfort. A quality of life
instrument is a multi-dimensional questionnaire that health professionals can use
to measure domains relating to physical, psychological and social aspects of
living, and health and disease outcomes. There are three instruments specifically
designed to assess quality of life in patients receiving palliative care. These
are: The Palliative Care Quality of life Instrument, The Assessment of Quality of
Life at the End of Life (AQEL), and The Spitzer Quality of Life Index (SQLI).
General use quality of life measures are multifaceted; however, for use with
palliative care patients, they have added dimensions of spirituality, existential
issues (purpose and meaning of life), family members' perceptions of quality of
care, symptom control and family support. Use of quality of life scales provides
health professionals and organizations with an ideal measure for planning,
targeting and evaluating health interventions.
PMID- 22068012
TI - The importance of fastidiousness in nursing.
AB - There has been a lot of criticism of nurses recently. Some would say that one of
the biggest problems today is that nurses are not as disciplined or don't pay
sufficient attention to detail. One hundred years ago in the BJN, there was an
article questioning just how fussy and careful nurses were about small details
such as dress and cleanliness.
PMID- 22068013
TI - Kind enough to care, clever enough to cope.
AB - In my lifetime the human race has reached out to the stars and walked on the
surface of the moon. Now I can hold a computer more powerful than the one used to
control the moon landing in the palm of my hand. Diseases that once signalled
death have been reduced to the status of long-term conditions, the number of
people aged 85 and over has more than tripled.
PMID- 22068014
TI - Balancing quality and cost effectiveness.
AB - It is not uncommon to open a nursing journal and be faced with dominating
articles that focus on discussions around quality and cost-effective care. During
this time of austerity, tissue viability services are not immune from the
increasing pressure to improve patient care while reducing costs.
PMID- 22068015
TI - Bioavailability, tissue distribution and hypoglycaemic effect of vanadium in
magnesium-deficient rats.
AB - Vanadium is an element whose role as a micronutrient and hypoglycaemic drug has
yet to be fully clarified. The present study was undertaken to investigate the
bioavailability and tissue distribution of vanadium and its interactions with
magnesium in healthy and in magnesium-deficient rats, in order to determine its
role as a micronutrient and antidiabetic agent. Four groups were used: control
(456.4 mg magnesium and 0.06 mg vanadium/kg food); control treated with 1mg
vanadium/day; magnesium-deficient (164.4 mg magnesium/kg food and 0.06 mg
vanadium/kg food); and magnesium-deficient treated with 1 mg vanadium/day. The
vanadium was supplied in the drinking water as bis(maltolato)oxovanadium (IV).
The experiment had a duration of five weeks. We measured vanadium and magnesium
in excreta, serum, skeletal muscle, kidney, liver, adipose tissue and femur.
Fasting glucose, insulin and total antioxidant status (TAS) in serum were
studied. The vanadium treatment applied to the control rats reduced the
absorption, retention, serum level and femur content of magnesium. Magnesium
deficiency increased the retention and serum level of vanadium, the content of
vanadium in the kidney, liver and femur (organs where magnesium had been
depleted), serum glycaemia and insulin, and reduced TAS. V treatment given to
magnesium-deficient rats corrected magnesium content in muscle, kidney and liver
and levels of serum glucose, insulin and TAS. In conclusion, our results show
interactions between magnesium and vanadium in the digestive and renal systems.
Treatment with vanadium to magnesium-deficient rats corrected many of the
alterations that had been generated by the magnesium deficiency.
PMID- 22068016
TI - Effects of dietary strawberry powder on blood lipids and inflammatory markers in
obese human subjects.
AB - Obesity is a strong risk factor for the development of CVD, hypertension and type
2 diabetes. The overall goal of the present pilot study was to feed strawberries,
in the form of freeze-dried powder, to obese subjects to determine whether
dietary strawberries beneficially altered lipid profiles and reduced blood
markers of inflammation compared with a control intervention. A total of twenty
healthy subjects (thirteen females and seven males) aged between 20 and 50 years
with a BMI between 30 and 40 kg/m2 completed the present 7-week double-blind,
randomised, cross-over trial. Each subject received a prepared diet 7 d/week for
7 weeks consisting of approximately 35 % of energy from fat, 20 % protein, 45 %
carbohydrate and 14 g fibre. Blood was collected on days 1 and 8 for baseline
information. After the first week, subjects were randomly assigned to the
strawberry powder (equivalent to four servings of frozen strawberries) or control
(strawberry-flavoured) intervention for 3 weeks. For the remaining 3 weeks,
subjects crossed over to the opposite intervention. Blood was collected again at
the end of weeks 3, 4, 6 and 7. A comprehensive chemistry panel, lipid profile
analyses and measurement of inflammatory mediators were performed for each blood
draw. A 3-week dietary intervention with strawberry powder reduced plasma
concentrations of cholesterol and small HDL-cholesterol particles, and increased
LDL particle size in obese subjects (P < 0.05). Dietary strawberry powder reduced
risk factors for CVD, stroke and diabetes in obese volunteers, suggesting a
potential role for strawberries as a dietary means to decrease obesity-related
disease.
PMID- 22068017
TI - Online first publication.
PMID- 22068018
TI - High rates of intestinal colonization with extended-spectrum lactamase-producing
Enterobacteriaceae among healthy individuals.
AB - BACKGROUND: Infections caused by extended-spectrum beta-lactamase (ESBL)
producing bacteria become an emerging problem in the community setting in many
parts of the world. OBJECTIVE: The objective of the study was to determine fecal
carriage of ESBL-producing organisms in a community setting. METHODS: A total of
632 fecal specimens from healthy individuals were screened for ESBL using the
agar screening test with MacConkey agar plates supplemented with 1 MUg/mL of
cefotaxime for selection of ESBL-producing strains and confirmed by the Clinical
Laboratory Standards Institute combined disk method. RESULTS: Four hundred
isolates (63.3%) were ESBL producers. Two hundred eighty-five isolates (71.25%)
of them were Escherichia coli and 96 (24.0%) Klebsiella pneumoniae. CONCLUSION:
We concluded that the community could be a reservoir of these ESBL-producing
bacteria and enzymes.
PMID- 22068019
TI - Evaluation of serum level of tumor necrosis factor receptor II in hepatitis C
virus (genotype 4)-infected middle-aged men with and without diabetes and its
complications in Egypt: a pilot study.
AB - BACKGROUND: Tumor necrosis factor alpha (TNF-alpha) is a type of cytokine
produced by macrophages and other cell types in response to various stimuli. Many
studies have shown that TNF-alpha is involved in the development of diabetes. It
also has a pivotal role in the inflammatory process of chronic hepatitis C.
OBJECTIVES: This study aimed to examine the hypothesis that TNF is increased in
patients infected with hepatitis C virus (HCV) and with diabetes rather than in
patients infected with HCV or with diabetes alone. METHODS: Patients were divided
into 5 groups: patients with diabetes without complications and without HCV
infection (group 1), patients with diabetes and complications but without HCV
infection (group 2), patients without diabetes but with HCV infection (group 3),
patients with diabetes without complications but with HCV infection (group 4),
and patients with diabetes and complications and with HCV infection (group 5).
RESULTS: Results revealed an activation of the TNF axis in all tested patients
when compared with the level of healthy Egyptians done in previous studies.
However, although there was a gradual escalation in the activation of the TNF
axis in these groups, the increase did not amount to a statistical difference
between them (P > 0.05). However, the trend was toward the higher values in HCV
infection with diabetes and its complications. The number of studied patients may
be a limitation of this research. There was no correlation between the level of
TNF receptor II and the levels of transaminases, albumin, and creatinine in the
different groups or the degree of microalbuminuria in the groups of patients with
diabetic complications. Also, there was no relation between the hepatic or
splenic size and the level of TNF receptor II. CONCLUSIONS: The presence of
diabetes and its complications in patients with HCV infection could not be
attributed only to the activation of the TNF system at least in Egyptian
patients.
PMID- 22068020
TI - A prokaryote-based cell-free translation system that efficiently synthesizes
glycoproteins.
AB - Asparagine-linked (N-linked) protein glycosylation has been observed in all
domains of life, including most recently in bacteria and is now widely considered
a universal post-translational modification. However, cell-based production of
homogeneous glycoproteins for laboratory and preparative purposes remains a
significant challenge due in part to the complexity of this process in vivo. To
address this issue, an easily available and highly controllable Escherichia coli
based cell-free system for the production of N-linked glycoproteins was
developed. The method was created by coupling existing in vitro translation
systems with an N-linked glycosylation pathway reconstituted from defined
components. The translation/glycosylation system yielded efficiently glycosylated
target proteins at a rate of hundreds of micrograms/milliliters in half a day.
This is the first time a prokaryote-based cell-free protein synthesis system has
generated N-linked glycoproteins.
PMID- 22068021
TI - Ingestion of 10 grams of whey protein prior to a single bout of resistance
exercise does not augment Akt/mTOR pathway signaling compared to carbohydrate.
AB - BACKGROUND: This study examined the effects of a whey protein supplement in
conjunction with an acute bout of lower body resistance exercise, in
recreationally-active males, on serum insulin and insulin like growth factor 1
(IGF-1) and Akt/mTOR signaling markers indicative of muscle protein synthesis:
insulin receptor substrate 1 (IRS-1), AKT, mammalian target of rapamycin (mTOR),
p70S6 kinase (p70S6K) and 4E-binding protein 1 (4E-BP1). METHODS: In a
randomized, double-blind, cross-over design, 10 males ingested 1 week apart,
either 10 g of whey protein (5.25 g EAAs) or carbohydrate (maltodextrose), 30 min
prior to a lower-body resistance exercise bout. The resistance exercise bout
consisted of 4 sets of 8-10 reps at 80% of the one repetition maximum (RM) on the
angled leg press and knee extension exercises. Blood and muscle samples were
obtained prior to, and 30 min following supplement ingestion and 15 min and 120
min post-exercise. Serum and muscle data were analyzed using two-way ANOVA.
RESULTS: No significant differences were observed for IGF-1 (p > 0.05). A
significant main effect for Test was observed for serum insulin (p < 0.01) at 30
min post-ingestion and 15 and 120 min post-exercise, with no Supplement * Test
interaction (p > 0.05). For the Akt/MTOR signaling intermediates, no significant
Supplement * Test interactions were observed (p > 0.05). However, significant
main effects for Test were observed for phosphorylated concentrations of IRS,
mTOR, and p70S6K, as all were elevated at 15 min post-exercise (p < 0.05).
Additionally, a significant main effect for Test was noted for 4E-BP1 (p < 0.05),
as it was decreased at 15 min post-exercise. CONCLUSION: Ingestion of 10 g of
whey protein prior to an acute bout of lower body resistance exercise had no
significant preferential effect compared to carbohydrate on systemic and cellular
signaling markers indicative of muscle protein synthesis in untrained
individuals.
PMID- 22068022
TI - Strategies toward single-donor islets of Langerhans transplantation.
AB - PURPOSE OF REVIEW: The current review addresses a critical need in clinical islet
transplantation, namely the routine transition from the requirement of two to
four donors down to one donor per recipient. The ability to achieve single-donor
islet transplantation will provide many more islet grafts for treatment of an
ever-expanding patient base with type 1 diabetes (T1DM) with poor glycemic
control. Avoiding exposure of recipients to multiple different donor human
leukocyte associated (HLA) antigens is critical if risk of donor sensitization is
to be avoided. This point is important as further islet or pancreas transplants
in the remote future or the potential future need for a solid organ kidney
transplant may become prohibitive if the recipient is sensitized. RECENT
FINDINGS: This review addresses systematically all areas that contribute to the
success or failure of single-donor islet engraftment, beginning with donor
related factors, optimizing islet isolation and culture conditions, and describes
a series of strategies in the treatment of the recipient to prevent inflammation,
apoptosis, islet thrombosis, and improve metabolic functional outcome, all of
which will lead to improved single-donor engraftment success. SUMMARY: If single
donor islet transplantation can be achieved routinely, therapy will become more
widely available, more accepted by the transplant community (currently pancreas
transplantation requires only a single donor), and this situation will have a
major impact overall as an effective treatment option in T1DM.
PMID- 22068023
TI - Current world literature.
PMID- 22068027
TI - Can community health workers increase coverage of reproductive health services?
AB - BACKGROUND: Health services were severely affected during the many years of
instability and conflict in Afghanistan. In recent years, substantial increases
in the coverage of reproductive health services have been achieved, yet absolute
levels of coverage remain very low, especially in rural areas. One strategy for
increasing use of reproductive health services is deploying community health
workers (CHWs) to promote the use of services within the community and at health
facilities. METHODS: Using a multilevel model employing data from a cross
sectional survey of 8320 households in 29 provinces of Afghanistan conducted in
2006, this study determines whether presence of a CHW in the community leads to
an increase in use of modern contraceptives, skilled antenatal care and skilled
birth attendance. This study further examines whether the effect varies by the
sex of the CHW. RESULTS: Results show that presence of a female CHW in the
community is associated with higher use of modern contraception, antenatal care
services and skilled birth attendants but presence of a male CHW is not.
Community-level random effects were also significant. CONCLUSIONS: This study
provides evidence that indicates that CHWs can contribute to increased use of
reproductive health services and that context and CHW sex are important factors
that need to be addressed in programme design.
PMID- 22068028
TI - Association between sleep duration and haemoglobin A1c in young adults.
AB - BACKGROUND: Epidemiological and experimental evidence suggests that inadequate
sleep can cause both obesity and impaired glucose tolerance. Short sleep duration
in childhood appears to have a greater impact on the risk for adult obesity than
adult sleep duration. The long-term effects of childhood sleep on glucose
metabolism have not been investigated. The authors assessed the associations
between childhood and adult sleep duration and adult glycosylated haemoglobin
(HbA(1c)) levels. METHODS: An unselected cohort of 1037 individuals, born in
Dunedin, New Zealand, between 1972 and 1973. Parent reports of times in bed at
ages 5, 7, 9 and 11 were used to estimate childhood sleep duration. Adult sleep
duration was estimated from self-reported times in bed at age 32. HbA(1c) levels
were measured at age 32. Pregnant women and participants with diabetes were
excluded from the analyses. RESULTS: Childhood sleep duration did not predict
adult HbA(1c). However, less time spent in bed at age 32 was associated with
higher levels of HbA(1c) (p=0.002) and an increased risk of prediabetes
(p=0.015). The inverse association between adult sleep times and HbA(1c) was
independent of body mass index, smoking, socioeconomic status, shift work and
symptoms of obstructive sleep apnoea. CONCLUSIONS: Short sleep duration is
associated with higher levels of HbA(1c) and an increased risk of prediabetes in
young adults. The findings suggest that inadequate sleep impairs glucose control
in the short term and may increase the risk for long-term health problems.
PMID- 22068029
TI - Educational differences in disability pension among Swedish middle-aged men: role
of factors in late adolescence and work characteristics in adulthood.
AB - BACKGROUND: The association between level of education and disability pension
(DP) is well known. Earlier studies have investigated the importance of early
life factors and work characteristics but not in combination. The aim of this
study was to investigate the association between level of education and DP among
Swedish middle-aged working men and to what extent such an association can be
explained by factors measured in late adolescence and work characteristics in
adulthood. METHODS: Information about IQ, health-related lifestyle factors,
psychiatric and musculoskeletal diagnoses was obtained from the 1969 conscription
cohort, consisting of 49,321 Swedish men. Data collected when subjects were 18-20
years of age were combined with national register-based information about level
of education, job control and physical strain at work in adulthood, and
information about DP between 1991 and 2002. RESULTS: There was a strong graded
association between level of education and DP. Those with the lowest level of
education had a four times greater probability of having DP as compared with
those with the highest level. In multivariable analyses, factors measured in late
adolescence, IQ in particular, attenuated the association more than work-related
characteristics in adulthood. CONCLUSIONS: The authors found an association
between level of education and DP among Swedish middle-aged working men. A large
part of the association was explained by factors measured in late adolescence, IQ
in particular, and somewhat less by work characteristics measured in adulthood.
Level of education remained as a significant predictor of DP in middle age after
full adjustment.
PMID- 22068030
TI - Neurons on Parafilm: versatile elastic substrates for neuronal cell cultures.
AB - A variety of materials has been applied to neuronal cell culture substrates to
improve the efficiency of the culture and to provide pertinent cell growth
environment. Here we report the application of Parafilm((r)) M ('Parafilm') as a
novel substrate for neuronal culture and patterning. Cell culture results show
that elastic Parafilm had effects on cell viability, length and number of
neurites, and soma spreading. Parafilm was also an effective substrate to obtain
patterned neuronal cultures using a conventional micro-contract printing (MUCP)
technique. Polylysine micropatterns in line or grid forms were readily
transferred from PDMS stamp to bare Parafilm surfaces and spatially confined
neuronal cultures were successfully maintained for over three weeks. We also
demonstrate that batch-processing cell culture substrates can be easily
fabricated using a piece of Parafilm. The softness, plasticity, and
hydrophobicity were main features that made it attractive for Parafilm to be
considered as a practical cell culture platform. The results can be extended to
develop an inexpensive and practical neuronal culture substrates in tissue
engineering and biochip applications.
PMID- 22068031
TI - A robust experimental protocol for pharmacological fMRI in rats and mice.
AB - Pharmacological Magnetic Resonance Imaging (phMRI) methods have significantly
expanded the stimulation repertoire available to preclinical fMRI research, by
allowing to selectively probe the activity of specific brain circuitries and
neurotransmitter systems. However, the application of phMRI to animal models is
constrained by a number of experimental factors. Firstly, in order to prevent
motion artefacts and reduce restraint-induced stress, phMRI studies are typically
performed under anaesthesia. Moreover, several psychoactive drugs produce blood
pressure changes and alterations in respiratory frequency that may perturb
central haemodynamic readouts of brain function. Hence, the quality and outcome
of phMRI studies is critically dependent on the ability to monitor and control
peripheral physiological parameters (i.e. blood pressure, arterial blood gases)
that could alter phMRI readouts. Here we provide a thorough methodological
description of a robust protocol to measure drug-induced cerebral blood volume
changes in anaesthetised rats and mice. We show that the protocol ensures stable
physiological parameters and robust phMRI response to the psychostimulant drug d
amphetamine in three different rat strains. We also document the successful
application of the protocol to map the central effects produced by d-amphetamine
in C57Bl/6J mice, a strain commonly used as background for the generation of
transgenic lines, thus paving the way to the implementation of phMRI in
genetically engineered animals.
PMID- 22068032
TI - Role of activation of 5'-adenosine monophosphate-activated protein kinase in
gastric ulcer healing in diabetic rats.
AB - BACKGROUND: The potential utility of 5'-adenosine monophosphate-activated protein
kinase (AMPK)-activating agents, such as metformin, in inducing angiogenesis,
could be a promising approach to promote healing of gastric ulcers complicated by
diabetes mellitus. The aim of the present study was to assess the effect of a
drug that activates AMPK, namely metformin, in gastric ulcer healing in
streptozotocin-induced diabetic rats. METHODS: Forty male Wistar albino rats were
made diabetic by intraperitoneal (i.p.) streptozotocin injection and 10 rats were
injected i.p. by a single dose of physiological saline. Six weeks following
streptozotocin or saline injection, gastric ulcers were induced by serosal
application of acetic acid. Three days after acetic acid application, rats were
divided into group 1 (nondiabetic control), group 2 (streptozotocin-injected
rats), groups 3-5 (streptozotocin-injected rats treated with metformin or
metformin and an inhibitor of AMPK, namely compound C or pioglitazone) for 7 days
following acetic acid application. RESULTS: Administration of metformin, but not
pioglitazone, resulted in a significant decrease in the gastric ulcer area, a
significant increase in epithelial regeneration assessed histologically, a
significant increase in the number of microvessels in the ulcer margin, a
significant increase in gastric vascular endothelial growth factor concentration
and gastric von Willebrand factor as well as a significant increase in gastric
phospho-AMPK. Compound C, an inhibitor of AMPK, blocked metformin-induced changes
in assessed parameters suggesting that the effect of metformin was mediated
mainly through activation of AMPK. CONCLUSION: Our results suggest the
feasibility of a novel treatment strategy, namely drugs activating AMPK, for
patients in whom impairment of ulcer healing constitutes a secondary complication
of diabetes mellitus.
PMID- 22068033
TI - p53 modulates acquired resistance to EGFR inhibitors and radiation.
AB - There is presently great interest in mechanisms of acquired resistance to
epidermal growth factor receptor (EGFR) inhibitors that are now being used widely
in the treatment of a variety of common human cancers. To investigate these
mechanisms, we established EGFR inhibitor-resistant clones from non-small cell
lung cancer cells. A comparative analysis revealed that acquired resistance to
EGFR inhibitors was associated consistently with the loss of p53 and cross
resistance to radiation. To examine the role of p53, we first knocked down p53 in
sensitive parental cells and found a reduction in sensitivity to both EGFR
inhibitors and radiation. Conversely, restoration of functional p53 in EGFR
inhibitor-resistant cells was sufficient to resensitize them to EGFR inhibitors
or radiation in vitro and in vivo. Further studies indicate that p53 may enhance
sensitivity to EGFR inhibitors and radiation via induction of cell-cycle arrest,
apoptosis, and DNA damage repair. Taken together, these findings suggest a
central role of p53 in the development of acquired resistance to EGFR inhibitors
and prompt consideration to apply p53 restoration strategies in future clinical
trials that combine EGFR inhibitors and radiation.
PMID- 22068034
TI - Targeting regulatory T cells in cancer.
AB - Infiltration of tumors by regulatory T cells confers growth and metastatic
advantages by inhibiting antitumor immunity and by production of receptor
activator of NF-kappaB (RANK) ligand, which may directly stimulate metastatic
propagation of RANK-expressing cancer cells. Modulation of regulatory T cells can
enhance the efficacy of cancer immunotherapy. Strategies include depletion,
interference with function, inhibition of tumoral migration, and exploitation of
T-cell plasticity. Problems with these strategies include a lack of specificity,
resulting in depletion of antitumor effector T cells or global interruption of
regulatory T cells, which may predispose to autoimmune diseases. Emerging
technologies, such as RNA interference and tetramer-based targeting, may have the
potential to improve selectivity and efficacy.
PMID- 22068035
TI - Expression and function of survivin in canine osteosarcoma.
AB - Osteosarcoma has a high mortality rate and remains in need of more effective
therapeutic approaches. Survivin is an inhibitor of apoptosis family member
protein that blocks apoptosis and drives proliferation in human cancer cells
where it is commonly elevated. In this study, we illustrate the superiority of a
canine osteosarcoma model as a translational tool for evaluating survivin
directed therapies, owing to the striking similarities in gross and microscopic
appearance, biologic behavior, gene expression, and signaling pathway
alterations. Elevated survivin expression in primary canine osteosarcoma tissue
correlated with increased histologic grade and mitotic index and a decreased
disease-free interval (DFI). Survivin attenuation in canine osteosarcoma cells
inhibited cell-cycle progression, increased apoptosis, mitotic arrest, and
chemosensitivity, and cooperated with chemotherapy to significantly improve in
vivo tumor control. Our findings illustrate the utility of a canine system to
more accurately model human osteosarcoma and strongly suggest that survivin
directed therapies might be highly effective in its treatment.
PMID- 22068036
TI - EZH2 Mediates epigenetic silencing of neuroblastoma suppressor genes CASZ1, CLU,
RUNX3, and NGFR.
AB - Neuroblastoma (NB) is the most common extracranial pediatric solid tumor with an
undifferentiated status and generally poor prognosis, but the basis for these
characteristics remains unknown. In this study, we show that upregulation of the
Polycomb protein histone methyltransferase EZH2, which limits differentiation in
many tissues, is critical to maintain the undifferentiated state and poor
prognostic status of NB by epigenetic repression of multiple tumor suppressor
genes. We identified this role for EZH2 by examining the regulation of CASZ1, a
recently identified NB tumor suppressor gene whose ectopic restoration inhibits
NB cell growth and induces differentiation. Reducing EZH2 expression by RNA
interference-mediated knockdown or pharmacologic inhibiton with 3-deazaneplanocin
A increased CASZ1 expression, inhibited NB cell growth, and induced neurite
extension. Similarly, EZH2(-/-) mouse embryonic fibroblasts (MEF) displayed 3
fold higher levels of CASZ1 mRNA compared with EZH2(+/+) MEFs. In cells with
increased expression of CASZ1, treatment with histone deacetylase (HDAC)
inhibitor decreased expression of EZH2 and the Polycomb Repressor complex
component SUZ12. Under steady-state conditions, H3K27me3 and PRC2 components
bound to the CASZ1 gene were enriched, but this enrichment was decreased after
HDAC inhibitor treatment. We determined that the tumor suppressors CLU, NGFR, and
RUNX3 were also directly repressed by EZH2 like CASZ1 in NB cells. Together, our
findings establish that aberrant upregulation of EZH2 in NB cells silences
several tumor suppressors, which contribute to the genesis and maintenance of the
undifferentiated phenotype of NB tumors.
PMID- 22068037
TI - The Multiverse of Inquiry: Introduction to the Special Issue on "Dementia Care
and Chinese Culture"
PMID- 22068038
TI - Youth on the virtual edge: researching marginalized sexualities and genders
online.
AB - Research shows clear links between lesbian, gay, bisexual, and transgender (LGBT)
youth and deliberate self-harm (DSH), but there is a lack of research
investigating the social context of young LGBT people's lives and helping to
explain the higher DSH risk. In this article, we report on a small-scale
methodological study intended to test the feasibility of online qualitative
interviews for investigating young people, sexual and gender identity, and
emotional distress. There are many methodological dilemmas arising from
researching such sensitive issues with marginalized groups. The study reported
here was designed to examine (a) sampling diversity in terms of sexuality, gender
identities, and class; and (b) the type of data produced. We found that a virtual
methodology was effective in recruiting young LGBT participants who might
otherwise not take part in research. Online interviewing successfully produced in
depth, "immediate" data that potentially gave access to insights that might not
emerge through face-to face interviews.
PMID- 22068039
TI - Young women's perspectives on cervical cancer prevention in Appalachian Kentucky.
AB - Human papillomavirus (HPV) vaccination coupled with routine Papanicolaou (Pap)
tests can prevent pervasive HPV infections causing cervical cancer. However, both
HPV vaccination rates and Pap testing rates in Appalachian Kentucky are lower
among all age groups than the rest of the United States. We recruited 19 young
women residing in Appalachian Kentucky from university-based and rural clinical
settings for group and individual interviews. After considering an integrated
behavioral framework, we illustrate these women's perspectives by detailing five
themes, including (a) experiential beliefs pose barriers to performing behaviors,
(b) three vaccine doses complicate vaccination intention, (c) women have
misunderstandings about HPV and the HPV vaccination function, (d) normative
influences cue action (and inaction), and (e) specific environmental and
contextual barriers exist to performing cervical cancer prevention behaviors in
Appalachian Kentucky. These findings related to cervical cancer prevention in
Appalachian Kentucky have implications for health-message design and clinical
practice.
PMID- 22068040
TI - Population health and social governance: analyzing the mainstream incorporation
of ethnography.
AB - Recently, health care workers (researchers, academics, policy writers,
clinicians) have begun to view ethnography as an acceptable research methodology
for informing public health work. This corresponds with a change in public health
practice toward population health, wherein identifiable groups are examined to
identify the group-level and contextual factors that affect their health
statuses. Although population health-based methodological and outcomes-focused
examinations have already occurred regarding ethnography, no extant literature
scrutinizes the incorporation of ethnography into mainstream public and
population health work from a sociopolitical viewpoint. Consequently, such an
investigation occurs here using Foucault's concepts of discipline and Lupton's
advancement of Foucault's ideas about the imperative of health. The outcome of
this investigation is the assertion that ethnography is a strategic method for
disciplining populations that do not respect the imperative of health. In other
words, ethnography helps generate the data that can be used to normalize large
groups of people.
PMID- 22068041
TI - Intergenerational differences in food, physical activity, and body size
perceptions among African migrants.
AB - We assessed intergenerational differences in food, physical activity, and body
size perceptions among refugees and migrants from the Horn of Africa living in
Victoria, Australia. We used a qualitative design and obtained data from 48
participants (18 individual interviews; 3 semistructured focus groups). Three
major themes emerged: (a) food and physical activity, (b) preference of body size
and social expectations, and (c) perceived consequences of various body sizes.
For parents, large body size was perceived to equate with being beautiful and
wealthy; slimness was associated with chronic illness and poverty. Parents
adopted strategies that promoted weight gain in children. These included tailored
food practices and restricting children's involvement in physical activity. For
young people, slimness was the ideal body size endorsed by their peers, and they
adopted strategies to resist parental pressure to gain weight. Obesity-prevention
programs in this subpopulation need to adopt a multigenerational approach.
PMID- 22068042
TI - A history of interpersonal trauma and the gynecological exam.
AB - Cervical cancer is preventable, in part, by routine Papanicolaou (Pap) testing,
but some women avoid routine screening. African American women have the greatest
mortality among all groups of women in the United States. Personal reasons have
been found to contribute to screening avoidance behavior, such as a history of
sexual abuse and intimate partner violence. Fifteen African American women with a
trauma history participated in personal interviews. The Interaction Model of
Client Behavior was employed for exploring the women's social influence, previous
health care experience, cognitive appraisal, affective response, and motivation
associated with routine Pap testing. Study findings suggest that providers need
to assess and provide accurate information about Pap testing and cervical cancer
to increase patients' knowledge. Personally reflecting on one's approach to
conducting a woman's gynecologic exam (and how it is performed) might prevent
triggering unwanted memories, making that visit a positive experience and
facilitating repeat screening behavior.
PMID- 22068043
TI - Fathers' beliefs about parenting and fathers' clubs to promote child health in
rural Haiti.
AB - Health care providers are challenged to use culturally appropriate, low
technology approaches to improve child health in resource-poor countries. Village
fathers' clubs is one approach used in rural Haiti since 1994. Fathers meet
regularly for health education and community-building activities. Our aim was to
investigate parenting practices and beliefs among Haitian fathers of young
children and to explore their views on fathers' clubs. We conducted
semistructured interviews with 18 fathers. Themes identified were fathers'
involvement in routine care of their children, the close partnerships of fathers
and mothers in child care, fathers' responsibilities to their communities, and
fathers' clubs as an important supportive institution for the Haitian fathers and
their families. Rural Haitian fathers reported taking a very active role in the
lives of their families and children. Increased involvement of fathers should be
explored as a strategy to improve child health and survival in other parts of
Haiti and throughout the world.
PMID- 22068044
TI - Sources of the uncertainty experienced by women with HPV.
AB - Women with genital human papillomavirus (HPV) experience considerable stress and
uncertainty as a result of the diagnosis; however, little is known about the
sources of uncertainty in HPV. Given that uncertainty creates stress, which might
be linked to the pathogenesis of cervical cancer, research on these sources of
uncertainty is warranted. To this end, we completed semistructured interviews
with 25 women living with HPV, and identified seven sources of uncertainty: The
meaning of the diagnosis, the potential for disease progression, finances, the
source of the infection, disclosure, sex and reproduction, and the HPV vaccine.
In the discussion we articulate the relevance and importance of study findings to
research, theory, and practice.
PMID- 22068045
TI - Social contexts of risk behaviors for HIV among male, unskilled, unregistered
laborers in urban Vietnam.
AB - In Vietnam there has been relatively little success in controlling the HIV
epidemic, in part because the subpopulations most exposed to the virus are often
difficult to engage in prevention research and programs. In this qualitative
study we explored social contexts shaping HIV risk behaviors among Vietnamese men
involved in unskilled, unregistered, and low-income labor in urban settings.
Based on self-disclosed behaviors, it is clear that these men were at high risk
of sexually transmitted infection (STI). Evidence emerged from the interview data
highlighting equivalent influences of individual psychological factors, social
integration, social barriers, and accessibility regarding drug use and sexual
risk behavior. Psychological influences such as tedium, distress, fatalism and
revenge, and the strong effects of collective decision making and fear of social
isolation appeared important for these men living on the economic and social
margins of this rapidly urbanizing society. The study findings suggest directions
for research and culturally appropriate HIV preventive education and services for
these men.
PMID- 22068046
TI - Disulfiram reaction in an artist exposed to solvents.
AB - This case report describes an artist on treatment for alcoholism with disulfiram
(Antabuse) who suffered chronic symptoms similar to those of a disulfiram alcohol
reaction, which we attribute to his occupational exposure to products containing
alcohol and other solvents. Symptoms abated with strict precautions to prevent
exposure, although gradually returned over the course of months, causing him
eventually to stop the medication. Medical practitioners should be aware of
possible adverse interactions between occupational solvent exposures and
disulfiram.
PMID- 22068047
TI - Thymidine kinase and cancer monitoring.
AB - Thymidine kinases (TK) have a key function in the synthesis of DNA. Two
isoenzymes have been characterized: TK1 is cell cycle-dependent and present in
the cytoplasm whereas TK2--located in mitochondria--is cell cycle-independent.
The diagnostic and prognostic role of TK1 has recently been investigated. TK1
might be helpful for screening and monitoring of human malignancies. TK1 may also
serve as a prognostic factor for progression. Herein, we summarize the status of
TK1 for cancer monitoring and point out its use as a proliferation marker. A
comprehensive overview about the association of TK-1 with various entities is
given.
PMID- 22068048
TI - Total isovolumic time relates to exercise capacity in patients with transposition
of the great arteries late after atrial switch procedures.
AB - BACKGROUND: Systemic right ventricular systolic dysfunction is common late after
atrial switch surgery for transposition of the great arteries. Total isovolumic
time is the time that the ventricle is neither ejecting nor filling and is
calculated without relying on geometric assumptions. We assessed resting total
isovolumic time in this population and its relationship to exercise capacity.
METHODS: A total of 40 adult patients with transposition of the great arteries
after atrial switch - and 10 healthy controls - underwent transthoracic
echocardiography and cardiopulmonary exercise testing from January, 2006 to
January, 2009. Resting total isovolumic time was measured in seconds per minute:
60 minus total ejection time plus total filling time. RESULTS: The mean age was
31.6 plus or minus 7.6 years, and 38.0% were men. There were 16 patients (40%)
who had more than or equal to moderate systolic dysfunction of the right
ventricle. Intra- and inter-observer agreement was good for total isovolumic
time, which was significantly prolonged in patients compared with controls (12.0
plus or minus 3.9 seconds per minute versus 6.0 plus or minus 1.8 seconds per
minute, p-value less than 0.001) and correlated significantly with peak oxygen
consumption (r equals minus 0.63, p-value less than 0.001). The correlation
strengthened (r equals minus 0.73, p-value less than 0.001) after excluding seven
patients with exercise-induced cyanosis. No relationship was found between
exercise capacity and right ventricular ejection fraction or long-axis amplitude.
CONCLUSION: Resting isovolumic time is prolonged after atrial switch for patients
with transposition of the great arteries. It is highly reproducible and relates
well to exercise capacity.
PMID- 22068049
TI - Lipid nanocapsule as vaccine carriers for his-tagged proteins: evaluation of
antigen-specific immune responses to HIV I His-Gag p41 and systemic inflammatory
responses.
AB - The purpose of this study was to design novel nanocapsules (NCs) with surface
chelated nickel (Ni-NCs) as a vaccine delivery system for histidine (His)-tagged
protein antigens. Ni-NCs were characterized for binding His-tagged model proteins
through high-affinity non-covalent interactions. The mean diameter and zeta
potential of the optimized Ni-NCs were 214.9 nm and -14.8 mV, respectively. The
optimal binding ratio of His-tagged Green Fluorescent Protein (His-GFP) and His
tagged HIV-1 Gag p41 (His-Gag p41) to the Ni-NCs was 1:221 and 1:480 w/w,
respectively. Treatment of DC2.4 cells with Ni-NCs did not result in significant
loss in the cell viability up to 24h (<5%). We further evaluated the antibody
response of the Ni-NCs using His-Gag p41 as a model antigen. Formulations were
administered subcutaneously to BALB/c mice at day 0 (prime) and 14 (boost)
followed by serum collection on day 28. Serum His-Gag p41-specific antibody
levels were found to be significantly higher at 1 and 0.5 MUg doses of Gag p41
His-Ni-NCs (His-Gag p41 equivalent) compared with His-Gag p41 (1 MUg) adjuvanted
with aluminum hydroxide (AH). The serum IgG2a levels induced by Gag p41-His-Ni
NCs (1 MUg) were significantly higher than AH adjuvanted His-Gag p41. The Ni-NCs
alone did not result in the elevation of systemic IL-12/p40 and CCL5/RANTES
inflammatory cytokine levels upon subcutaneous administration in BALB/c mice. In
conclusion, the proposed Ni-NCs can bind His-tagged proteins and have the
potential to be used as antigen delivery system capable of generating strong
antigen-specific antibodies at doses much lower than with aluminum-based adjuvant
and causing no significant elevation of systemic pro-inflammatory IL-12/p40 and
CCL5/RANTES cytokines.
PMID- 22068050
TI - Design, modeling, and expression of erythropoietin cysteine analogs in Pichia
pastoris: improvement of mean residence times and in vivo activities through
cysteine-specific PEGylation.
AB - In this study, the low-cost production of recombinant human erythropoietin
cysteine analogs (Cys-rhEPOs) from Pichia pastoris and the potential to increase
their serum residency and in vivo activity through cysteine-specific PEGylation
were investigated. Three-dimensional structures of several Cys-rhEPOs were
generated using homology modeling, and three stable Cys-rhEPOs were selected on
the basis of model stability in molecular dynamics simulation and surface
accessibility of the inserted cysteine. cDNAs encoding Cys-rhEPOs were
constructed by site-directed mutagenesis and expressed as secreted proteins in
flask cultures of P. pastoris. The selection of highly expressing clones and the
optimization of certain culture parameters resulted in protein expression levels
of 100-170 mg/l. Purified Cys-rhEPOs were cysteine-specifically PEGylated using
20 kDa and 30 kDa mPEG-maleimides (methoxy polyethylene glycol-maleimides). The
E89CEPO analog with the highest (96.6%) cysteine accessibility was conjugated to
PEG-polymers with the largest yields (about 80%). In comparison with rhEPO, 30
kDa PEG-E89CEPO demonstrated a significant (approximately 30%) increase in the
mean residence time. Whereas the in vitro activities of 30 kDa PEG-E89CEPO were
comparable to those of rhEPO, the in vivo activity of this conjugate was more
prolonged compared to rhEPO (12 days vs. 7 days). Our results demonstrate that
the site-specific PEGylation of Pichia-expressed EPO analogs may be considered as
a promising approach for generating cost-effective and long-acting erythropoiesis
stimulating agents.
PMID- 22068051
TI - Autophagy-based unconventional secretory pathway for extracellular delivery of IL
1beta.
AB - Autophagy controls the quality and quantity of the eukaryotic cytoplasm while
performing two evolutionarily highly conserved functions: cell-autonomous
provision of energy and nutrients by cytosol autodigestion during starvation, and
removal of defunct organelles and large aggregates exceeding the capacity of
other cellular degradative systems. In contrast to these autodigestive processes,
autophagy in yeast has additional, biogenesis functions. However, no equivalent
biosynthetic roles have been described for autophagy in mammals. Here, we show
that in mammalian cells, autophagy has a hitherto unappreciated positive
contribution to the biogenesis and secretion of the proinflammatory cytokine IL
1beta via an export pathway that depends on Atg5, inflammasome, at least one of
the two mammalian Golgi reassembly stacking protein (GRASP) paralogues, GRASP55
(GORASP2) and Rab8a. This process, which is a type of unconventional secretion,
expands the functional manifestations of autophagy beyond autodigestive and
quality control roles in mammals. It enables a subset of cytosolic proteins
devoid of signal peptide sequences, and thus unable to access the conventional
pathway through the ER, to enter an autophagy-based secretory pathway
facilitating their exit from the cytoplasm.
PMID- 22068052
TI - An indirect role for ASPP1 in limiting p53-dependent p21 expression and cellular
senescence.
AB - In addition to acting as a transcriptional cofactor for p53, ASPP1 has been shown
to function in the cytoplasm to regulate the nuclear localization and activity of
YAP/TAZ. We show here that the ability of ASPP1 to activate YAP results in the
decreased expression of LATS2, which lowers the ability of p53 to induce p21,
cell-cycle arrest and senescence. ASPP1 expression peaks in S-phase, and down
regulation of ASPP1 leads to a reduction in DNA synthesis and enhanced senescence
in response to drugs that impede DNA replication. These activities of cytoplasmic
ASPP1 in opposing p53-mediated p21 expression are in contrast to the role of
nuclear ASPP1 in cooperating with p53 to induce the expression of apoptotic
target genes, and may help to dampen p53 activity in normal cells.
PMID- 22068053
TI - The unmasking of novel unipotent stem cells in the mammary gland.
PMID- 22068054
TI - Differential regulation of motor control and response to dopaminergic drugs by
D1R and D2R neurons in distinct dorsal striatum subregions.
AB - The dorsal striatum is critically involved in a variety of motor behaviours,
including regulation of motor activity, motor skill learning and motor response
to psychostimulant and neuroleptic drugs, but contribution of D(2)R
striatopallidal and D(1)R-striatonigral neurons in the dorsomedial (DMS,
associative) and dorsolateral (DLS, sensorimotor) striatum to distinct functions
remains elusive. To delineate cell type-specific motor functions of the DMS or
the DLS, we selectively ablated D(2)R- and D(1)R-expressing striatal neurons with
spatial resolution. We found that associative striatum exerts a population
selective control over locomotion and reactivity to novelty, striatopallidal and
striatonigral neurons inhibiting and stimulating exploration, respectively.
Further, DMS-striatopallidal neurons are involved only in early motor learning
whereas gradual motor skill acquisition depends on striatonigral neurons in the
sensorimotor striatum. Finally, associative striatum D(2)R neurons are required
for the cataleptic effect of the typical neuroleptic drug haloperidol and for
amphetamine motor response sensitization. Altogether, these data provide direct
experimental evidence for cell-specific topographic functional organization of
the dorsal striatum.
PMID- 22068055
TI - FOG-1 and GATA-1 act sequentially to specify definitive megakaryocytic and
erythroid progenitors.
AB - The transcription factors that control lineage specification of haematopoietic
stem cells (HSCs) have been well described for the myeloid and lymphoid lineages,
whereas transcriptional control of erythroid (E) and megakaryocytic (Mk) fate is
less understood. We here use conditional removal of the GATA-1 and FOG-1
transcription factors to identify FOG-1 as required for the formation of all
committed Mk- and E-lineage progenitors, whereas GATA-1 was observed to be
specifically required for E-lineage commitment. FOG-1-deficient HSCs and
preMegEs, the latter normally bipotent for the Mk and E lineages, underwent
myeloid transcriptional reprogramming, and formed myeloid, but not erythroid and
megakaryocytic cells in vitro. These results identify FOG-1 and GATA-1 as
required for formation of bipotent Mk/E progenitors and their E-lineage
commitment, respectively, and show that FOG-1 mediates transcriptional Mk/E
programming of HSCs as well as their subsequent Mk/E-lineage commitment. Finally,
C/EBPs and FOG-1 exhibited transcriptional cross-regulation in early myelo
erythroid progenitors making their functional antagonism a potential mechanism
for separation of the myeloid and Mk/E lineages.
PMID- 22068057
TI - The role of RGS protein in agonist-dependent relaxation of GIRK currents in
Xenopus oocytes.
AB - G protein coupled inward rectifier K(+) channels (GIRK) are activated by the
G(betagamma) subunits of G proteins upon activation of G protein coupled
receptors (GPCRs). Receptor-stimulated GIRK currents are known to possess a
curious property, termed "agonist-dependent relaxation," denoting a slow current
increase upon stepping the membrane voltage from positive to negative potentials.
Regulators of G protein signaling (RGS) proteins have earlier been implicated in
this phenomenon since RGS coexpression was required for relaxation to be observed
in heterologous expression systems. However, a recent study presented contrasting
evidence that GIRK current relaxation reflects voltage sensitive agonist binding
to the GPCR. The present study re-examined the role of RGS protein in agonist
dependent relaxation and found that RGS coexpression is not necessary for the
relaxation phenomenon. However, RGS4 speeds up relaxation kinetics, allowing the
phenomenon to be observed using shorter voltage steps. These findings resolve the
controversy regarding the role of RGS protein vs. GPCR voltage sensitivity in
mediating agonist-dependent relaxation of GIRK currents.
PMID- 22068056
TI - Signal-dependent incorporation of MyoD-BAF60c into Brg1-based SWI/SNF chromatin
remodelling complex.
AB - Tissue-specific transcriptional activators initiate differentiation towards
specialized cell types by inducing chromatin modifications permissive for
transcription at target loci, through the recruitment of SWItch/Sucrose
NonFermentable (SWI/SNF) chromatin-remodelling complex. However, the molecular
mechanism that regulates SWI/SNF nuclear distribution in response to
differentiation signals is unknown. We show that the muscle determination factor
MyoD and the SWI/SNF subunit BAF60c interact on the regulatory elements of MyoD
target genes in myoblasts, prior to activation of transcription. BAF60c
facilitates MyoD binding to target genes and marks the chromatin for signal
dependent recruitment of the SWI/SNF core to muscle genes. BAF60c phosphorylation
on a conserved threonine by differentiation-activated p38alpha kinase is the
signal that promotes incorporation of MyoD-BAF60c into a Brg1-based SWI/SNF
complex, which remodels the chromatin and activates transcription of MyoD-target
genes. Our data support an unprecedented two-step model by which pre-assembled
BAF60c-MyoD complex directs recruitment of SWI/SNF to muscle loci in response to
differentiation cues.
PMID- 22068059
TI - Gastric trichobezoar: abdominal mass in a child with sickle cell disease.
AB - Abdominal pain is a frequent occurrence among the pediatric population and can be
a diagnostic challenge. Trichobezoar is a differential diagnosis that is often
neglected. Different from previously reported cases, we present a 3-year-old girl
with sickle cell disease with complaints of acute abdominal pain, suspecting
sickle cell splenic sequestration. The child presented to the emergency
department with sharp epigastric pain and an associated palpable upper abdominal
mass. This case illustrates a large obstructing gastric trichobezoar and
summarizes both the diagnostic modalities and treatment.
PMID- 22068058
TI - Vulnerable child syndrome, parental perception of child vulnerability, and
emergency department usage.
AB - BACKGROUND: Vulnerable child syndrome (VCS) describes children perceived to be at
risk for behavioral, developmental, or medical problems. Families with the
dynamics of VCS overuse health care resources with frequent visits to doctors'
offices. OBJECTIVE: The objective of the study was to explore the relationship
between VCS, parental perception of child vulnerability (PPCV), and frequency of
emergency department (ED) visits. DESIGN/METHODS: Parents of patients 1 to 15
years old presenting with nonurgent complaints to a pediatric ED were eligible.
Participants completed questionnaires in which the Vulnerable Child Scale was
used to generate a measure of PPCV. Primary outcomes included number of ED visits
and PPCV assignment. Children were divided into 2 PPCV groups by Vulnerable Child
Scale score: less than 40 (high PPCV) versus 40 or greater (low PPCV). The cutoff
point was chosen as 1 SD (7.3) from the sample mean (46.8) on the vulnerable end
of the scale (low scores). RESULTS: The mean ages of the 351 parents and children
were 30 (SD, 7.7) years and 5 (SD, 3.9) years, respectively; 17% of children had
high PPCV. Eleven variables differed statistically between subjects with high and
low PPCV including number of ED visits and hospital admissions, excellent
reported child health, pregnancy problems, delivery problems, child mental health
problems, parent mental health problems, and child developmental problems.
CONCLUSIONS: Our results reveal that children with higher PPCV had an increased
number of ED visits, and risk factors for higher perceived vulnerability scores
were identified. Future investigation on ways to intervene with families with the
dynamics of VCS may be warranted.
PMID- 22068060
TI - Optimizing patient/caregiver satisfaction through quality of communication in the
pediatric emergency department.
AB - BACKGROUND: Optimizing patient/family caregiver satisfaction with emergency
department (ED) encounters has advantages for improving patient health outcomes,
adherence with medical plans, patient rights, and shared participation in care,
provider satisfaction, improved health economics, institutional market share, and
liability reduction. The variables that contribute to an optimal outcome in the
pediatric ED setting have been less well investigated. The specific hypothesis
tested was that patient/family caregiver-provider communication and 24-hour
postdischarge phone contact would be associated with an increased frequency of
highest possible satisfaction scores. METHODS: A consecutive set of Press Ganey
satisfaction survey responses between June and December 2009 in a large tertiary
referral pediatric ED was evaluated. Press Ganey responses were subsequently
linked to defined components of the electronic medical record associated with
each survey respondent's ED visit to ascertain specific objective ED data.
Multivariate modeling utilizing generalized linear equations was achieved to
obtain a composite model of drivers of patient/caregiver satisfaction. RESULTS:
Primary drivers of satisfaction and willingness to return or refer others to the
ED were as follows: being informed about delays, ease of the insurance process,
overall physician rating, registered nurse attention to needs, control of pain,
and successful completion of postdischarge phone call to a family caregiver.
Multiple wait time variables that were statistically significant in univariate
modeling, including total length of time in the ED, time in waiting room, comfort
of waiting room, time in treatment room, and play items, were not statistically
significant once controlling for the other variables in the model. Type of
insurance, race, patient age, or time of year did not influence the models.
CONCLUSIONS: Achieving optimal patient/caregiver satisfaction scores in the
pediatric ED is highly dependent on the quality of the interpersonal interaction
and communication of ED activities. Wait time and other throughput variables are
less important than perceived quality of the health interaction and interpersonal
communication. Patient satisfaction has advantages greater than market share and
should be considered a component of the care-delivery paradigm.
PMID- 22068061
TI - Epidemiology and disposition of burn injuries among infants presenting to a
tertiary-care pediatric emergency department.
AB - OBJECTIVE: The objective of the study was to study the etiology of and factors
determining the emergency department disposition of infants sustaining burn
injuries. METHODS: A retrospective chart review was performed on all patients 12
months or younger with a burn injury presenting to our emergency department over
a 5-year period. We collected the chief complaint and diagnosis, patient
demographics, and circumstances surrounding the burn injury from the emergency
department charts. Univariable statistics, multiple imputation, and multivariable
regression were performed to determine differences between races and factors
leading to admission. RESULTS: During the study period, 344 patients meeting
inclusion criteria were treated in our emergency department. Scalds (53.2%) and
contact burns (39.8%) were the most common causes of burns among the study group.
Significant differences were observed between races for mechanism of burn,
interhospital transport, and total body surface area affected (P < 0.05). White
patients were more likely to have higher body surface affected and to be
transferred from another facility (P < 0.05). Increased severity of burn, burns
located on the hand, and concern for abuse resulted in higher likelihood of
admission (P < 0.01). No significant differences in disposition or mechanism of
burn were present between English-speaking and non-English-speaking patients.
CONCLUSIONS: Although most infant burns in our emergency department are due to
scalds, burn injuries due to contact with household objects are common. Race
plays a significant role in mechanism and severity of burn sustained. Increased
severity of burn, concern for abuse, and burn to the hand were all associated
with increased odds of admission.
PMID- 22068062
TI - Bedside ultrasound diagnosis of nonangulated distal forearm fractures in the
pediatric emergency department.
AB - OBJECTIVES: Ultrasound (US) may be a useful tool for rapidly diagnosing
fractures. Our objective was to determine the accuracy of US as compared with
radiographs in the detection of nonangulated distal forearm fractures. METHODS:
Distal forearm US was performed and interpreted at the bedside by a pediatric
emergency medicine physician before radiography in a prospective sample of
children with possible nonangulated distal forearm fractures. A second pediatric
emergency medicine physician with extensive US experience gave a final
interpretation of the images. This second reviewer was blinded to both clinical
and radiographic findings. The primary outcome was accuracy in the detection of
fracture via the blinded reviewer's US interpretation when compared with the
radiologist's clinical radiography results. Patient-reported FACES pain scores
(range, 0-5) associated with both US and radiography were compared. RESULTS: Of
101 enrolled patients, 46 had a fracture detected by the radiologist. When
compared with radiographs, the blinded US interpretation had an overall accuracy
of 94% (95% confidence interval [CI], 88%-99%). Sensitivity and specificity were
96% (95% CI, 85%-99%) and 93% (95% CI, 82%-98%), respectively. Positive
predictive value was 92%, and negative predictive value was 96%. Mean FACES pain
scores were higher following radiography than US (1.7 vs 1.2, respectively; P =
0.004). CONCLUSIONS: For the diagnosis of nonangulated distal forearm fractures
in children, bedside US holds promise as a diagnostic modality, particularly with
appropriate training. Ultrasound is at least no more painful that traditional
radiographs. Pediatric emergency medicine physicians should consider becoming
proficient in this application.
PMID- 22068063
TI - Impact of disaster drills on caregiver perception and satisfaction in the
pediatric emergency department.
AB - OBJECTIVE: The objective of this study was to assess caregiver perception and
satisfaction of a regional disaster drill in a pediatric emergency department
(ED). METHODS: Caregivers of children receiving care during a 2-hour disaster
drill were given a survey regarding perceived importance of the drill, waiting
time to see a physician, service timeliness, impact on comfort, and overall
recommendation of the ED. As a control, the survey was also given to caregivers a
week before and after the drill. RESULTS: Caregivers on the drill date were more
likely to consider drill conduction to be highly important (100% vs 82.9%, P <
0.045). Compared with the drill date, there were no significant differences in
the perceived duration of waiting, impact on care, or likelihood to recommend the
ED to others. CONCLUSIONS: In a single regional disaster drill, we found that
caregivers feel that disaster drills are important and unlikely to impact care of
children negatively. These findings can help support decision making by hospital
administrators to commit personnel and resources to conduct necessary disaster
drills involving children.
PMID- 22068064
TI - Bedside ultrasound in the diagnosis of pediatric clavicle fractures.
AB - OBJECTIVE: The objective of the study was to determine the diagnostic accuracy of
pediatric emergency physicians in diagnosing clavicle fractures by bedside
ultrasound (US). METHODS: This was a prospective study of pediatric emergency
department (ED) patients with suspected clavicle fractures conducted in a
tertiary-care, freestanding pediatric hospital. A convenience sample of patients
younger than 17 years underwent bedside US for detection of clavicle fracture by
pediatric emergency physicians with limited US training. Ultrasound findings were
compared with standard radiographs, which were considered the criterion standard.
Pain scores using the validated color analog scale (0-10) were determined before
and during US. Total length of stay in the ED, time to US, and time to radiograph
were recorded. RESULTS: Fifty-eight patients were enrolled, of which 39 (67%) had
fracture determined by radiograph. Ultrasound interpretation gave a sensitivity
of 89.7% (95% confidence interval [CI], 75.8%-97.1%) and specificity of 89.5%
(95% CI, 66.9%-98.7%). Positive and negative predictive values were 94.6% (95%
CI, 81.8%-99.3%) and 81.0% (95% CI, 58.1%-94.5%), respectively. Positive and
negative likelihood ratios were 8.33 and 0.11, respectively. Pain scores averaged
4.7 before US and 5.2 during US (P = 0.204). There was a statistically
significant difference between mean time to US (76 minutes) and mean time to
radiograph (107 minutes) (P < 0.001). CONCLUSIONS: Pediatric emergency physicians
with minimal formal training can accurately diagnose clavicle fractures by US. In
addition, US itself is not associated with an increase in pain and may reduce
length of stay in the ED.
PMID- 22068065
TI - Evaluation and management of sexually transmitted infections in adolescent males
presenting to a pediatric emergency department: is the chief complaint
diagnostic?
AB - OBJECTIVES: The objectives of the study were to (1) describe evaluation and
treatment patterns for adolescent males presenting with a concern for sexually
transmitted infection (STI) in a pediatric emergency department, (2) assess the
rates of STIs in symptomatic males, and (3) determine the utility of urinalysis
alone in predicting STIs in adolescent males. METHODS: A retrospective cohort
study was conducted of all patients presenting to our pediatric emergency
department from January 1, 2007, to December 31, 2007. Inclusion criteria
included males, aged 15 to 21 years, with an STI or urinary chief complaint.
Exclusion criteria were referrals from pediatricians, a previous history of
urinary tract infection or preexisting urologic condition, or primary complaint
of scrotal and/or testicular pain. RESULTS: A total of 270 patients were
identified. Testing included urinalysis with microscopy (UA) (64%), urine culture
(53%), Neisseria gonorrhoeae (GC), and Chlamydia trachomatis (CT) (93%), and
Trichomonas vaginalis (5%). Sixty-four percent of males tested positive for
either GC or CT, or both. Overall, 91% of patients were treated for CT and GC,
18% for T. vaginalis, and 5% for urinary tract infection. The sensitivity and
specificity of a positive UA for presence of GC and/or CT were 86% and 82%,
respectively, whereas the positive and negative predictive values were 89% and
77%, respectively. There were no positive urine cultures in the cohort.
CONCLUSIONS: Sixty-four percent of patients were diagnosed with either GC or CT.
Although UA is helpful in predicting STI, limited use is warranted, given the
high prevalence of disease in this selected population. The urine culture does
not appear to be a necessary adjunct in the management of these patients.
PMID- 22068066
TI - Does prearrival communication from a poison center to an emergency department
decrease time to activated charcoal for pediatric poisoning?
AB - OBJECTIVE: A poison center plays an important role in directing appropriate care,
which is critical in reducing morbidity due to poisoning. Activated charcoal (AC)
is one intervention for some poisonings. This study examined whether children
with a poisoning who were preannounced by a poison center received AC earlier
than patients without a referral. METHODS: A retrospective review of AC
administration in children aged 0 to 18 years in a pediatric emergency department
(ED) from 2000 to 2006 was performed. Abstracted covariates were poison center
referral status, age, sex, acuity, disposition, transportation mode, triage time,
and time of AC administration. Analysis of variance controlling for covariates
tested the equality of mean time intervals between the groups with and without a
poison center referral. RESULTS: Three hundred fifty-one cases met the inclusion
criteria. One hundred thirty-five (39%) were male. Eighty cases (23%) had a
poison center referral. Time from triage to charcoal administration for patients
with a poison center referral was a mean of 59 (SD, 34) minutes. Time for the
group without a referral was a mean of 71 (SD, 43) minutes (P = 0.0036).
CONCLUSIONS: Advanced communication from a poison center was associated with
earlier administration of AC in the ED for this population. Nevertheless, the
duration to charcoal administration was frequently suboptimal. Triage and
prehospital practices should be reexamined to improve timeliness of AC when
indicated and consider exclusion of administration if beyond an appropriate time
frame. Advanced notification should be the paradigm for all poison centers, and
early response protocols for poison center referrals should be used by EDs.
PMID- 22068067
TI - Trampoline trauma in children: is it preventable?
AB - OBJECTIVES: Trampoline injuries represent a preventable cause of injury in
children. This study identified the characteristics of children injured while
using trampolines who presented to a pediatric trauma center in Sydney,
Australia. METHODS: The Pediatric Trauma Database at our institution was reviewed
to identify children with trampoline-related injuries between January 1999 and
June 2008. Data collected included age, sex, Injury Severity Score, anatomical
region injured, type of injury, mechanism of injury, site of injury and surface
fallen onto, level of supervision, treatment, and hospital length of stay.
RESULTS: Over the 9.5-year review period, 383 children presented with trampoline
related injuries: 193 (50.4%) were female. Just over a quarter (n = 106, 27.7%)
were treated and discharged the same day. The remaining patients accounted for
725 hospital bed days with a mean length of stay of 2.3 days. The most common
area of the body injured was the upper limb (n = 246, 64.2%), with a fall from
the trampoline to the ground being the most frequent mechanism of injury (n =
257, 67.1%). The majority (n = 345, 90.1%) of children were injured in their home
or at the home of a friend or relative. Surgery was required in 236 (61.6%), with
closed reduction of an upper limb fracture being the most common procedure (n =
107, 27.9%). CONCLUSIONS: Trampoline-related injuries remain common in children.
Implementation of current guidelines and the introduction of innovative
trampoline designs should reduce the risk of this injury in children.
PMID- 22068068
TI - Is a lumbar puncture necessary when evaluating febrile infants (30 to 90 days of
age) with an abnormal urinalysis?
AB - OBJECTIVES: Guidelines for the management of febrile infants aged 30 to 90 days
presenting to the emergency department (ED) suggest that a lumbar puncture (LP)
should be performed routinely if a positive urinalysis is found during initial
investigations. The aim of our study was to assess the necessity of routine LPs
in infants aged 30 to 90 days presenting to the ED for a fever without source but
are found to have a positive urine analysis. METHODS: We retrospectively reviewed
the records of all infants aged 30 to 90 days, presenting to the Montreal
Children's Hospital ED from October 2001 to August 2005 who underwent an LP for
bacterial culture, in addition to urinalysis and blood and urine cultures.
Descriptive statistics and their corresponding confidence intervals were used.
RESULTS: Overall, 392 infants were identified using the microbiology laboratory
database. Fifty-seven patients had an abnormal urinalysis. Of these, 1 infant (71
days old) had an Escherichia coli urinary tract infection, bacteremia, and
meningitis. This patient, however, was not well on history, and the peripheral
white blood cell count was low at 2.9 * 109/L. Thus, the negative predictive
value of an abnormal urinalysis for meningitis was 98.2%. CONCLUSIONS: Routine
LPs are not required in infants (30-90 days) presenting to the ED with a fever
and a positive urinalysis if they are considered at low risk for serious
bacterial infection based on clinical and laboratory criteria. However, we
recommend that judicious clinical judgment be used; in doubt, an LP should be
performed before empiric antibiotic therapy is begun.
PMID- 22068069
TI - Benign afebrile convulsions in the course of mild acute gastroenteritis: a study
of 28 patients and a literature review.
AB - OBJECTIVES: Since the description of afebrile convulsions in the course of mild
acute gastroenteritis (AGE) in 1982 by Morooka in Japan, there have been few
reports of further cases outside Asia. The aim of this study was to share our
casuistry--from a non-Asian country. METHODS: This is a retrospective study of
identified cases in our center from January 2002 to December 2007. RESULTS: A
total of 28 patients were studied. All were previously healthy patients who
experienced convulsions with mild AGE without dehydration and with normal blood
analysis. The mean age was 17.25 months (range, 6-48 months), with 93% younger
than 24 months. Seizures were generalized tonic-clonic (61%), followed by
generalized tonic (31%), and hypotonic (5.2%), with 2 (2.6%) partial. Only 8
patients (28.6%) presented one convulsion, and in 13 patients (46%), the seizures
were in clusters from 3 to 6. Eleven patients (39%) presented 2 different types
of convulsion. The duration of the crises ranged from 30 seconds to 10 minutes,
and all of them occurred within 24 hours of the first. Electroencephalograms,
obtained for all patients, were normal. Rotavirus was the main infectious agent
in the AGEs, found in 11 patients with 22 determinations. In one patient,
Salmonella serotype Enteritidis was isolated. All of the patients developed
favorably, with no sequelae or epilepsy during the follow-up period. CONCLUSIONS:
Afebrile convulsion in the course of mild gastroenteritis exists in our
environment. It is a banal symptom in the course of the disease with good
prognosis. Recognition of this fact may help avoid needless explorations and
treatment in patients of this kind.
PMID- 22068070
TI - Deadly proposal: a case of catecholaminergic polymorphic ventricular tachycardia.
AB - Catecholaminergic polymorphic ventricular tachycardia (CPVT) is a rare
adrenergically mediated arrhythmogenic disorder classically induced by exercise
or emotional stress and found in structurally normal hearts. It is an important
cause of cardiac syncope and sudden death in childhood. Catecholaminergic
polymorphic ventricular tachycardia is a genetic cardiac channelopathy with known
mutations involving genes affecting intracellular calcium regulation. We present
a case of a 14-year-old boy who had cardiopulmonary arrest after an emotionally
induced episode of CPVT while attempting to invite a girl to the school dance.
Review of his presenting cardiac rhythm, induction of concerning ventricular
arrhythmias during an exercise stress test, and genetic testing confirmed the
diagnosis of CPVT. He recovered fully and was treated with beta-blocker therapy
and placement of an implantable cardioverter-defibrillator. In this report, we
discuss this rare but important entity, including its molecular foundation,
clinical presentation, basics of diagnosis, therapeutic options, and implications
of genetic testing for family members. We also compare CPVT to other notable
cardiomyopathic and channelopathic causes of sudden death in youth including
hypertrophic cardiomyopathy, arrhythmogenic right ventricular dysplasia, long QT
syndrome, short QT syndrome, and Brugada syndrome.
PMID- 22068071
TI - Pediatric boutonniere deformity after blunt closed traumatic injury.
AB - The boutonniere deformity (BD) is a well-described condition in hand surgery.
Treatment of acute traumatic BD includes splinting of the proximal
interphalangeal joint in extension for 4 to 5 weeks, which often leads to
acceptable results. However, the chronic BD is more problematic and often
requires surgical intervention with poor functional outcomes. Boutonniere
deformity is extremely rare in the pediatric population. We present the case of a
9-year-old girl who presented to the emergency department with an acute traumatic
BD after a fall. Being an uncommon entity in this patient population, the patient
was referred to the plastic surgery clinic and was subsequently treated
appropriately with splinting, resulting in favorable results as early as 4 weeks.
To our knowledge, this is the first reported case of BD in the pediatric patient
population. Recognition and timely management of BD in a pediatric patient should
not be overlooked to obtain favorable results.
PMID- 22068072
TI - Abusive suffocation presenting as new-onset seizure.
AB - Child abuse can often be very difficult to identify. This is especially true in
cases of abuse by suffocation. Suffocation often leaves no external physical
marks and presents with vague, nonspecific symptoms. Infants who have been
suffocated usually present unexplained apnea, cyanosis, or seizure. Moreover, new
onset seizures can be mistaken for an organic seizure disorder. This case report
reviews a case of abuse by suffocation, which presented as new-onset status
epilepticus. This case illustrates that suffocation can be difficult to diagnose
despite the recognition of red flags that should prompt an investigation for
abuse.
PMID- 22068073
TI - A life-threatening presentation of child physical abuse: jejunal perforation.
AB - Intra-abdominal injuries from impacts are the second most common cause of death
in battered children. However, it may be difficult to distinguish between
accidental abdominal injury and abuse, especially in the absence of other
clinical findings. Published reports are also limited about the diagnosis of
abuse in children with intra-abdominal injury. We report a case with jejunal
perforation, multiple soft tissue injuries, and occipital fracture secondary to
child abuse who was initially admitted to our hospital with complaint of fever,
cough, and vomiting. An exploratory laparotomy revealed perforation of the
jejunum, and an end-to-end anastomosis was performed. The patient was evaluated
by the hospital's child protective team to implement appropriate diagnostic and
child-protective interventions, and the child was discharged home in 10 days.
PMID- 22068074
TI - Lemierre syndrome in a 22-month-old due to Streptococcus pyogenes: a case report.
AB - We report a case of Lemierre syndrome secondary to Streptococcus pyogenes in a 22
month-old girl. This case report and literature review took place at a pediatric
intensive care unit at a freestanding tertiary children's hospital. Diagnosis
occurred after the discovery of left internal jugular thrombus and multiple
metastatic infection sites including the right knee, kidneys, lungs, and brain.
Lemierre syndrome can occur in young children secondary to S. pyogenes, and a
classic presentation may not occur. A high index of suspicion is crucial to the
diagnosis.
PMID- 22068075
TI - Asymptomatic complete atrioventricular block in a 13-year-old girl.
AB - Atrioventricular (AV) block is a delay or an interruption in the transmission of
an impulse from atria to ventricles due to an anatomic or a functional impairment
in the conduction system. Atrioventricular block may be congenital or acquired.
Electrocardiographic screening of asymptomatic school-aged children (median, 12.4
years) in Japan found the prevalence of a third-degree AV block to be 2 per
100,000. We report a case of asymptomatic complete AV block of unknown etiology
in a 13-year-old child who did not require pacemaker placement. The importance of
recognizing an asymptomatic complete AV block in the pediatric population, the
classification and controversies of pacemaker placement, and the complications of
pacemaker placement are discussed.
PMID- 22068076
TI - A "rare" case of melena in a 3-year-old.
AB - Melena is a potential sign of life-threatening upper gastrointestinal bleeding;
however, there are numerous substances ingested resulting in a stool appearance
similar to melena. Examples of such substances include black licorice, bismuth
subsalicylate, and iron supplements. We report a case of a well-appearing 3-year
old Vietnamese girl presenting to our emergency department after 2 episodes of
"black, sticky" stool. The cause of her "melena" was determined after father
revealed that she had ingested 2 bowls of pork blood soup during the preceding 12
hours. This case highlights the need for a careful dietary history and cultural
considerations in children presenting with what may appear to be melena.
PMID- 22068077
TI - Thrombotic thrombocytopenic purpura.
AB - In 1924, Dr Eli Moschcowitz described a 16-year-old adolescent girl with abrupt
onset of petechiae, hemolytic anemia, followed by paralysis, coma, and death.
Autopsy showed widespread hyaline thrombi in the terminal arterioles and
capillaries of various organs. The syndrome described by Moschowitz is now known
as thrombotic thrombocytopenic purpura.
PMID- 22068079
TI - Emergency department madness: tips to maintain sanity and flow.
AB - Physician factors can influence congestion and wait times in emergency
departments. This article provides some guidance to improve personal and
departmental efficiency.
PMID- 22068080
TI - Slipped capital femoral epiphysis.
PMID- 22068081
TI - ECGs in the ED.
PMID- 22068082
TI - Community pediatric hospitalists providing care in the emergency department: an
analysis of physician productivity and financial performance.
AB - OBJECTIVES: Community hospital pediatric inpatient programs are being threatened
by current financial and demographic trends. We describe a model of care and
report on the financial implications associated with combining emergency
department (ED) and inpatient care of pediatric patients. We determine whether
this type of model could generate sufficient revenue to support physician
salaries for continuous in-house coverage in community hospitals. METHODS:
Financial productivity and selected performance indicators were obtained from a
retrospective review of registration and billing records. Data were obtained from
2 community-based pediatric hospitalist programs, which are part of a single
health system and included care delivered in the ED and inpatient settings during
a 1-year period from July 1, 2008, to July 1, 2009. RESULTS: Together, the
combined programs were able to generate 6079 total relative value units and
collections of $244,828 annually per full-time equivalent (FTE). Salary,
benefits, and practice expenses totaled $235,674 per FTE. Thus, combined daily
revenues exceeded expenses and provided 104% of physician salary, benefits, and
practice expenses. However, 1 program generated a net profit of $329,715 ($40,706
per FTE), whereas the other recorded a loss of $207,969 ($39,994 per FTE).
Emergency department throughput times and left-without-being-seen rates at both
programs were comparable to national benchmarks. CONCLUSIONS: Incorporating ED
care into a pediatric hospitalist program can be an effective strategy to
maintain the financial viability of pediatric services at community hospitals
with low inpatient volumes that seek to provide 24-hour pediatric staffing.
PMID- 22068083
TI - Influenza vaccine update 2011-2012.
PMID- 22068084
TI - Pneumoretroperitoneum after foreign body insertion.
PMID- 22068106
TI - Arabidopsis thaliana Nudix hydrolase AtNUDT7 forms complexes with the regulatory
RACK1A protein and Ggamma subunits of the signal transducing heterotrimeric G
protein.
AB - Arabidopsis thaliana AtNUDT7 Nudix pyrophosphatase hydrolyzes NADH and ADP-ribose
in vitro and is an important factor in the cellular response to diverse biotic
and abiotic stresses. Several studies have shown that loss-of-function Atnudt7
mutant plants display many profound phenotypes. However the molecular mechanism
of AtNUDT7 function remains elusive. To gain a better understanding of this
hydrolase cellular role, proteins interacting with AtNUDT7 were identified. Using
AtNUDT7 as a bait in an in vitro binding assay of proteins derived from cultured
Arabidopsis cell extracts we identified the regulatory protein RACK1A as an
AtNUDT7-interactor. RACK1A-AtNUDT7 interaction was confirmed in a yeast two
hybrid assay and in a pull-down assay and in Bimolecular Fluorescence
Complementation (BiFC) analysis of the proteins transiently expressed in
Arabidopsis protoplasts. However, no influence of RACK1A on AtNUDT7 hydrolase
catalytic activity was observed. In vitro interaction between RACK1A and the AGG1
and AGG2 gamma subunits of the signal transducing heterotrimeric G protein was
also detected and confirmed in BiFC assays. Moreover, association between AtNUDT7
and both AGG1 and AGG2 subunits was observed in Arabidopsis protoplasts, although
binding of these proteins could not be detected in vitro. Based on the observed
interactions we conclude that the AtNUDT7 Nudix hydrolase forms complexes in
vitro and in vivo with regulatory proteins involved in signal transduction.
Moreover, we provide the initial evidence that both signal transducing gamma
subunits bind the regulatory RACK1A protein.
PMID- 22068107
TI - Effects of low doses of gamma rays on the stability of normal and diabetic
erythrocytes.
AB - We studied the influence of low doses of gamma radiation (from 0.04 to 1.8 mGy)
on the stability of human red blood cells (RBC) from healthy donors and diabetic
patients using absorption spectroscopy. Because of the alteration of many
enzymatic pathways in diabetic RBCs resulting in strong modification of the lipid
and protein membrane components one could expect that the ionizing gamma
radiation should influence the stability of the healthy and diabetic cells in a
different way. Indeed, distinct discontinuities and monotonic changes of
hemolysis detected in the healthy and diabetic RBCs suggest that various
enzymatic and chemical processes are activated in these membranes by gamma
radiation. Mossbauer measurements showed that only the highest applied dose of
gamma radiation caused modification of hemoglobin in both types of RBCs.
PMID- 22068108
TI - Direct interaction of Gas41 and Myc encoded by amplified genes in nervous system
tumours.
AB - In order to understand better the role of the human Tip60 complex component
Gas41, we analysed its expression levels in brain tumours and searched for
possible interactors. Two-hybrid screening of a human foetal brain library
allowed identification of some molecular interactors of Gas41. Among them we
found n-Myc transcription factor. The interaction between Gas41 and n-Myc was
validated by pull-down experiments. We showed that Gas41 is able to bind both n
Myc and c-Myc proteins, and that the levels of expression of Gas41 and Myc
proteins were similar to each other in such brain tumors as neuroblastomas and
glioblastomas. Finally, in order to identify which region of Gas41 is involved in
the interaction with Myc proteins, we analysed the ability of Gas41 to substitute
for its orthologue Yaf9 in yeast; we showed that the N-terminal portions of the
two proteins, containing the YEATS domains, are interchangeable, while the C
terminal portions are species-specific. In fact we found that Gas41 C-terminal
portion is required for Myc protein interaction in human.
PMID- 22068109
TI - Vertically-oriented conjugated polymer arrays in mesoporous alumina via simple
drop-casting and appearance of anisotropic photoluminescence.
AB - Vertically aligned fluorescent polymer arrays with poly(9,9-di-n-octylfluorenyl
2,7-diyl) (PFO) are prepared by simple drop-casting onto mesoporous alumina film.
The obtained PFO arrays exhibit anisotropic photoluminescence (PL) along the
orientation of the main chains.
PMID- 22068110
TI - Insulin secretion and insulin sensitivity on the oral glucose tolerance test
(OGTT) in middle-aged Japanese.
AB - The aim of this study was to assess the changes in insulin secretion and insulin
sensitivity in relation to fasting and 2-hour plasma glucose (PG) levels and to
assess the independent contributions of their impairments to non-diabetic
hyperglycemia. A total of 2157 Japanese workers (mean age 52.6+/-7.3 years and
mean BMI 23.9+/-3.2 kg/m(2)) underwent an oral glucose tolerance test (OGTT). Of
these subjects, 1125 had normal glucose tolerance (NGT), 525 subjects had
isolated impaired fasting glucose (IFG), 159 subjects had isolated impaired
glucose tolerance (IGT), 263 subjects had combined IFG and IGT, and 85 subjects
had newly diagnosed type 2 diabetes. Insulinogenic index and Matsuda insulin
sensitivity index (ISI) were significantly attenuated in subjects with normal but
slightly elevated fasting PG, or in subjects with normal but slightly elevated 2
hour PG. Whereas, InsAUC(120)/GluAUC(120) was not significantly decreased in
those subjects, and significant decrease of it was observed exclusively in
subjects with abnormal fasting PG (>= 106 mg/dL) or abnormal 2-hour PG (>= 221
mg/dL). Using multiple regression analyses, both Matsuda ISI and insulinogenic
index were independently correlated with PG concentrations in subjects with IFG
and/or IGT, while Matsuda ISI alone was independently correlated with fasting PG
concentrations in normoglycemic subjects. In conclusion, both insulinogenic
index and Matsuda ISI were significantly attenuated in subjects with normal but
slightly elevated PG. Lowering of Matsuda ISI was likely to be a strong
contributor to 'elevation of fasting PG within the normal range' in this
population.
PMID- 22068111
TI - Resveratrol prevents streptozotocin-induced diabetes by inhibiting the apoptosis
of pancreatic beta-cell and the cleavage of poly (ADP-ribose) polymerase.
AB - Resveratrol (3,5,4'-trihydroxystilbene; RSV) is one kind of polyphenolic
phytoalexin that has many effects on metabolic diseases. This study aimed to
evaluate the protective effect of RSV pretreatment on beta-cell. Male Sprague
Dawley rats weighing 200-230 g were divided into 4 groups: (1) RSV; (2)
streptozotocin (STZ, 70 mg/kg, intraperitoneally); (3) STZ after 7 days
pretreatment with RSV; and (4) STZ pretreated with nicotinamide. Fasting glucose
concentration was measured and an intraperitoneal glucose tolerance test was
performed 72 h after STZ injection to determine the diabetic condition. The
pancreas was removed 3, 6, 36, and 48 h after STZ injection. STZ induced
diabetes in all rats not given RSV pretreatment, whereas none of the RSV
pretreated rats developed diabetes. Pretreatment with RSV inhibited apoptosis
and reduced the activation of caspase-3 and poly(ADP-ribose) polymerase (PARP).
However, expression of the total length PARP was not affected by pretreatment.
Our findings suggest that RSV protects beta-cells from STZ simultaneously with
inhibiting the activation of PARP.
PMID- 22068112
TI - Combined treatment with bicalutamide and anastrozole in a young boy with
peripheral precocious puberty due to McCune-Albright Syndrome.
AB - McCune-Albright Syndrome (MAS) is a congenital endocrine disorder due to mosaic
tissutal hyper-function. We describe a boy with a molecularly confirmed MAS,
clinically evident with congenital cafe-au-lait spots, bone fibrous dysplasia,
hyperthyroidism, and renal phosphate wasting syndrome. At 4.6 years of age he
disclosed a rapid progression of peripheral puberty, so we decided to treat him
with bicalutamide 25 mg/day and anastrozole 1 mg/day. Combined third generation
aromatase inhibitors - competitive androgen receptor blockers were employed in
familial male precocious puberty (FMPP). Combined treatment was performed for 49
months from the age of 4.6 to 6.7 years. The patient underwent clinical,
laboratory, and instrumental evaluation twice a year from the first admission to
the current age. This treatment caused a rapid normalization of growth velocity,
subsequent reduction of penile androgenization, and stabilization of testicular
volume. The therapy was well tolerated for all its duration and neither side
effects, nor secondary hypothalamic activation were noted. This report provides
further evidence of effectiveness and safety of combined third generation
aromatase inhibitors - competitive androgen receptor blockers in male precocious
peripheral puberty, firstly employed in male MAS, and contributes to expand the
spectrum of disorders in which their employment may reveal promising.
PMID- 22068113
TI - Early intensive insulin therapy attenuates the p38 pathway in the renal cortex
and indices of nephropathy in diabetic rats.
AB - In this rodent study, we compared the effects of early versus late intensive
insulin therapy on diabetic nephropathy and potential causal mechanisms.
Diabetes was induced in rats by high-fat diet and low-dose streptozotocin.
Intensive insulin therapy was initiated in the early intensive insulin therapy
groups as soon as diabetes was confirmed and lasted for 8 (8wEI group) and 16
weeks (16wEI group). In the late insulin therapy group (LI group), intensive
insulin treatment was initiated 8 weeks later and lasted for 8 weeks. Age
matched diabetic rats (8wDM group and 16wDM group) and non-diabetic rats (8wNC
group and 16wNC group) served as controls. Histological analysis, real-time PCR,
and western blot were performed in renal cortex specimens. Glomerular
hypertrophy and mesangial matrix expansion were prominent in the 16wDM and LI
groups while the EI groups remained normal and similar to the 16wNC group.
Western blots revealed that p38 MAPK activities in the EI groups decreased
significantly, whereas the level in the LI group was markedly higher than the
16wEI group, and not different from the DM groups. Activities of MKK3/6, CREB
and MKP-1 proteins as well as CREB and MKP-1 mRNA showed a similar pattern.
Therefore, we concluded that early intensive insulin treatment and attainment of
good glycemic control counteracted some renal molecular pathways associated with
epigenetic metabolic memory to minimize risk of diabetic nephropathy. However,
late insulin therapy did not abrogate the increased renal cortical p38 MAPK
pathway activation in diabetic rats and led to glomerular hypertrophy and
extracellular matrix expansion.
PMID- 22068115
TI - Palliative care research: urgent need for investment.
AB - More so than for perhaps any other area of health care, the knowledge base
supporting the basic elements of palliative care clinical practice is still
lacking. Numerous reports have highlighted the critical need for research to
advance the science of palliative care, but investments do not match this. A
recent report on investment in palliative and end-of-life research in Canada
revealed that for every $20 spent on cancer prevention services and basic
research only $1 was spent on palliative care research (Canadian Cancer Research
Alliance and Canadian Partnership Against Cancer, 2011); similar findings are
reported for other countries.
PMID- 22068114
TI - Prognosis of low-risk papillary thyroid carcinoma patients: its relationship with
the size of primary tumors.
AB - It is well-known that papillary thyroid carcinoma (PTC) has a generally indolent
character and shows a favorable prognosis unless it has no high-risk features
such as clinical lymph node metastasis, distant metastasis, and significant
extrathyroid extension. In this study, we investigated the prognosis of 3,965
patients with PTC without these features. We classified these patients into 3
groups: T-1, tumor <= 2 cm (n = 2,591); T-2, tumor 2.1-4 cm (n = 1,123); T-3,
tumor > 4 cm (n = 251). Ten-year recurrence rates of T-1, T-2, and T-3 patients
were 0.3, 1.3, and 1.9% for the thyroid (in the subset of patients who underwent
limited thyroidectomy), 1.9, 4.6, and 8.1% for lymph nodes, and 0.4, 1.6, and
3.4% for distant organs, respectively. A tumor size larger than 2 cm had an
independent prognostic impact on all these recurrences also on multivariate
analysis. These findings suggest that PTC larger than 2 cm exhibited more
aggressive biological characteristics than that measuring 2 cm or less, even
though it had no other high-risk features. However, the incidences of distant
recurrence and carcinoma death were still low and it remains unclear whether
extensive surgery is mandatory for otherwise low-risk PTC patients with large
tumor.
PMID- 22068116
TI - A global update on the development of palliative care services.
AB - On World Hospice and Palliative Care Day-8 October 2011-the Worldwide Palliative
Care Alliance (WPCA) launched a global update highlighting the progress that has
been made in hospice and palliative care over the past 5 years (Lynch et al,
2011; WPCA 2011). Encouragingly, the study shows that there has been a marked
increase in the number of countries providing one or more hospice and palliative
care services-from 49% of countries in 2006 to 58% in 2011. Here we explore some
of the key factors behind this progress, focusing particularly on advocacy and
policy.
PMID- 22068117
TI - Salivary gland dysfunction (dry mouth) in patients with advanced cancer.
AB - Salivary gland dysfunction (SGD) is a common problem in patients with advanced
cancer, and is associated with significant morbidity in this group of patients.
The management of SGD involves treatment of the cause, treatment of the symptoms
(preferably with saliva stimulants), prevention of the complications, and
treatment of the complications. This article reviews the evidence for the
clinical utility of various management strategies, highlighting those strategies
that have been investigated in patients with advanced cancer.
PMID- 22068118
TI - A learning and development strategy for children's hospices across London.
AB - Over recent years there have been several political imperatives in the UK
directed toward children's palliative care and ensuring that services are high
quality, coordinated, family-centred, and able to meet the needs of children with
complex disabilities. In addition, in 2010 the health and social care regulation
authority in England-the Care Quality Commission (CQC)-aligned hospices with
regulation and inspection requirements. This context has acted as a driver for
the creation of effective education and training to ensure a skilled and expert
workforce. Against this backdrop, this paper describes a project to develop a
learning and development strategy for Children's Hospices across London (CHaL).
CHaL educators worked with a research team to develop a unified strategy that was
based on evidence of good practice, embedded in required CQC outcomes, and
validated with a wider audience. The resultant strategy contains a set of four
key learning and development principles that are applicable and transferable
across different hospices.
PMID- 22068119
TI - Analysis of a network-wide specialist palliative care out-of-hours advice and
support line: a model for the future.
AB - INTRODUCTION: Recently the palliative care literature has emphasized the need for
24-hour telephone advice and support for patients during the last year of life.
As some health professionals may lack skills and knowledge regarding palliative
care issues, an out-of-hours (OoH) advice line is considered a valuable resource.
This report provides an analysis of both qualitative and quantative data
concerning the Mount Vernon Cancer Network telephone advice line (TAL) for 2008
2010. METHOD: Data relating to the use of the TAL during OoH periods was collated
and analysed on an annual basis. Data collected from a caller questionnaire
relating to patient outcome, experience, and caller satisfaction was also
evaluated. RESULTS: The number of calls to the OoH TAL increased by 13% over the
3-year period. The primary reason for the calls was symptom management, and 79%
were made by patients' relatives. From the questionnaire responses, 66% of
callers appeared to have a high rate of satisfaction, and 67% of patients were
not admitted to hospital. CONCLUSIONS: It appears that the advice line is
providing a highly valued service, with calls being managed by experienced
nurses. Increasingly, local commissioners are placing greater emphasis on
supporting care at home and reducing unnecessary hospital admissions, and advice
lines seem to be an effective way of facilitating this.
PMID- 22068120
TI - Evaluating a fast-track discharge service for patients wishing to die at home.
AB - BACKGROUND: The UK Department of Health's End of Life Care Strategy highlighted
the low percentage of patients who achieve their wish to die at home (~20%).
Figures in south west London were below the London regional average. This paper
reports an attempt to improve the proportion of patients from one hospital in the
area achieving their preferred place of death. METHODOLOGY: A funded initiative
by two local primary care trusts allowed the development of a 7-day-per-week fast
track discharge service provided by the hospital palliative care team. The
hospital team acted as coordinators, ensured that the correct medication and
equipment would be available at the home, and personally transferred the patients
to community services. RESULTS: Data is presented from the first representative
period of fast-track discharging (June 2009-July 2010). A total of 110 discharges
were facilitated over this time, with the rate increasing as the service
developed. The vast majority of discharges occurred within 72 hours, and a
significant number (~20%) occurred within 24 hours. CONCLUSIONS: The data
indicate that the new service is effective. There are logistical problems in
providing such a service and these are discussed. Further work is required to
determine the feasibility of extending this service model to other acute
hospitals and to evaluate its health-economic impact.
PMID- 22068121
TI - Nurse-family interaction in Malaysian palliative care settings: a focused
literature review.
AB - BACKGROUND: Palliative care in Malaysia developed in the 1990s to improve the
quality of life of people with advanced cancer. Like many other countries,
Malaysia faces its own challenges in providing palliative care to patients and
their families. In Malaysian culture, families play a significant part in
providing care to the dying. Connecting with families in patient care is
therefore important. This paper reports a focused literature review evaluating
studies on the care of the families of terminally ill people in palliative care
environments in Malaysia. METHOD: The search engines CINAHL, Medline, PsycINFO,
and Google Scholar were searched for literature published from January 2000 to
April 2010 relating to family care in palliative care environments. Due to a
paucity of research on family care in Malaysia, the search was broadened to
include relevant studies on family care internationally. RESULTS: Four themes
were identified: delivering palliative care in Malaysia, communicating with
families, crossing cultural boundaries, and the caring experience of nurses.
CONCLUSION: The studies indicate the importance of the nurse-family interaction
in providing optimal and culturally appropriate palliative care. This paper
emphasizes the need for research into the nurse's role in family care and for
developing a theory appropriate to the Malaysian culture and other countries with
cultural diversity.
PMID- 22068123
TI - Politics and palliative care: Germany.
AB - Dion Smyth's review of the internet for palliative nursing.
PMID- 22068124
TI - Infusion of Mg in humans acutely reduces serum insulin levels: a pilot study.
AB - BACKGROUND: Infusion of Mg for therapeutic purposes is still a matter for debate.
Dosages vary considerably, yet subclinical effects on normal physiology are
largely ignored. In human and animal models, interactions between Mg and insulin
exist, thus we have investigated the effect of infusing Mg on serum insulin,
ionised Mg (Mg(2+)) and Ca (Ca(2+)) and plasma glucose in human volunteers.
METHODS: Six male volunteers were infused with magnesium sulphate (MgSO(4))
dissolved in normal saline, using a high-dose "loading" bolus, followed by a
lower-level "maintenance" period. FINDINGS: Serum Mg(2+) rose rapidly throughout
the bolus infusion, declined during the maintenance phase, but remained higher
than pre-infusion levels throughout the experimental period; serum Ca(2+) rose
when serum Mg(2+) was highest. Infusion of MgSO(4) had no effect on heart rate or
blood pressure, but caused a rapid, pronounced drop in circulating fasting
insulin (p<0.0005), which slowly recovered to basal values during the course of
the maintenance infusion. A slight, transient rise in plasma glucose (p<0.05)
concomitant with the decline in serum insulin was also observed. INTERPRETATION:
It is possible that the effect of Mg(2+) on insulin may have been due to
antagonism of Ca(2+) entry in pancreatic beta-cells, the insulin decline causing
a subsequent rise in circulating glucose levels. We suggest that these effects of
MgSO(4) infusions should be considered where the aim is to achieve high doses of
blood Mg(2+) levels by clinical intervention.
PMID- 22068126
TI - Reproductive and developmental hazards.
PMID- 22068125
TI - Natural recovery from antiglomerular basement membrane glomerulonephritis is
associated with glomeruli-infiltrating CD8alpha+CD11c+MHC class II+ cells.
AB - BACKGROUND/AIMS: In an antiglomerular basement membrane glomerulonephritis (GN)
model, GN-resistant Lewis (LEW) rats naturally recover from early glomerular
inflammation (days 21-23). We have previously identified a glomeruli-infiltrating
CD8alpha(+)CD11(high)MHC II(+) cell (GIL CD8alpha(+) cell) in GN-prone Wistar
Kyoto (WKY) rats, which terminates glomerular inflammation through inducing T
cell apoptosis prior to glomerular fibrosis at days 35-40. We investigated if GIL
CD8alpha(+) cells were also associated with the recovery in LEW rats. METHODS:
GIL CD8alpha(+) cells in LEW rats were characterized; their infiltration was
observed in connection with T cell apoptosis in glomeruli. RESULTS: An influx of
GIL CD8alpha(+) cells into inflamed glomeruli was confirmed in the immunized LEW
rats at days 17-22, which was much earlier than days 28-35 in WKY rats. Notably,
LEW rats had a GIL CD8alpha(+)CD11(high) subpopulation after day 17, while WKY
rats lacked this population until after day 30. Analyses further revealed a large
number of clustered apoptotic CD4(+) or CD3(+) T cells in the glomeruli during
recovery (day 23) in LEW rats, as compared to day 35 (transition to fibrosis) in
WKY rats. Thus, infiltration of GIL CD8alpha(+) cells coincided with decline of
glomerular inflammation and T cell apoptosis during recovery in LEW rats.
Isolated GIL CD8alpha(+) cells were able to infiltrate glomeruli in both WKY and
LEW rats at day 20. CONCLUSION: Our data revealed a strong association between
GIL CD8a+ cells and recovery from early glomerular inflammation. It raises a
possibility of involvement of GIL CD8a+ cells in the recovery.
PMID- 22068127
TI - Are we focusing enough workplace wellness efforts on female workers?
PMID- 22068128
TI - Short-term and long-term weight management results of a large employer-sponsored
wellness program.
AB - OBJECTIVE: To evaluate the weight management results of Healthy Incentives, an
employer-sponsored wellness program started in 2006 by King County, Washington.
METHODS: Changes in body mass index (BMI) and the percentages of those who lost
5% and 10% were compared for first-year participants, 5-year participants, and
respondents to the Medical Expenditures Panel Survey (MEPS). RESULTS: A total of
19,559 first-year participants lost weight on average, while the comparative MEPS
sample gained weight, -0.80% versus 0.31% (P < 0.01). A total of 10,432 5-year
participants also lost weight on average but not as much as during the first
year, -0.47% versus -0.80% (P = 0.01). More obese first-year participants lost 5%
of BMI than the MEPS sample, 28.5% versus 23.2% (P < 0.01). Thirty eight percent
of obese 5-year participants lost 5%. CONCLUSIONS: Healthy Incentives achieved
significant weight management benefits for both first-year and 5-year
participants.
PMID- 22068129
TI - Occupational injury surveillance using the Washington State Trauma Registry.
AB - OBJECTIVE: Traumatic injuries are a leading cause of death and disability among
US workers, yet state trauma registries are rarely used for occupational
research. Many, including the Washington State Trauma Registry, include
information about work-relatedness. The objective is to explore and document the
Washington State Trauma Registry as a resource for occupational injury
surveillance and research. METHODS: State-designated trauma facilities report
traumatic injuries meeting specific inclusion criteria to the Washington State
Trauma Registry. This study included 16+ year-olds injured in Washington during
1998 to 2008. RESULTS: Of 125,625 injuries, 7.3% were work related. We found no
evidence of downward trends in traumatic occupational injuries (2003 to 2008).
CONCLUSIONS: Capturing industry and occupation would enhance utility for
occupational injury surveillance and research. Trauma registry data could
contribute to prevention planning/evaluation, improve case ascertainment for
severe occupational injuries, and aid identification of high-risk populations and
emerging trends.
PMID- 22068130
TI - Lung cancer risk attributable to occupational exposures in a multicenter case
control study in Central and Eastern Europe.
AB - OBJECTIVE: To estimate the lung cancer risk attributable to occupational lung
carcinogens. METHODS: Information was collected through interviews from 2624
newly diagnosed lung cancer cases and 2690 frequency-matched controls in Central
and Eastern Europe. Industrial hygiene experts evaluated exposure to 70
occupational agents. Odds ratios (OR) and 95% confidence intervals (CI) were
estimated using unconditional logistic regression and attributable fractions (AF)
by Miettinen's formula. RESULTS: Exposure to at least one occupational lung
carcinogen resulted in an AF of 7.9% in men and 1.4% in women. Metals and silica
contributed the most to the AF. The AF was highest for squamous cell carcinoma
among men (11.4%) and for small cell carcinoma among women (7.1%); the effect of
occupational lung carcinogens was stronger overall among current smokers.
CONCLUSION: This estimation of the AF of occupational lung carcinogens is
comparable to that estimated in other European studies, and cannot alone explain
the high lung cancer rates in Central and Eastern Europe.
PMID- 22068131
TI - Soft-tissue sarcoma and pesticides exposure in men: results of a Canadian case
control study.
AB - OBJECTIVES: The objective was to investigate the putative associations of
specific pesticides with soft-tissue sarcoma (STS). METHODS: A Canadian
population-based case-control study conducted in six provinces was used in this
analysis. The study design consisted of two stages: a self-administered postal
questionnaire and a telephone interview for those reporting pesticides exposure
of 10 hours per year or more; and a 15% random sample of the remainder.
Conditional logistic regression was used to fit the statistical models. RESULTS:
A positive history of cancer among first-degree relatives and exposure to aldrin
and diazinon were statistically significant independent predictors of an
increased risk for STS, whereas diagnosis of whopping cough lowered the risk of
STS. CONCLUSIONS: The incidence of STS was associated with specific insecticides
after adjustment for other independent predictors.
PMID- 22068132
TI - Why are physicians subject to clinical guidelines?
PMID- 22068136
TI - Current world literature.
PMID- 22068135
TI - Site-selective modification of proteins for the synthesis of structurally defined
multivalent scaffolds.
AB - A combination of classical site-directed mutagenesis, genetic code engineering
and bioorthogonal reactions delivered a chemically modified barstar protein with
one or four carbohydrates installed at specific residues. These protein
conjugates were employed in multivalent binding studies, which support the use of
proteins as structurally defined scaffolds for the presentation of multivalent
ligands.
PMID- 22068138
TI - Challenging the received wisdom.
PMID- 22068139
TI - Necrosis in breast cancer patients with skin metastases receiving bevacizumab
based therapy.
AB - OBJECTIVE: Bevacizumab has entered daily practice in advanced breast cancer
patients, in whom skin metastases occurrence is a common event. Wound healing
impairment has been described with bevacizumab, and this study looks at possible
deleterious side effects of bevacizumab in patients with skin metastases. METHOD:
We retrospectively reviewed a series of 12 patients with advanced breast cancer
presenting extensive skin metastases, and who received bevacizumab based therapy.
RESULTS: Nine patients who initially presented with erosive skin lesions
developed extensive and durable skin necrosis, as well as delayed healing of
surgical flaps, despite early discontinuation of bevacizumab therapy and
intensive skin care in a specialised unit. Skin necrosis was usually associated
with extensive tumoural involvement of the skin. CONCLUSION: Bevacizumab may be
harmful in selected breast cancer patients with metastatic cutaneous involvement.
PMID- 22068140
TI - An evaluation of the skin stripping of wound dressing adhesives.
AB - OBJECTIVE: This study looks at six different modern wound dressings to
investigate how likely they are to cause skin stripping and impairment of the
skin's barrier function. METHOD: Healthy volunteers had each dressing applied,
removed and reapplied to the skin on their back over the study period of 15 days.
Skin barrier function was investigated using the amount of transepidermal water
loss (TEWL) and then related to the amount of skin stripping, investigated by
measuring stained skin removal, the thickness of the stratum corneum after
treatment, and the amount of skin attached to the removed dressings. General
signs of trauma, such as skin dryness and erythema, were investigated by
subjective and objective parameters. RESULTS: TEWL values measured on the
untreated test area, as well as after application of Urgotul Trio, remained
relatively unchanged and Mepilex Border decreased slightly (?1g/m2/h), while all
other dressings displayed an increased in TEWL: Allevyn Adhesive (5g/m2/h),
Versiva XC (14g/m2/h), Comfeel Plus (22g/m2/h) and Biatain (28g/m2/h). By the end
of the study, only the untreated area (mean 43% dye remaining), Mepilex Border
(76%) and Urgotul Trio (34%) areas had visible dye remaining. It is interesting
to note that the untreated site had a colour change, suggesting loss of stratum
corneum, due to the shedding of skin cells from the surface. The increase in
total skin colour for Comfeel Plus and Biatain after day 8 might be assigned to
an increased redness due to erythema. All the dressings showed evidence of
stratum corneum attached to the adhesive, except Mepilex Border, which appeared
to be free of any attached stratum corneum. CONCLUSION: Overall the best
performance in terms of skin protection and failure to cause skin trauma was
found to be for Mepilex Border. CONFLICT OF INTEREST: This project was funded by
a grant from Molnlycke Healthcare Ltd.
PMID- 22068141
TI - Wound healing through synergy of hyaluronan and an iodine complex.
AB - Hyaluronan, a glycosaminoglycan (GAG), is a polysaccharide found in many
locations in the human body, such as eye, skin and soft tissue. It is also found
in other mammals and bacteria. As a component of the extracellular matrix, its
role in wound repair, among others, is that of providing a temporary structure to
support new tissue formation. Harnessing the therapeutic action of hyaluronan
into a topical application of proven clinical benefit has proved challenging. A
new development in hyaluronan technology, comprising sodium hyaluronate and
iodine complex, offers a novel approach in exploiting the benefits of hyaluronan
and delivering real clinical benefits for a wide range of wound types.
PMID- 22068142
TI - Wound dressings and other topical treatment modalities in bioburden control.
AB - Reduction of wound bioburden has traditionally been achieved by the use of
topical antimicrobial agents, such as bactericidal antiseptics or antibiotics.
This has worked well for many years, however, concerns about toxicity and
resistance have prompted research into other mechanisms. There are various means
of removing bacteria from the wound, without recourse to chemical agents; for
example, larvae (maggots) ingest bacteria, together with the devitalised tissue
of the wound, while the control of exudate restricts the availability of free
water, impeding the growth of water-loving bacteria. The discovery that some
materials selectively adsorb, or sequester, bacteria has led to the development
of bacteriostatic dressing materials, which do not rely on antiseptics for their
action. These are designed to physically remove microorganisms, such as bacteria,
from the wound, thus reducing bioburden. However, this still demands validation
as a clinically relevant mechanism. Until that time, it remains a fascinating
theoretical concept. This is a chapter taken from the book Microbiology of
Wounds, published by CRC Press in 2010.
PMID- 22068143
TI - Extended leg infection of diabetic foot ulcers: risk factors and outcome.
AB - OBJECTIVE: To identify the risk factors for extension of infection to the leg in
diabetic foot ulcers (DFU) and to evaluate its role as a prognostic measure
regarding limb salvage and healing time. METHOD: This retrospective case-control
study took place in Jabir Abu Eliz Diabetic (JADC) during 2006-2008. Forty-eight
patients diagnosed with a diabetic foot ulcer (DFU) with the infection extending
to the leg (case group) were compared with an equal number of patients with a DFU
without extension (control group). Risk factors for extension were identified by
univariate analysis and both groups were compared with regard to limb salvage and
healing time. RESULTS: Previous history of toe amputation was more frequent in
the case group (p=0.004). The case group patients were significantly more likely
to present with fever (p=0.01), pallor (p=0.02), confusion (p=0.04), and necrosis
(p=0.004). Ulcers located in the heel were more frequent in the case group when
compared with controls (p=0.0001) while more toes ulcers were found in the
control group (p=0.001). A significant number of patients in the case group had
an ulcer of more than 5cm diameter compared with those in the control group
(p=0.001). The total number of patients presented with severe disease (Wagner
grade 3-5) was significantly more in the case group compared with controls
(p=0.004). Patients with severe infection (grade 4) were more in the case group
compared with the controls (p=0.04). There were no significant differences
between the two groups with regard to major and minor amputation rate. The case
group had a longer duration of healing when compared with the controls. Seventy
five per cent of the controls healed by 6 months (n=31) compared with 22% in the
case group (n=8 ; p=0.001). CONCLUSION: Toe amputation, wound located in the
heel, wound size more than 5cm and advanced Wagner grade (3-5) and severe sepsis,
grade 4, may be considered as risk factors for extension of infection to the leg
in DFU. However, this extension did not carry a poor prognostic value to the
final outcome if adequate therapeutic measures were followed.
PMID- 22068144
TI - Innovation in tissue viability documentation for acute services.
AB - This paper discusses the developmental process, outcome and delivery of an
innovative approach to standardising tissue viability documentation across two
sites within Nottingham University Hospitals NHS Trust, following the results of
benchmarking pressure ulcer preventive care strategies and recommendations from
pressure ulcer root cause analysis. It reflects upon the process, highlighting
the lessons learnt.
PMID- 22068145
TI - Functional analysis reveals the possible role of the C-terminal sequences and PI
motif in the function of lily (Lilium longiflorum) PISTILLATA (PI) orthologues.
AB - Two lily (Lilium longiflorum) PISTILLATA (PI) genes, Lily MADS Box Gene 8 and 9
(LMADS8/9), were characterized. LMADS9 lacked 29 C-terminal amino acids including
the PI motif that was present in LMADS8. Both LMADS8/9 mRNAs were prevalent in
the first and second whorl tepals during all stages of development and were
expressed in the stamen only in young flower buds. LMADS8/9 could both form
homodimers, but the ability of LMADS8 homodimers to bind to CArG1 was relatively
stronger than that of LMADS9 homodimers. 35S:LMADS8 completely, and 35S:LMADS9
only partially, rescued the second whorl petal formation and partially converted
the first whorl sepal into a petal-like structure in Arabidopsis pi-1 mutants.
Ectopic expression of LMADS8-C (with deletion of the 29 amino acids of the C
terminal sequence) or LMADS8-PI (with only the PI motif deleted) only partially
rescued petal formation in pi mutants, which was similar to what was observed in
35S:LMADS9/pi plants. In contrast, 35:LMADS9+L8C (with the addition of the 29
amino acids of the LMADS8 C-terminal sequence) or 35S:LMADS9+L8PI (with the
addition of the LMADS8 PI motif) demonstrated an increased ability to rescue
petal formation in pi mutants, which was similar to what was observed in
35S:LMADS8/pi plants. Furthermore, ectopic expression of LMADS8-M (with the MADS
domain truncated) generated more severe dominant negative phenotypes than those
seen in 35S:LMADS9-M flowers. These results revealed that the 29 amino acids
including the PI motif in the C-terminal region of the lily PI orthologue are
valuable for its function in regulating perianth organ formation.
PMID- 22068147
TI - Do viral infections mimic bacterial sepsis? The role of microvascular
permeability: A review of mechanisms and methods.
AB - A dysregulated immune response and functional immunosuppression have been
considered the major mechanisms of the bacterial sepsis syndrome. More recently,
the loss of endothelial barrier function and resultant microvascular leak have
been found to be a key determinant of the pathogenesis of bacterial sepsis.
Whether a similar paradigm applies to systemic viral syndromes is not known.
Answering this question has far-reaching implications for the development of
future anti-viral therapeutic strategies. In this review, we provide an overview
of the structure and function of the endothelium and how its barrier integrity is
compromised in bacterial sepsis. The various in vitro and in vivo methodologies
available to investigate vascular leak are reviewed. Emphasis is placed on the
advantages and limitations of cell culture techniques, which represent the most
commonly used methods. Within this context, we appraise recent studies of three
viruses - hantavirus, human herpes virus 8 and dengue virus - that suggest
microvascular leak may play a role in the pathogenesis of these viral infections.
We conclude with a discussion of how endothelial barrier breakdown may occur in
other viral infections such as H5N1 avian influenza virus.
PMID- 22068146
TI - Solanum lycopersicum cytokinin response factor (SlCRF) genes: characterization of
CRF domain-containing ERF genes in tomato.
AB - Cytokinin is an influential hormone in growth and developmental processes across
many plant species. While several cytokinin-regulated genes have been well
characterized in Arabidopsis, few have been identified in tomato, Solanum
lycopersicum. Here a tomato family of 11 highly related cytokinin response factor
genes designated as SlCRF1-SlCRF11 (Solanum lycopersicum cytokinin response
factor) are identified and characterized. SlCRFs are AP2/ERF transcription
factors and generally orthologous to Arabidopsis CRF clade members (AtCRFs). Some
SlCRF genes lack a direct Arabidopsis orthologue and one SlCRF has a unique
protein domain arrangement not seen in any other CRF protein. Expression analysis
of SlCRF1-SlCRF11 revealed differential patterns and levels across plant tissues
examined (leaf, stem, root and flower). Several SlCRFs show induction by
cytokinin to various degrees, similar to AtCRFs. Additionally it is shown that
some SlCRFs can be regulated by other factors, including NaCl, ethylene, methyl
jasmonate, and salicylic acid. Examination of SlCRF proteins in transient
Agrobacterium infiltration experiments indicates they can be nuclear localized in
planta. Using a bimolecular fluorescence complementation (split-yellow
fluorescent protein) system, it is also shown that SlCRF proteins can interact to
form homo- and heterodimers. Overall this work indicates that some SlCRFs
resemble previously identified CRFs in terms of structure, expression, and
cytokinin regulation. However, SlCRFs have novel CRF protein forms and responses
to abiotic factors, suggesting they may have a diverse set of roles in stress and
hormone regulation in tomato.
PMID- 22068148
TI - SP600125 inhibits Orthopoxviruses replication in a JNK1/2 -independent manner:
Implication as a potential antipoxviral.
AB - The pharmacological inhibitor SP600125 [anthra(1,9-cd)pyrazol-6(2H)-one 1,9
pyrazoloanthrone] has been largely employed as a c-JUN N-terminal kinase (JNK1/2)
inhibitor. In this study, we evaluated whether pretreatment with SP600125 was
able to prevent Orthopoxviruses Vaccinia virus (VACV), Cowpox virus (CPXV) and
modified Vaccinia virus Ankara (MVA) replication. We found that incubation with
SP600125 not only blocked virus-stimulated JNK phosphorylation, but also,
significantly reduced virus production. We observed 1-3 log decline in viral
yield depending on the cell line infected (A31, BSC-40 or BHK-21). The reduction
in viral yield correlated with a dramatic impact on virus morphogenesis progress,
intracellular mature viruses (IMV) were barely detected. Despite the fact that
SP600125 can act as an efficient anti-orthopoxviral compound, we also provide
evidence that this antiviral effect is not specifically exerted through JNK1/2
inhibition. This conclusion is supported by the fact that viral titers measured
after infections of JNK1/2 knockout cells were not altered as compared to those
of wild-type cells. In contrast, a decline in viral titers was verified when the
infection of KO cells was carried out in the presence of the pharmacological
inhibitor. SP600125 has been the focus of recent studies that have evaluated its
action on diverse viral infections including DNA viruses. Our data support the
notion that SP600125 can be regarded as a potential antipoxviral compound.
PMID- 22068149
TI - Acute pharmacokinetics of memantine in the mouse.
AB - The pharmacokinetics of memantine, a widely prescribed medication in the United
States and the European Union for the treatment of moderate-to-severe Alzheimer's
disease (AD), have not been well explored in the mouse. Memantine is a highly
unspecific blocker of many channels and how memantine may be of benefit in AD
remains a mystery. Therefore, the investigation of memantine in the mouse, the
most commonly chosen subject for modeling AD, has strong potential to lead to
better therapies. Here, we present an acute pharmacokinetic analysis of memantine
in mouse brain tissue and blood serum for a variety of experimentally relevant
doses. The data help shed light on the mechanism of memantine action in vivo, and
demonstrate that subcutaneous doses above 10 mg/kg in the mouse are most likely
not therapeutically relevant to the human.
PMID- 22068150
TI - Physicochemical properties of imidazolium-derived ionic liquids with different C
2 substitutions.
AB - Five room temperature ionic liquids based on C-2 substituted imidazolium cations
and bis(trifluoromethanesulfonyl)imide (TFSI) anions were synthesized and their
physicochemical properties: thermal property, density, viscosity, ionic
conductivity, self-diffusion coefficients, and electrochemical stability, were
systematically investigated. The temperature dependence of both viscosity and
ionic conductivities of these ionic liquids can be described by the Vogel-Fulcher
Tamman (VFT) equation. Compared with the reference, 1-propyl-3-methylimidazolium
bis(trifluoromethanesulfonyl)imide, the introduction of functional groups at the
C-2 position generally increased the viscosity and lowered the ionic
conductivity. The introduction of an ether group (-CH(2)OCH(2)CH(2)CH(2)CH(3)) at
the C-2 position not only enhanced the reduction stability of the ionic liquids
but also exhibited the lowest solid electrolyte interfacial resistance (R(SEI)).
In contrast, the introduction of a cyano group (-CN) at the C-2 position not only
decreased the reduction stability but also adversely increased the SEI
resistance. The effect of the C-2 substitution on the reduction stability was
explained by the change in the energy level of the lowest unoccupied molecular
orbital. The self-diffusion coefficients (D) of each ion were measured by pulsed
field gradient nuclear magnetic resonance (PFG-NMR). The lithium transference
number (t(Li)) of 0.5 M LiTFSI/IL solutions calculated from the self-diffusion
coefficients was in the range of 0.04 to 0.09.
PMID- 22068151
TI - Dasatinib as salvage therapy for steroid refractory and imatinib resistant or
intolerant sclerotic chronic graft-versus-host disease.
AB - Sclerotic chronic graft-versus-host disease (scGVHD) is a severe form of this
disease that resembles systemic sclerosis and has limited and disappointing
treatment options. Tyrosine kinase inhibitors (TKI) targeting up-regulated
profibrotic pathways, such as imatinib mesylate, have been proposed as a
potential therapeutic approach for patients with scGVHD. Dasatinib, a second
generation TKI with a well-established safety and efficacy profile in chronic
myeloid leukemia patients, who are refractory or intolerant to imatinib, has also
shown potent antifibrotic effects. We present here the first direct clinical
evidence, from 3 patients treated in a small single-center series, suggesting
that dasatinib can be a therapeutic option for patients with severe scGVHD
resistant or intolerant to imatinib. All patients achieved partial response, with
improvement in scGHVD target organs severity, joint mobility, lung impairment,
and deep fibrotic lesions. This clinical response has remained stable or
continued to improve after a median of 22 months (20-25) on dasatinib treatment,
with very good tolerance. In addition, corticosteroids could be discontinued or
significantly reduced in all patients. This clinical evidence suggests that
dasatinib could be a safe and effective alternative for scGVHD patients
refractory to corticosteroids and resistant or intolerant to imatinib. Based on
these preliminary findings, and in order to address appropriate patient
selection, time of intervention, and choice of drug, future larger studies should
more formally establish the efficacy and safety of second-generation TKI for the
treatment of scGVHD.
PMID- 22068152
TI - Measurement of the proximity effect for indoor air pollutant sources in two
homes.
AB - Personal exposure to air pollutants can be substantially higher in close
proximity to an active source due to non-instantaneous mixing of emissions. The
research presented in this paper quantifies this proximity effect for a non
buoyant source in 2 naturally ventilated homes in Northern California (CA),
assessing its spatial and temporal variation and the influence of factors such as
ventilation rate on its magnitude. To quantify how proximity to residential
sources of indoor air pollutants affects human exposure, we performed 16 separate
monitoring experiments in the living rooms of two detached single-family homes.
CO (as a tracer gas) was released from a point source in the center of the room
at a controlled emission rate for 5-12 h per experiment, while an array of 30-37
real-time monitors simultaneously measured CO concentrations with 15 s time
resolution at radial distances ranging from 0.25-5 m under a range of ventilation
conditions. Concentrations measured in close proximity (within 1 m) to the source
were highly variable, with 5 min averages that typically varied by >100-fold.
This variability was due to short-duration (<1 min) pollutant concentration peaks
("microplumes") that were frequently recorded in close proximity to the source.
We decomposed the random microplume component from the total concentrations by
subtracting predicted concentrations that assumed uniform, instantaneous mixing
within the room and found that these microplumes can be modeled using a 3
parameter lognormal distribution. Average concentrations measured within 0.25 m
of the source were 6-20 times as high as the predicted well-mixed concentrations.
PMID- 22068153
TI - Children's Appraisals of their Experiences in Out-of-Home Care.
AB - Few studies have asked children directly about their experiences in out-of-home
care. This study uses data collected from 180 nine- to-11-year-old children
currently in out-of-home care who were asked about their perceptions and
appraisals of out-of-home care. Analysis of variance and chi-square analyses were
used to examine whether children's appraisals of their lives following removal
from their families of origin differ as a function of age, gender,
race/ethnicity, type and severity of maltreatment, length of time in out-of-home
care, placement type, attachment to current caregivers, and rating of current
caregiver/home. Youth who were sexually and emotionally abused, youth who were
satisfied with their current caregivers and placements, and girls were more
likely to state that their lives would have been worse had they remained with
their families of origin. Youth who were physically abused were more likely to
report that their lives would have remained the same. Children living in group
care were more likely than those living in family foster care or with kin to
report that their lives would have been better had they remained with their
families of origin. Differences were not found between children living in family
foster care and those living with kin nor did children's appraisals differ based
on age, race, ethnicity, length of time in out-of-home care, neglect, or severity
of maltreatment.
PMID- 22068154
TI - Crystal structure of NAD+-dependent Peptoniphilus asaccharolyticus glutamate
dehydrogenase reveals determinants of cofactor specificity.
AB - Glutamate dehydrogenases (EC 1.4.1.2-4) catalyse the oxidative deamination of l
glutamate to alpha-ketoglutarate using NAD(P) as a cofactor. The bacterial
enzymes are hexamers and each polypeptide consists of an N-terminal substrate
binding (Domain I) followed by a C-terminal cofactor-binding segment (Domain II).
The reaction takes place at the junction of the two domains, which move as rigid
bodies and are presumed to narrow the cleft during catalysis. Distinct signature
sequences in the nucleotide-binding domain have been linked to NAD(+) vs. NADP(+)
specificity, but they are not unambiguous predictors of cofactor preferences.
Here, we have determined the crystal structure of NAD(+)-specific Peptoniphilus
asaccharolyticus glutamate dehydrogenase in the apo state. The poor quality of
native crystals was resolved by derivatization with selenomethionine, and the
structure was solved by single-wavelength anomalous diffraction methods. The
structure reveals an open catalytic cleft in the absence of substrate and
cofactor. Modeling of NAD(+) in Domain II suggests that a hydrophobic pocket and
polar residues contribute to nucleotide specificity. Mutagenesis and isothermal
titration calorimetry studies of a critical glutamate at the P7 position of the
core fingerprint confirms its role in NAD(+) binding. Finally, the cofactor
binding site is compared with bacterial and mammalian enzymes to understand how
the amino acid sequences and three-dimensional structures may distinguish between
NAD(+) vs. NADP(+) recognition.
PMID- 22068155
TI - Metallothionein as a clonable high-density marker for cryo-electron microscopy.
AB - Cryo-electron microscopy is expanding its scope from macromolecules towards much
larger and more complex cellular specimens such as organelles, cells and entire
tissues. While isolated macromolecular specimens are typically composed of only
very few different components that may be recognized by their shape, size or
state of polymerization, cellular specimens combine large numbers of
proteinaceous structures as well as nucleic acids and lipid arrays. Consequently,
an unambiguous identification of these structures within the context of a whole
cell may create a very difficult challenge. On plastic-embedded specimens, or
Tokuyasu sections (Tokuyasu, 1980), epitopes that are exposed at the surface can
be tagged by antibodies. However, vitrified sections have to be kept at strict
cryo-conditions (below -140 degrees C) and therefore do not allow any post
sectioning treatment of the specimens other than data acquisition in the
microscope. Hence, the labels have to be placed into the specimen before
freezing. Here we report on the application of a small metal-clustering protein,
metallothionein (MTH), as a clonable label capable of clustering metal atoms into
a high-density particle with high spatial resolution. We tested MTH as a label
for kinesin-decorated microtubules (MTs) as well as the building blocks of desmin
intermediate filaments (IFs).
PMID- 22068156
TI - Sargassumol, a novel antioxidant from the brown alga Sargassum micracanthum.
PMID- 22068157
TI - Possible structural role of the disaccharide unit in Fe-bleomycin before and
after oxygen activation.
AB - Our previous investigation of the solution structure of Fe(II)-bleomycin pointed
toward the carbamoyl group in the mannose moiety or a water molecule as possible
alternative axial ligands to the metal center in this metallo-bleomycin. The
possibility of a solvent molecule occupying the apical position trans to the
primary amine has not been ruled out yet. In order to explore this possibility
even further, the coordination chemistry of azide-bound Fe(II)-bleomycin was
investigated with the use of NMR applied to paramagnetic molecules. Fe(II)- and
apo-bleomycin were also re-visited. Comparison of the NMR results for both Fe(II)
bound molecules obtained in the present study strongly suggests that the
carbamoyl oxygen is ligated to Fe(II), and it is released from coordination upon
azide binding. This event is suggested based on the diminished paramagnetic
character exhibited by the carbohydrate moiety in Fe(II)-azide-bleomycin when
compared with its parent metal complex. A possible structural role for the
glucopyranose fragment, which changes throughout the process that starts with
metallo-bleomycin formation and ends with DNA binding, is discussed. The study of
the coordination of azide by Fe(II)-bleomycin through NMR has not been reported
previously. Unlike magnetic CD data, NMR offers a residue-by-residue account of
the possible structural changes that take place in Fe(II)-bleomycin after azide
binding.
PMID- 22068158
TI - Cytotoxic xanthone-anthraquinone heterodimers from an unidentified fungus of the
order Hypocreales (MSX 17022).
AB - Two new xanthone-anthraquinone heterodimers, acremoxanthone C (5) and
acremoxanthone D (2), have been isolated from an extract of an unidentified
fungus of the order Hypocreales (MSX 17022) by bioactivity-directed fractionation
as part of a search for anticancer leads from filamentous fungi. Two known
related compounds, acremonidin A (4) and acremonidin C (3) were also isolated, as
was a known benzophenone, moniliphenone (1). The structures of these isolates
were determined via extensive use of spectroscopic and spectrometric tools in
conjunction with comparisons to the literature. All compounds (1-5) were
evaluated against a suite of biological assays, including those for cytotoxicity,
inhibition of the 20S proteasome, mitochondrial transmembrane potential and
nuclear factor-kappaB.
PMID- 22068159
TI - The non-canonical NOTCH ligand DLK1 exhibits a novel vascular role as a strong
inhibitor of angiogenesis.
AB - AIMS: The epidermal growth factor-like protein Delta-like 1 (DLK1) regulates
multiple differentiation processes. It resembles NOTCH ligands structurally and
is considered a non-canonical ligand. Given the crucial role of the NOTCH pathway
in angiogenesis, we hypothesized that DLK1 could regulate angiogenesis by
interfering with NOTCH. We therefore investigated the expression and function of
DLK1 in the vascular endothelium and its role in the regulation of angiogenesis.
METHODS AND RESULTS: We report DLK1 expression in the endothelium of different
species, including human, cow, pig, and mouse. Angiogenesis was studied by using
in vitro and in vivo models of angiotube formation in endothelial cells, retinal
phenotypes in Dlk1-null mice, and vessel development in zebrafish. DLK1
overexpression strongly inhibited angiotube formation, whereas lung endothelial
cells from Dlk1-null mice were highly angiogenic. In vivo studies demonstrated
DLK1-mediated inhibition of neovessel formation and revealed an altered pattern
of angiogenesis in the retinas of Dlk1-null mice. The expression of human DLK1 in
zebrafish embryos severely altered the formation of intersegmental vessels, while
knockdown of the orthologous gene was associated with ectopic and increased
tumour-induced angiogenesis. NOTCH-dependent signalling as determined by gene
expression reporters was inhibited by the presence of DLK1 in vascular
endothelial cells. In contrast, Dlk1-null mice showed increased levels of NOTCH
downstream targets, such as Snail and Slug. CONCLUSION: Our results unveil a
novel inhibitory role for DLK1 in the regulation of angiogenesis, mediated by
antagonism of the NOTCH pathway, and establish the basis for investigating its
action in pathological settings.
PMID- 22068160
TI - Roles of saturated vs. polyunsaturated fat in heart failure survival: not all
fats are created equal.
PMID- 22068161
TI - Treatment with selumetinib preserves cardiac function and improves survival in
cardiomyopathy caused by mutation in the lamin A/C gene.
AB - AIMS: Mutations in A-type nuclear lamins gene, LMNA, lead to a dilated
cardiomyopathy. We have reported abnormal activation of the extracellular signal
regulated kinase1/2 (ERK1/2) signalling in hearts from Lmna(H222P/H222P) mice,
which develop dilated cardiomyopathy. We therefore determined whether an
inhibitor of ERK1/2 signalling that has been investigated in clinical trials for
cancer has the potential to be translated to humans with LMNA cardiomyopathy.
METHODS AND RESULTS: To evaluate the relevance of this finding in mice to
patients, we analysed the ERK1/2 signalling in heart tissue from human subjects
with LMNA cardiomyopathy and showed that it was abnormally activated. To
determine whether pharmacological inhibitors of the ERK1/2 signalling pathway
could potentially be used to treat LMNA cardiomyopathy, we administered
selumetinib to male Lmna(H222P/H222P) mice starting at 16 weeks of age, after
they show signs of cardiac deterioration, up to 20 weeks of age. Selumetinib is
an inhibitor of ERK1/2 signalling and has been given safely to human subjects in
clinical trials for cancer. Systemic treatment with selumetinib inhibited cardiac
ERK1/2 phosphorylation and blocked increased expression of RNAs encoding
natriuretic peptide precursors and proteins involved in sarcomere architecture
that occurred in placebo-treated mice. Echocardiography and histological analysis
demonstrated that treatment increases cardiac fractional shortening, prevents
myocardial fibrosis, and prolongs survival. Selumetinib treatment did not induce
biochemical abnormalities suggestive of renal or hepatic toxicity. CONCLUSION:
Our results suggest that selumetinib or other related inhibitors that have been
safely administered to humans in clinical trials could potentially be used to
treat LMNA cardiomyopathy.
PMID- 22068163
TI - Hepatitis B virus strains of subgenotype A2 with an identical sequence spreading
rapidly from the capital region to all over Japan in patients with acute
hepatitis B.
AB - OBJECTIVE: To examine recent trends of acute infection with hepatitis B virus
(HBV) in Japan by nationwide surveillance and phylogenetic analyses. METHODS:
During 1991 through 2009, a sentinel surveillance was conducted in 28 national
hospitals in a prospective cohort study. Genotypes of HBV were determined in 547
patients with acute hepatitis B. Nucleotide sequences in the preS1/S2/S gene of
genotype A and B isolates were determined for phylogenetic analyses. RESULTS: HBV
genotype A was detected in 137 (25% (accompanied by genotype G in one)) patients,
B in 48 (9%), C in 359 (66%), and other genotypes in the remaining three (0.5%).
HBV persisted in five with genotype A including the one accompanied by genotype
G; another was co-infected with HIV type 1. The genotype was A in 4.8% of
patients during 1991-1996, 29.3% during 1997-2002, and 50.0% during 2003-2008 in
the capital region, as against 6.5%, 8.5% and 33.1%, respectively, in other
regions. Of the 114 genotype A isolates, 13 (11.4%) were subgenotype A1, and 101
(88.6%) were A2, whereas of the 43 genotype B isolates, 10 (23.3%) were
subgenotype B1, 28 (65.1%) were B2, two (4.7%) were B3, and three (7.0%) were B4.
Sequences of 65 (64%) isolates of A2 were identical, as were three (23%) of A1,
and five (18%) of B2, but none of the B1, B3 and B4 isolates shared a sequence.
CONCLUSIONS: Acute infection with HBV of genotype A, subgenotype A2 in
particular, appear to be increasing, mainly through sexual contact, and spreading
from the capital region to other regions in Japan nationwide. Infection persisted
in 4% of the patients with genotype A, and HBV strains with an identical sequence
prevailed in subgenotype A2 infections. This study indicates the need for
universal vaccination of young people to prevent increases in HBV infection in
Japan.
PMID- 22068162
TI - Interleukin-6-driven progranulin expression increases cholangiocarcinoma growth
by an Akt-dependent mechanism.
AB - BACKGROUND AND OBJECTIVES: Cholangiocarcinoma is a devastating cancer of biliary
origin with limited treatment options. The growth factor, progranulin, is
overexpressed in a number of tumours. The study aims were to assess the
expression of progranulin in cholangiocarcinoma and to determine its effects on
tumour growth. METHODS: The expression and secretion of progranulin were
evaluated in multiple cholangiocarcinoma cell lines and in clinical samples from
patients with cholangiocarcinoma. The role of interleukin 6 (IL-6)-mediated
signalling in the expression of progranulin was assessed using a combination of
specific inhibitors and shRNA knockdown techniques. The effect of progranulin on
proliferation and Akt activation and subsequent effects of FOXO1 phosphorylation
were assessed in vitro. Progranulin knockdown cell lines were established, and
the effects on cholangiocarcinoma growth were determined. RESULTS: Progranulin
expression and secretion were upregulated in cholangiocarcinoma cell lines and
tissue, which were in part via IL-6-mediated activation of the
ERK1/2/RSK1/C/EBPbeta pathway. Blocking any of these signalling molecules, by
either pharmacological inhibitors or shRNA, prevented the IL-6-dependent
activation of progranulin expression. Treatment of cholangiocarcinoma cells with
recombinant progranulin increased cell proliferation in vitro by a mechanism
involving Akt phosphorylation leading to phosphorylation and nuclear extrusion of
FOXO1. Knockdown of progranulin expression in cholangiocarcinoma cells decreased
the expression of proliferating cellular nuclear antigen, a marker of
proliferative capacity, and slowed tumour growth in vivo. CONCLUSIONS: Evidence
is presented for a role for progranulin as a novel growth factor regulating
cholangiocarcinoma growth. Specific targeting of progranulin may represent an
alternative for the development of therapeutic strategies.
PMID- 22068164
TI - Recombination of hepatitis B virus DNA in patients with HIV.
AB - INTRODUCTION: Hepatitis B is a major cause of death in patients with HIV who
usually receive drugs active against hepatitis B virus (HBV). The variability of
HBV DNA over time has been little studied. Recombination between different HBV
genotypes has been described in many cross-sectional studies, but the frequency
of intergenotypic and intragenotypic recombinations in individual patients is
unknown. METHODS: 32 HIV-positive and 11 HIV-negative patients who remained HBV
viraemic despite antiviral therapy for at least 1 year were studied. Genotyping
was based on line probe assays and genotype-specific PCR. The variability of HBV
DNA over time was examined with restriction length and single-strand
conformational polymorphism (RFLP-SSCP). HBV DNA sequences obtained by cloning a
2800 bp PCR fragment were analysed for phylogenetic parameters (diversity and
selection pressure) and recombination was detected with RDP3 software. RESULTS:
Large fragments of HBV DNA could be amplified at two different time points in 33
patients. Marked quasi-species modifications occurred in 14 patients. In seven of
these patients and in one patient with no change detectable by RFLP-SSCP, the
2800 bp fragment was cloned at two time points at least. In four (57%) of these
seven patients, various intergenotypic or intragenotypic recombination events
were detected between subvariants present in the initial quasi-species.
Recombinant fragments mostly harboured antiviral resistance determinants and
reflected a large increase in diversity and in positive selection pressure on the
entire HBV quasi-species. CONCLUSIONS: In coinfected patients, HBV DNA
recombination events are frequent during antiviral therapy, corresponding to
increased positive selection pressure on the HBV quasi-species and to
conservation of antiviral resistance mutations. In this population and at the
individual level, recombination is a significant source of HBV genetic
variability.
PMID- 22068165
TI - Mesenteric fat in Crohn's disease: the hot spot of inflammation?
PMID- 22068166
TI - Detection of pancreatic cancer tumours and precursor lesions by cathepsin E
activity in mouse models.
AB - BACKGROUND AND AIMS: Pancreatic ductal adenocarcinoma (PDAC) is the fourth
leading cause of cancer death in the USA. Surgical resection is the only
effective treatment; however, only 20% of patients are candidates for surgery.
The ability to detect early PDAC would increase the availability of surgery and
improve patient survival. This study assessed the feasibility of using the
enzymatic activity of cathepsin E (Cath E), a protease highly and specifically
expressed in PDAC, as a novel biomarker for the detection of pancreas-bearing
pancreatic intraepithelial neoplasia (PanIN) lesions and PDAC. METHODS: Pancreas
from normal, chronic pancreatitis and PDAC patients was assessed for Cath E
expression by quantitative real-time PCR and immunohistochemistry. Human PDAC
xenografts and genetically engineered mouse models (GEMM) of PDAC were injected
with a Cath E activity selective fluorescent probe and imaged using an optical
imaging system. RESULTS: The specificity of Cath E expression in PDAC patients
and GEMM of pancreatic cancer was confirmed by quantitative real-time PCR and
immunohistochemistry. The novel probe for Cath E activity specifically detected
PDAC in both human xenografts and GEMM in vivo. The Cath E sensitive probe was
also able to detect pancreas with PanIN lesions in GEMM before tumour formation.
CONCLUSIONS: The elevated Cath E expression in PanIN and pancreatic tumours
allowed in-vivo detection of human PDAC xenografts and imaging of pancreas with
PanIN and PDAC tumours in GEMM. Our results support the usefulness of Cath E
activity as a potential molecular target for PDAC and early detection imaging.
PMID- 22068167
TI - Anti-TNF antibody therapy in Crohn's disease: the risk of a switch.
PMID- 22068168
TI - Flt3 ligand expands CD103+ dendritic cells and FoxP3+ T regulatory cells, and
attenuates Crohn's-like murine ileitis.
AB - BACKGROUND; Imprinting an effector or regulatory phenotype on naive T cells
requires education at induction sites by dendritic cells (DC). Objectives To
analyse the effect of inflammation on the frequency of mononuclear phagocytes
(MP) and the effect of altering their frequency by administration of Flt3-L in
chronic ileitis. METHODS: Using a tumour necrosis factor (TNF) driven model of
ileitis (ie, TNFDeltaARE) that recapitulates many features of Crohn's disease
(CD), dynamic changes in the frequency and functional state of MP within the
inflamed ileum were assessed by flow cytometry, immunofluorescence and real-time
reverse-transcription PCR and by generating CX(3)CR1 GFP-reporter TNFDeltaARE
mice. The effect of Flt3-L supplementation on the severity of ileitis, and the
frequency of CD103(+) DC and of FoxP3(+) regulatory T cells was also studied in
TNFDeltaARE mice. RESULTS: CD11c(Hi)/MHCII(+) MP accumulated in inflamed ilea,
predominantly mediated by expansion of the CX(3)CR1(+) MP subpopulation. This
coincided with a decreased pro-regulatory CD103(+) DC. The phenotype of these MP
was that of activated cells, as they expressed increased CD80 and CD86 on their
surface. Flt3-ligand administration resulted in a preferential expansion of
CD103(+) DC that attenuated the severity of ileitis in 20-week-old TNFDeltaARE
mice, mediated by increased CD4(+)/CD25(+)/FoxP3(+) regulatory T cells.
CONCLUSIONS: Results support a role for Flt3-L as a potential therapeutic agent
in Crohn's-like ileitis.
PMID- 22068169
TI - TNFalpha inhibitors restrict T cell activation and cycling via Notch-1 signalling
in inflammatory bowel disease.
AB - BACKGROUND: Tumour necrosis factor alpha (TNFalpha) inhibitors such as adalimumab
and infliximab are frequently prescribed for inflammatory bowel disease (IBD).
Despite the clinical success of TNFalpha inhibitors, their physiological mode of
action is not fully understood. The aim of this study was to investigate the mode
of action of anti-TNFalpha agents in IBD. METHODS: It was hypothesised that Notch
mediates anti-TNFalpha action in T cells. A study was carried out to identify
Notch-1 as a link by which anti-TNFalpha antibodies mediate their inhibitory
functions. RESULTS: TNFalpha inhibitors induced T cell apoptosis, inhibited
activation, reduced cytokine secretion and restricted cell cycling. TNFalpha
blockade at several levels showed that TNFalpha is responsible for inducing
apoptosis by anti-TNFalpha but not for cell cycle restriction. By linking Notch
and TNFalpha it was shown that (1) Notch-1 mucosal expression differs in inflamed
and non-inflamed mucosa and increases in response to anti-TNFalpha treatment; (2)
Notch-1 function is regulated by TNFalpha inhibitors; (3) Notch-1 binds to
TNFalpha; and (4) Notch-1 inhibition prevents anti-TNFalpha-induced T cell cycle
arrest but not apoptosis. CONCLUSIONS: TNFalpha inhibitors potently inhibit T
cell function. By demonstrating for the first time that Notch-1 mediates the
inhibitory effects of adalimumab and infliximab on T cell cycling, this study
reveals a new mode of action and also an underlying signalling pathway by which
biological agents act in IBD.
PMID- 22068171
TI - Occupational exposure to crack detection dye penetrants and the potential for
bladder cancer.
PMID- 22068170
TI - Progranulin and cholangiocarcinoma: another bad boy on the block!
PMID- 22068172
TI - Increased alveolar nitric oxide and systemic inflammation markers in silica
exposed workers.
AB - BACKGROUND: Exposure to silica dust may cause inflammatory responses, primarily
in the lungs, although systemic effects have also been reported. Alveolar
inflammation can be demonstrated by increased alveolar concentration of nitric
oxide (NO), but information on the effects of silica dust on exhaled NO is
sparse. Inflammatory mediators including cytokines are known to take part in
silica-induced processes, but the role of adipokines has not been studied
previously. OBJECTIVES: The aim of the study was to investigate the pulmonary and
systemic inflammatory responses to occupational exposure to silica dust. METHODS:
The authors examined 94 silica-exposed workers and 35 healthy volunteers. The
authors also measured alveolar NO concentration, bronchial NO flux and the plasma
levels of proinflammatory cytokines, interleukin (IL)-6 and IL-8, and the
adipokines, adipsin, leptin, adiponectin and resistin. RESULTS: After adjusting
for age, body mass index and pack-years of tobacco smoking, silica exposure was
associated with significantly higher levels of alveolar NO (p=0.001), indicating
inflammatory effects of silica in the peripheral lung. In addition, increased
plasma concentrations of IL-6, adiponectin, adipsin and resistin were
significantly associated with silica exposure (p=0.002, p=0.034, p<0.001 and
p=0.048, respectively). CONCLUSIONS: In conclusion, measurement of alveolar NO
concentration and plasma cytokine and adipokine levels seems to offer a modern
means to demonstrate the inflammatory effects of exposure to silica. These
measures might be useful in finding subjects with a significant immune response
to silica particles and thus at higher risk of developing silicosis or other
immunological diseases associated with exposure to silica, but further research
is needed.
PMID- 22068173
TI - Cadmium exposure and cancer mortality in the Third National Health and Nutrition
Examination Survey cohort.
AB - OBJECTIVE: This study examined prospective data from the Third National Health
and Nutrition Examination Survey (NHANES III) cohort to investigate the
relationship between cadmium exposure and cancer mortality, and the specific
cancers associated with cadmium exposure, in the general population. METHODS:
Vital status and cause of death through 31 December 2006 were obtained by the
National Center for Health Statistics for NHANES III participants. The cadmium
concentration of spot urine samples was measured and corrected for urine
creatinine (uCd). Weighted Cox proportional hazards regression with age as the
time metric was applied to estimate sex-specific adjusted HRs (aHRs) of mortality
associated with uCd for all cancers and the cancers responsible for the most
deaths in the USA. Estimates were stratified by smoking history and adjusted for
education, body mass index and race. RESULTS: uCd was associated with cancer
mortality (aHR per twofold higher uCd (95% CI), men: 1.26 (1.07 to 1.48); women:
1.21 (1.04 to 1.42)). In men, mortality from lung cancer, pancreatic cancer and
non-Hodgkin lymphoma was associated with uCd; an association with leukaemia
mortality was suggested. In women, associations were suggested with mortality due
to lung cancer, leukaemia, ovarian and uterine cancer, but evidence was weaker
than in men. CONCLUSIONS: Cadmium appears to be associated with overall cancer
mortality in men and women, but the specific cancers associated differ between
men and women, suggesting avenues for future research. Limitations of the study
include the possibility of uncontrolled confounding by cigarette smoking or other
factors, and the limited number of deaths due to some cancers.
PMID- 22068174
TI - Occupational exposures and risk of stomach cancer by histological type.
AB - OBJECTIVE: To explore the relationship between stomach cancer (SC), by
histological type, and occupations and occupational exposures. METHODS: The
authors conducted a hospital-based case-control study in south-east Spain.
Subjects were 399 incident histological confirmed SC cases (241 intestinal and
109 diffuse adenocarcinomas) and 455 controls frequency matched by sex, age and
province of residence. Occupation was coded according to the Spanish National
Classification of Occupations 1994. Occupational exposures were assessed by the
FINJEM Job Exposure Matrix. ORs were estimated by unconditional logistic
regression adjusting for matching variables and education, smoking, alcohol and
diet. RESULTS: In men, statistically significant increased risk of the diffuse
subtype was found for 'cooks' (OR 8.02), 'wood-processing-plant operators' (OR
8.13) and 'food and related products machine operators' (OR 5.40); for the
intestinal subtype, a borderline association was found for 'miners and quarry
workers' (OR men 4.22, 95% CI 0.80 to 22.14). Significant increased risk was
observed between the diffuse subtype of SC and the highest level of exposure to
'pesticides' (OR(H) both sexes 10.39, 95% CI 2.51 to 43.02, p(trend)=0.02) and
between the intestinal subtype and asbestos (OR(H) men 3.71, 95% CI 1.40 to 9.83,
p(trend)=0.07). Restricted analyses of exposures of 15 years and longer showed
significant associations between the diffuse subtype and the exposure to 'wood
dust' (OR men 3.05). CONCLUSIONS: This study supports the relationship previously
suggested between SC and occupational exposure to dusty and high temperature
environments. Several occupations may also increase the risk of diffuse SC but
not the intestinal subtype.
PMID- 22068175
TI - Residual cellular proliferation on the internal limiting membrane in macular
pucker surgery.
AB - PURPOSE: To provide pathology data on the completeness of epiretinal membrane
(ERM) removal with and without internal limiting membrane (ILM) peeling. METHODS:
Twenty-two patients with idiopathic ERM formation underwent vitrectomy with ERM
removal and subsequent staining of the vitreomacular interface with brilliant
blue. If the ILM was still present after ERM removal, it was peeled off. Both ERM
and ILM specimens were harvested in different containers and prepared for flat
mount phase-contrast and interference microscopy, immunocytochemistry, and
transmission electron microscopy. RESULTS: In 14 patients (64%), the ILM was
still present at the macula after ERM removal. On average, 20% (range, 2-51%) of
the total cell count was left behind at the ILM if the ERM was removed only.
There were mainly glial cells on the ILM, and few hyalocytes. In nine eyes, the
cells were forming cell clusters. In 8 patients (36%), both ERM and ILM were
removed together. Electron microscopy showed cellular proliferation directly
attached to the ILM in these eyes, whereas in the sequentially peeled group,
there was collagen interposed between the ERM and the ILM. Surgical ERM removal
resulted in splitting of the vitreous cortex in these eyes, leaving the ILM with
residual cells behind. CONCLUSION: Simple ERM removal results in sufficient
separation of fibrocellular tissue in one third of cases, only. In 2 of 3
patients with idiopathic ERM, the vitreous cortex splits when the ERM is removed,
leaving an average of 20% of the total cell count behind on the ILM. As these
cells are capable of proliferation and causing ERM recurrence, staining of the
ILM with subsequent removal seems beneficial in macular pucker surgery.
PMID- 22068176
TI - Interdental cleaning behaviour and its relationship with psychological constructs
based on the transtheoretical model.
AB - PURPOSE: The aim of this study was to test the applicability of the
Transtheoretical Model (TTM) to gain an understanding of interdental cleaning
behaviour change in senior high school students (12th grade) in Iran. MATERIALS
AND METHODS: Multistage cluster sampling was employed to recruit 361 senior high
school students from 8 schools in Yazd City, Iran. This cross-sectional study
took place from November 2008 to March 2009. Appropriate instruments were used to
identify the stages of interdental cleaning behaviour and psychological
attributes, including interdental cleaning behaviour, decisional balance, and
self-efficacy. The statistical analysis of the data included descriptive
statistics, t-test and ANOVA. RESULTS: Of the 361 students, nearly 12.5% were in
the maintenance stage, while 49.6% were in the pre-contemplation stage, with the
rest distributed among the other stages of interdental cleaning behaviour change.
There was a statistically significant difference in the stages of interdental
cleaning behaviour change by gender. Self-efficacy and decisional balance
differed significantly across the stages of interdental cleaning behaviour
change. CONCLUSION: The TTM was found to be useful in determining the stages of
interdental cleaning behaviour change among students. This study suggests the
development of theory-based and empirically supported intervention strategies and
programs to improve interdental cleaning behaviour with an emphasis on improving
students' self-efficacy in this area.
PMID- 22068178
TI - Do malocclusions affect the oral health-related quality of life?
AB - PURPOSE: The aim of this study was to assess the relationship between untreated
malocclusions and oral health-related quality of life (OHRQOL) in male
adolescents of Mashad (Iran) high schools. MATERIALS AND METHODS: In this
observational cross-sectional descriptive study, 120 male students were randomly
selected among Mashad (Iran) high schools. These students filled out an oral
health-related quality of life questionnaire (the modified version of CPQ), and
then they were clinically examined to determine their malocclusions according to
the Index of Complexity, Outcome and Need (ICON), and finally the relationship
between these two variables was assessed with the Pearson correlation test.
RESULTS: There was a statistically significant relationship between ICON scores
and the quality of life levels (P < 0.01). The assessment of four sections of the
questionnaire showed that among these four sections (oral symptoms, functional
limitations, emotional well-being, social well-being), only oral symptoms were
significantly correlated with the ICON score (P < 0.05). CONCLUSION: Malocclusion
plays an important role in the oral health-related quality of life. However, the
relationship between emotional well-being, social well-being and malocclusions
was not as strong as in previous studies.
PMID- 22068177
TI - Analysis of the effectiveness of different hygiene procedures used in dental
prostheses.
AB - PURPOSE: To compare the effectiveness of bacterial plaque removal of six denture
hygiene procedures used by patients to clean their dentures. MATERIALS AND
METHODS: Fifteen students randomly divided into groups G1, G2, G3, G4, G5 and G6
used maxillary intraoral appliances for 24 h without cleaning them. Afterwards,
the appliances were submitted to the following procedures: P1: washing under
running water for 20 s; P2 and P3: cleaning with alkaline peroxide (Corega
Tabs(r)) for 5 and 30 min, respectively; P4: brushing with water and liquid soap
for 40 s; P5: alkaline hypochlorite for 10 minutes; P6: home use chlorine
solution (Q'boa(r) at 0.45% for 10 min), throughout a period of 6 consecutive
weeks. The procedures followed a circulating scheme, so that all the appliances
were submitted to all the hygiene methods studied. After the hygiene procedures,
the appliances were stained, photographed and submitted to the weighing method.
RESULTS: After ANOVA and Tukey's test, differences were observed: P5 = 0.73 +/-
0.3 (b), P6 = 1.27 +/- 0.4(b,c), P4 = 1.92 +/- 0.5 (b,c), P3 = 2.24 +/- 1.0
(b,c), P2 = 7.53 +/- 2.5 (c) and P1 = 26.86 +/- 15. 3 (a). CONCLUSION: From the
results of the study, it could be concluded that the use of alkaline hypochlorite
is the best way to remove bacterial plaque, followed by the home-use chlorine
solution and brushing with water and liquid soap. Corega Tabs(r) must be used for
30 min of immersion to have a cleaning effectiveness similar to that of alkaline
hypochlorite.
PMID- 22068179
TI - Awareness and attitude of diabetic patients about their increased risk for oral
diseases.
AB - PURPOSE: To assess: 1) awareness of diabetic patients about their increased risk
for oral diseases, 2) attitudes of diabetic patients towards maintaining good
oral health through oral self-care and regular dental visits and 3) their sources
of information on oral health. MATERIALS AND METHODS: A self-administered
questionnaire was used to assess the main objectives of the study. Two hundred
diabetic patients ranging in age from 17 to 78 years old participated in the
study. RESULTS: A majority of the participants had type 2 diabetes. The awareness
of diabetic patients of their increased risk for oral diseases is low compared to
their awareness of systemic diseases. Their attitude towards maintaining good
oral health is poor. Of the participants, only 17% brush their teeth twice daily,
61% never use dental floss, and 67% had not visited a dental clinic within the
last year. Regarding participants' sources of awareness, 53% learned from a
dentist and 30% through other media sources. A significant association (P < 0.05)
was found between glycaemic control and oral infections and between duration of
diabetes and denture problems. CONCLUSIONS: Diabetic patients were found to have
little awareness of their increased risk for oral diseases. In order to promote
proper oral health and to reduce the risk of oral diseases, health professionals
in both the dental and medical fields need to develop programs to educate the
public about the oral manifestations of diabetes and its complications for oral
health.
PMID- 22068180
TI - Addressing tobacco control in dental practice: a survey of dentists' knowledge,
attitudes and behaviours in India.
AB - PURPOSE: Dental professionals are strategically placed to be the leaders in
tobacco prevention and cessation as they provide preventive and therapeutic
services to a basically healthy population on a regular basis. The objective of
this study was to assess the tobacco cessation knowledge, attitudes and
behaviours of dental practitioners in Kochi (Cochin), Kerala, India. MATERIALS
AND METHODS: A sampling frame of dentists enrolled in the Indian Dental
Association (IDA), Kochi (Cochin) branch, practising in Ernakulam city, was
obtained from the IDA. The letter describing the rationale for the study
contained a 35-item pre-tested questionnaire and was personally delivered along
with a stamped envelope. One hundred fourteen dentists returned a usable
questionnaire. Data were entered and analysed using SPSS 12. Frequencies were
calculated for all variables. RESULTS: Based on the responding dentists' self
reports, 54.6% were not confident in tobacco cessation counselling, 10.6% never
asked, 60.9% asked in 50% of their patients about tobacco use and 17.6% of the
dentists surveyed were smokers themselves. Participating dentists perceived that
they were interested in using tobacco cessation counselling, but were not sure of
quitting rates in their patients. The average time spent counselling patients
about tobacco cessation was less than 2 minutes. CONCLUSION: The dentists
perceived that lack of formal training leads to less motivation about tobacco
counselling and hence infrequently incorporated tobacco cessation into their
dental practices. The cessation of tobacco habits among dentists is essential.
PMID- 22068181
TI - Reproducibility of adjunct techniques for diagnosis of dental caries in an
epidemiological situation.
AB - PURPOSE: To evaluate the reproducibility of visual exams under natural light
(VE1), visual exams under artificial light (VE2), radiographic bitewing exams
(BW), fibre optic transillumination exams (FOTI) and DIAGNOdent exams (DD) in
epidemiological settings. MATERIALS AND METHODS: Three examiners and one
benchmark examiner examined thirteen 12-year-old schoolchildren under
epidemiological conditions for the D3 (carious lesions in dentin) and D1+D3
(carious lesions in enamel or dentin) diagnostic criteria. RESULTS: The
reproducibility (intra/interexaminer agreement) under both diagnostic criteria
was 'almost perfect' for the exams VE1 (D3: kappaintra = 0.91/kappainter = 0.85;
D1+D3: kappaintra = 0.89/kappainter = 0.84), VE2 (D3: kappaintra =
0.91/kappainter = 0.85; D1+D3: kappaintra = 0.88/kappainter = 0.83), BW (D3:
kappaintra = 0.95/kappainter = 0.92; D1+D3: kappaintra = 0.99/kappainter = 0.90)
and FOTI (D3: kappaintra = 0.97/kappainter = 0.93; D1+D3: kappaintra =
0.87/kappainter = 0.83) exams and 'fair' for the DD exam (D3: kappaintra =
0.36/kappainter = 0.35; D1+D3: kappaintra = 0.30/kappainter = 0.32). CONCLUSION:
It was concluded that the VE1, VE2, BW, and FOTI exams presented good
reproducibility under epidemiological conditions, and can be used accurately in
epidemiological surveys.
PMID- 22068182
TI - Comparative in vitro evaluation of efficacy of mouthrinses against Streptococcus
mutans, Lactobacilli and Candida albicans.
AB - PURPOSE: The present study was undertaken to compare the relative antimicrobial
efficacy of two commercially available mouthrinses, Hexidine (0.12% chlorhexidine
mouthrinse) and S-Flo (0.2% sodium fluoride), and a laboratory-manufactured
propolis mouthrinse (10%) tincture with a dilution of 1:5 with water and their
combinations against Streptococcus mutans, lactobacilli and Candida albicans.
MATERIALS AND METHODS: Unstimulated saliva samples were obtained from the
patients using the spitting method and the isolates of Streptococcus mutans,
Lactobacillus and Candida albicans were obtained. The agar diffusion method was
used to evaluate the antimicrobial activity of these test solutions and their
combinations. RESULTS: Hexidine (0.12% chlorhexidine gluconate mouthrinse) showed
the best antimicrobial efficacy against all the tested microorganisms. The
laboratory manufactured propolis mouthrinse showed an effective antimicrobial
action only against Streptococcus mutans. The antimicrobial efficacy of propolis
(P) against Streptococcus mutans was similar to that of chlorhexidine (CHX) and
the combination of propolis with chlorhexidine (CHX+P). S-Flo mouthrinse (0.2%
sodium fluoride) showed the least efficacy against Streptococcus mutans among all
tested solutions, but had better efficacy than propolis against lactobacilli and
Candida albicans. The antimicrobial efficacy of the combination of chlorhexidine
and fluoride mouthrinse and the combination of chlorhexidine and propolis
mouthrinse was less than chlorhexidine mouthwash alone. Among all the tested
combinations, the combination of fluoride and propolis showed the least efficacy
against all the tested microorganisms. CONCLUSION: The results of the study
indicate that 0.12% chlorhexidine gluconate mouthrinse (Hexidine) has the best
anti-microbial efficacy against all the tested microorganisms, with laboratory
manufactured propolis mouthrinse showing an equivalent efficacy against
Streptococcus mutans only. No added advantage of using the tested mouthrinse
combinations was observed.
PMID- 22068183
TI - Effect of dentifrices against hydrochloric acid-induced erosion.
AB - PURPOSE: This in vitro investigation assessed whether different dentifrices would
be capable of controlling the enamel erosion progression caused by HCl. MATERIALS
AND METHODS: Sixty bovine enamel slabs were covered with acid-resistant varnish,
except for a 2.5-mm2 circular area on the labial surface. According to a complete
block design, the experimental units were immersed in HCl solution (pH 1.2;
0.1M). After storage in artificial saliva for 1 h, specimens (n = 15) were
exposed to different dentifrices: Sensodyne Cool Gel (1100 ppm F), Sensodyne
ProNamel (1450 ppm F), and PrevDent 5000 (5000 ppm F). The control group was
immersed in deionised water. Following five cycles of erosive challenge, the
slabs were prepared for porosity evaluation using solutions of copper sulfate and
rubeanic acid. RESULTS: ANOVA demonstrated no difference in the enamel porosity
as a function of the dentifrice employed (P = 0.5494). CONCLUSION: The damage
caused by a simulated intrinsic erosive challenge seems unable to be controlled
by fluoridated dentifrices, even when this ion is found in elevated
concentrations.
PMID- 22068185
TI - Oral hygiene and periodontal status among detainees in a juvenile detention
center, India.
AB - PURPOSE: To assess the oral hygiene and periodontal status and analyse the
influence of age, education, institutionalization, type of visit and oral health
behaviour on oral hygiene and periodontal status among detainees in juvenile
detention center in Udaipur city, Rajasthan, India. MATERIALS AND METHODS: The
total sample comprised 223 subjects (67.7% male and 32.3% female) aged 6 to 18
years. Clinical examination included assessment of oral hygiene and periodontal
status using OHI-S (Oral Hygiene Index-Simplified) and CPI (Community Periodontal
Index), respectively. Analysis of variance (ANOVA), chi-square, Student t-test
and stepwise multiple linear and multiple logistic regression analysis were
carried out to find the relation between oral hygiene/ periodontal status and
different independent variables. RESULTS: The results showed that the oral
hygiene status of detainees was poor, with only 28.1% of the subjects having good
oral hygiene. Mean OHI-S scores were significantly associated with all
independent variables. Overall periodontal disease prevalence was 80.2% with
bleeding and calculus contributing a major part (71.9%). Stepwise multiple linear
and logistic regression analysis revealed that oral hygiene practice and type of
visit in the detention center were the best predictors for the oral hygiene
index, and for periodontal disease it was oral hygiene practice alone.
CONCLUSION: The findings confirmed that detainees in the juvenile detention
center have poor oral hygiene and an increased prevalence of periodontal disease
compared to that of similarly ages in the general population.
PMID- 22068184
TI - Plaque, gingival bleeding and calculus formation after supragingival scaling with
and without polishing: a randomised clinical trial.
AB - PURPOSE: The aim of this study was to compare the effect of polishing after
scaling and root planing on supragingival plaque, calculus formation, and
gingival bleeding. MATERIALS AND METHODS: The study was designed as a split-mouth
randomised clinical trial. Seventy-six patients were submitted to supragingival
scaling on the six mandibular anterior teeth with manual curettes until a smooth
surface was achieved. Subsequently, quadrants were randomly selected to be
polished (test) or not (control) with a rubber cup and pumice. One, two and three
weeks following treatment, a blinded examiner evaluated the visible plaque index,
gingival bleeding index and the presence of supragingival calculus on the lingual
tooth surfaces. RESULTS: The results showed that unpolished surfaces exhibited
higher mean percentages of visible plaque in the third week. No statistically
significant differences were observed between unpolished and polished sites
related to gingival bleeding. Calculus formation was higher on unpolished sites
than on polished sites at 2 and 3 weeks. Dental polishing after supragingival
scaling contributed to reducing plaque and calculus formation. CONCLUSIONS:
Polishing exerts an inhibitory effect on plaque and calculus formation.
PMID- 22068186
TI - Risk indicators for increased periodontal probing depth in subjects attending a
public dental school in Brazil.
AB - PURPOSE: To assess the prevalence, extent and severity of periodontal probing
depth (PD) and their association with sociodemographic and behavioural parameters
in subjects attending a public dental school in Brazil. MATERIALS AND METHODS:
Five hundred and fifty-nine consenting participants (18 to 77 years of age) were
submitted to full-mouth periodontal clinical examination and anamnesis
questionnaires. The data were analysed by multivariable models using logistic
regression analyses. The dependent variables were moderate (>= 5 mm in >= 10% of
sites) and deep (>= 7 mm in at least one site) PD. RESULTS: The prevalence of
individuals with at least one site with PD >= 5 mm or >= 7 mm was 69% and 54%,
respectively. Mean PD ranged from 2.86 to 3.08 mm, and the mean frequency of
sites with moderate and deep PD ranged from 10.74% to 14.99%, and from 4.60% to
5.36%, respectively, according to age. Multivariate analyses identified a higher
risk for having PD >= 5 in >= 10% of sites and 7 mm in at least one site in
smokers (odds ratio [OR] = 10.56 and 9.10, respectively), and the presence of
>10% of sites with bleeding on probing (BOP) (OR = 6.37 to 20.91, and 6.94 to
26.19, respectively). Age 36 to 50 years (OR = 1.95) and >50 years (OR = 3.15),
presence of >30% of sites with supragingival biofilm (SB) (OR = 2.80), and >= 4
missing teeth (OR = 2.26) were risk indicators for PD >= 7 mm in at least one
site. CONCLUSION: This particular Brazilian population presented high prevalence
and extent of increased periodontal probing depth. Age, smoking, BOP, SB, and
tooth loss were risk indicators associated with probing depth in these
individuals.
PMID- 22068187
TI - Prevalence of traumatic dental injuries among 12- to 15-year-old schoolchildren
in Ambala district, Haryana, India.
AB - PURPOSE: To assess the prevalence of traumatic dental injuries (TDIs) among 12-
to 15-year-old schoolchildren in the Ambala district of Haryana state, India.
MATERIALS AND METHODS: A study was carried out on 963 schoolchildren of 15
government schools in the Ambala district. The examiner was calibrated and a
pilot study was conducted. Maxillary as well as mandibular anterior teeth were
taken into consideration to assess the traumatic dental injuries. The teeth
involved, place of and reason for injury and other demographic details were
recorded in a structured format. Data were analysed using SPSS version 13.0.
RESULTS: Out of 963 subjects, 14.4% (139) had at least one tooth with TDI. Of
these subjects, males and females accounted for 16.2% (77) and 12.7% (62),
respectively. Permanent maxillary central incisors were the most commonly
affected teeth. Enamel fracture was the most common (80% [111]) followed by
enamel-dentin fracture (17.2% [24]). A higher number of children with incisal
overjet greater than 3 mm had TDI than those with less than 3 mm, although this
difference was not statistically significant. Subjects with Class III and Class
II Div II malocclusion were more likely to have TDI, 16.2% and 17.7%
respectively. Lip-closure incompetence was found to be more common in subjects
having a TDI. Amongst the subjects having a TDI, only 83.4% (116) were aware of
their injury, and falling was the reason most commonly reported. CONCLUSION:
Traumatic dental injuries are highly prevalent among schoolchildren. Most of the
TDIs involve only tooth enamel. Incompetent lip closure was significantly
associated with TDIs and a fall was the major cause for TDIs in this age group.
PMID- 22068188
TI - Exploring the synthetic potency of the first furanothioglycoligase through
original remote activation.
AB - Thioglycosidic bonds are of utmost importance in biomolecules as their
incorporation led to more stable glycomimetics with potential drug activities.
Until now only chemical methods were available for their incorporation into
glycofuranosyl conjugates. Herein, we wish to describe the use of the first
furanothioglycoligase for the preparation of a great variety of thioaryl
derivatives with moderate to excellent yields. Of great interest, a stable 1
thioimidoyl arabinofuranose, classically used in chemical glycosylation, was able
to efficiently act as a donor through an original enzymatic remote activation
mechanism. Study of the chemical structure as well as the nucleophilicity of the
thiol allowed us to optimize this biocatalyzed process. As a consequence, this
mutated enzyme constitutes an original, mild and eco-friendly method of
thioligation.
PMID- 22068189
TI - New directions in pharmacy education.
PMID- 22068190
TI - The rocky road to educational change: adopting the entry-level PharmD at
Maryland, 1989-93.
PMID- 22068191
TI - Pharmacist-provided immunization compensation and recognition: white paper
summarizing APhA/AMCP stakeholder meeting.
AB - OBJECTIVES: To identify the current challenges and opportunities in compensation
and recognition for pharmacist-provided immunizations across the lifespan and to
establish guiding principles for pharmacist-provided immunization compensation
and recognition. DATA SOURCES: 22 stakeholders gathered on June 29, 2011, at the
American Pharmacists Association (APhA) headquarters in Washington, DC, for a
meeting on immunization compensation that was convened by APhA and the Academy of
Managed Care Pharmacy. Participants included representatives from community
pharmacy practices (chain, grocery, and independent), employers, national
consumer health and advocacy organizations, national pharmacy and public health
organizations, health plan representatives, pharmacy benefit managers, and health
information technology, standards, and safety organizations. Key immunization
leaders from TRICARE Management Activity, the Centers for Medicare & Medicaid
Services, the National Vaccine Program Office of the Department of Health & Human
Services, and the Centers for Disease Control and Prevention (CDC) also
participated in the meeting. SUMMARY: The increased numbers of pharmacists
providing vaccination services and the availability of pharmacist-provided
immunizations to populations in need of vaccines has continued to increase. This
has resulted in a rise in the percentage of patients who receive vaccines at
pharmacies. Pharmacists are now working to lever-age their ability to identify
people with key risk factors (e.g., diabetes, heart disease or previous
myocardial infarction), encourage them to receive their CDC-recommended
vaccinations, and administer the required vaccine. Challenges and opportunities
in compensation and recognition for pharmacist-provided immunizations across the
adult lifespan persist. Variability in state practice acts, reimbursement and
compensation processes and systems, and mechanisms for documentation of vaccine
services create substantial differences in how pharmacist-provided immunizations
are delivered throughout the United States. CONCLUSION: Pharmacist-provided
immunizations are clinically sound, are cost effective, are readily accessible,
and support our nation's public health goals. Pharmacists have demonstrated that
patient vaccination rates have improved through expansion of pharmacist-provided
immunizations. The profession should continue efforts to collaborate with other
immunization stakeholders and expand a pharmacist scope of practice that is built
around a uniform and recognized standard of immunization provision and that
supports the provision of all CDC-recommended vaccines through pharmacy-provided
immunizations.
PMID- 22068192
TI - Impact of health screening and education on knowledge of coronary heart disease
risk factors.
AB - OBJECTIVES: To evaluate the effects of a community health screening and education
intervention on knowledge of coronary heart disease (CHD) risk factors and
participation in health-promoting behaviors. DESIGN: Descriptive, exploratory,
nonexperimental study. SETTING: Little Rock, AR, from July 2007 to December 2007.
PARTICIPANTS: 56 participants recruited from two community health screenings.
INTERVENTION: Prescreening written questionnaire to determine baseline knowledge
of CHD risk factors. Participants underwent risk factor screening (lipid profile,
blood glucose, body mass index [BMI], and blood pressure) and received tailored
education. A postscreening telephone questionnaire was administered 4 to 8 weeks
later. MAIN OUTCOME MEASURES: Participant knowledge of CHD risk factors and
participation in health-promoting behaviors pre- and postscreening. RESULTS: Of
the 56 participants enrolled, 45 (80.4%) completed the postscreening telephone
survey. Compared with prescreening responses, participants showed significantly
greater postscreening knowledge of healthy values for CHD risk factors, including
blood pressure (P = 0.02), fasting blood glucose (P = 0.03), fasting total
cholesterol (P < 0.01), and BMI (P < 0.01). Following the screening, 20 (44.4%)
participants had consulted their primary care provider and 31 (68.8%) made at
least one healthy behavior change. Approximately one-half of participants
reported changing eating habits, and 5 (11.1%) reported increased exercise.
CONCLUSION: These results demonstrate that community-based health screening and
education interventions can effectively promote public health knowledge and
empower participants to engage in health-promoting behaviors.
PMID- 22068193
TI - Lifestyle changes for prehypertension with other cardiovascular risk factors:
findings from Thailand.
AB - OBJECTIVE: To evaluate a model for community pharmacists to screen and recommend
lifestyle changes for patients with prehypertension/hypertension and other
elevated modifiable cardiovascular risk factors. DESIGN: Descriptive,
exploratory, nonexperimental study. SETTING: One accredited community pharmacy in
Hat Yai, Thailand, between October 2008 and January 2009. PARTICIPANTS:
Individuals 35 years or older without any previous diagnosis of hypertension and
other cardiovascular disease. INTERVENTION: Measurement of blood pressure, blood
glucose, total cholesterol, and body mass index; history taking for smoking and
physical exercise; laboratory referral; assessment of readiness to adopt
lifestyle changes; and provision of verbal advice and an education pamphlet on
cardiovascular risk factors and recommended lifestyle modifications. MAIN OUTCOME
MEASURES: Number of prehypertensive/hypertensive participants, patient return
rate at 3-month follow-up, rate of laboratory referral uptake, confirmed glucose
intolerance and dyslipidemia, and changes from baseline in blood pressure level.
RESULTS: 263 of 400 people eligible for screening were found to have
prehypertension or hypertension. Of these patients, 57% returned at 3-month
follow-up. Mean (+/-SE) systolic (6.5 +/- 0.89 mm Hg [95% CI 4.7-8.2], P < 0.001)
and diastolic (2.2 +/- 0.82 [0.54-3.77], P = 0.009) blood pressure were lowered.
Compared with baseline (39.3%), the percentage of normotensive participants
increased significantly at 3-month follow-up (51.8%; P < 0.001). The overall
laboratory referral uptake was 36% (50 of 138). Glucose intolerance was confirmed
in 2 of 21 participants. Of the 42 patients accepting laboratory confirmation,
total and low-density lipoprotein cholesterol were confirmed to be above the
normal range in 100% and 78.6%, respectively. Among these participants, those who
returned at follow-up were rescreened for blood glucose and total cholesterol.
Both values were found to be in the normal range. Although more participants
reported lifestyle changes at 3 months, the smoking rate and amount of physical
exercise were not changed. CONCLUSION: Community pharmacists, through the use of
point-of-care testing and referrals for laboratory testing, can detect patients
who are at risk of developing or already have hypertension, diabetes, and/or
dyslipidemia. Lifestyle advice from pharmacists can have a positive effect on
these risk factors.
PMID- 22068194
TI - Independent community pharmacist interest in participating in community pharmacy
research networks.
AB - OBJECTIVES: To assess the interest of American Pharmacy Services Corporation
(APSC) independent community pharmacists in participating in a community pharmacy
research network (CPRN) and to identify perceived barriers to participation in a
CPRN. DESIGN: Descriptive, exploratory, nonexperimental study. SETTING:
University of Kentucky and APSC during 2009-10. PARTICIPANTS: 65 APSC independent
community pharmacists in Kentucky. INTERVENTION: Single-mode survey. MAIN OUTCOME
MEASURE: Independent community pharmacists' willingness to participate in
community-based research and perceived barriers to participation. RESULTS: A
total of 65 independent community pharmacists from 191 pharmacies returned the
survey. The majority of independent community pharmacists were "interested" or
"very interested" (83.8%) in participating in a CPRN. Respondents were willing to
participate for a mean (+/-SD) of 6.5 +/- 5.8 hours per week. However, they
reported only being able participate in a CPRN for 5.2 +/- 4.1 hours per week,
with time being the greatest limitation to participation. An overwhelming
percentage of respondents (92.1%) were interested in learning more about
opportunities to participate in a CPRN in the future. CONCLUSION: CPRNs are an
emerging interest in community pharmacy practice. A CPRN is an opportunity for
independent community pharmacies to collaborate and use resources as a group to
conduct research to solve issues in the community pharmacy setting.
PMID- 22068195
TI - Determining the gluten content of nonprescription drugs: information for patients
with celiac disease.
AB - OBJECTIVES: To determine whether the information on the gluten content of
nonprescription drugs is readily available from the manufacturer/supplier, to
identify how patients are directed on the product labeling to obtain answers to
questions that they have about the nonprescription medication, and to determine
the time needed to obtain information about the gluten content of the product
when contacting manufacturers/suppliers. DESIGN: Descriptive, exploratory,
nonexperimental study. SETTING: United States during July 2010. PARTICIPANTS:
Manufacturers/suppliers of 41 nonprescription drug products. INTERVENTION: The
packaging of the products was reviewed for information on gluten content. The
manufacturer/supplier listed on each product's packaging was contacted using the
phone number provided and questioned about the gluten content of the product. A
uniform script was used for the telephone inquiry. The responses provided and the
duration of the phone calls were documented. The manufacturer's websites also
were reviewed for pertinent information. MAIN OUTCOME MEASURES: Gluten status of
products, time spent on phone to determine gluten status, and availability of
online information regarding gluten status. RESULTS: Information concerning the
gluten content was not included on any of the products' packaging. The mean time
required to receive a response was 6.2 minutes (median 5 minutes). A total of 15
products were reported to be gluten free; 13 products were not tested, but the
manufacturer/supplier stated that they did not add gluten to the products; 9
products did not have any gluten added by the manufacturer/ supplier, but no
guarantee was made that the raw ingredients were gluten free; 2 products
contained gluten; and 2 products had no available gluten status information.
Gluten information was found on product websites for a total of six products.
Four of those six websites indicated gluten status that was different from the
information provided via the telephone call with the manufacturer. CONCLUSION:
Information concerning the gluten content of many nonprescription drugs is
relatively easy for patients to obtain if the manufacturer/supplier is contacted.
Although the time to obtain a response was quite short for many of the inquiries,
it took a substantial amount of time to receive the requested information from
some of the companies.
PMID- 22068196
TI - Does bargaining affect Medicare prescription drug plan reimbursements to
independent pharmacies?
AB - OBJECTIVE: To examine how pharmacy bargaining activities affect reimbursement
rates in Medicare Part D prescription drug plan (PDP) contracts, controlling for
pharmacy quality attributes, market structures, and area socioeconomic status.
DESIGN: Cross-sectional study. SETTING: Six Medicare regions throughout the
United States between October and December 2009. PARTICIPANTS: Random sample of
1,650 independent pharmacies; 321 returned surveys containing sufficient
responses for analysis. INTERVENTION: Pharmacies were surveyed regarding PDP
reimbursement rates, costs, and cash prices of two popular prescription drugs
(atorvastatin calcium [Lipitor-Pfizer] and lisinopril, 1-month supply of a common
strength), as well as pharmacy bargaining activities and quality attributes. Data
also were used from the National Council for Prescription Drug Programs pharmacy
database, the 2000 U. S. Census, and the 2006 Economic Census on local market
structures and area socio-economic status. MAIN OUTCOME MEASURE: PDP
reimbursement rates. RESULTS: For the brand-name drug atorvastatin calcium, the
PDP reimbursement was positively related to a pharmacy's request for a contract
change (beta = 0.887, P < 0.05), whereas other bargaining activities were not
significantly related to PDP reimbursement. However, for the generic drug
lisinopril, no bargaining activities were found to be significantly related to
the PDP reimbursement. CONCLUSION: Pharmacy request for a contract change was
associated with higher reimbursement rates for the brand-name drug atorvastatin
calcium in PDP contracts, after controlling for pharmacy quality attributes,
local market structures, and area socioeconomic status; this finding likely
applies to other brand-name drugs because of the structure of the contracts. Our
results suggest that independent pharmacies are more likely to acquire higher
reimbursement rates by engaging in active bargaining with third-party payers.
PMID- 22068197
TI - Pharmacists' strategies for promoting medication adherence among patients with
HIV.
AB - OBJECTIVES: To provide pharmacists' perspectives on medication adherence barriers
for patients with human immunodeficiency virus (HIV) and to describe pharmacists'
strategies for promoting adherence to antiretroviral medications. DESIGN:
Multisite, qualitative, descriptive study. SETTING: Four midwestern U. S. states,
from August through October 2009. PARTICIPANTS: 19 pharmacists at 10 pharmacies
providing services to patients with HIV. INTERVENTION: Pharmacists were
interviewed using a semistructured interview guide. MAIN OUTCOME MEASURES:
Barriers to medication adherence, pharmacist interventions, challenges to
promoting adherence. RESULTS: Pharmacists reported a range of adherence barriers
that were patient specific (e.g., cognitive factors, lack of social support),
therapy related (e.g., adverse effects, intolerable medications), and structural
level (e.g., strained provider relationships). They used a combination of
individually tailored, patient-specific interventions that identified and
resolved adherence barriers and actively anticipated and addressed potential
adherence barriers. Pharmacist interventions included medication-specific
education to enhance patient self-efficacy, follow-up calls to monitor adherence,
practical and social support to motivate adherence, and patient referrals to
other health care providers. However, the pharmacists faced internal (e.g., lack
of time, lack of trained personnel) and external (e.g., insurance policies that
disallowed patient enrollment in automatic prescription refill program)
challenges. CONCLUSION: Pharmacists in community settings went beyond
prescription drug counseling mandated by law to provide additional pharmacy
services that were tailored to the needs of patients with HIV. Given that many
individuals with HIV are living longer, more research is needed on the
effectiveness and cost effectiveness of pharmacists' interventions in clinical
practice, in order to inform insurance reimbursement policies.
PMID- 22068198
TI - Emergency contraception counseling: an opportunity for pharmacists.
AB - OBJECTIVE: To determine the impact of pharmacist counseling on patients'
knowledge of emergency contraception (EC). DESIGN: Single-group, repeated
measures analysis. SETTING: Academic medical center women's clinic in Little
Rock, AR, between January and July 2010. PARTICIPANTS: 116 women 18 years or
older. INTERVENTION: 10-minute education session provided by a pharmacist or
trained student pharmacist. MAIN OUTCOME MEASURES: Change in participants' test
scores (range 0 [lowest possible] to 13 [highest possible]) at three assessment
periods (pretest, posttest, and follow-up) using 12 knowledge questions. RESULTS:
116 participants with a mean (+/-SD) age of 25 +/- 5.9 years participated in this
study. Mean knowledge scores were 5.3 +/- 4.1 for the pretest and 10.7 +/- 1.4
for the posttest (P < 0.001). The least-squares mean EC knowledge test score
(adjusted for demographics) was 5.86 at pretest, 10.75 at posttest, and 10.75 at
follow-up. A nonsignificant small change in scores from posttest to follow-up was
detected after the Tukey-Kramer adjustment. A higher education level was
associated with higher knowledge scores in this population. CONCLUSION: Brief
pharmacist-driven counseling sessions provided in a clinic setting are feasible
and have a positive impact on immediate EC knowledge and long-term knowledge
retention.
PMID- 22068199
TI - Student pharmacist perspectives on providing pharmacy-access hormonal
contraception services.
AB - OBJECTIVE: To assess student pharmacists' interest and opinions in providing
reproductive health services, particularly hormonal contraception (HC), in their
future practices. METHODS: This descriptive, nonexperimental, cross-sectional
study was conducted in California between February and May 2007. Surveys were
distributed to 790 second- and third-year student pharmacists to measure
interest, opinions, and perceived barriers in providing HC services. RESULTS: 502
survey responses (63.5% response rate) were received. Student pharmacists
reported interest in providing HC services (96.2%) to both minors and adults
(53.3%), adults (40.6%), or minors (6.2%). Students felt that patients would
benefit from improved access and advice (94.0%). Inadequate pharmacist time was
deemed an extremely important barrier in determining whether pharmacists could
efficiently and effectively provide HC services, followed by lack of private
counseling area in the pharmacy, inadequate patient health information, and lack
of appropriate incentive structure. Students were interested in providing other
reproductive health services, specifically preventive measures against sexually
transmitted infections (STIs; 89.2%) and STI treatment for the partners of
patients presenting with valid STI prescriptions (88.4%). CONCLUSION: Student
pharmacists were very interested in providing pharmacy access to HC and related
reproductive health services as future practitioners. The willingness of student
pharmacists to provide this support indicates that pharmacy access to HC could be
a viable service.
PMID- 22068201
TI - Journal of the American Pharmaceutical Association (1961-1977) and American
Pharmacy (1978-1995).
PMID- 22068200
TI - Challenges of a pharmacist-directed peer support program among adolescents with
diabetes.
AB - OBJECTIVE: To describe the development and challenges of a pharmacist-directed
peer support program among adolescents with diabetes. PRACTICE DESCRIPTION: The
program was designed as adjunctive therapy for adolescents receiving care at the
Diabetes Education and Research Center (DERC). DERC is an interdisciplinary
facility at which the clinical pharmacist provides direct, diabetes-related
patient care services. Through collaboration with DERC, pharmacists developed and
facilitated each component of the program. PRACTICE INNOVATION: The U. S.
Diabetes Conversation Map program was used for the educational component of the
program. This is an innovative, American Diabetes Association-approved tool for
providing group education on diabetes self-management. As trained facilitators of
the conversation maps, the investigators educated group leaders on how to be
facilitators for their peers. MAIN OUTCOME MEASURES: Glycosylated hemoglobin
(A1C), diabetes self-management skills, and diabetes-related quality of life were
measured at baseline and following program completion. Qualitative outcomes were
measured via validated questionnaires in adolescents with type 1 diabetes. The
investigators facilitated the gathering of all quantitative and qualitative data.
RESULTS: Several participants did not meet all inclusion criteria; therefore,
data from only six participants were assessed. A1C increased among participants,
affirming the challenge of metabolic control during adolescence. Despite this,
qualitative analysis of questionnaires revealed improvements in adherence to
lifestyle modifications and health perception after program completion.
CONCLUSION: Evidence illustrates beneficial effects of peer-facilitated support
on the physical and psychological challenges of diabetes self-management;
however, challenges existed when implementing this program among adolescents.
Suggestions to overcome these challenges include same-sex support groups, use of
an appealing setting for participants, incorporation into camps or after-school
programs, and extended program length. Pharmacists are well equipped to assist
individuals in comprehensive diabetes management programs such as the one
described here because of their education and training in medication management,
therapeutic lifestyle changes, and patient communication.
PMID- 22068202
TI - Choosing between live attenuated and trivalent inactivated influenza vaccines for
healthy children.
PMID- 22068203
TI - Rivaroxaban, ticagrelor, and fidaxomicin.
PMID- 22068204
TI - Improving severe sepsis outcomes, managing cholesterol in patients with diabetes,
and pediatric adverse events.
PMID- 22068206
TI - Limited influence of aspirin intake on mast cell activation in patients with food
dependent exercise-induced anaphylaxis: comparison using skin prick and histamine
release tests.
AB - Food-dependent exercise-induced anaphylaxis (FDEIA) is a severe systemic syndrome
induced by physical exercise after ingesting causative food. Aspirin is a well
known trigger for anaphylaxis in patients with FDEIA. Possible mechanisms by
which symptoms are aggravated by aspirin include enhanced antigen absorption and
mast cell activation. The aim of this study was to determine whether aspirin
intake has an influence on mast cell/basophil activation in patients with FDEIA.
Provocation tests revealed that adding aspirin to the causative food challenge in
7 of 9 (77.8%) patients with FDEIA provoked symptoms. In most cases, pretreatment
with aspirin did not enhance skin tests (71.4%) or histamine release tests
(88.9%) with food allergen challenges. The study confirms that histamine release
and skin prick tests can be adjunctive tools for diagnosing FDEIA. In addition,
our results suggest that exacerbation of FDEIA symptoms by aspirin is not
mediated by direct effects of aspirin on mast cell/basophil activation.
PMID- 22068207
TI - Locking plates in osteoporosis: a biomechanical cadaveric study of diaphyseal
humerus fractures.
AB - OBJECTIVE: To determine whether locking plates offer an advantage in fixation of
fractures in osteoporotic humeral bone. DESIGN: Biomechanical testing of 18
matched pairs of osteoporotic human cadaver humeri plated posteriorly with either
all locked or all nonlocked screws. An established protocol was used to test the
constructs with torque applied to a peak of +/-10 Nm for 1000 cycles at 0.3 Hz or
until failure. Eighteen pairs were tested for failure, 11 pairs were tested for
cycles survived, and 10 pairs were tested for stiffness. SETTING: University
biomechanical laboratory. MAIN OUTCOME MEASUREMENTS: Percentage surviving
testing, mean cycles survived, and stiffness. RESULTS: We observed catastrophic
failure of the constructs in 47% of the samples. Humeri plated with nonlocking
plates failed at a higher rate than those with locking plates (67% nonlocking vs
28% locking, n = 18 pairs, P = 0.008). Locking constructs also outperformed
nonlocking constructs in mean cycles survived (707 cycles locking, 345 cycles
nonlocking, n = 11 pairs, P < 0.05) and stiffness at 10 cycles (0.853 Nm/degree
locking vs 0.416 Nm/degree nonlocking, n = 10 pairs, P < 0.001). CONCLUSIONS:
Locking plates were shown to provide improved mechanical performance over
nonlocking plates in torsional cyclic loading in a osteoporotic cadaveric
fracture model. Our results confirm general conclusions of previous work that
used a synthetic bone model of osteoporosis, but we found a high rate of
catastrophic failure, questioning the validity of the previously published
synthetic model of osteoporosis (overdrilling of synthetic bone) for this
application.
PMID- 22068208
TI - Anterior knee pain after tibial intramedullary nailing using a medial
paratendinous approach.
AB - OBJECTIVES: To determine the incidence, severity, and etiology of anterior knee
pain after tibial intramedullary (IM) nailing using a medial paratendinous
approach and to investigate the association between anterior knee pain and
functional impairment. DESIGN: Retrospective study with current clinical and
radiographic assessments. SETTING: Level I trauma center. PATIENTS: Forty-five
patients with unilateral, tibial diaphyseal fractures treated with tibial IM
nailing between August 2005 and January 2009. The mean follow-up was 22.3 months
(range, 12-52 months). INTERVENTION: All patients underwent tibial IM nailing
using a medial paratendinous approach. MAIN OUTCOME MEASUREMENTS: Anterior knee
pain based on a visual analog scale and functional outcomes based on the Tegner
activity score and the modified Lysholm score. RESULTS: Of the 45 patients, 16
(36%) were painless (N group), 16 (36%) had mild pain (M group), and 13 (28%) had
moderate to severe pain (MS group). No group differences were found with respect
to age, sex, body mass index, mode of injury, or type of fracture. With regard to
nail prominence, superior nail prominence was greater in the MS group than in the
other two groups (P = 0.042). There were no significant differences among the
three groups in terms of anterior nail prominence (P = 0.221). The nail-apex
distance in the MS group was significantly greater than in the other two groups
(P = 0.033), and no significant difference was found between the N and M groups.
The descending order of the activities with respect to severity of knee pain was
kneeling, squatting, running, and stair ascending. Visual analog scale analysis
revealed that the MS group had significantly more severe pain for all eight
activities examined than the M group. At latest follow-up, the Tegner activity
score was significantly lower in the MS group than in the other two groups (P =
0.008), and there were statistically significant intergroup differences in the
modified Lysholm score (P < 0.001). CONCLUSION: Anterior knee pain after tibial
IM nailing using a medial paratendinous approach was a frequent complication that
was not uncommonly moderate to severe (28%) in Asian patients. Although the
etiology of anterior knee pain is undoubtedly multifactorial, it may be related
to nail prominence. Furthermore, the severity of anterior knee pain was
significantly associated with functional outcome. LEVEL OF EVIDENCE: Therapeutic
Level IV. See page 128 for a complete description of levels of evidence.
PMID- 22068209
TI - Multifunctional nanoprobes for pathogen-selective capture and detection.
AB - The synthesis of magnetic and fluorescent particles is described. The particles
are biofunctionalized by binding pathogen-specific proteins to the particles via
interactions between His-tags of proteins and zinc of the quantum dots. Detection
of Salmonella and Staphylococcus aureus (S. aureus) by these particles is
demonstrated.
PMID- 22068210
TI - Examining clinically relevant levels of depressive symptoms in mothers following
a diagnosis of epilepsy in their children: a prospective analysis.
AB - OBJECTIVES: The objectives were to (1) document the risk of clinical depression
in mothers in the 24 months after epilepsy diagnosis in their children, (2)
determine whether the probability of risk of clinical depression changes over
time, and (3) identify factors predictive of risk of clinical depression.
METHODS: Data were obtained from the Health Related Quality of Life in Children
with Epilepsy Study, a national prospective study of children 4-12 years old with
new-onset epilepsy followed for 24 months. Risk-free survival was calculated
using the life table approach. Binary sequence modeling for longitudinal data was
implemented to identify risk factors. RESULTS: A total of 210 mothers were
included in the analysis. Twenty-eight percent of mothers without clinically
relevant levels of depressive symptoms at baseline were at risk for clinical
depression by 24 months. The probability for risk of clinical depression and
associated 95% confidence intervals by 6, 12, and 24 months was 0.13 (0.08,
0.18), 0.12 (0.07, 0.17), and 0.19 (0.10, 0.27), respectively. Significant
predictors (p < 0.01) of risk of clinical depression during follow-up quantified
using odds ratios (OR) were maternal age (OR = 0.94), number of anti-epileptic
drugs child was prescribed (OR = 1.41), family functioning (OR = 0.83), family
resources (OR = 0.93), and family demands (OR = 1.10). CONCLUSIONS: Risk of
clinical depression in mothers after their child is diagnosed with epilepsy is
common and relatively stable over time. Modifiable risk factors may present
avenues for intervention to improve the mental health of mothers of children with
epilepsy.
PMID- 22068211
TI - Shift climate profiles and correlates in acute psychiatric inpatient units.
AB - PURPOSE: Inpatient psychiatric units are dynamic in nature, potentially creating
a different treatment experience for each person, which may be difficult to
quantify. Among the goals of this multi-centre service evaluation project was an
assessment of shift-to-shift changes in unit-level events and their impact on the
social-emotional environment. METHODS: Over 1 year, various nurse-completed logs
were used within the 11 participating Australian psychiatric units (n = 5,546
admissions) to record patient- and unit-level events per shift, including ratings
of the overall social-emotional climate using a novel shift climate ratings (SCR)
scale (n = 8,176 shifts). These were combined with admission-level patient
characteristics to investigate shift climate profiles and correlates. RESULTS:
Occupancy rates averaged 88% and two-thirds of admissions were involuntary. The
psychometric performance of the SCR scale was considered to be satisfactory
(e.g., high internal consistency, unidimensional factor structure, and evidence
of discriminant and predictive validity). A series of hierarchical regressions
revealed considerable variation in SCR total scores, with poorer climates being
significantly associated with: day/afternoon shifts; higher occupancy levels;
higher proportions of experienced staff, and male, older, or involuntary
patients; higher rates of less serious aggressive incidents; reporting of
additional staffing demands; and unit location in a stand-alone psychiatric
hospital. CONCLUSIONS: The day-to-day social-emotional climate can have important
consequences for patient engagement and recovery. Improved understanding of the
role played by unit, staff and patient characteristics, together with routine
monitoring, should facilitate the development and evaluation of targeted
interventions to reduce adverse incidents and improve the overall social
emotional climate.
PMID- 22068216
TI - Molecular characterization of Hepatozoon canis in dogs from Colombia.
AB - Hepatozoonosis is a tick-borne disease whose transmission to dogs occurs by
ingestion of oocysts infected ticks or feeding on preys infested by infected
ticks. Until now, there is no previous report of molecular characterization of
Hepatozoon sp. in dogs from Colombia. EDTA blood samples were collected from 91
dogs from central-western region of Colombia (Bogota, Bucaramanga, and
Villavicencio cities) and submitted to 18S rRNA Hepatozoon sp. PCR and blood
smears confection. Phylogenetic analysis was used to access the identity of
Hepatozoon species found in sampled dogs. From 91 sampled dogs, 29 (31.8%) were
positive to Hepatozoon sp. (25 dogs were only positive in PCR, 1 was positive
only in blood smears, and 3 were positive in both blood smears and PCR). After
sequencing, the found Hepatozoon sp. DNA showed 100% of identity with Hepatozoon
canis DNA isolates. The phylogenetic tree supported the identity of the found
Hepatozoon sp. DNA, showing that the isolates from Colombia were placed in the
same clade than other H. canis isolates from Venezuela, Spain, and Taiwan. This
is the first molecular detection of H. canis in dogs from Colombia.
PMID- 22068217
TI - The efficacy of antioxidants in functional recovery of spinal cord injured rats:
an experimental study.
AB - A total of 30 female Sprague-Dawley rats (180-220 g) subjected to spinal cord
injury (SCI) were divided into three groups of ten rats each. Group 1 served as
control (SCI + Saline), Group 2 received daily dose of ascorbic acid 2,000 mg/kg
body weight and group 3 rats received alpha tocopherol daily with the dose of
2,000 mg/kg body weight for 14 days. The Spontaneous coordinate activity (SCA),
Basso, Beattie, and Bresnahan (BBB) and Tarlov locomotor scores were used to
assess functional recovery of SCI rats. Compared to group 1, group 2 showed
statistically insignificant improvement in the SCA, BBB and Tarlov scores at the
end of the study. Compared to group 1, group 3 showed statistically significant
improvement in the SCA (P < 0.001), BBB (P < 0.001) and Tarlov (P < 0.01) scores
at the end of the study. In conclusion, the administration of alpha-tocopherol
enhances the reparative effects against SCI and it is more effective than
ascorbic acid.
PMID- 22068218
TI - Single-fiber electromyography in hyperCKemia: the value of fiber density.
AB - Although persistently raised serum creatine kinase (sCK), or hyperCKemia, is
considered the biological hallmark of neuromuscular diseases, pauci- or
asymptomatic- or isolated-hyperCKemia can often be found. Single-fiber
electromyography (SFEMG) is an electrophysiological technique of great value in
the assessment of neuromuscular, neuropathic and myopathic disorders. We
hypothesize that SFEMG fiber density (FD) evaluation is able to detect
subclinical electrophysiological abnormalities indicating a myopathic process in
subjects with hyperCKemia. Nineteen subjects with hyperCKemia without evident
clinical signs of muscle involvement and 15 healthy controls were studied.
Electrophysiological investigations including nerve conduction studies (NCS),
quantitative EMG (QEMG), SFEMG with focus on FD measurements, and muscle biopsy
were performed. NCS, QEMG, SFEMG were normal in all controls. In subjects with
hyperCKemia, NCS were normal; QEMG was abnormal in 5, while both SFEMG and muscle
biopsy disclosed abnormalities in 12 subjects. The mean FD value was 2.6 +/- 0.5
in the control and 4 +/- 1.4 (p = 0.003) in the hyperCKemia group. SFEMG revealed
subclinical changes in the majority of subjects with hyperCKemia. To the best of
our knowledge, this is the first study demonstrating that SFEMG FD evaluation is
able to detect the presence of muscle diseases, which are in a subclinical phase
and would remain unidentified otherwise. SFEMG may be used to distinguish
hyperCKemia associated to asymptomatic muscle disorders from idiopathic
hyperCKemia. We believe that SFEMG FD evaluation should be added to the routine
examinations in the screening of idiopathic hyperCKemia.
PMID- 22068219
TI - Validation of a home environment test battery for supporting assessments in
advanced Parkinson's disease.
AB - Test sequences in a test battery for Parkinson's disease patients, consisting of
self-assessments and motor tests, were carried out repeatedly in a telemedicine
setting, during week-long test periods and results were summarized in an 'overall
score'. 35 patients in stable and fluctuating conditions (15 age- and gender
matched pairs) used the test battery for 1 week, and were then assessed with
UPDRS and PDQ-39. This procedure was repeated 1 week later, without treatment
changes. Reliability was assessed by intraclass correlation coefficients and
Cronbach's alpha. Convergent validity was assessed by Spearman rank correlations
and known-groups' validity, by the Mann-Whitney test. According to anonymous
usability questionnaires, the patients could easily complete the tasks. Median
compliance (93%) and test-retest reliability (0.88) were good. The correlations
between overall score and total UPDRS (-0.64) and PDQ-39 (-0.72) were adequate.
Median overall score was 18% better in the stable compared to the fluctuating
group (p = 0.0014).
PMID- 22068221
TI - Interelectron magnetic coupling in electrides with one-dimensional cavity-channel
geometry.
AB - Dye and coworkers [J. L. Dye, Acc. Chem. Res., 2009, 42, 1564] established
experimentally that the strength of interelectron coupling in electrides with
open intercavity channels critically depends on the channel diameter but is less
sensitive to the channel length. We explain these observations by theoretical
analysis of model electrides with a simple geometry. Our model consists of two
electrons confined in a dogbone-shaped cavity--two spherical cages connected by a
cylindrical channel. The coupling constant J is obtained from the calculated
singlet-triplet gap of this system. By approximating the confining potential of
the dogbone-shaped cavity with a one-dimensional double-well potential we show
that ln(-J/k(B)), where k(B) is the Boltzmann constant, is a near-linear function
of ?((1/s) - (1/S)), where s and S are the cross-sectional areas of the channel
and the cages, respectively. This prediction is in excellent agreement with the
experiment for real electrides that have essentially one-dimensional cavity
channel networks.
PMID- 22068220
TI - Neurologic signs in relation to cognitive function in subcortical ischemic
vascular dementia: a CREDOS (Clinical Research Center for Dementia of South
Korea) study.
AB - The objective of this study was to investigate the relationship between
neurologic signs and cognitive dysfunction in subcortical ischemic vascular
dementia (SIVD). 121 patients with SIVD were recruited from multiple nationwide
hospitals. The patients' neurologic signs were evaluated using the Focal
Neurologic Sign Score (FNSS). The FNSS scores did not correlate with the
composite neuropsychology scores and Korean Mini-Mental State Examination scores.
The FNSS scores correlated with the letter fluency and Rey-Osterrieth Complex
Figure (ROCF) copy scores. Using a multivariate regression analysis controlled
for age, sex, and educational level, the FNSS scores had a significant
relationship with the letter fluency test scores (R (2) = 0.08, beta = -2.28, p =
0.02) and ROCF copy scores (R (2) = 0.08, beta = -0.42, p = 0.03). These findings
suggest that the neurologic signs in patients with SIVD do not correlate with
global cognitive functions; however, these signs do correlate with executive
dysfunction in these patients.
PMID- 22068222
TI - Nilotinib in patients with metastatic melanoma harboring KIT gene aberration.
AB - PURPOSE: Patients with metastatic melanoma have a poor prognosis and few
treatment options are available. We evaluated the anti-tumor activity and safety
of nilotinib, a KIT inhibitor, in patients with metastatic melanoma harboring KIT
alterations, either mutations or amplifications. PATIENTS AND METHODS: This study
was open-label, single center, prospective phase II clinical trial. Between
October 2009 and April 2011, 11 patients with metastatic melanoma harboring KIT
gene mutations or KIT gene amplifications were enrolled in the first stage of
phase II study and nilotinib was administered orally at a dose of 400 mg twice a
day until disease progression or intolerable toxicities. The primary endpoint was
response rate and secondary endpoints were safety, progression-free survival
(PFS) and overall survival (OS). RESULTS: Of 11 patients, 9 patients were
evaluable for treatment response. Of 9 patients, three patients had KIT mutations
in exon 11, Leu576Pro, Val559Ala and Lys558Arg; and 6 patients had KIT
amplifications > 50 copies compared to control DNA. Two patients achieved partial
response (22.2%) and 5 patients achieved stable disease (55.6%). In two patients
who responded to nilotinib, both had KIT mutations and showed durable response
for 8.4 months and 10.0+ months. Of note, one patient with KIT amplification had
stable disease with response for 6 months. A decrease in tumor size from baseline
was observed in four patients (44.4%). Nilotinib 800 mg/d was very well tolerated
with grade 1 nausea and grade 1 dry-eye being the most common adverse events.
CONCLUSIONS: We have decided to publish the preliminary results because anti
tumor activity of nilotinib was promising in KIT mutated patients. Although our
results are preliminary, nilotinib had very favorable toxicity profile with
durable response in metastatic melanoma patients with KIT mutations. The anti
tumor activity of nilotinib in melanoma patients with KIT amplification is yet to
be determined in future studies. Currently, phase II nilotinib trial is ongoing
in Korea as multi-center study.
PMID- 22068223
TI - Innovative technology in hearing instruments: matching needs in the developing
world.
AB - Hearing instrument technology research is almost entirely focused on the
projected needs of the consumer market in the developed world. However, two
thirds of the world's population with hearing impairment live in developing
countries and this proportion will increase in future, given present demographic
trends. In developing regions, amplification and other hearing health needs may
differ from those in industrialized nations, for cultural, health, or economic
reasons. World Health Organization estimates indicate that at present only a
small percentage of individuals in developing countries who are in need of
amplification have access to hearing aid provision. New technologies, such as
trainable hearing aids, advanced noise reduction algorithms, feedback reduction
circuitry, nano coatings for hearing aid components, and innovative power
options, may offer considerable potential benefits, both for individuals with
hearing impairment in developing countries and for those who provide hearing
health care services in these regions. This article considers the possible
supporting role of innovative hearing instrument technologies in the provision of
affordable hearing health care services in developing countries and highlights
the need for research that considers the requirements of the majority of the
world population in need of hearing instrument provision.
PMID- 22068224
TI - A method to remove differences in frequency response between commercial hearing
aids to allow direct comparison of the sound quality of hearing-aid features.
AB - GOAL: We want to remove differences in frequency response between different
commercial hearing aids so that we can compare the sound quality of signal
processing features from different hearing-aid in a future paired-comparison set
up. More specifically, we want to control for the confounding effects of the
linear hearing aid response when evaluating nonlinear processing. This article
presents a control procedure and evaluates its effectiveness. METHOD: We
increased the similarity of hearing-aid recordings in three steps and used both
an objective quality metric and listening tests to investigate if the recordings
from different hearing aids were perceptually similar. RESULTS: Neither was it
sufficient to manually adjust the hearing-aid insertion gain, nor was it
sufficient to add an additional bandwidth limitation to the recordings. Only
after the application of an inverse filter the perceptual differences between
recordings were removed adequately. CONCLUSION: It was possible to level the
ground between different hearing devices, so to speak. This will allow future
research to evaluate the sound quality of nonlinear signal processing features.
PMID- 22068225
TI - Fluvastatin-induced alterations of skeletal muscle function in
hypercholesterolaemic rats.
AB - Although statins, the most widely used drugs in the treatment of hyperlipidaemia,
are generally accepted as efficient and safe drugs their side-effects on skeletal
muscle have been reported with increasing frequency. The lack of an animal model
in which these side effects would consistently be observed is one of the
important drawbacks in studying statin associated myopathy. To overcome this and
enable the studying of the effects of fluvastatin on skeletal muscles an animal
model with high blood cholesterol levels was developed. In these animals
cholesterol levels rose more than seven fold (from 1.5 +/- 0.1 to 10.7 +/- 2.0
mmol/l; n = 15 and 16) with a dramatic increase in low density lipoprotein/high
density lipoprotein ratio (from 0.29 +/- 0.02 to 1.56 +/- 0.17). While the latter
was reversed by statin treatment, an elevation in blood creatine kinase (CK)
level indicated the presence of muscle wasting. Fibers from m. extensor digitorum
longus (EDL) showed significant reduction in cross sectional area in the statin
treated groups. Statin treatment also decreased the proliferation and fusion of
skeletal myotubes in culture. In line with this, resting intracellular calcium
concentration ([Ca(2+)](i)) was reduced in statin treated satellite cells and
myotubes. On the other hand, in adult skeletal muscle fibers statin treatment
increased resting [Ca(2+)](i) (116 +/- 4 nM vs. 151 +/- 5 nM; n = 33 and 34) and
decreased both twitch and tetanic force both in EDL and m. soleus. In addition,
in m. soleus the duration of twitch and tetanic force was shortened. These
results clearly indicate that statin administration in these animals results in a
myopathy characterized by decreased muscle force and elevated plasma CK level.
PMID- 22068226
TI - Early and progressive impairment of spinal blood flow-glucose metabolism coupling
in motor neuron degeneration of ALS model mice.
AB - The exact mechanism of selective motor neuron death in amyotrophic lateral
sclerosis (ALS) remains still unclear. In the present study, we performed in vivo
capillary imaging, directly measured spinal blood flow (SBF) and glucose
metabolism, and analyzed whether if a possible flow-metabolism coupling is
disturbed in motor neuron degeneration of ALS model mice. In vivo capillary
imaging showed progressive decrease of capillary diameter, capillary density, and
red blood cell speed during the disease course. Spinal blood flow was
progressively decreased in the anterior gray matter (GM) from presymptomatic
stage to 0.80-fold of wild-type (WT) mice, 0.61 at early-symptomatic, and 0.49 at
end stage of the disease. Local spinal glucose utilization (LSGU) was transiently
increased to 1.19-fold in anterior GM at presymptomatic stage, which in turn
progressively decreased to 0.84 and 0.60 at early-symptomatic and end stage of
the disease. The LSGU/SBF ratio representing flow-metabolism uncoupling (FMU)
preceded the sequential pathological changes in the spinal cord of ALS mice and
was preferentially found in the affected region of ALS. The present study
suggests that this early and progressive FMU could profoundly involve in the
whole disease process as a vascular factor of ALS pathology, and could also be a
potential target for therapeutic intervention of ALS.
PMID- 22068227
TI - How stereological analysis of vascular morphology can quantify the blood volume
fraction as a marker for tumor vasculature: comparison with magnetic resonance
imaging.
AB - To assess angiogenesis noninvasively in a C6 rat brain tumor model, the rapid
steady-state-T(1) (RSST(1)) magnetic resonance imaging (MRI) method was used for
microvascular blood volume fraction (BVf) quantification with a novel contrast
agent gadolinium per (3,6 anhydro) alpha-cyclodextrin (Gd-ACX). In brain tissue
contralateral to the tumor, equal BVfs were obtained with Gd-ACX and the
clinically approved gadoterate meglumine (Gd-DOTA). Contrary to Gd-DOTA, which
leaks out of the tumor vasculature, Gd-ACX was shown to remain vascular in the
tumor tissue allowing quantification of the tumor BVf. We sought to confirm the
obtained tumor BVf using an independent method: instead of using a 'standard' two
dimensional histologic method, we study here how vascular morphometry combined
with a stereological technique can be used for three-dimensional assessment of
the vascular volume fraction (V(V)). The V(V) is calculated from the vascular
diameter and length density. First, the technique is evaluated on simulated data
and the healthy rat brain vasculature and is then applied to the same C6 tumor
vasculature previously quantified by RSST(1)-MRI with Gd-ACX. The mean perfused
V(V) and the BVf obtained by MRI in tumor regions are practically equal and the
technique confirms the spatial heterogeneity revealed by MRI.
PMID- 22068228
TI - Coactivation of NMDA receptors by glutamate and D-serine induces dilation of
isolated middle cerebral arteries.
AB - N-methyl-D-aspartate (NMDA) receptors are glutamate-gated cation channels that
mediate excitatory neurotransmission in the central nervous system. In addition
to glutamate, NMDA receptors are also activated by coagonist binding of the
gliotransmitter, D-serine. Neuronal NMDA receptors mediate activity-dependent
blood flow regulation in the brain. Our objective was to determine whether NMDA
receptors expressed by brain endothelial cells can induce vasodilation of
isolated brain arteries. Adult mouse middle cerebral arteries (MCAs) were
isolated, pressurized, and preconstricted with norepinephrine. N-methyl-D
aspartate receptor agonists, glutamate and NMDA, significantly dilated MCAs in a
concentration-dependent manner in the presence of D-serine but not alone.
Dilation was significantly inhibited by NMDA receptor antagonists, D-2-amino-5
phosphonopentanoate and 5,7-dichlorokynurenic acid, indicating a response
dependent on NMDA receptor glutamate and D-serine binding sites, respectively.
Vasodilation was inhibited by denuding the endothelium and by selective
inhibition or genetic knockout of endothelial nitric oxide synthase (eNOS). We
also found evidence for expression of the pan-NMDA receptor subunit, NR1, in
mouse primary brain endothelial cells, and for the NMDA receptor subunit NR2C in
cortical arteries in situ. Overall, we conclude that NMDA receptor coactivation
by glutamate and D-serine increases lumen diameter in pressurized MCA in an
endothelial and eNOS-dependent mechanism.
PMID- 22068229
TI - Fast-mapping placeholders: Using words to talk about kinds.
AB - Fast-mapping is the ability to acquire a word rapidly on the basis of minimal
information. As proposed by Carey (1978), we assume that children are able to
achieve fast-mapping because their initial word meanings are skeletal
placeholders that will be extended gradually over time. In this paper we propose
that a notion of "kind" is fundamental to children's initial mappings for object
labels. We illustrate this point by considering the acquisition of generic noun
phrases, which are understood by children as kind-referring from very early on.
We argue that the acquisition of generics has implications for mechanisms of word
learning. Evidence suggests that generics cannot be acquired solely on the basis
of associative learning mechanisms; rather, they are a default interpretation for
young children.
PMID- 22068230
TI - Recent advances in understanding ulcerative colitis.
AB - Ulcerative colitis, one of the two main forms of inflammatory bowel disease, is
characterized by inflammation of the large bowel with constant involvement of the
rectum, and a possible continuous retrograde distribution up to the cecum.
Typical macroscopic lesions are mucosal ulcerations, with immune cell
infiltration and cryptic abscesses at histology. Ulcerative colitis usually
manifests with bloody diarrhea, is associated with a number of extra-intestinal
manifestations, and may be acutely complicated by toxic megacolon. Longstanding
disease may predispose to the development of colorectal cancer. Therapeutic
options include mesalazine, corticosteroids, immunomodulators and biologic
agents; however, if these treatments fail, the only available therapeutic choice
remaining is the surgical removal of the colon. This review emphasizes novel
concepts in the basic aspects of ulcerative colitis, and, in addition to the
current clinical and diagnostic knowledge, it also describes new treatment
options for this condition.
PMID- 22068231
TI - Discovery of ATL: an odyssey in restrospect.
AB - Forty years have passed since our initial description of peculiar cases of adult
onset leukemia with abnormal cells having multi-convoluted nuclei and T cell
properties, frequent in the southern regions of Japan in the early 1970s.
Retrospectively, the study of adult T cell leukemia (ATL) and the related virus
HTLV-I was a forerunner for all of human retrovirology, in which AIDS and the
related retrovirus HIV were identified a few years later in the 1980s. Using the
anti-TAC monoclonal antibody generated by the late Takashi Uchiyama during his
stay in T. A. Waldmann's laboratory in NIH Bethesda, a cDNA encoding IL-2Ralpha
chain was cloned by our group in Kyoto and by Waldmann's group in Bethesda.
Abnormal IL-2Ralpha chain expression and the IL-2 dependency of ATL cell lines
greatly contributed to the study of leukemogenesis of ATL. A new soluble factor
named ADF/ATL-derived factor was also detected in ATL cell lines. After years of
study, ADF proved to be a first human counterpart of thiol-related oxido
reductase thioredoxin/TRX, which opened the field of redox regulation of cell
signaling involved in a variety of diseases. Close interaction among Drs.
Kimishige Ishizaka, Kiyoshi Takastuki and T. A. Waldmanns before ATL and HTLV-I
study was an essential base for our initiation of ATL research with Takashi
Uchiyama and many other colleagues.
PMID- 22068232
TI - Cofilin-mediated F-actin severing is regulated by the Rap GTPase and controls the
cytoskeletal dynamics that drive lymphocyte spreading and BCR microcluster
formation.
AB - When lymphocytes encounter APCs bearing cognate Ag, they spread across the
surface of the APC to scan for additional Ags. This is followed by membrane
contraction and the formation of Ag receptor microclusters that initiate the
signaling reactions that lead to lymphocyte activation. Breakdown of the
submembrane cytoskeleton is likely to be required for the cytoskeleton
reorganization that drives cell spreading and for removing physical barriers that
limit Ag receptor mobility. In this report, we show that Ag receptor signaling
via the Rap GTPases promotes the dephosphorylation and activation of the actin
severing protein cofilin and that this results in increased severing of cellular
actin filaments. Moreover, we show that this cofilin-mediated actin severing is
critical for the changes in actin dynamics that drive B and T cell spreading, for
the formation of BCR microclusters, and for the increased mobility of BCR
microclusters within the plasma membrane after BCR engagement. Finally, using a
model APC, we show that activation of this Rap-cofilin signaling module controls
the amount of Ag that is gathered into BCR microclusters and that this is
directly related to the magnitude of the resulting BCR signaling that is
initiated during B cell-APC interactions. Thus, Rap-dependent activation of
cofilin is critical for the early cytoskeletal changes and BCR reorganization
that are involved in APC-dependent lymphocyte activation.
PMID- 22068233
TI - CpG protects human monocytic cells against HIV-Vpr-induced apoptosis by cellular
inhibitor of apoptosis-2 through the calcium-activated JNK pathway in a TLR9
independent manner.
AB - Monocytic cells survive HIV replication and consequent cytopathic effects because
of their decreased sensitivity to HIV-induced apoptosis. However, the mechanism
underlying this resistance to apoptosis remains poorly understood. Lymphocytic
cells are exposed to microbial products because of their translocation from the
gut in persons with chronic HIV infections or following coinfections. We
hypothesized that activation of monocytic cells by such microbial products
through interaction with corresponding TLRs may confer antiapoptotic signals.
Using HIV-viral protein R (Vpr)(52-96) peptide as a model apoptosis-inducing
agent, we demonstrated that unlike monocyte-derived macrophages, undifferentiated
primary human monocytes and promonocytic THP-1 cells are highly susceptible to
Vpr(52-96)-induced apoptosis. Interestingly, monocytes and THP-1 cells stimulated
with TLR9 agonist CpG induced almost complete resistance to Vpr(52-96)-induced
apoptosis, albeit through a TLR9-independent signaling pathway. Moreover, CpG
selectively induced the antiapoptotic cellular inhibitor of apoptosis (c-IAP)-2
protein and inhibition of the c-IAP-2 gene by either specific small interfering
RNA or synthetic second mitochondrial activator of caspases mimetic reversed CpG
induced resistance against Vpr(52-96)-mediated apoptosis. We demonstrated that c
IAP-2 is regulated by the JNK and calcium signaling pathway, in particular
calmodulin-dependent protein kinase-II. Furthermore, inhibition of JNK and the
calcium signaling including the calmodulin-dependent protein kinase-II by either
pharmacological inhibitors or their specific small interfering RNAs reversed CpG
induced protection against Vpr(52-96)-mediated apoptosis. We also show that CpG
induced JNK phosphorylation through activation of the calcium signaling pathway.
Taken together, our results suggest that CpG-induced protection may be mediated
by c-IAP-2 through the calcium-activated JNK pathway via what appeared to be TLR9
independent signaling pathways.
PMID- 22068234
TI - CD84 negatively regulates IgE high-affinity receptor signaling in human mast
cells.
AB - CD84 is a self-binding receptor from the CD150 (or signaling lymphocyte
activation molecule [SLAM]) family that is broadly expressed in hematopoietic
cells. It has been described that the adaptors SLAM-associated protein (SAP) and
EWS-FLI1-activated transcript 2 (EAT-2) are critical for CD150 family members'
signaling and function. We observed that human mast cells express CD84 but lack
SAP or EAT-2, that CD84 is tyrosine phosphorylated upon FcepsilonRI engagement,
and that the release of granule contents is reduced when FcepsilonRI is coengaged
with CD84 in LAD2 and human CD34(+)-derived mast cells. In addition, we observed
that the release of IL-8 and GM-CSF was also reduced in FcepsilonRI/CD84
costimulated cells as compared with FcepsilonRI/Ig control. To understand how
CD84 downregulates FcepsilonRI-mediated function, we analyzed signaling pathways
affected by CD84 in human mast cells. Our results showed that CD84 dampens
FcepsilonRI-mediated calcium mobilization after its co-cross-linking with the
receptor. Furthermore, FcepsilonRI-mediated Syk-linker for activation of T cells
phospholipase C-gamma1 axis activity is downregulated after CD84 stimulation,
compared with FcepsilonRI/Ig control. The inhibitory kinase Fes phosphorylates
mainly the inhibitory motif for CD84. Moreover, Fes, which has been described to
become phosphorylated after substrate binding, also gets phosphorylated when
coexpressed with CD84. Consistently, Fes was observed to be more phosphorylated
after CD84 and FcepsilonRI co-cross-linking. The phosphorylation of the protein
phosphatase Src homology region 2 domain-containing phosphatase-1 also increases
after CD84 and FcepsilonRI coengagement. Taken together, our results show that
CD84 is highly expressed in mast cells and that it contributes to the regulation
of FcepsilonRI signaling in SAP- and EAT-2-independent and Fes- and Src homology
region 2 domain-containing phosphatase-1-dependent mechanisms.
PMID- 22068235
TI - Pancreatic islets engineered with SA-FasL protein establish robust localized
tolerance by inducing regulatory T cells in mice.
AB - Allogeneic islet transplantation is an important therapeutic approach for the
treatment of type 1 diabetes. Clinical application of this approach, however, is
severely curtailed by allograft rejection primarily initiated by pathogenic
effector T cells regardless of chronic use of immunosuppression. Given the role
of Fas-mediated signaling in regulating effector T cell responses, we tested if
pancreatic islets can be engineered ex vivo to display on their surface an
apoptotic form of Fas ligand protein chimeric with streptavidin (SA-FasL) and
whether such engineered islets induce tolerance in allogeneic hosts. Islets were
modified with biotin following efficient engineering with SA-FasL protein that
persisted on the surface of islets for >1 wk in vitro. SA-FasL-engineered islet
grafts established euglycemia in chemically diabetic syngeneic mice indefinitely,
demonstrating functionality and lack of acute toxicity. Most importantly, the
transplantation of SA-FasL-engineered BALB/c islet grafts in conjunction with a
short course of rapamycin treatment resulted in robust localized tolerance in
100% of C57BL/6 recipients. Tolerance was initiated and maintained by
CD4(+)CD25(+)Foxp3(+) regulatory T (Treg) cells, as their depletion early during
tolerance induction or late after established tolerance resulted in prompt graft
rejection. Furthermore, Treg cells sorted from graft-draining lymph nodes, but
not spleen, of long-term graft recipients prevented the rejection of unmodified
allogeneic islets in an adoptive transfer model, further confirming the Treg role
in established tolerance. Engineering islets ex vivo in a rapid and efficient
manner to display on their surface immunomodulatory proteins represents a novel,
safe, and clinically applicable approach with important implications for the
treatment of type 1 diabetes.
PMID- 22068236
TI - Microbiota downregulates dendritic cell expression of miR-10a, which targets IL
12/IL-23p40.
AB - Commensal flora plays important roles in the regulation of the gene expression
involved in many intestinal functions and the maintenance of immune homeostasis,
as well as in the pathogenesis of inflammatory bowel diseases. The microRNAs
(miRNAs), a class of small, noncoding RNAs, act as key regulators in many
biological processes. The miRNAs are highly conserved among species and appear to
play important roles in both innate and adaptive immunity, as they can control
the differentiation of various immune cells, as well as their functions. However,
it is still largely unknown how microbiota regulates miRNA expression, thereby
contributing to intestinal homeostasis and pathogenesis of inflammatory bowel
disease. In our current study, we found that microbiota negatively regulated
intestinal miR-10a expression, because the intestines, as well as intestinal
epithelial cells and dendritic cells of specific pathogen-free mice, expressed
much lower levels of miR-10a compared with those in germ-free mice. Commensal
bacteria downregulated dendritic cell miR-10a expression via TLR-TLR ligand
interactions through a MyD88-dependent pathway. We identified IL-12/IL-23p40, a
key molecule for innate immune responses to commensal bacteria, as a target of
miR-10a. The ectopic expression of the miR-10a precursor inhibited, whereas the
miR-10a inhibitor promoted, the expression of IL-12/IL-23p40 in dendritic cells.
Mice with colitis expressing higher levels of IL-12/IL-23p40 exhibited lower
levels of intestinal miR-10a compared with control mice. Collectively, our data
demonstrated that microbiota negatively regulates host miR-10a expression, which
may contribute to the maintenance of intestinal homeostasis by targeting IL-12/IL
23p40 expression.
PMID- 22068237
TI - Cutting edge: A role for inside-out signaling in TCR regulation of CD28 ligand
binding.
AB - Efficient T cell activation depends on the engagement of both TCR and CD28,
although the molecular mechanisms that control this signal integration are not
fully understood. Using fluorescence resonance energy transfer, we show that T
cell activation can drive a reorientation of the cytosolic tails of the CD28
dimer. However, this is not mediated through CD28 ligand binding. Rather, TCR
signaling itself mediates this conformation change in CD28. We also show that TCR
signaling can induce CD28-ligand interactions. Although the CD28 dimer appears to
bind ligand monovalently in solution, we show that both ligand binding sites are
required to efficiently recruit CD28 to the immunological synapse. These results
suggest, that analogous to the cross-talk from TCR that regulates integrin
activation, TCR-initiated inside-out signaling may induce a conformational change
to the extracellular domains of CD28, enabling ligand binding and initiating CD28
signaling.
PMID- 22068238
TI - Silicon nanowire ion sensitive field effect transistor with integrated Ag/AgCl
electrode: pH sensing and noise characteristics.
AB - We have fabricated Si nanowire (SiNW) based ion-sensitive field effect
transistors (ISFETs) for biosensing applications. The ability to prepare a large
number of sensors on a wafer, the use of standard silicon microfabrication
techniques resulting in cost savings, and potential high sensitivity are
significant advantages in favor of nanoscale SiNW ISFETs. The SiNW ISFETs with
embedded Ag/AgCl reference electrode were fabricated on a standard silicon-on
insulator wafer using electron-beam lithography and conventional semiconductor
processing technology. The current-voltage characteristics show an n-type FET
behavior with a relatively high on/off current ratio, reasonable sub-threshold
swing value, and low gate-leakage current. The pH responses of the ISFETs with
different pH solutions were characterized at room temperature which showed a
clear lateral shift of the drain current vs. gate voltage curve with a change in
the pH value of the solution and a sensitivity of 40 mV pH(-1). The low frequency
noise characteristics were investigated to evaluate the signal to noise ratio and
sensing limit of the devices.
PMID- 22068239
TI - Selective N-alkylation of amines using nitriles under hydrogenation conditions:
facile synthesis of secondary and tertiary amines.
AB - Nitriles were found to be highly effective alkylating reagents for the selective
N-alkylation of amines under catalytic hydrogenation conditions. For the aromatic
primary amines, the corresponding secondary amines were selectively obtained
under Pd/C-catalyzed hydrogenation conditions. Although the use of electron poor
aromatic amines or bulky nitriles showed a lower reactivity toward the reductive
alkylation, the addition of NH(4)OAc enhanced the reactivity to give secondary
aromatic amines in good to excellent yields. Under the same reaction conditions,
aromatic nitro compounds instead of the aromatic primary amines could be directly
transformed into secondary amines via a domino reaction involving the one-pot
hydrogenation of the nitro group and the reductive alkylation of the amines.
While aliphatic amines were effectively converted to the corresponding tertiary
amines under Pd/C-catalyzed conditions, Rh/C was a highly effective catalyst for
the N-monoalkylation of aliphatic primary amines without over-alkylation to the
tertiary amines. Furthermore, the combination of the Rh/C-catalyzed N
monoalkylation of the aliphatic primary amines and additional Pd/C-catalyzed
alkylation of the resulting secondary aliphatic amines could selectively prepare
aliphatic tertiary amines possessing three different alkyl groups. According to
the mechanistic studies, it seems reasonable to conclude that nitriles were
reduced to aldimines before the nucleophilic attack of the amine during the first
step of the reaction.
PMID- 22068241
TI - Comment: Use of recalibrated serum creatinine concentrations for adjustment of
drug dosages: determination of values compatible with conventional dosing
recommendations.
PMID- 22068242
TI - The value of pharmacy services on a short-term medical mission trip: description
of services and assessment of team satisfaction.
AB - BACKGROUND: Pharmacists should be key members of a medical mission trip by
providing assistance with medication knowledge and therapeutics. OBJECTIVE: To
determine the value of a pharmacist as a member of a medical mission team through
(1) pharmacy interventions on 2 medical teams and 1 women's health team and (2)
team satisfaction with the pharmacy services. METHODS: Pharmacy interventions
were documented by 2 medical teams and 1 women's health team and included, but
were not limited to, dosing recommendations, medication selection, and
therapeutic substitution. Team satisfaction was determined from a 10-question
survey administered on the last clinical day to all team members except
pharmacists, pharmacy students, and physical therapists. RESULTS: The pharmacy
service dispensed 2119 prescriptions, with an average number of prescriptions of
1.84 per patient. A total of 2340 interventions were provided by the pharmacy
service, averaging 2.04 interventions per patient and 1.1 interventions per
prescription. The survey indicated that a pharmacist serves an integral role for
the multidisciplinary medical team. CONCLUSIONS: Pharmacists play an important
role in a short-term medical mission trip by serving as sources for medication
knowledge and ensuring appropriate medication therapy management as part of the
interdisciplinary team.
PMID- 22068243
TI - Use of dexmedetomidine to discontinue high-dose fentanyl.
PMID- 22068245
TI - A new, vitamin D-based, multidimensional nomogram for the diagnosis of primary
hyperparathyroidism.
AB - OBJECTIVE: To refine the diagnostic criteria for primary hyperparathyroidism (1
degrees HPT) to identify atypical patients, in whom serum calcium, parathyroid
hormone (PTH), or both are within the "normal" range. METHODS: Total serum
calcium, intact PTH, and 25-hydroxyvitamin D [25(OH)D] levels were measured in
patients with 1 degrees HPT and healthy patient groups. Multivariate analysis of
healthy patient data first identified factors that significantly affected PTH
levels and defined a new PTH reference range with a mathematical model. That
nomogram was then validated for prediction of atypical 1 degrees HPT in patients
with surgically confirmed disease. RESULTS: On multivariate analysis, calcium (P
= .0002), 25(OH)D (P<.0001), and age (P = .015) independently affected PTH. With
these variables, we created a 4-dimensional nomogram that distinguished normal
patients from those with hyperparathyroid states. Mathematically, this nomogram
predicts 1 degrees HPT when the measured serum PTH value is higher than PTH
calculated by the following formula: PTH (pg/mL) = 120 - [6 * calcium (mg/dL)] -
[0.52 * 25(OH)D (ng/mL)] + [0.26 * patient age (years)]. When applied to our
surgical group of patients, this nomogram successfully identified 100% of
patients (238 of 238) with classic 1 degrees HPT, 84% (64 of 76) with
normocalcemic 1 degrees HPT, and 54% (20 of 37) with 1 degrees HPT and normal
PTH. CONCLUSION: This study uniquely defines a patient-specific upper limit of
normal for PTH based on the readily available variables of serum calcium,
25(OH)D, and patient age. Our nomogram may allow for more rapid definitive
diagnosis and treatment of 1 degrees HPT in patients with atypical presentations.
PMID- 22068244
TI - A review of supernumerary and absent limbs and digits of the upper limb.
AB - For years people have been enamored by anomalies of the human limbs, particularly
supernumerary and absent limbs and digits. Historically, there are a number of
examples of such anomalies, including royal families of ancient Chaldea, tribes
from Arabia, and examples from across nineteenth century Europe. The development
of the upper limbs in a growing embryo is still being elucidated with the recent
advent of homeobox genes, but researchers agree that upper limbs develop between
stages 12-23 through a complex embryological process. Maternal thalidomide intake
during limb development is known to cause limb reduction and subsequent amelia or
phocomelia. Additionally, a number of clinical reports have illustrated different
limb anomaly cases, with each situation unique in phenotype and developmental
abnormality. Supernumerary and absent limbs and digits are not unique to humans,
and a number of animal cases have also been reported. This review of the
literature illustrates the historical, anatomical, and clinical aspects of
supernumerary and absent limbs and digits for the upper limb.
PMID- 22068246
TI - Finding the right balance between resistance and sensitivity: a review of the
cardiac manifestations of the syndrome of resistance to thyroid hormone and the
implications for treatment.
AB - OBJECTIVE: To review cardiac manifestations in the syndrome of resistance to
thyroid hormone (RTH) and to question the general recommendation that the thyroid
stimulating hormone (TSH) value be the guide to thyroid hormone replacement.
METHODS: The syndrome of RTH is caused by mutations in the carboxy-terminal
portion of the beta isoform of the thyroid hormone receptor, resulting in
variable clinical manifestations. It is generally recommended that the
replacement of thyroid hormone in patients with RTH be guided by the serum TSH
concentration. The variable responsiveness of tissues to thyroid hormone,
however, makes it difficult to balance the correct replacement dose. We present a
case that brings into question the conventional wisdom about the replacement dose
of thyroid hormone in this scenario, and we review the pertinent literature.
RESULTS: A 54-year-old man with RTH was treated with levothyroxine and increasing
doses of liothyronine sodium as part of an evaluation of RTH. On day 10 of the
protocol, he developed atrial fibrillation despite a normal level of TSH (1.1
mIU/L). Administration of liothyronine was discontinued, and cardioversion was
planned; however, the patient's heart rhythm converted spontaneously to normal
sinus rhythm. CONCLUSION: Replacement of thyroid hormone in patients with RTH
should include careful monitoring of thyrotoxic cardiac side effects in addition
to consideration of normalization of the TSH level.
PMID- 22068247
TI - Hurthle cell carcinoma of the thyroid presenting as thyrotoxicosis.
AB - OBJECTIVE: To report a case of hyperthyroidism associated with Hurthle cell
carcinoma and to review the literature regarding this relationship. METHODS: We
describe the clinical, biochemical, radiologic, and pathologic data of a patient
with Hurthle cell carcinoma associated with thyrotoxicosis and reversible heart
failure. We discuss the mechanistic aspects and review previously reported cases
of functional Hurthle cell carcinomas. RESULTS: A 43-year-old woman presented
with thyrotoxicosis and nonischemic cardiomyopathy. She had a "hot" nodule in the
left lobe of the thyroid on sodium pertechnetate scan. She underwent a left
hemithyroidectomy and isthmusectomy. Pathologic findings revealed a minimally
invasive Hurthle cell carcinoma. On follow-up, the dilated cardiomyopathy had
resolved. The association of thyroid carcinoma with thyrotoxicosis is rare.
CONCLUSIONS: Some Hurthle cell carcinomas can be functional and lead to
thyrotoxicosis. To our knowledge, we present the first case of reversible dilated
cardiomyopathy due to thyrotoxicosis originating from Hurthle cell carcinoma.
PMID- 22068248
TI - Similar clinical features among patients with severe adult growth hormone
deficiency diagnosed with insulin tolerance test or arginine or glucagon
stimulation tests.
AB - OBJECTIVE: To determine whether insulin tolerance tests (ITTs), arginine
stimulation tests (ASTs), and glucagon stimulation tests (GST) identify patients
who have similar clinical features of growth hormone (GH) deficiency when a
diagnostic GH threshold of 3 MUg/L is used. METHODS: Data were obtained from the
KIMS database (Pfizer International Metabolic Database). Comparisons were made
between patients who underwent ITT, AST, or GST for GH peak, body mass index,
lipids, waist circumference, waist-to-hip ratio, and quality of life. RESULTS: A
total of 5453 tests were available from 4867 patients registered in the database
(ITT = 3111, AST = 1390, GST = 952). Significant (P<.001) intraindividual
correlations were observed between the GH peaks for ITT vs AST (r = 0.655), ITT
vs GST (r = 0.445), and AST vs GST (r = 0.632). GH peaks in response to all tests
were negatively correlated to the number of additional pituitary hormone
deficiencies and positively correlated to the insulinlike growth factor 1
standard deviation score. Body mass index had a negative influence on all 3
tests. Most clinical variables did not differ between the groups when comparing
GH-deficient patients according to the diagnostic test used. The only exceptions
that showed any difference were body mass index (slightly higher in the AST and
GST groups), triglyceride levels (increased in the GST group), and insulinlike
growth factor 1 (standard deviation score) (lower in the ITT and AST groups than
in the GST group). Waist circumference was greater and quality of life was worse
in the GST group than in the other groups. CONCLUSIONS: The ITT, AST, and GST
produce similar GH peaks, are influenced by similar clinical factors, and
identify patients with similar features of GH deficiency at a diagnostic
threshold of 3 MUg/L.
PMID- 22068249
TI - Transition care of patients with growth hormone deficiency from pediatric
endocrinologists to adult endocrinologists.
AB - OBJECTIVE: To review whether growth hormone (GH) therapy should be continued into
young adulthood, beyond achievement of final height, when GH deficiency persists,
to summarize the recent evidence of the benefits of GH treatment during the
transition period, and to address currently debated issues involving diagnosis,
treatment, and transition of care. METHODS: Primary literature was reviewed in
the following areas: the benefits and risks of GH therapy during the transition
period, the diagnostic criteria for GH deficiency and recommended testing
procedures during transition, the optimal dose of GH therapy during transition,
and the factors to consider in the transition of care from the pediatric to the
adult endocrinologist. RESULTS: Studies support the continuation of GH therapy
through the transition period until accrual of peak bone mass, rather than
cessation of GH treatment when adult height has been achieved. Continued GH
treatment in patients with persistent GH deficiency after achieving final height
has been associated with significant additional bone maturation and improved
overall metabolic profile. The selection of the most appropriate methods and
cutoff values for retesting GH deficiency during the transition period remains a
challenge. Reassessment of the optimal GH dose is a key component of transition
care. CONCLUSION: For patients with GH deficiency that will likely persist into
adulthood, it is important to begin discussing possible continuation of GH
treatment early in the management of GH deficiency. Clear communication between
pediatric and adult endocrinologists will be needed to determine the timing of
the patient-care transition and to minimize the interruption of GH therapy during
the transition period.
PMID- 22068250
TI - Successful treatment of prediabetes in clinical practice: targeting insulin
resistance and beta-cell dysfunction.
AB - OBJECTIVE: To determine the effectiveness of targeted pharmacologic interventions
to reverse documented pathophysiologic abnormalities in prediabetes. METHODS:
Patients with impaired glucose tolerance (IGT) and/or impaired fasting glucose
(IFG) were treated with insulin sensitizers (pioglitazone + metformin) or insulin
sensitizers + exenatide on the basis of oral glucose tolerance testing-derived
indices of insulin resistance and impaired beta-cell function. Patients who
declined pharmacologic therapy received lifestyle modification only. RESULTS: One
hundred five patients with IGT and/or IFG were treated with insulin sensitizers
(pioglitazone + metformin) (n = 40), insulin sensitizers + exenatide (n = 47), or
lifestyle modification only (n = 18). After a mean follow-up period of 8.9
months, the lifestyle modification group demonstrated no significant changes in
fasting plasma glucose, plasma glucose area under the curve during oral glucose
tolerance testing, insulin sensitivity, or beta-cell function. In the
pioglitazone + metformin group (24 hours off medication), fasting plasma glucose
fell from 109 to 102 mg/dL; plasma glucose area under the curve decreased by
12.0%; insulin sensitivity and beta-cell function improved by 42% and 50%,
respectively (all P<.001); 14.3% converted to normal glucose tolerance; and no
patient developed diabetes. In the pioglitazone + metformin + exenatide group (24
hours off medication), fasting plasma glucose fell from 109 to 98 mg/dL; plasma
glucose area under the curve decreased by 21.2%; insulin sensitivity and beta
cell function improved by 52% and 109%, respectively (all P<.001); 59.1% of
patients with IGT reverted to normal glucose tolerance; and no patient developed
diabetes. CONCLUSIONS: Targeted pathophysiologic therapy based on oral glucose
tolerance test-derived measures of insulin sensitivity and beta-cell function can
be implemented in general internal medicine and endocrine practice and is
associated with marked improvement in glucose tolerance and reversion of
prediabetes to normal glucose tolerance in more than 50% of patients.
PMID- 22068251
TI - Three large, functioning cystic parathyroid adenomas.
AB - OBJECTIVE: To report a very rare case of 3 large, functioning cystic parathyroid
adenomas causing primary hyperparathyroidism. METHODS: We present the history,
clinical findings, laboratory test results, radiologic findings, endocrine workup
results, intraoperative surgical challenges, and surgical pathology report of the
study patient. We review the literature and discuss the importance of
intraoperative parathyroid hormone (PTH) measurement in such cases. RESULTS: A 79
year-old woman presented with primary hyperparathyroidism and elevated levels of
calcium and PTH. Localization studies confirmed the presence of a large right
upper parathyroid adenoma. On exploration, a very large cystic parathyroid gland
was identified at that location. Because intraoperative PTH levels remained
elevated, further exploration was pursued, which revealed 2 more large cystic
glands on the left side that were resected. This resulted in an adequate but slow
PTH drop. The right lower gland appeared normal. On follow-up 4 days and 6 weeks
after surgery, the calcium and PTH levels had normalized. CONCLUSION: This case
highlights the aspects of intraoperative PTH use and underscores the need to
exclude multigland disease even in the setting of a very large parathyroid cyst
with concordant localization studies.
PMID- 22068253
TI - An outpatient-based clinical program for diabetes prevention: an update.
AB - OBJECTIVE: To update outcomes of the Diet-Exercise-Activity-Lifestyle (DEAL)
program, a clinic-based diabetes prevention intervention. METHODS: Changes in
weight, fasting blood glucose, and 2-hour glucose after a 75-g oral glucose
tolerance test were evaluated in patients who enrolled in the DEAL program
between January 2007 and August 2009. RESULTS: The 221 qualified participants had
a mean age of 62 years, weight of 87.4 kg, body mass index of 31.2 kg/m2, fasting
glucose level of 109 mg/dL, and 2-hour glucose value of 138 mg/dL. Among the
program participants, 67% were women and 88% were white; 56% had isolated
impaired fasting glucose, 5% had impaired glucose tolerance only, and 39% had
both. The 6-month follow-up medical appointment was kept by 72% of program
participants, but only 56% attended the 12-month visit. By 6 months after
baseline, 59% had significantly lower fasting glucose concentrations, 59% had
improvement in 2-hour glucose levels, and 61% had weight loss. Nearly 40%,
however, were nonresponders and had increased fasting glucose, 2-hour glucose,
and weight by 6 months. By the 12-month visit, significant declines in fasting
glucose (P<.001), 2-hour glucose (P<.001), and weight (P = .008) occurred in
comparison with baseline values; however, no significant changes occurred in
these measures between the 6- and 12-month visits (P>.30 for all). CONCLUSION:
Most DEAL participants showed improvement in glucose levels and weight, but some
patients exhibited worsening glucose intolerance. Factors underlying nonresponse
need to be identified. Ongoing experience and analysis should help revise the
DEAL program so that outcomes for all participating patients will improve.
PMID- 22068254
TI - Clinical effects of long-term metreleptin treatment in patients with
lipodystrophy.
AB - OBJECTIVE: To evaluate the long-term clinical effect of treatment with
metreleptin (an analogue of human leptin) on glycemic and lipid abnormalities and
markers of hepatic steatosis in patients with inherited or acquired
lipodystrophy. METHODS: Fifty-five patients (36 with generalized lipodystrophy
and 19 with partial lipodystrophy) with at least 1 of 3 metabolic abnormalities
(diabetes mellitus, fasting triglyceride level >=200 mg/dL, and insulin
resistance) and low leptin levels received subcutaneous injections of metreleptin
once or twice daily in an ongoing clinical trial at the National Institutes of
Health. RESULTS: At baseline, hemoglobin A1c-8.5% +/- 2.1% (mean +/- standard
deviation [SD])-and triglycerides-479 +/- 80 mg/dL (geometric mean +/- standard
error [SE])-were substantially elevated. Robust and sustained reductions in both
variables were evident for the observed patient population during a 3-year
metreleptin treatment period (-2.1% +/- 0.5% [mean +/- SE] and -35.4% +/- 13.7%
[mean +/- SE], respectively). Mean alanine aminotransferase (ALT) and aspartate
aminotransferase (AST) levels were elevated at baseline (100 +/- 120 U/L and 71
+/- 77 U/L [mean +/- SD], respectively) and decreased by -45 +/- 19 U/L and -33
+/- 14 U/L (mean +/- SE), respectively, during the 3-year metreleptin treatment
period. Improvements in hemoglobin A1c, triglycerides, ALT, and AST were more
pronounced in the subsets of patients having elevated levels at baseline. The
most notable adverse events observed in this patient population were likely
attributable to underlying metabolic abnormalities or comorbidities. CONCLUSION:
Metreleptin treatment substantially reduced glycemic variables, triglycerides,
and liver enzymes (ALT and AST) and demonstrated durability of response
throughout a 3-year treatment period. These results support metreleptin as a
potential treatment for certain metabolic disorders (for example, diabetes
mellitus and hypertriglyceridemia) associated with lipodystrophy.
PMID- 22068255
TI - Retroperitoneal masses mimicking adrenal tumors.
AB - OBJECTIVE: To report 10 cases of neoplasms that were initially thought to be
primarily adrenal-derived masses but were later confirmed as tumors of a
different origin. METHODS: Between 2000 and 2011, a total of 229 patients
underwent adrenalectomy at our institution. Of this overall group, 10 patients
had retroperitoneal pathologic conditions mimicking adrenal tumors. Using an
institutional review board-approved database, we reviewed the clinical,
biochemical, and radiologic characteristics of these 10 patients. RESULTS: The
study cohort consisted of 4 male and 6 female patients. The mean age of these 10
patients was 48 years. The pathologic conditions included schwannoma (n = 3),
leiomyosarcoma (n = 2), and 1 each of metastatic angiosarcoma, metastatic
granulosa cell tumor, retroperitoneal hematoma, perivascular epithelioid cell
tumor, and bronchogenic cyst. The patient with angiosarcoma had elevated plasma
and urine catecholamines and a positive metaiodobenzylguanidine scan, whereas the
others had normal findings on biochemical work-up. A percutaneous biopsy was
performed preoperatively in 2 patients. All patients, except the patient with
hematoma, underwent abdominal exploration, which was laparoscopic in 5, open in
2, and robotic in 2. With exclusion of the patients with angiosarcoma and
hematoma, resection was possible in all the remaining patients. CONCLUSION: In
this report we describe our experience with retroperitoneal masses mimicking
adrenal tumors. Increased awareness of these unusual pathologic conditions is
important for appropriate clinical management of these tumors. The presentation
of the patients and the surgical management of these individual pathologic
entities are highlighted.
PMID- 22068256
TI - Delayed treatment of papillary thyroid carcinoma arising from struma ovarii in a
patient with history of bilateral salpingo-oophorectomy: a case report.
AB - OBJECTIVE: We present a case of papillary thyroid carcinoma arising from struma
ovarii treated erroneously as ovarian adenocarcinoma for more than 3 years.
METHODS: We report clinical, surgical, laboratory, and imaging findings of the
study patient and review the relevant literature. RESULTS: A 64-year-old woman
was treated for ovarian adenocarcinoma for more than 3 years before it was
determined that she likely had papillary thyroid carcinoma arising from struma
ovarii. This is the first reported case of thyroid carcinoma arising from struma
ovarii in a patient with a history of bilateral salpingo-oophorectomy. Possible
etiologies include residual ovarian tissue after oophorectomy, ectopic thyroid,
or metastatic thyroid cancer. CONCLUSIONS: It is important to include struma
ovarii and thyroid carcinoma arising from struma ovarii in the differential
diagnosis, even with a history of bilateral salpingo-oophorectomy. This case
emphasizes the importance of effective communication among the pathologist,
oncologist, and surgeon to ensure timely initiation of appropriate therapy and
reduced patient morbidity.
PMID- 22068257
TI - Visual vignette. Hypothyroidism-associated myopathy.
PMID- 22068259
TI - Visual vignette. Primary adrenal insufficiency attributable to bilateral
hemorrhagic adrenal metastatic lesions from poorly differentiated non-small cell
lung carcinoma.
PMID- 22068258
TI - Case report of acute necrotizing pancreatitis associated with combination
treatment of sitagliptin and exenatide.
AB - OBJECTIVE: To report the first postmarketing case of necrotizing pancreatitis in
a patient on combination therapy of sitagliptin and exenatide. METHODS: We
describe the patient's clinical presentation, laboratory test results, imaging,
and autopsy findings. RESULTS: A 76-year-old woman with a history of type 2
diabetes mellitus presented with severe abdominal pain, vomiting, and fever
requiring hospital admission. She had been treated with exenatide for 3 years to
manage her diabetes mellitus. A few weeks before presentation, sitagliptin was
added, presumably to further optimize her glycemic control. Acute pancreatitis
was diagnosed during hospital admission. At initial presentation, her serum
amylase concentration was 1136 U/L (reference range, 10-130 U/L) and her lipase
concentration was greater than 3500 U/L (reference range, 0-75 U/L). In addition,
computed tomography of the abdomen and pelvis demonstrated extensive previous
cholecystectomy, reported no alcohol consumption, and had a normal lipid profile.
Although she had a long-standing history of diabetes mellitus, she had no history
of pancreatitis or other risk factors that would have caused her to develop the
underlying condition. After initial brief improvement, her symptoms worsened, and
despite aggressive care, her clinical state deteriorated and she died. Autopsy
findings demonstrated acute necrotizing pancreatitis with complete digestion of
the pancreas. CONCLUSIONS: Considering the temporal relationship of her symptoms
to the addition of sitagliptin to her existing exenatide regimen, this case
strongly suggests a possible causal link between exenatide or sitagliptin (or the
combination of the 2 drugs) and the etiology of pancreatitis in this patient.
PMID- 22068260
TI - Trichotemnomania: hair loss mediated by a compulsive habit not admitted by
patients.
PMID- 22068261
TI - (AEDPH3).(BtaH): a novel supramolecular plaster with formaldehyde adsorption and
formaldehyde/ultraviolet ray-induced luminescence switching performance.
AB - A novel supramolecular plaster, (AEDPH(3)).(BtaH) (1), is synthesised and
characterized. The supramolecular plaster is easy to synthesise and process, and
displays good mechanical properties. It can adsorb and eliminate formaldehyde
(HCHO) with high efficiency and exhibits very interesting HCHO/ultraviolet ray
induced luminescence switching.
PMID- 22068262
TI - Simultaneous hydrogen utilization and in situ biogas upgrading in an anaerobic
reactor.
AB - The possibility of converting hydrogen to methane and simultaneous upgrading of
biogas was investigated in both batch tests and fully mixed biogas reactor,
simultaneously fed with manure and hydrogen. Batch experiments showed that
hydrogen could be converted to methane by hydrogenotrophic methanogenesis with
conversion of more than 90% of the consumed hydrogen to methane. The hydrogen
consumption rates were affected by both P(H2) (hydrogen partial pressure) and
mixing intensity. Inhibition of propionate and butyrate degradation by hydrogen
(1 atm) was only observed under high mixing intensity (shaking speed 300 rpm).
Continuous addition of hydrogen (flow rate of 28.6 mL/(L/h)) to an anaerobic
reactor fed with manure, showed that more than 80% of the hydrogen was utilized.
The propionate and butyrate level in the reactor was not significantly affected
by the hydrogen addition. The methane production rate of the reactor with H2
addition was 22% higher, compared to the control reactor only fed with manure.
The CO2 content in the produced biogas was only 15%, while it was 38% in the
control reactor. However, the addition of hydrogen resulted in increase of pH
(from 8.0 to 8.3) due to the consumption of bicarbonate, which subsequently
caused slight inhibition of methanogenesis.
PMID- 22068263
TI - On the role of beta-cyanoalanine synthase (CAS) in metabolism of free cyanide and
ferri-cyanide by rice seedlings.
AB - A study was conducted to investigate the contribution of beta-cyanoalanine
synthase (CAS) to the botanical metabolism of free cyanide and iron cyanides.
Seedlings of rice (Oryza sativa L. cv. XZX 45) were grown hydroponically and then
amended with free cyanide (KCN) or ferri-cyanide [K(3)Fe(CN)(6)] into the growth
media. Total cyanide, free cyanide, and Fe(3+)/Fe(2+) in aqueous solution were
analyzed to identify the speciation of K(3)Fe(CN)(6). Activity of CAS in
different parts of the rice seedlings was also assayed in vivo and results
indicated that dissociation of K(3)Fe(CN)(6) to free cyanide in solution was
negligible. Almost all of the applied KCN was removed by rice seedlings and the
metabolic rates were concentration dependent. Phyto-transport of K(3)Fe(CN)(6)
was apparent, but appreciable amounts of cyanide were recovered in plant tissues.
The metabolic rates of K(3)Fe(CN)(6) were also positively correlated to the
concentrations supplied. Rice seedlings exposed to KCN showed a considerable
increase in the CAS activity and roots had higher CAS activity than shoots,
indicating that CAS plays an important role in the botanical assimilation of KCN.
However, no measurable change of CAS activity in different parts of rice
seedlings exposed to K(3)Fe(CN)(6) was detected, suggesting that K(3)Fe(CN)(6) is
likely metabolized by rice directly through an unknown pathway rather than the
beta-cyanoalanine pathway.
PMID- 22068264
TI - Aza-boron-dipyrromethene dyes: TD-DFT benchmarks, spectral analysis and design of
original near-IR structures.
AB - The excited-state energies of aza-boron-dipyrromethene (Aza-BODIPY) derivatives
are investigated with Time-Dependent Density Functional Theory (TD-DFT), with
twin goals. On the one hand, a pragmatic, yet efficient, computational protocol
is defined in order to reach rapidly semi-quantitative estimates of the
lambda(max) of these challenging dyes. It turned out that a PCM-TD-BMK/6
311+G(2d,p)//PCM-PBE0/6-311G(2d,p) approach delivers appropriate lower bounds of
the experimental results, despite the inherent limits of the vertical
approximation. On the other hand, the method is applied to design new dyes
absorbing in the near-IR. The spectral features of ca. 30 new compounds have been
simulated in a systematic way, trying to efficiently combine several available
synthetic strategies leading to significant bathochromic displacements. A series
of dyes absorbing above 850 nm are proposed, illustrating that (relatively) fast
theoretical calculations might be a useful pre-screening step preceding
synthesis.
PMID- 22068265
TI - Genetic determinants of Tibetan high-altitude adaptation.
AB - Some highland populations have genetic adaptations that enable their successful
existence in a hypoxic environment. Tibetans are protected against many of the
harmful responses exhibited by non-adapted populations upon exposure to severe
hypoxia, including elevated hemoglobin concentration (i.e., polycythemia). Recent
studies have highlighted several genes subject to natural selection in native
high-altitude Tibetans. Three of these genes, EPAS1, EGLN1 and PPARA, regulate or
are regulated by hypoxia inducible factor, a principal controller of
erythropoiesis and other organismal functions. Uncovering the molecular basis of
hypoxic adaptation should have implications for understanding hematological and
other adaptations involved in hypoxia tolerance. Because the hypoxia response
involves a variety of cardiovascular, pulmonary and metabolic functions, this
knowledge would improve our understanding of disease mechanisms and could
ultimately be translated into targeted therapies for oxygen deprivation,
cardiopulmonary and cerebral pathologies, and metabolic disorders such as
diabetes and obesity.
PMID- 22068266
TI - Effect of genu recurvatum on the anterior cruciate ligament-deficient knee during
gait.
AB - PURPOSE: This study aimed to investigate the effects of genu recurvatum, which is
considered to carry a high risk for anterior cruciate ligament (ACL) injury, on
healthy and post-ACL injury gait and lower extremity muscle strength. METHODS:
Subjects were 36 patients with ACL-deficient knee and 40 healthy controls without
pain or restricted range of motion of the lower extremity during gait. The knee
joints of all subjects were examined; those with over 10 degrees hyperextension
of both knees were defined as exhibiting genu recurvatum. On this basis, the
subjects were further subdivided into two groups: with or without genu
recurvatum. A three-dimensional motion analysis system and force plates were used
for gait analysis. Isokinetic dynamometers were used to measure knee muscle
strength. RESULTS: There were no differences in joint angles, joint moments, or
components of ground reaction force during gait or in knee strength for the
healthy control subjects with and without genu recurvatum. ACL-deficient subjects
without genu recurvatum showed a decrease in knee angles during the stance phase
and a decrease in extension moments during the early stance phase compared with
ACL-deficient subjects with genu recurvatum and controls. In contrast, neither
knee angles nor extension moments during the stance phase differed significantly
between ACL-deficient subjects with genu recurvatum and controls. CONCLUSIONS:
This study provides clinically relevant information regarding the effects of genu
recurvatum on gait parameters. The results suggest that in ACL injuries, the
presence of genu recurvatum alters gait pattern. Consideration of the presence of
genu recurvatum would be useful during rehabilitation following ACL injuries or
ACL reconstruction. LEVEL OF EVIDENCE: II. Prospective comparative study.
PMID- 22068268
TI - Definition and classification of early osteoarthritis of the knee.
AB - With the emerging interest in regenerative medicine and tissue engineering, new
treatment modalities being developed for joint disorders including joint surface
lesions and articular cartilage defects. The clinical outcome of these novel
approaches appears rather unpredictable and is due to many reasons but definitely
also linked to the patient profile. As a typical example, symptomatic articular
cartilage lesions can be presented in an otherwise normal joint, or associated
with several other joint tissue alterations including meniscal lesions and
abnormalities of the underlying bone. The outcome of novel treatments may well be
influenced by the status of the whole joint, and the potential to develop
osteoarthritis. To better identify the patients at risk and responders to certain
treatments, it is of use to define and most importantly classify patients with
"early osteoarthritis". Here, classification criteria for this group of patients
are presented, allowing a more defined and accurate inclusion in clinical trials
in the future.
PMID- 22068267
TI - Surgical treatment for early osteoarthritis. Part II: allografts and concurrent
procedures.
AB - Young patients with early osteoarthritis (OA) represent a challenging population
due to a combination of high functional demands and limited treatment options.
Conservative measures such as injection and physical therapy can provide short
term pain relief but are only palliative in nature. Joint replacement, a
successful procedure in the older population, is controversial in younger
patients, who are less satisfied and experience higher failure rates. Therefore,
while traditionally not indicated for the treatment of OA, cartilage repair has
become a focus of increased interest due to its potential to provide pain relief
and alter the progression of degenerative disease, with the hope of delaying or
obviating the need for joint replacement. The field of cartilage repair is seeing
the rapid development of new technologies that promise greater ease of
application, less demanding rehabilitation and better outcomes. Concurrent
procedures such as meniscal transplantation and osteotomy, however, remain of
crucial importance to provide a normalized biomechanical environment for these
new technologies. LEVEL OF EVIDENCE: Systematic review, Level II.
PMID- 22068269
TI - The combination of microfracture and a cell-free polymer-based implant immersed
with autologous serum for cartilage defect coverage.
AB - PURPOSE: The purpose of this short-term pilot study was to determine the clinical
and MRI outcome of a combination of microfracture with a cell-free polymer-based
matrix for the treatment of cartilage defects in the knee. METHODS: The technique
was used for treatment of symptomatic cartilage defects in the knee. Five
patients were prospectively evaluated during 2 years with use of the Knee injury
and Osteoarthritis Outcome Score (KOOS), the Tegner activity scale and the visual
analog scale (VAS). MRI data were analyzed based on the original and modified
MOCART (Magnetic Resonance Observation of Cartilage Repair Tissue) scoring system
at 6, 12 and 24 months of follow-up. RESULTS: A gradual clinical improvement was
observed during the follow-up. Adverse reactions to the matrix were not observed.
The scaffold was firmly fixed with the use of bioresorbable pins. Both MOCART
scoring systems revealed no significant deterioration or improvement in the
repair tissue during the follow-up period. However, the majority of the patients
exhibited subchondral lamina and bone changes. The formation of an intralesional
osteophyte was observed in one case. CONCLUSIONS: The key finding in this study
was that this procedure is safe for the treatment of cartilage defects in the
knee. The patients showed a gradual clinical improvement postoperatively. Sixty
percent (3/5) of the defects were adequately (complete or hypertrophic) filled
with repair tissue at 2 years of follow-up. LEVEL OF EVIDENCE: IV.
PMID- 22068270
TI - Keep the faith! Working with African American/black churches.
PMID- 22068272
TI - State-mandated school-based BMI screening and parent notification: a descriptive
case study.
AB - To address childhood obesity, several states and local school districts across
the United States have adopted body mass index (BMI) measurement initiatives.
This descriptive case study explored psychosocial, environmental, and behavioral
factors among parents of sixth-grade students who received BMI Health Letters
(BMIHLs) in one Florida County. A nonexperimental postintervention design was
employed to gather quantitative data via self-report Likert-type questionnaire.
Participants were parents/guardians of sixth-grade students attending one
Hillsborough County public middle school (n = 76). Results indicate three main
findings: (a) most parents in this study (67.4%) who discussed the BMIHL with
their child reported their child as "very" or "somewhat" uncomfortable with the
discussion; (b) some parents of normal weight (NW) children responded by taking
their child to a medical professional to control their weight; and (c) more
parents of at risk of overweight/overweight (AR/OW) children (vs. NW) reported
greater concern about their child's weight, using food restriction and physical
activity to control their child's weight, and giving negative weight-related
comments/behaviors. This case study illustrates the importance of adapting and
tailoring state mandated BMIHLs for parents based on child's BMI status.
PMID- 22068273
TI - A unifying hypothesis and a single name for a complex globally emerging
infection: hantavirus disease.
PMID- 22068274
TI - Laboratory evaluation of the ESwab transport system for the recovery of
carbapenem-resistant Acinetobacter baumannii.
AB - Microbiological surveillance for detection of carbapenem-resistant A. baumannii
is important, but recovery of A. baumannii is inadequate. We studied A. baumannii
recovery by a particular transport system that is possibly superior over standard
swabs, using reference and clinical strains. First, the recovery rates relating
to the various swabs were compared with regard to various combinations of
transport times (0 h, 1 h, 24 h, 48 h), storage times (0 weeks, 1 week, 2 weeks,
4 weeks) and storage temperatures (4 degrees c,-80 degrees c) using live counts.
Second, the recovery of different inocula of strains mixed with fecal microbiota
was evaluated by plating on selective medium. The new transport system exhibited
a decline of <3log10 under almost all conditions studied and performed better
than standard swabs under several conditions. If plated on selective media, the
new transport system performed well, even after prolonged transport or with a low
inoculum, and its processing could be delayed by up to 2 weeks, especially if
refrigerated. The new transport system may thus enhance A. baumannii
surveillance.
PMID- 22068275
TI - Molecular epidemiology and characterisation of MRSA isolates from Trinidad and
Tobago.
AB - Eighty methicillin-resistant Staphylococcus aureus (MRSA) isolates from three
hospitals in Trinidad and Tobago were collected and genotyped using microarray
hybridisation. They were found to belong to three distinct MRSA strains. Of the
80 isolates, 76 were assigned to ST239-MRSA-III. They were largely homogeneous,
although some variations affected the presence of the enterotoxin A gene, as well
as of resistance markers (mercury resistance operon, aadD, tet(K), qacA). The
mupA gene conferring mupirocin resistance was found in 7.3% of isolates. One
isolate was identified as CC5-MRSA-II and three isolates belonged to the Panton
Valentine leukocidin (PVL)-positive ST8-MRSA-IV strain USA300. While community
acquired MRSA strains are rare in Trinidad and Tobago, the vast majority of MRSA
cases can be attributed to healthcare-associated strains. Thus, infection control
procedures within medical facilities need to be revised and enforced. This could
substantially reduce the burden of MRSA to healthcare in Trinidad and Tobago.
PMID- 22068276
TI - Radioactive holmium acetylacetonate microspheres for interstitial
microbrachytherapy: an in vitro and in vivo stability study.
AB - PURPOSE: The clinical application of holmium acetylacetonate microspheres
(HoAcAcMS) for the intratumoral radionuclide treatment of solid malignancies
requires a thorough understanding of their stability. Therefore, an in vitro and
an in vivo stability study with HoAcAcMS was conducted. METHODS: HoAcAcMS, before
and after neutron irradiation, were incubated in a phosphate buffer at 37 degrees
C for 6 months. The in vitro release of holmium in this buffer after 6 months was
0.5%. Elemental analysis, scanning electron microscopy, infrared spectroscopy and
time of flight secondary ion mass spectrometry were performed on the HoAcAcMS.
RESULTS: After 4 days in buffer the acetylacetonate ligands were replaced by
phosphate, without altering the particle size and surface morphology. HoAcAcMS
before and after neutron irradiation were administered intratumorally in VX2
tumor-bearing rabbits. No holmium was detected in the faeces, urine, femur and
blood. Histological examination of the tumor revealed clusters of intact
microspheres amidst necrotic tissue after 30 days. CONCLUSION: HoAcAcMS are
stable both in vitro and in vivo and are suitable for intratumoral radionuclide
treatment.
PMID- 22068277
TI - Glucuronidation and methylation of procyanidin dimers b2 and 3,3"-di-o-galloyl-b2
and corresponding monomers epicatechin and 3-o-galloyl-epicatechin in mouse
liver.
AB - PURPOSE: The 3,3"-di-O-galloyl ester of procyanidin B2 (B2G2) is a component of
grape seed extract that inhibits growth of human prostate carcinoma cell lines.
In preparation for studies in mice, its hepatic metabolism was examined in vitro
and compared to B2 and the corresponding monomers, epicatechin (EC) and 3-O
galloyl-epicatechin (ECG). METHODS: Compounds were incubated with liver
microsomes or cytosol containing cofactors for glucuronidation, sulfation or
methylation, and products analyzed by liquid chromatography-mass spectrometry (LC
MS). B2G2 was administered orally to mice and plasma analyzed by LC-MS for
unmodified procyanidin and metabolites. RESULTS: Glucuronides and methyl ethers
of B2 and B2G2 were formed in small amounts. In contrast, EC and ECG were largely
or completely converted to glucuronides, sulfates and methyl ethers under the
same incubation conditions. B2G2 given orally to mice was partially absorbed
intact; no significant metabolites were detected in plasma. CONCLUSIONS:
Glucuronidation and methylation of procyanidins B2 and B2G2 occurred but were
minor processes in vitro. B2G2 was partially absorbed intact in mice after oral
dosing and did not undergo significant metabolism. Unlike the flavanol monomers
EC and ECG, therefore, B2G2 bioavailability should not be limited by metabolism.
These results paved the way for ongoing pharmacokinetic and efficacy studies.
PMID- 22068278
TI - Pharmacokinetic modeling to assess factors affecting the oral bioavailability of
the lactone and carboxylate forms of the lipophilic camptothecin analogue AR-67
in rats.
AB - PURPOSE: Camptothecin analogues are anticancer drugs effective when dosed in
protracted schedules. Such treatment is best suited for oral formulations. AR-67
is a novel lipophilic analogue with potent efficacy in preclinical models. Here
we assessed factors that may influence its oral bioavailability in rats. METHODS:
Plasma pharmacokinetic (PK) studies were conducted following administration of AR
67 lactone or carboxylate doses alone or after pre-dosing with inhibitors of the
efflux transporters P-gp and Bcrp. A population PK model that simultaneously
fitted to oral and intravenous data was used to estimate the bioavailability (F)
and clearance of AR-67. RESULTS: An inverse Gaussian function was used as the
oral input into the model and provided the best fits. Covariate analysis showed
that the bioavailability of the lactone, but not its clearance, was dose
dependent. Consistent with this observation, the bioavailability of AR-67
increased when animals were pretreated orally with GF120918 or Zosuquidar.
CONCLUSION: Absorption of AR-67 is likely affected by solubility of its lactone
form and interaction with efflux pumps in the gut. AR-67 appears to be absorbed
as the lactone form, most likely due to gastric pH favoring its formation and
predominance. F increased at higher doses suggesting saturation of efflux
mechanisms.
PMID- 22068279
TI - Cellular reprogramming: a new technology frontier in pharmaceutical research.
AB - Induced pluripotent stem cells via cellular reprogramming are now finding
multiple applications in the pharmaceutical research and drug development
pipeline. In the pre-clinical stages, they serve as model systems for basic
research on specific diseases and then as key experimental tools for testing and
developing therapeutics. Here we examine the current state of cellular
reprogramming technology, with a special emphasis on approaches that recapitulate
previously intractable human diseases in vitro. We discuss the technical and
operational challenges that must be tackled as reprogrammed cells become
incorporated into routine pharmaceutical research and drug discovery.
PMID- 22068281
TI - Living life in the balance at midlife: lessons learned from mindfulness.
AB - The purpose of this qualitative study was to describe the perceived effects of a
Mindfulness-Based Stress Reduction (MBSR) Program on stress and quality of life
of women in midlife. A total of 20 nurses, aged 45 to 55 years, who participated
in a stress reduction course were contacted for interviews. A total of 9 nurses
agreed to be interviewed. Content analysis was used to analyze these interviews.
The five themes that emerged from the analysis were as follows: strengthening of
interpersonal communication through social support, increased personal awareness
through becoming more mindful and reflective, a spiritual awakening, effective
ways of dealing with stress, and living life in balance by taking hold of one's
life. This study increased the authors' understanding of effects/benefits,
adherence, and application of MBSR techniques for women in midlife. It is
concluded that MBSR may be a useful intervention for nurses in midlife to develop
successful strategies for dealing with stress and to improve their quality of
life.
PMID- 22068280
TI - Use of artificial digestive systems to investigate the biopharmaceutical factors
influencing the survival of probiotic yeast during gastrointestinal transit in
humans.
AB - PURPOSE: To evaluate the influence of the main biopharmaceutical factors on the
viability of a new probiotic yeast strain, using dynamic in vitro systems
simulating human gastric/small intestinal (TIM) and large intestinal (ARCOL)
environments. METHODS: The viability of Saccharomyces cerevisiae CNCM I-3856
throughout the artificial digestive tract was determined by microbial counting.
We investigated the effects of galenic formulation, food intake, dose, mode and
frequency of administration on yeast survival rate. RESULTS: In both fasted and
fed states, yeast viability in the upper digestive tract was significantly higher
when the probiotic was administered in hydroxypropylmethylcellulose (HPMC)
capsules compared to tablets. Food intake led to a delay in yeast release and a
two-fold increase in strain survival. Whatever the dose, yeasts were particularly
sensitive to the large intestinal environment. High concentrations of probiotic
could only be maintained in the colon when it was inoculated twice a day over a 5
h-period. CONCLUSIONS: TIM and ARCOL are complementary in vitro tools relevant
for screening purposes, supplying valuable information on the effects of galenic
form, food intake and dose regimen on the viability of probiotics throughout the
human digestive tract.
PMID- 22068282
TI - Effects of informal caregivers on function of older adults in home health care.
AB - This study aimed to examine the effects of informal caregivers on the functioning
of older adults in home health care (HHC). The authors performed a secondary data
analysis using the Outcome and Assessment Information Set of older adults (N =
8,750) who were admitted to a HHC agency from acute care hospitals. We conducted
hierarchical multiple linear regression with functional dependence after a 60-day
episode of formal HHC as an outcome variable. The older adults with informal
caregivers showed less functional dependence at discharge (beta = -0.821, p =
.027) than those without informal caregivers. Older adults who have a spouse
(beta = -3.886, p < .001), offspring (beta = -3.614, p < .001), relative (beta =
4.360, p < .001), or nonrelative (beta = -4.977, p < .001) caregiver showed less
functional dependence at discharge compared with those who had a paid caregiver
when controlling for risk factors.
PMID- 22068284
TI - Integrated analysis of multiple gene expression profiling datasets revealed novel
gene signatures and molecular markers in nasopharyngeal carcinoma.
AB - PURPOSE: To identify the novel gene signatures and molecular markers of
nasopharyngeal carcinoma (NPC) by integrated bioinformatics analysis of multiple
gene expression profiling datasets. EXPERIMENTAL DESIGN: Seven published gene
expression profiling studies and one of our unpublished works were reanalyzed to
identify the common significantly dysregulated (CSD) genes in NPC.
Overrepresentation analysis of cytogenetic bands, Gene Ontology (GO) categories,
pathways were used to explore CSD genes functionally associated with
carcinogenesis. The protein expressions of selected CSD genes were examined by
immunohistochemistry on tissue microarrays, and the correlations of their
expressions with clinical outcomes were evaluated. RESULTS: Using the criteria
(genes reported deregulated in more than one study), a total of 962 genes were
identified as the CSD genes in NPC. Four upregulated (BUB1B, CCND2, CENPF, and
MAD2L1) and two downregulated (LTF and SLPI) genes were markedly reported in six
studies. The enrichments of chromosome aberrations were 2q23, 2q31, 7p15, 12q15,
12q22, 18q11, and 18q12 in upregulated genes and 14q32 and 16q13 in downregulated
genes. The activated GO categories and pathways related to proliferation,
adhesion, invasion, and downregulated immune response had been functionally
associated with NPC. SLPI significantly downregulated in nasopharyngeal
adenocarcinoma. Furthermore, the high expression of BUB1B or CENPF was associated
with poor overall survival of patients. CONCLUSION: It was first clearly
identified the dysregulated expression of BUB1B and SLPI in NPC tissues. IMPACT:
Further studies of the CSD genes as gene signatures and molecular markers of NPC
might improve the understanding of the disease and identify new therapeutic
targets.
PMID- 22068285
TI - Use of nonsteroidal anti-inflammatory drugs and survival following breast cancer
diagnosis.
AB - BACKGROUND: While there is accumulating evidence that use of nonsteroidal anti
inflammatory drugs (NSAID) decreases breast cancer risk, little is known about
the impact of NSAIDs on survival after breast cancer diagnosis. METHODS: We
assessed whether recent, prediagnostic NSAID use and lifetime cumulative aspirin
use before diagnosis were associated with survival among 1,024 women with
incident, primary, invasive breast cancer. RESULTS: Recent prediagnostic use of
aspirin, ibuprofen, and acetaminophen and lifetime use of aspirin up to diagnosis
were not associated with either all-cause mortality or breast cancer-specific
mortality. Neither dose nor frequency of use was associated with risk.
Associations were not different for pre- and postmenopausal women. CONCLUSION: In
our data, prediagnostic NSAID use and lifetime cumulative aspirin use were not
associated with breast cancer survival. IMPACT: Our findings do not support a
role of NSAIDs prior to diagnosis in breast cancer survival.
PMID- 22068286
TI - Exercise effects on depressive symptoms in cancer survivors: a systematic review
and meta-analysis.
AB - BACKGROUND: Depression is a distressing side effect of cancer and its treatment.
In the general population, exercise is an effective antidepressant. OBJECTIVE: We
conducted a systematic review and meta-analysis to determine the antidepressant
effect of exercise in cancer survivors. DATA SOURCES: In May 2011, we searched
MEDLINE, PsycInfo, EMBASE, CINAHL, CDSR, CENTRAL, AMED, Biosis Previews, and
Sport Discus and citations from relevant articles and reviews. STUDY ELIGIBILITY
CRITERIA: We included randomized controlled trials (RCT) comparing exercise
interventions with usual care in cancer survivors, using a self-report inventory
or clinician rating to assess depressive symptoms, and reporting symptoms pre-
and postintervention. STUDY APPRAISAL: Around 7,042 study titles were identified
and screened, with 15 RCTs included. SYNTHESIS METHODS: Effect sizes (ES) were
reported as mean change scores. The Q test was conducted to evaluate
heterogeneity of ES. Potential moderator variables were evaluated with
examination of scatter plots and Wilcoxon rank-sum or Kruskal-Wallis tests.
RESULTS: The overall ES, under a random-effects model, was -0.22 (confidence
interval, -0.43 to -0.09; P = 0.04). Significant moderating variables (ps < 0.05)
were exercise location, exercise supervision, and exercise duration. LIMITATIONS:
Only one study identified depression as the primary endpoint. CONCLUSIONS:
Exercise has modest positive effects on depressive symptoms with larger effects
for programs that were supervised or partially supervised, not conducted at home,
and at least 30 minutes in duration. IMPACT: Our results complement other studies
showing that exercise is associated with reduced pain and fatigue and with
improvements in quality of life among cancer survivors.
PMID- 22068287
TI - Long-term cancer risk among people diagnosed with AIDS during childhood.
AB - BACKGROUND: Highly active antiretroviral therapy (HAART) results in partial
immune restoration for people with AIDS, but its impact on cancer risk among
children is unknown. METHODS: Data from the U.S. HIV/AIDS Cancer Match Study were
used to evaluate cancer risk for people diagnosed with AIDS as children
(diagnosed with AIDS at ages 0-14 years, during 1980-2007, followed for up to 10
years; N = 5,850). We calculated standardized incidence ratios (SIR) to compare
cancer risk to the general population. Poisson regression evaluated changes in
cancer incidence between the pre-HAART (1980-1995) and HAART eras (1996-2007).
RESULTS: There were 106 cancers observed with significantly elevated risks for
the two major AIDS-defining cancers: Kaposi sarcoma [KS; N = 20, SIR = 1,694; 95%
confidence interval (CI), 986-2,712 and SIR = 1,146; 95% CI, 236-3,349] during
the pre-HAART and HAART eras, respectively, and non-Hodgkin lymphoma (NHL; N =
64, SIR = 338; 95% CI, 242-458 and SIR = 116; 95% CI, 74-175). Incidence of both
cancers declined 87% and 60%, respectively, in the HAART era (P < 0.05). Of non
AIDS-defining cancers, leiomyosarcoma risk (N = 9) was elevated during both time
periods (SIR = 863; 95% CI, 235-2,211 and SIR = 533; 95% CI, 173-1,243).
CONCLUSION: People diagnosed with AIDS during childhood remain at elevated risk
for KS, NHL, and leiomyosarcoma in the HAART era. Incidence of KS and NHL
declined relative to widespread HAART use, but there was no change in the
incidence of other cancers. IMPACT: People diagnosed with AIDS during childhood
remain at elevated risk for certain cancers. Continued monitoring is warranted as
this immunosuppressed population ages into adulthood where cancer risks generally
increase.
PMID- 22068288
TI - Patient activation increases colorectal cancer screening rates: a randomized
trial among low-income minority patients.
AB - BACKGROUND: Colorectal cancer (CRC) screening rates remain low among low-income
and minority populations. The purpose of this study was to determine whether
providing patients with screening information, activating them to ask for a
screening test, and telephone barriers counseling improves CRC screening rates
compared with providing screening information only. METHODS: Patients were
randomized to CRC screening information plus patient activation and barriers
counseling (n = 138) or CRC screening information (n = 132). Barriers counseling
was attempted among activated patients if screening was not completed after one
month. CRC screening test completion was determined by medical record review at
two months after the medical visit. Logistic regression was used to determine
whether activated patients were more likely to complete CRC screening, after
adjustment for confounding factors (e.g., demographic characteristics and CRC
knowledge). RESULTS: Patients were African American (72.2%), female (63.7%), had
annual household incomes less than $20,000 (60.7%), no health insurance (57.0%),
and limited health literacy skills (53.7%). In adjusted analyses, more patients
randomized to the activation group completed a screening test (19.6% vs. 9.9%; OR
= 2.35, 95% CI: 1.14-5.56; P = 0.020). In addition, more activated patients
reported discussing screening with their provider (54.4% vs. 27.5%, OR = 3.29,
95% CI: 1.95-5.56; P < 0.001) and had more screening tests ordered (39.1% vs.
17.6%; OR = 3.40, 95% CI: 1.88-6.15; P < 0.001) compared with those in the
control group. CONCLUSION: Patient activation increased CRC screening rates among
low-income minority patients. IMPACT: Innovative strategies are still needed to
increase CRC screening discussions, motivate providers to recommend screening to
patients, as well as assist patients to complete ordered screening tests.
PMID- 22068289
TI - Comparison of physical activity levels between cancer survivors and non-cancer
participants in the 2009 BRFSS.
AB - INTRODUCTION: The purpose of this study is to compare physical activity (PA)
levels between cancer survivors and those without cancer diagnosis (non-cancer
participants). METHODS: Using data from the 2009 Behavioral Risk Factor
Surveillance System, we conducted secondary data analysis to compare PA between
31,078 cancer survivors with history of single-site cancer diagnosis at least 2
years prior to the survey, including cancer survivors of prostate [n = 2,951],
female breast [n = 5,695], colon [n = 1,436], cervix [n = 2,211], endometrium [n
= 1,080], and ovary [n = 732], and 235,351 non-cancer participants between 40 and
79 years of age. Self-reported PA and cancer history data were collected through
telephone interviews. Weekly moderate- to vigorous-intensity PA levels were
calculated in moderate-to-intensity physical activity equivalent (MIE). Least
squares means of MIE were obtained from sex, age groups (40-64 years [middle
aged] and 65-79 years [older]), and cancer site-specific multivariable linear
regression models, adjusting for age, age(2), race/ethnicity, household income,
and education. RESULTS: Prostate cancer survivors reported participating in
approximately 20 min/week more MIE, compared to their non-cancer counterparts (P
< 0.10 for the middle-aged group; P < 0.01 for the older group). Middle-aged
cervical and endometrial cancer survivors reported approximately 10 min/week less
MIE (P < 0.05 and P < 0.15, respectively). Adjusted means of MIE were not
different between cancer survivors of colon, female breast, or ovary and non
cancer participants. CONCLUSIONS: This study demonstrates higher PA levels among
prostate cancer survivors and lower PA levels among middle-aged cervical and
endometrial cancer survivors, compared to sex- and age group-matched general
populations.
PMID- 22068290
TI - Terminalia gum as a directly compressible excipient for controlled drug delivery.
AB - The exudates from the incised trunk of Terminalia randii has been evaluated as
controlled release excipient in comparison with xanthan gum and
hydroxypropylmethylcellulose (HPMC) using carvedilol (water insoluble) and
theophylline (water soluble) as model drugs. Matrix tablets were prepared by
direct compression and the effects of polymer concentration and excipients-spray
dried lactose, microcrystalline cellulose and dicalcium phosphate dihydrate on
the mechanical (crushing strength (CS) friability (F) and crushing strength
friability ratio (CSFR)) and drug release properties of the matrix tablets were
evaluated. The drug release data were fitted into different release kinetics
equations to determine the drug release mechanism(s) from the matrix tablets. The
results showed that the CS and CSFR increased with increase in polymer
concentration while F decreased. The ranking of CS and CSFR was HPMC > terminalia
> xanthan while the ranking was reverse for F. The ranking for t(25) (i.e. time
for 25% drug release) at a polymer concentration of 60% was xanthan > terminalia
= HPMC. The dissolution time, t(25), of theophylline matrices was significantly
lower (p < 0.001) than those of carvedilol matrix tablets. Drug release from the
matrices was by swelling, diffusion and erosion. The mechanical and drug release
properties of the tablets were significantly (p < 0.05) dependent on the type and
concentration of polymer and excipients used with the release mechanisms varying
from Fickian to anomalous. Terminalia gum compared favourably with standard
polymers when used in controlled release matrices and could serve as a suitable
alternative to the standard polymers in drug delivery.
PMID- 22068291
TI - Effect of sorbed water on disintegrant performance of four brands of Polacrilin
Potassium NF.
AB - Polacrilin Potassium NF is a commonly used weak cation exchange resin
disintegrant in pharmaceutical tablets. The objective of this research was to
evaluate the effects of sorbed moisture on physical characteristics and
disintegrant performance of four brands of Polacrilin Potassium NF. The
disintegrants were stored in five different relative humidity chambers and their
dynamic vapor adsorption-desorption analysis, effect of moisture on their
compressibility, compactability, particle size, morphology, water uptake rate,
and disintegration ability were studied. Moisture seemed to plasticize the
disintegrants, reducing their yield pressures. However, certain optimum amount of
moisture was found to be useful in increasing the compactablity of the tablets
containing disintegrants. The tablets, however, lost their tensile strengths
beyond this optimum moisture content. Moisture caused two brands of the
disintegrants to swell; however, two other brands aggregated upon exposure to
moisture. Swelling without aggregation increased the water uptake, and in turn
the disintegrant performance. However, aggregation probably reduced the
porosities of the disintegrants, reducing their water uptake rate and
disintegrant performance. Different brands of Polacrilin Potassium NF differed in
the abilities to withstand the effects of moisture on their functionality. Effect
of moisture on disintegrant performance of Polacrilin Potassium NF needs to be
considered before its use in tablets made by wet granulation.
PMID- 22068292
TI - Parents' Experiences of Discrimination and Family Relationship Qualities: The
Role of Gender.
AB - Mothers and fathers in 156 African American families reported on racial
discrimination experiences, gendered traits, and warmth and conflict in family
relationships. Discrimination was linked with relationship quality, but links
differed for mothers and fathers. More expressive parents and less instrumental
fathers had more positive relationships in the face of discrimination, but for
more instrumental fathers, discrimination-relationship quality links were
negative. Findings imply consideration of sociocultural and individual
characteristics for family relationships.
PMID- 22068294
TI - Simultaneous electrochemical immunoassay of three liver cancer biomarkers using
distinguishable redox probes as signal tags and gold nanoparticles coated carbon
nanotubes as signal enhancers.
AB - A novel strategy for simultaneous determination of three liver cancer biomarkers
based on three redox labels with distinct voltammetric peaks was described. Gold
nanoparticles coated carbon nanotubes were used as carriers to immobilize redox
probes labeled antibodies and to amplify the signals.
PMID- 22068299
TI - Cyclohexyl "base pairs" stabilize duplexes and intensify pyrene fluorescence by
shielding it from natural base pairs.
AB - In this study, we investigated the stability and structure of artificial base
pairs that contain cyclohexyl rings. The introduction of a single pair of
isopropylcyclohexanes into the middle of DNA slightly destabilized the duplex.
Interestingly, as the number of the "base pairs" increased, the duplex was
remarkably stabilized. A duplex with six base pairs was even more stable than one
containing six A-T pairs. Thermodynamic analysis revealed that changes in entropy
and not enthalpy contributed to duplex stability, demonstrating that hydrophobic
interactions between isopropyl groups facilitated the base pairing, and thus
stabilized the duplex. NOESY of a duplex containing an isopropylcyclohexane
methylcyclohexane pair unambiguously demonstrated its "pairing" in the duplex
because distinct NOEs between the protons of cyclohexyl moieties and imino
protons of both of the neighboring natural base pairs were observed. CD spectra
of duplexes tethering cyclohexyl moieties also showed a positive-negative couplet
that is characteristic of the B-form DNA duplex. Taken together, these results
showed that cyclohexyl moieties formed base pairs in the DNA duplex without
severely disturbing the helical structure of natural DNA. Next, we introduced
cyclohexyl base pairs between pyrene and nucleobases as an "insulator" that
suppresses electron transfer between them. We found a massive increase in the
quantum yield of pyrene due to the efficient shielding of pyrene from
nucleobases. The cyclohexyl base pairs reported here have the potential to
prepare highly fluorescent labeling agents by multiplying fluorophores and
insulators alternately into DNA duplexes.
PMID- 22068300
TI - Physical activity and colorectal cancer risk: an evaluation based on a systematic
review of epidemiologic evidence among the Japanese population.
AB - OBJECTIVE: Higher levels of physical activity have been consistently associated
with a decreased risk of colon cancer, but not rectal cancer, in Western
populations. The present study systematically evaluated epidemiologic evidence on
the association between physical activity and colorectal cancer risk among the
Japanese population. METHODS: Original data were obtained from MEDLINE searched
using PubMed or from searches of the Ichushi database, complemented by manual
searches. The associations were evaluated based on the strength of evidence, the
magnitude of association and biologic plausibility. RESULTS: Two cohort studies
and six case-control studies were identified. A weak to strong protective
association between physical activity and colon cancer risk was observed in both
cohort studies, showing a graded relationship, and among the majority of case
control studies, with some showing a dose-response relationship. The association
observed in cohort studies was more consistent and stronger in men than in women
and for proximal colon cancer than for distal colon cancer. A protective
association with rectal cancer was found only in case-control studies, but the
evidence was less consistent and weaker than that observed for colon cancer.
CONCLUSIONS: Physical activity probably decreases the risk of colorectal cancer
among the Japanese population. More specifically, the evidence for the colon is
probable, whereas that for the rectum is insufficient.
PMID- 22068306
TI - Late onset of skin manifestations in Birt-Hogg-Dube syndrome with FLCN mutation
p.W260X.
PMID- 22068307
TI - Highly regioselective Lewis acid-catalyzed [3+2] cycloaddition of alkynes with
donor-acceptor oxiranes by selective carbon-carbon bond cleavage of epoxides.
AB - A novel, efficient, highly regioselective Sc(OTf)(3)-catalyzed [3+2]
cycloaddition of electron-rich alkynes with donor-acceptor oxiranes via highly
chemoselective C-C bond cleavage under mild conditions was developed.
PMID- 22068308
TI - Antibiotic resistance really starts to hurt.
PMID- 22068309
TI - Nitron: a stable N-heterocyclic carbene that has been commercially available for
more than a century.
AB - The analytical reagent Nitron is a mesoionic compound whose structure consists of
a cationic triazolium unit with an anionic PhN substituent. We provide clear
evidence for the existence of a singlet diaminocarbene tautomer whose structure
is composed of a 1,2,4-triazol-5-ylidene unit bearing an NHPh substituent.
PMID- 22068310
TI - Identification of heavy metal pollutants using multivariate analysis and effects
of land uses on their accumulation in urban soils in Beijing, China.
AB - In order to evaluate the current state of the environmental quality of soils in
Beijing, we investigated contents of 14 metals in Beijing urban soils inside the
5th ring road by even grids sampling. Statistic analyses were conducted to
identify possible heavy metal pollutants, as well as the effects of land uses on
their accumulation. Our results revealed that the urban soils in Beijing were
contaminated by Cd, Pb, Cu, and Zn. Land uses and urbanization ages affected the
accumulation of the four heavy metals in soils significantly. Soils in industrial
areas have the highest average Cu and Zn contents, while Pb contents in park
areas and Cd in agricultural areas are the highest. The accumulations of Pb and
Zn in urban soils increase significantly with sampling plots approaching the city
center. And Pb, Cd, and Zn contents in soils in traffic areas also tend to
increase in the city center. However, residential areas have the lowest contents
of all the four heavy metals.
PMID- 22068311
TI - Heavy metal assessment using geochemical and statistical tools in the surface
sediments of Vembanad Lake, Southwest Coast of India.
AB - The geochemical distribution and enrichment of ten heavy metals in the surface
sediments of Vembanad Lake, southwest coast of India was evaluated. Sediment
samples from 47 stations in the Lake were collected during dry and wet seasons in
2008 and examined for heavy metal content (Al, Fe, Mn, Cr, Zn, Ni, Pb, Cu, Co,
Cd), organic carbon, and sediment texture. Statistically significant spatial
variation was observed among all sediment variables, but negligible significant
seasonal variation was observed. Correlation analysis showed that the metal
content of sediments was mainly regulated by organic carbon, Fe oxy-hydroxides,
and grain size. Principal component analysis was used to reduce the 14 sediment
variables into three factors that reveal distinct origins or accumulation
mechanisms controlling the chemical composition in the study area. Pollution
intensity of the Vembanad Lake was measured using the enrichment factor and the
pollution load index. Severe and moderately severe enrichment of Cd and Zn in the
north estuary with minor enrichment of Pb and Cr were observed, which reflects
the intensity of the anthropogenic inputs related to industrial discharge into
this system. The results of pollution load index reveal that the sediment was
heavily polluted in northern arm and moderately polluted in the extreme end and
port region of the southern arm of the lake. A comparison with sediment quality
guideline quotient was also made, indicating that there may be some
ecotoxicological risk to benthic organisms in these sediments.
PMID- 22068312
TI - Determination of three alkylphenol isomers in various water samples using a new
HPLC method based on a duet stationary phase.
AB - The level of three alkylphenols (APs) 4-nonylphenol, 4-octylphenol and 4-tert
octylphenol was monitored in various water samples using a new developed and
validated HPLC method. The HPLC method employed a column with a mixed-mode
stationary phase (C18/SCX) using a mobile phase of water to methanol = 15:85
(v/v) delivered at a flow rate of 1 mL/min at room temperature. Both diode array,
DAD and fluorescence, FLD detectors were employed. The method is linear when APs
concentration ranged from 0.025 to 0.5 MUg/mL with a DAD detection at 279 nm and
between 0.0008 and 0.1 MUg/mL when the detection was made by FLD (excitation at
220 nm, emission at 315 nm). The limit of detection and limit of quantitation for
alkylphenols were found to be 5 and 15 ng/mL, respectively (detection by DAD).
The method was employed with good results for the determination of APs in the
presence of polycyclic aromatic hydrocarbons in various water samples.
PMID- 22068313
TI - Principles for the monitoring and evaluation of wetland extent, condition and
function in Australia.
AB - The monitoring of resource condition is receiving renewed attention across
several levels of government in Australia. This interest is linked to substantial
investment in environmental remediation and aquatic ecosystem restoration in
particular. In this context, it is timely to consider principles which ought to
guide the development and implementation of monitoring programmes for wetland
ecosystems. A framework is established which places monitoring in the context of
the strategic adaptive management of wetlands. This framework requires there has
to be clear goals for the extent and condition of the resource, with these goals
being defined within thresholds of acceptable variability. Qualitative and, where
possible, quantitative conceptual models linking management interventions to
management goals should be the basis of indicator selection and assessment. The
intensity of sampling ought to be informed by pilot surveys of statistical power
in relation to the thresholds of acceptable variability identified within the
management plan.
PMID- 22068314
TI - Removal of phenols and other pollutants from different landfill leachates using
powdered activated carbon supplemented SBR technology.
AB - In this research, two types of sequencing batch reactors (SBRs) with 8 h of cycle
times, namely non-powdered activated carbon (NPAC-SBR) and powdered activated
carbon (PAC-SBR), were used for the treatment of raw leachates at Kulim and Pulau
Burung landfill sites. To test the performance of SBRs, phenols, total iron,
zinc, ammonia, nitrite, nitrate, color, suspended solids, chemical oxygen demand,
biochemical oxygen demand, and total dissolved salts removal efficiencies and
sludge volume index (SVI) were studied at both sites. The rates of phenols
removal, for instance in NPAC-SBRs and PAC-SBRs at Kulim, were 25% and 55%,
respectively, whereas those at Pulau Buring were 94.81% and 97.75%, respectively.
PAC as adsorbent in PAC-SBRs enhanced the removal efficiencies of the
aforementioned pollutants from leachates at both sites. In addition, PAC as
adsorbent decreased the SVI values at Kulim (59.7 mL/g) and Pulau Burung (91.4
mL/g) leachates and improved the nitrification and denitrification processes.
PMID- 22068315
TI - Abundance versus presence/absence data for modelling fish habitat preference with
a genetic Takagi-Sugeno fuzzy system.
AB - This study compared the accuracy of fuzzy habitat preference models (FHPMs) and
habitat preference curves (HPCs) obtained from the FHPMs in order to assess the
effect of two types of data [log-transformed fish population density (LOG) and
presence-absence (P/A) data] on the habitat preference evaluation of Japanese
medaka (Oryzias latipes). Three independent data sets were prepared for each type
of data. The results differed according to the data sets and the types of data
used. The HPCs showed a similar trend, whilst the degrees of preference were
different. The model accuracy also differed according to the data sets used.
Although almost no statistical difference was observed, on average, the P/A-based
models showed a better performance according to the threshold-independent
performance measures, whilst the LOG-based models showed better performance in
predicting absence of the fish. These results can be explained partly from the
different shapes of HPCs. This case study of Japanese medaka demonstrated the
effect of different types of data on habitat preference evaluation. Further
studies should build on the present finding and evaluate the effects of data
characteristics such as the size of data sets and the prevalence for better
understanding and reliable assessment of the habitat for target species.
PMID- 22068316
TI - Distribution behavior and carcinogenic level of some polycyclic aromatic
hydrocarbons in roadside soil at major traffic intercepts within a developing
city of India.
AB - A study of polycyclic aromatic hydrocarbons (PAHs) pollution in roadside soil was
conducted at a developing city locations of Jalandhar (Punjab), India in winter
season to ascertain the contamination levels and their distribution behavior in
roadside soil. PAHs concentration level of ten locations was measured at 1, 2,
and 3 m distances from roadside soil covering all the major traffic intercepts
within a city. Samples were extracted in acetone and dichloromethane (1:1) using
soxhlet extraction. The extracts were filtered on a silica gel micro column to
remove impurities and eluate was subjected to GC-FID. The total average PAHs
concentration (city average) was found to be 4.04 MUg g(-1), whereas the
concentration of 16 individual PAHs was found to vary between 0.008 and 28.4 MUg
g(-1). The average concentration of noncarcinogenic and carcinogenic PAHs in all
the samples was 2.17 and 6.41 MUg g(-1) (ratio 1:2.95). The concentration of five
ringed PAHs was found to be 45% to 60%, whereas two ringed PAHs were found to be
in the range from 0.28% to 0.56% in all most all locations. The average highest
PAHs concentration for any individual location was found as 12.23 MUg g(-1) at
DAV Chowk at 1 m distance and minimum concentration was 0.98 MUg g(-1) at Maqsuda
Chowk at 1 m distance from roadside. DiB (ah) A was the individual PAHs found in
highest concentration in all the intercepts ranging between 1.26 and 28 MUg g(
1). At most of the city intercepts, total carcinogenic PAHs concentration was
found to range from 60% to 80% in comparison to noncarcinogenic PAHs (20%-40%) at
most of the intercepts. The pollution level our study was compared with other
cities of India/worldwide.
PMID- 22068317
TI - Uncertain spatial reasoning of environmental risks in GIS using genetic learning
algorithms.
AB - Modeling the impact of air pollution is one of the most important approaches for
managing damages to the ecosystem. This problem can be solved by sensing and
modeling uncertain spatial behaviors, defining topological rules, and using
inference and learning capabilities in a spatial reasoning system. Reasoning,
which is the main component of such complex systems, requires that proper rules
be defined through expert judgments in the knowledge-based part. Use of genetic
fuzzy capabilities enables the algorithm to learn and be tuned to proper rules in
a flexible manner and increases the preciseness and robustness of operations. The
main objective of this paper was to design and evaluate a spatial genetic fuzzy
system, with the goal of assessing environmental risks of air pollution due to
oil well fires during the Persian Gulf War. Dynamic areas were extracted and
monitored through images from NOAA, and the data were stored in an efficient
spatial database. Initial spatial knowledge was determined by expert
consideration of the application characteristics, and the inference engine was
performed with genetic learning (GL) algorithms. Finally, GL (0.7 and 0.03), GL
(0.7 and 0.08), GL (0.98 and 0.03), GL (0.98 and 0.08), and Cordon learning
methods were evaluated with test and training data related to samples extracted
from Landsat thematic mapper satellite images. Results of the implementation
showed that GL (0.98, 0.03) was more precise than the other methods for learning
and tuning rules in the concerned application.
PMID- 22068318
TI - Redox switching and oxygen evolution at oxidized metal and metal oxide
electrodes: iron in base.
AB - Outstanding issues regarding the film formation, redox switching characteristics
and the oxygen evolution reaction (OER) electrocatalytic behaviour of multicycled
iron oxyhydroxide films in aqueous alkaline solution have been revisited. The
oxide is grown using a repetitive potential multicycling technique, and the
mechanism of the latter hydrous oxide formation process has been discussed. A
duplex layer model of the oxide/solution interphase region is proposed. The
acid/base behaviour of the hydrous oxide and the microdispersed nature of the
latter material has been emphasised. The hydrous oxide is considered as a porous
assembly of interlinked octahedrally coordinated anionic metal oxyhydroxide
surfaquo complexes which form an open network structure. The latter contains
considerable quantities of water molecules which facilitate hydroxide ion
discharge at the metal site during active oxygen evolution, and also charge
compensating cations. The dynamics of redox switching has been quantified via
analysis of the cyclic voltammetry response as a function of potential sweep rate
using the Laviron-Aoki electron hopping diffusion model by analogy with redox
polymer modified electrodes. Steady state Tafel plot analysis has been used to
elucidate the kinetics and mechanism of oxygen evolution. Tafel slope values of
ca. 60 mV dec(-1) and ca. 120 mV dec(-1) are found at low and high overpotentials
respectively, whereas the reaction order with respect to hydroxide ion activity
changes from ca. 3/2 to ca. 1 as the potential is increased. These observations
are rationalised in terms of a kinetic scheme involving Temkin adsorption and the
rate determining formation of a physisorbed hydrogen peroxide intermediate on the
oxide surface. The dual Tafel slope behaviour is ascribed to the potential
dependence of the surface coverage of adsorbed intermediates.
PMID- 22068319
TI - Variation in seedling freezing response is associated with climate in Larrea.
AB - Variation in freezing severity is hypothesized to have influenced the
distribution and evolution of the warm desert evergreen genus Larrea. If this
hypothesis is correct, performance and survival of species and populations should
vary predictably along gradients of freezing severity. If freezing environment
changes in the future, the ability of Larrea to adapt will depend on the
structure of variation for freezing resistance within populations. To test
whether freezing responses vary among and within Larrea populations, we grew
maternal families of seedlings from high and low latitude L. divaricata and high
latitude L. tridentata populations in a common garden. We measured survival,
projected plant area and dark-adapted chlorophyll fluorescence (F (v) /F (m))
before and after cold acclimation and for 2 weeks following a single freeze. We
detected significant variation in freezing resistance among species and
populations. Maternal family lines differed significantly in their responses to
cold acclimation and/or freezing for two out of the three populations: among L.
tridentata maternal families and among low latitude L. divaricata maternal
families. There were no significant differences across maternal families of high
latitude L. divaricata. Our results indicate that increased freezing resistance
in high latitude populations likely facilitated historical population expansion
of both species into colder climates, but this may have occurred to a greater
extent for L. tridentata than for L. divaricata. Differences in the structure of
variation for cold acclimation and freezing responses among populations suggest
potential differences in their ability to evolve in response to future changes in
freezing severity.
PMID- 22068320
TI - Anxiety and depression associated with incontinence in middle-aged women: a large
Norwegian cross-sectional study.
AB - INTRODUCTION AND HYPOTHESIS: Several studies have indicated depression and
anxiety to be associated with urinary incontinence (UI), however, the strength of
the associations varies widely. The objective of this study was to determine
these associations in a large survey. METHODS: In a cross-sectional population
based survey study, we analysed questionnaire data on UI, depression and anxiety
from 5,321 women between 40 and 44 years. A multivariate logistic regression
model was used to predict the odds of having high levels of anxiety and
depression among women with UI of different types and severities. RESULTS: Among
women with UI, the adjusted OR for depression was 1.64 (95% CI, 1.32-2.04) and
for anxiety 1.59 (95% CI, 1.36-1.86) compared with women without UI. CONCLUSION:
UI was associated with both anxiety and depression in middle-aged women, with the
strongest associations for mixed and urgency UI.
PMID- 22068321
TI - Traditional native tissue versus mesh-augmented pelvic organ prolapse repairs:
providing an accurate interpretation of current literature.
AB - The objective of this paper was to review the literature on pelvic organ prolapse
(POP) and compare the success of traditional/native tissue versus mesh-augmented
repairs. A comprehensive literature review was performed using PubMed and
bibliography searches to compare the anatomic success rates of native tissue (NT)
and mesh-augmented (MA) prolapse repairs and to analyze outcome measures used to
report success rates. Articles were included if anatomic outcomes were stated for
the specific compartment of interest and included both prospective and
retrospective studies. The published success rates for NT repairs versus MA
repairs by anterior, posterior, or apical compartments are reported. When
continence is used as the primary outcome measure, anterior NT has a success rate
of 54%. Anterior NT success is as low as 30% in some studies, but generally is 88
97% when prolapse is the primary outcome particularly if apical support is
included. This compares to the 87-96% success reported for anterior MA. Posterior
NT success is 54-81%, which is lower than the 92-97% reported for posterior MA
when prolapse is the outcome measure. The success rates for apical NT are 97-98%
for uterosacral ligament suspension and 96% for sacrospinous ligament suspension,
which compare favorably to sacrocolpopexy (91-100%). There are some differences
in the complications reported for NT and MA. The rate of complications is
approximately 8% for NT and is reported at 0-19% for MA. The higher rate for MA
is largely due to mesh erosion/exposure. When similar outcome measures are
compared, the published anatomic success rates of POP of anterior and apical
compartmental surgery are similar for NT and MA repairs. There may be a higher
rate of complications noted for mesh implantation. POP surgery is complex, and
both NT and MA techniques require skills to perform proper compartmental
reconstruction. An understanding of the published literature and knowledge of
individual surgeon factors are important in deciding which surgical approach to
use and how to best counsel patients during informed consent.
PMID- 22068322
TI - 3D analysis of cystoceles using magnetic resonance imaging assessing midline,
paravaginal, and apical defects.
AB - INTRODUCTION AND HYPOTHESIS: This study assesses relative contributions of
"midline defects" (widening of the vagina) and "paravaginal defects" (separation
of the lateral vagina from the pelvic sidewall). METHODS: Ten women with anterior
predominant prolapse and ten with normal support underwent pelvic MR imaging. 3-D
models of the anterior vaginal wall (AVW) were generated to determine locations
of the lateral AVW margin, vaginal width, and apical position. RESULTS: The
lateral AVW margin was farther from its normal position in cases than controls
throughout most of the vaginal length, most pronounced midvagina (effect sizes,
2.2-2.8). Vaginal widths differed in the midvagina with an effect size of 1.0.
Strong correlations between apical and paravaginal support were evident in mid-
and upper vagina (r = 0.77-0.93). CONCLUSIONS: Changes in lateral AVW location
were considerably greater than changes in vaginal width in cases vs controls,
both in number of sites affected and effect sizes. These "paravaginal defects"
are highly correlated with apical descent.
PMID- 22068323
TI - TGF-beta 1 is a potential regulator of vaginal tropoelastin production.
AB - INTRODUCTION AND HYPOTHESIS: Our aims were to correlate transforming growth
factor (TGF)-beta1 and elastin mRNA expression in the vagina of women and to
measure the effects of TGF-beta1 on vaginal smooth muscle cell (SMC)
proliferation and tropoelastin production. METHODS: Vaginal walls were sampled in
women (n = 20). TGF-beta1 and elastin mRNA expression was assessed by RT-PCR. SMC
cultures were performed from vaginal wall biopsies. SMC were incubated with TGF
beta1, and cell proliferation was assessed by MTT-assay. Tropoelastin production
was measured by the Fastin Elastin Assay. RESULTS: There was a significant
positive correlation between TGF-beta1 and elastin mRNA (r = 0.784, P < 0.01).
SMC proliferation was significantly increased by 10 ng/mL TGF-beta1 [relative
cell number, mean +/- SD, 198% +/- 32% of control (P = 0.01)]. Tropoelastin
production was significantly increased by TGF-beta1 [mean +/- SD, 645% +/- 180%
of control (P = 0.01)]. CONCLUSIONS: There is a positive correlation between TGF
beta1 and elastin mRNA expression in the vaginal wall. In vitro, TGF-beta1
increases vaginal tropoelastin production in vaginal SMC.
PMID- 22068324
TI - Suburethral sling in autoimmune patients: complications, quality of life, and
success rate.
AB - INTRODUCTION AND HYPOTHESIS: Suburethral sling procedures are a mainstay for the
surgical treatment of stress urinary incontinence (SUI). The interaction between
the sling and the host is fundamental for the success of the procedure. Patients
affected by autoimmune disease present with an overactive immune system; this
should theoretically increase rejection risk. METHODS: The data from 19
autoimmune patients affected by SUI were retrospectively collected. Primary
outcome consisted of evaluating whether tape-related complications may occur more
frequently. Secondary outcome measures were assessment of objective and
subjective cure of SUI. Changes from baseline were analyzed using the Mann
Whitney and Fisher tests. RESULTS: Success rate, evaluated at 12-month follow-up,
was 89.5%. No tape erosion or urinary retention was observed; chronic pelvic pain
and dyspareunia rates were 5.26% and 15.4%, respectively. One patient developed
de novo urgency. CONCLUSIONS: According to our experience, the suburethral sling
is a safe procedure with low complication rates comparable to those reported in
the literature.
PMID- 22068325
TI - Airlift column photobioreactors for Porphyridium sp. culturing: part I. effects
of hydrodynamics and reactor geometry.
AB - Photosynthetic microorganisms have been attracting world attention for their
great potential as renewable energy sources in recent years. Cost effective
production in large scale, however, remains a major challenge to overcome. It is
known to the field that turbulence could help improving the performance of
photobioreactors due to the so-called flashing light effects. Better
understanding of the multiphase fluid dynamics and the irradiance distribution
inside the reactor that cause the flashing light effects, as well as quantifying
their impacts on the reactor performance, thus, are crucial for successful design
and scale-up of photobioreactors. In this study, a species of red marine
microalgae, Porphyridium sp., was grown in three airlift column photobioreactors
(i.e., draft tube column, bubble column, and split column). The physical
properties of the culture medium, the local fluid dynamics and the
photobioreactor performances were investigated and are reported in this part of
the manuscript. Results indicate that the presence of microalgae considerably
affected the local multiphase flow dynamics in the studied draft tube column.
Results also show that the split column reactor works slightly better than the
draft tube and the bubble columns due to the spiral flow pattern inside the
reactor.
PMID- 22068326
TI - Pharmacist interventions on antibiotic use in inpatients with respiratory tract
infections in a Chinese hospital.
AB - OBJECTIVE: To evaluate the impact of pharmacist interventions on antibiotic use
in inpatients with respiratory tract infections in a tertiary hospital in China.
METHOD: Two independent respiratory wards were randomized into control and
intervention group. Between July 2009 and April 2010, all inpatients diagnosed
with respiratory tract infections were enrolled. Pharmacist interventions were
performed on the physicians in the intervention group. The total cost of
hospitalization, cost of antibiotics, length of hospital stay and the scores of 6
items of inappropriate antibiotic use (including indication, choice, dosage,
dosing schedule, duration and conversion) were analyzed. RESULTS: The total costs
of hospitalization in the intervention group were significant lower compared to
the control group ($1442.3 +/- 684.9 vs. $1729.6 +/- 773.7, P < 0.001), as well
as the cost of antibiotics ($832.0 +/- 373.0 vs. $943.9 +/- 412.0, P = 0.01), and
the patients required shorter length of hospital stay (14.2 +/- 6.2 vs. 15.8 +/-
6.0 days, P = 0.03). The scores with respect to the 6 items of inappropriate
antibiotic use were all lower in the intervention group than in the control
group. CONCLUSIONS: Pharmacist interventions, interacted directly with the
physicians at ward level, could play an important role in optimizing antibiotic
use, thus lead to the reduction in patients' length of hospital stay and health
care cost.
PMID- 22068327
TI - Systematic exploration of synergistic drug pairs.
AB - Drug synergy allows a therapeutic effect to be achieved with lower doses of
component drugs. Drug synergy can result when drugs target the products of genes
that act in parallel pathways ('specific synergy'). Such cases of drug synergy
should tend to correspond to synergistic genetic interaction between the
corresponding target genes. Alternatively, 'promiscuous synergy' can arise when
one drug non-specifically increases the effects of many other drugs, for example,
by increased bioavailability. To assess the relative abundance of these drug
synergy types, we examined 200 pairs of antifungal drugs in S. cerevisiae. We
found 38 antifungal synergies, 37 of which were novel. While 14 cases of drug
synergy corresponded to genetic interaction, 92% of the synergies we discovered
involved only six frequently synergistic drugs. Although promiscuity of four
drugs can be explained under the bioavailability model, the promiscuity of
Tacrolimus and Pentamidine was completely unexpected. While many drug synergies
correspond to genetic interactions, the majority of drug synergies appear to
result from non-specific promiscuous synergy.
PMID- 22068328
TI - Mapping the interaction of Snf1 with TORC1 in Saccharomyces cerevisiae.
AB - Nutrient sensing and coordination of metabolic pathways are crucial functions for
all living cells, but details of the coordination under different environmental
conditions remain elusive. We therefore undertook a systems biology approach to
investigate the interactions between the Snf1 and the target of rapamycin complex
1 (TORC1) in Saccharomyces cerevisiae. We show that Snf1 regulates a much broader
range of biological processes compared with TORC1 under both glucose- and
ammonium-limited conditions. We also find that Snf1 has a role in upregulating
the NADP(+)-dependent glutamate dehydrogenase (encoded by GDH3) under
derepressing condition, and therefore may also have a role in ammonium
assimilation and amino-acid biosynthesis, which can be considered as a
convergence of Snf1 and TORC1 pathways. In addition to the accepted role of Snf1
in regulating fatty acid (FA) metabolism, we show that TORC1 also regulates FA
metabolism, likely through modulating the peroxisome and beta-oxidation. Finally,
we conclude that direct interactions between Snf1 and TORC1 pathways are unlikely
under nutrient-limited conditions and propose that TORC1 is repressed in a manner
that is independent of Snf1.
PMID- 22068329
TI - Algorithms in nature: the convergence of systems biology and computational
thinking.
AB - Computer science and biology have enjoyed a long and fruitful relationship for
decades. Biologists rely on computational methods to analyze and integrate large
data sets, while several computational methods were inspired by the high-level
design principles of biological systems. Recently, these two directions have been
converging. In this review, we argue that thinking computationally about
biological processes may lead to more accurate models, which in turn can be used
to improve the design of algorithms. We discuss the similar mechanisms and
requirements shared by computational and biological processes and then present
several recent studies that apply this joint analysis strategy to problems
related to coordination, network analysis, and tracking and vision. We also
discuss additional biological processes that can be studied in a similar manner
and link them to potential computational problems. With the rapid accumulation of
data detailing the inner workings of biological systems, we expect this direction
of coupling biological and computational studies to greatly expand in the future.
PMID- 22068330
TI - Modularity and hormone sensitivity of the Drosophila melanogaster insulin
receptor/target of rapamycin interaction proteome.
AB - Genetic analysis in Drosophila melanogaster has been widely used to identify a
system of genes that control cell growth in response to insulin and nutrients.
Many of these genes encode components of the insulin receptor/target of rapamycin
(InR/TOR) pathway. However, the biochemical context of this regulatory system is
still poorly characterized in Drosophila. Here, we present the first quantitative
study that systematically characterizes the modularity and hormone sensitivity of
the interaction proteome underlying growth control by the dInR/TOR pathway.
Applying quantitative affinity purification and mass spectrometry, we identified
97 high confidence protein interactions among 58 network components. In all, 22%
of the detected interactions were regulated by insulin affecting membrane
proximal as well as intracellular signaling complexes. Systematic functional
analysis linked a subset of network components to the control of dTORC1 and
dTORC2 activity. Furthermore, our data suggest the presence of three distinct
dTOR kinase complexes, including the evolutionary conserved dTTT complex
(Drosophila TOR, TELO2, TTI1). Subsequent genetic studies in flies suggest a role
for dTTT in controlling cell growth via a dTORC1- and dTORC2-dependent mechanism.
PMID- 22068331
TI - Deep proteome and transcriptome mapping of a human cancer cell line.
AB - While the number and identity of proteins expressed in a single human cell type
is currently unknown, this fundamental question can be addressed by advanced mass
spectrometry (MS)-based proteomics. Online liquid chromatography coupled to high
resolution MS and MS/MS yielded 166 420 peptides with unique amino-acid sequence
from HeLa cells. These peptides identified 10 255 different human proteins
encoded by 9207 human genes, providing a lower limit on the proteome in this
cancer cell line. Deep transcriptome sequencing revealed transcripts for nearly
all detected proteins. We calculate copy numbers for the expressed proteins and
show that the abundances of > 90% of them are within a factor 60 of the median
protein expression level. Comparisons of the proteome and the transcriptome, and
analysis of protein complex databases and GO categories, suggest that we achieved
deep coverage of the functional transcriptome and the proteome of a single cell
type.
PMID- 22068333
TI - Culturing adult canine sensory neurons to optimise neural repair.
PMID- 22068332
TI - The quantitative proteome of a human cell line.
AB - The generation of mathematical models of biological processes, the simulation of
these processes under different conditions, and the comparison and integration of
multiple data sets are explicit goals of systems biology that require the
knowledge of the absolute quantity of the system's components. To date,
systematic estimates of cellular protein concentrations have been exceptionally
scarce. Here, we provide a quantitative description of the proteome of a commonly
used human cell line in two functional states, interphase and mitosis. We show
that these human cultured cells express at least -10 000 proteins and that the
quantified proteins span a concentration range of seven orders of magnitude up to
20 000 000 copies per cell. We discuss how protein abundance is linked to
function and evolution.
PMID- 22068334
TI - Radiographic identification of the equine ventral conchal bulla.
AB - Involvement of the ventral conchal sinus (VCS) is an important diagnostic and
prognostic feature in cases of the equine sinus disease. The authors aimed to
ascertain if the caudo-dorsal extension of the VCS, the ventral conchal bulla
(VCB) is identifiable on plain radiographs of cadaver skulls without sinus
disease. Bilateral frontonasal sinus flaps were made in 10 equine cadaver skulls.
Plain lateral, lateral oblique and dorso-ventral radiographs were then obtained
followed by the same views taken with stainless steel wire outlining the caudal
border of the VCB. Plain radiographs were randomised and blindly evaluated by two
observers who marked where they believed the VCB to be positioned. This was then
correlated with the true position of the VCB using radiographs with wires in
place. The ease of identification of the VCB was classified as 'easy' or
'difficult'. The VCB was correctly identified in 70 per cent of lateral
radiographs, but only 45 per cent of lateral oblique radiographs and 17 per cent
of dorso-ventral radiographs. If a clinician was confident that he or she could
identify the VCB, they were usually correct. Conversely if the clinician judged
VCB identification as 'difficult', they usually identified it incorrectly. In the
authors' clinical experience, the VCB of horses with sinusitis involving this
compartment is more radiologically evident than in clinically normal horses.
Knowledge of the normal radiographic anatomy of this structure should aid
clinicians in identifying horses with sinusitis affecting the VCS.
PMID- 22068336
TI - A low cost point-of-care viscous sample preparation device for molecular
diagnosis in the developing world; an example of microfluidic origami.
AB - The lab-on-a-chip concept has led to several point-of-care (POC) diagnostic
microfluidic platforms. However, few of these can process raw samples for
molecular diagnosis and fewer yet are suited for use in a resource-limited
setting without permanent electrical infrastructure. We present here a very low
cost paper microfluidic device for POC extraction of bacterial DNA from raw
viscous samples--a challenge for conventional microfluidic platforms. This is an
example of "microfluidic origami" in that the system is activated by folding;
demonstrated here is room temperature cell lysis and DNA extraction from pig
mucin (simulating sputum) spiked with E. coli without the use of external power.
The microfluidic origami device features dry reagent storage and rehydration of
the lysis buffer. We demonstrate DNA extraction from samples with a bacterial
load as low as 33 CFU ml(-1). Extraction times, starting from the raw sample,
have been optimized to about 1.5 h without the use of external power, or to
within 1 h using an oven or a heater block. The fabrication of this paper
microfluidic device can be translated into high volume production in the
developing world without the need for a semiconductor clean room or a
microfabrication facility. The sample preparation can be performed with the
addition of just the sample, water, ethanol and elute buffer to the device, thus
reducing chemical hazards during transport and handling.
PMID- 22068337
TI - Target organ damage in African American hypertension: role of APOL1.
AB - Apolipoprotein L1 (APOL1) gene association studies and results of the African
American Study of Kidney Disease and Hypertension are disproving the longstanding
concept that mild to moderate essential hypertension contributes substantially to
end-stage renal disease susceptibility in African Americans. APOL1 coding
variants underlie a spectrum of kidney diseases, including that attributed to
hypertension (labeled arteriolar or hypertensive nephrosclerosis), focal
segmental glomerulosclerosis, and HIV-associated nephropathy. APOL1 nephropathy
risk variants persist because of protection afforded from the parasite that
causes African sleeping sickness. This breakthrough will lead to novel treatments
for hypertensive African Americans with low-level proteinuria, for whom effective
therapies are lacking. Furthermore, APOL1 nephropathy risk variants contribute to
racially variable allograft survival rates after kidney transplantation and
assist in detecting nondiabetic forms of nephropathy in African Americans with
diabetes. Discovery of APOL1-associated nephropathy was a major success of the
genetics revolution, demonstrating that secondary hypertension is typically
present in nondiabetic African Americans with nephropathy.
PMID- 22068335
TI - Common genetic variation in the 3'-BCL11B gene desert is associated with carotid
femoral pulse wave velocity and excess cardiovascular disease risk: the AortaGen
Consortium.
AB - BACKGROUND: Carotid-femoral pulse wave velocity (CFPWV) is a heritable measure of
aortic stiffness that is strongly associated with increased risk for major
cardiovascular disease events. METHODS AND RESULTS: We conducted a meta-analysis
of genome-wide association data in 9 community-based European ancestry cohorts
consisting of 20 634 participants. Results were replicated in 2 additional
European ancestry cohorts involving 5306 participants. Based on a preliminary
analysis of 6 cohorts, we identified a locus on chromosome 14 in the 3'-BCL11B
gene desert that is associated with CFPWV (rs7152623, minor allele
frequency=0.42, beta=-0.075+/-0.012 SD/allele, P=2.8*10(-10); replication beta=
0.086+/-0.020 SD/allele, P=1.4*10(-6)). Combined results for rs7152623 from 11
cohorts gave beta=-0.076+/-0.010 SD/allele, P=3.1*10(-15). The association
persisted when adjusted for mean arterial pressure (beta=-0.060+/-0.009
SD/allele, P=1.0*10(-11)). Results were consistent in younger (<55 years, 6
cohorts, n=13 914, beta=-0.081+/-0.014 SD/allele, P=2.3*10(-9)) and older (9
cohorts, n=12 026, beta=-0.061+/-0.014 SD/allele, P=9.4*10(-6)) participants. In
separate meta-analyses, the locus was associated with increased risk for coronary
artery disease (hazard ratio=1.05; confidence interval=1.02-1.08; P=0.0013) and
heart failure (hazard ratio=1.10, CI=1.03-1.16, P=0.004). CONCLUSIONS: Common
genetic variation in a locus in the BCL11B gene desert that is thought to harbor
1 or more gene enhancers is associated with higher CFPWV and increased risk for
cardiovascular disease. Elucidation of the role this novel locus plays in aortic
stiffness may facilitate development of therapeutic interventions that limit
aortic stiffening and related cardiovascular disease events.
PMID- 22068339
TI - Differential effects of selenium and knock-down of glutathione peroxidases on
TNFalpha and flagellin inflammatory responses in gut epithelial cells.
AB - Selenium (Se) is essential for human health. Despite evidence that Se intake
affects inflammatory responses, the mechanisms by which Se and the selenoproteins
modulate inflammatory signalling, especially in the gut, are not yet defined. The
aim of this work was to assess effects of altered Se supply and knock-down of
individual selenoproteins on NF-kappaB activation in gut epithelial cells. Caco-2
cells were stably transfected with gene constructs expressing luciferase linked
either to three upstream NF-kappaB response elements and a TATA box or only a
TATA box. TNFalpha and flagellin activated NF-kappaB-dependent luciferase
activity and increased IL-8 expression. Se depletion decreased expression of
glutathione peroxidase1 (GPX1) and selenoproteins H and W and increased TNFalpha
stimulated luciferase activity, endogenous IL-8 expression and reactive oxygen
species (ROS) production. These effects were not mimicked by independent knock
down of either GPX1, selenoprotein H or W; indeed, GPX1 knock-down lowered
TNFalpha-induced NF-kappaB activation and did not affect ROS levels. GPX4 knock
down decreased NF-kappaB activation by flagellin but not by TNFalpha. We
hypothesise that Se depletion alters the pattern of expression of multiple
selenoproteins that in turn increases ROS and modulates NF-kappaB activation in
epithelial cells, but that the effect of GPX1 knock-down is ROS-independent.
PMID- 22068338
TI - Disorders of blood pressure regulation-role of catecholamine biosynthesis,
release, and metabolism.
AB - Catecholamines (epinephrine and norepinephrine) are synthesised and produced by
the adrenal medulla and postganglionic nerve fibres of the sympathetic nervous
system. It is known that essential hypertension has a significant neurogenic
component, with the rise in blood pressure mediated at least in part by
overactivity of the sympathetic nervous system. Moreover, novel therapeutic
strategies aimed at reducing sympathetic activity show promise in the treatment
of hypertension. This article reviews recent advances within this rapidly
changing field, particularly focusing on the role of genetic polymorphisms within
key catecholamine biosynthetic enzymes, cofactors, and storage molecules. In
addition, mechanisms linking the sympathetic nervous system and other adverse
cardiovascular states (obesity, insulin resistance, dyslipidaemia) are discussed,
along with speculation as to how recent scientific advances may lead to the
emergence of novel antihypertensive treatments.
PMID- 22068340
TI - Associations between functional polymorphisms in antioxidant defense genes and
urinary oxidative stress biomarkers in healthy, premenopausal women.
AB - Functional polymorphisms in endogenous antioxidant defense genes including
manganese superoxide dismutase (MnSOD), catalase (CAT), and glutathione
peroxidase (GPX-1) have been linked with risk of cancer at multiple sites.
Although it is presumed that these germline variants impact disease risk by
altering the host's ability to detoxify mutagenic reactive oxygen species, very
few studies have directly examined this hypothesis. Concentrations of 8
isoprostane F2alpha (8-iso-PGF2alpha) and 8-oxo-7,8-dihydro-2'-deoxyguanosine (8
oxoxdG)-sensitive indicators of lipid peroxidation and DNA oxidation,
respectively-were measured in 24-h urine samples obtained from 93 healthy,
premenopausal women participating in a dietary intervention trial. In addition,
DNA was extracted from blood for genotyping of MnSOD Val16Ala, CAT-262 C > T, and
GPX1 Pro198Leu genotypes by Taqman assay. Although geometric mean concentrations
of 8-iso-PGF2(alpha) and 8-oxoxdG varied across several study characteristics
including race, education level, body mass index, and serum antioxidant levels,
there was little evidence that these biomarkers differed across any of the
examined genotypes. In summary, functional polymorphisms in endogenous
antioxidant defense genes do not appear to be strongly associated with systemic
oxidative stress levels in young, healthy women.
PMID- 22068342
TI - The Neuroscience of Happiness and Pleasure.
PMID- 22068346
TI - Improvement of in vitro-transcribed amber suppressor tRNAs toward higher
suppression efficiency in wheat germ extract.
AB - In vitro-transcribed, unmodified, and non-aminoacylated amber suppressor tRNAs
that are recognized by natural aminoacyl-tRNA synthetase were improved toward
higher suppression efficiency in batch-mode cell-free translation in wheat germ
extract. The suppression efficiency of the suppressor obtained through four
sequence optimization steps (anticodon alteration of natural tRNAs (the first
generation); chimerization of the efficient suppressors in the first generation;
investigation and optimization of the effective parts in the second generation;
combination of the optimized parts in the third generation) and by the terminal
tuning was approximately 60%, which was 2.4-fold higher than that of the best
suppressor in the first generation. In addition, an eRF1 aptamer further
increased the efficiency up to 85%. This highly efficient suppression system also
functioned well in a dialysis-based large-scale protein synthesis.
PMID- 22068350
TI - COX-2-dependent and -independent biosynthesis of dihydroxy-arachidonic acids in
activated human leukocytes.
AB - Biosynthesis of 5,15-dihydroxyeicosatetraenoic acid (5,15-diHETE) in leukocytes
involves consecutive oxygenation of arachidonic acid by 5-lipoxygenase (LOX) and
15-LOX in either order. Here, we analyzed the contribution of cyclooxygenase
(COX)-2 to the biosynthesis of 5,15-diHETE and 5,11-diHETE in isolated human
leukocytes activated with lipopolysaccharide and calcium ionophore A23187.
Transformation of arachidonic acid was initiated by 5-LOX providing 5S-HETE as a
substrate for COX-2 forming 5S,15S-diHETE, 5S,15R-diHETE, and 5S,11R-diHETE as
shown by LC/MS and chiral phase HPLC analyses. The levels of 5,15-diHETE were
0.45 +/- 0.2 ng/106 cells (mean +/- SEM, n = 6), reaching about half the level of
LTB4 (1.3 +/- 0.5 ng/106 cells, n = 6). The COX-2 specific inhibitor NS-398
reduced the levels of 5,15-diHETE to below 0.02 ng/106 cells in four of six
samples. Similar reduction was achieved by MK-886, an inhibitor of 5-LOX
activating protein but the above differences were not statistically significant.
Aspirin treatment of the activated cells allowed formation of 5,15-diHETE (0.1 +/
0.05 ng/106 cells, n = 6) but, as expected, abolished formation of 5,11-diHETE.
The mixture of activated cells also produced 5S,12S-diHETE with the unusual
6E,8Z,10E double bond configuration, implicating biosynthesis by 5-LOX and 12-LOX
activity rather than by hydrolysis of the leukotriene A4-epoxide. Exogenous
octadeuterated 5S-HETE and 15S-HETE were converted to 5,15-diHETE, implicating
that multiple oxygenation pathways of arachidonic acid occur in activated
leukocytes. The contribution of COX-2 to the biosynthesis of dihydroxylated
derivatives of arachidonic acid provides evidence for functional coupling with 5
LOX in activated human leukocytes.
PMID- 22068351
TI - Serum levels of TNF-alpha, IL-1beta, COMP, and CTX-II in patients with Kashin
Beck disease in Sichuan, China.
AB - The aim of the study was to detect differences in serum levels of interleukin
1beta (IL-1beta), tumor necrosis factor-alpha (TNF-alpha), cartilage oligomeric
matrix protein (COMP), type II collagen (CTX-II) between patients with Kashin
Beck disease (KBD) or osteoarthritis (OA) and to assess the correlation between
these differences with the clinical grade of KBD. A total of one hundred fifty
adult serum samples were collected; these samples belonged to the KBD group (n =
64), the OA group in KBD-prevalent areas (n = 47) and a healthy control group in
non-KBD area (n = 39). Serum levels of TNF-alpha, IL-1beta, COMP, and CTX-II were
determined by a sandwich enzyme-linked immunosorbent assay, and the results were
compared among the 3 groups (KBD/OA/normal) and between the different grades of
KBD as well. The serum levels of IL-1beta, TNF-alpha, COMP, and CTX-II were
significantly higher in the KBD and OA group than the healthy adult group (P <
0.001), and TNF-alpha and IL-1beta levels in the KBD group were similar to the OA
group (for TNF-alpha, 14.38 +/- 7.42 pg/ml vs. 12.61 +/- 4.00 pg/ml,
respectively, [P = 0.29]; for IL-1beta, 141.53 +/- 71.35 pg/ml vs. 135.61 +/-
68.60 pg/ml, respectively, [P = 0.63]). However, the COMP level was significantly
lower and the CTX-II level was higher in the KBD group than in the OA group (for
COMP, 7.03 +/- 3.11 ng/ml vs. 9.20 +/- 3.51 ng/ml, respectively, [P = 0.003]; for
CTX-II, 2.23 +/- 0.79 ng/ml vs. 1.80 +/- 0.87 ng/ml, respectively, [P = 0.026]).
Moreover, no significant correlations were found between clinical grade and serum
levels of TNF-alpha, IL-1beta, COMP, and CTX-II for the 3 grades of KBD patients
(P = 0.645, 0.481, 0.832, and 0.270, respectively). This study showed that serum
levels of COMP in KBD patients decreased and CTX-II levels increased compared
with the levels in OA patients, but TNF-alpha and IL-1beta levels in KBD and OA
group were similar. In addition, increased serum levels of TNF-alpha, IL-1beta,
COMP, and CTX-II were not associated with the KBD grade.
PMID- 22068353
TI - RETRACTED ARTICLE: An evidence-based review of the most current treatment options
and trends for carpal tunnel syndrome (CTS).
PMID- 22068354
TI - Rapid infusion with rituximab: short term safety in systemic autoimmune diseases.
AB - To describe the incidence, types and severity of adverse events, related to an
accelerated regime of rituximab infusion in patients with various autoimmune
diseases. Fifty-four patients with systemic autoimmune disease, to be treated
with 1,000 mg of rituximab twice 2 weeks apart, participated. Pre-medication
(oral prednisolone, anti-histamine and paracetamol) was administered 1-4 h before
infusion start. The first infusion was administered over a period of 195 min. The
second infusion over a period of 90 min. Any adverse events were classified using
the Clinical Trials Classification of Adverse Events (CTCAE) v. 3.0. Ten patients
(18.5%) experienced at least one infusion-related reaction (IRR) ever. The first
infusion was associated with reactions in 4 CTCAE categories of which rhinitis
were the most frequent. The CTCAE severity grading showed six patients (11.1%)
had a grade 1 reaction. One patient (1.8%) had grade 2 events on both infusions
and two patients (3.6%) had a grade 3 event on both infusions. RA patients more
often had an infusion-related reaction (IRR) (9.2%) than the rest. The types of
IRR were mostly of allergic or angio-oedematic nature. In practise, the rapid
infusion was an easy to use regime and the second infusion is of time sparing
significance to health professionals. No unexpected side effects were observed in
relation to the accelerated regime.
PMID- 22068352
TI - Antibodies to mutated citrullinated vimentin in patients with chronic hepatitis C
virus genotype IV infection-related arthropathy.
AB - One of the extra-hepatic manifestations of hepatitis C virus (HCV) infection is
polyarthritis that mimics rheumatoid arthritis (RA). Anti-mutated citrullinated
vimentin (MCV) was recently introduced in the diagnostic workup of RA, but its
exact role in HCV infection and its related arthropathy is still unclear. The aim
of the study is to determine the prevalence of anti-MCV antibodies in HCV
infected patients with or without articular involvement, and to investigate
whether anti-MCV antibodies have an additional role to anticyclic citrullinated
peptide (CCP) antibodies and rheumatoid factor (RF) in differentiating patients
with RA from patients with HCV-related arthropathy. Fifty-five HCV-infected
patients (HCV RNA positive) and 30 RA patients (fulfilling the American College
of Rheumatology classification criteria for RA and negative for HCV) were
included. Anti-MCV antibodies, anti-CCP antibodies, RF and cryoglobulins were
measured. Articular involvement in hepatitis C patients was evaluated. Articular
involvement was detected in 30/55 (54.5%) of HCV-infected patients. The most
frequent pattern was symmetric polyarthralgias and the most frequent joints to be
involved were the wrists, metacarpophalangeal joints, shoulders and knees. In HCV
arthropathy, anti-MCV was positive in 9/30 (30%), anti-CCP in 0% and RF in 22/30
(73.3%). Whereas, in chronic HCV without arthropathy, anti-MCV was positive in 8
patients (32%), anti-CCP in one patient (4%) and RF in 23/25 (92.0%). There was
no significant difference between the two HCV groups as regards the frequencies
of anti-MCV (P = 0.89), anti-CCP (P = 0.93) and RF (P = 0.15). In RA, anti-MCV
was positive in 93.3% anti-CCP in 96.7% and RF in 86.7%. There was no significant
difference in RF between RA and HCV arthropathy (P = 0.33). Meanwhile, there was
a highly significant difference between both groups regarding anti-MCV and anti
CCP (P < 0.0001 for each). The sensitivity of anti-MCV, anti-CCP and RF for RA
was 93.3, 96.7 and 86.7%, respectively. Whereas their specificity was 69.1, 98.2
and 18.2%, respectively. In addition, the mean levels of anti-MCV and anti-CCP
were significantly increased in RA than in all HCV patients (P = 0.038 and P <
0.0001, respectively). Meanwhile, there were no significant differences in mean
levels of anti-MCV and anti-CCP between HCV patients with arthropathy and those
without arthropathy (P = 0.11 and P = 0.73, respectively). Also, there were no
differences in mean RF between both HCV groups. There was a significant positive
correlation between anti-MCV and anti-CCP levels in patients with HCV-related
arthropathy (r = 0.39, P = 0.032) and in those without arthropathy (r = 0.578, P
= 0.002). Cryoglobulins were detected in 7/30 HCV-related arthropathy (23.3%) and
were positively correlated with anti-MCV(r = 0.485, P = 0.007). Anti-CCP still
attains the major role in differentiating RA from HCV arthropathy. Anti-MCV seems
to play no additional role in this aspect. The role of mutation of vimentin in
the pathogenesis of HCV arthropathy is not as clear as it is for RA and needs
further investigation.
PMID- 22068356
TI - Exercise for fibromyalgia: evidence for an integrated modulation of autonomic and
nociception neural regulation.
PMID- 22068355
TI - Angiogenic growth factors in rheumatoid arthritis.
AB - We investigated whether the angiogenic profile, which is based on the local
expression and systemic levels of angiogenic growth factors (VEGF, Ang-1, Ang-2,
and the corresponding receptors), differs between rheumatoid arthritis (RA) and
osteoarthritis (OA) patients. We determined the expression of VEGF, Ang-1, and
Ang-2 together with its receptors (VEGFR-1/-2 and Tie2) in synovium tissue (ST)
and muscular tissue (MT) from patients with RA and OA using quantitative PCR.
Tissue samples were obtained from 15 RA and 19 OA patients during total knee
arthroplasty. Control MT samples (n = 10) were obtained during spinal surgery.
Results are correlated to VEGF and angiopoietin serum levels via ELISA
measurements. The VEGF expressions in ST and serum levels were significantly
higher in RA patients than in OA patients (P < 0.05). Furthermore, the VEGFR-1
and VEGFR-2 expression in ST from RA patients were significantly higher than in
OA patients (P < 0.001 and P < 0.05). The relative concentration of angiopoietins
(Ang-1/Ang-2 ratio) was significantly increased in RA (P < 0.01). Serum levels
for Ang-2 showed no significant differences. Statistical analysis showed a
significant higher level of Tie2 in RA patients (P < 0.001). Analysis of local
levels of VEGF, VEGFR-1, VEGFR-2, Ang-1, Ang-2, and Tie2 in the muscular tissue
showed no significant difference between RA and OA patients. These results
underline the importance of pro-angiogenic growth factor levels for RA
corroborating the assumption that VEGF and angiopoietins play an important role
in the pathogenesis of RA.
PMID- 22068357
TI - Health promotion in the workplace: assessing stress and lifestyle with an
intranet tool.
AB - BACKGROUND: Chronic noncommunicable conditions, particularly cardiovascular and
metabolic diseases, are the major causes of death and morbidity in both
industrialized and low- to middle-income countries. Recent epidemiological
investigations suggest that management of lifestyle factors, such as stress and
lack of physical activity, could have an important value in cardiometabolic
conditions, while information technology tools could play a significant
facilitatory role. OBJECTIVES: The objective of our study was to verify the
feasibility of using a private website, directed to the workers of a major
Italian company, to describe their health profile and lifestyle and work habits
using an ad hoc self-administered questionnaire. METHODS: We administered
anonymous multiple choice Web-based questionnaires to 945 participants (683
completed the task) as part of an ongoing health promotion program in a
multinational company. Qualitative and quantitative data were synthesized with
nonlinear principal component analysis to construct indicators (ie, variables)
for stress, control, and lifestyle domains. Considering in addition absenteeism,
the Calinski-Harabasz statistic and cluster analysis jointly differentiated seven
clusters, which displayed different distributions of standardized classification
variables. The final step consisted in assessing the relationship of the
resulting seven subject typologies with personal data, illnesses, and metabolic
syndrome status, carried out for the most part with descriptive methods. RESULTS:
Statistical analyses singled out two not-overlapping domains of stress and
control, as well as three not-overlapping domains of physical activity, smoking,
and alcohol habits. The centroids of the seven clusters generated by the
procedure were significantly (P < .001) different considering all possible 21
comparisons between couples of groups. Percentage distributions of variables
describing personal information (gender, age group, work category, illness
status, or metabolic syndrome) within participant typologies show some noteworthy
findings: females, workers aged 35-44 years, junior white collar workers, and
respondents reporting illness were more prevalent in the stress group than in the
overall studied population; preclinical metabolic syndrome status was more
prevalent in the group with higher alcohol consumption. Absentees reported more
illness. CONCLUSIONS: The present Intranet-based study shows the potential of
applying diverse statistical techniques to deal jointly with qualitative and
quantitative self-reported data. The resulting formal description of subject
typologies and their relationship with personal characteristics might provide a
convenient tool for supporting health promotion in the work environment.
PMID- 22068358
TI - Cross-site evaluation of a comprehensive pediatric asthma project: the Merck
Childhood Asthma Network, Inc. (MCAN).
AB - The Merck Childhood Asthma Network, Inc. (MCAN) initiative selected five sites
that had high asthma burden and established asthma programs but were ready for
greater program integration across schools, health care systems, and communities.
MCAN supported a community-based approach that was tailored to the needs of each
program site. As a result, each site was unique in its combination of
interventions, but all sites served common goals of integration of care,
incorporation of evidence-based programs, and improvement in knowledge, self
management, health, and quality of life. This case study of the MCAN cross-site
evaluation discusses the challenges associated with evaluating interventions
involving multiple stakeholders that have been adjusted to fit the unique needs
of specific communities. The evaluation triangulates data from site-specific
monitoring and evaluation data; site documents, site visits, and cross-site
meetings; qualitative assessments of families, organizational partners, and other
stakeholders; and quantitative data from a common instrument on health indicators
before and after the intervention. The evaluation employs the RE-AIM framework-
reach, effectiveness, adoption, implementation, and maintenance--to assess the
barriers and facilitators of translation from theory into practice. Our
experience suggests trade-offs between rigor of evaluation and burden of
assessment that have applicability for other community-based translational
efforts.
PMID- 22068359
TI - Outcomes from a cross-site evaluation of a comprehensive pediatric asthma
initiative incorporating translation of evidence-based interventions.
AB - This article reports on an evaluation of the Merck Childhood Asthma Network, Inc.
(MCAN) initiative using pooled cross-site data on patient-reported outcomes pre-
and postintervention to quantify the changes experienced by children in five
program sites supported by the network. The results show a consistent pattern of
improvement across all measured outcomes, including symptoms, hospital and
emergency department use, school absences, and caregiver confidence. Children who
started with uncontrolled asthma experienced larger improvements than children
with controlled asthma at baseline. However, even considering the significant
gains made by children with uncontrolled asthma at baseline, after 12 months,
most of the outcomes for these children were significantly worse than the 12
month outcomes for children with controlled asthma at baseline. The evaluation of
the MCAN initiative offers a model that can be used in cases where resources must
be balanced between evaluation and delivering services to children. The design
process and results from the common survey instrument provide information for
future initiatives seeking to translate evidence-based interventions in a
community-based setting.
PMID- 22068360
TI - Community-based care coordination: practical applications for childhood asthma.
AB - Care coordination programs have been used to address chronic illnesses, including
childhood asthma, but primarily via practice-based models. An alternative
approach employs community-based care coordinators who bridge gaps between
families, health care providers, and support services. Merck Childhood Asthma
Network, Inc. (MCAN) sites developed community-based care coordination approaches
for childhood asthma. Using a community-based care coordination logic model,
programs at each site are described along with program operational statistics.
Four sites used three to four community health workers (CHWs) to provide care
coordination, whereas one site used five school-based asthma nurses. This school
based site had the highest caseload (82.5 per year), but program duration was 3
months with 4 calls or visits. Other sites averaged fewer cases (35 to 61 per CHW
per year), but families received more (7 to 17) calls or visits over a year.
Retention was 43% to 93% at 6 months and 24% to 75% at 12 months. Pre-post cross
site data document changes in asthma management behaviors and outcomes. After
program participation, 93% to 100% of caregivers had confidence in controlling
their child's asthma, 85% to 92% had taken steps to reduce triggers, 69% to 100%
had obtained an asthma action plan, and 46% to 100% of those with moderate to
severe asthma reported appropriate use of controller medication. Emergency
department visits for asthma decreased by 36% to 63%, and asthma-related
hospitalizations declined by 26% to 78%. More than three fourths had fewer school
absences. In conclusion, MCAN community-based care coordination programs improved
management behaviors and decreased morbidity across all sites.
PMID- 22068361
TI - Translating evidence-based interventions into practice: the Merck Childhood
Asthma Network, Inc. (MCAN) initiative.
PMID- 22068362
TI - Balancing "fidelity" and community context in the adaptation of asthma evidence
based interventions in the "real world".
AB - The Merck Childhood Asthma Network (MCAN) initiative selected five sites (New
York City, Puerto Rico, Chicago, Los Angeles, and Philadelphia) to engage in
translational research to adapt evidence-based interventions (EBIs) to improve
childhood asthma outcomes. The authors summarize the sites' experience by
describing criteria defining the fidelity of translation, community contextual
factors serving as barriers or enablers to fidelity, types of adaptation
conducted, and strategies used to balance contextual factors and fidelity in
developing a "best fit" for EBIs in the community. A conceptual model captures
important structural and process-related factors and helps frame lessons learned.
Site implementers and intervention developers reached consensus on qualitative
rankings of the levels of fidelity of implementation for each of the EBI core
components: low fidelity, adaptation (major vs. minor), or high fidelity. MCAN
sites were successful in adapting core EBI components based on their
understanding of structural and other contextual barriers and enhancers in their
communities. Although the sites varied regarding both the EBI components they
implemented and their respective levels of fidelity, all sites observed
improvement in asthma outcomes. Our collective experiences of adapting and
implementing asthma EBIs highlight many of the factors affecting translation of
evidenced-based approaches to chronic disease management in real community
settings.
PMID- 22068363
TI - The role of partnerships in addressing childhood asthma: the experiences of the
Merck Childhood Asthma Network, Inc. (MCAN) initiative.
AB - Partnerships have taken on added importance in recent years because of their
critical role in addressing complex public health problems and translating
evidence-based practices to real-world settings. The Merck Childhood Asthma
Network, Inc. initiative recognized the importance of partnerships in achieving
the program's goals. In this article, case studies of the five Merck Childhood
Asthma Network program sites describe the role of partnerships in the development
and evolution of the program and its interventions. Three key factors contributed
to the success of the partnerships: having common organizational goals,
considering context in the selection and engagement of partners, and ensuring
that each partnership benefited from the alliance. Over the 4-year program
period, all five partnerships evolved, matured, and had an established goal to
maintain collaboration.
PMID- 22068364
TI - Desired attributes and skills of program managers in translation of evidence
based interventions.
AB - Successful chronic disease project management, especially of multiyear
initiatives using evidence-based interventions (EBIs), is of great importance to
funders, health care decision makers, and researchers, particularly in light of
limited funding. However, a gap in knowledge may exist regarding which attributes
and skills are most desirable in a program manager to help him or her ensure
successful implementation of EBIs. Although some literature examines the dynamics
contributing to the success of community coalitions, public health leadership,
and community health education, there is minimal literature exploring the
significance of a program manager's role in the conceptualization,
implementation, and sustainability of initiatives to improve patient and
community health. The authors present their experiences as participants in a
large-scale asthma initiative implemented in priority communities, as well as
results of a survey distributed among all personnel of the program sites. The
survey aimed to assess the key skills and attributes, in addition to contextual
factors, that contribute to the strength of a program manager overseeing EBIs in
asthma initiatives. The results suggest that certain attributes and skills are
desirable in recruiting and hiring of a program manager, especially when
augmented by ongoing skill-building training, and can help ensure program and
research success.
PMID- 22068365
TI - Challenges and lessons learned from the translation of evidence-based childhood
asthma interventions: a commentary on the MCAN initiative.
AB - The Merck Childhood Asthma Network (MCAN) used evidence-based interventions
(EBIs) for children with asthma to design community-based programs in a wide
variety of settings--with varying resource constraints and priorities--that were
often determined by the program context. Although challenges were faced, lessons
learned strongly suggest that adapting and implementing EBIs is feasible in a
variety of settings using a multisite approach. Lessons learned during the MCAN
initiative presented unique opportunities to refine best practices that proved to
be important to translation of EBIs in community-based settings. The adopted best
practices were based on experiential learning during different phases of the
project cycle, including monitoring and evaluation, translational research, and
implementing policies in local program environments. Throughout this discussion
it is important to note the importance of program context in determining the
effectiveness of the interventions, opportunities to scale them, their
affordability, and the ability to sustain them. Lessons learned from this effort
will be important not only to advance science-based approaches to manage
childhood asthma but also to assist in closing the gap between intervention
development (discovery) and program dissemination and implementation (delivery).
PMID- 22068366
TI - Translating evidence-based interventions into practice: the design and
development of the Merck Childhood Asthma Network, Inc. (MCAN).
AB - Pediatric asthma is a multifactorial disease, requiring complex, interrelated
interventions addressing children, families, schools, and communities. The Merck
Childhood Asthma Network, Inc. (MCAN) is a nonprofit organization that provides
support to translate evidence-based interventions from research to practice. MCAN
developed the rationale and vision for the program through a phased approach,
including an extensive literature review, stakeholder engagement, and evaluation
of funding gaps. The analysis pointed to the need to identify pediatric asthma
interventions implemented in urban U.S. settings that have demonstrated efficacy
and materials for replication and to translate the interventions into wider
practice. In addition to this overall MCAN objective, specific goals included
service and system integration through linkages among health care providers,
schools, community-based organizations, patients, parents, and other caregivers.
MCAN selected sites based on demonstrated ability to implement effective
interventions and to address multiple contexts of pediatric asthma prevention and
management. Selected MCAN program sites were mature institutions or organizations
with significant infrastructure, existing funding, and the ability to provide
services without requiring a lengthy planning period. Program sites were located
in communities with high asthma morbidity and intended to integrate new elements
into existing programs to create comprehensive care approaches.
PMID- 22068367
TI - Successful treatment of toxic epidermal necrolysis/Stevens-Johnson syndrome
overlap with human granulocyte colony stimulating factor: a case report.
PMID- 22068368
TI - Residential treatment for homeless female veterans with psychiatric and substance
use disorders: effect on 1-year clinical outcomes.
AB - Limited evidence shows that time-limited residential treatment (RT) is beneficial
for homeless people with serious mental illness. The Department of Veterans
Affairs has established 11 specialty programs for homeless female veterans. We
present data comparing 1-year clinical outcomes in a group of veterans who did
and did not receive at least 30 days of RT. Clients of the Homeless Women
Veterans Programs were invited to participate in a follow-up study. They were
interviewed every 3 months for 1 year. Those who received at least 30 days of RT
in the 3 months after program entry (RT group) were compared with other program
participants (no or <30 days RT [NRT] group) on measures of community
functioning, psychiatric symptoms, and drug and alcohol use during the follow-up.
The RT group had better outcomes on employment, social support, housing status,
and psychiatric symptoms. They also had significantly increased use of drugs and
alcohol compared with the NRT group. Data suggest that RT may have a beneficial
effect on mental health outcomes in homeless women. This study, in conjunction
with others, suggests that provision of stable housing may be an important
element of recovery for homeless women with psychiatric problems, excluding
substance use.
PMID- 22068369
TI - Physical activity in postdeployment Operation Iraqi Freedom/Operation Enduring
Freedom veterans using Department of Veterans Affairs services.
AB - Veteran activity levels may decrease between Active Duty and postdeployment. We
examined attitudes and changes in self-reported activities between the two in
Operation Iraqi Freedom/Operation Enduring Freedom (OIF/OEF) veterans using
Department of Veterans Affairs (VA) services. We conducted an online cross
sectional survey (June-August 2008) of postdeployment OIF/OEF veterans registered
with the VA Ann Arbor Healthcare System, Ann Arbor, Michigan. Descriptive
statistics summarized demographic data and attitudes, while regression analyses
compared physical activities during Active Duty with physical activities
postdeployment. Participants (n = 319, 15.6% response rate) reported that they
believe staying physically fit is important, they worry about gaining weight, and
they believe exercise will keep them healthy (77%, 72%, and 90% agree or strongly
agree, respectively). Running (30.0%), Exercise with Gym Equipment (21.5%),
Occupational Activities (14.9%), and Walking (13.0%) were the most frequently
reported Active Duty physical activities. The most frequently reported
postdeployment physical activities included Walking (21.1%), Running (18.5%), and
Exercise with Gym Equipment (17.9%). Health problems (39%) and chronic pain (52%)
were common barriers to physical activity. Postdeployment OIF/OEF veterans using
the VA believe physical activity is beneficial, yet many report health problems
and/or chronic pain that makes exercise difficult. Physical activity promotes
health, and strategies are needed to facilitate physical activity in this
population.
PMID- 22068370
TI - Prevalence and characteristics of driving difficulties in Operation Iraqi
Freedom/Operation Enduring Freedom combat returnees.
AB - We studied the prevalence and characteristics of self-reported driving
difficulties and examined their association with traumatic brain injury (TBI)
and/or posttraumatic stress disorder (PTSD) in Operation Iraqi Freedom/Operation
Enduring Freedom (OIF/OEF) veterans who were seen at a Department of Veterans
Affairs outpatient polytrauma clinic. In this study, we used a brief driving
questionnaire and chart reviews to assess the prevalence and characteristics of
driving difficulties in the following four groups of patients: TBI only, PTSD
only, TBI + PTSD, and Neither (neither TBI nor PTSD). Compared with before
deployment, 93% of OIF/OEF veterans seen in the polytrauma clinic reported more
difficulties with driving in at least one domain, with the most common areas of
difficulty being (1) problems with anger or impatience (82%), (2) general driving
difficulties (65%), and (3) experiences with near misses (57%). Patients with
PTSD (with or without TBI) reported the most significant driving impairments,
whereas respondents with a history of only TBI endorsed driving difficulties
similar to veterans without either diagnosis. Qualitative analysis of veterans'
comments also revealed similar patterns. Self-reported driving problems were
common among OIF/OEF returnees. Respondents who had a diagnosis of PTSD (with or
without TBI) reported the most severe driving difficulties since returning from
deployment. The association between PTSD and driving problems warrants further
investigation.
PMID- 22068371
TI - Retrospective case series of clinical outcomes associated with chiropractic
management for veterans with low back pain.
AB - Musculoskeletal complaints, most notably low back pain (LBP), are prevalent among
veterans. Despite a focus on LBP management by chiropractors within the Veterans
Health Administration, limited published accounts detail clinical outcomes with
chiropractic management of LBP among veterans. This was a retrospective case
series of 171 veterans with a chief complaint of LBP who were managed with
chiropractic care. Descriptive statistics and paired t-tests were used, with the
Numeric Rating Scale (NRS) and the Back Bournemouth Questionnaire (BBQ) serving
as the outcome measures. A minimum clinically important difference (MCID) was set
as 30% improvement from baseline for both measures. The mean number of treatments
was 8.7. For the NRS, the mean raw score improvement was 2.2 points, representing
37.4% change from baseline; 103 (60.2%) patients met or exceeded the MCID. For
the BBQ, the mean raw score improvement was 13.6 points, representing 34.6%
change from baseline; 92 patients (53.8%) met or exceeded the MCID. For this
sample of veterans with LBP, the mean percentages of clinical improvement were
statistically significant and clinically meaningful for both the NRS and BBQ.
PMID- 22068372
TI - An objective method for selecting command sources for myoelectrically triggered
lower-limb neuroprostheses.
AB - Functional electrical stimulation (FES) facilitates ambulatory function after
paralysis of persons with spinal cord injury (SCI) by exciting the peripheral
motor nerves to activate the muscles of the lower limbs. This study identified a
process for selecting command sources for triggering FES with the surface
electromyogram (EMG) from muscles partially paralyzed by incomplete SCI, given
its high degree of intersubject variability. We found Discriminability Index (DI)
to be a good metric to evaluate the potential of controlling FES-assisted
ambulation in four nondisabled volunteers and two participants with incomplete
paralysis. The left erector spinae (ES) (mean DI = 0.87) for triggering the left
step and the right ES (mean DI = 0.83) for triggering the right step were the
best command sources for participant 1. The left ES (mean DI = 0.93) for
triggering the left step and the right medial gastrocnemius (mean DI = 0.88) for
triggering the right step were the best command sources for participant 2. Our
results showed that command sources can be selected objectively from surface EMG
before a fully implantable EMG-triggered FES system for walking is implemented.
PMID- 22068373
TI - Residual limb volume change: systematic review of measurement and management.
AB - Management of residual limb volume affects decisions regarding timing of fit of
the first prosthesis, when a new prosthetic socket is needed, design of a
prosthetic socket, and prescription of accommodation strategies for daily volume
fluctuations. This systematic review assesses what is known about measurement and
management of residual limb volume change in persons with lower-limb amputation.
Publications that met inclusion criteria were grouped into three categories:
group I: descriptions of residual limb volume measurement techniques; group II:
studies investigating the effect of residual limb volume change on clinical care
in people with lower-limb amputation; and group III: studies of residual limb
volume management techniques or descriptions of techniques for accommodating or
controlling residual limb volume. We found that many techniques for the
measurement of residual limb volume have been described but clinical use is
limited largely because current techniques lack adequate resolution and in-socket
measurement capability. Overall, limited evidence exists regarding the management
of residual limb volume, and the evidence available focuses primarily on adults
with transtibial amputation in the early postoperative phase. While we can draw
some insights from the available research about residual limb volume measurement
and management, further research is required.
PMID- 22068374
TI - Tensile strength and impact resistance properties of materials used in prosthetic
check sockets, copolymer sockets, and definitive laminated sockets.
AB - Prosthetic sockets serve as the interface between people with amputations and
their prostheses. Although most materials used to make prosthetic sockets have
been used for many years, knowledge of these materials' properties is limited,
especially after they are subjected to fabrication processes. This study
evaluated tensile and impact properties of the current state-of-the-art materials
used to fabricate prosthetic check sockets, copolymer sockets, and definitive
laminated sockets. Thermolyn Rigid and Orfitrans Stiff check socket materials
produced significantly lower tensile strength and impact resistance than
polyethylene terephthalate glycol (PETG). Copolymer socket materials exhibited
greater resistance to impact forces than the check socket materials but lower
tensile strengths than PETG. The heated molding processes, for the check socket
and copolymer materials, reduced both tensile strength and elongation at break.
Definitive laminated sockets were sorted according to fabrication techniques.
Nyglass material had significantly higher elongation, indicating a more ductile
material than carbon-based laminations. Carbon sockets with pigmented resin had
higher tensile strength and modulus at break than nonpigmented carbon sockets.
Elongation at yield and elongation at break were similar for both types of carbon
based laminations. The material properties determined in this study provide a
foundation for understanding and improving the quality of prosthetic sockets
using current fabrication materials and a basis for evaluating future
technologies.
PMID- 22068375
TI - Bilateral upper-limb rehabilitation after stroke using a movement-based game
controller.
AB - This study aimed to determine the effectiveness of a bilateral, self-supported,
upper-limb rehabilitation intervention using a movement-based game controller for
people with chronic stroke. Fourteen participants received a control treatment,
followed by a washout period, and then the intervention. The intervention
comprised playing computer games with the CyWee Z (CyWee Group Ltd; Taipei,
Taiwan), a movement-based game controller similar to the Nintendo Wii remote. The
CyWee Z was incorporated into a handlebar, making bilateral exercises possible by
allowing the unaffected side to support and assist the affected side. The
intervention lasted for 8 to 10 sessions of 45 to 60 minutes over a period of 2.5
weeks. The Fugl-Meyer Assessment upper-limb section (FMA-UL) was used as the
primary outcome. The Wolf Motor Function Test and the Disabilities of Arm,
Shoulder, and Hand outcome measure were used as secondary outcomes.
Postintervention, motor performance as measured by the FMA-UL was significantly
improved compared with all preintervention assessments (p < 0.001), whereas no
changes were found on both secondary outcomes. It can be concluded from this
pilot study that upper-limb motor performance of adults with chronic stroke
improves with repetitive, game-assisted, self-supported bilateral exercises.
PMID- 22068376
TI - Development of custom measurement system for biomechanical evaluation of
independent wheelchair transfers.
AB - This study describes a new custom measurement system designed to investigate the
biomechanics of sitting-pivot wheelchair transfers and assesses the reliability
of selected biomechanical variables. Variables assessed include horizontal and
vertical reaction forces underneath both hands and three-dimensional trunk,
shoulder, and elbow range of motion. We examined the reliability of these
measures between 5 consecutive transfer trials for 5 subjects with spinal cord
injury and 12 nondisabled subjects while they performed a self-selected sitting
pivot transfer from a wheelchair to a level bench. A majority of the
biomechanical variables demonstrated moderate to excellent reliability (r > 0.6).
The transfer measurement system recorded reliable and valid biomechanical data
for future studies of sitting-pivot wheelchair transfers.We recommend a minimum
of five transfer trials to obtain a reliable measure of transfer technique for
future studies.
PMID- 22068377
TI - Kinematic analysis of symmetric axial trunk rotation on dominant hip.
AB - Axial rotation of the trunk has been reported as a significant risk of low back
dysfunction. However, a lack of biomechanical investigation exists that explains
how twisting is accomplished with simultaneous asymmetric handling between the
hip joints and lumbar spine. We used a three-dimensional motion analysis to
measure movements of the bilateral hips and lumbar spine. Forty-four persons
participated in the study, and the results indicated that spinal range of motion
(ROM) was significantly different based on dominance (F = 198.83, p = 0.001),
region x dominance (F = 14.21, p = 0.001), and dominance x dimension (F = 141.08,
p = 0.001). We also found a three-way interaction between region x dominance x
dimension (F = 26.30, p = 0.001). These results indicated that the motion of the
transverse and sagittal planes significantly increased when the participants
attempted to rotate their hips, especially on the nondominant side. Decreased
axial trunk ROM on the side of dominance might stiffen passive structures of the
hip joints. The functions of the hip joints and lumbar spine might be altered
three dimensionally based on the side of dominance.
PMID- 22068378
TI - Smart rehabilitation for the 21st century: the Tampa Smart Home for veterans with
traumatic brain injury.
PMID- 22068379
TI - Multiply twinned Pt-Pd nanoicosahedrons as highly active electrocatalysts for
methanol oxidation.
AB - Bimetallic Pt-Pd nanoicosahedrons (NIs) with multiple {111} twins were obtained
through a facile one-pot hydrothermal synthesis in a high shape selectivity of
82%. The {111}-enclosed NIs exhibited superior electrocatalytic activities to
{111}-enclosed Pt-Pd nanotetrahedrons as well as commercial Pt catalysts (Pt
black and Pt/C) for methanol oxidation.
PMID- 22068383
TI - Examining the robustness of first-principles calculations for metal hydride
reaction thermodynamics by detection of metastable reaction pathways.
AB - First principles calculations have played a useful role in screening mixtures of
complex metal hydrides to find systems suitable for H(2) storage applications.
Standard methods for this task efficiently identify the lowest energy reaction
mechanisms among all possible reactions involving collections of materials for
which DFT calculations have been performed. The resulting mechanism can
potentially differ from physical reality due to inaccuracies in the DFT
functionals used, or due to other approximations made in estimating reaction free
energies. We introduce an efficient method to probe the robustness of DFT-based
predictions that relies on identifying reactions that are metastable relative to
the lowest energy reaction path predicted with DFT. An important conclusion of
our calculations is that in many examples DFT cannot unambiguously predict a
single reaction mechanism for a well defined metal hydride mixture because two or
more mechanisms have reaction energies that differ by a small amount. Our
approach is illustrated by analyzing a series of single step reactions identified
in our recent work that examined reactions with a large database of solids [Kim
et al., Phys. Chem. Chem. Phys. 2011, 13, 7218].
PMID- 22068382
TI - Case report: a p.C618S RET proto-oncogene germline mutation in a large Chinese
pedigree with familial medullary thyroid carcinoma.
AB - We report a Chinese pedigree with familial medullary thyroid carcinoma. Direct
sequencing of the entire coding sequences of Rearranged during Transfection (RET)
identified a recurrent c.T1852A (p.C618S) mutation in 13 of 23 members. The
polymorphisms c.A135G (p.A45A), c.A1296G (p.A432A), c.T2307G (p.L769L) and IVS19
+ 15T > C were also found in 13 carriers, and c.G2073A (p.G691S) was found in 1
carrier. Of the 13 carriers, seven (mean age: 42.6 years, range: 27-64) presented
MTC as the isolated clinical phenotype, with elevated basal serum calcitonin
(average: 1077.9 ng/L, range: 504-2,652) and a mean diameter of thyroid nodules
of 2.97 cm (range: 1.6-4.3); they underwent a total thyroidectomy with modified
bilateral/unilateral neck dissection and/or level VI lymph node dissection. The
other 6 carriers did not accept surgery (4 rejected, 2 awaited). These were 2
older patients (63 and 32 years) with elevated calcitonin (1359 and 41.4 ng/L)
and multi-centric hypoechoic nodules (1.5 and 0.6 cm) with calcifications in
both/left thyroid lobes; and Doppler ultrasound showed normal bilateral thyroids
in 4 younger carriers (median age: 8.3 years, range: 4-12) but with increased
calcitonin (average: 9.7 ng/L, range: 7.87-12.2) in 3 of them. The phenotype here
is consistent with the clinical symptoms reported worldwide. We recommend that
screening of hotspot regions of RET should be preferentially carried out, while
whole-exon sequencing should be performed when clinical signs fail to reveal
hotspot mutations or different phenotype discrepancies. Moreover, we strongly
suggest prophylactic thyroidectomy should be performed before age 5 in carriers
with p.C618S to prevent the occurrence and metastasis of MTC.
PMID- 22068384
TI - Predictors and outcomes of feeling of insufficient consultation time in cancer
care in Korea: results of a nationwide multicenter survey.
AB - BACKGROUND: Shared decision making and an integrative approach is expected to
result in better outcomes, but might require more time. While ensuring that
sufficient consultation time is essential to quality cancer care, it is not clear
whether cancer patients feel that the amount of consultation time with their
oncologists is sufficient. METHODS: Data were collected from 2,556 cancer
patients on their perceived and preferred consultation time, and included
potential predictors, such as socio-demographics, clinical, behavioral, and
quality-of-life factors, as well as potential outcomes, including unmet patient
needs, trust in doctor, and satisfaction. The feeling of insufficient
consultation time was defined as the perception that consultation time is less
than the preferred consultation time; multivariate analyses were used for
identification of predictors and comparison of outcomes. RESULTS: Overall, 37.1%
felt that consultation time was less than preferred. Younger age, female sex,
higher education level, having national health insurance, having been diagnosed
with less common cancers, and having anxiety/depression were significantly
associated with feelings of insufficient consultation time. Subjects with a
feeling of insufficient consultation time reported higher current needs for
information, physical symptoms, and psychological problems. They also reported
less trust in their physician, lower overall satisfaction, and lower intention to
continue treatment at the current cancer center. CONCLUSION: This study
illustrated that high-quality cancer care, characterized by shared decision
making and an integrative approach, seems to be related to sufficient
consultation time that meets the individual's subjective needs, and measures
should be taken to ensure sufficient consultation time.
PMID- 22068385
TI - Determinants of undercarboxylated and carboxylated osteocalcin concentrations in
type 1 diabetes.
AB - To determine whether undercarboxylated osteocalcin (UC-OC) or gamma
carboxyglutamic-carboxylated-type osteocalcin (GLA-OC) concentrations deviate
from normal in type 1 diabetes (T1D), serum levels were compared between 115
subjects with T1D and 55 age-matched healthy controls. UC-OC and GLA-OC
concentrations were similar between groups; however, in T1D, UC-OC correlated
positively with markers of insulin exposure, either endogenously produced or
exogenously administered. INTRODUCTION: A study was conducted to determine
whether dysregulation of circulating concentrations of UC-OC or GLA-OC occurs in
patients with type 1 diabetes, a condition of insulin deficiency without insulin
resistance. METHODS: We measured serum concentrations of UC-OC and GLA-OC in 115
subjects with T1D, ages 14-40 years, and in 55 age-matched healthy control
subjects. Relationships between UC-OC and GLA-OC concentrations and patient
characteristics (gender and age), indices of glycemic control (hemoglobin A1c
(HbA1c), fasting plasma glucose, C-peptide concentration, 3-day average glucose
measured by a continuous glucose sensor, total daily insulin dose) and
circulating indices of skeletal homeostasis (total calcium, 25-OH vitamin D,
parathyroid hormone, insulin-like growth factor 1 (IGF-1), type 1 collagen
degradation fragments (CTX), adiponectin, leptin) were examined. Between group
differences in the concentrations of UC-OC and GLA-OC were the main outcome
measures. RESULTS: Although adiponectin levels were higher in the T1D group,
between-group comparisons did not reveal statistically significant differences in
concentration of UC-OC, GLA-OC, CTX or leptin between the T1D and control
populations. Instead, by multivariate regression modeling, UC-OC was correlated
with younger age (p < 0.001), higher CTX (p < 0.001), lower HbA1c (p = 0.013),
and higher IGF-1 (p = 0.086). Moreover, within the T1D subgroup, UC-OC was
positively correlated with C-peptide/glucose ratio (reflecting endogenous insulin
secretion), with IGF-1 (reflecting intra-portal insulin sufficiency), and with
total daily insulin dose. CONCLUSIONS: In T1D, UC-OC appears to correlate
positively with markers of insulin exposure, either endogenously produced or
exogenously administered.
PMID- 22068386
TI - Excess mortality after hip fracture among elderly women in Norway. The HUNT
study.
AB - We wanted to study mortality after hip fractures among elderly women in Norway.
We found that excess mortality was highest short time after hip fracture, but
persisted for several years after the fracture. The excess mortality was not
explained by pre-fracture medical conditions. INTRODUCTION: The purpose of the
present study was to investigate short and long term mortality after hip
fracture, and to evaluate how comorbidity, bone mineral density, and lifestyle
factors affect the survival after hip fractures. METHODS: The study cohort
emerges from a population-based health survey in the county of Nord-Trondelag,
Norway. Women aged 65 or more at participation at the health survey who sustained
a hip fracture after attending the health survey are cases in this study (n =
781). A comparison cohort was constructed based on participants at HUNT 2 with no
history of hip fractures (n = 3, 142). Kaplan-Meier survival curves were used to
evaluate crude survival, and Cox regression analyses were used to study age
adjusted hazard ratios for mortality and for multivariable analyses involving
relevant covariates. RESULTS: Mean length of follow-up after fracture was 2.8
years. Within the first 3 months of follow-up, 78 (10.0%) of the hip fracture
patients died, compared to only 39 (1.7%) in the control group. HR for mortality
3 months after hip fracture was 6.5 (95% CI 4.2-9.6). For the entire follow-up
period women who sustained a hip fracture had an HR for mortality of 1.9 (95% CI
1.6-2.3), compared with women without a hip fracture. CONCLUSIONS: We found that
elderly women who sustained a hip fracture had increased mortality risk. The
excess mortality was highest short time after the fracture, but persisted for
several years after the fracture, and was not explained by pre-fracture medical
conditions.
PMID- 22068388
TI - Airlift column photobioreactors for Porphyridium sp. culturing: Part II.
verification of dynamic growth rate model for reactor performance evaluation.
AB - Dynamic growth rate model has been developed to quantify the impact of
hydrodynamics on the growth of photosynthetic microorganisms and to predict the
photobioreactor performance. Rigorous verification of such reactor models,
however, is rare in the literature. In this part of work, verification of a
dynamic growth rate model developed in Luo and Al-Dahhan (2004) [Biotech Bioeng
85(4): 382-393] was attempted using the experimental results reported in Part I
of this work and results from literature. The irradiance distribution inside the
studied reactor was also measured at different optical densities and successfully
correlated by the Lambert-Beer Law. When reliable hydrodynamic data were used,
the dynamic growth rate model successfully predicted the algae's growth rate
obtained in the experiments in both low and high irradiance regime indicating the
robustness of this model. The simulation results also indicate the hydrodynamics
is significantly different between the real algae culturing system and an air
water system that signifies the importance in using reliable data input for the
growth rate model.
PMID- 22068389
TI - Biosynthesis of polyhydroxyalkanaotes by a novel facultatively anaerobic Vibrio
sp. under marine conditions.
AB - Marine bacteria have recently attracted attention as potentially useful
candidates for the production of practical materials from marine ecosystems,
including the oceanic carbon dioxide cycle. The advantages of using marine
bacteria for the biosynthesis of poly(hydroxyalkanoate) (PHA), one of the eco
friendly bioplastics, include avoiding contamination with bacteria that lack salt
water resistance, ability to use filtered seawater as a culture medium, and the
potential for extracellular production of PHA, all of which would contribute to
large-scale industrial production of PHA. A novel marine bacterium, Vibrio sp.
strain KN01, was isolated and characterized in PHA productivity using various
carbon sources under aerobic and aerobic-anaerobic marine conditions. The PHA
contents of all the samples under the aerobic-anaerobic condition, especially
when using soybean oil as the sole carbon source, were enhanced by limiting the
amount of dissolved oxygen. The PHA accumulated using soybean oil as a sole
carbon source under the aerobic-anaerobic condition contained 14% 3
hydroxypropionate (3HP) and 3% 5-hydroxyvalerate (5HV) units in addition to (R)-3
hydroxybutyrate (3HB) units and had a molecular weight of 42 * 103 g/mol. The
present result indicates that the activity of the beta-oxidation pathway under
the aerobic-anaerobic condition is reduced due to a reduction in the amount of
dissolved oxygen. These findings have potential for use in controlling the
biosynthesis of long main-chain PHA by regulating the activity of the beta
oxidation pathway, which also could be regulated by varying the dissolved oxygen
concentration.
PMID- 22068390
TI - Heat shock protein gene family of the Porphyra seriata and enhancement of heat
stress tolerance by PsHSP70 in Chlamydomonas.
AB - Heat shock proteins and molecular chaperones are key components contributing to
survival in the abiotic stress response. Porphyra seriata grows on intertidal
rocks exposed to dynamic environmental changes associated with the turning tides,
including desiccation and heat stress. Analysis of the ESTs of P. seriata allows
us to identify the nine HSP cDNAs, which are predicted to be PsHSP90, three
PsHSP70, PsHSP40 and PsHSP20, and three 5'-truncated HSP cDNAs. RT-PCR results
show that most of the PsHSP transcripts were detected under normal cell growth
conditions as well as heat stress, with the exception of two cDNAs. In
particular, PsHSP70b and PsHSP20 transcripts were upregulated by heat stress.
When the putative mitochondrial PsHSP70b was introduced and overexpressed in
Chlamydomonas, transformed Chlamydomonas evidenced higher rates of survival and
growth than those of the wild type under heat stress conditions. Constitutive
overexpression of the PsHSP70b gene increases the transcription of the HSF1 as
well as the CrHSP20 and CrHSP70 gene. These results indicate that PsHSP70b is
involved in tolerance to heat stress and the effects on transcription of the
CrHSP20 and CrHSP70 genes.
PMID- 22068391
TI - Young research investigators honored at the 2011 Gordon research conference on
photosynthesis: ambiance and a perspective.
PMID- 22068392
TI - Buoying health by building communities.
PMID- 22068393
TI - The UN weighs solutions to the plague of noncommunicable disease.
PMID- 22068394
TI - How the health and community development sectors are combining forces to improve
health and well-being.
AB - The root causes of poor health experienced by many who live in low-income
neighborhoods-such as the lack of access to health care, limited food choices,
and exposure to environmental hazards-are well documented, but often go beyond
the scope of the health care delivery system. But that is beginning to change.
The health sector has begun to collaborate with the community development sector,
which for decades has been working in low-income neighborhoods. Encouraging local
and national examples of these new partnerships abound. They include an effort in
Seattle, Washington, to reduce exposure to allergens and irritants among low
income asthmatic children, and a $500 million federal program to finance the
operation of grocery stores in what have previously been urban "food deserts." To
nurture such efforts, the Robert Wood Johnson Foundation, the Federal Reserve
System, and others have sponsored a series of "healthy community" forums in US
cities. In this article we explore the growing partnerships between the health
and community development sectors as well as the challenges they face, and we
offer policy recommendations that might help them succeed.
PMID- 22068395
TI - Community development efforts offer a major opportunity to advance Americans'
health.
AB - Large differences in the opportunities and resources that Americans have to be
healthy have led to sizable variations in health by geography, race and
ethnicity, income level, and education. By enhancing the opportunities for good
health in the places where we live, learn, work, play, and worship, community
development initiatives can be important drivers of improved health. As articles
in this month's issue of Health Affairs attest, community development and public
health are two forces that often have the same goals. Because there has been
little research to date documenting which aspects of community development could
have the greatest impact on health, it will be increasingly necessary to
rigorously evaluate the impact of various interventions to guide policy makers in
identifying the most important measures to take in an environment of constrained
financial resources.
PMID- 22068396
TI - Partnerships among community development, public health, and health care could
improve the well-being of low-income people.
AB - Safe, vibrant neighborhoods are vital to health. The community development
"industry"-a network of nonprofit service providers, real estate developers,
financial institutions, foundations, and government-draws on public subsidies and
other financing to transform impoverished neighborhoods into better-functioning
communities. Although such activity positively affects the "upstream" causes of
poor health, the community development industry rarely collaborates with the
health sector or even considers health effects in its work. Examples of
initiatives-such as the creation of affordable housing that avoids nursing home
placement-suggest a strong potential for cross-sector collaborations to reduce
health disparities and slow the growth of health care spending, while at the same
time improving economic and social well-being in America's most disadvantaged
communities. We propose a four-point plan to help ensure that these
collaborations achieve positive outcomes and sustainable progress for residents
and investors alike.
PMID- 22068397
TI - Despite obstacles, considerable potential exists for more robust federal policy
on community development and health.
AB - The implementation of the Affordable Care Act of 2010 and the Obama
administration's urban policy create an opportunity to link community development
with health in new and powerful ways. The administration's policy emphasizes
improved access to and quality of care through coordinated local and regional
approaches, expansion of access to healthy food, and the support of environmental
health-including clean air, water, and soil-and healthy homes. New federal
programs, such as the Affordable Care Act's Community Transformation Grants, seek
to prevent death and disability through policy, environmental, programmatic, and
infrastructure changes. But fragmented congressional jurisdiction and budget
"scoring" rules pose challenges to needed reform. We argue that government
agencies need to adopt so-called systems of innovation, or organizational
practices and support mechanisms that seek continuously to test new models,
refine promising ones, bring to scale those that work best, and restructure or
terminate what does not. We also argue that a strong and well-focused policy
advocacy coalition is needed to help drive reform focused on the social
determinants of health.
PMID- 22068398
TI - Bringing researchers and community developers together to revitalize a public
housing project and improve health.
AB - Tens of billions of dollars-both public and private-flow to low-income
communities each year, mostly for affordable housing. However, it is rare for the
health effects of these investments to be assessed. In San Francisco, California,
a collaborative effort is under way that aims to fill this research gap while
helping residents of Sunnydale, the city's largest public housing project, where
poverty, violence, and truancy are entrenched. The collaboration is in its
earliest stages-with construction not scheduled to start for at least four years
but some early lessons have emerged. For example, researchers and community
developers have found that their data collection needs and timeline expectations
often don't match. Nevertheless, the collaborators intend to use the long period
before groundbreaking to establish baseline measurements of residents' social and
physical well-being, plan initiatives in collaboration with community members and
stakeholders, and seek funding for the initiatives and a longitudinal evaluation
of the community.
PMID- 22068399
TI - At 'Urban Horizons,' healthier living is a beautiful thing.
PMID- 22068400
TI - Neighborhood characteristics associated with access to patient-centered medical
homes for children.
AB - Understanding social determinants of health, such as the social and physical
conditions under which children and their families live, work, and play, is
essential to reducing disparities and improving the quality of primary care. We
studied the relationship between perceptions of neighborhood characteristics such
as cohesion, safety, physical environment, and whether children receive care from
a patient-centered medical home. We found that place matters. Children were less
likely to have access to a medical home if they were from less socially cohesive
neighborhoods, less safe neighborhoods, or neighborhoods with fewer amenities.
These associations persisted even after adjustment for socioeconomic factors and
proved to be more strongly associated than race and income. Our findings
underscore the need to foster medical practice models that make use of trusted
community partners, such as churches and schools, expand care teams to include
community health workers, and identify additional ways to build collaborative
relationships between providers and their patients and their families from less
cohesive neighborhoods.
PMID- 22068401
TI - Community health centers and community development financial institutions:
joining forces to address determinants of health.
AB - Community health centers and community development financial institutions share
similar origins and missions and are increasingly working together to meet
community needs. Addressing the social and economic determinants of health is a
common focus. The availability of new federal grants and tax credits has led
these financial institutions to invest in the creation and expansion of community
health centers. This article reviews the most recent trends in these two sectors
and explores opportunities for further collaboration to transform the health and
well-being of the nation's low-income communities.
PMID- 22068402
TI - Training new community health, food service, and environmental protection workers
could boost health, jobs, and growth.
AB - General job training programs, and separate disease prevention or health
promotion programs, are usually viewed as two different strategies for reducing
poverty and promoting community development. We propose that with better
alignment of the strategies, new jobs with the potential to simultaneously
improve population health, lower the cost of health care, and reduce unemployment
could be created and filled. Initiatives for three types of entry-level positions
in the fields of community health, environmental remediation and protection, and
food preparation-show particular promise as vehicles for health and economic
improvement at the individual and community levels. Building on current federal
programs, new pilot projects financed by federal funding should be created to
test and refine such initiatives and their impact and assemble an evidence base
for future policy action.
PMID- 22068403
TI - Large variations in Medicare payments for surgery highlight savings potential
from bundled payment programs.
AB - Payers are considering bundled payments for inpatient surgery, combining provider
reimbursements into a single payment for the entire episode. We found that
current Medicare episode payments for certain inpatient procedures varied by 49
130 percent across hospitals sorted into five payment groups. Intentional
differences in payments attributable to such factors as geography or illness
severity explained much of this variation. But after adjustment for these
differences, per episode payments to the highest-cost hospitals were higher than
those to the lowest-cost facilities by up to $2,549 for colectomy and $7,759 for
back surgery. Postdischarge care accounted for a large proportion of the
variation in payments, as did discretionary physician services, which may be
driven in turn by variations in surgeons' practice styles. Our study suggests
that bundled payments could yield sizable savings for payers, although the effect
on individual institutions will vary because hospitals that were relatively
expensive for one procedure were often relatively inexpensive for others. More
broadly, our data suggest that many hospitals have considerable room to improve
their cost efficiency for inpatient surgery and should look for patterns of
excess utilization, particularly among surgical specialties, other inpatient
specialist consultations, and various types of postdischarge care.
PMID- 22068404
TI - The PROMETHEUS bundled payment experiment: slow start shows problems in
implementing new payment models.
AB - Fee-for-service payment is blamed for many of the problems observed in the US
health care system. One of the leading alternative payment models proposed in the
Affordable Care Act of 2010 is bundled payment, which provides payment for all of
the care a patient needs over the course of a defined clinical episode, instead
of paying for each discrete service. We evaluated the initial "road test" of
PROMETHEUS Payment, one of several bundled payment pilot projects. The project
has faced substantial implementation challenges, and none of the three pilot
sites had executed contracts or made bundled payments as of May 2011. The pilots
have taken longer to set up than expected, primarily because of the complexity of
the payment model and the fact that it builds on the existing fee-for-service
payment system and other complexities of health care. Participants continue to
see promise and value in the bundled payment model, but the pilot results suggest
that the desired benefits of this and other payment reforms may take time and
considerable effort to materialize.
PMID- 22068405
TI - The many different prices paid to providers and the flawed theory of cost
shifting: is it time for a more rational all-payer system?
AB - In developed nations that rely on multiple, competing health insurers-for
example, Switzerland and Germany-the prices for health care services and products
are subject to uniform price schedules that are either set by government or
negotiated on a regional basis between associations of health insurers and
associations of providers of health care. In the United States, some states
notably Maryland-have used such all-payer systems for hospitals only. Elsewhere
in the United States, prices are negotiated between individual payers and
providers. This situation has resulted in an opaque system in which payers with
market power force weaker payers to cover disproportionate shares of providers'
fixed costs-a phenomenon sometimes termed cost shifting-or providers simply
succeed in charging higher prices when they can. In this article I propose that
this price-discriminatory system be replaced over time by an all-payer system as
a means to better control costs and ensure equitable payment.
PMID- 22068407
TI - Gaps in residency training should be addressed to better prepare doctors for a
twenty-first-century delivery system.
AB - Many observers have been concerned about a mismatch between the knowledge,
skills, and professional values of newly trained physicians and the requirements
of current and future medical practice. We surveyed and interviewed Kaiser
Permanente's clinical department chiefs for internal medicine, pediatrics,
general surgery, and obstetrics/gynecology to ascertain their views of the
perceived gaps in the readiness of newly trained physicians. Nearly half of those
surveyed reported deficiencies among new physicians in managing routine
conditions or performing simple procedures often encountered in office-based
practice. A third of the chiefs noted deficiencies in coordinating care for
patients. Filling these and other training gaps will require changes at many
levels-from residency programs to Medicare reimbursement policies-to better
prepare new physicians for the challenges of working in a health care system
evolving to emphasize accountability, quality outcomes, cost control, and
information technology.
PMID- 22068406
TI - Mayo Clinic employees responded to new requirements for cost sharing by reducing
possibly unneeded health services use.
AB - Some health plans have experimented with increasing consumer cost sharing, on the
theory that consumers will use less unnecessary health care if they are expected
to bear some of the financial responsibility for it. However, it is unclear
whether the resulting decrease in use is sustained beyond one or two years. In
2004 Mayo Clinic's self-funded health plan increased cost sharing for its
employees and their dependents for specialty care visits (adding a $25 copayment
to the high-premium option) and other services such as imaging, testing, and
outpatient procedures (adding 10 or 20 percent coinsurance, depending on the
option). The plan also removed all cost sharing for visits to primary care
providers and for preventive services such as colorectal screening and
mammography. The result was large decreases in the use of diagnostic testing and
outpatient procedures that were sustained for four years, and an immediate
decrease in the use of imaging that later rebounded (possibly to levels below the
expected trend). Beneficiaries decreased visits to specialists but did not make
greater use of primary care services. These results suggest that implementing
relatively low levels of cost sharing can lead to a long-term decrease in
utilization.
PMID- 22068408
TI - How the National Prevention Council can overcome key challenges and improve
Americans' health.
AB - The Affordable Care Act of 2010 established the first-ever National Prevention,
Health Promotion, and Public Health Council out of recognition of the need for a
major new national focus on disease prevention. Composed of cabinet-level
officials from a range of federal agencies, the council has a clear policy
mandate: to coordinate and lead prevention, wellness, and health promotion
efforts across the entire federal government and the nation. In its first year,
the council developed a comprehensive prevention strategy; but its full
implementation is threatened by economic, political, bureaucratic, and
institutional challenges. This article examines these challenges and makes
recommendations for how to maximize the positive impact of the council through
effective cross-agency collaboration aimed at improving Americans' health,
including framing prevention as a bipartisan cost containment strategy;
distancing the work of the council from the implementation of other aspects of
the Affordable Care Act; using dollars from the Prevention and Public Health Fund
to incentivize ongoing participation by nonhealth agencies; and providing
technical assistance and analytic support to nonhealth agencies willing to
broaden attention to the health impacts of their nonhealth policies.
PMID- 22068409
TI - Evolving brand-name and generic drug competition may warrant a revision of the
Hatch-Waxman Act.
AB - The evolution of pharmaceutical competition since Congress passed the Hatch
Waxman Act in 1984 raises questions about whether the act's intended balance of
incentives for cost savings and continued innovation has been achieved. Generic
drug usage and challenges to brand-name drugs' patents have increased markedly,
resulting in greatly increased cost savings but also potentially reduced
incentives for innovators. Congress should review whether Hatch-Waxman is
achieving its intended purpose of balancing incentives for generics and
innovation. It also should consider whether the law should be amended so that
some of its provisions are brought more in line with recently enacted legislation
governing approval of so-called biosimilars, or the corollary for biologics of
generic competition for small-molecule drugs.
PMID- 22068410
TI - Six climate change-related events in the United States accounted for about $14
billion in lost lives and health costs.
AB - The future health costs associated with predicted climate change-related events
such as hurricanes, heat waves, and floods are projected to be enormous. This
article estimates the health costs associated with six climate change-related
events that struck the United States between 2000 and 2009. The six case studies
came from categories of climate change-related events projected to worsen with
continued global warming-ozone pollution, heat waves, hurricanes, infectious
disease outbreaks, river flooding, and wildfires. We estimate that the health
costs exceeded $14 billion, with 95 percent due to the value of lives lost
prematurely. Actual health care costs were an estimated $740 million. This
reflects more than 760,000 encounters with the health care system. Our analysis
provides scientists and policy makers with a methodology to use in estimating
future health costs related to climate change and highlights the growing need for
public health preparedness.
PMID- 22068411
TI - Call it 'jiffy boob': what's lacking when care has assembly-line efficiency.
PMID- 22068412
TI - Strengthening children's oral health: views from the field.
AB - Low-income children and adolescents continue to bear a heavy burden of untreated
pain and complications from dental disease. To explore why proposals to remediate
this problem have not gained traction, the authors interviewed experts involved
in efforts to improve the oral health status of low-income and minority children
during the past decade. Key informants believe that success requires addressing
both consumer demand and provider supply factors. They especially cite the lack
of public outcry for more accessible oral health care and the undervaluing of
oral health, relative to medical care. Informants were cautiously optimistic that
strategies such as health literacy and outreach campaigns, which have helped
increase children's physical activity and improve their diets, offer unexplored
opportunities for progress.
PMID- 22068414
TI - Appropriate care for chronic pain.
PMID- 22068416
TI - Patient-centered medical homes in Michigan.
PMID- 22068418
TI - Medicaid managed care for elderly, disabled populations.
PMID- 22068420
TI - Withdrawing unsafe drugs from the market.
PMID- 22068421
TI - Palliative care and hospice.
PMID- 22068422
TI - Parity between behavioral health and medical services.
PMID- 22068423
TI - N-cadherin determines individual variations in the therapeutic efficacy of human
umbilical cord blood-derived mesenchymal stem cells in a rat model of myocardial
infarction.
AB - In this study, we established and characterized human umbilical cord blood
derived mesenchymal stem cells (hUCB-MSCs) from four different donors. However,
the hUCB-MSCs showed remarkable variations in their therapeutic efficacy for
repairing rat infarcted myocardium (including the process of angiogenesis) 8
weeks after transplantation. In addition, we observed that the level of vascular
endothelial growth factor (VEGF) is correlated with the therapeutic efficacy of
the four hUCB-MSCs. Next, to investigate the practical application of hUCB-MSCs,
we searched for surface signature molecules that could serve as indicators of
therapeutic efficacy. The gene for N-cadherin was the only cell surface gene that
was highly expressed in the most effective hUCB-MSCs, both at the transcriptional
and translational levels. We observed downregulation and upregulation of VEGF in
response to N-cadherin blocking and N-cadherin overexpression, respectively.
Activation of extracellular signal-regulated kinase (ERK), but not protein kinase
B, was increased when N-cadherin expression was increased, whereas disruption of
N-cadherin-mediated cell-cell contact induced suppression of ERK activation and
led to VEGF downregulation. Moreover, by investigating hUCB-MSCs overexpressing N
cadherin or N-cadherin knockdown hUCB-MSCs, we confirmed the in vivo function of
N-cadherin. In addition, we observed that DiI-labeled hUCB-MSCs express N
cadherin in the peri-infarct area and interact with cardiomyocytes.
PMID- 22068424
TI - Safety and tolerability of the Easy VaxTM clinical epidermal electroporation
system in healthy adults.
AB - DNA vaccines are cost-effective and versatile, though intracellular delivery has
been challenging in humans. Alternative delivery modalities such as
electroporation have demonstrated improved immune responses, but are painful. In
this single-center, double-blind, medical device trial, we evaluated the safety
and tolerability of Easy VaxTM dermal electroporation system, alone (without DNA)
in healthy adults. Three randomized protocol doses were administered to 10
subjects (80% white, 60% female, mean age: 32.1 years) in each of two areas
(total of six doses). Two subjects complained of shooting pain, burning and/or
tingling when doses were administered to the forearm region, but not the lateral
deltoid regions. Subsequent doses for the remaining eight subjects were
restricted to the deltoid regions only. Tolerability pain scores never exceeded 3
of 10 in the 11-Point Pain Rating scale, and 12 of 100 in the Visual Analog Scale
(VAS), and lower in follow-up evaluations (P < 0.0001), with no significant
difference between the three dosing protocols. Electrical properties of the skin,
measured automatically by the device, showed no correlation between pain
intensity and skin conductance. In conclusion, the Easy VaxTM electroporation
device is safe and well tolerated when administered over the lateral deltoid skin
regions in healthy volunteers.
PMID- 22068425
TI - Phase 1 gene therapy for Duchenne muscular dystrophy using a translational
optimized AAV vector.
AB - Efficient and widespread gene transfer is required for successful treatment of
Duchenne muscular dystrophy (DMD). Here, we performed the first clinical trial
using a chimeric adeno-associated virus (AAV) capsid variant (designated AAV2.5)
derived from a rational design strategy. AAV2.5 was generated from the AAV2
capsid with five mutations from AAV1. The novel chimeric vector combines the
improved muscle transduction capacity of AAV1 with reduced antigenic
crossreactivity against both parental serotypes, while keeping the AAV2 receptor
binding. In a randomized double-blind placebo-controlled phase I clinical study
in DMD boys, AAV2.5 vector was injected into the bicep muscle in one arm, with
saline control in the contralateral arm. A subset of patients received AAV empty
capsid instead of saline in an effort to distinguish an immune response to vector
versus minidystrophin transgene. Recombinant AAV genomes were detected in all
patients with up to 2.56 vector copies per diploid genome. There was no cellular
immune response to AAV2.5 capsid. This trial established that rationally designed
AAV2.5 vector was safe and well tolerated, lays the foundation of customizing AAV
vectors that best suit the clinical objective (e.g., limb infusion gene delivery)
and should usher in the next generation of viral delivery systems for human gene
transfer.
PMID- 22068426
TI - Efficient generation of functional hepatocytes from human embryonic stem cells
and induced pluripotent stem cells by HNF4alpha transduction.
AB - Hepatocyte-like cells from human embryonic stem cells (ESCs) and induced
pluripotent stem cells (iPSCs) are expected to be a useful source of cells drug
discovery. Although we recently reported that hepatic commitment is promoted by
transduction of SOX17 and HEX into human ESC- and iPSC-derived cells, these
hepatocyte-like cells were not sufficiently mature for drug screening. To promote
hepatic maturation, we utilized transduction of the hepatocyte nuclear factor
4alpha (HNF4alpha) gene, which is known as a master regulator of liver-specific
gene expression. Adenovirus vector-mediated overexpression of HNF4alpha in
hepatoblasts induced by SOX17 and HEX transduction led to upregulation of
epithelial and mature hepatic markers such as cytochrome P450 (CYP) enzymes, and
promoted hepatic maturation by activating the mesenchymal-to-epithelial
transition (MET). Thus HNF4alpha might play an important role in the hepatic
differentiation from human ESC-derived hepatoblasts by activating the MET.
Furthermore, the hepatocyte like-cells could catalyze the toxication of several
compounds. Our method would be a valuable tool for the efficient generation of
functional hepatocytes derived from human ESCs and iPSCs, and the hepatocyte-like
cells could be used for predicting drug toxicity.
PMID- 22068427
TI - Human skeletal muscle cells with a slow adhesion rate after isolation and an
enhanced stress resistance improve function of ischemic hearts.
AB - Identification of cells that are endowed with maximum potency could be critical
for the clinical success of cell-based therapies. We investigated whether cells
with an enhanced efficacy for cardiac cell therapy could be enriched from adult
human skeletal muscle on the basis of their adhesion properties to tissue culture
flasks following tissue dissociation. Cells that adhered slowly displayed greater
myogenic purity and more readily differentiated into myotubes in vitro than
rapidly adhering cells (RACs). The slowly adhering cell (SAC) population also
survived better than the RAC population in kinetic in vitro assays that simulate
conditions of oxidative and inflammatory stress. When evaluated for the treatment
of a myocardial infarction (MI), intramyocardial injection of the SACs more
effectively improved echocardiographic indexes of left ventricular (LV)
remodeling and contractility than the transplantation of the RACs.
Immunohistological analysis revealed that hearts injected with SACs displayed a
reduction in myocardial fibrosis and an increase in infarct vascularization,
donor cell proliferation, and endogenous cardiomyocyte survival and proliferation
in comparison with the RAC-treated hearts. In conclusion, these results suggest
that adult human skeletal muscle-derived cells are inherently heterogeneous with
regard to their efficacy for enhancing cardiac function after cardiac
implantation, with SACs outperforming RACs.
PMID- 22068428
TI - Oncolytic Sindbis virus targets tumors defective in the interferon response and
induces significant bystander antitumor immunity in vivo.
AB - Sindbis virus (SBV) has been shown to possess oncolytic potential in many human
xenograft tumor models in immunocompromised mice. However, the mechanism
underlying the tumor selectivity of SBV remains undetermined. In this study, we
provide evidence that the tumor tropism of SBV infection is not determined by the
levels of SBV receptor but by the status of the type I interferon (IFN) response
in the tumors. Our results demonstrate that cells with defects in the IFN
response (in either IFN-beta production or IFN signaling) were highly susceptible
to SBV infection in vitro. The results of oncolysis experiments conducted in
immunocompetent animals further confirmed that the success of SBV-mediated
oncolysis is greatly dependent on the presence of defects in IFN signaling in
tumors. In all cases, viral titers rapidly declined in tumors due to host immune
responses in immunocompetent animals. Interestingly, however, tumor-specific
immune responses were concomitantly elicited, which might contribute to the
sustained antitumor effect observed after the clearance of SBV. These findings
indicate that SBV-mediated virotherapy is a promising therapeutic strategy for
cancers defective in the IFN response and underscore the importance of bystander
antitumor immunity in the efficacy of this virotherapy.
PMID- 22068430
TI - Understanding pressure ulcer research and education needs: a comparison of the
association for the advancement of wound care pressure ulcer guideline evidence
levels and content validity scores .
AB - Although difficult to quantify due to methodological variations, the worldwide
burden of pressure ulcers (PUs) is substantial. Recognizing the importance of
providing evidence-based care to help reduce this burden, the North American
Wound Care Council societies collaborated to identify PU research and education
opportunities using the PU "Guideline of Guidelines" developed and tested by
Association for the Advancement of Wound Care Guideline Department (AAWC GD).
Volunteer AAWC GD members compiled recommendations from PU guidelines available
in 2008, searched the lit- erature for additional research as needed, and
developed evidence levels for all recommendations using an established level-of
evidence rating scheme. At the same time, AAWC members and Ostomy Wound
Management readers were invited via email to participate in a content validation
study of the 368 recommendations, rating items on a scale of 1 (not relevant) to
4 (very relevant and succinct). Items with a content validity index (CVI) >0.75
were considered valid. Recommendations with support from two or more randomized
controlled PU trials or two or more cohort studies for diagnostic or predictive
validity (A-level evidence) and a CVI >75 were grouped as ready for
implementation. Recommendations with content validity but without A-level
evidence were determined to be opportunities for research; recommendations that
lacked content validity but that had A-level evidence were viewed as
opportunities for education. Thirty-two (32) multidisciplinary healthcare
professionals participated in the content validation study. Most (93.2%)
recommendations were rated as valid. Of the 97 (26%) recommendations with A-level
evidence, 90 (24.5% of total) met both strong content validity and strong
evidence criteria and were rated as ready for implementation as standard of care.
Most recommendations (253, 68.8%) were rated as valid but had B- or C-level
evidence, representing opportunities for research. Only seven (1.9%)
recommendations had a low CVI but A-level evidence, suggesting a need for
education. The results show that most of the guideline recommendations are valid,
that the number of PU intervention recommendations with A-level evidence is
increasing, but that, in general, the need for research to replace opinion with
evidence remains high across the entire spectrum of PU prevention and treatment.
Understanding what is known (recommendation: ready to implement), what is not
known (research needed), and what clinicians need to know (education needed) is
an important step toward reducing the burden of pressure ulcers. difficile are
warranted.
PMID- 22068429
TI - Preclinical corrective gene transfer in xeroderma pigmentosum human skin stem
cells.
AB - Xeroderma pigmentosum (XP) is a devastating disease associated with dramatic skin
cancer proneness. XP cells are deficient in nucleotide excision repair (NER) of
bulky DNA adducts including ultraviolet (UV)-induced mutagenic lesions.
Approaches of corrective gene transfer in NER-deficient keratinocyte stem cells
hold great hope for the long-term treatment of XP patients. To face this
challenge, we developed a retrovirus-based strategy to safely transduce the wild
type XPC gene into clonogenic human primary XP-C keratinocytes. De novo
expression of XPC was maintained in both mass population and derived independent
candidate stem cells (holoclones) after more than 130 population doublings (PD)
in culture upon serial propagation (>10(40) cells). Analyses of retrovirus
integration sequences in isolated keratinocyte stem cells suggested the absence
of adverse effects such as oncogenic activation or clonal expansion. Furthermore,
corrected XP-C keratinocytes exhibited full NER capacity as well as normal
features of epidermal differentiation in both organotypic skin cultures and in a
preclinical murine model of human skin regeneration in vivo. The achievement of a
long-term genetic correction of XP-C epidermal stem cells constitutes the first
preclinical model of ex vivo gene therapy for XP-C patients.
PMID- 22068431
TI - Measuring toe pressures using a portable photoplethysmograph to detect arterial
disease in high-risk patients: an overview of the literature.
AB - Lower extremity arterial disease (LEAD) is estimated to affect one third of
individuals older than 65 years of age, occurs in younger individuals who use
tobacco or have diabetes mellitus (DM), and often remains undiagnosed until a
patient pres- ents with ischemia-related symptoms or complications. Valid and
reliable noninvasive tests such as the ankle-brachial index (ABI) are recommended
to detect LEAD. However, ABI results can be inconclusive or the index can be
elevated (i.e., >1.3) in persons with calcified ankle arteries due to DM, renal
failure, or arthritis. In these instances, obtaining toe pressure (TP)
measurements, which correlate well with angiographic findings, is advised,
providing the patient does not have vasoconstriction with cold toes or
vasospastic disease. In such cases, TP can be obtained using a portable pho-
toplethysmograph (PPG), which offers a simple and inexpensive method for
healthcare providers in a variety of clinical settings to assess for the presence
of LEAD. Portable PPG TP measurements have been found to have a high level of
agreement with vascular laboratory PPG tests to detect LEAD, as well as good
sensitivity and a high specificity. Adopting a TP measurement protocol of care to
assess high-risk individuals such as patients with DM and elevated ABIs
potentially can have a major impact on early identification of LEAD and reduce
the risk of ischemia-related complications, including lower extremity wounds and
amputations.
PMID- 22068432
TI - Controversy over the classification of medication-overuse headache.
AB - Medication-overuse headache (MOH) is a relatively common and impactful disorder,
affecting 1% to 2% of the population, characterized by daily or near-daily
headache aggravated by chronic acute medication intake. Primary headache patients
do not necessarily develop MOH after acute medication overuse, although a pre
existing primary headache is inevitably present. Likewise, headache patients may
deteriorate in terms of frequency without medication overuse, or suffer from
chronic headache in the presence of drug abuse without any causal relationship.
To classify and define diagnostic criteria for MOH in the absence of objective
biomarkers is a difficult task that is presently based on clinical grounds and is
limited in part by the relative lack of research in this field. The present
criteria are less restrictive but also less precise than the previous versions
because they allow the diagnosis without the previously required MOH confirmation
after medication withdrawal. MOH should remain as a distinct secondary disorder
based on the available clinical and pathophysiological evidence.
PMID- 22068433
TI - Robotic-assisted percutaneous coronary intervention--filling an unmet need.
AB - Percutaneous coronary intervention (PCI) has undergone a remarkable evolution
over the past 25 years. Initially, the procedure was limited to relatively
straightforward lesions and was associated with significant risk and
unpredictable long-term efficacy. With the incorporation of new technologies such
as stents, the safety and efficacy of the procedure has improved dramatically.
However, the fundamental way in which the procedure is performed has changed
little since the time of Gruntzig's first successful case. Cumulative exposure to
ionizing radiation, orthopedic injuries resulting from wearing shielding aprons,
and fatigue from standing for hours at the table have made the catheterization
laboratory a "high-risk workplace" for the interventional cardiologist. Robotic
assisted PCI was developed to allow the operator to precisely manipulate
angioplasty guidewires, balloons, and stents from a radiation-shielded cockpit. A
small first-in-man study demonstrated that PCI can be performed with robotic
assistance. The pivotal Percutaneous Robotically Enhanced Coronary Intervention
Study trial is currently enrolling patients and evaluating the safety and
efficacy of the CorPath(r) robotically assisted PCI system.
PMID- 22068434
TI - 2011 ACCF/AHA guideline for the diagnosis and treatment of hypertrophic
cardiomyopathy: a report of the American College of Cardiology
Foundation/American Heart Association Task Force on Practice Guidelines.
PMID- 22068435
TI - 2011 ACCF/AHA guideline for the diagnosis and treatment of hypertrophic
cardiomyopathy: executive summary: a report of the American College of Cardiology
Foundation/American Heart Association Task Force on Practice Guidelines.
PMID- 22068436
TI - Flexible oligocholate foldamers as membrane transporters and their guest
dependent transport mechanism.
AB - Dimeric, trimeric, and tetrameric oligocholates with flexible 4-aminobutyroyl
spacers caused the efflux of hydrophilic molecules such as carboxyfluorescein
(CF) and glucose from POPC/POPG liposomes. Transport was greatly suppressed
across higher-melting DPPC membranes. Lipid-mixing assays and dynamic light
scattering (DLS) indicated that the liposomes were intact during the transport.
Kinetic analysis supported the involvement of monomeric species in the rate
limiting step of CF transport, consistent with a carrier-based mechanism. Glucose
transport, on the other hand, displayed a highly unusual zero-order dependence on
the oligocholate concentration at low loading of the transporter. Different
selectivity was observed in the oligocholate transporters depending on the guest
involved.
PMID- 22068437
TI - Enzyme Directed Templating of Artificial Bone Mineral.
AB - Bone is one of Nature's most remarkable materials, not only for its mechanical
properties but also for its ability to repair fractures and remodel its
microstructure in response to stress. At the nanoscale bone is a supramolecular
matrix of collagen fibers reinforced by hydroxyapatite crystals with a high
degree of order. Emulating elements of the biological synthesis of this composite
could help develop strategies for advanced materials. Previous work has
demonstrated the use of functionalized peptide amphiphile nanofibers in a two
dimensional system to emulate hydroxyapatite mineralization in natural bone. We
describe here an artificial, in vitro biomineralization process that allows a
similar process to occur in three dimensions. The system employs the natural
enzyme alkaline phosphatase and a phosphorylated, anionic nanofiber gel matrix to
template hydroxyapatite nanocrystals with size, shape, and crystallographic
orientation resembling natural bone mineral. The formation of this biomimetic
mineral in three dimensions results from the synergy of fiber-induced nucleation
and the temporal control of phosphate ion harvesting by the enzyme. Gradual
enzymatic harvesting of ions for crystal growth and the strong nucleating ability
of the phosphorylated fibers suppresses uncontrolled precipitation of mineral.
The strategy could lead to biomimetic materials to promote bone regeneration or
the synthesis of hybrid materials with crystallographically defined structures.
PMID- 22068440
TI - Itch and pain in adolescents are associated with suicidal ideation: a population
based cross-sectional study.
AB - The association between itch and suicidal ideation has not been explored
previously in the general population. The aim of the present study is to analyse
the association between itch and suicidal ideation in adolescents, and to compare
the findings with an expected association between pain and suicidal ideation in
the same sample. A total of 4,744 adolescents were invited to join the
questionnaire-based study. The participation rate was 80%. The prevalence of
suicidal ideation among those who reported no itch was 8.4% vs 21.1% among those
who reported severe itch. Significant association between itch and suicidal
ideation was found in a multivariate model (odds ratio 3.0, 95% confidence
interval (CI) 2.1-4.2) and between pain and suicidal ideation (odds ratio 3.8,
95% CI 2.6-5.7). The findings were similar and statistically significant in girls
and boys separately. Itch and pain are approximately equally strongly associated
with suicidal ideation in a large general population of adolescents.
PMID- 22068439
TI - Expressed sequence-tag analysis of ovaries of Brachiaria brizantha reveals genes
associated with the early steps of embryo sac differentiation of apomictic
plants.
AB - In apomixis, asexual mode of plant reproduction through seeds, an unreduced
megagametophyte is formed due to circumvented or altered meiosis. The embryo
develops autonomously from the unreduced egg cell, independently of
fertilization. Brachiaria is a genus of tropical forage grasses that reproduces
sexually or by apomixis. A limited number of studies have reported the sequencing
of apomixis-related genes and a few Brachiaria sequences have been deposited at
genebank databases. This work shows sequencing and expression analyses of
expressed sequence-tags (ESTs) of Brachiaria genus and points to transcripts from
ovaries with preferential expression at megasporogenesis in apomictic plants.
From the 11 differentially expressed sequences from immature ovaries of sexual
and apomictic Brachiaria brizantha obtained from macroarray analysis, 9 were
preferentially detected in ovaries of apomicts, as confirmed by RT-qPCR. A
putative involvement in early steps of Panicum-type embryo sac differentiation of
four sequences from B. brizantha ovaries: BbrizHelic, BbrizRan, BbrizSec13 and
BbrizSti1 is suggested. Two of these, BbrizSti1 and BbrizHelic, with similarity
to a gene coding to stress induced protein and a helicase, respectively, are
preferentially expressed in the early stages of apomictic ovaries development,
especially in the nucellus, in a stage previous to the differentiation of
aposporous initials, as verified by in situ hybridization.
PMID- 22068441
TI - Slowing down after a mild traumatic brain injury: a strategy to improve cognitive
task performance?
AB - Long-term persistent attention and memory difficulties following a mild traumatic
brain injury (TBI) often go undetected on standard neuropsychological tests,
despite complaints by mild TBI individuals. We conducted a visual Repetition
Detection working memory task to digits, in which we manipulated task difficulty
by increasing cognitive load, to identify subtle deficits long after a mild TBI.
Twenty-six undergraduate students with a self-report of one mild TBI, which
occurred at least 6 months prior, and 31 non-head-injured controls took part in
the study. Participants were not informed until study completion that the study's
purpose was to examine cognitive changes following a mild TBI, to reduce the
influence of "diagnosis threat" on performance. Neuropsychological tasks did not
differentiate the groups, though mild TBI participants reported higher state
anxiety levels. On our working memory task, the mild TBI group took significantly
longer to accurately detect repeated targets on our task, suggesting that slowed
information processing is a long-term consequence of mild TBI. Accuracy was
comparable in the low-load condition and, unexpectedly, mild TBI performance
surpassed that of controls in the high-load condition. Temporal analysis of
target identification suggested a strategy difference between groups: mild TBI
participants made a significantly greater number of accurate responses following
the target's offset, and significantly fewer erroneous distracter responses prior
to target onset, compared with controls. Results suggest that long after a mild
TBI, high-functioning young adults invoke a strategy of delaying their
identification of targets in order to maintain, and facilitate, accuracy on
cognitively demanding tasks.
PMID- 22068442
TI - Are embedded validity indices equivalent to free-standing symptom validity tests?
AB - Symptom validity assessment is an important part of neuropsychological
evaluation. There are currently several free-standing symptom validity tests
(SVTs), as well as a number of empirically derived embedded validity indices,
that have been developed to assess that an examinee is putting forth an optimal
level of effort during testing. The use of embedded validity indices is
attractive since they do not increase overall testing time and may also be less
vulnerable to coaching. In addition, there are some instances where embedded
validity indices are the only tool available to the neuropsychological
practitioner for assessing an examinee's level of effort. As with free-standing
measures, the sensitivity and specificity of embedded validity indices to
suboptimal effort varies. The present study evaluated the diagnostic validity of
17 embedded validity indices by comparing performance on these indices to
performance on combinations of free-standing SVTs. Results from the current
medico-legal sample revealed that of the embedded validity indices, Reliable
Digit Span had the best classification accuracy; however, the findings do not
support the use of this embedded validity index in the absence of free-standing
SVTs.
PMID- 22068443
TI - [The concept of early vascular aging - a story from EVA and ADAM].
PMID- 22068444
TI - [Effects of daily physical exercise at school on cardiovascular risk--results of
a 2-year cluster-randomized study].
AB - BACKGROUND AND OBJECTIVE: It was the aim of this study to measure the effects
over two years of daily sport activity during the school-day on their physical
fitness (primary endpoint), motor coordination and blood pressure (secondary
endpoints). METHODS: A total of 232 children from eleven different 6 (th) grade
classes were enrolled after informed parental consent. Their mean age was 11.1
+/- 0.6 years. Six classes were randomly assigned for intervention (n=141), five
as control (n=91). Those of the intervention cohort undertook, for five days
weekly during the school year one hour of regulated sport exercise, including 15
min of endurance training. The pupils of the control group undertook customary
sport activity (two hours a week). Anthropometric data were recorded and maximal
oxygen uptake measured in each pupil, as well as blood pressure and motor
coordination at the beginning and at the end of each of the two years of the
study. The data were analyzed using the cluster randomization method. RESULTS:
Maximal oxygen uptake (VO (2)max) had improved among the intervention group after
two years, compared with the controls (3.12 m/kg/min, 95% confindence interval
[CI] 0.06-6.19), while improvement in motor coordination just failed to reach
statistical significance (3.06, 95% CI -0.17-6.29). There was no significant
difference in systolic and diastolic blood pressure, but a downward trend in the
prevalence of overweight and obesity from 12.1% to 7.8% in the intervention
group. CONCLUSION: The results indicate that daily physical exercise during
school hours should be given greater importance. But it will require a long-term
trial to determine whether promotion of increased physical activity at school
influences the prevalence of cardiovascular risk factors when the pupils reach
adulthood.
PMID- 22068445
TI - [Retinal microangiopathy in arterial hypertension as an early marker of a
cerebral macroangiopathy].
AB - HISTORY AND ADMISSION FINDINGS: A 54-year-old man reported having had nonspecific
attacks of dizziness. His BMI was 27.7. Since 11 years he had been treated for
arterial hypertension and had received oral medication for type 2 diabetes for
one year. The latest blood pressure value was 134/109 mm Hg during treatment with
a combination of atenolol, chlortalidone und hydralazine-HCl; furthermore hr
received simvastatin, metformin, glimepirid und ramipril. A standardized
telemedical imaging of the retina ("talkingeyes ((r)) ") was undertaken,
revealing focal and generalized arteriolar narrowing of the retinal vessels and a
retinal microinfarction (cotton wool spot) in the right eye. The arterial/venous
ratio was decreased to 0.74 in the right and 0.77 in the left eye.
INVESTIGATIONS: Optical coherence tomographie (OCT) revealed an ischemic
microinfarction of the retina with marked axonal swelling. The digital
subtraction angiography of the cerebral vessels revealed a 40 % stenosis of the
right internal carotid artery and a proximal, highgrade stenosis of the basilary
artery. TREATMENT AND COURSE: Angioplasty with stent insertion of the basilary
artery was performed. Long-term observation showed no restenosis and a reduction
in the size of the the retinal microinfarct. CONCLUSION: Retinal microinfarctions
denote localized retinal areas of hypoxia and underperfusion. They may act as
markers of a generalized micro- and macroangiopathy. Patients with severe retinal
microangiopathic changes should be examined thoroughly to detect early
macroangiopathic changes. These can be treated by interventional procedures thus
avoiding irreversible end-organ damages.
PMID- 22068446
TI - [54-year-old man with ICD and increasing dyspnea].
PMID- 22068447
TI - [Blood pressure variability].
PMID- 22068448
TI - [Vascular aging, arterial hypertension and physical activity].
AB - The present review delineates the significance of intima-media-thickness,
arterial stiffness and endothelial function for vascular aging. There is profound
evidence for an increase in intima-media-thickness and vascular stiffness not
only during healthy aging but induced also by cardiovascular risk factors. There
is a central role of arterial hypertension for this progression in both
structural factors. In addition, both parameters are strongly associated with
cardiovascular risk. Endothelial function measured as postischemic flow-mediated
vasodilatation is a functional parameter which is decreased both in healthy aging
and by cardiovascular risk factors. Physical activity modifies the influence of
aging and risk factors on endothelial function. A positive influence of endurance
exercise on vascular stiffness and endothelial function has been demonstrated in
numerous studies. In long-term studies, regular physical activity has been shown
to reduce the progression of intima-media-thickness. Thus, arterial hypertension
accelerates vascular aging, while physical activity has a positive influence on a
variety of vascular parameters associated with vascular aging.
PMID- 22068449
TI - [Pulse wave analysis and central (aortic) pressure measurement: for use in
reality? - Pro].
PMID- 22068450
TI - [Pulse wave analysis and central (aortic) pressure measurement: for use in
reality? - Contra].
PMID- 22068451
TI - [Practice guidelines for home blood pressure measurement].
PMID- 22068452
TI - [On the value of diuretics for hypertension treatment].
PMID- 22068453
TI - [Gout - regardless of therapeutic options a "forgotten" disease].
PMID- 22068454
TI - Green chemistry: solvent- and metal-free Prins cyclization. Application to
sequential reactions.
AB - Prins cyclization between a homoallylic alcohol and an aldehyde, promoted by
trimethylsilyl halide, afforded 4-halo-tetrahydropyrans with good to excellent
yields. Thanks to the absence of the solvent and metal, the THP thus obtained
have been implicated without purification in several other reactions, in a
sequential way, affording in particular new indole derivatives.
PMID- 22068455
TI - Effect of dietary mannan oligosaccharide (MOS) on growth performance, survival,
body composition, and some hematological parameters in giant sturgeon juvenile
(Huso huso Linnaeus, 1754).
AB - The effect of dietary mannan oligosaccharide (MOS; activeMOS(r)) on growth,
survival, and body composition in giant sturgeon juvenile (Huso huso) with
initially average weight 46.89 +/- 2.57 was investigated for a period of 46 days.
Basal diet were supplemented with 0 (control), 2, and 4 g kg(-1) MOS in a totally
randomized design trial in triplicate groups. The results showed no significant
differences in growth and feeding parameters between control and treatment groups
(MOS supplementation diets) (P > 0.05). There was a statistically significant
decrease (P < 0.05) in feed per fish level in only group treated with 4 g kg(-1)
MOS. The highest and the lowest growth performances were observed in 2 and 4 g
kg(-1) MOS, respectively. There were no significant differences in survival rate
among all treatment groups (P > 0.05). In group treated with 2 g kg(-1) MOS was a
significant difference in lipid carcass (P < 0.05), whereas protein, ash, and
moisture remained unaffected (P > 0.05). However, no significant difference was
observed in intestinal lactic acid bacteria between all treatment groups (P >
0.05). There were no significant differences in hematological parameters between
control and MOS treatment groups (P > 0.05). These results suggested that the
prebiotic mannan oligosaccharide did not influence the growth performance in
giant sturgeon juvenile, and it is not appropriate for supplementation in the
diet of cultured juvenile giant sturgeon.
PMID- 22068456
TI - Purification and characterization of trypsin from the pyloric ceca of orange
spotted grouper, Epinephelus coioides.
AB - Trypsin from the pyloric ceca of orange-spotted grouper, Epinephelus coioides,
was purified by fractionation with ammonium sulfate, ionic exchange, and affinity
chromatography. The protein was purified 161.85-fold with a yield of 4%. Purified
trypsin had an apparent molecular weight of 24 kDa according to an SDS-PAGE
analysis. Optimal profiles of temperature and pH of the enzyme were 50 degrees C
and 8-10, respectively, using Nalpha-benzoyl-L: -arginine ethyl ester as the
substrate. The results of thermal and pH stability assays showed that the enzyme
was stable at temperatures of up to 50 degrees C and in the pH range of 6-8.
Trypsin activity decreased with an increasing NaCl concentration (0-0.6 M). The
activity of purified trypsin was effectively inhibited by a soybean trypsin
inhibitor and N-p-tosyl-L: -lysine chloromethyl ketone, and was slightly
inhibited by iodoacetic acid, ethylenediaminetetraacetic acid, 1-(L: -trans
epoxysuccinyl-leucylamino)-4-guanidinobutane, and pepstatin A. Protein
identification of the purified protease showed that the sequences of two
peptides, LGEHNI and NLDNDIML, were highly homologous to other fish trypsins. The
measurement of trypsin activity in different tissues showed that the highest
activity was detected in pyloric ceca, followed by anterior intestine, middle
intestine, hind intestine and spleen, but very low activities were found in other
tissues. An inverse relationship between the trypsin activity in four tissues of
pyloric ceca, anterior intestine, middle intestine and hind intestine and fish
body weight as a result of increased pepsin in stomach indicated grouper growth
status was increased.
PMID- 22068457
TI - Preclinical study of the DNA repair inhibitor Dbait in combination with
chemotherapy in colorectal cancer.
AB - BACKGROUND: Dbait molecules are a new class of DNA repair inhibitors triggering
false DNA damage signaling in cancer cells. Dbait has already been shown to be
effective in combination with radiotherapy. The aim of this study was to assess
the adjuvant impact of Dbait on chemotherapy in vitro and in mouse models of
colorectal cancer. METHODS: We assessed DNA repair efficiency over time, in
vitro, in human colon adenocarcinoma HT-29 (wild-type KRAS) and HCT-116 (mutated
KRAS) cell lines treated with Dbait in combination with 5-fluorouracil and/or
camptothecin. Genetically engineered mice spontaneously developing colorectal
tumors in the intestines were selected for the evaluation of treatment efficacy.
RESULTS: Dbait delayed the repair of DNA damage induced by chemotherapy in vitro.
In APC (+/1638N) mutant mice, the combination of Dbait and chemotherapy decreased
tumor size more effectively than chemotherapy alone (median size: 3.6 vs. 10.85
mm(2), P < 0.05). In APC (+/1638N)/KRAS ( V12G ) mutant mice, animals treated
with a combination of Dbait and chemotherapy survived significantly longer than
animals treated by chemotherapy alone (median survival: 210 vs. 194 days, P <
0.05). A quarter of all the animals treated by chemotherapy alone died as rapidly
as untreated animals, whereas the first death was delayed by 29 days by the
addition of Dbait. No increase in toxicity due to Dbait was observed in either
mouse model. CONCLUSIONS: The use of Dbait to inhibit DNA repair may be an
effective additional treatment for increasing the efficacy of chemotherapy in
colon or rectal cancer, independently of KRAS status.
PMID- 22068458
TI - Interaction between anions and substituted molecular bowls.
AB - Complexes formed by anions and substituted molecular bowls were studied by means
of computational methods. The bowls consisted of corannulene molecules
substituted with five or ten F, Cl, or CN groups, whereas Cl(-), Br(-) and BF(4)(
) were the anions considered. Substitution with F, Cl and CN hardly affects the
geometry of the bowl, but produces an inversion of the molecular electrostatic
potential of the bowls, which becomes positive over the two faces of the bowl,
therefore interacting favorably with anions. In all cases considered, the most
stable complex presents the anion interacting with the concave side of the bowl.
The strength of the interaction roughly follows the values of molecular
electrostatic potential, being more stable as more positive is the potential. The
preference of anions to interact with the concave side of the bowls has its
origin in stronger electrostatic and dispersion interactions. Though the solvent
produces an important decrease in the stability of the complexes, the results
suggest the possibility of employing these substituted buckybowls as anion
receptors with a preferential concave complexation, especially for large anions.
PMID- 22068461
TI - Differential effects of AMPA receptor potentiators and glycine reuptake
inhibitors on antipsychotic efficacy and prefrontal glutamatergic transmission.
AB - RATIONALE: The alpha-amino-3-hydroxy-5-methyl-4-isoxazole propionic acid (AMPA)
receptor positive allosteric modulators (AMPA-PAMs), Org 24448 and Org 26576, and
the glycine transporter-1 (GlyT-1) inhibitor Org 25935 are developed for
treatment of schizophrenia. OBJECTIVES: Here we examined experimentally the
ability of co-administration of these AMPA-PAMs or the GlyT-1 inhibitor to
augment the antipsychotic activity and effect on cortical N-methyl-D: -aspartate
(NMDA) receptor-mediated transmission of risperidone, olanzapine, or haloperidol.
METHODS: We examined antipsychotic efficacy using the conditioned avoidance
response (CAR) test, extrapyramidal side effect liability using a catalepsy test,
and cortical NMDA receptor-mediated glutamatergic transmission using
intracellular electrophysiological recording technique in vitro. RESULTS: Both
AMPA-PAMs enhanced the suppression of CAR induced by risperidone or olanzapine,
and Org 24448 also enhanced the effect of haloperidol. In contrast, the GlyT-1
inhibitor did not cause any behaviorally significant effect in the CAR test.
However, the GlyT-1 inhibitor, but not the AMPA-PAMs, produced a large
facilitation of NMDA-induced currents. All three drugs potentiated the effect of
risperidone but not haloperidol on these currents. The GlyT-1 inhibitor also
facilitated the effect of olanzapine. All drugs potentiated the effect of
risperidone on electrically stimulated excitatory postsynaptic potentials (EPSP)
in cortical pyramidal cells, whereas only the GlyT inhibitor facilitated the
effect of olanzapine. CONCLUSIONS: Our results suggest that the AMPA-PAMs, when
compared to the GlyT-1 inhibitor, show differential effects in terms of
augmentation of antipsychotic efficacy, particularly when combined with
risperidone or olanzapine. Both AMPA-PAMs and the GlyT-1 inhibitor may also
improve negative symptoms and cognitive impairments in schizophrenia, in
particular when combined with risperidone.
PMID- 22068463
TI - Locoregional recurrence risk factors in breast cancer patients with positive
axillary lymph nodes and the impact of postmastectomy radiotherapy.
AB - BACKGROUND: Locoregional recurrence (LRR) after mastectomy reduces the patient's
quality of life and survival. There is a consensus that postmastectomy
radiotherapy (PMRT) helps establish locoregional control and reduces LRR in
patients with >=4 metastatic nodes. However, in patients with 1-3 metastatic
nodes, the incidence of LRR and the role of PMRT have been the subject of
substantial controversy. This study assessed the risk factors for LRR and the
efficacy of PMRT in Japanese breast cancer patients with metastatic nodes.
METHODS: This study analyzed 789 cases of invasive breast carcinoma with
metastatic nodes from 1998 to 2008. We divided the study population into 4
groups: 1-3 positive nodes with/without chemotherapy and >=4 positive nodes
with/without chemotherapy. Risk factors for LRR were identified and the
relationship between LRR and PMRT was analyzed. RESULTS: During the median follow
up of 59.6 months, 61 (7.7%) patients experienced LRR. In patients who received
chemotherapy, independent LRR risk factors were high nuclear grade, severe
lymphatic invasion, vascular invasion, and progesterone receptor-negative status
in patients with 1-3 positive nodes, and severe lymphatic invasion and estrogen
receptor-negative status in patients with >=4 nodes. Although patients treated
with PMRT had good outcomes, there was no significant difference, and PMRT did
not significantly improve the outcome of the patients with all risk factors.
CONCLUSIONS: With systemic therapy and adequate dissection, PMRT by itself was of
limited value in establishing locoregional control. The indication for PMRT in
patients with 1-3 positive nodes remains controversial.
PMID- 22068462
TI - Metabolic oligosaccharide engineering with N-Acyl functionalized ManNAc analogs:
cytotoxicity, metabolic flux, and glycan-display considerations.
AB - Metabolic oligosaccharide engineering (MOE) is a maturing technology capable of
modifying cell surface sugars in living cells and animals through the
biosynthetic installation of non-natural monosaccharides into the glycocalyx. A
particularly robust area of investigation involves the incorporation of azide
functional groups onto the cell surface, which can then be further derivatized
using "click chemistry." While considerable effort has gone into optimizing the
reagents used for the azide ligation reactions, less optimization of the
monosaccharide analogs used in the preceding metabolic incorporation steps has
been done. This study fills this void by reporting novel butanoylated ManNAc
analogs that are used by cells with greater efficiency and less cytotoxicity than
the current "gold standard," which are peracetylated compounds such as Ac4
ManNAz. In particular, tributanoylated, N-acetyl, N-azido, and N-levulinoyl
ManNAc analogs with the high flux 1,3,4-O-hydroxyl pattern of butanoylation were
compared with their counterparts having the pro-apoptotic 3,4,6-O-butanoylation
pattern. The results reveal that the ketone-bearing N-levulinoyl analog 3,4,6-O
Bu3 ManNLev is highly apoptotic, and thus is a promising anti-cancer drug
candidate. By contrast, the azide-bearing analog 1,3,4-O-Bu3 ManNAz effectively
labeled cellular sialoglycans at concentrations ~3- to 5-fold lower (e.g., at
12.5-25 uM) than Ac4 ManNAz (50-150 uM) and exhibited no indications of apoptosis
even at concentrations up to 400 uM. In summary, this work extends emerging
structure activity relationships that predict the effects of short chain fatty
acid modified monosaccharides on mammalian cells and also provides a tangible
advance in efforts to make MOE a practical technology for the medical and
biotechnology communities.
PMID- 22068464
TI - Can docetaxel therapy improve overall survival from primary therapy compared with
androgen-deprivation therapy alone in Japanese patients with castration-resistant
prostate cancer? A multi-institutional cooperative study.
AB - BACKGROUND: To verify the actual clinical benefit of docetaxel (DOC) therapy and
to explore the prognostic factors that may predict overall survival in Japanese
patients with castration-resistant prostate cancer (CRPC). METHODS: Baseline
characteristics-matched CRPC patients who received conventional androgen
deprivation therapy (ADT) or ADT plus DOC were compared retrospectively. The
primary endpoint was overall survival (OS) from primary therapy. Secondary
endpoints were response of tumor(s), prostate-specific antigen (PSA) levels, and
toxicity. RESULTS: Median OS was significantly longer in the DOC group (n = 117)
than the control group (n = 118) (94.0 vs. 70.0 months, P = 0.0077) and the
corresponding hazard ratio (HR) for death in DOC group was 0.566 [95% confidence
interval (95%CI) 0.370-0.867; P = 0.0088]. Effective DOC groups [medium dose (50
69 mg/m(2)) and high dose (>=70 mg/m(2))] had significantly longer median OS than
control even when survival times were calculated from the start of castration
resistant events (151 vs. 36 months; P = 0.0173) and the corresponding HR for
death in the DOC group was 0.515 (95%CI 0.293-0.903; P = 0.0205). In multivariate
analysis, statistically significant prognostic indicators were Gleason score,
time to CRPC events, and receipt of DOC therapy. Response rate of both measurable
lesion and PSA was not significantly different between each DOC dose group. Grade
3 or 4 adverse events associated with low- [30-49 mg/m(2)], medium-, and high
dose DOC were 21.9, 35.7, and 90.7%, respectively. No death due to DOC therapy
was reported. CONCLUSION: Treatment with DOC improves OS from primary therapy
compared with conventional ADT alone in Japanese patients with CRPC.
PMID- 22068459
TI - Spontaneous object recognition and its relevance to schizophrenia: a review of
findings from pharmacological, genetic, lesion and developmental rodent models.
AB - RATIONALE: Spontaneous (novel) object recognition (SOR) is one of the most widely
used rodent behavioural tests. The opportunity for rapid data collection has made
SOR a popular choice in studies that explore cognitive impairment in rodent
models of schizophrenia, and that test the efficacy of drugs intended to reverse
these deficits. OBJECTIVES: We provide an overview of the many recent studies
that have used SOR to explore the mnemonic effects of manipulation of the key
transmitter systems relevant to schizophrenia-the dopamine, glutamate, GABA,
acetylcholine, serotonin and cannabinoid systems-alone or in combination. We also
review the use of SOR in studying memory in genetically modified mouse models of
schizophrenia, as well as in neurodevelopmental and lesion models. We end by
discussing the construct and predictive validity, and translational relevance, of
SOR with respect to cognitive impairment in schizophrenia. RESULTS: Perturbation
of the dopamine or glutamate systems can generate robust and reliable impairment
in SOR. Impaired performance is also seen following antagonism of the muscarinic
acetylcholine system, or exposure to cannabinoid agonists. Cognitive enhancement
has been reported using alpha7-nicotinic acetylcholine receptor agonists and 5
HT(6) antagonists. Among non-pharmacological models, neonatal ventral hippocampal
lesions and maternal immune activation can impair SOR, while mixed results have
been obtained with mice carrying mutations in schizophrenia risk-associated
genes, including neuregulin and COMT. CONCLUSIONS: While SOR is not without its
limitations, the task represents a useful method for studying manipulations with
relevance to cognitive impairment in schizophrenia, as well as the interactions
between them.
PMID- 22068465
TI - Randomized controlled study of natural interferon alpha as adjuvant treatment for
stage II or III renal cell carcinoma.
AB - BACKGROUND: The prophylactic effect of postoperative interferon on recurrence and
distant metastasis in stage II or III renal cell carcinoma is unclear. In most
studies, interferon has been administered for 6 months or less. Therefore, we
performed a clinical study of the efficacy of 1-year postoperative administration
of natural interferon alpha, which is generally used in Japan. METHODS: The
subjects were patients diagnosed with stage II or III renal cell carcinoma who
underwent radical nephrectomy. The subjects were randomly allocated to receive an
intramuscular injection of natural interferon alpha (3 million to 6 million
units) 3 times a week for 1 year or to receive follow-up observation until
recurrence or metastasis occurred. Chest and abdominal CT were performed once
yearly for all patients. The primary endpoint was progression-free survival.
RESULTS: From September 2001 to August 2006, a total of 107 patients were
registered, but 7 subsequently withdrew from the study. Therefore, 100 patients
were included in the analysis. The primary endpoint of progression-free survival
did not differ significantly between the groups that received natural interferon
alpha or follow-up observation (p = 0.456, log-rank test). However, peak hazards
of progression in the interferon group were delayed for about 6-10 months
compared with the observation group. CONCLUSION: Progression-free survival showed
no improvement after administration of natural interferon alpha to patients with
stage II or III renal cell carcinoma for 1 year after radical nephrectomy. The
peak hazards of progression might be delayed by about 6 months by interferon
administration.
PMID- 22068466
TI - [Muscle disease update: advance in the first decade of 21 century].
PMID- 22068467
TI - [Myotonic dystrophy type 2].
AB - Myotonic dystrophies (DMs) are autosomal dominant disorders with multisystemic
clinical features. DMs are categorized as DM1, caused by a (CTG)n expansion
mutation in 19q13, and DM2, caused by a (CCTG)n expansion mutation in 3q21. The
clinical features of DM2 are diffuse and proximal dominant weakness, wasting,
myotonia, cardiac problems, cataracts, and insulinresistance. DM2 is milder than
DM1. We compared the clinical features of both DMs and studied a Japanese patient
with DM2 who had expansion mutations different from those usually attributed to
the disease and originally discovered by European researchers. The detailed
clinical features of the Japanese DM2 patient are described.
PMID- 22068468
TI - [Myotonic dystrophy: therapeutic approaches to RNA toxicity].
AB - Myotonic dystrophy (DM) is the most common hereditary muscle disease in adults,
caused by unstable genomic expansions of simple sequence repeats. The mutant RNA
transcripts containing the expanded repeat give rise to a toxic gainoffunction by
perturbing splicing factors in the nucleus, leading to the misregulation of
alternative pre-mRNA splicing. Although no curative treatment exists, recent
advances in research and pharmaceutical technology have provided clues for
therapeutic intervention in DM. Herein, we review the RNA-dominant mechanism of
DM and potential therapeutic approaches for degrading or neutralizing the toxic
RNA, restoring splicing factors, correcting splicing misregulation, and
stabilizing the repeat.
PMID- 22068469
TI - [Collagen VI-related muscle disorders].
AB - Collagen VI-related muscle disorders include severe Ullrich's disease (Ullrich
congenital muscular dystrophy:UCMD) and milder Bethlem myopathy. Mutations in the
3 collagen VI genes, namely, COL6A1, COL6A2, and COL6A3, cause both diseases.
UCMD is inherited in an autosomal recessive manner, and de novo dominant
mutations are also reported. Bethlem myopathy is usually inherited in an
autosomal dominant manner, but a rare autosomal recessive inheritance has
recently been reported. Patients with UCMD have generalized muscle weakness,
multiple contractures of the proximal joints, and hyperextensibility of the
distal joints. Bethlem myopathy is characterized by a combination of proximal
muscle weakness and contractures of finger, elbow, and ankle joints. Because
intermediate phenotypes occur, UCMD and Bethlem myopathy should be considered
diseases in a continuous spectrum of collagen VI-related muscle disorders.
Abnormalities of cell adhesion, regeneration, mitochondrial permeability
transition pore, and autophagy have been reported in UCMD. Respiratory
surveillance for nocturnal hypoventilation and proper respirator implementation
are crinical management considerations in UCMD. Orthopedic assessment in
necessary if surgery for Achilles tendon contractures is being considered in
patient with Bethlem myopathy. We evaluated the role of nonsense-mediated mRNA
decay (NMD) in UCMD associated with a premature termination codon in the COL6A2
gene, which caused the loss of collagen VI. A pharmacological block of NMD caused
upregulation of the mutant collagen VI and partially functional extracellular
matrix formation. Cyclosporin A has been reported to correct mitochondrial
dysfunction and muscle apoptosis in patients with collagen VI myopathies, and a
pilot trial of cyclosporin A was carried out.
PMID- 22068470
TI - [Myofibrillar myopathy].
AB - Myofibrillar myopathy (MFM) describes a group of hereditary myopathies
pathologically characterized as markedly disorganized myofibrils with cytoplasmic
inclusions. Seven disease-related genes have been identified, including DES,
CRYAB, MYOT, ZASP, FLNC, BAG3, and FHL1, all of which encode proteins closely
related to Z-line. MFM often occurs via autosomal dominant inheritance, but
autosomal recessive, or X-linked, forms are also seen. The majority of Japanese
patients have no family history. Clinical symptoms of MFM are quite variable. The
age at onset ranges from infancy to the eighth decade of life. Some patients show
limb girdle muscle involvement, whereas others show distal myopathy. Patients who
have cardiomyopathy with no obvious muscle weakness are also seen. Skeletal
muscles from MFM patients contain cytoplasmic inclusions called cytoplasmic or
spheroid bodies. Immunohistochemically, cytoplasmic accumulations of various
proteins are commonly seen, including Z-line proteins such as desmin, alphaB
crystallin, and myotilin; membrane proteins such as dystrophin and sarcoglycans;
heat shock proteins; and ubiquitin. We review the clinical, pathological, and
genetic characteristics of MFM patients, including those from our recent study
results. Despite intensive mutation screening, about 70% of MFM patients in our
series had no mutation in the known causative genes. The identification of novel
disease-related genes and the development of efficient diagnostic tools are
urgently needed, as is the elucidation of the pathomechanism of myofibril
disorganization as a possible target for new treatments.
PMID- 22068471
TI - [Recent Advances in alpha-dystroglycanopathy].
AB - Fukuyama-type congenital muscular dystrophy (FCMD), muscle-eye-brain disease
(MEB), and Walker-Warburg syndrome (WWS) are autosomal recessive disorders
characterized by congenital muscular dystrophy with structural brain and eye
abnormalities. Aberrant glycosylation of alpha-dystroglycan (alpha-DG) is a
common pathomechanism of these disorders. In addition, genetic and
glycobiological evidence has shown that abnormal glycosylation of alpha-DG is
also seen in several forms of congenital and limb-girdle-type muscular
dystrophies. These disorders are collectively called "alpha-dystroglycanopathy"
and nowadays, this term is widely accepted because it is useful for illustrating
a complicated genotype-phenotype correlation of these disorders. alpha-DG is a
membrane-associated protein that interacts with extracellular matrix proteins
such as laminin, and abnormal glycosylation of alpha-DG results in loss of its
laminin-binding activity. A number of serine/threonine residues are present in
the mucin-like domain of alpha-DG and are majorly composed of sugar chains. Among
these glycans, an O-mannosyl tetrasaccharide (Neu5Ac-alpha2,3-Gal-beta1,4-GlcNAc
beta1,2-Man) is important for laminin-binding activity of alpha-DG. POMT1/2 and
POMGnT1, protein products of causative genes of WWS and MEB, respectively, are
enzymes that directly catalyze the biosynthesis of this glycan. Recent studies
have suggested that a phosphodiester-linked structure on O-mannose is also
important for the laminin-binding activity and that mutations in other causative
genes of alpha-dystroglycanopathy, such as fukutin (originally identified as the
gene responsible for FCMD) and LARGE, disrupt the post-phosphoryl structure.
Here, we review the history of basic and clinical research on alpha
dystroglycanopathy and refine its clinical spectrum, which should be broadly
extended. In addition, we reveal some progress in research on alpha
dystroglycanopathy including a novel disease mechanism and anti-sense
oligonucleotide therapy for FCMD.
PMID- 22068472
TI - [Myositis-specific autoantibodies and their clinical significance].
AB - The idiopathic inflammatory myopathies polymyositis (PM) and dermatomyositis (DM)
have historically been defined using broad clinical and pathological criteria.
These conditions affect both adults and children with clinical features including
muscle weakness, skin disease, internal organ involvement, and an association
with cancer in adults. Using a clinical-serological approach, PM and DM can be
defined into more homogeneous subsets. In recent years, myositis-specific
autoantibodies (MSAs) have been better characterized and include autoantibodies
to the signal-recognition particle and aminoacyl tRNA-synthetase enzymes. In
addition, clinically significant novel autoantibodies -anti-p155/p140, anti-CADM
140, and others- have been described in the disease spectrum. MSAs are directed
against cytoplasmic or nuclear components involved in key regulatory
intracellular processes including protein synthesis, translocation, and
transcription. The striking association between unique serological profiles and
distinct clinical phenotypes suggests that target autoantigens may play a role in
disease induction and propagation. This review reports recent progress in
myositis-specific autoantigens, particularly their clinical significance.
PMID- 22068473
TI - [Inclusion body myositis].
AB - Sporadic inclusion body myositis (sIBM) is an intractable and progressive
skeletal muscle disease of unknown cause that has no curative treatment. Its
prevalence varies among countries and ethnic groups. The clinical course is slow
and chronic worsening. Diagnosis of sIBM is usually made 5 years after onset.
Muscle weakness and atrophy in the quadriceps, wrist flexor, and finger flexors
are the typical neurological findings of sIBM. Dysphagia and asymmetric weakness
are often found as well. Serum creatine kinase is usually below 2,000 IU/L.
Muscle biopsy typically reveals endomysial inflammation, invasion of mononuclear
cells into non-necrotic fibers, and rimmed vacuoles, suggesting that inflammation
and degeneration are coexist in the pathomechanism. The etiology of sIBM is still
unknown; however, genetic factors, aging, lifestyle, and environmental factors
may be involved. Recent studies have implicated amyloid beta accumulation,
defects of proteolysis, and immune system abnormalities in the pathomechanism of
sIBM. sIBM is generally refractory to current therapy, such as steroids or
immunosuppressants. Recently, alemtuzumab, which targets T cells, has resulted in
improvement in quantitative muscle strength testing. New strategies to induce
proteolysis and autophagy, accelerate muscle regeneration, inhibit myostatin, and
modulate inflammatory cells are promising. Elucidation of the pathomechanism of
sIBM is the key to developing effective therapies.
PMID- 22068474
TI - [Management of myocardial damage in muscular dystrophy].
AB - Heart failure (HF) is a fatal complication in many muscular dystrophy cases and
has become the most common cause of death in Duchenne muscular dystrophy (DMD)
since 2001. HF deaths in DMD occur in young patients and increase, along with
respiratory failure, in older patients. Managing HF, therefore, is the most
important component of DMD treatment. Management of HF is necessary in DMD
patients of all ages because myocardial damage progresses regardless of age and
disability. Electrocardiography, echocardiography, myocardial single-photon
emission computed tomography (SPECT), and natriuretic peptides are used for the
diagnosis of myocardial damage and chronic HF. Tissue Doppler echocardiography is
in particularly useful for early detection of minute myocardial damage and
dysfunction in DMD. The first-line drugs for chronic HF are angiotensin
converting enzyme inhibitors, and the prognosis of DMD patients has been improved
using these drugs and beta-blockers. Diuretics are added in the presence of
pulmonary congestion. Digoxin is most effective at a blood level of 0.5-0.8 ng/mL
because of its pharmacokinetics in DMD. Surgical treatment may be necessary in
cases of intractable HF. Cardiac resynchronization therapy (biventricular
pacing), a treatment with an artificial pacemaker, is indicated for cases that
meet specific criteria, including HF with ventricular dyssynchrony. Applications
of partial left ventriculectomy (Batista procedure) and left ventricular assist
devices in muscular dystrophy are likely in the near future.
PMID- 22068475
TI - [Respiratory management in muscular dystrophies].
AB - Respiratory failure is a major contributor to immobility and mortality in
progressive muscular dystrophies. The severity of pulmonary impairment and the
stage at which it develops differ according to the type of muscular dystrophy.
Appropriate respiratory management for each type should be considered. In
Duchenne muscular dystrophy (DMD), respiratory impairment manifests in the late
teens, and assisted mechanical ventilation is administered. Noninvasive positive
pressure ventilation (NIPPV) has increased the median survival of patients with
DMD by 10 year and improved quality of life. In myotonic dystrophy (MyD), the
causes of respiratory failure can involve both the central and the peripheral
nervous systems in addition to respiratory muscles. Nocturnal desaturation is
more severe in MyD than in other muscular dystrophies with similar degrees of
respiratory muscle weakness. Cognitive impairment should be taken into account in
the management of MyD patients. NIPPV does not appear to improve survival of MyD.
Guidelines for DMD have been published. Respiratory function should be assessed
serially by measuring forced vital capacity, oxyhemoglobin saturation, peak cough
flow, and end-tidal CO2 level. A respiratory action plan should be
enacted with increasing disease severity. Therapeutic measures comprise airway
clearance, respiratory muscle training, noninvasive nocturnal ventilation,
daytime noninvasive ventilation, and continuous invasive ventilation. At the
advanced stage of respiratory failure, attention should be paid to complications
related to long-term mechanical ventilation, such as pneumothorax and tracheal
hemorrhage. Discussing about end-of-life care among the patient, family, and
physician is important before mechanical ventilatory support is required.
PMID- 22068476
TI - [Treatment for neuromuscular scoliosis in duchenne muscular dystrophy].
AB - Patients with neuromuscular disorders are often complicated by progressive
scoliosis. No established treatment for this type of scoliosis has been
developed, however. We must pay attention to spinal deformity in patients with
Duchenne muscular dystrophy, especially after they lose the ability to walk
because spinal deformity frequently develops. Patient with progressive scoliosis
should be treated by specialists who can perform scoliosis surgery safely.
Surgical treatment for scoliosis has developped, and pedicle screw fixation is
one of the most widely accepted methods for the treatment of several types of
scoliosis. This method is safe and effective. In Europe and the United States,
patients with neuromuscular scoliosis usually undergo surgery at a relatively
early stage. We should define surgical indications carefully, considering natural
history and complications. In addition, sufficient informed consent from patients
and their families is of utmost importance. Most surgeons believe that surgical
treatment for spinal deformity in neuromuscular disease improves quality of life
and activity of daily living.
PMID- 22068477
TI - [Psychological support for patients with muscular dystrophy].
AB - The life expectancy of patients with muscular dystrophy has increased in recent
while their challenges of activity of daily living persist. Therefore,
psychological support is crucial for patients with muscular dystrophy and their
family members and caregivers. We have carried out several studies to explore
appropriate psychological support for these patients. Individual counseling was
effective to support the mental health of patients with muscular dystrophy;
however counseling settings should be changed adapted to the physical conditions
of patients. A short-term support group for family members was helpful for
sharing information and concerns about child rearing. A case conference including
physicians, nurses, caseworkers and clinical psychologists was held concerning a
decreased patient. Combined case conferences with medical staff reduce
psychological stress for staff and prevent from burnout syndrome. Research on how
to explain the illness to boys with muscular dystrophy started in 2009.
Interviews with adult patients revealed that they had wanted to know about their
illness while they were young children. Half of their parents had hoped that
their children would recognize the diagnosis by seeing friends with muscular
dystrophy during hospitalization. Some of the parents explained the diagnosis to
their children directly during childhood, hoping to battle the illness together.
In 2010, we studied the attitudes of pediatric neurologists toward explaining the
muscular dystrophy diagnosis to children. Seventy percent of respondents answered
that patients should be told about their illness. Many of them desired the
opportunity to be trained in appropriate ways to tell children about the
diagnosis.
PMID- 22068478
TI - [Therapeutic readthrough strategy for suppression of nonsense mutations in
duchenne muscular dystrophy].
AB - Effective treatment for Duchenne muscular dystrophy (DMD) is currently
unavailable. Readthrough of disease-causing premature termination codons might
alleviate the symptoms of genetic diseases caused by nonsense mutations. Several
ribosome-binding compounds, including selective antibiotics and synthetic novel
small molecules, induce translational readthrough, restoring full-length
functional proteins. Here in this innovative therapeutic strategy has been
summarized with a focus on DMD. We have previously reported that negamycin
restored dystrophin expression with less toxicity than gentamicin in mdx mice. To
explore more potent readthrough inducers, we established the transgenic mouse
called READ (readthrough evaluation and assessment by dural receptor) for
readthrough-specific detection. Using READ mice, we discovered drug candidates,
including sterically negamycin-like small molecules and aminoglycoside
derivatives. The newly developed small molecules induced dose-dependent
readthrough with greater potency than ataluren in vitro and promoted the
expression of dystrophin and reduction in serum creatine kinase activity in mdx
mice. Moreover, the aminoglycoside derivative restored both dystrophin protein
and contractile function of mdx skeletal muscles with appreciably higher
readthrough activity and lower toxicity than that of gentamicin. Furthermore, we
confirmed the efficacy of a thioglycolate-based depilatory agent to enhance the
topical delivery of skin-impermeable drugs, including aminoglycosides. These
promising new chemotherapeutic agents with beneficial effects on readthrough
action, lower toxicity, and transdermal delivery may have significant value in
treating or preventing genetic diseases caused by nonsense mutations.
PMID- 22068479
TI - [Hematopoietic prostaglandin D synthase inhibitors for the treatment of duchenne
muscular dystrophy].
AB - Duchenne muscular dystrophy (DMD) is a severe X-linked muscle disease,
characterized by progressive skeletal muscle atrophy and weakness. DMD is caused
by mutations in the dystrophin gene, which encodes for the cytoskeletal protein
dystrophin. DMD is one of the most common types of muscular dystrophies,
affecting approximately 1 in 3,500 boys. There is no complete cure for this
disease. Clinical trials for gene transfer therapy as a treatment for DMD have
been performed but mainly in animal models. Hematopoietic prostaglandin (PG) D
synthase (H-PGDS) was found to be induced in grouped necrotic muscle fibers of
DMD patients and animal models, mdx mice, and DMD dogs. We found an orally active
H-PGDS inhibitor (HQL-79) and determined the 3D structure of the inhibitor-human
H-PGDS complex by X-ray crystallography. Oral administration of HQL-79 markedly
suppressed prostaglandin D2 (PGD2) production, reduced
necrotic muscle volume, and improved muscle strength in mdx dystrophic mice.
Based on the high-resolution 3D structures of the inhibitor-H-PGDS complex, we
designed alternative H-PGDS inhibitors, which were 100- to 3000-times more potent
than HQL-79, as assessed by in vitro and in vivo analyses. We used these novel
inhibitors for the treatment of DMD dogs and confirmed that oral administration
of these inhibitors prevented skeletal muscle atrophy and weakness by decreasing
PGD2 production. These results indicate that PGD2,
synthesized by H-PGDS, is involved in the expansion of muscle necrosis in DMD.
Thus, inhibition of H-PGDS by using inhibitors is a novel therapy for DMD.
PMID- 22068480
TI - [Myostatin blockade therapy for muscular atrophy].
AB - Myostatin, a member of the muscle-specific transforming growth factor (TGF)-beta
family, negatively regulates skeletal muscle growth. It inhibits muscle stem cell
proliferation and differentiation and attenuates adult muscle fiber protein
accretion, resulting in decreased skeletal muscle mass. As such, it has been
considered a therapeutic target of muscular dystrophy. Notably, administration of
a blocking antibody against myostatin ameliorated the pathophysiology of
dystrophin-deficient mdx mice. Although a clinical trial of anti-myostatin
antibody MYO-029 failed to achieve a significant outcome in patients with
muscular dystrophies, various distinct approaches have been taken to establish
anti-myostatin therapy, including myostatin decoy receptor ACE-031, small
molecule inhibitors against the myostatin receptor, and myostatin short
intertering RNA with collagen-derived carrier particles. The clinical application
of anti-myostatin therapeutics in treatment of patients with muscular dystrophy
needs further evaluation for safety and specification of the target disease types
among the various muscular dystrophies. In addition, myostatin inhibition could
be effective for muscle-wasting conditions other than muscular dystrophy- for
instance, steroid-induced myopathy, mitochondrial myopathy, or sarcopenia in
elderly patients. Moreover, considerable evidence shows that myostatin regulates
energy metabolism and that its inhibition can significantly attenuate the
progression of obesity and diabetes. It may also be applicable for the prevention
of metabolic syndrome. Thus, safe and potent anti-myostatin therapy will have a
wide variety of applications in modern medicine.
PMID- 22068481
TI - [Infrastructure for new drug development to treat muscular dystrophy: current
status of patient registration (remudy)].
AB - Clinical trials for new therapeutic strategies are now being planned for Duchenne
and Becker muscular dystrophies (DMD/BMD); however, many challenges exist in the
planning and conduction of a clinical trial for rare diseases. The
epidemiological data, total number of patients, natural history, and clinical
outcome measures are unclear. Adequate numbers of patients are needed to achieve
significant results in clinical trials. As solutions to these problems, patient
registries are an important infrastructure worldwide, especially in the case of
rare diseases such as DMD/BMD. In Europe, TREAT-NMD, a clinical research network
for neuromuscular disorders, developeda global database for dystrophinopathy
patients. We developed a national registry of Japanese DMD/BMD patients in
collaboration with TREAT-NMD. The database includes clinical and molecular
genetic data as well as all required items for the TREAT-NMD global patient
registry. As of July 2011, 750 patients were registered in the database. The
purpose of this registry is the effective recruitment of eligible patients for
clinical trials, and it may also provide timely information to individual
patients about upcoming trials. This registry data also provides more detailed
knowledge about natural history, epidemiology, and clinical care. In recent
years, drug development has become dramatically globalized, and global clinical
trials (GCTs) are being conducted in Japan. It is appropriate, particularly with
regard to orphan diseases, to include Japanese patients in GCTs to increase
evidence for evaluation, because such large-scale trials would be difficult to
conduct solely within Japan. GCTs enable the synchronization of clinical drug
development in Japan with that in Western countries, minimizing drug approval
delays.
PMID- 22068482
TI - [Translation and linguistic validation of the Japanese version of the wearing-off
questionnaires(WOQ-19 and WOQ-9)].
AB - Levodopa remains the most effective drug for the treatment of Parkinson disease
(PD). Long-term levodopa treatment of PD is associated with the development of
motor complications, the first of which to occur is the wearing-off phenomenon.
In routine clinical practice, poor patient education, communication difficulties,
and lack of awareness often hamper detection of the wearing-off phenomenon.
Failure to recognize wearing-off may lead to incorrect therapeutic dicision
making and/or decline in the patient's quality of life. In order to develop a
Japanese version of the 19-symptom and 9-symptom Wearing-off Questionnaires (WOQ
19 and WOQ-9), a linguistic validation was performed to validate the translated
version according to the internationally recognized procedure. Forward
translation was made independently by 2 Japanese translators with medical
knowledge. The Japanese translations were consolidated and revised by the authors
into the Japanese draft version. The Japanese draft version was modified into the
Japanese final draft by reference to the results of PD patients' surveys. The
Japanese final draft was back-translated into English by 2 native translators,
and negotiated with the original developers. We thus developed a linguistically
validated Japanese version of the WOQ-19 and the WOQ-9. (Received: February 3,
2011, Accepted: March 29, 2011).
PMID- 22068483
TI - [Neuroimaging Findings of a 29-year-old woman with 22q11.2 deletion presenting
with cognitive deterioration].
PMID- 22068484
TI - Genetic diversity and population structure of Escherichia coli from neighboring
small-scale dairy farms.
AB - The genetic diversity and population structure of Escherichia coli isolates from
small-scale dairy farms were used to assess the ability of E. coli to spread
within the farm environment and between neighboring farms. A total of 164 E. coli
isolates were obtained from bovine feces, bedding, cow teats and milk from 6
small-scale dairy farms. Ward's clustering grouped the isolates into 54 different
random amplified polymorphic DNA (RAPD) types at 95% similarity, regardless of
either the sample type or the farm of isolation. This suggests that RAPD types
are shared between bovine feces, bedding, cow teats, and milk. In addition,
transmission of RAPD types between the studied farms was suggested by the Ward
grouping pattern of the isolates, Nei's and AMOVA population analyses, and
genetic landscape shape analysis. For the first time, the latter analytical tool
was used to assess the ability of E. coli to disseminate between small-scale
dairy farms within the same producing region. Although a number of dispersal
mechanisms could exist between farms, the genetic landscape shape analysis
associated the flow of E. coli RAPD types with the movement of forage and milking
staff between farms. This study will aid in planning disease prevention
strategies and optimizing husbandry practices.
PMID- 22068485
TI - Carnobacterium maltaromaticum infections in feral Oncorhynchus spp. (Family
Salmonidae) in Michigan.
AB - Members of the genus Oncorhynchus were introduced from the Pacific Northwest to
the Laurentian Great Lakes basin and now constitute one of its most commercially
and ecologically valuable fisheries. Recently, infections by a group of Gram
positive atypical lactobacilli belonging to the genus Carnobacterium have been
detected in feral and captive Oncorhynchus spp. broodstock, some of which were
associated with mortalities. Out of 1564 rainbow and steelhead trout (O. mykiss),
coho salmon (O. kisutch), and Chinook salmon (O. tshawytscha) that were
bacteriologically examined, 57 Carnobacterium spp. isolates were recovered from
the kidneys, spleen, swimbladder, and/or external ulcerations of 51 infected
fish. Phenotypic and biochemical characterization, as well as partial 16S rDNA
sequencing and phylogenetic analyses of 30 representative isolates identified 29
as Carnobacterium maltaromaticum and 1 as C. divergens, though some phenotypic
and genotypic heterogeneity was observed. Infections with C. maltaromaticum were
associated with signitures typical of pseudokidney disease, but on occasion were
also observed in fish displaying the gross and histopathological changes
characteristic of nephrocalcinosis. While C. maltaromaticum infections were found
to be widespread in both feral and farmed spawning populations of Oncorhynchus
spp. residing within the Great Lakes basin, infection prevalence varied
significantly according to fish species and strain, gender, and across time, but
not by sampling location according to logistic regression analysis. The findings
of this study further underscore the presence of phenotypic variations among
Carnobacterium maltaromaticum strains that necessitate genotypic analysis to
achieve definitive identification.
PMID- 22068486
TI - Ecological development and genetic diversity of Microcystis aeruginosa from
artificial reservoir in Russia.
AB - Microcystis aeruginosa is a well-known Cyanobacterium responsible for the
formation of toxic water blooms around the world. Shallow, warm, and eutrophic
reservoirs provide the most favourable conditions for M. aeruginosa development.
Numerous studies have been devoted to this species, but there still is a
necessity to develop additional approaches for the monitoring of cyanobacteria in
reservoirs. In this study, M. aeruginosa in the water column of a hypereutrophic
Siberian reservoir was investigated by fluorescence, light, and electron
microscopy as well as genetic analysis using a mcyE marker. Here, we demonstrate
the genetic diversity and features of the fluorescence spectra for different
ecotypes of this species. We suggest that a fluorescence approach can be used to
identify M. aeruginosa in a natural environment in order to increase the
effectiveness of ecological monitoring and water quality evaluation.
PMID- 22068487
TI - Safety evaluation in vitro of Enterococcus durans from Tibetan traditional
fermented yak milk.
AB - Despite its ubiquity in fermented dairy products, the safety of lactic acid
enterococcal bacteria remains controversial. In this study, five Enterococcus
durans strains - A1, A2, B1, B2, and C1 - were isolated from traditional
fermented yak milk from Tibet. To evaluate the strains' safety, biogenic amine
production, antibiotic resistance and presence of known virulence determinants
were investigated. Strain A1 can produce biogenic amines for histamine, spermine,
and spermidine (mean values: 8.64, 8.31, and 0.30 mg/L, respectively). Polymerase
chain reaction amplification for Strain A1 found genes involved in expression of
gelatinase (gleE), cytolysin (cylA, cylB, and cylM), sex pheromones (ccf and cpd)
and cell wall adhesion (efaA). Strain A2 showed sensitivity or intermediate
resistance to all tested antibiotics, and no virulence determinants except gelE
and ccf, but did produce tyramine at a relatively high level (912.02 mg/L). Both
strains B1 and B2 could produce histamine (10.43 and 10.56 mg/L, respectively),
and showed vancomycin resistance; B1 also produced tyramine (504.02 mg/L). Strain
C1 could produce all five biogenic amines tested in the study -putrescine,
histamine, tyramine, spermine, and spermidine; concentrations were 6.51, 9.59,
205.85, 5.55, and 5.39 mg/L, respectively. All E. durans strains found in Tibetan
traditional fermented yak milk thus offer potential risk.
PMID- 22068489
TI - Detection of viruses in farmed rainbow trout (Oncorhynchus mykiss) in Korea by RT
LAMP assay.
AB - The viral diseases have been the serious problem in salmonid farming, and rainbow
trout is not an exception. In this study, routine surveys were conducted for
detecting of viruses in farmed rainbow trout (Oncorhynchus mykiss) in Korea
during 2009-2010. Head kidneys from individual fish were employed for virus
detection by using a reverse transcription loop-mediated isothermal amplification
(RT-LAMP) assay. Infectious pancreatic necrosis virus (IPNV), infectious
hematopoietic necrosis virus (IHNV), and viral hemorrhagic septicemia virus
(VHSV) were the target viruses in this study. 53.5% (46/86) were found to be IPNV
positive, while IHNV and VHSV showed RT-LAMP negative during examination for 2
years. Ten IPNV-positive samples were randomly selected for viral isolation and
the cells showing CPEs were subjected to RT-LAMP, RT-PCR, and direct sequencing.
Phylogenetic analysis showed that the rainbow trout isolate has high similarity
homologies with the VR-299 strain, as previously described.
PMID- 22068488
TI - Comparative approach to capture bacterial diversity of coastal waters.
AB - Despite the revolutionary advancements in DNA sequencing technology and
cultivation techniques, few studies have been done to directly compare these
methods. In this study, a 16S rRNA gene-based, integrative approach combining
culture-independent techniques with culture-dependent methods was taken to
investigate the bacterial community structure of coastal seawater collected from
the Yellow Sea, Korea. For culture-independent studies, we used the latest model
pyrosequencer, Roche/454 Genome Sequencer FLX Titanium. Pyrosequencing captured a
total of 52 phyla including 27 candidate divisions from the water column, whereas
the traditional cloning approach captured only 15 phyla including 2 candidate
divisions. In addition, of 878 genera retrieved, 92.1 % of the sequences were
unique to pyrosequencing. For culture-dependent analysis, plate culturing, plate
washing, enrichment, and high-throughput culturing (HTC) methods were applied.
Phylogenetic analysis showed that the plate-washing clones formed a cluster
devoid of any previously cultured representatives within the family
Rhodobacteraceae. One HTC isolate (SF293) fell into the OM182 clade, which was
not recovered by other culturing methods described here. By directly comparing
the sequences obtained from cultures with those from culture-independent work, we
found that only 33% of the culture sequences were identical to those from clone
libraries and pyrosequences. This study presents a detailed comparison of common
molecular and cultivation techniques available in microbial ecology. As different
methods yielded different coverage, we suggest choosing the approach after
carefully examining the scientific questions being asked.
PMID- 22068490
TI - Epidemiological investigation of eaeA-positive Escherichia coli and Escherichia
albertii strains isolated from healthy wild birds.
AB - Escherichia coli has commonly been associated with diarrheal illness in humans
and animals. Recently, E. albertii has been reported to be a potential pathogen
of humans and animals and to be carried by wild birds. In the present study, the
prevalence and genetic characteristics of intimin-producing E. coli and E.
albertii strains were evaluated in wild birds in Korea. Thirty one of 790
Enterobacteriaceae strains from healthy wild birds were positive for the intimin
gene (eaeA) and twenty two of the 31 strains were identified as atypical
enteropathogenic E. coli (aEPEC) that did not possess both EAF and bfpA genes. A
total of nine lactose non-fermenting coliform bacterial strains were identified
as E. albertii by PCR and sequence analysis of housekeeping genes. A total of 28
(90.3%) eaeA-positive strains were isolated from waterfowl. Fifteen aEPEC (68.2%)
and two E. albertii (22.2%) strains had a beta-intimin subtype and 14 aEPEC
strains harboring beta-intimin belonged to phylogenetic group B2. AU eaeA
positive E. albertii and 3 aEPEC strains possessed the cytolethal distending
toxin gene (cdtB). The eaeA-positive E. coli and E. albertii strains isolated
from healthy wild birds need to be recognized as a potential pathogroup that may
pose a potential threat to human and animal health. These findings indicate that
eaeA-positive E. coli as well as E. albertii can be carried by wild birds, posing
a potential threat to human and animal health.
PMID- 22068491
TI - Production of cephalosporin C using crude glycerol in fed-batch culture of
Acremonium chrysogenum M35.
AB - In this study, cephalosporin C production by Acremonium chrysogenum M35 cultured
with crude glycerol instead of rice oil and methionine was investigated. The
addition of crude glycerol increased cephalosporin C production by 6-fold in
shake-flask culture, and also the amount of cysteine. In fed-batch culture
without methionine, crude glycerol resulted only in overall improvement in
cephalosporin C production (about 700%). In addition, A. chrysogenum M35 became
highly differentiated in fed-batch culture with crude glycerol, compared with the
differentiation in batch culture. The results presented here suggest that crude
glycerol can replace methionine and plant oil as cysteine and carbon sources
during cephalosporin C production by A. chrysogenum M35.
PMID- 22068492
TI - Deciphering the biodiversity of Listeria monocytogenes lineage III strains by
polyphasic approaches.
AB - Listeria monocytogenes is a foodborne pathogen of humans and animals. The
majority of human listeriosis cases are caused by strains of lineages I and II,
while lineage III strains are rare and seldom implicated in human listeriosis. We
revealed by 16S rRNA sequencing the special evolutionary status of L.
monocytogenes lineage III, which falls between lineages I and II strains of L.
monocytogenes and the non-pathogenic species L. innocua and L. marthii in the
dendrogram. Thirteen lineage III strains were then characterized by polyphasic
approaches. Biochemical reactions demonstrated 8 biotypes, internalin profiling
identified 10 internal-in types clustered in 4 groups, and multilocus sequence
typing differentiated 12 sequence types. These typing schemes show that lineage
III strains represent the most diverse population of L. monocytogenes, and
comprise at least four subpopulations IIIA-1, IIIA-2, HIB, and IIIC. The in vitro
and in vivo virulence assessments showed that two lineage IIIA-2 strains had
reduced pathogenicity, while the other lineage III strains had comparable
virulence to lineages I and II. The HIB strains are phylogenetically distinct
from other sub-populations, providing additional evidence that this sublineage
represents a novel lineage. The two biochemical reactions L-rhamnose and L
lactate alkalinization, and 10 internalins were identified as potential markers
for lineage III subpopulations. This study provides new insights into the
biodiversity and population structure of lineage III strains, which are important
for understanding the evolution of the L. mono-cytogenes-L. innocua clade.
PMID- 22068493
TI - A genome-wide identification of genes potentially associated with host
specificity of Brucella species.
AB - Brucella species are facultative intracellular pathogenic alpha-Proteobacteria
that can cause brucellosis in humans and domestic animals. The clinical and
veterinary importance of the bacteria has led to well established studies on the
molecular mechanisms of Brucella infection of host organisms. However, to date,
no genome-wide study has scanned for genes related to the host specificity of
Brucella spp. The majority of bacterial genes related to specific environmental
adaptations such as host specificity are well-known to have evolved under
positive selection pressure. We thus detected signals of positive selection for
individual orthologous genes among Brucella genomes and identified genes related
to host specificity. We first determined orthologous sets from seven completely
sequenced Brucella genomes using the Reciprocal Best Hits (RBH). A maximum
likelihood analysis based on the branch-site test was accomplished to examine the
presence of positive selection signals, which was subsequently confirmed by
phylogenetic analysis. Consequently, 12 out of 2,033 orthologous genes were
positively selected by specific Brucella lineages, each of which belongs to a
particular animal host. Extensive literature reviews revealed that half of these
computationally identified genes are indeed involved in Brucella host
specificity. We expect that this genome-wide approach based on positive selection
may be reliably used to screen for genes related to environmental adaptation of a
particular species and that it will provide a set of appropriate candidate genes.
PMID- 22068494
TI - Bacillus kyonggiensis sp. nov., isolated from soil of a lettuce field.
AB - A Gram-positive, rod-shaped, motile, endospore-forming bacterial strain,
designated NB22(T), was isolated from soil of a lettuce field in Kyonggi
province, South Korea, and was characterized by using a polyphasic taxonomic
approach. This novel isolate grew optimally at 30-37 degrees C and pH 8-9. It
grew in the presence of 0-4% NaCl (optimum, 1-2%). Comparative 16S rRNA gene
sequence analysis showed that strain NB22(T) was closely related to members of
the genus Bacillus and fell within a coherent cluster comprising B. siralis
171544(T) (98.1%) and B. korlensis ZLC-26(T) (97.3%). The levels of 16S rRNA gene
sequence similarity with respect to other Bacillus species with validly published
names were less than 96.4%. Strain NB22(T) had a genomic DNA G+C content of 36.3
mol% and the predominant respiratory quinone was MK-7. The peptidoglycan
contained meso-diaminopimelic acid. The major cellular fatty acids were iso
C(15:0), anteiso-C(15:0), C(14:0), and C(16:0). These chemotaxonomic results
supported the affiliation of strain NB22(T) to the genus Bacillus, and the low
DNA-DNA relatedness values and distinguishing phenotypic characteristics allowed
genotypic and phenotypic differentiation of strain NB22(T) from recognized
Bacillus species. On the basis of the evidence presented, strain NB22(T) is
considered to represent a novel species of the genus Bacillus, for which the name
Bacillus kyonggiensis sp. nov. is proposed. The type strain is NB22(T) (=KEMB
5401-267(T) =JCM 17569(T)).
PMID- 22068495
TI - Use of rpoB sequences and rep-PCR for phylogenetic study of Anoxybacillus
species.
AB - This study was conducted to investigate the applicability of rpoB, which encodes
the beta subunit of RNA polymerase, to be used as an alternative to 16S rRNA gene
sequence similarity analysis in the thermophilic genus Anoxybacillus. Partial
rpoB sequences were generated for the 14 type strains of Anoxybacillus species
and 6 other strains of four Anoxybacillus species. The sequences and the
phylogenetic tree of rpoB were compared with those obtained from 16S rRNA gene
analysis. The rpoB gene was found to provide a better resolution for
Anoxybacillus species, with lower interspecies sequence similarities. The rpoB
sequence similarity analysis permitted a more accurate discrimination of the
species within the Anoxybacillus genus than the more commonly used 16S rRNA gene.
Furthermore, rapid and reproducible repetitive extragenic palindromic
fingerprinting techniques (REP-, ERIC-, and BOX-PCR) were employed for the
specimens of genus Anoxybacillus. Through comparison of the three methods, it was
found that the BOX-PCR method generated more informative results than REP-PCR for
the studied strains; BOX-PCR profiles were more distinct for the different
strains, including a higher number of bands. Rapid and reproducible repetitive
extragenic palindromic fingerprinting techniques (rep-PCR) constitute a suitable
molecular approach for the validation and maintenance of taxonomy within the
Anoxybacillus genus. The results of this study show that rpoB and rep-PCR provide
rapid and reliable methods for molecular typing of Anoxybacillus species.
PMID- 22068496
TI - Rapid discrimination of potato scab-causing Streptomyces species based on the
RNase P RNA gene sequences.
AB - Scab disease significantly damages potatoes and other root crops. Some
Streptomyces species have been reported as potato-scab pathogens. Identification
of the phytopathogenic Streptomyces is mainly done on the basis of the 16S rRNA
gene, but use of this gene has some limitations for discriminating these strains
because they share high similarities of 16S rRNA gene sequences. We tested the
RNase P RNA (rnpB) gene as a taxonomic marker to clarify the relationship among
closely related scab-causing Streptomyces strains. The rnpB genes were analyzed
for 41 strains including 9 isolates from Jeju, Korea. There were 4 highly
variable regions including nucleotide gaps in the rnpB genes. Interspecies
similarity of the rnpB gene in tested Streptomyces strains was lower than about
97%, while the intraspecies similarity was higher than about 98%. Phylogenetic
analysis demonstrated that the rnpB tree has similar topology to the 16S rRNA
gene tree, but produces a more divergent phyletic lineage. These results revealed
that the rnpB gene could be used as a powerful taxonomic tool for rapid
differentiation of closely related Streptomyces species. In addition, it was also
suggested that the variable regions marked as alpha, beta, gamma, and delta in
the rnpB gene could be useful markers for the detection of specific Streptomyces
species.
PMID- 22068497
TI - Biochemical properties and physiological roles of NADP-dependent malic enzyme in
Escherichia coli.
AB - Malic enzymes catalyze the reversible oxidative decarboxylation of L-malate using
NAD(P)(+) as a cofactor. NADP-dependent malic enzyme (MaeB) from Escherichia coli
MG1655 was expressed and purified as a fusion protein. The molecular weight of
MaeB was about 83 kDa, as determined by SDS-PAGE. The recombinant MaeB showed a
maximum activity at pH 7.8 and 46 degrees C. MaeB activity was dependent on the
presence of Mn(2+) but was strongly inhibited by Zn(2+). In order to understand
the physiological roles, recombinant E. coli strains (icd (NADP)/DeltamaeB and
icd (NAD)/DeltamaeB) containing NADP-dependent isocitrate dehydrogenase (IDH), or
engineered NAD-dependent IDH with the deletion of the maeB gene, were constructed
using homologous recombination. During growth on acetate, icd (NAD)/DeltamaeB
grew poorly, having a growth rate only 60% that of the wild-type strain (icd
(NADP)). Furthermore, icd (NADP)/DeltamaeB exhibited a 2-fold greater
adaptability to acetate than icd (NAD)/DeltamaeB, which may be explained by more
NADPH production for biosynthesis in icd (NADP)/DeltamaeB due to its NADP
dependent IDH. These results indicated that MaeB was important for NADPH
production for bacterial growth on acetate. We also observed that MaeB activity
was significantly enhanced (7.83-fold) in icd (NAD), which was about 3-fold
higher than that in icd (NADP), when switching from glucose to acetate. The
marked increase of MaeB activity was probably induced by the shortage of NADPH in
icd (NAD). Evidently, MaeB contributed to the NADPH generation needed for
bacterial growth on two carbon compounds.
PMID- 22068498
TI - A novel ribonuclease with potent HIV-1 reverse transcriptase inhibitory activity
from cultured mushroom Schizophyllum commune.
AB - A 20-kDa ribonuclease (RNase) was purified from fresh fruiting bodies of cultured
Schizophyllum commune mushrooms. The RNase was not adsorbed on Affi-gel blue gel
but adsorbed on DEAE-cellulose and CM-cellulose. It exhibited maximal RNase
activity at pH 6.0 and 70 degrees C. It demonstrated the highest ribonucleolytic
activity toward poly (U) (379.5 MU/mg), the second highest activity toward poly
(C) (244.7 MU/mg), less activity toward poly (A) (167.4 MU/mg), and much weaker
activity toward poly (G) (114.5 MU/mg). The RNase inhibited HIV-1 reverse
transcriptase with an IC(50) of 65 MUM. No effect on [(3)H-methyl]-thymidine
uptake by lymphoma MBL2 cells and leukemia L1210 cells was observed at 100 MUM
concentration of the RNase. A comparison of RNases from S. commune and
Volvariella volvacea revealed that they demonstrated some similarities in N
terminal amino acid sequence, optimum pH and polyhomoribonucleotide specificity.
However, some differences in chromatographic behavior and molecular mass were
observed.
PMID- 22068499
TI - Biochemical properties of an extracellular trehalase from Malbranchea pulchella
var. Sulfurea.
AB - The thermophilic fungus Malbranchea pulchella var. sulfurea produced good amounts
of extracellular trehalase activity when grown for long periods on starch,
maltose or glucose as the main carbon source. Studies with young cultures
suggested that the main role of the extracellular acid trehalase is utilizing
trehalose as a carbon source. The specific activity of the purified enzyme in the
presence of manganese (680 U/mg protein) was comparable to that of other
thermophilic fungi enzymes, but many times higher than the values reported for
trehalases from other microbial sources. The apparent molecular mass of the
native enzyme was estimated to be 104 kDa by gel filtration and 52 kDa by SDS
PAGE, suggesting that the enzyme was composed by two subunits. The carbohydrate
content of the purified enzyme was estimated to be 19 % and the pi was 3.5. The
optimum pH and temperature were 5.0-5.5 and 55 degrees C, respectively. The
purified enzyme was stimulated by manganese and inhibited by calcium ions, and
insensitive to ATP and ADP, and 1 mM silver ions. The apparent K(M) values for
trehalose hydrolysis by the purified enzyme in the absence and presence of
manganese chloride were 2.70 +/- 0.29 and 2.58 +/- 0.13 mM, respectively.
Manganese ions affected only the apparent V(max), increasing the catalytic
efficiency value by 9.2-fold. The results reported herein indicate that
Malbranchea pulchella produces a trehalase with mixed biochemical properties,
different from the conventional acid and neutral enzymes and also from trehalases
from other thermophilic fungi.
PMID- 22068500
TI - Adaptive stress response to menadione-induced oxidative stress in Saccharomyces
cerevisiae KNU5377.
AB - The molecular mechanisms involved in the ability of yeast cells to adapt and
respond to oxidative stress are of great interest to the pharmaceutical, medical,
food, and fermentation industries. In this study, we investigated the time
dependent, cellular redox homeostasis ability to adapt to menadione-induced
oxidative stress, using biochemical and proteomic approaches in Saccharomyces
cerevisiae KNU5377. Time-dependent cell viability was inversely proportional to
endogenous amounts of ROS measured by a fluorescence assay with 2',7'
dichlorofluorescin diacetate (DCFHDA), and was hypersensitive when cells were
exposed to the compound for 60 min. Morphological changes, protein oxidation and
lipid peroxidation were also observed. To overcome the unfavorable conditions due
to the presence of menadione, yeast cells activated a variety of cell rescue
proteins including antioxidant enzymes, molecular chaperones, energy-generating
metabolic enzymes, and antioxidant molecules such as trehalose. Thus, these
results show that menadione causes ROS generation and high accumulation of
cellular ROS levels, which affects cell viability and cell morphology and there
is a correlation between resistance to menadione and the high induction of cell
rescue proteins after cells enter into this physiological state, which provides a
clue about the complex and dynamic stress response in yeast cells.
PMID- 22068501
TI - Degradation of endocrine disrupting chemicals by genetic transformants with two
lignin degrading enzymes in Phlebia tremellosa.
AB - A white rot fungus Phlebia tremellosa produced lignin degrading enzymes, which
showed degrading activity against various recalcitrant compounds. However,
manganese peroxidase (MnP) activity, one of lignin degrading enzymes, was very
low in this fungus under various culture conditions. An expression vector that
carried both the laccase and MnP genes was constructed using laccase genomic DNA
of P. tremellosa and MnP cDNA from Polyporus brumalis. P. tremellosa was
genetically transformed using the expression vector to obtain fungal
transformants showing increased laccase and MnP activity. Many transformants
showed highly increased laccase and MnP activity at the same time in liquid
medium, and three of them were used to degrade endocrine disrupting chemicals.
The transformant not only degraded bisphenol A and nonylphenol more rapidly but
also removed the estrogenic activities of the chemicals faster than the wild type
strain.
PMID- 22068502
TI - Functional analysis of SGR4635-induced enhancement of pigmented antibiotic
production in Streptomyces lividans.
AB - The Gram-positive mycelium-producing bacterium Streptomyces undergoes complex
morphological differentiation after autolytic degradation of the vegetative
mycelium. Cell-wall breakdown during growth stimulates cell development and
secondary metabolite production by Streptomyces. N-acetylglucosamine (GlcNAc)
produced by cell-wall lysis acts as a signal molecule, triggering the production
of secondary metabolites in S. coelicolor A3(2). Here, we report that
introduction of multiple copies of the GlcNAc-internalizing gene (sgr4635,
encoding nagE2) of S. griseus activates actinorhodin and undecylprodigiosin
production during the late growth of S. lividans in the absence of GlcNAc.
Furthermore, the repressor-type transcriptional regulator DasR binds to two
operator sites upstream of sgr4635. Our findings indicate that sgr4635 induces
DasR-mediated antibiotic production by internalizing the GlcNAc accumulated from
cell-wall lysis.
PMID- 22068503
TI - Berberine inhibits HEp-2 cell invasion induced by Chlamydophila pneumoniae
infection.
AB - This study investigated the inhibitory effects of berberine on Chlamydophila
(Chlamydia) pneumoniae infection-induced HEp-2 cell invasion and explored the
possible mechanisms involved in this process. C. pneumoniae infection resulted in
a significant increase in HEp-2 cell invasion when compared with the control
cells (P<0.01) in a Matrigel invasion assay. This enhanced cell invasion was
strongly suppressed by berberine (50 MUM) (P<0.01). In a cell adhesion assay, the
infection-induced HEp-2 cell adhesion to Matrigel was also significantly
inhibited by berberine (P<0.01). C. pneumoniae infection was found to promote HEp
2 cell migration remarkably (P<0.01), which was markedly suppressed by berberine
(P<0.01) in the cell migration assays. There were no statistically significant
differences in the expression of matrix metalloproteinase-1 (MMP-1) and MMP-9 in
the infected cells and berberine did not change the expression of MMP-1 and MMP
9. These data suggest that berberine inhibits C. pneumoniae infection-induced HEp
2 cell invasion through suppressing HEp-2 cell adhesion and migration, but not
through changing the expression of MMP-1 and MMP-9.
PMID- 22068504
TI - Interaction of Acinetobacter baumannii 19606 and 1656-2 with Acanthamoeba
castellanii.
AB - Acinetobacter baumannii is virtually avirulent for healthy people but maintains a
high virulence among critically ill patients or immuno-compromised individuals.
The ability of A. baumannii to adhere to cells and persist on surfaces as
biofilms could be central to its pathogenicity. In the present study, we compared
the virulence of the A. baumannii 1656-2 clinical strain, which is able to form a
thick biofilm, with the virulence of the A. baumannii type strain (ATCC
19606(T)). Acanthamoeba castellanii, a single-celled organism, was used as the
host model system to study the virulence of A. baumannii. Compared to A.
baumannii ATCC 19606(T), A. baumannii 1656-2 exhibited a higher ability to adhere
and invade A. castellanii cells and had a higher killing rate of A. castellanii
cells. Furthermore, co-incubation of the amoeba cells and the cell-free
supernatant of A. baumannii resulted in the cell death of the amoebae. Heat
inactivation or proteinase K treatment of the supernatant did not eliminate its
cytotoxicity, suggesting heat stable non-protein factors are responsible for its
cytotoxicity to A. castellanii cells. In conclusion, this study for the first
time has revealed the capacity of the A. baumannii strain and/or its metabolic
products to induce cytotoxicity in A. castellanii cells.
PMID- 22068505
TI - Bacteria-based in vivo peptide library screening using biopanning approach.
AB - Traditionally, library screening has been performed to identify biologically
active agents including small molecules or peptides that inhibit target proteins
or molecules with therapeutic interests. Due to its chemical nature, library
screening is usually performed under in vitro environments using purified
proteins and molecules. However, active agents identified from in vitro
screenings often fail to exhibit biological activities in cells. To overcome this
inherent limitation, we have developed an in vivo peptide library screening
system that allows for the identification of dissociative inhibitors of protein
interactions of interest. The screening is based on the reconstitution of the cI
repressor from bacteriophage lambda with high-density expression peptide library
and is entirely performed in bacteria cells. Furthermore, to enhance the efficacy
and sensitivity of the screening, a multiple-round biopanning approach was
employed for amplification and enrichment of positive peptides. Overall, this in
vivo screening should provide a fast and efficient tool for identification of
biologically active peptide molecules against target protein assembly.
PMID- 22068506
TI - Microbacterium suwonense sp. nov., isolated from cow dung.
AB - An actinomycete strain, designated M1T8B9(T), was isolated from cow dung in
Suwon, Republic of Korea. The isolate was a Gram-positive, nonmotile, and non
spore-forming bacterium. Phylogenetic evaluation based on 16S rRNA gene sequence
similarity showed that this isolate belongs to the genus Microbacterium, with its
closest neighbors being Microbacterium soli DCY17(T) (98.2%) and Microbacterium
esteraromaticum DSM 8609(T) (98.0%). The polar lipid pattern consisted of
diphosphatidylglycerol, phosphatidylglycerol, and one unknown glycolipid. Strain
M1T8B9(T) contained the major fatty acids C(15:0) anteiso, C(16:0) iso, C (17:0)
anteiso, and C(15:0) iso, and the cell-wall peptidoglycan was of type B2beta.
According to DNA-DNA hybridization studies, strain M1T8B9(T) showed 42% and 26%
relatedness with M. soli DCY17(T) and M. esteraromaticum DSM 8609(T),
respectively. On the basis of the data presented, strain M1T8B9(T) is considered
to represent a novel species of the genus Microbacterium, for which the name
Microbacterium suwonense sp. nov. is proposed. The type strain is M1T8B9(T)
(=KACC 14058(T) =NBRC 106310(T)).
PMID- 22068507
TI - Pigmentiphaga soli sp. nov., a bacterium isolated from soil.
AB - Strain BS12(T), a Gram-negative motile bacterium, was isolated from soil in South
Korea and characterized to determine its taxonomic position. Phylogenetic
analyses based on the 16S rRNA gene sequence revealed that the strain belonged to
the family Alcaligenaceae in the class Betaproteobacteria. The highest degree of
sequence similarities of strain BS12(T) were found with Pigmentiphaga litoralis
JSM 061001(T) (98.3%), Pigmentiphaga daeguensis K110(T) (98.2%), and
Pigmentiphaga kullae K24(T) (98.1%). Chemotaxonomic data revealed that strain
BS12(T) possessed ubiquinone-8, which is common in the family Alcaligenaceae, and
the predominant fatty acids were C(16:0), C(17:0) cyclo, summed feature 3
(C(16:1) omega6c/omega7c), and summed feature 8 (C(18:1) omega6c/omega7c). The
major polar lipids of strain BS12(T) were phosphatidylethanolamine and
phosphatidylglycerol. Based on these data, BS12(T) (=KCTC 23577(T) =JCM 17666(T)
=KEMB 9004-082(T)) should be classified as a type strain of a novel species, for
which the name Pigmentiphaga soli sp. nov. is proposed.
PMID- 22068508
TI - Sawadaea koelreuteriae comb, nov., a powdery mildew of Koelreuteria paniculata.
AB - A powdery mildew parasitizing Koelreuteria spp. was first described under the
name Uncinula koelreuteriae Miyake and later transferred to the genus
Typhulochaeta. Based on morphological and molecular data of several herbarium
specimens collected from Korea, the generic placement of Typhulochaeta is
discussed and T. koelreuteriae is combined in the genus Sawadaea. Redescription
and epitypification of this species is provided hereby.
PMID- 22068510
TI - Big ideas and grand challenges.
PMID- 22068509
TI - Psychosocial care in cancer.
AB - Psychosocial care for cancer patients historically has been overlooked as an
aspect of quality clinical care. However, several organizations have recently
made strong recommendations for inclusion of psychosocial care across the
continuum of treatment, from diagnosis, through treatment, into survivorship, and
in the palliative stages of care. The evidence base for screening, diagnosis, and
effective treatment of psychosocial issues in the context of cancer care is
growing. Recent highly relevant research covering major topics in psycho
oncology, including distress, delivery of care, psychoneuroimmunology, and
cognitive deficits related to cancer, is reviewed in this article.
PMID- 22068511
TI - America Invents Act receives cautious welcome.
PMID- 22068512
TI - Larger companies dominate cancer companion diagnostic approvals.
PMID- 22068516
TI - European ruling raises specter of mandatory GM pollen tests on honey.
PMID- 22068520
TI - Industry leaders cite barriers to sequencing in trials.
PMID- 22068523
TI - Hemophilia market awaits next-generation therapies.
PMID- 22068524
TI - The R&D partnership universe.
PMID- 22068525
TI - Biotech plummets in 3Q11.
PMID- 22068526
TI - Hepatitis C: move over interferon.
PMID- 22068527
TI - A label we don't need.
PMID- 22068528
TI - Harnessing cloud computing with Galaxy Cloud.
PMID- 22068529
TI - Going viral.
PMID- 22068530
TI - Theory of knowledge and biotech patents: worlds apart?
PMID- 22068532
TI - Interrogating the kinome.
PMID- 22068533
TI - Primate genomes for biomedicine.
PMID- 22068534
TI - Road test for genetically modified mosquitoes.
PMID- 22068540
TI - How to apply de Bruijn graphs to genome assembly.
PMID- 22068541
TI - Discovery and development of telaprevir: an NS3-4A protease inhibitor for
treating genotype 1 chronic hepatitis C virus.
AB - Infection with hepatitis C virus (HCV) is a major medical problem with over 170
million people infected worldwide. Substantial morbidity and mortality are
associated with hepatic manifestations (cirrhosis and hepatocellular carcinoma),
which develop with increasing frequency in people infected with HCV for more than
20 years. Less well known is the burden of HCV disease associated with
extrahepatic manifestations (diabetes, B-cell proliferative disorders,
depression, cognitive disorders, arthritis and Sjogren's syndrome). For patients
infected with genotype 1 HCV, treatment with polyethylene glycol decorated
interferon (peginterferon) alpha and ribavirin (PR) is associated with a low (40
50%) success rate, substantial treatment-limiting side effects and a long (48
week) duration of treatment. In the past 15 years, major scientific advances have
enabled the development of new classes of HCV therapy, the direct-acting
antiviral agents, also known as specifically targeted antiviral therapy for
hepatitis C (STAT-C). In combination with PR, the HCV NS3-4A protease inhibitor
telaprevir has recently been approved for treatment of genotype 1 chronic HCV in
the United States, Canada, European Union and Japan. Compared with PR, telaprevir
combination therapy offers significantly improved viral cure rates and the
possibility of shortened treatment duration for diverse patient populations.
Developers of innovative drugs have to blaze a new path with few validated sign
posts to guide the way. Indeed, telaprevir's development was once put on hold
because of its performance in a standard IC(50) assay. Data from new hypotheses
and novel experiments were required to justify further investment and reduce risk
that the drug might fail in the clinic. In addition, the poor drug-like
properties of telaprevir were a formidable hurdle, which the manufacturing and
formulation teams had to overcome to make the drug. Finally, novel clinical trial
designs were developed to improve efficacy and shorten treatment in parallel
instead of sequentially. Lessons learned from the development of telaprevir
suggest that makers of innovative medicines cannot rely solely on traditional
drug discovery metrics, but must develop innovative, scientifically guided
pathways for success.
PMID- 22068542
TI - Third-quarter biotech job picture.
PMID- 22068545
TI - Thrombophilic mutations and susceptibility to preeclampsia in Western Iran.
AB - The aim of the present study was to investigate the frequency and the possible
association between thrombophilic mutations of factor V Leiden (FVL) and
prothrombin G20210A with preeclampsia among Kurdish population of Western Iran.
We studied 198 women with preeclampsia including 128 women with mild and 70 women
with severe forms and 101 healthy pregnant women with uncomplicated pregnancy.
Among cases there were 23 women with early onset preeclampsia and 175 cases with
late-onset preeclampsia. The sample was genotyped by polymerase chain reaction
restriction fragment-length polymorphism using Mnl I and Hind III for FVL and
prothrombin G20210A, respectively. The frequency of heterozygous FVL mutation was
7.6% among all preeclamptic women (8.6% in mild and 5.7% in severe preeclamptic
women) and 7.9% in controls (P > 0.05). However, the prevalence of heterozygous
FVL were 10.5 and 3.9% among severe preeclamptic women with early onset and late
onset preeclampsia, respectively (P > 0.05). The prevalence of prothrombin
G20210A were 1.6, 2.9, and 3% among women with mild preeclamsia, severe
preeclampsia and controls, respectively (P > 0.05). The level of serum
triglycerides (TG) was significantly higher among women with preeclampsia
compared to healthy pregnant women that was not associated with the two
thrombophilic mutations. Our results indicate that neither FVL nor prothrombin
G20210A could be a risk factor for preeclampsia in our population. However, high
prevalence of FVL in preeclamptic women with early onset compared to those with
late-onset preeclampsia may suggest a role for this mutation in predisposition to
early onset preeclampsia that need to be confirmed with larger sample size.
PMID- 22068546
TI - Femtosecond lasers for mass spectrometry: proposed application to catalytic
hydrogenation of butadiene.
AB - Mass spectra from the interaction of intense, femtosecond laser pulses with 1,3
butadiene, 1-butene, and n-butane have been obtained. The proportion of the
fragment ions produced as a function of intensity, pulse length, and wavelength
was investigated. Potential mass spectrometry applications, for example in the
analysis of catalytic reaction products, are discussed.
PMID- 22068547
TI - 3,4'-Linked bis(piperidines) related to the haliclonacyclamine class of marine
alkaloids: synthesis using crossed-aldol chemistry and preliminary biological
evaluations.
AB - Compounds 2-5, incorporating various elements of the 3,4'-bis(piperidine) core
associated with the sponge-derived alkaloid haliclonacyclamine A (HA, 1), have
been prepared through, inter alia, aldol-type reactions of N-substituted
piperidin-4-ones and certain derivatives. Screening of these compounds in various
assays, including an ecological one, reveals that compound 5 exhibits
allelochemical properties similar to those associated with HA itself.
PMID- 22068548
TI - Low serum testosterone levels are predictive of prostate cancer.
AB - PURPOSE: Although hormones play fundamental roles in prostate growth, their
clinical significance is not completely clear. Aims of present study were to
assess whether testosterone and serum sex hormone levels are predictors of benign
prostatic hyperplasia (BPH) or prostate cancer (PC) and to verify whether
prostate cancer is associated with low testosterone levels, and to test
association between testosterone levels and known prognostic factors in prostate
cancer. METHODS: In 206 consecutive patients with benign prostatic hyperplasia or
prostate cancer testosterone, follicle-stimulating hormone, luteinizing hormone
and prolactin levels were tested and correlated with disease. In patients with
prostate cancer, hormone levels were also correlated with known prognostic
factors. Predictive value was assessed for age, prostate-specific antigen (PSA),
PSA ratio, PSA density, prostate volume and serum sex hormone levels using
multiple logistic regression analysis and receiver operating characteristic
curves. RESULTS: Considering sex hormones, only testosterone levels were
significantly lower in patients with prostate cancer than those with BPH;
testosterone levels appear to be independent predictor of prostate cancer,
enhancing predictive accuracy for BPH and PC. Testosterone levels do not seem to
be associated with known clinical prognostic factors. CONCLUSIONS: This study
supports experimental findings that testosterone levels are predictor of prostate
cancer and that prostate cancer is frequently associated with low testosterone
levels. In the diagnostic work-up for prostate cancer, adding testosterone
determination to PSA test may improve predictive accuracy.
PMID- 22068549
TI - Mast cells cultured from IL-3-treated mice show impaired responses to bacterial
antigen stimulation.
AB - OBJECTIVE AND DESIGN: This study exploits the biological activity of interleukin
(IL)-3 to generate high yields of peritoneal mast cells ex vivo in order to
examine pro-inflammatory immune responses in ex-vivo culture. MATERIAL OR
SUBJECTS: Mast cells were obtained from the peritoneal cavity of C57BL/6 mice.
TREATMENT: Mice were injected intraperitoneally twice per day for 5 days with IL
3 (40-50 MUg/ml) to increase mast cell numbers. METHODS: Histological studies
examined mast cell numbers in the peritoneal cavity, intestine, lung, spleen and
skeletal muscle. Peritoneal mast cells cultured ex vivo (PCMCs) were stimulated
for 24 h with lipopolysaccharide and Bordetella pertussis antigen and secretion
of tumour necrosis factor-alpha, IL-6, IL-4, IL-5, IL-10 and interferon-gamma
into supernatant was measured by commercial ELISA. Cell surface marker expression
of FcepsilonRI, c-kit, OX40L and TLR2 was measured by flow cytometry. Mast cell
degranulation was measured using a beta-hexosaminidase assay. RESULTS: IL-3
treatment increases mast cell numbers in the peritoneal cavity, spleen and muscle
but not intestine and lung of C57BL/6 mice. PCMCs generated from IL-3-treated
mice exhibit impaired growth, differentiation and responses to activation as
measured by decreased cytokine secretion and cell surface marker expression.
CONCLUSION: Mast cells cultured from IL-3-treated mice show impaired responses.
PMID- 22068550
TI - Diabetes and incident depression: Is the association mediated or modified by
sociodemographic factors or co-morbidities? A systematic review.
AB - OBJECTIVE: Diabetic patients suffer more frequently from depression. Aim was to
evaluate sociodemographic parameters and co-morbidities and late complications as
potential modifying factors in the occurrence of depression in diabetic
individuals. RESEARCH DESIGN AND METHODS: We performed a systematic review, based
on established meta-analyses and additional original publications in 2005-2009 in
Medline and PsycINFO. We included articles focusing on longitudinal studies which
evaluated the appearance of depression in diabetic and non diabetic individuals
and further analyzed interactions or separate additional influences of potential
modifying factors. RESULTS: 8 eligible studies were identified. 6 studies were
population based. Only 3 studies analyzed the interaction between the variables
of interest and diabetes on the incidence of depression. Remaining used diverse
other methods to control for associated factors. The strongest influence was
found for a general measure of co-morbidities but this influence was ambiguous.
No significant modifying effect or interaction was found for sociodemographic
factors. CONCLUSION: There seems to be a clear need for more research concerning
mediating and modifying factors or a more stringent presentation of results in
order to identify groups at risk or to identify treatment strategies.
PMID- 22068551
TI - Determining the waist circumference cut off which best predicts the metabolic
syndrome components in urban Africans: the SABPA study.
AB - Various studies have shown that the relationship between waist circumference (WC)
and abdominal obesity is age, gender as well as ethnicity-dependent. WC criteria
for Sub Saharan Africans have not been defined by the International Diabetes
Federation (IDF). The aim was to determine which WC cut off best predicted
Metabolic Syndrome (MetS) in a group of urban African teachers (80 males and 93
females). We determined sphygmomanometer blood pressure, WC, glucose, high
density lipoprotein cholesterol (HdL) and triglyceride (TRIG) values. The males'
MetS profile was less favourable as their glucose, TRIG and blood pressure levels
were higher than the proposed cut off for MetS. The females could be classified
as obese, based on their mean BMI (32.78+/-6.36) and WC (93.48+/-15.68). Receiver
operating characteristic (ROC) WC cut offs of 90, 91, 94 and 96 cm for the
respective MetS components in males (blood pressure, HdL, glucose and TRIG) were
suggested. In the females, cut offs of 92, 98, 94 and 94 cm for TRIG, blood
pressure, HdL and glucose respectively, were put forward. Odds ratios revealed
that increased blood pressure best predicted ROC WC in both males (OR 9.59; 95%
CI 3.14-29.32) and females (OR 3.11; 95% CI 1.30-7.42) irrespective of age. We
suggest that the optimal cut off point for the males be set at 90 cm, as opposed
to the current 94 cm; whilst the female cut off be set at 98 cm as opposed to the
existing cut off of 80 cm. Larger sample groups are recommended to justify our
data.
PMID- 22068552
TI - Effects of isolated hyperinsulinaemia on sensory function in healthy adults.
AB - AIMS: Gastrointestinal symptoms such as pain, bloating, nausea and vomiting are
more frequent in pre-diabetic states as well as established diabetes, compared to
healthy individuals. The mechanisms behind these symptoms are multi-factorial and
complex. Furthermore, the effect of isolated hyperinsulinaemia on visceral and
peripheral sensory function is poorly understood. Thus, the current study aimed
to evaluate effects of acute hyperinsulinaemia on sensory function in healthy
adults. METHODS: The sensitivity to electrical oesophageal and median nerve
stimulation was assessed in 15 healthy volunteers together with recording of
evoked brain potentials. All subjects were studied both fasting and using a
euglycaemic hyperinsulinaemic clamp. RESULTS: There was on average a 15%
increased sensitivity to oesophageal electrical stimulation during
hyperinsulinaemia compared to fasting state (P<0.05), but the sensation after
median nerve stimulation remained stable (P=0.58). No significant changes in
latencies and amplitudes of evoked brain potentials were observed after
oesophageal or median nerve stimulation (all P>0.05). CONCLUSIONS: This study
suggests that acute isolated hyperinsulinaemia increases visceral sensitivity,
but does not influence the somatic sensory function. The lack of changes in the
evoked brain potentials may indicate that hyperinsulinaemia affects the visceral
sensory system at a peripheral level. Our result suggests distinct functions of
insulin in the various parts of the nervous system, and yields further clues to
the significance of insulin as a satiety signal.
PMID- 22068553
TI - Low-level subchronic arsenic exposure from prenatal developmental stages to adult
life results in an impaired glucose homeostasis.
AB - We evaluated how low-level (3 ppm) subchronic inorganic arsenic (iAs) exposure
from prenatal developmental stages until adult life affects glucose homeostasis.
Biochemical parameters of glucose and lipid metabolism, pancreatic insulin and
glycosylated haemoglobin were determined in 4-month-old female offspring of adult
Wistar rats. Pancreatic histology was also performed. Statistical comparisons
between control and iAs-treated groups were performed by unpaired two-tailed
Student's t-test. Statistical significance was set at p<0.05. We found that iAs
treatment resulted in an impaired glucose tolerance test, suggestive of impaired
glucose metabolism. This group was found to have hyperglycaemia and high levels
of HOMA-IR, glycosylated haemoglobin, cholesterol and pancreatic insulin compared
to control rats. However, plasma insulin, triglycerides and high-density
lipoprotein cholesterol were not different from control rats. Moreover, beta-cell
damage found in iAs-treated rats consisted of cells with a nucleus with dense
chromatin and predominance of eosinophilic cytoplasm, as well as changes in the
pancreatic vasculature. The current study provided evidence that subchronic iAs
exposure at 3 ppm from prenatal developmental stages to adult life resulted in
damage to pancreatic beta cells, affected insulin secretion and demonstrated
altered glucose homeostasis, thus supporting a causal association between iAs
exposure and diabetes.
PMID- 22068555
TI - Association of autonomously functioning thyroid nodules with Hashimoto's
thyroiditis: study on a large series of patients.
AB - OBJECTIVE: Autonomously functioning thyroid nodules (AFTNs) associated with
Hashimoto's thyroiditis (HT) are rarely reported. This study evaluates the
magnitude of such association, elaborating the clinical and biochemical
characteristics of HT and AFTN. MATERIALS AND METHODS: We reviewed the records of
our patients with thyroid nodules, including serum TSH, free T4 and T3, Tg-Ab,
TPO-Ab, ultrasonography, Tc-99m Sodium Pertechnetate scintigraphy (performed in
overt or subclinical hyperthyroid patients). HT patients with coexisting AFTN(s)
(group A) were compared with patients with AFTNs alone (group B, n=267). RESULTS:
80 patients (65 women and 15 men; F:M ratio 4.3:1; age 57+/-15 years) had AFTN(s)
and coexisting HT. Except 9 patients who were under methimazole, all had
suppressed (<0.01 mU/L) or low (<0.4 mU/L) TSH; 17/71 (24%) had increased FT4
and/or FT3. Subclinical hyperthyroidism prevailed over frank hyperthyroidism in
group A (76 vs. 24%), but not in group B (56 vs. 44%) ( P=0.005). Group A
patients had lower serum FT3 (~0.6 pmol/L or 9%) and FT4 (~0.9 pmol/L or 4%) as
compared to group B. The maximum diameter of the AFTN(s) was 8% smaller in group
A as compared with group B, thus matching the said difference in FT3. A positive
correlation between nodule size and age was found only in group B ( P=0.015).
CONCLUSION: Even if difference in the size of nodules between groups A and B does
not reach statistical significance, the chronic intrathyroid lymphocytic
infiltration of HT may decrease the tendency of the AFTNs to grow and diminish
their degree of functioning.
PMID- 22068554
TI - Pancreatic autoantibodies, HLA DR and PTPN22 polymorphisms in first degree
relatives of patients with type 1 diabetes and multiethnic background.
AB - AIM: To evaluate the prevalence of pancreatic auto-antibodies (PAb) as well as
its relationship with HLA DR B1 and PTPN22 polymorphisms in first degree
relatives (FDR) of Brazilian patients with Type 1 diabetes (T1D) and multiethnic
background. METHODS: FDR of patients with T1D were interviewed and blood was
sampled for PAb measurement, HLA DRB1 and PTPN22 genotyping. Genotyping was also
performed in index cases. RESULTS: In FDR (n=78), 16.7% presented at least one
PAb. These individuals had a higher prevalence of HLA DRB1* 03 than others
(p=0.03), without differences in PTPN22 genotyping. While the genetic profile was
similar in FDR with PAb and their index cases, those without PAb had a lower
frequency of HLA DR B1 * 03 than their correspondent patients (p=0.009).
CONCLUSION: In this multiethnic population, a significant proportion of FDR of
T1D patients had PAb, which was associated with HLA DR B1 * 03 but not with the
PTPN22 polymorphism.
PMID- 22068556
TI - The promoter variant -803 G>A in the RBP4 gene is not associated with BMI,
metabolic parameters or blood pressure in Caucasian children.
AB - OBJECTIVE: Studies in adults identified the -803 G>A promoter polymorphism
(rs3758539) in the RBP4 gene (RBP4) as a functional variant conferring an
increased risk for obesity and type 2 diabetes. METHODS: We genotyped this
polymorphism in a cohort of 304 lean and 283 obese children to assess a potential
association with early onset obesity and blood pressure and evaluated the effect
of this SNP on metabolic parameters in a smaller subset. RESULTS: The allele
frequency of -803 G>A was similar in obese compared to lean subjects (0.159 vs.
0.191, P=0.318). We did not detect an association of the variant with adiposity
parameters nor with parameters of glucose and lipid metabolism or blood pressure
in quantitative analyses. CONCLUSION: Our study revealed that the promoter
polymorphism -803 G>A in RBP4 is not associated with BMI, metabolic parameters or
blood pressure in Caucasian children.
PMID- 22068557
TI - Hypothalamic-pituitary-adrenocortical axis hypersensitivity and glucocorticoid
receptor expression and function in women with polycystic ovary syndrome.
AB - INTRODUCTION: Molecular mechanisms underlying pathophysiology of polycystic ovary
syndrome (PCOS), especially those related to cortisol signaling, are poorly
understood. We hypothesized that modulation of glucocorticoid receptor (GR)
expression and function, may underlie possible PCOS-related impairment of
feedback inhibition of hypothalamic-pituitary-adrenocortical (HPA) axis activity
and thus contribute to increased adrenal androgen production in women with PCOS.
MATERIALS AND METHODS: 24 normal-weight and 31 obese women with PCOS were
compared to 25 normal-weight controls. Fasting blood samples were collected for
measurements of serum concentrations of dehydroepiandrosterone sulfate,
testosterone, sex hormone-binding globulin, insulin, basal cortisol and cortisol
after oral administration of 0.5 mg dexamethasone. Concentrations of GR mRNA, GR
protein, mineralocorticoid receptor (MR) protein and heat shock proteins (Hsps),
as well as the number of GR per cell (B(max)) and its equilibrium dissociation
constant (K(D)) were measured in isolated peripheral blood mononuclear cells.
RESULTS: An increase in HPA axis sensitivity to dexamethasone, an elevation of
the GR protein concentration, and unaltered receptor functional status were found
in both normal-weight and obese women with PCOS vs. healthy controls. Lymphocyte
MR, Hsp90 and Hsp70 concentrations, and MR/GR ratio were similar in all groups.
Correlation between B(max) and K(D) was weaker in the group of obese women with
PCOS than in the other 2 groups. CONCLUSIONS: The results did not confirm the
initial hypothesis, but imply that PCOS is associated with increased GR protein
concentration and HPA axis sensitivity to dexamethasone.
PMID- 22068558
TI - Relationship between plasma aldosterone concentration and soluble cellular
adhesion molecules in patients referred to coronary angiography.
AB - OBJECTIVE: Evidence is emerging that aldosterone contributes to the development
and progression of atherosclerosis and cardiovascular disease. Little is known,
however, regarding an association between circulating aldosterone levels and
soluble cellular adhesion molecules in humans. METHODS: We investigated the
relationship between plasma aldosterone concentration (PAC) and soluble cellular
adhesion molecules in a large cohort of patients referred to coronary
angiography. After exclusion of patients with ongoing mineralocorticoid receptor
blocker use, oral contraceptive or hormone replacement therapy, 1,733 patients
(mean age: 62.5+/-10.8 years; 26.4%% women; mean PAC: 101.5+/-93.5 pg/mL)
remained eligible for analyses. RESULTS: Pearson correlation analysis as well as
age and gender adjusted partial correlation analysis revealed a positive
association between PAC and soluble (s) E-, L- and P-selectin levels but not with
sICAM-1 and sVCAM-1, respectively. In multivariate adjusted analyses of
covariance (ANCOVA) sE- (p=0.026), sL- (p=0.049) and sP-selectin (p<0.001) levels
increased steadily from the first (reference) to the third gender-specific
tertile of PAC. No significant variation across PAC tertiles was found for sICAM
1 (p=0.767) and sVCAM1 (p=0.425) levels, respectively. Finally, multivariate
regression analyses revealed circulating aldosterone as an important predictor
for soluble selectin levels. CONCLUSION: Our findings in a large cohort of
patients indicate that upregulation of selectins might represent a novel
mechanism of aldosterone mediated development and progression of atherosclerosis.
In view of aldosterone as a novel cardiovascular risk factor independent of
angiotensin II, our findings warrant further interventional studies which should
evaluate anti-atherosclerotic effects of aldosterone blocking treatment
strategies in humans.
PMID- 22068559
TI - Abnormal N-heterocyclic carbene palladium complex: living catalyst for activation
of aryl chlorides in Suzuki-Miyaura cross coupling.
AB - Palladium complexes bearing abnormal N-heterocyclic carbene were used as
catalysts in Suzuki-Miyaura cross coupling of aryl chlorides at 25 degrees C.
The catalyst remained active for 10 successive catalytic runs and can activate 4
chlorotoluene at 25 degrees C with 0.01 mol% catalyst loading resulting in a TON
of 9500 within 6 h.
PMID- 22068562
TI - Multiallelic models of genetic effects and variance decomposition in non
equilibrium populations.
AB - Quantitative genetics stems from the theoretical models of genetic effects, which
are re-parameterizations of the genotypic values into parameters of biological
(genetic) relevance. Different formulations of genetic effects are adequate to
address different subjects. We thus need to generalize and unify them under a
common framework for enabling researchers to easily transform genetic effects
between different biological meanings. The Natural and Orthogonal Interactions
(NOIA) model of genetic effects has been developed to achieve this aim. Here, we
further implement the statistical formulation of NOIA with multiple alleles under
Hardy-Weinberg departures (HWD). We show that our developments are
straightforwardly connected to the decomposition of the genetic variance and we
point out several emergent properties of multiallelic quantitative genetic
models, as compared to the biallelic ones. Further, NOIA entails a natural
extension of one-locus developments to multiple epistatic loci under linkage
equilibrium. Therefore, we present an extension of the orthogonal decomposition
of the genetic variance to multiple epistatic, multiallelic loci under HWD. We
illustrate this theory with a graphical interpretation and an analysis of
published data on the human acid phosphatase (ACP1) polymorphism.
PMID- 22068563
TI - The combined effects of Pseudomonas fluorescens and Tuber melanosporum on the
quality of Pinus halepensis seedlings.
AB - The ecological, economic and social values of the ectomycorrhizal fungi of the
black truffle found in the rural Mediterranean are well known. The inoculation of
Pinus halepensis seedlings with mycorrhizal fungi and rhizobacteria can improve
the morphology and physiology of the seedlings and benefit the regeneration of
arid regions and the reintroduction of inocula of mycorrhizal fungi into these
areas. Some rhizobacteria can improve the establishment and functioning of
ectomycorrhizal symbiosis. In this study, seedlings of P. halepensis were
inoculated with the mycorrhizal fungus Tuber melanosporum and the rhizobacteria
Pseudomonas fluorescens CECT 844 under non-limiting greenhouse conditions. Five
months after inoculation, we analysed the growth, water parameters (osmotic
potential at saturation, osmotic potential at turgor loss and modulus of
elasticity), concentrations of mycorrhizal colonies, nutrient concentration and
nutrient contents (N, P, K, Ca, Mg and Fe) in roots and aerial parts of the
seedlings. Subsequently, tests were performed to estimate the root growth
potentials. None of the treatments changed the water parameters or growth
potentials of the roots. The inoculations improved the growth and nutrient uptake
of the seedlings, although the combination of P. fluorescens CECT 844 and T.
melanosporum did not generally lead to a significant improvement over the
positive effects of a simple inoculation of T. melanosporum; however, the
addition of P. fluorescens CECT 844 did double the rate of the mycorrhization of
T. melanosporum. These results may be promising for enhancing the cultivation of
truffles.
PMID- 22068564
TI - The SNM practice guideline for somatostatin receptor scintigraphy 2.0.
PMID- 22068565
TI - The excited states and vibronic spectroscopy of diphenyldiacetylene and
diphenylvinylacetylene.
AB - Laser induced fluorescence (LIF) excitation scans and dispersed fluorescence
(DFL) spectra have been recorded for two four-carbon alpha,omega-diphenyl
systems, diphenyldiacetylene (DPDA, phi-C=C-C=C-phi) and trans
diphenylvinylacetylene (DPVA, phi-CH=CH-C=C-phi) as isolated molecules cooled in
a supersonic expansion. While these molecules have similar conjugation length,
they exhibit strikingly different vibronic spectroscopy and photophysics. The
near-UV LIF excitation spectrum of diphenyldiacetylene has its electronic origin
at 32,158 cm(-1), and a strong progression in the C=C stretch (2156 cm(-1)). All
transitions are inherently broad, with widths of ~30 cm(-1) fwhm or greater. The
S(1) origin DFL spectrum is composed of sharp transitions with Franck-Condon
activity mirroring that in the excitation spectrum, and broad emission shifted
well to the red ascribable to phosphorescence on the MUs timescale. Using ab
initio calculations, it is possible to show that DPDA exists as a single, planar
conformer with D(2h) symmetry. In contrast, trans-diphenylvinylacetylene shows
intense sharp transitions in both LIF and DFL spectra with an S(0)-S(1) origin of
31,183.2 cm(-1) and long progressions involving the in-plane fundamentals nu(53)
(bridge-phenyl bending) and nu(51) (bridge-phenyl stretch). A sharp reduction in
fluorescence yield in DPVA occurs within 300 cm(-1) of the S(1) origin. Possible
causes for the photophysical processes occurring in the two molecules are
discussed.
PMID- 22068566
TI - Preparation and characterization of chitosan hybrid membranes containing
polyethylacrylate and polybutylacrylate.
AB - Chitosan hybrid membranes were prepared in the presence of polyethylacrylate and
polybutylacrylate and characterized by measuring stress, strain, Young's modulus,
swelling behavior and antibacterial properties against gram-negative and gram
positive bacteria using IR spectroscopy and scanning electron microscopy (SEM).
The results show that the mechanical properties of the hybrid membranes were
enhanced using polybutylacrylate. SEM images showed homogeneity of the prepared
membranes. The swelling degree was of the order chitosan >
chitosan/polyethylacrylate > chitosan/polybutylacrylate. Antibacterial properties
of the hybrid membranes with polybutylacrylate and polyethylacrylate were higher
than those of chitosan membranes without any additives.
PMID- 22068567
TI - Improving the efficiency of CHO cell line generation using glutamine synthetase
gene knockout cells.
AB - Although Chinese hamster ovary (CHO) cells, with their unique characteristics,
have become a major workhorse for the manufacture of therapeutic recombinant
proteins, one of the major challenges in CHO cell line generation (CLG) is how to
efficiently identify those rare, high-producing clones among a large population
of low- and non-productive clones. It is not unusual that several hundred
individual clones need to be screened for the identification of a commercial
clonal cell line with acceptable productivity and growth profile making the cell
line appropriate for commercial application. This inefficiency makes the process
of CLG both time consuming and laborious. Currently, there are two main CHO
expression systems, dihydrofolate reductase (DHFR)-based methotrexate (MTX)
selection and glutamine synthetase (GS)-based methionine sulfoximine (MSX)
selection, that have been in wide industrial use. Since selection of recombinant
cell lines in the GS-CHO system is based on the balance between the expression of
the GS gene introduced by the expression plasmid and the addition of the GS
inhibitor, L-MSX, the expression of GS from the endogenous GS gene in parental
CHOK1SV cells will likely interfere with the selection process. To study
endogenous GS expression's potential impact on selection efficiency, GS-knockout
CHOK1SV cell lines were generated using the zinc finger nuclease (ZFN) technology
designed to specifically target the endogenous CHO GS gene. The high efficiency
(~2%) of bi-allelic modification on the CHO GS gene supports the unique
advantages of the ZFN technology, especially in CHO cells. GS enzyme function
disruption was confirmed by the observation of glutamine-dependent growth of all
GS-knockout cell lines. Full evaluation of the GS-knockout cell lines in a
standard industrial cell culture process was performed. Bulk culture productivity
improved two- to three-fold through the use of GS-knockout cells as parent cells.
The selection stringency was significantly increased, as indicated by the large
reduction of non-producing and low-producing cells after 25 uM L-MSX selection,
and resulted in a six-fold efficiency improvement in identifying similar numbers
of high-productive cell lines for a given recombinant monoclonal antibody. The
potential impact of GS-knockout cells on recombinant protein quality is also
discussed.
PMID- 22068568
TI - Gracilis transposition for prostato-rectal fistula.
PMID- 22068569
TI - Oral non-absorbable antibiotics for colorectal surgery.
PMID- 22068570
TI - Reading too much into anal vector volumetric parameters: correspondence for "Anal
vector volume analysis: an effective tool in the management of pelvic floor
disorders" M. Grande, F. Cadeddu, P. Silveri, P. Ciano, G. M. Attina, I.
Selvaggio, G. Milito. Tech Coloproctol (2011) 15:31-37.
PMID- 22068571
TI - Training and technical assistance: lessons learned to sustain social norm changes
in tobacco control.
PMID- 22068573
TI - Technical assistance and tobacco control: a conversation with Dr. Ursula Bauer.
Interview.
PMID- 22068572
TI - The Tobacco Technical Assistance Consortium: a foundation-university partnership
to reduce tobacco use.
PMID- 22068574
TI - Building the evaluation capacity of California's local tobacco control programs.
AB - Successful evaluation capacity building requires a dynamic balance between
responding to local agency needs and ensuring that local staff have appropriate
skills to conduct rigorous evaluations. In 2004, the California Tobacco Control
Program established the Tobacco Control Evaluation Center (TCEC), based at a
public research university, to provide evaluation technical assistance to
approximately 100 local agencies implementing tobacco control programs. TCEC has
been responsive to local needs, for instance, by answering 512 technical
assistance requests in the first 5 years of operation and by tailoring training
according to needs assessment results. About 50% of the technical assistance
requests were for new data collection instruments (n = 255). TCEC has sought
proactively to improve local evaluation skills, most recently in a data analysis
and report writing skill building campaign that included a webinar, newsletter,
and seven regional training meetings. Preliminary analysis suggests a 20%
improvement in scores for the local final evaluation reports as a result of this
campaign. It is concluded that evaluation technical assistance can be provided
effectively by a university as long as the local context is kept in mind, and a
balance of responsive and proactive technical assistance is provided.
PMID- 22068575
TI - Applying the performance partnership model to smoking cessation: lessons learned
by the smoking cessation leadership center.
AB - A wide array of partners can be convened around a single measurable outcome, such
as driving down smoking prevalence, through the use of an innovative approach
called the performance partnership model. This approach has certain key
characteristics that make it different from ordinary coalition building, such as
following four steps leading to a baseline, a target, an action plan, and an
impact measurement plan. It also employs great speed and focus to keep partners
engaged, and it has led to demonstrable progress on smoking cessation nationwide.
PMID- 22068576
TI - The use of training and technical assistance to drive and improve performance of
California's Tobacco Control Program.
AB - The California Department of Public Health, California Tobacco Control Program
uses a social norm-change strategy to reduce the uptake and continued use of
tobacco products. The statewide media campaign frames the message, community
level projects implement advocacy campaigns, and statewide-funded projects build
the capacity of community-level projects. The California Tobacco Control
Program's technical assistance (TA) system has evolved over time because of
changing needs, evaluation findings, and budget considerations. However, TA
services continue to strategically align with four statewide policy priorities:
to eliminate secondhand smoke exposure, to counter protobacco influences, to
reduce the availability of tobacco, and to promote cessation services. TA is the
engine powering social change across California by playing a key role in the
uptake of a single policy to facilitating the adoption of hundreds of tobacco
control policies statewide. The inclusion of expert and peer-to-peer TA models
broadly disseminates both evidence-based and tacit community-based knowledge.
Comprehensive TA also levels the playing field for organizations and communities
to effectively implement policy interventions. Together these approaches
accelerate change throughout California communities.
PMID- 22068577
TI - Addressing tobacco use in homeless populations: recommendations of an expert
panel.
AB - A diverse group of panelists met for one day on October 21, 2009, in Washington,
DC, for the purpose of addressing the high tobacco use prevalence rates in
homeless populations; identifying appropriate policy, cessation practices and
models for implementation in this population; and providing targeted
recommendations for researchers, homeless service providers, tobacco control
advocates, and policy makers. The panel was convened by Break Free Alliance, one
of six national networks funded by the Centers for Disease Control and
Prevention, Office on Smoking and Health. The panelists worked through a process
of problem identification, generation of responses, analysis and prioritization,
development of recommendations, and arrival of final decisions reached by
consensus. The resulting recommendations for addressing tobacco use in homeless
populations focused on tobacco non-use policy implementation, cessation
programming, and expansion of partnerships and collaborations between tobacco
control advocates and social service providers. The panel also identified
unanswered research questions that can serve to develop a framework for future
initiatives to reduce tobacco use among homeless persons. The expert panel model
serves as one approach for engaging nontraditional partners and building
consensus among leaders from a variety of sectors to address tobacco use in
special populations.
PMID- 22068578
TI - Getting universal primary tobacco use prevention into priority area schools: a
media literacy approach.
AB - The impact of any prevention intervention depends on its ability to influence
health risks and behavior change and the extent to which the target audience has
access to and participates in the program. In this article, the authors make the
case that media literacy-based tobacco prevention education can be integrated
into the middle school curriculum in a way that delivers on both counts. They
describe Missouri's successful development and dissemination of the Youth
Empowerment in Action! Tobacco Education, Advocacy, and Media curriculum to
schools serving populations that are most vulnerable to tobacco-related health
disparities. They make three recommendations to support health program
developers' efforts to motivate and prepare teachers to implement and sustain
universal tobacco prevention education in areas of highest need.
PMID- 22068579
TI - Culture change in addictions treatment: a targeted training and technical
assistance initiative affects tobacco-related attitudes and beliefs in addiction
treatment settings.
AB - Targeted training and technical assistance can have a major impact on the
attitudes and beliefs of addiction service providers with respect to the
treatment of tobacco dependency. Major gains have been made with the general
public since the mid-1960s with respect to the reduction of tobacco use behavior
and tobacco-related diseases. Tobacco use continues to be a major public health
problem, and tobacco control initiatives are significantly affecting public
attitudes and norms regarding tobacco use. There is, however, a specific
population that has not benefited from these gains and, in fact, has been
encouraged to continue smoking rather than make an attempt to quit. Individuals
with a substance use disorder and/or mental health disorder have a much higher
percentage of tobacco use than the general population, resulting in major health
disparities. The addiction treatment and recovery community has lagged behind the
general public in addressing tobacco use. New York State's project, "Integrating
Tobacco Use Interventions Into Chemical Dependence Services," is a model that
demonstrates how innovative regulations, and training and technical assistance
developed specifically for addiction service providers, can initiate culture
change with respect to tobacco use within addiction treatment settings, resulting
in improved treatment outcomes and longer term stable recovery.
PMID- 22068580
TI - Developing approaches for evaluating smoke-free policies: a case study of a
collaborative response to an emerging technical assistance need.
AB - This article describes how several tobacco control organizations identified and
responded to an emerging need for technical assistance on how to evaluate smoke
free policies. The authors outline the purposes of evaluating smoke-free policies
and present examples of evaluation approaches and tools. Examples are provided of
how evaluation has been used to advance the adoption, implementation, and defense
of smoke-free policies. Opportunities for further research are identified.
Finally, the authors review lessons learned and discuss their implications for
evaluating policy initiatives addressing other public health issues.
PMID- 22068581
TI - Supporting tobacco control: stimulating local newspaper coverage with a technical
assistance website for local coalitions.
AB - A large and growing literature confirms that well-designed web-based programs can
be effective in preventing or treating several chronic diseases. This study
examined how the Internet can deliver information and train community activists
and specifically tested the effects of web-based technical assistance on local
tobacco control coalitions' efforts to use media advocacy to advance their
agendas. The authors compared a highly interactive, Enhanced website
(intervention) to a noninteractive, Basic text-based website (comparison) in
Colorado communities. A total of 24 tobacco control coalitions led by local
county health departments and nursing services were enrolled in the project and
randomly assigned to use either the intervention or comparison website. A total
of 73 local daily and weekly newspapers were identified in the service areas of
23 of the 24 coalitions. A posttest assessment of newspaper coverage was
conducted to locate all newspaper articles with tobacco control information
published between January 1 and April 9, 2004, the last 3 months of the
intervention. Although there was no evidence of a treatment effect on the
frequency of newspaper articles on tobacco-related issues, there was, however,
evidence that newspapers in counties where the coalition had access to the
Enhanced website printed more stories focused on local/regional issues and more
anti-tobacco local/regional stories than in the counties where coalitions had
access to the Basic website. Coalitions can improve their influence on local
media for community tobacco control when high-quality online technical
assistance, training, and resources are available to them.
PMID- 22068582
TI - Training leaders from priority populations to implement social norm changes in
tobacco control: lessons from the LAAMPP Institute.
AB - The development of leadership in tobacco control has been crucial in the fight
against the number one most preventable cause of death and disease worldwide. Yet
today, little scientific evidence exists regarding its actual impact,
particularly among priority populations. This article describes the impact of the
Leadership and Advocacy Institute to Advance Minnesota's Parity for Priority
Populations (LAAMPP Institute), a major tobacco control leadership program for
five priority populations: African/African Americans, American Indians, Asian
Americans, Chicano/Latinos, and lesbian, gay, bisexual, transgender communities
in Minnesota. The LAAMPP Institute, a year-long institute with 17 days of
training, focused on the core competencies of advocacy, collaboration, cultural
or community competency, facilitation, and tobacco control. A logic model helped
to guide and frame the institute's efforts. The LAAMPP Institute has been
effective in increasing fellows' capacity to do advocacy, which in turn has led
to increased involvement in implementing social norm-change activities.
Leadership development can provide a solid foundation for training leaders and a
catalyst for mobilizing key advocates and priority population communities toward
the implementation and sustainment of social norm or policy changes.
PMID- 22068583
TI - Stories from the field.
AB - "Stories From the Field" is a series of short profiles of tobacco control
programs and their leaders, showcasing promising technical assistance and
training models in Louisiana, Puerto Rico, the Cherokee Nation, West Virginia,
the Pacific Islands, and the Virgin Islands. The series illuminates key themes of
collaboration with diverse stakeholders, elimination of health disparities,
building tobacco control coalitions, engaging youth to reduce commercial tobacco
use, sustaining tobacco control efforts, and the use of the media to raise public
awareness that are highlighted in the Health Promotion Practice Supplement Theme
Issue, Training and Technical Assistance: Lessons Learned to Sustain Social Norm
Changes in Tobacco Control. Common tobacco control strategies bind the stories
together. Local knowledge, coalition building, community involvement, innovative
partnerships, and educational outreach are at the core of all of these tobacco
control projects.
PMID- 22068584
TI - From movement to thought: executive function, embodied cognition, and the
cerebellum.
AB - This paper posits that the brain evolved for the control of action rather than
for the development of cognition per se. We note that the terms commonly used to
describe brain-behavior relationships define, and in many ways limit, how we
conceptualize and investigate them and may therefore constrain the questions we
ask and the utility of the "answers" we generate. Many constructs are so
nonspecific and over-inclusive as to be scientifically meaningless. "Executive
function" is one such term in common usage. As the construct is increasingly
focal in neuroscience research, defining it clearly is critical. We propose a
definition that places executive function within a model of continuous
sensorimotor interaction with the environment. We posit that control of behavior
is the essence of "executive function," and we explore the evolutionary advantage
conferred by being able to anticipate and control behavior with both implicit and
explicit mechanisms. We focus on the cerebellum's critical role in these control
processes. We then hypothesize about the ways in which procedural (skill)
learning contributes to the acquisition of declarative (semantic) knowledge. We
hypothesize how these systems might interact in the process of grounding
knowledge in sensorimotor anticipation, thereby directly linking movement to
thought and "embodied cognition." We close with a discussion of ways in which the
cerebellum instructs frontal systems how to think ahead by providing anticipatory
control mechanisms, and we briefly review this model's potential applications.
PMID- 22068585
TI - Dual-energy computed tomography applications in uroradiology.
AB - The introduction of dual-energy computed tomography systems (ie, scanners that
can simultaneously acquire images at different energies) has significant and
unique applications for urologists. Imaging data from these scanners can be used
to evaluate composition of urinary calculi and, by "removing" iodine from an
image, significantly decrease radiation dose to patients referred for hematuria.
Further, the ability to create a virtual noncontrast image obviates the need for
repeated scanning in patients with incidentally detected renal and adrenal
masses. Finally, the ability to quantify the regional concentration of iodine in
a renal neoplasm may provide a method to monitor effectiveness of therapy before
size changes become apparent.
PMID- 22068586
TI - Molecular and cellular characteristics of ABCA3 mutations associated with diffuse
parenchymal lung diseases in children.
AB - ABCA3 (ATP-binding cassette subfamily A, member 3) is expressed in the lamellar
bodies of alveolar type II cells and is crucial to pulmonary surfactant storage
and homeostasis. ABCA3 gene mutations have been associated with neonatal
respiratory distress (NRD) and pediatric interstitial lung disease (ILD). The
objective of this study was to look for ABCA3 gene mutations in patients with
severe NRD and/or ILD. The 30 ABCA3 coding exons were screened in 47 patients
with severe NRD and/or ILD. ABCA3 mutations were identified in 10 out of 47
patients, including 2 homozygous, 5 compound heterozygous and 3 heterozygous
patients. SP-B and SP-C expression patterns varied across patients. Among
patients with ABCA3 mutations, five died shortly after birth and five developed
ILD (including one without NRD). Functional studies of p.D253H and p.T1173R
mutations revealed that p.D253H and p.T1173R induced abnormal lamellar bodies.
Additionally, p.T1173R increased IL-8 secretion in vitro. In conclusion, we
identified new ABCA3 mutations in patients with life-threatening NRD and/or ILD.
Two mutations associated with ILD acted via different pathophysiological
mechanisms despite similar clinical phenotypes.
PMID- 22068587
TI - A RANKL G278R mutation causing osteopetrosis identifies a functional amino acid
essential for trimer assembly in RANKL and TNF.
AB - Receptor activator of nuclear factor-kappaB ligand (RANKL), a trimeric tumor
necrosis factor (TNF) superfamily member, is the central mediator of osteoclast
formation and bone resorption. Functional mutations in RANKL lead to human
autosomal recessive osteopetrosis (ARO), whereas RANKL overexpression has been
implicated in the pathogenesis of bone degenerative diseases such as
osteoporosis. Following a forward genetics approach using N-ethyl-N-nitrosourea
(ENU)-mediated random mutagenesis, we generated a novel mouse model of ARO caused
by a new loss-of-function allele of Rankl with a glycine-to-arginine mutation at
codon 278 (G278R) at the extracellular inner hydrophobic F beta-strand of RANKL.
Mutant mice develop severe osteopetrosis similar to Rankl-deficient mice, whereas
exogenous administration of recombinant RANKL restores osteoclast formation in
vivo. We show that RANKL(G278R) monomers fail to assemble into homotrimers, are
unable to bind and activate the RANK receptor and interact with wild-type RANKL
exerting a dominant-negative effect on its trimerization and function in vitro.
Since G278 is highly conserved within the TNF superfamily, we identified that a
similar substitution in TNF, G122R, also abrogated trimerization, binding to TNF
receptor and consequently impaired TNF biological activity. Notably, SPD304, a
potent small-molecule inhibitor of TNF trimerization that interacts with G122,
also inhibited RANKL activity, suggesting analogous inhibitory mechanisms. Our
results provide a new disease model for ARO and identify a functional amino acid
in the TNF-like core domain essential for trimer formation both in RANKL and in
TNF that could be considered a novel potential target for inhibiting their
biological activities.
PMID- 22068588
TI - Postnatal neurogenesis generates heterotopias, olfactory micronodules and
cortical infiltration following single-cell Tsc1 deletion.
AB - Neurological symptoms in tuberous sclerosis complex (TSC) and associated brain
lesions are thought to arise from abnormal embryonic neurogenesis due to
inherited mutations in Tsc1 or Tsc2. Neurogenesis persists postnatally in the
human subventricular zone (SVZ) where slow-growing tumors containing Tsc-mutant
cells are generated in TSC patients. However, whether Tsc-mutant neurons from the
postnatal SVZ contribute to brain lesions and abnormal circuit remodeling in
forebrain structures remain unexplored. Here, we report the formation of
olfactory lesions following conditional genetic Tsc1 deletion in the postnatal
SVZ using transgenic mice or targeted single-cell electroporation. These lesions
include migratory heterotopias and olfactory micronodules containing neurons with
a hypertrophic dendritic tree. Most significantly, our data identify migrating
glial and neuronal precursors that are re-routed and infiltrate forebrain
structures (e.g. cortex) and become glia and neurons. These data show that Tsc1
mutant cells from the neonatal and juvenile SVZ generate brain lesions and
structural abnormalities, which would not be visible using conventional non
invasive imaging. These findings also raise the hypothesis that micronodules and
the persistent infiltration of cells to forebrain structures may contribute to
network malfunction leading to progressive neuropsychiatric symptoms in TSC.
PMID- 22068590
TI - Modeling the human MTM1 p.R69C mutation in murine Mtm1 results in exon 4 skipping
and a less severe myotubular myopathy phenotype.
AB - X-linked myotubular myopathy (MTM) is a severe neuromuscular disease of infancy
caused by mutations of MTM1, which encodes the phosphoinositide lipid
phosphatase, myotubularin. The Mtm1 knockout (KO) mouse has a severe phenotype
and its short lifespan (8 weeks) makes it a challenge to use as a model in the
testing of certain preclinical therapeutics. Many MTM patients succumb early in
life, but some have a more favorable prognosis. We used human genotype-phenotype
correlation data to develop a myotubularin-deficient mouse model with a less
severe phenotype than is seen in Mtm1 KO mice. We modeled the human c.205C>T
point mutation in Mtm1 exon 4, which is predicted to introduce the p.R69C
missense change in myotubularin. Hemizygous male Mtm1 p.R69C mice develop early
muscle atrophy prior to the onset of weakness at 2 months. The median survival
period is 66 weeks. Histopathology shows small myofibers with centrally placed
nuclei. Myotubularin protein is undetectably low because the introduced c.205C>T
base change induced exon 4 skipping in most mRNAs, leading to premature
termination of myotubularin translation. Some full-length Mtm1 mRNA bearing the
mutation is present, which provides enough myotubularin activity to account for
the relatively mild phenotype, as Mtm1 KO and Mtm1 p.R69C mice have similar
muscle phosphatidylinositol 3-phosphate levels. These data explain the basis for
phenotypic variability among human patients with MTM1 p.R69C mutations and
establish the Mtm1 p.R69C mouse as a valuable model for the disease, as its less
severe phenotype will expand the scope of testable preclinical therapies.
PMID- 22068589
TI - Next generation sequencing identifies mutations in Atonal homolog 7 (ATOH7) in
families with global eye developmental defects.
AB - The atonal homolog 7 (ATOH7) gene encodes a transcription factor involved in
determining the fate of retinal progenitor cells and is particularly required for
optic nerve and ganglion cell development. Using a combination of autozygosity
mapping and next generation sequencing, we have identified homozygous mutations
in this gene, p.E49V and p.P18RfsX69, in two consanguineous families diagnosed
with multiple ocular developmental defects, including severe vitreoretinal
dysplasia, optic nerve hypoplasia, persistent fetal vasculature, microphthalmia,
congenital cataracts, microcornea, corneal opacity and nystagmus. Most of these
clinical features overlap with defects in the Norrin/beta-catenin signalling
pathway that is characterized by dysgenesis of the retinal and hyaloid
vasculature. Our findings document Mendelian mutations within ATOH7 and imply a
role for this molecule in the development of structures at the front as well as
the back of the eye. This work also provides further insights into the function
of ATOH7, especially its importance in retinal vascular development and hyaloid
regression.
PMID- 22068592
TI - A submicron plasmonic dichroic splitter.
AB - Spectral imaging and sensing techniques, new solar cell designs and wavelength
division multiplexing in optical communication rely on structures that collect
and sort photons by wavelength. The strong push for chip-scale integration of
such optical components has necessitated ultracompact, planar structures, and
fomented great interest in identifying the smallest possible devices.
Consequently, novel micro-ring, photonic crystal and plasmonic solutions have
emerged. Meanwhile, the optical coupling of subwavelength plasmonic structures
supporting a very limited number of modes has also enabled new functionalities,
including Fano resonances and structural electromagnetically-induced
transparency. Here we show how two similarly sized subwavelength metal grooves
can form an ultracompact submicron plasmonic dichroic splitter. Each groove
supports just two electromagnetic modes of opposite symmetry that allows
independent control of how a groove collects free-space photons and directs
surface plasmon polaritons. These results show how the symmetry of
electromagnetic modes can be exploited to build compact optical components.
PMID- 22068591
TI - Direct stereoselective alpha-arylation of unmodified enals using an
organocatalytic cross-coupling-like reaction.
AB - Cross-coupling reactions typically rely on the use of transition metal catalysis.
However, although achieving this process using metal-free organocatalysts is
highly challenging, it could offer unique opportunities to discover novel bond
forming strategies in organic synthesis. Here we report a new amine catalysed
direct stereoselective C-H alpha-arylation reaction of unmodified enals with
bromoarenes. The power of this process, which involves an unprecedented iminium
Michael-alkylation-enamine-retro-Michael cascade sequence, has been demonstrated
in the context of direct alpha-functionalization reactions of simple, unmodified
enals with 4-bromophenols, 1-bromo-2-naphthol and 3-bromoindoles under mild
reaction conditions. Notably, the process can be used for highly stereoselective
syntheses of non-readily accessible E isomers, which normally require the use of
transition metal-promoted cross-couplings and functionalized enals. The results
of these studies significantly expand the scope of aminocatalysis.
PMID- 22068593
TI - Epithelial organisation revealed by a network of cellular contacts.
AB - The emergence of differences in the arrangement of cells is the first step
towards the establishment of many organs. Understanding this process is limited
by the lack of systematic characterization of epithelial organisation. Here we
apply network theory at the scale of individual cells to uncover patterns in cell
to-cell contacts that govern epithelial organisation. We provide an objective
characterisation of epithelia using network representation, where cells are nodes
and cell contacts are links. The features of individual cells, together with
attributes of the cellular network, produce a defining signature that
distinguishes epithelia from different organs, species, developmental stages and
genetic conditions. The approach permits characterization, quantification and
classification of normal and perturbed epithelia, and establishes a framework for
understanding molecular mechanisms that underpin the architecture of complex
tissues.
PMID- 22068594
TI - Differentially photo-crosslinked polymers enable self-assembling microfluidics.
AB - An important feature of naturally self-assembled systems such as leaves and
tissues is that they are curved and have embedded fluidic channels that enable
the transport of nutrients to, or removal of waste from, specific three
dimensional regions. Here we report the self-assembly of photopatterned polymers,
and consequently microfluidic devices, into curved geometries. We discover that
differentially photo-crosslinked SU-8 films spontaneously and reversibly curve on
film de-solvation and re-solvation. Photolithographic patterning of the SU-8
films enables the self-assembly of cylinders, cubes and bidirectionally folded
sheets. We integrate polydimethylsiloxane microfluidic channels with these SU-8
films to self-assemble curved microfluidic networks.
PMID- 22068595
TI - A guideline for atomistic design and understanding of ultrahard nanomagnets.
AB - Magnetic nanoparticles are of immense current interest because of their possible
use in biomedical and technological applications. Here we demonstrate that the
large magnetic anisotropy of FePt nanoparticles can be significantly modified by
surface design. We employ X-ray absorption spectroscopy offering an element
specific approach to magnetocrystalline anisotropy and the orbital magnetism.
Experimental results on oxide-free FePt nanoparticles embedded in Al are compared
with large-scale density functional theory calculations of the geometric- and
spin-resolved electronic structure, which only recently have become possible on
world-leading supercomputer architectures. The combination of both approaches
yields a more detailed understanding that may open new ways for a microscopic
design of magnetic nanoparticles and allows us to present three rules to achieve
desired magnetic properties. In addition, concrete suggestions of capping
materials for FePt nanoparticles are given for tailoring both magnetocrystalline
anisotropy and magnetic moments.
PMID- 22068596
TI - miR-137 forms a regulatory loop with nuclear receptor TLX and LSD1 in neural stem
cells.
AB - miR-137 is a brain-enriched microRNA. Its role in neural development remains
unknown. Here we show that miR-137 has an essential role in controlling embryonic
neural stem cell fate determination. miR-137 negatively regulates cell
proliferation and accelerates neural differentiation of embryonic neural stem
cells. In addition, we show that the histone lysine-specific demethylase 1
(LSD1), a transcriptional co-repressor of nuclear receptor TLX, is a downstream
target of miR-137. In utero electroporation of miR-137 in embryonic mouse brains
led to premature differentiation and outward migration of the transfected cells.
Introducing a LSD1 expression vector lacking the miR-137 recognition site rescued
miR-137-induced precocious differentiation. Furthermore, we demonstrate that TLX,
an essential regulator of neural stem cell self-renewal, represses the expression
of miR-137 by recruiting LSD1 to the genomic regions of miR-137. Thus, miR-137
forms a feedback regulatory loop with TLX and LSD1 to control the dynamics
between neural stem cell proliferation and differentiation during neural
development.
PMID- 22068597
TI - Malaria parasite tyrosyl-tRNA synthetase secretion triggers pro-inflammatory
responses.
AB - Malaria infection triggers pro-inflammatory responses in humans that are
detrimental to host health. Parasite-induced enhancement in cytokine levels
correlate with malaria-associated pathologies. Here we show that parasite tyrosyl
tRNA synthetase (PfTyrRS), a housekeeping protein translation enzyme, induces pro
inflammatory responses from host immune cells. PfTyrRS exits from the parasite
cytoplasm into the infected red blood cell (iRBC) cytoplasm, from where it is
released into the extracellular medium on iRBC lysis. Using its ELR peptide
motif, PfTyrRS specifically binds to and internalizes into host macrophages,
leading to enhanced secretion of the pro-inflammatory cytokines TNF-alpha and IL
6. PfTyrRS-macrophage interaction also augments expression of adherence-linked
host endothelial receptors ICAM-1 and VCAM-1. Our description of PfTyrRS as a
parasite-secreted protein that triggers pro-inflammatory host responses, along
with its atomic resolution crystal structure in complex with tyrosyl-adenylate,
provides a novel platform for targeting PfTyrRS in anti-parasitic strategies.
PMID- 22068598
TI - Extrasynaptic vesicle recycling in mature hippocampal neurons.
AB - Fast neuronal signalling relies on highly regulated vesicle fusion and recycling
at specialized presynaptic terminals. Recently, examples of non-classical
neurotransmission have also been reported, where fusion of vesicles can occur at
sites remote from conventional synapses. This has potentially broad biological
implications, but the underlying mechanisms are not well established. Here we
show that a complete vesicle recycling pathway can occur at discrete axonal sites
in mature hippocampal neurons and that extrasynaptic fusion is a robust feature
of native tissue. We demonstrate that laterally mobile vesicle clusters
trafficking between synaptic terminals become transiently stabilized by evoked
action potentials and undergo complete but delayed Ca(2+)-dependent fusion along
axons. This fusion is associated with dynamic actin accumulation and,
subsequently, vesicles can be locally recycled, re-acidified and re-used.
Immunofluorescence and ultrastructural work demonstrates that extrasynaptic
fusion sites can have apposed postsynaptic specializations, suggesting that
mobile vesicle recycling may underlie highly dynamic neuron-neuron communication.
PMID- 22068599
TI - Modulation of rod photoreceptor output by HCN1 channels is essential for regular
mesopic cone vision.
AB - Retinal photoreceptors permit visual perception over a wide range of lighting
conditions. Rods work best in dim, and cones in bright environments, with
considerable functional overlap at intermediate (mesopic) light levels. At many
sites in the outer and inner retina where rod and cone signals interact, gap
junctions, particularly those containing Connexin36, have been identified.
However, little is known about the dynamic processes associated with the
convergence of rod and cone system signals into ON- and OFF-pathways. Here we
show that proper cone vision under mesopic conditions requires rapid adaptational
feedback modulation of rod output via hyperpolarization-activated and cyclic
nucleotide-gated channels 1. When these channels are absent, sustained rod
responses following bright light exposure saturate the retinal network, resulting
in a loss of downstream cone signalling. By specific genetic and pharmacological
ablation of key signal processing components, regular cone signalling can be
restored, thereby identifying the sites involved in functional rod-cone
interactions.
PMID- 22068600
TI - The plasma 5'-AMP acts as a potential upstream regulator of hyperglycemia in type
2 diabetic mice.
AB - Increased plasma free fatty acid (FFA) level is a hallmark of type 2 diabetes.
However, the underlying molecular basis for FFA-caused hyperglycemia remains
unclear. Here we identified plasma 5'-adenosine monophosphate (pAMP) markedly
elevated in the plasma of type 2 diabetic mice. High levels of FFAs induced
damage in vein endothelial cells and contributed to an increase in pAMP.
Administration of synthetic 5'-AMP caused hyperglycemia and impaired insulin
action in lean wild-type mice. 5'-AMP elevated blood glucose in mice deficient in
adenosine receptors with equal efficiency as wild-type mice. The function of pAMP
was initiated by the elevation of cellular adenosine levels, directly stimulating
G-6-Pase enzyme activity, attenuating insulin-dependent GLUT4 translocation in
skeletal muscle, and displaying a rapid and steep increase in blood glucose and a
decrease in hepatic glycogen level. It was followed by an increase in the gene
expression of hepatic Foxo1 and its targeting gene Pepck and G6Pase, which was
similar to diabetic phenotype in db/db mice. Our results suggest that pAMP is a
potential upstream regulator of hyperglycemia in type 2 diabetes.
PMID- 22068602
TI - Altered REDD1, myostatin, and Akt/mTOR/FoxO/MAPK signaling in streptozotocin
induced diabetic muscle atrophy.
AB - Type 1 diabetes, if poorly controlled, leads to skeletal muscle atrophy,
decreasing the quality of life. We aimed to search highly responsive genes in
diabetic muscle atrophy in a common diabetes model and to further characterize
associated signaling pathways. Mice were killed 1, 3, or 5 wk after
streptozotocin or control. Gene expression of calf muscles was analyzed using
microarray and protein signaling with Western blotting. We identified
translational repressor protein REDD1 (regulated in development and DNA damage
responses) that increased seven- to eightfold and was associated with muscle
atrophy in diabetes. The diabetes-induced increase in REDD1 was confirmed at the
protein level. This result was accompanied by the increased gene expression of
DNA damage/repair pathways and decreased expression in ATP production pathways.
Concomitantly, increased phosphorylation of AMPK and dephosphorylation of the
Akt/mTOR/S6K1/FoxO pathway of proteins were observed together with increased
protein ubiquitination. These changes were especially evident during the first 3
wk, along with the strong decrease in muscle mass. Diabetes also induced an
increase in myostatin protein and decreased MAPK signaling. These, together with
decreased serum insulin and increased serum glucose, remained altered throughout
the 5-wk period. In conclusion, diabetic myopathy induced by streptozotocin led
to alteration of multiple signaling pathways. Of those, increased REDD1 and
myostatin together with decreased Akt/mTOR/FoxO signaling are associated with
diabetic muscle atrophy. The increased REDD1 and decreased Akt/mTOR/FoxO
signaling followed a similar time course and thus may be explained, in part, by
increased expression of genes in DNA damage/repair and possibly also decrease in
ATP-production pathways.
PMID- 22068601
TI - Gestational-neonatal iron deficiency suppresses and iron treatment reactivates
IGF signaling in developing rat hippocampus.
AB - Gestational-neonatal iron deficiency, a common micronutrient deficiency affecting
the offspring of more than 30% of pregnancies worldwide, leads to long-term
cognitive and behavioral abnormalities. Preclinical models of gestational
neonatal iron deficiency result in reduced energy metabolism and expression of
genes critical for neuronal plasticity and cognitive function, which are
associated with a smaller hippocampal volume and abnormal neuronal dendrite
growth. Because insulin-like growth factor (IGF) modulates early postnatal
cellular growth, differentiation, and survival, we used a dietary-induced rat
model to assess the effects of gestational iron deficiency on activity of the IGF
system. We hypothesized that gestational iron deficiency attenuates postnatal
hippocampal IGF signaling and results in downstream effects that contribute to
hippocampal anatomic and functional deficits. At postnatal day (P) 15 untreated
gestational-neonatal iron deficiency markedly suppressed hippocampal IGF
activation and protein kinase B signaling, and reduced neurogenesis, while
elevating extracellular signal-regulated kinase 1/2 signaling and hypoxia
inducible factor-1alpha expression. Iron treatment beginning at P7 restored IGF
signaling, increased neurogenesis, and normalized all parameters by the end of
rapid hippocampal differentiation (P30). Expression of the neuron-specific
synaptogenesis marker, disc-large homolog 4 (PSD95), increased more rapidly than
the glia-specific myelination marker, myelin basic protein, following iron
treatment, suggesting a more robust response to iron therapy in IGF-I-dependent
neurons than IGF-II-dependent glia. Collectively, our findings suggest that IGF
dysfunction is in part responsible for hippocampal abnormalities in untreated
iron deficiency. Early postnatal iron treatment of gestational iron deficiency
reactivates the IGF system and promotes neurogenesis and differentiation in the
hippocampus during a critical developmental period.
PMID- 22068603
TI - Myofibrillar distribution of succinate dehydrogenase activity and lipid stores
differs in skeletal muscle tissue of paraplegic subjects.
AB - Lack of physical activity has been related to an increased risk of developing
insulin resistance. This study aimed to assess the impact of chronic muscle
deconditioning on whole body insulin sensitivity, muscle oxidative capacity, and
intramyocellular lipid (IMCL) content in subjects with paraplegia. Nine subjects
with paraplegia and nine able-bodied, lean controls were recruited. An oral
glucose tolerance test was performed to assess whole body insulin sensitivity.
IMCL content was determined both in vivo and in vitro using (1)H-magnetic
resonance spectroscopy and fluorescence microscopy, respectively. Muscle biopsy
samples were stained for succinate dehydrogenase (SDH) activity to measure muscle
fiber oxidative capacity. Subcellular distributions of IMCL and SDH activity were
determined by defining subsarcolemmal and intermyofibrillar areas on histological
samples. SDH activity was 57 +/- 14% lower in muscle fibers derived from subjects
with paraplegia when compared with controls (P < 0.05), but IMCL content and
whole body insulin sensitivity did not differ between groups. In muscle fibers
taken from controls, both SDH activity and IMCL content were higher in the
subsarcolemmal region than in the intermyofibrillar area. This typical
subcellular SDH and IMCL distribution pattern was lost in muscle fibers collected
from subjects with paraplegia and had changed toward a more uniform distribution.
In conclusion, the lower metabolic demand in deconditioned muscle of subjects
with paraplegia results in a significant decline in muscle fiber oxidative
capacity and is accompanied by changes in the subcellular distribution patterns
of SDH activity and IMCL. However, loss of muscle activity due to paraplegia is
not associated with substantial lipid accumulation in skeletal muscle tissue.
PMID- 22068605
TI - Validity of particle size analysis techniques for measurement of the attrition
that occurs during vacuum agitated powder drying of needle-shaped particles.
AB - Analysis of needle-shaped particles of cellobiose octaacetate (COA) obtained from
vacuum agitated drying experiments was performed using three particle size
analysis techniques: laser diffraction (LD), focused beam reflectance
measurements (FBRM) and dynamic image analysis. Comparative measurements were
also made for various size fractions of granular particles of microcrystalline
cellulose. The study demonstrated that the light scattering particle size methods
(LD and FBRM) can be used qualitatively to study the attrition that occurs during
drying of needle-shaped particles, however, for full quantitative analysis, image
analysis is required. The algorithm used in analysis of LD data assumes the
scattering particles are spherical regardless of the actual shape of the
particles under evaluation. FBRM measures a chord length distribution (CLD)
rather than the particle size distribution (PSD), which in the case of needles is
weighted towards the needle width rather than their length. Dynamic image
analysis allowed evaluation of the particles based on attributes of the needles
such as length (e.g. the maximum Feret diameter) or width (e.g. the minimum Feret
diameter) and as such, was the most informative of the techniques for the
analysis of attrition that occurred during drying.
PMID- 22068604
TI - Ghrelin-mediated sympathoinhibition and suppression of inflammation in sepsis.
AB - Sepsis, a systemic inflammatory response to infection, continues to carry a high
mortality despite advances in critical care medicine. Elevated sympathetic nerve
activity in sepsis has been shown to contribute to early hepatocellular
dysfunction and subsequently multiple organ failure, resulting in a poor
prognosis, especially in the elderly. Thus, suppression of sympathetic nerve
activity represents a novel therapeutic option for sepsis. Ghrelin is a 28-amino
acid peptide shown to inhibit sympathetic nerve activity and inflammation in
animal models of tissue injury. Age-related ghrelin hyporesponsiveness has also
been shown to exacerbate sepsis. However, the mechanistic relationship between
ghrelin-mediated sympathoinhibition and suppression of inflammation remains
poorly understood. This review assesses the therapeutic potential of ghrelin in
sepsis in the context of the neuroanatomical and molecular basis of ghrelin
mediated suppression of inflammation through inhibition of central sympathetic
outflow.
PMID- 22068606
TI - Chemo-enzymatic syntheses of drimane-type sesquiterpenes and the fundamental core
of hongoquercin meroterpenoid by recombinant squalene-hopene cyclase.
AB - Squalene-hopene cyclase (SHC) converts squalene (C(30)) into pentacyclic
triterpenes of hopene and hopanol. A linear sesquiterpene, (6E,10E)-2,6,10
trimethyldodeca-2,6,10-triene, underwent cyclization catalyzed by SHC, affording
the following six bicyclic sesquiterpenes (drimane skeleton) in relatively high
yield (68%): drim-7(8)-ene, drim-8(12)-ene, drim-8(9)-ene, driman-8alpha-ol,
driman-8beta-ol, and the novel sesquiterpene, named quasiclerodane, the skeleton
of which is analogous to that of clerodane diterpene. To extend the scope of the
enzymatic syntheses, acyclic sesquiterpenes to which a phenol moiety was appended
were subjected to the enzymatic reaction catalyzed by SHC. The cyclic meroterpene
core present in hongoquercins A and B was successfully prepared. The formation
mechanisms of drimane-type sesquiterpenes and the cyclic meroterpene core of
hongoquercins A and B are discussed.
PMID- 22068607
TI - Off-pump versus on-pump coronary artery bypass surgery in patients aged 80 years
and older: institutional results and meta-analysis.
AB - Patients aged >=80 years are at high risk of adverse events after coronary artery
bypass grafting. This study was performed to evaluate whether off-pump coronary
artery bypass surgery (OPCAB) is superior to conventional surgery (CCAB) in these
high-risk patients. The outcome of 185 patients aged >=80 years who underwent
OPCAB or CCAB at our institution was reviewed and a meta-analysis on this issue
was performed. Similar immediate postoperative results were observed after OPCAB
and CCAB at our institution, despite significantly different operative risk (mean
logistic EuroSCORE, OPCAB 20.3% vs CCAB 13.4%, P = 0.003). Among 56 propensity
score matched pairs a trend toward lower postoperative stroke (0%, 95% CI 0-0 vs
3.6%, 95% CI 0-10.0, P = 0.50) was observed after OPCAB. No significant
differences were observed in the other outcome end points. Five-year survival was
81.0% after OPCAB and 78.1% after CCAB (P = 0.239). Pooled analysis of eight
studies including 3416 patients showed a significantly higher risk of
postoperative stroke after CCAB (pooled rates: 4.2%, 95% confidence interval (95%
CI) 2.4-7.1 vs 1.5%, 95% CI 0.9-2.5, risk ratio (RR) 2.15, 95% CI 1.17-3.96, P =
0.01). A trend toward higher immediate postoperative mortality was observed after
CCAB (15 studies including 4409 patients, pooled rates: 6.5%, 95% CI 5.2-8.0 vs
5.6%, 95% CI 4.2-7.4, RR 1.29, 95% CI 0.86-1.93, P = 0.21). Generic inverse
variance analysis showed similar intermediate survival after CCAB and OPCAB (RR
1.31, 95% CI 0.85-2.01, P = 0.22). At 2 years, survival was 82.8% (95% CI 76.4
89.2) after CCAB and 88.3% (95% CI 82.9-93.7) after OPCAB. Current results
indicate that OPCAB compared with CCAB in patients aged >=80 years is associated
with significantly lower postoperative stroke and with a trend toward better
early survival. However, suboptimal quality of the available studies,
particularly the lack of comparability of the study groups, prevents conclusive
results on this controversial issue.
PMID- 22068608
TI - Intra-aortic balloon pumping reduces the increased arterial load caused by acute
cardiac depression, modifying central and peripheral load determinants in a time-
and flow-related way.
AB - The mechanisms that explain intra-aortic balloon pumping (IABP) effects are not
completely understood, and attributing them only to pressure-associated changes
in cardiac function would be an oversimplification. Since IABP modifies the
aortic and systemic blood-flow pattern, flow-related effects could be expected.
To characterize effects of acute heart failure (AHF) on the arterial
biomechanics; IABP effects on the arterial biomechanics during AHF, and their
potential time-dependence; the association between hemodynamics and biomechanical
changes during AHF and IABP. Sheep (n = 6) aortic pressure, flow, and diameter
were measured: (1) before (Basal) and (2) 1-3 (HF(1-3)) and 28-30 (HF(28-30)) min
after starting halothane to induce AHF; and (3) at specific times (1-3, 14-15 and
28-30 min) during IABP assistance. Calculus: aortic characteristic impedance
(Z(c)), beta stiffness (beta), incremental (E(INC)) and pressure-strain elastic
modulus (E(P)); total arterial compliance (C(G)), total systemic vascular
resistance and wave propagation parameters. (1) AHF resulted in an acute increase
in aortic and systemic stiffness (HF(28-30) % changes with respect to Basal
conditions: beta +217%, E (P) +143%, E(INC) +101%, Z(c) +52%, C(G) -13%),
associated with the reduction in the aortic blood flow; (2) during AHF IABP
resulted in acute beneficial changes aortic and systemic biomechanics (% changes
in IABP(1-3) with respect HF(28-30): beta -62%, E(P) -68%, E (INC) -66%, Z(c)
38%, C(G) 66%), and in wave propagation parameters, (3) IABP-related changes were
time-dependent and associated with changes in aortic blood flow. Aortic and
systemic biomechanical and impedance properties are detrimentally modified during
AHF, being the changes rapidly reverted during IABP. IABP-related beneficial
changes in arterial biomechanics were time-dependent and associated with IABP
capability to increase blood flow.
PMID- 22068609
TI - Multivessel percutaneous coronary intervention in patients with stable angina: a
common approach? Lessons learned from the EHS PCI registry.
AB - The aim of this study was to evaluate clinical characteristics, procedural
details, and outcomes of patients undergoing elective multivessel percutaneous
coronary intervention (MV-PCI) in Europe. A total of 7113 patients with stable
coronary artery disease and at least two major epicardial vessels with >= 70%
stenosis were included in this analysis of the contemporary Euro Heart Survey PCI
registry. Patients undergoing MV-PCI (n = 3376, 47.5%) were compared with those
with single-vessel PCI (SV-PCI) (n = 3737, 52.5%). Patients with MV-PCI were more
likely to have congestive heart failure, whereas those with SV-PCI more often
suffered from noncardiac comorbidities. Hospital mortality (0.1% vs 0.3%) and the
incidence of nonfatal postprocedural myocardial infarction (1.0% vs 0.7%) were
low in patients with MV-PCI and SV-PCI. In the multivariate analysis, no
significant difference in the incidence of hospital death (odds ratio (OR) 0.44,
95% confidence interval (CI) 0.15-1.27) could be observed between the two groups.
However, the risk for postprocedural myocardial infarction (OR 1.57, 95% CI 0.93
2.67) tended to be higher among patients undergoing MV-PCI. Independent
determinants for performing MV-PCI were age, comorbidities, and coronary anatomy.
In Europe almost half of all patients with multivessel disease were treated with
MV-PCI. Hospital complications were low, but a trend toward a higher rate of
postprocedural myocardial infarctions was seen in patients with MV-PCI.
PMID- 22068610
TI - Homeostatic regulation of NCAM polysialylation is critical for correct synaptic
targeting.
AB - During development, axonal projections have a remarkable ability to innervate
correct dendritic subcompartments of their target neurons and to form regular
neuronal circuits. Altered axonal targeting with formation of synapses on
inappropriate neurons may result in neurodevelopmental sequelae, leading to
psychiatric disorders. Here we show that altering the expression level of the
polysialic acid moiety, which is a developmentally regulated, posttranslational
modification of the neural cell adhesion molecule NCAM, critically affects
correct circuit formation. Using a chemically modified sialic acid precursor (N
propyl-D: -mannosamine), we inhibited the polysialyltransferase ST8SiaII, the
principal enzyme involved in polysialylation during development, at selected
developmental time-points. This treatment altered NCAM polysialylation while NCAM
expression was not affected. Altered polysialylation resulted in an aberrant
mossy fiber projection that formed glutamatergic terminals on pyramidal neurons
of the CA1 region in organotypic slice cultures and in vivo. Electrophysiological
recordings revealed that the ectopic terminals on CA1 pyramids were functional
and displayed characteristics of mossy fiber synapses. Moreover, ultrastructural
examination indicated a "mossy fiber synapse"-like morphology. We thus conclude
that homeostatic regulation of the amount of synthesized polysialic acid at
specific developmental stages is essential for correct synaptic targeting and
circuit formation during hippocampal development.
PMID- 22068611
TI - The role of mammalian target of rapamycin (mTOR) in the regulation of pancreatic
beta-cell mass: implications in the development of type-2 diabetes.
AB - Type-2 diabetes mellitus (T2DM) is a disorder that is characterized by high blood
glucose concentration in the context of insulin resistance and/or relative
insulin deficiency. It causes metabolic changes that lead to the damage and
functional impairment of organs and tissues resulting in increased morbidity and
mortality. It is this form of diabetes whose prevalence is increasing at an
alarming rate due to the 'obesity epidemic', as obesity is a key risk factor in
the development of insulin resistance. However, the majority of individuals who
have insulin resistance do not develop diabetes due to a compensatory increase in
insulin secretion in response to an increase in insulin demand. This adaptive
response is sustained by an increase in both beta-cell function and mass.
Importantly, there is increasing evidence that the Serine/Threonine kinase
mammalian target of rapamycin (mTOR) plays a key role in the regulation of beta
cell mass and therefore likely plays a critical role in beta-cell adaptation.
Therefore, the primary focus of this review is to summarize our current
understanding of the role of mTOR in stimulating pancreatic beta-cell mass and
thus, in the prevention of type-2 diabetes.
PMID- 22068612
TI - NFkappaB and HIF display synergistic behaviour during hypoxic inflammation.
AB - The oxygen-sensitive transcription factor hypoxia inducible factor (HIF) is a key
regulator of gene expression during adaptation to hypoxia. Crucially, inflamed
tissue often displays regions of prominent hypoxia. Recent studies have shown HIF
signalling is intricately linked to that of the pro-inflammatory transcription
factor nuclear factor kappa B (NFkappaB) during hypoxic inflammation. We describe
the relative temporal contributions of each to hypoxia-induced inflammatory gene
expression and investigate the level of crosstalk between the two pathways using
a novel Gaussia princeps luciferase (Gluc) reporter system. Under the control of
an active promoter, Gluc is expressed and secreted into the cell culture media,
where it can be sampled and measured over time. Thus, Gluc constructs under the
control of either HIF or NFkappaB were used to resolve their temporal
transcriptional dynamics in response to hypoxia and to cytokine stimuli,
respectively. We also investigated the interactions between HIF and NFkappaB
activities using a construct containing the sequence from the promoter of the
inflammatory gene cyclooxygenase 2 (COX-2), which includes functionally active
binding sites for both HIF and NFkappaB. Finally, based on our experimental data,
we constructed a mathematical model of the binding affinities of HIF and NFkappaB
to their respective response elements to analyse transcriptional crosstalk. Taken
together, these data reveal distinct temporal HIF and NFkappaB transcriptional
activities in response to hypoxic inflammation. Furthermore, we demonstrate
synergistic activity between these two transcription factors on the regulation of
the COX-2 promoter, implicating a co-ordinated role for both HIF and NFkappaB in
the expression of COX-2 in hypoxic inflammation.
PMID- 22068614
TI - Lipid infiltration in the parotid glands: a clinical manifestation of metabolic
syndrome.
AB - BACKGROUND: The clinical features of lipid infiltration in the parotid glands
(LIPG) have not been studied. Monitoring of atomic-bomb survivors for late
effects of radiation exposure has provided the opportunity to review the clinical
findings of LIPG. METHODS: A total of 992 atomic-bomb survivors in Nagasaki,
Japan underwent lachrymal and salivary secretion tests and anthropometric,
biochemical, and abdominal ultrasonographic examinations between 2002 and 2004.
Among 465 subjects who had reduced tear and/or salivary excretion, 176 subjects
took a salivary magnetic resonance imaging (MRI) examination. RESULTS: LIPG was
detected in 53 of the 176 subjects who had salivary MRI. LIPG cases showed a
preponderance of females and fatty liver compared with the subjects without LIPG.
Age-and-sex-adjusted regression analysis revealed that body mass index (BMI), low
density lipoprotein cholesterol, triglycerides, hemoglobin A1c, and C-reactive
protein were higher, whereas high-density lipoprotein cholesterol and adiponectin
were lower, in the subjects with LIPG. Multivariate logistic regression analysis
showed that BMI and fatty liver were mutually associated with LIPG independently
from radiation dose. CONCLUSIONS: LIPG associated with BMI, fatty liver, and
coronary risk factors was a clinical manifestation of metabolic syndrome.
PMID- 22068615
TI - Role of the CAG repeat polymorphism of the androgen receptor gene in polycystic
ovary syndrome (PCOS).
AB - BACKGROUND: Polycystic ovary syndrome (PCOS) is a frequent heterogenic disorder
with a familial background. Androgenic effects, determining the clinical features
of the syndrome, are mediated by the androgen receptor (AR), whose activity is
modulated by a genetic polymorphism. We investigated the role of the CAG repeat
polymorphism of the androgen receptor in PCOS. METHODS: In the infertility unit
of a university clinic, 72 PCOS patients were compared with 179 ovulatory
controls undergoing a standardized diagnostic work-up. The number of CAG repeats
was determined by PCR, labelling with IR-800 and PAGE. X-chromosome inactivation
was assessed by a methylation-sensitive assay. RESULTS: Compared to controls,
PCOS patients displayed a shorter mean CAG repeat length, encoding for higher AR
activity (P=0.001). CAG repeat length correlated inversely with oligomenorrhea, a
central androgen dependent feature of the syndrome (P=0.005). In a binomial
regression analysis including BMI, LH and free testosterone, CAG repeat length
was identified as an independent risk factor for PCOS (P=0.002). CONCLUSIONS: The
CAG repeat polymorphism could constitute one of the genetic factors modulating
the syndrome's phenotype, contributing to its clinical heterogeneity and
associated metabolic consequences.
PMID- 22068613
TI - Cycling or not cycling: cell cycle regulatory molecules and adult neurogenesis.
AB - The adult brain most probably reaches its highest degree of plasticity with the
lifelong generation and integration of new neurons in the hippocampus and
olfactory system. Neural precursor cells (NPCs) residing both in the subgranular
zone of the dentate gyrus and in the subventricular zone of the lateral
ventricles continuously generate neurons that populate the dentate gyrus and the
olfactory bulb, respectively. The regulation of NPC proliferation in the adult
brain has been widely investigated in the past few years. Yet, the intrinsic cell
cycle machinery underlying NPC proliferation remains largely unexplored. In this
review, we discuss the cell cycle components that are involved in the regulation
of NPC proliferation in both neurogenic areas of the adult brain.
PMID- 22068617
TI - An Integrated Framework for Parameter-based Optimization of Scientific Workflows.
AB - Data analysis processes in scientific applications can be expressed as coarse
grain workflows of complex data processing operations with data flow dependencies
between them. Performance optimization of these workflows can be viewed as a
search for a set of optimal values in a multi-dimensional parameter space. While
some performance parameters such as grouping of workflow components and their
mapping to machines do not a ect the accuracy of the output, others may dictate
trading the output quality of individual components (and of the whole workflow)
for performance. This paper describes an integrated framework which is capable of
supporting performance optimizations along multiple dimensions of the parameter
space. Using two real-world applications in the spatial data analysis domain, we
present an experimental evaluation of the proposed framework.
PMID- 22068616
TI - Reduction of insulin signaling upregulates angiopoietin-like protein 4 through
elevated free fatty acids in diabetic mice.
AB - BACKGROUND: Angiopoietin-like protein 4 (Angptl4) is thought to cause an increase
in serum triglyceride levels. In the present study, we elucidated Angptl4
expression in the mouse models of type 1 and type 2 diabetes mellitus, and
investigated the possible mechanisms involved. METHODS: Type 1 diabetes was
induced in C57BL/6 J mice by treating them with streptozotocin (STZ). Type 2
diabetes was induced by feeding the mice a high-fat diet (HFD) for 18 weeks.
RESULTS: The levels of Angptl4 mRNA expression in liver, white adipose tissue
(WAT), and brown adipose tissue (BAT) were found to increase in the STZ diabetic
mice relative to control mice. This effect was attenuated by insulin
administration. In the HFD diabetic mice, the Angptl4 mRNA expression levels were
increased in liver, WAT, and BAT. Treatment with metformin for 4 weeks attenuated
the increased levels of Angptl4 mRNA. Fatty acids (FAs) such as palmitate and
linoleate induced Angptl4 mRNA expression in H4IIE hepatoma cells and 3T3-L1
adipocytes. Treatment with insulin but not metformin attenuated FA-induced
Angptl4 mRNA expression in H4IIE. Both insulin and metformin did not influence
the effect of FAs in 3T3-L1 cells. CONCLUSION: These observations demonstrated
that Angptl4 mRNA expression was increased through the elevated free FAs in
diabetic mice.
PMID- 22068618
TI - Stigmasterol-based novel low molecular weight/mass organic gelators.
AB - Conjugates consisting of stigmasterol and L-phenylalanine, interconnected through
short-chained dicarboxylic acyls by ester and amide bonds, respectively, were
synthesized as potential low molecular weight/mass organic gelators
(LMWGs/LMMGs). Their physico-chemical properties were subjected to investigation,
especially their ability to form gels reversibly based on changes of the
environmental conditions. Other self-assembly properties detectable by UV-VIS
traces were measured in systems consisting of two miscible solvents
(water/acetonitrile) with varying solvent ratios and using constant
concentrations of the studied compounds. Partition and diffusion coefficients and
solubility in water were calculated for the target conjugates. The conjugate 3a
was the only compound from this series capable of forming a gel in 1-octanol. All
three conjugates 3a-3c displayed supramolecular characteristics in the UV-VIS
spectra.
PMID- 22068619
TI - Synthesis and biological evaluation of 3-substituted-indolin-2-one derivatives
containing chloropyrrole moieties.
AB - Eighteen novel 3-substituted-indolin-2-ones containing chloropyrroles were
synthesized and their biological activities were evaluated. The presence of a
chlorine atom on the pyrrole ring was crucial to reduce cardiotoxicity. The
presence of a 2-(ethyl-amino)ethylcarbamoyl group as a substituent at the C-4'
position of the pyrrole enhanced the antitumor activities notably. IC50 values as
low as 0.32, 0.67, 1.19 and 1.22 MUM were achieved against non-small cell lung
cancer (A549), oral epithelial (KB), melanoma (K111) and large cell lung cancer
cell lines (NCI-H460), respectively.
PMID- 22068620
TI - Necessary health care and basic needs: health insurance plans and essential
benefits.
AB - According to HealthCare.gov, by improving access to quality health for all
Americans, the Affordable Care Act (ACA) will reduce disparities in health
insurance coverage. One way this will happen under the provisions of the ACA is
by creating a new health insurance marketplace (a health insurance exchange) by
2014 in which "all people will have a choice for quality, affordable health
insurance even if a job loss, job switch, move or illness occurs". This does not
mean that everyone will have whatever insurance coverage he or she wants. The
provisions of the ACA require that each of the four benefit categories of plans
(known as bronze, silver, gold and platinum) provides no less than the benefits
available in an "essential health benefits package". However, without a clear
understanding of what criteria must be satisfied for health care to be essential,
the ACA's requirement is much too vague and open to multiple, potentially
conflicting interpretations. Indeed, without such understanding, in the rush to
provide health insurance coverage to as many people as is economically feasible,
we may replace one kind of disparity (lack of health insurance) with another kind
of disparity (lack of adequate health insurance). Thus, this paper explores the
concept of "essential benefits", arguing that the "essential health benefits
package" in the ACA should be one that optimally satisfies the basic needs of the
people covered.
PMID- 22068621
TI - Obesity is associated with lower mortality risk in elderly diabetic subjects: the
Casale Monferrato study.
AB - The relationship between obesity and mortality in people with type 2 diabetes has
not been definitely assessed. We have examined this issue in a well-characterized
population-based cohort of Mediterranean diabetic people. Standardized
anthropometric data from the population-based Casale Monferrato Study have been
prospectively analyzed. The cohort included 1,475 people (62.6% aged >=65 years)
who had been recruited in 1991 and followed-up to December 31, 2006. Cox
proportional hazards modeling was employed to estimate the independent
associations between all-cause and cardiovascular mortality and BMI. Out of 1,475
people, 972 deaths occurred during a 15-year follow-up. Cox regression analyses
showed that with respect to BMI <24.2 kg/m(2), values of 30.0 kg/m(2) and over
were associated with lower all-cause and cardiovascular mortality risk (HR =
0.68, 95% CI 0.56-0.85, P for trend = 0.001; HR = 0.59, 0.44-0.80, P for trend =
0.002), independently of classical and new risk factors. As interaction between
age and BMI was significant, we performed a stratified analysis by age, providing
evidence that our finding was entirely due to a significant protective effect of
BMI of 30.0 kg/m(2) and over in the elderly (all-cause mortality HR = 0.75, 95%
CI 0.58-0.96; cardiovascular mortality HR = 0.67, 95% CI 0.45-0.95). In contrast,
obesity was not significantly associated with mortality risk in diabetic subjects
aged <65 years. Results were confirmed even excluding from the analysis
individuals who died within 2 years of follow-up, smokers and those with CHD. In
Mediterranean diabetic people aged >=65 years, obesity is significantly
associated with lower 15-year mortality risk. In contrast, it was not
significantly associated with mortality risk in diabetic subjects aged <65 years.
As more than two-thirds of people with type 2 diabetes are elderly, our findings,
if confirmed, could have clinical implications.
PMID- 22068622
TI - ACP1-ADA1 interaction in type 2 diabetes: a study in coronary artery disease.
PMID- 22068623
TI - Retinal heat shock protein 25 in early experimental diabetes.
AB - Diabetic retinopathy is the leading cause of blindness in adults, and oxidative
stress has been pathogenically associated with retinal neurodegeneration.
Cellular stresses induce expression of heat shock proteins (HSPs) and this
results in cytoprotection. Our aim was to assess retinal expression of HSP25 in
early experimental diabetes. Mice were rendered diabetic by streptozotocin
injection. Ten weeks after diabetes onset retinal HSP25 expression were studied
by real-time PCR, immunoblotting and immunohistochemistry (IHC). Expression of
nitrotyrosine and Cu/Zn superoxide dismutase (SOD), was assessed by IHC and
apoptosis by TUNEL. Retinal HSP25 mRNA and protein expression was significantly
increased in diabetic as compared to non-diabetic animals and localised
predominantly within the retinal ganglion cells (RGC) layer. This was paralleled
overexpression of nitrotyrosine and SOD and enhanced apoptosis. In early
experimental diabetes, HSP25 is overexpressed in the RGC layer in parallel with
markers of oxidative stress and apoptosis.
PMID- 22068624
TI - The collaborative role of molecular conformation and energetics in the binding of
gas-phase non-covalent polymer/amine complexes.
AB - A large series of similar non-covalent complexes were probed using ion mobility
spectrometry, molecular mechanics/molecular dynamics (MM/MD), electrospray-tandem
mass spectrometry (ESI-MS/MS) and RRKM theory in order to determine the effects
of charge state and charge location upon the conformation, the 0 K activation
energy (E(0)) and the entropy of activation (DeltaS(?)) of the dissociation of
these complexes. The non-covalent complexes consisted of poly(methylmethacrylate)
oligomers and singly and doubly charged diaminoalkanes of varying length. This
allowed for control of the charge separation within the complexes, as well as the
size of the complex. A destabilizing effect was observed in complexes containing
protons in close proximity, and/or short oligomers. Interestingly, a multiple
charge stabilizing effect was observed when charge sites were sufficiently
separated and/or when the polymer moiety of the complex was large. DeltaS(?)
values of doubly charged complexes showed a greater increase with increasing
polymer size in comparison to singly charged complexes. This entropic observation
is explained by structure, where IMS and MM/MD determined that the charge
location was the determining factor of the overall conformation of these
complexes and multiple charging resulted in more rigid arrangements. Dissociation
of a tightly bound complex is more entropically favorable than a loosely bound
complex. Also presented is a MM/MD refinement regime derived from IMS
measurements.
PMID- 22068625
TI - Arterial spin labeling of hemangioblastoma: differentiation from metastatic brain
tumors based on quantitative blood flow measurement.
AB - INTRODUCTION: Hemangioblastoma and metastatic tumors are the major differential
diagnoses for the posterior fossa tumors in adults. Our purpose was to evaluate
the efficacy of ASL in differentiating hemangioblastomas from metastatic brain
tumors. METHODS: A total of 19 patients including 5 with a hemangioblastomas and
14 with metastatic tumors (7 from lung cancer, 4 from breast cancer, 1 from RCC,
1 from gastric cancer, and 1 from unknown origin) were enrolled in this study.
ASL was performed using a pulsed ASL method at a 3-T unit. aTBF was measured as a
mean absolute blood flow value within a region of interest drawn in the tumor. In
addition, rTBF was obtained by normalizing the aTBF by a blood flow measured in
the normal-appearing cortical gray matter. The aTBF and rTBF values were compared
between hemangioblastomas and metastatic tumors using Student's t test. RESULTS:
Both the aTBF and rTBF values were significantly higher in hemangioblastomas
(mean aTBF +/- SD = 437 +/- 274 mL/100 g/min, mean rTBF +/- SD = 7.96 +/- 3.12)
in comparison with metastatic brain tumors (mean aTBF +/- SD = 125 +/- 134 mL/100
g/min, mean rTBF +/- SD = 2.98 +/- 3.91; p < 0.05, respectively). However, a
metastasis from RCC showed very high aTBF (559 mL/100 g/min) and rTBF (16.2).
CONCLUSION: Our results demonstrated that ASL provides useful information to
differentiate between hemangioblastomas and metastatic brain tumors. Metastasis
from RCC may mimic hemangioblastoma on ASL blood flow measurement.
PMID- 22068626
TI - Degradation of reactive dyes in a photocatalytic circulating-bed biofilm reactor.
AB - Decolorization and mineralization of reactive dyes by intimately coupled TiO2
photocatalysis and biodegradation (ICPB) on a novel TiO2-coated biofilm carrier
were investigated in a photocatalytic circulating-bed biofilm reactor (PCBBR).
Two typical reactive dyes--Reactive Black 5 (RB5) and Reactive Yellow 86 (RY86)-
showed similar first-order kinetics when being photocatalytically decolorized at
low pH (~4-5) in batch experiments. Photocatalytic decolorization was inhibited
at neutral pH in the presence of phosphate or carbonate buffer, presumably due to
electrostatic repulsion from negatively charged surface sites on TiO2, radical
scavenging by phosphate or carbonate, or both. Therefore, continuous PCBBR
experiments were carried out at a low pH (~4.5) to maintain high photocatalytic
efficiency. In the PCBBR, photocatalysis alone with TiO2-coated carriers could
remove target compound RB5 and COD by 97% and 47%, respectively. Addition of
biofilm inside macroporous carriers maintained a similar RB5 removal efficiency,
but COD removal increased to 65%, which is evidence of ICPB despite the low pH.
ICPB was further proven by finding microorganisms inside carriers at the end of
the PCBBR experiments. A proposed ICPB pathway for RB5 suggests that a major
intermediate, a naphthol derivative, was responsible for most of the residual
COD, while most of the nitrogen in the azo-bonds (-N=N-) was oxidized to N2.
PMID- 22068628
TI - An overview of letrozole in postmenopausal women with hormone-responsive breast
cancer.
AB - Third-generation aromatase inhibitors (AIs) have proven to be superior to
tamoxifen in terms of time to disease progression in patients with hormone
receptor (HR) positive (HR+) status and, nowadays, are used in the adjuvant and
neoadjuvant settings, and first-line therapy for advanced breast cancer.
Letrozole is a third generation AI, as are anastrozole and exemestane. In the
past, clinical studies had demonstrated that letrozole was effective as a second
line treatment of metastatic breast cancer. In this paper, pharmacokinetic and
pharmacodynamic properties of letrozole are reviewed along with its activity in
preclinical and clinical settings. Additionally, the results of important
clinical trials such as Breast International Group (BIG) 1-98, which tested the
optimal initial adjuvant endocrine treatment and the sequential therapy with
letrozole and tamoxifen, MA-17 that evaluates the benefits of extended adjuvant
therapy, and other important studies in advanced and neoadjuvant disease, are
reviewed. Safety comparisons of treatments are also addressed. Interestingly,
about 50% of human epidermal growth factor receptor 2-positive (HER2+) breast
cancers are HR+. However, HER2 positivity is a marker of antiestrogen treatment
resistance. Because of this, a dual treatment is a logical approach when both
receptors are overexpressed. The combination of lapatinib and letrozole leads to
a significant improvement in the overall disease outcome. Also, the combination
of everolimus plus letrozole has been tested in this setting. In fact, the
coadministration of both agents seems to increase the efficacy of letrozole in
newly-diagnosed HR+ patients. Once resistance to sequential trastuzumab and AI as
monotherapy has been found, trastuzumab and letrozole combined in HR+ and HER2+
patients with advanced breast cancer can overcome resistance to both drugs
administered as single agents, according to recently reported results.
PMID- 22068629
TI - Final report of the Amended Safety Assessment of PVM/MA copolymer and its related
salts and esters as used in cosmetics.
AB - Polyvinyl methyl ether/maleic acid (PVM/MA) copolymer, and its related salts and
esters, are used in cosmetics, mainly as binders, film formers, and hair
fixatives. Animal and human data relevant to the use of these ingredients in
cosmetic products were reviewed by the CIR Expert Panel. The Panel concluded that
these ingredients are safe for use in cosmetic products.
PMID- 22068630
TI - Annual Review of Cosmetic Ingredient Safety Assessments: 2007-2010.
PMID- 22068627
TI - Caenorhabditis elegans as an experimental tool for the study of complex
neurological diseases: Parkinson's disease, Alzheimer's disease and autism
spectrum disorder.
AB - The nematode Caenorhabditis elegans has a very well-defined and genetically
tractable nervous system which offers an effective model to explore basic
mechanistic pathways that might be underpin complex human neurological diseases.
Here, the role C. elegans is playing in understanding two neurodegenerative
conditions, Parkinson's and Alzheimer's disease (AD), and a complex neurological
condition, autism, is used as an exemplar of the utility of this model system. C.
elegans is an imperfect model of Parkinson's disease because it lacks orthologues
of the human disease-related genes PARK1 and LRRK2 which are linked to the
autosomal dominant form of this disease. Despite this fact, the nematode is a
good model because it allows transgenic expression of these human genes and the
study of the impact on dopaminergic neurons in several genetic backgrounds and
environmental conditions. For AD, C. elegans has orthologues of the amyloid
precursor protein and both human presenilins, PS1 and PS2. In addition, many of
the neurotoxic properties linked with Abeta amyloid and tau peptides can be
studied in the nematode. Autism spectrum disorder is a complex neurodevelopmental
disorder characterised by impairments in human social interaction, difficulties
in communication, and restrictive and repetitive behaviours. Establishing C.
elegans as a model for this complex behavioural disorder is difficult; however,
abnormalities in neuronal synaptic communication are implicated in the aetiology
of the disorder. Numerous studies have associated autism with mutations in
several genes involved in excitatory and inhibitory synapses in the mammalian
brain, including neuroligin, neurexin and shank, for which there are C. elegans
orthologues. Thus, several molecular pathways and behavioural phenotypes in C.
elegans have been related to autism. In general, the nematode offers a series of
advantages that combined with knowledge from other animal models and human
research, provides a powerful complementary experimental approach for
understanding the molecular mechanisms and underlying aetiology of complex
neurological diseases.
PMID- 22068631
TI - Adding to the mix: integrating ELSI into a National Nanoscale Science and
Technology Center.
AB - This paper describes issues associated with integrating the study of Ethical,
Legal and Social Issues (ELSI) into ongoing scientific and technical research and
describes an approach adopted by the authors for their own work with the center
for nanophase materials sciences (CNMS) at the Oak Ridge national laboratory
(ORNL). Four key questions are considered: (a) What is ELSI and how should it
identify and address topics of interest for the CNMS? (b) What advantages accrue
to incorporating ELSI into the CNMS? (c) How should the integration of ELSI into
the CNMS take place? (d) How should one judge the effectiveness of the activity?
We conclude that ELSI research is not a monolithic body of knowledge, but should
be adapted to the question at hand. Our approach focuses on junctures in the R&D
continuum at which key decisions occur, avoids topics of a purely ethical nature
or advocacy, and seeks to gather data in ways that permit testing the validity of
generalization. Integrating ELSI into the CNMS allows dealing with topics firmly
grounded in science, offers concrete examples of potential downstream
applications and provides access to the scientists using the CNMS and their
insights and observations. As well, integration provides the opportunity for R&D
managers to benefit from ELSI insights and the potential to modify R&D agendas.
Successful integration is dependent on the particular ELSI question set that
drives the project. In this case questions sought to identify key choices,
information of value to scientists, institutional attributes, key attributes of
the CNMS culture, and alternatives for communicating results. The opportunity to
consult with scientists on ELSI implications is offered, but not promoted.
Finally, ELSI effectiveness is judged by observing the use to which research
products are put within the CNMS, ORNL, and the community of external scholars.
PMID- 22068632
TI - Engagement agents in the making: on the front lines of socio-technical
integration : commentary on: "Constructing productive engagement: pre-engagement
tools for emerging technologies".
AB - This commentary builds on Haico te Kulve and Arie Rip's (2011) notion of
"engagement agents," individuals that must be able to move between multiple
dimensions, or "levels" of research, innovation, and policy processes. The
commentary compares and contrasts the role of the engagement agent within the
Constructive Technology Assessment and integration approaches, and suggests that
on-site integration research represents one way to transform both social and
natural scientists into competent and informed "engagement agents," a new
generation of researchers that possess the knowledge and capacities to forge
"novel linkages" between the oftentimes disparate terrains of science, politics,
and policy.
PMID- 22068633
TI - Cell signalling: mTOR targets its own inhibitor.
PMID- 22068634
TI - Evaluation of two Indian native chicken breeds for reproduction traits and
heritability of juvenile growth traits.
AB - The present study was conducted to evaluate two Indian native chicken breeds,
namely, Aseel and Kadaknath for fertility, hatchability, genetic parameters of
juvenile growth traits, and semen quality traits at the onset of sexual maturity.
The fertility was similar in Aseel (86.96%) and Kadaknath (85.15%); however, a
relatively higher hatchability was observed in Kadaknath (77.94%) than Aseel
(70.74%). Heritability estimates of body weights at 4 weeks of age were almost
similar in Aseel (0.37) and Kadaknath (0.39), while the estimate of body weight
at 6 weeks of age was higher in Aseel (0.42) than Kadaknath (0.31). The
heritability estimate of shank length at 6 weeks of age was lower in Aseel (0.16)
compared to Kadaknath (0.35). The age at first egg in the flock was comparable in
Aseel (148 days) and Kadaknath (150 days). Aseel breed with significantly (P <=
0.001) higher body weight, absolute and relative testes weights had significantly
higher semen volume (P <= 0.05) and sperm motility (P <= 0.01) but had lower
seminal plasma cholesterol level (P <= 0.05) as compared to Kadaknath. It can be
concluded that there is a scope for genetic improvement of these two native
breeds for juvenile growth traits since heritability estimates of these traits
were relatively high.
PMID- 22068635
TI - Identification of Pasteurella multocida capsular types isolated from rabbits and
other domestic animals in Mexico with respiratory diseases.
AB - Pasteurella multocida is the causative agent of pasteurellosis, a major disease
in most domestic animals and livestock. In this study, a total of 34 isolates of
P. multocida from rabbits and other domestic animals from Mexico with respiratory
diseases underwent polymerase chain reaction-based capsular typing. One sheep
isolate was found to belong to capsular serogroup D, whereas the rest of the
rabbit, sheep, cattle, pig, goat, and duck isolates belonged to capsular
serogroup A of P. multocida. This is the first report of capsular type A in P.
multocida isolates from rabbits and duck origin in Mexico.
PMID- 22068637
TI - The fiscal outcome of artificial conception in Brazil--creating citizens in
developing countries.
AB - BACKGROUND: Infertility is an important health issue, but only a small fraction
of the affected population receives treatment in Brazil, because it is not
covered by the government or private health insurance plans. We developed a
generational accounting-based mathematical model to assess the direct economic
result of creating a citizen through IVF in different economic scenarios, and the
potential economic benefit generated by the individual and his/her future
offspring. METHODS: A mathematical model analyzes the revenues and expenses of an
IVF-conceived individual over his lifetime. We calculated the net present value
(NPV) of an IVF-conceived citizen, and this value corresponds to the fiscal
contribution to the government by an individual, from birth through his predicted
life expectancy. The calculation used discount rates of 4.0 and 7.0% to
depreciate the money value by time. RESULTS: A 4.0% discount rate represents the
most favorable economic scenario in Brazil, and it results in an NPV of US$ 61
428. A 7.0% discount rate represents a less favorable economic reality, and it
results in a debit of U$ 563, but this debt may be compensated by his/her future
offspring. CONCLUSIONS: The fiscal contribution generated by each IVF-conceived
citizen can justify an initial government investment in infertility treatment.
Poor economic times in Brazil can sometimes result in a fiscal debt from each new
IVF-conceived child, but this initial expenditure may be compensated by the
fiscal contribution in the next generation.
PMID- 22068636
TI - Seroprevalence of porcine reproductive and respiratory syndrome, Aujeszky's
disease, and porcine parvovirus in replacement gilts in Thailand.
AB - The present study investigated the seroprevalence of porcine reproductive and
respiratory syndrome virus, Aujeszky's disease virus (ADV), and porcine
parvovirus (PPV) in replacement gilts from selected five swine herds in Thailand.
The study consisted of three parts. First, a retrospective data analysis on the
seroprevalence of porcine reproductive and respiratory syndrome virus (PRRSV) and
ADV glycoprotein I (gI) in gilts, sows, boars, nursery, and fattening pigs in
five herds (n = 7,030). Second, a cross-sectional study on seroprevalence of
PRRSV, ADV, and PPV (n = 200) in replacement gilts. Last, the seroprevalence of
PRRSV, ADV, and PPV in gilts culled due to reproductive failure (n = 166). Across
the herds, the seroprevalence of PRRSV and ADV was 79.3% and 5.3%, respectively.
The cross-sectional study revealed that 87.5%, 4.0%, and 99.0% of the replacement
gilts were infected with PRRSV, ADV, and PPV, respectively. In the gilts culled
due to reproductive failure, the seroprevalence of PRRSV, ADV, and PPV was 73.5%,
28.3%, and 86.0%, respectively. Of these culled gilts, 75.5% had been infected
with at least two viruses and 18.9% had been infected with all three viruses. It
could be concluded that most of the replacement gilts were exposed to PRRSV
(84%), PPV (97%), and ADV (4%) before entering the breeding house. PPV was an
enzootic disease among the selected herds. The prevalence of ADV was higher in
gilts culled due to reproductive disturbance than in the healthy gilts.
PMID- 22068638
TI - Non-invasive metabolomic profiling of Day 2 and 5 embryo culture medium: a
prospective randomized trial.
AB - BACKGROUND: Near infrared (NIR) spectroscopy is a technology proposed to
facilitate non-invasive screening for the most optimal human embryo for uterine
transfer. It has been proposed that the NIR spectral profile of an embryo's spent
culture medium can be used to generate a viability score that correlates to
implantation potential. As the initial proof of principle studies were all
retrospective, our aim was to investigate whether NIR spectroscopy on spent
embryo culture medium in an on-site, prospective setting could improve the
ongoing single embryo transfer (SET) pregnancy rate after Day 2 and 5 transfers.
METHODS: We conducted a single-centre, double-blinded, randomized controlled
trial in which the NIR group was compared with a control group. The primary
outcome was the clinical pregnancy rate after 6-7 weeks of gestation per
randomized patient. In the control group embryo selection was based only on
traditional morphological evaluation while in the treatment group NIR
spectroscopy was added to the morphological evaluation. RESULTS: The study was
terminated early as the analysis of the Data Safety Monitoring Board showed a
very low conditional power of superiority for the primary outcome. Of the 752
patients calculated to be included in the study, 164 and 163 patients were
randomized into the NIR and control groups, respectively. No significant
difference in the ongoing pregnancy rate per randomized patient was found between
the NIR and the control group, 34.8 versus 35.6%, (P= 0.97). The proportional
difference between the study groups mean was -0.8% (95% confidence interval -11.4
to 10.2). CONCLUSIONS: This study shows that adding NIR spectroscopy, in its
present form, to embryo morphology does not improve the chance of a viable
pregnancy when performing SET. The NIR technology appears to need further
development before it can be used as an objective marker of embryo viability.
CLINICAL TRIALS IDENTIFIER: ISRCTN23817363.
PMID- 22068639
TI - Integrated ecological risk assessment of pesticides in tropical ecosystems: a
case study with carbofuran in Brazil.
AB - The aim of the present study is to contribute an ecologically relevant assessment
of the ecotoxicological effects of pesticide applications in agricultural areas
in the tropics, using an integrated approach with information gathered from soil
and aquatic compartments. Carbofuran, an insecticide/nematicide used widely on
sugarcane crops, was selected as a model substance. To evaluate the toxic effects
of pesticide spraying for soil biota, as well as the potential indirect effects
on aquatic biota resulting from surface runoff and/or leaching, field and
laboratory (using a cost-effective simulator of pesticide applications) trials
were performed. Standard ecotoxicological tests were performed with soil (Eisenia
andrei, Folsomia candida, and Enchytraeus crypticus) and aquatic (Ceriodaphnia
silvestrii) organisms, using serial dilutions of soil, eluate, leachate, and
runoff samples. Among soil organisms, sensitivity was found to be E. crypticus <
E. andrei < F. candida. Among the aqueous extracts, mortality of C. silvestrii
was extreme in runoff samples, whereas eluates were by far the least toxic
samples. A generally higher toxicity was found in the bioassays performed with
samples from the field trial, indicating the need for improvements in the
laboratory simulator. However, the tool developed proved to be valuable in
evaluating the toxic effects of pesticide spraying in soils and the potential
risks for aquatic compartments.
PMID- 22068640
TI - Targeting GSTP1-1 induces JNK activation and leads to apoptosis in cisplatin
sensitive and -resistant human osteosarcoma cell lines.
AB - The effect of the glutathione transferase P1-1 (GSTP1-1) targeting has been
investigated in both sensitive (U-2OS) and cisplatin-resistant (U-2OS/CDDP4 MUg)
human osteosarcoma cell lines. Despite the different enzyme's content, inhibition
of GSTP1-1 by 6-(7-nitro-2,1,3-benzoxadiazol-4-ylthio)hexanol (NBDHEX) causes the
activation of c-Jun N-terminal kinase (JNK) and apoptosis in both cell lines.
However, different time courses of JNK activation and cell responses are
observed. Whereas in the U-2OS/CDDP4 MUg cell line drug treatment results in an
early increase of caspase activity and secondary necrosis, in the U-2OS cells it
mainly causes an early cell cycle arrest followed by apoptosis. In order to
elucidate the action mechanism of NBDHEX we performed a proteomic investigation
by label-free nLC-MS(E). The high-throughput analysis associated with a
bioinformatic tool suggested the involvement of the TNF receptor associated
factor (TRAF) family in the cellular response to the drug treatment. We report
experimental evidence of the interaction between GSTP1-1 and TRAF2 and we
demonstrate that NBDHEX is able to dissociate the GSTP1-1 : TRAF2 complex. This
restores the TRAF2 : ASK1 signaling, thereby leading to the simultaneous and
prolonged activation of JNK and p38. These mitogen-activated protein kinases
(MAPKs) mediate different effects: JNK is crucial for apoptosis, whereas p38
causes an increase in the p21 level and a concomitant cell cycle arrest. Our
study shows that GSTP1-1 plays an important regulatory role in TRAF signaling of
osteosarcoma and discloses new features of the action mechanism of NBDHEX that
suggest potentially practical consequences of these findings.
PMID- 22068641
TI - Mono thiomalonates as thioester enolate equivalents--enantioselective 1,4
addition reactions to nitroolefins under mild conditions.
AB - Mono thiomalonates (MTMs) are introduced as thioester enolate equivalents.
Asymmetric organocatalyzed conjugate addition reactions to nitroolefins proceed
under mild conditions to afford synthetically useful gamma-nitrothioesters with
excellent yields and enantioselectivities.
PMID- 22068642
TI - Craniofacial reconstruction as a treatment for elevated intracranial pressure.
AB - INTRODUCTION: Craniofacial procedures may be needed to address symptomatic
intracranial hypertension. The authors review their institutional experience in
the treatment of children with symptomatic increased intracranial pressure (ICP)
utilizing craniofacial reconstructive procedures. METHODS: The senior authors'
(HSM, SRC) craniofacial experience of 222 patients over a 7-year period from 2000
to 2007 at a single institution (Rady Children's Hospital, San Diego) is
reviewed. Seventeen patients were identified who were felt to be candidates for
craniofacial surgery with symptomatic increased ICP. RESULTS: Patient diagnoses
included single-suture craniosynostosis, craniofacial dysostoses, shunt-induced
craniostenosis, and shunt-associated intracranial hypertension (slit-ventricle
syndrome). Seventeen patients underwent 21 craniofacial procedures. Age at
surgery ranged from 3 months to 13 years with a mean of 5 years. Preoperative
symptoms and signs included headaches, unexplained irritability, seizures,
papilledema, and visual loss. All patients had diagnostic neuroimaging. Seven
patients had preoperative invasive ICP measurements. Surgery was deferred on
three of these patients based on these measurements. The mean total operative
(including anesthetic preparation) and surgical times were 3 h 12 min and 2 h 20
min, respectively. Percentage operative blood loss averaged 11.3%. In six
procedures, no transfusions were required. Average hospital stay was 4 days.
There was no perioperative mortality or significant surgery associated morbidity.
All patients have had postoperative clinical improvement in signs and symptoms of
increased ICP. CONCLUSIONS: Using modern diagnostic and surgical techniques,
including invasive ICP monitoring, increased intracranial pressure can be
successfully managed by an experienced, multidisciplinary, craniofacial team. Our
treatment paradigm and operative management scheme is discussed.
PMID- 22068643
TI - Eosinophilic enteritis presenting as a perforated duodenal ulcer.
PMID- 22068644
TI - Massive mucinous discharge from a fistula caused by intraductal papillary
mucinous neoplasm diagnosed by endoscopic ultrasound.
PMID- 22068645
TI - A case of propofol dependence after repeated use for endoscopy.
PMID- 22068646
TI - Pancreatic cystic neoplasm presenting as a large gastric ulcer.
PMID- 22068647
TI - Coil migration into the common bile duct after embolization of a hepatic
artery pseudoaneurysm.
PMID- 22068648
TI - Endoscopic sphincterotomy using a stabilizer-attached sphincterotome in
Billroth II anatomy.
PMID- 22068649
TI - Arytenoid dislocation following upper gastrointestinal endoscopy.
PMID- 22068650
TI - Gastroparesis following endoscopic submucosal dissection for early gastric
cancer.
PMID- 22068651
TI - Endoscopic retrieval of a migrated stent after endoscopic ultrasound-guided
choledochoduodenostomy.
PMID- 22068652
TI - Endoscopic removal of a gastric bezoar consisting of self-expanding spray
foam used for insulating window frames.
PMID- 22068653
TI - Nodal metastasis after successful endoscopic submucosal dissection for
colorectal mucosal cancer.
PMID- 22068654
TI - Indoleamine 2,3-dioxygenase expression in human cancers: clinical and immunologic
perspectives.
AB - Indoleamine 2,3-dioxygenase (IDO) is a tryptophan-catabolizing enzyme with immune
regulating activities in many contexts, such as fetal protection, allograft
protection, and cancer progression. Clinical trials are currently evaluating IDO
inhibition with 1-methyltryptophan in cancer immunotherapy. However, the exact
role of tryptophan catabolism by IDO in human cancers remains poorly understood.
Here, we review several studies that correlate IDO expression in human cancer
samples and tumor-draining lymph nodes, with relevant clinical or immunologic
parameters. IDO expression in various histologic cancer types seems to decrease
tumor infiltration of immune cells and to increase the proportion of regulatory T
lymphocytes in the infiltrate. The impact of IDO on different immune cell
infiltration leads to the conclusion that IDO negatively regulates the
recruitment of antitumor immune cells. In addition, increased IDO expression
correlates with diverse tumor progression parameters and shorter patient
survival. In summary, in the vast majority of the reported studies, IDO
expression is correlated with a less favorable prognosis. As we may see results
from the first clinical trials with 1-methyltryptophan in years to come, this
review brings together IDO studies from human studies and aims to help appreciate
outcomes from current and future trials. Consequently, IDO inhibition seems a
promising approach for cancer immunotherapy.
PMID- 22068655
TI - MAGE: the spell is broken.
AB - The success of immunotherapy in Hodgkin lymphoma is hampered partly by limited
expression of tumor-specific antigens in the malignant cells. One strategy to
increase tumor immunogenicity may be to enhance the expression of Hodgkin
lymphoma-specific antigens such as MAGE-A4 using epigenetic-modifying drugs in
combination with cancer testis antigen-specific immunotherapy.
PMID- 22068656
TI - A pilot study of MUC-1/CEA/TRICOM poxviral-based vaccine in patients with
metastatic breast and ovarian cancer.
AB - PURPOSE: PANVAC is a recombinant poxviral vaccine that contains transgenes for
MUC-1, CEA, and 3 T-cell costimulatory molecules. This study was conducted to
obtain preliminary evidence of clinical response in metastatic breast and ovarian
cancer patients. EXPERIMENTAL DESIGN: Twenty-six patients were enrolled and given
monthly vaccinations. Clinical and immune outcomes were evaluated. RESULTS: These
patients were heavily pretreated, with 21 of 26 patients having 3 or more prior
chemotherapy regimens. Side effects were largely limited to mild injection-site
reactions. For the 12 breast cancer patients enrolled, median time to progression
was 2.5 months (1-37+) and median overall survival was 13.7 months. Four patients
had stable disease. One patient had a complete response by RECIST and remained on
study for 37 months or more, with a significant drop in serum interleukin (IL)-6
and IL-8 by day 71. Another patient with metastatic disease confined to the
mediastinum had a 17% reduction in mediastinal mass and was on study for 10
months. Patients with stable or responding disease had fewer prior therapies and
lower tumor marker levels than patients with no evidence of response. For the
ovarian cancer patients (n = 14), the median time to progression was 2 months (1
6) and median overall survival was 15.0 months. Updated data are presented here
for one patient treated with this vaccine in a previous trial, with a time to
progression of 38 months. CONCLUSIONS: Some patients who had limited tumor burden
with minimal prior chemotherapy seemed to benefit from the vaccine. Further
studies to confirm these results are warranted.
PMID- 22068657
TI - Tumor-derived autophagosome vaccine: mechanism of cross-presentation and
therapeutic efficacy.
AB - PURPOSE: We previously reported that autophagy in tumor cells plays a critical
role in cross-presentation of tumor antigens and that autophagosomes are
efficient antigen carriers for cross-priming of tumor-reactive CD8(+) T cells.
Here, we sought to characterize further the autophagosome-enriched vaccine named
DRibble (DRiPs-containing blebs), which is derived from tumor cells after
inhibition of protein degradation, and to provide insights into the mechanisms
responsible for their efficacy as a novel cancer immunotherapy. EXPERIMENTAL
DESIGN: DRibbles were characterized by Western blot and light or transmission
electron microscopy. The efficiency of cross-presentation mediated by DRibbles
was first compared with that of whole-tumor cells and pure proteins. The
mechanisms of antigen cross-presentation by DRibbles were analyzed, and the
antitumor efficacy of the DRibble vaccine was tested in 3LL Lewis lung tumors and
B16F10 melanoma. RESULTS: The DRibbles sequester both long-lived and short-lived
proteins, including defective ribosomal products (DRiP), and damage-associated
molecular pattern molecules exemplified by HSP90, HSP94, calreticulin, and HMGB1.
DRibbles express ligands for CLEC9A, a newly described C-type lectin receptor
expressed by a subset of conventional and plasmacytoid dendritic cells (DC), and
cross-presentation was partially CLEC9A dependent. Furthermore, this autophagy
assisted antigen cross-presentation pathway involved both caveolae- and clathrin
mediated endocytosis and endoplasmic reticulum-associated degradation machinery.
It depends on proteasome and TAP1, but not lysosome functions of antigen
presenting cells. Importantly, DCs loaded with autophagosome-enriched DRibbles
can eradicate 3LL Lewis lung tumors and significantly delay the growth of B16F10
melanoma. CONCLUSIONS: These data documented the unique characteristics and
potent antitumor efficacy of the autophagosome-based DRibble vaccine. The
efficacy of DRibble cancer vaccine will be further tested in clinical trials.
PMID- 22068658
TI - Two distinct routes to oral cancer differing in genome instability and risk for
cervical node metastasis.
AB - PURPOSE: Problems in management of oral cancers or precancers include
identification of patients at risk for metastasis, tumor recurrence, and second
primary tumors or risk for progression of precancers (dysplasia) to cancer. Thus,
the objective of this study was to clarify the role of genomic aberrations in
oral cancer progression and metastasis. EXPERIMENTAL DESIGN: The spectrum of copy
number alterations in oral dysplasia and squamous cell carcinomas (SCC) was
determined by array comparative genomic hybridization. Associations with clinical
characteristics were studied and results confirmed in an independent cohort.
RESULTS: The presence of one or more of the chromosomal aberrations +3q24-qter,
8pter-p23.1, +8q12-q24.2, and +20 distinguishes a major subgroup (70%-80% of
lesions, termed 3q8pq20 subtype) from the remainder (20%-30% of lesions, non
3q8pq20). The 3q8pq20 subtype is associated with chromosomal instability and
differential methylation in the most chromosomally unstable tumors. The two
subtypes differ significantly in clinical outcome with risk for cervical (neck)
lymph node metastasis almost exclusively associated with the 3q8pq20 subtype in
two independent oral SCC cohorts. CONCLUSIONS: Two subtypes of oral lesions
indicative of at least two pathways for oral cancer development were
distinguished that differ in chromosomal instability and risk for metastasis,
suggesting that +3q,-8p, +8q, and +20 constitute a biomarker with clinical
utility for identifying patients at risk for metastasis. Moreover, although
increased numbers of genomic alterations can be harbingers of progression to
cancer, dysplastic lesions lacking copy number changes cannot be considered
benign as they are potential precursors to non-3q8pq20 locally invasive, yet not
metastatic oral SCC.
PMID- 22068659
TI - CPTH6, a thiazole derivative, induces histone hypoacetylation and apoptosis in
human leukemia cells.
AB - PURPOSE: We previously identified novel thiazole derivatives able to reduce
histone acetylation and histone acetyltransferase (HAT) activity in yeast. Among
these compounds, 3-methylcyclopentylidene-[4-(4'-chlorophenyl)thiazol-2
yl]hydrazone (CPTH6) has been selected and used throughout this study.
EXPERIMENTAL DESIGN: The effect of CPTH6 on histone acetylation, cell viability
and differentiation, cell-cycle distribution, and apoptosis in a panel of acute
myeloid leukemia and solid tumor cell lines has been evaluated. RESULTS: Here, we
showed that CPTH6 leads to an inhibition of Gcn5 and pCAF HAT activity. Moreover,
it inhibits H3/H4 histones and alpha-tubulin acetylation of a panel of leukemia
cell lines. Concentration- and time-dependent inhibition of cell viability,
paralleled by accumulation of cells in the G(0)/G(1) phase and depletion from the
S/G(2)M phases, was observed. The role of mitochondrial pathway on CPTH6-induced
apoptosis was shown, being a decrease of mitochondrial membrane potential and the
release of cytochrome c, from mitochondria to cytosol, induced by CPTH6. Also the
involvement of Bcl-2 and Bcl-xL on CPTH6-induced apoptosis was found after
overexpression of the two proteins in leukemia cells. Solid tumor cell lines from
several origins were shown to be differently sensitive to CPTH6 treatment in
terms of cell viability, and a correlation between the inhibitory efficacy on
H3/H4 histones acetylation and cytotoxicity was found. Differentiating effect on
leukemia and neuroblastoma cell lines was also induced by CPTH6. CONCLUSIONS:
These results make CPTH6 a suitable tool for discovery of molecular targets of
HAT and, potentially, for the development of new anticancer therapies, which
warrants further investigations.
PMID- 22068660
TI - Synergistic antitumor activity of anti-CD25 recombinant immunotoxin LMB-2 with
chemotherapy.
AB - PURPOSE: Although anti-CD25 recombinant immunotoxin LMB-2 is effective against
CD25(+) hairy cell leukemia, activity against more aggressive diseases such as
adult T-cell leukemia (ATL) is limited by rapid disease progression between
treatment cycles. Our goal was to determine in vivo whether rapid growth of
CD25(+) tumor is associated with high levels of tumor interstitial soluble CD25
(sCD25) and whether chemotherapy can reduce tumor sCD25 and synergize with LMB-2.
EXPERIMENTAL DESIGN: Tumor xenografts expressing human CD25 were grown in mice,
which were then treated with LMB-2 and chemotherapy either alone or in
combination, and sCD25 level and antitumor activity were measured. RESULTS:
CD25(+) human xenografts growing rapidly in nude mice had intratumoral sCD25 at
levels that were between 21- and 2,200 (median 118)-fold higher than in serum,
indicating that interstitial sCD25 interacts with LMB-2 in tumors. Intratumoral
sCD25 levels were in the range 21 to 157 (median 54) ng/mL without treatment and
0.95 to 6.1 (median 2.6) ng/mL (P < 0.0001) 1 day after gemcitabine
administration. CD25(+) xenografts that were too large to regress with LMB-2
alone were minimally responsive to gemcitabine alone but completely regressed
with the combination. Ex vivo, different ratios of gemcitabine and LMB-2 were
cytotoxic to the CD25(+) tumor cells in an additive, but not synergistic, manner.
CONCLUSIONS: Gemcitabine is synergistic with LMB-2 in vivo unrelated to improved
cytotoxicity. Synergism, therefore, appears to be related to improved
distribution of LMB-2 to CD25(+) tumors, and is preceded by decreased sCD25
within the tumor because of chemotherapy. To test the concept of combined
treatment clinically, patients with relapsed/refractory ATL are being treated
with fludarabine plus cyclophosphamide before LMB-2.
PMID- 22068662
TI - Facile synthesis of hierarchical core-shell Fe3O4@MgAl-LDH@Au as magnetically
recyclable catalysts for catalytic oxidation of alcohols.
AB - A novel core-shell structural Fe(3)O(4)@MgAl-LDH@Au nanocatalyst was simply
synthesized via supporting Au nanoparticles on the MgAl-LDH surface of
Fe(3)O(4)@MgAl-LDH nanospheres. The catalyst exhibited excellent activity for the
oxidation of 1-phenylethanol, and can be effectively recovered by using an
external magnetic field.
PMID- 22068661
TI - Modeling the transcriptional consequences of epidermal growth factor receptor
ablation in Ras-initiated squamous cancer.
AB - PURPOSE: Epidermal growth factor receptor (EGFR)-targeted therapy is in clinical
use to treat squamous cell carcinoma of the head and neck and other cancers of
lining epithelium. RAS mutations in these tumors are a negative prognostic factor
for response, and skin inflammation is an adverse reaction to therapy. We
investigated transcriptional and biochemical changes that could account for the
confounding effects of RAS activation and inflammation in a squamous tissue.
EXPERIMENTAL DESIGN: We carried out gene expression profiling on oncogenic Ras
transformed and wild-type mouse and human keratinocytes with EGFR ablated
chronically by genetic deletion or acutely by drug treatment and followed leads
provided by pathway analysis with biochemical studies. RESULTS: We identified a
25-gene signature specific to the Ras-EGFR ablation interaction and a distinct 19
gene EGFR ablation signature on normal keratinocytes. EGFR ablation in the
context of wild-type Ras reduces ontologies favoring cell-cycle control and
transcription, whereas oncogenic Ras enriches ontologies for ion channels and
membrane transporters, particularly focused on calcium homeostasis. Ontologies
between chronic EGFR ablation and acute pharmacologic ablation were unique, both
with and without Ras activation. p38alpha is activated in response to abrogation
of EGFR signaling under conditions of Ras activation in both mouse and human
keratinocytes and in RAS-transformed tumor orthografts of EGFR-ablated mouse
keratinocytes. EGFR ablation in the absence of oncogenic Ras revealed Erk and
interleukin-1beta-related pathways. CONCLUSION: These findings reveal
unrecognized interactions between Ras and EGFR signaling in squamous tumor cells
that could influence the therapeutic response to EGFR ablation therapy.
PMID- 22068663
TI - Diagnostic accuracy of probe-based confocal laser endomicroscopy and narrow band
imaging for small colorectal polyps: a feasibility study.
AB - OBJECTIVES: Probe-based confocal laser endomicroscopy (pCLE) allows real-time in
vivo microscopic imaging of tissue. Narrow band imaging (NBI) can also classify
colorectal lesions. Both systems may allow accurate optical diagnosis of small (6
9 mm) and diminutive (1-5 mm) polyps without histopathology. This study assesses
the accuracy of pCLE and NBI for prediction of histology. METHODS: Participants
underwent high-definition colonoscopy. The surface pit pattern of all polyps (1-9
mm) was determined in vivo using NBI. Confocal videos were obtained after
administration of IV fluorescein. Recorded videos were subsequently analyzed
offline, blinded to endoscopic characteristics, and histopathology. Confocal
images were classified as neoplastic and non-neoplastic according to the Miami
classification system. RESULTS: A total of 130 polyps (58 neoplastic, 72 non
neoplastic, mean size 4.6 mm) from 65 patients were assessed. Assuming
histopathology as gold standard, pCLE had higher sensitivity than NBI (86% vs.
64%, P=0.008), with lower specificity (78% vs. 92%, p=0.027) and similar overall
accuracy (82% vs. 79%, P=0.59). When 65 high-confidence cases were analyzed
(polyps diagnosed identically with pCLE and NBI and with high-quality confocal
videos), sensitivity and specificity were 94 and 97%. CONCLUSIONS: pCLE
demonstrated higher sensitivity in predicting histology of small polyps compared
with NBI, whereas NBI had higher specificity. When used in combination, the
accuracy of pCLE and NBI was extremely high, approaching the accuracy of
histopathology. Together, they may reduce the need for histological examination.
However, further studies are warranted to evaluate the role of these techniques,
especially in the population-based colon cancer screening.
PMID- 22068664
TI - IBS patients show frequent fluctuations between loose/watery and hard/lumpy
stools: implications for treatment.
AB - OBJECTIVES: The objectives of this study were to determine how variable stool
consistency is in patients with irritable bowel syndrome (IBS) and to assess the
relationship between stool consistency and gastrointestinal symptoms. METHODS:
Individuals with a physician diagnosis of IBS were recruited by advertisement.
Enrollment questionnaires included the Rome III Diagnostic Questionnaire and IBS
Symptom Severity Scale. Then, 185 patients meeting the Rome criteria for IBS
rated the consistency (using the Bristol Stool Scale) of each bowel movement (BM)
for 90 days and whether the BM was accompanied by pain, urgency, or soiling. Each
night, they transferred BM ratings from a paper diary to an internet form and
also reported the average daily intensity of abdominal pain, bloating, bowel
habit dissatisfaction, and life interference of bowel symptoms. Only the longest
sequence of consecutive days of diary data was used in the analysis (average of
73 days). RESULTS: Patients were 89% females with average age 36.6 years. Among
the patients, 78% had both loose/watery and hard/lumpy stools; the average was
three fluctuations between these extremes per month. The proportion of
loose/watery stools correlated r=0.78 between the first and second months and the
proportion of hard/lumpy stools correlated r=0.85 between months. Loose/watery
stools were associated with more BM-related pain, urgency, and soiling than
hard/lumpy or normal stools; however, IBS-C patients had significantly more BM
unrelated abdominal pain, bloating, dissatisfaction with bowel habits, and life
interference than IBS-D patients. Questionnaires overestimated the frequency of
abnormal stool consistency and gastrointestinal symptoms compared with diaries.
CONCLUSIONS: Stool consistency varies greatly within individuals. However, stool
patterns are stable within an individual from month to month. The paradoxical
findings of greater symptom severity after individual loose/watery BMs vs.
greater overall symptom severity in IBS-C implies different physiological
mechanisms for symptoms in constipation compared with diarrhea. Daily symptom
monitoring is more sensitive and reliable than a questionnaire.
PMID- 22068665
TI - Peroral endoscopic myotomy for the treatment of achalasia: a prospective single
center study.
AB - OBJECTIVES: Endoscopic balloon dilatation and laparoscopic myotomy are
established treatments for achalasia. Recently, a new endoscopic technique for
complete myotomy was described. Herein, we report the results of the first
prospective trial of peroral endoscopic myotomy (POEM) in Europe. METHODS: POEM
was performed under general anesthesia in 16 patients (male:female (12:4), mean
age 45 years, range 26-76). The primary outcome was symptom relief at 3 months,
defined as an Eckhard score <=3. Secondary outcomes were procedure-related
adverse events, lower esophageal sphincter (LES) pressure on manometry, reflux
symptoms, and medication use before and after POEM. RESULTS: A 3-month follow-up
was completed for all patients. Treatment success (Eckhard score <=3) was
achieved in 94% of cases (mean score pre- vs. post-treatment (8.8 vs. 1.4);
P<0.001). Mean LES pressure was 27.2 mm Hg pre-treatment and 11.8 mm Hg post
treatment (P<0.001). No patient developed symptoms of gastro-esophageal reflux
after treatment, but one patient was found to have an erosive lesion (LA grade A)
on follow-up esophagogastroduodenoscopy. No patient required medication with
proton pump inhibitors or antacids after POEM. CONCLUSIONS: POEM is a promising
new treatment for achalasia resulting in short-term symptom relief in >90% of
cases. Studies evaluating long-term efficacy and comparing POEM with established
treatments have been initiated.
PMID- 22068666
TI - The spectrum of sclerosing cholangitis and the relevance of IgG4 elevations in
routine practice.
AB - OBJECTIVES: American Association for the Study of Liver Diseases (AASLD) guidance
recommends measurement of IgG4 in patients with sclerosing cholangitis (SC). The
objective of this study was to evaluate this by analyzing our SC practice.
METHODS: Characteristics were collected on 168 patients with radiological or
biopsy proven SC; IgG4 was measured and magnetic resonance
cholangiopancreatography studies were reviewed. RESULTS: In all, 49% of patients
were females and 55% had inflammatory bowel disease. Large duct disease was
present in 63%, small duct disease in 8%, overlap with AIH in 11%, and secondary
SC in 18%. Secondary etiologies included autoimmune pancreatitis (AIP) (8%),
intra-hepatic cholelithiasis (3%), portal vein thrombosis (2%), and neonatal
Kasai (2%). In all, 101 patients had sufficient radiology and serology for re
evaluation. IgG4 was elevated (>104 mg/dl) in 22% of patients. This was
associated with male gender (73%; P=0.016), a past history of pancreatitis (27%
vs. 5%; P=0.007), a higher alkaline phosphatase (ALP) value, median 338.5 U/l vs.
160 (P=0.005), and a higher primary sclerosing cholangitis (PSC) Mayo risk score,
mean 0.6 vs. -0.2 (P=0.0008). Prior biliary intervention was more likely (36 vs.
13%; P=0.023), while abnormal pancreatic imaging was noted in 15%, more
frequently if IgG4 was elevated (40 vs. 8%; P=0.0007). After excluding those with
pancreatic disease on magnetic resonance imaging, 14 patients had elevated IgG4.
This group had higher ALP 379 U/l vs. 155.5 (P=0.0006), aspartate
aminotransferase (AST) 72.5 U/l vs. 34 (P=0.0005), alanine aminotransferase (ALT)
90.5 U/l vs. 36 (P=0.004), and PSC Mayo risk score values 0.4 vs. -0.2 (P=0.017).
CONCLUSIONS: SC is a heterogeneous liver injury. IgG4 testing may be clinically
important in all patients, since it appears to identify a distinct patient
population, more so than just those with AIP.
PMID- 22068667
TI - The extract of Cordyceps sinensis inhibited airway inflammation by blocking NF
kappaB activity.
AB - Aiming the extract of Cordyceps sinensis significantly inhibits airway
inflammation, airway hyperresponsiveness, and the infiltration of eosinophils in
the airway of rats and may be related to the modulation of T helper (Th)1 and Th2
cells functions. The mechanisms of C. sinensis involved in modulation of
suppression inflammation are not yet determined. In this study, the mechanism
involved in the extract of C. sinensis-C.S.3-modulated suppression of
inflammation was investigated in vivo and in vitro systems. The results showed
that C.S.3 reduced airway inflammation in ovalbumin-induced allergic mice.
Furthermore, we found C.S.3 could decrease extracellular signal-regulated kinase
1/2 signaling pathway to suppress activity of nuclear factor-kappaB in lung cells
and cultured airway smooth muscle cells. Conclusion C.S.3 may provide clinical
applications for asthma in the future.
PMID- 22068668
TI - Static and Dynamic Electrocardiographic Patterns Preceding Torsades de Pointes in
the Acquired and Congenital Long QT Syndrome.
AB - The arrhythmogenic mechanisms involved in the triggering of the polymorphic
ventricular tachycardia called torsades de pointes (TdPs) remains to be
elucidated. In this work, we investigated the static and dynamic profiles of the
repolarization interval from the surface electrocardiogram recorded in healthy
individuals and in cardiac patients with TdPs. We implemented this analysis just
prior to the arrhythmia onset and we computed the delta values based on baseline
periods (1 hour prior to event). We measured QT/QTc prolongation, QT variability,
ventricular ectopic beats (VPBs) frequency, T-wave amplitude, T-peak to T-end
interval, and T-wave complexcity. The analysis of these parameters in reference
to baseline revealed 1) an increased QTc variability, 2) the presence of VPCs,
and 3) the profound changes in T-loop morphology in patients developing TdPs.
PMID- 22068669
TI - Surgical repair for rupture of a chronic traumatic thoracic aneurysm 14 years
after injury: report of a case.
AB - Traumatic thoracic aortic injury is a lethal condition. Because its mortality
rate is extremely high in the acute phase, these patients rarely survive long
enough for a chronic aneurysm to develop. We herein report a case of surgical
repair for a ruptured chronic traumatic thoracic aneurysm. A 32-year-old man, who
had been involved in a traffic accident 14 years earlier, was diagnosed with a
rupture of a chronic traumatic thoracic aneurysm. Preoperative computed
tomography showed that the ruptured aneurysm arose from the aortic isthmus and
was accompanied by multiple daughter lesions. He underwent an aorta graft
replacement with reconstruction of the left subclavian artery using both a median
sternotomy and a left thoracotomy. The surgery was successful and the
postoperative course was uneventful. Chronic traumatic thoracic aneurysm is
usually a single lesion, and cases with daughter aneurysms have rarely been
reported. We include a review of the previous literature and also discuss the
etiology of this condition.
PMID- 22068670
TI - Clinical evaluation of leukocyte filtration as an alternative anti-inflammatory
strategy to aprotinin in high-risk patients undergoing coronary
revascularization.
AB - PURPOSE: The use of aprotinin in cardiac surgery is associated with overriding
safety concerns. Therefore, there is increased research on alternatives. This
study investigated the relative benefits of strategic leukofiltration on polymer
coated extracorporeal circuits (ECC), aprotinin, and combined therapy in high
risk patients. METHODS: Eight hundred and seventy-five patients (EuroSCORE 6+)
undergoing coronary revascularization over a 4-year period were prospectively
randomized to one of four perfusion protocols: Group 1: polymethoxyethylacrylate
(PMEA)-coated circuits + leukocyte filters (n = 214); Group 2: uncoated ECC +
full Hammersmith aprotinin (n = 212); Group 3: PMEA-coated ECC + leukofilters +
full Hammersmith aprotinin (n = 199); and Group 4: control-no treatment (n =
250). Blood samples were collected at times T1: following the induction of
anesthesia; T2: following heparin administration; T3: 15 min after
cardiopulmonary bypass (CPB); T4: before cessation of CPB; T5: 15 min after
protamine reversal; and T6: in the intensive care unit. RESULTS: The serum
interleukin-2 levels were significantly lower at T3, T4, and T5 in all study
groups. C3a levels were significantly lower at T3. Creatine kinase MB and lactate
levels demonstrated well-preserved myocardia in both leukofiltration groups (P <
0.05). Neutrophil CD11b/CD18 levels were significantly lower for all study
groups. Postoperative bleeding and respiratory support time were lower in all
study groups. CONCLUSION: Leukofiltration on coated circuits significantly
reduced bleeding and inflammatory response related to CPB with no adverse
effects, and may be a possible alternative to pharmacological intervention.
PMID- 22068671
TI - Kampo medicine "Dai-kenchu-to" prevents CPT-11-induced small-intestinal injury in
rats.
AB - PURPOSE: The key anticancer agent, CPT-11 (irinotecan hydrochloride), induces
severe diarrhea clinically. We investigated the effect of a Kampo medicine, Dai
kenchu-to (DKT), on CPT-11-induced intestinal injuries in rats. METHODS: Twenty
four male Wistar rats were divided into three groups: a control group; a CPT-11
group, given CPT-11 150 mg/kg intraperitoneally for 2 days; and a DKT group,
given DKT 300 mg/kg orally for 5 days with CPT-11 150 mg/kg intraperitoneally on
days 4 and 5. The rats were killed on day 6. RESULTS: Interleukin (IL)-1beta, IL
12, interferon (IFN)-gamma, and tumor necrosis factor-alpha expression in the
small intestine of the CPT-11 group was significantly higher than that of the
control group. Interleukin-1beta and IFN-gamma expression was improved
significantly by DKT (P < 0.05). The number and height of jejuna villi, injury
score, and apoptosis index in the CPT-11 group were improved significantly by DKT
(P < 0.05). CONCLUSIONS: DKT suppressed CPT-11 induced inflammatory cytokines and
apoptosis in the intestinal mucosa and maintained the mucosal integrity.
PMID- 22068672
TI - Pancreaticoduodenectomy with resection of the splenic artery and splenectomy for
pancreatic double cancers after total gastrectomy. Preservation of the pancreatic
function via the blood supply from the posterior epiploic artery: report of a
case.
AB - The patient was a 56-year-old man who had previously undergone a total
gastrectomy without splenectomy, and was diagnosed with pancreatic head and body
cancers and primary solitary lung cancer. The pancreas body tumor invaded the
origin of the splenic artery, and if the origin of the splenic artery were
resected there would be no blood flow to the pancreas tail, resulting in a need
for total pancreatectomy. However, we focused on the posterior epiploic artery
(PEA), which is a less well known blood supply from the mesocolon to pancreatic
body and tail, and planned to preserve the pancreatic tail as long as the
resected margin of the pancreas was not malignant, considering his limited life
expectancy. We performed a pancreaticoduodenectomy with resection of the origin
of the splenic artery and splenectomy, preserving the pancreatic tail and PEA.
The patient has been free from insulin therapy for blood sugar control, and has
been well for 10 months after the surgery.
PMID- 22068673
TI - Prosthetic repair of an incarcerated groin hernia with small intestinal
resection.
AB - PURPOSE: No consensus has been reached on the use of prostheses in a potentially
infected operating field. In this study, we evaluated the validity of a mesh
prosthesis for the repair of incarcerated groin hernias with intestinal
resection. METHODS: Twenty-seven patients underwent operations for correction of
incarcerated groin hernias with small intestinal resection at our hospital
between January 2000 and March 2010. The patients were divided into two groups:
those who underwent repair with a prosthetic mesh and those who underwent primary
hernia repair. Patients with intestinal perforations, abscess formations,
panperitonitis, and those who required colon resections were excluded. The length
of the operation, blood loss, and incidences of surgical site infection,
postoperative ileus, and recurrence were evaluated in each group. RESULTS: Of the
27 patients studied, 10 (37%) underwent tension-free repair with a mesh, and 17
(63%) underwent primary hernia repair. Although the patients who underwent
primary hernia repair were significantly older than the patients who underwent
mesh repair (P = 0.015), no statistically significant differences in morbidity,
including surgical site infection, or mortality, were identified. CONCLUSION:
Strangulated inguinal hernias cannot be considered a contraindication to the use
of a prosthetic mesh even in cases requiring small-intestinal resection.
PMID- 22068674
TI - Single-site laparoscopic herniorrhaphy using needle instruments for inguinal
hernias in children: a novel technique.
AB - We describe our new technique for laparoscopic herniorrhaphy with subumbilical
single-site access to treat inguinal hernias in children. First, we inserted a
transparent 3-mm trocar and a 2-mm minitrocar at the umbilicus. We then inserted
a 3-mm 45 degrees camera through the 3-mm trocar and needle-grasping forceps
through the 2-mm trocar. We closed the hernia defect by using a 19-gauge hooked
injection needle with a nonabsorbable suture. We treated 11 consecutive female
children with inguinal hernia using this operation. The mean operating time was
26.7 min (range 21-36 min) and the procedure was technically successful, without
the need for additional trocars, in all 11 patients. There were no intraoperative
complications and all the patients were discharged on the same day after the
surgery. Single-site laparoscopic herniorrhaphy using needle instruments is
feasible and seems to be safe. Further studies are required to determine whether
this approach would benefit patient compared with standard laparoscopic
herniorrhaphy.
PMID- 22068675
TI - Effect of intra-abdominal absorbable sutures on surgical site infection.
AB - PURPOSE: To establish whether the rates of surgical site infection (SSI) in
gastrointestinal surgery are affected by the type of intra-abdominal suturing:
sutureless, absorbable material (polyglactin: Vicryl), and silk. METHODS: We
conducted SSI surveillance prospectively at 25 hospitals. RESULTS: The overall
SSI rate was 14.4% (130/903). The SSI rates in the sutureless, Vicryl, and silk
groups were 4.8, 14.8, and 16.4%, respectively, without significant differences
among the groups. In colorectal surgery, the SSI rate in the Vicryl group was
13.9%, which was significantly lower than that of the silk group (22.4%; P =
0.034). The incidence of deeper SSIs in the Vicryl group, including deep
incisional and organ/space SSIs, was significantly lower than that in the silk
group (P = 0.04). The SSI rates did not differ among the suture types overall, in
gastric surgery, or in appendectomy. CONCLUSION: Using intra-abdominal absorbable
sutures instead of silk sutures may reduce the risk of SSI, but only in
colorectal surgery.
PMID- 22068676
TI - Expanding the applications of microvascular surgical techniques to digestive
surgeries: a technical review.
AB - In living donor liver transplantation (LDLT), it is considered safer to
reconstruct hepatic arteries (HAs) under a microscope than under conventional
loupe magnification, because graft HA stumps are generally thin and short with an
average diameter of approximately 2 mm. We first applied microvascular surgical
techniques to HA reconstruction for LDLT in 1996. In most cases, we use a
disposable double-clip to secure the graft and recipient arteries, and
interrupted 8-0 nonabsorbable monofilament sutures. We next started performing
resection and reconstruction of the right HA in a surgery for hilar cholangioma
using the same technique as in LDLT. Lately, we have started applying
microvascular surgical techniques to various digestive surgeries; namely,
supercharge and superdrainage in esophageal surgery, vascular reconstruction in
free jejunal interposition grafts for cervical esophageal cancer, resection and
reconstruction of spontaneous HA aneurysms, jejunal artery reconstruction for
spontaneous superior mesenteric artery dissections, and so forth. Mastering this
technique is time consuming. However, once a surgeon masters the technique it has
almost unlimited applications, and most vital vessels can be safely reconstructed
using this method. We herein provide a technical review of the application of
microvascular surgical techniques for various digestive surgeries.
PMID- 22068677
TI - Interleukin 6 and interleukin 8 play important roles in systemic inflammatory
response syndrome of meconium peritonitis.
AB - PURPOSE: Meconium peritonitis is caused by an intestinal perforation that may
occur in the fetus, followed by severe chemical peritonitis, resulting in high
morbidity. METHODS: We have experienced six patients with meconium peritonitis.
Cystic drainage was performed soon after birth for all patients. We investigated
the concentrations of several cytokines and a chemokine (interleukin 8) in the
ascites from the six patients with meconium peritonitis. In two patients we also
measured the serum cytokines and chemokine level just after birth. RESULTS:
Interleukin 6 and interleukin 8 concentrations were very high in the cyst or
ascites just after birth. In the serum taken from two patients, the levels of
interleukin 6 and interleukin 8 were also high. In five patients who underwent
drainage of cysts after birth, systemic inflammation could not be completely
suppressed before curative surgery. CONCLUSIONS: Interleukin 6 and interleukin 8
play important roles in the inflammatory response syndrome associated with
meconium peritonitis, and drainage of cystic fluid did not completely suppress
this inflammation. To lessen the high morbidity of meconium peritonitis, efforts
should be made to suppress the inflammatory response using new treatment
strategies, such as administration of steroids or anti-cytokine therapy to
supplement cystic drainage.
PMID- 22068678
TI - Mediastinoscopy-assisted esophagectomy for esophageal cancer in patients with
serious comorbidities.
AB - PURPOSE: We evaluated the results of mediastinoscopy-assisted esophagectomy
(MAE), performed for esophageal cancer patients with comorbidities, versus those
of thoracoscopic esophagectomy (TSE), performed for esophageal patients with or
without comorbidities. METHODS: Among 153 patients who underwent esophageal
cancer surgery at our hospital, 17 (11.1%) underwent MAE and 37 (24.2%) underwent
TSE. RESULTS: Many of the MAE group patients had pulmonary (P < 0.001),
cardiovascular (P = 0.031), or hepatic (P = 0.0029) diseases preoperatively, and
these comorbidities frequently overlapped (P < 0.0001). The %VC and FEV(1)/FVC
were lower (P = 0.0099 and P = 0.0057, respectively), and the ICG-R15 and serum
level of HbA1c were higher (P = 0.0014 and P = 0.043, respectively) in the MAE
group. There were no differences in postoperative complications or in the
modified Clavien classification between the groups. There was no mortality in
either group. After MAE, 5 (29.4%) patients died of other diseases. The 5-year
overall survival rate after MAE was poorer than that after TSE (56.1 vs. 71.8%),
but the 5-year cause-specific survival rates were similar in the two groups.
CONCLUSIONS: MAE is feasible for esophageal cancer patients with serious
comorbidities and may decrease morbidity and mortality.
PMID- 22068679
TI - Pulmonary pleomorphic carcinoma producing granulocyte-macrophage colony
stimulating factor: report of a case.
AB - We report a case of lung cancer producing granulocyte-macrophage colony
stimulating factor (GM-CSF). The patient, a 55-year-old woman, was found to have
leukocytosis (leukocytes 28.8 * 10(3)/mm3) with eosinophilia (eosinophils 24.5%)
without any evidence of infection or allergy. The serum concentration of GM-CSF
was elevated to 44 pg/ml (normal range <2.0 pg/ml), which might have induced the
leukocytosis and eosinophilia. We performed left pneumonectomy and diagnosed a
pleomorphic carcinoma with p-T2bN0M0, based on histological examination of the
resected tumor. Immunohistochemical examination revealed GM-CSF. The serum level
of GM-CSF decreased to within the normal range 8 days after surgery. At the time
of writing, 16 months after the surgery, she was alive without disease. To our
knowledge, this represents the first case report of a GM-CSF-producing tumor
effectively treated by surgical resection.
PMID- 22068680
TI - Impact of microvessel density on lymph node metastasis and survival after
curative resection of pancreatic cancer.
AB - PURPOSE: The roles of angiogenesis and the most prominent angiogenic vascular
endothelial growth factor (VEGF) in diseases of the pancreas remain
controversial. We compared microvessel density (MVD) and VEGF status in normal
pancreatic, chronic pancreatic, and pancreatic cancer (PC) tissues to establish
their prognostic relevance. METHODS: Eighty samples of PC tissue, 32 samples of
normal pancreatic tissue, and 20 samples of chronic pancreatitis (cP) tissue were
immunostained with monoclonal anti-CD31 and polyclonal anti-VEGF antibody. The
MVD was correlated with clinicopathological features and survival. RESULTS:
Microvessel density was higher in PC than in cP (P < 0.001). Residual tumor
status was highly predictive for survival (P < 0.001). After stratification for
residual tumor status, we identified lymph node metastasis (LNM) in more than two
lymph nodes (P < 0.04) and high MVD (P < 0.03) as risk factors for mortality.
Multivariate analysis revealed only a high MVD (P = 0.03, odds ratio 0.441, 95%
confidence interval 0.211-0.821) as an independent predictor of poor survival.
Vascular endothelial growth factor was found over stromal cells in cP and over
ductal adenocarcinoma cells in PC. Vascular endothelial growth factor expression
status was not predictive of survival (P < 0.07). CONCLUSION: This study confirms
the role of angiogenesis in PC and identifies MVD as an independent prognostic
factor in patients with curatively resected PC.
PMID- 22068681
TI - Granulomatous mastitis: is it an autoimmune disease? Diagnostic and therapeutic
dilemmas.
AB - PURPOSE: Granulomatous mastitis (GM) is a rare benign inflammatory breast
disease. The clinical presentation of granulomatous mastitis usually mimics
malignancy or infection. The aim of this study was to review the clinical and
diagnostic features of GM and discuss the medical and surgical treatment of our
series of eight GM patients. METHODS: Between 2008 and 2010, eight patients were
diagnosed with GM and underwent surgery. Patients were evaluated clinically and
radiologically. The diagnosis of GM was confirmed in all cases by core needle or
excisional biopsies. Serological tests were performed for rheumatoid factor (RF),
antinuclear antibody (ANA), and anti-double-stranded DNA (anti-dsDNA). RESULTS:
The mean patient age was 37 years. Common presenting symptoms were a hard mass,
pain, inflamed hyperemic skin, and sinus formation. Serological tests for RF were
positive in 6 patients, and ANA and anti-dsDNA antibodies were detected in 2
patients. All patients underwent antibiotic therapy before surgery, and were
treated with wide surgical excision with negative margins. Methylprednisolone (16
mg/day for 3 months) therapy was used in 3 patients (all RF and 2 ANA/anti-dsDNA
positive) following a wide excisional biopsy after a postoperative recurrence
mimicking skin lesions was seen. These patients responded well to steroid
therapy. CONCLUSIONS: The diagnosis of GM should be made carefully to avoid a
misdiagnosis. Steroid therapy should be considered based on the idea that this is
an autoimmune disease.
PMID- 22068682
TI - Electrochemical performance of annealed cobalt-benzotriazole/CNTs catalysts
towards the oxygen reduction reaction.
AB - One of the major limitations yet to the global implementation of polymer
electrolyte membrane fuel cells (PEMFCs) is the cathode catalyst. The development
of efficient platinum-free catalysts is the key issue to solve the problem of
slow kinetics of the oxygen reduction reaction (ORR) and high cost. We report a
promising catalyst for ORR prepared through the annealing treatment under inert
conditions of the cobalt-benzotriazole (Co-BTA) complex supported on carbon
nanotubes (CNTs). The N-rich benzotriazole precursor was chosen based on its
ability to complex Co(II) ions and generate under annealing highly reactive
radicals able to tune the physicochemical properties of CNTs. X-Ray photoelectron
spectroscopy (XPS) was used to follow the surface structure changes and highlight
the active electrocatalytic sites towards the ORR. To achieve further evaluation
of the catalysts in acidic medium, voltamperometry, rotating disk electrode
(RDE), rotating ring-disk electrode (RRDE) and half-cell measurements were
performed. The resulting catalysts (Co/N/CNTs) all show catalytic activity
towards the ORR, the most active one resulting from annealing at 700 degrees C.
The overall electron transfer number for the catalyzed ORR was determined to be
~3.7 with no change upon the catalyst loading, suggesting that the ORR was
dominated by a 4e(-) transfer process. The results indicate a promising
alternative cathode catalyst for ORR in fuel cells, although its performance is
still lower (overpotential around 110 mV evaluated by RDE and RRDE) than the
reference Pt/C catalyst.
PMID- 22068684
TI - Three cases of pneumatosis intestinalis presenting in autoimmune diseases.
AB - Pneumatosis intestinalis (PI) is a comparatively rare disease characterized by
the presence of intramural gas in the gastrointestinal tract. PI is known to be
associated with several clinical conditions, such as pulmonary diseases,
gastrointestinal diseases, and traumatic injury, as well as autoimmune disorders.
In particular, PI is commonly seen in systemic sclerosis (SSc) but rarely in
systemic lupus erythematosus and dermatomyositis (DM). In this report, we present
three cases of PI presenting in autoimmune diseases, including DM, Sjogren's
syndrome, and limited SSc, and further discuss its background characteristics.
PMID- 22068683
TI - Early prediction of instability of Chinese hamster ovary cell lines expressing
recombinant antibodies and antibody-fusion proteins.
AB - One of the most important criteria for the successful manufacture of a
therapeutic protein (e.g., an antibody) is to develop a mammalian cell line that
maintains stability of production. Problems with process yield, lack of effective
use of costly resources, and a possible delay in obtaining regulatory approval of
the product may ensue otherwise. Therefore the stability of expression in a
number of Chinese hamster ovary (CHO) derived production cell lines that were
isolated using the glutamine synthetase (GS) selection system was investigated by
defining a culture as unstable if the titer (which is a measure of productivity)
of a cell line expressing an antibody or antibody-fusion protein declined by 20
30% or more as it underwent 55 population doublings. Using this criterion, a
significant proportion of the GS-selected CHO production cell lines were observed
to be unstable. Reduced antibody titers correlated with the gradual appearance of
a secondary, less productive population of cells as detected with flow cytometric
analysis of intracellular antibody content. Where tested, it was observed that
the secondary population arose spontaneously from the parental population
following multiple passages, which suggested inherent clonal instability.
Moreover, the frequency of unstable clones decreased significantly if the host
cell line from which the candidate production cell lines were derived was
apoptotic-resistant. This data suggested that unstable cell lines were more prone
to apoptosis, which was confirmed by the fact that unstable cell lines had higher
levels of Annexin V and caspase 3 activities. This knowledge has been used to
develop screening protocols that identify unstable CHO production cell lines at
an early stage of the cell line development process, potentially reducing the
cost of biotherapeutic development.
PMID- 22068685
TI - Simultaneous in vivo RP-HPLC-DAD quantification of multiple-component and drug
drug interaction by pharmacokinetics, using 6,7-dimethylesculetin, geniposide and
rhein as examples.
AB - Increasing evidence has demonstrated that multidrug combinations could amplify
the therapeutic efficacies of each agent. Interestingly, the pharmacological
effect of traditional Chinese medicine (TCM) is usually attributed to the drug
interaction property (synergism) of multiple active constituents.
Pharmacokinetics is a useful means of evaluating the drug interactions of major
active compounds in TCM. A simple, sensitive and reliable RP-HPLC-DAD method has
been developed to simultaneously quantify 6,7-dimethylesculetin (D), geniposide
(G) and rhein (R), which are the active ingredients in Yin-Chen-Hao-Tang (YCHT),
performing drug-interaction pharmacokinetics studies in vivo. Plasma samples were
prepared using methanolic precipitation, a filtration step, and then injection of
the methanolic extract onto a Nova-Pak C18 Guard-PakTM guard column with a
gradient mobile phase. Triple-wavelength diode array detection was set at
lambda(max) values of 343 nm for D, 241 nm for the G, and 259 nm for R. Our
results successfully demonstrate that this method has excellent and satisfactory
selectivity, sensitivity, linearity, precision, accuracy and recovery. In healthy
rats, the estimated pharmacokinetic parameters (i.e. C(max) , AUC and Cl) of D, G
and R, when administered with COC (a combination of D, G and R), were C(max)
16.05 mg/L, AUC 108.96 mg h/L and Cl 0.36 L/h for D; C(max) 9.35 mg/L, AUC 64.71
mg h/L and Cl 0.88 L/h for G; and C(max) 14.18 mg/L, AUC 57.98 mg h/L and Cl 1.77
L/h for R. Here, we report that the COC combination could significantly increase
the plasma level and slow the elimination rate compared with any one or two of
the three individual compounds, which may indicate a drug-drug interaction.
PMID- 22068686
TI - A return to basics, a focus on the future.
PMID- 22068687
TI - Effect of bismuth breast shielding on radiation dose and image quality in
coronary CT angiography.
AB - BACKGROUND: Coronary computed tomographic angiography (CCTA) is associated with
high radiation dose to the female breasts. Bismuth breast shielding offers the
potential to significantly reduce dose to the breasts and nearby organs, but the
magnitude of this reduction and its impact on image quality and radiation dose
have not been evaluated. METHODS: Radiation doses from CCTA to critical organs
were determined using metal-oxide-semiconductor field-effect transistors
positioned in a customized anthropomorphic whole-body dosimetry verification
phantom. Image noise and signal were measured in regions of interest (ROIs)
including the coronary arteries. RESULTS: With bismuth shielding, breast
radiation dose was reduced 46%-57% depending on breast size and scanning
technique, with more moderate dose reduction to the heart, lungs, and esophagus.
However, shielding significantly decreased image signal (by 14.6 HU) and contrast
(by 28.4 HU), modestly but significantly increased image noise in ROIs in
locations of coronary arteries, and decreased contrast-to-noise ratio by 20.9%.
CONCLUSIONS: While bismuth breast shielding can significantly decrease radiation
dose to critical organs, it is associated with an increase in image noise,
decrease in contrast-to-noise, and changes tissue attenuation characteristics in
the location of the coronary arteries.
PMID- 22068688
TI - Photoprotective effects of a formulation containing tannase-converted green tea
extract against UVB-induced oxidative stress in hairless mice.
AB - Ultraviolet B (UVB) irradiation may induce the acceleration of skin aging. The
purpose of this study was to develop an effective formulation containing tannase
converted green tea extract (FTGE) to inhibit UVB-induced oxidative damage.
Significant (p<0.05) prevention of the reduced form of glutathione (GSH)
depletion was observed in mice treated with FTGE. The hydrogen peroxide levels of
mice treated with FTGE were similar to those of UVB non-irradiated mice. No
significant difference was observed between No UVB control and FTGE mice. Also,
mice treated with FTGE had significant (p<0.05) decreases in thiobarbituric acid
reactive substance levels by lipid peroxidation compared with No UVB control
mice. Our data suggest that this formulation may be effective in protecting skin
from UVB photodamage.
PMID- 22068689
TI - Discrimination of A1555G and C1494T point mutations in the mitochondrial 12S rRNA
gene by on/off switch.
AB - The objective of this study was to apply the "on/off" switch consisting of 3'
phosphorothioate-modified allele specific primers and exo(+) polymerase in single
base discrimination of A1555G and C1494T mutations in the highly conserved sites
of the mitochondrial 12S rRNA. The two point mutations are the hotspot mutations
associated with either aminoglycoside antibiotics induced deafness or inherited
nonsyndromic hearing loss. The PCR products of mitochondrial DNA (mtDNA) 12S rRNA
gene were inserted into the pMD19-T vector for transformation into Escherichia
coli JM109 competent cells for preparing wild-type pMD19-T/mt vector. Inverse PCR
was carried out for mtDNA 12S rRNA gene C1494T and A1555G mutagenesis and DpnI
endonuclease degradating methylated pMD19-T/mt vector existing in the inverse PCR
products was carried out to construct the mutation-type pMD19-T/mtM vector. These
constructed vectors were confirmed by DNA sequencing. Allelic specific primers
targeting wild-type and mutation-type templates were designed with 3' terminal
phosphorothioate modification. Two-directional primer extension was performed
using Pfu polymerases. Amplified by exo(+) polymerase, allelic specific primers
perfectly matching wild-type allele were extended while no products were produced
from primers targeting point-mutated deafness-related allele. Similarly, allelic
specific primers perfectly matching point-mutated deafness-related mutation-type
allele were extended and no products were yielded from primers targeting wild
type allele. No specific product was observed in the primer extension reaction
mediated by on/off switch in screening the mtDNA 12S rRNA gene harboring either
C1494T or A1555G mutation in 40 healthy volunteers tested. These data suggest
that the "off switch" mediated by exo(+) polymerase is highly reliable in the
diagnosis of monogenic diseases and the novel "on/off" switch has enormous
applications in systematic and extended screening of the12S rRNA gene A1555G and
C1494T mutations. The established assay can be widely used not only for hearing
loss patients but also for normal subjects before the use of aminoglycoside
antibiotics.
PMID- 22068690
TI - Kinetics of ergothioneine inhibition of mushroom tyrosinase.
AB - The native amino acid ergothioneine, a thiourea derivative of histidine, inhibits
mushroom tyrosinase activity in a dose-dependent manner, with an IC(50) value of
1.025 mg/ml (4.47 mM). By contrast, histidine exhibited no inhibitory effect on
mushroom tyrosinase activity. We characterized ergothioneine as a noncompetitive
tyrosinase inhibitor using a Lineweaver-Burk plot of experimental kinetic data.
The IC(50) value for ergothioneine scavenging of 2,2-diphenyl-1-picrylhydrazyl
was 6.110 +/- 0.305 mg/ml, much higher than the IC(50) for inhibition of
tyrosinase activity which indicating ergothioneine on tyrosinase shows a weak
correlation to its antioxidative activity. The results demonstrated that
ergothioneine has a potent inhibition effect on tyrosinase enzyme activity,
resulting from the presence of the sulfur substituted imidazole ring in
ergothioneine.
PMID- 22068691
TI - Chemiluminescent detection of carbohydrates in the tumoral breast diseases.
AB - Nowadays, there is an increase of investigations into the fibroadenoma, mainly
because some studies have shown that the occurrence of fibroadenoma is linked to
an increased risk of developing breast carcinoma. Currently, the
chemiluminescence biomarkers are applied for validation methods and screening.
Here, a lectin chemiluminescence is proposed as new histochemistry method to
identify carbohydrates in mammary tumoral tissues. The lectins concanavalin A
(Con A) and peanut agglutinin (PNA) conjugated to acridinium ester were used to
characterize the glycocode of breast tissues: normal, fibroadenoma, and invasive
duct carcinoma (IDC). The lectin chemiluminescence expressed in relative light
units (RLU) was higher in fibroadenoma and IDC than in normal tissue for both
lectins tested. The relationship RLU emission versus tissue area described a
linear and hyperbolic curve for IDC and fibroadenoma, respectively, using Con A
whereas hyperbolic curves for both transformed tissues using PNA. RLU was
abolished by inhibiting the interaction between tissues and lectins using their
specific carbohydrates: methyl-alpha-D: -mannoside (Con A) and galactose (PNA).
The intrinsic fluorescence emission did not change with combination of the
lectins (Con A/PNA) to the acridinium ester for hydrophobic residues. These
results represent the lectin chemiluminescence as an alternative of
histochemistry method for tumoral diagnosis in the breast.
PMID- 22068692
TI - Dendrites: there's a NAK to branching out.
PMID- 22068693
TI - Synaptic plasticity: tuning electrical synapses.
PMID- 22068694
TI - Learning and memory: CA1 triggers the trace.
PMID- 22068695
TI - Ovarian antral folliculogenesis during the human menstrual cycle: a review.
AB - BACKGROUND Ovarian follicles undergo dynamic morphologic and endocrinologic
changes during the human menstrual cycle. The physiologic mechanisms underlying
recruitment and selection of antral follicles in women are not fully elucidated.
METHODS A comprehensive review of >200 studies was conducted using PubMed. The
objective was to compare and contrast different perspectives on human antral
folliculogenesis. RESULTS Antral folliculogenesis has been studied using
histologic, endocrinologic and/or ultrasonographic techniques. Different theories
of antral follicle recruitment include: (i) continuous recruitment throughout the
menstrual cycle; (ii) recruitment of a 'cohort' of antral follicles once in the
late-luteal phase or early-follicular phase of each cycle and (iii) recruitment
of two or three 'cohorts' or 'waves' during each cycle. Generally, a single
dominant follicle is selected in the mid-follicular phase of each cycle and this
follicle ovulates at mid-cycle. However, a dominant follicle may also be selected
during anovulatory waves that precede the ovulatory wave in some women.
CONCLUSIONS There is increasing evidence to indicate that multiple waves of
antral follicles develop during the human menstrual cycle. Ovarian follicular
waves in women are comparable with those documented in several animal species;
however, species-specific differences exist. Enhancing our understanding of the
endocrine and paracrine mechanisms underlying antral follicular wave dynamics has
clinical implications for understanding age-related changes in reproductive
function, optimizing hormonal contraceptive and ovarian stimulation regimens and
identifying non-invasive markers of the physiologic status of follicles which are
predictive of oocyte competence and assisted reproduction outcomes.
PMID- 22068696
TI - The effect of rhPTH on the healing of tendon to bone in a rat model.
AB - Successful rotator cuff tendon repair depends on secure tendon-to-bone healing.
Recombinant human parathyroid hormone (rhPTH) has been shown in multiple studies
to accelerate bone healing. Recent studies have also shown that rhPTH is
chondrogenic by increasing chondrocyte recruitment and differentiation. We
hypothesized that rhPTH would improve tendon-to-bone healing in a rat rotator
cuff repair model. One hundred and fourteen Sprague Dawley rats underwent
division and repair of the supraspinatus tendon. Fifty seven rats received daily
subcutaneous injections of 10 ug/kg of rhPTH. Rats were sacrificed at 3, 7, 14,
28, and 56 days for histologic and immunohistochemical analysis. In addition,
rats in each group were sacrificed at 14, 28, and 56 days for biomechanical
testing and micro CT analysis. At 2 weeks the controls had a significantly higher
load to failure than the rhPTH group. At 28 and 56 days there were no differences
in load to failure. rhPTH specimens had significantly higher stiffness at 56
days. MicroCT analysis showed that the rhPTH group had significantly greater
total mineral content at all time points, as well as significantly higher bone
volume (BV) at 14 and 28 days. Histologically, the rhPTH specimens had more
fibrocartilage, osteoblasts, and blood vessels at all timepoints, with
significantly better collagen fiber orientation at 28 and 56 days. Although
treatment with rhPTH resulted in an increase in bone and mineralized
fibrocartilage formation, as well as better collagen fiber organization, this did
not translate into improved biomechanical properties.
PMID- 22068697
TI - Sesquiterpene synthases: passive catalysts or active players?
AB - Sesquiterpene synthases catalyse the metal dependent turnover of farnesyl
diphosphate to generate a class of natural products characterised by an enormous
diversity in structure, stereochemistry, biological function and application. It
has been proposed that these enzymes take a passive role in the reactions they
catalyse and that they serve mostly as stereochemical templates, within which the
reactions take place. Here, recent research into the structure and function of
sesquiterpene synthases and the mechanisms of the reactions that they catalyse
will be reviewed to suggest that these fascinating enzymes play multifaceted
active roles in what are arguably the most complex biosynthetic reactions.
PMID- 22068698
TI - Two-stage posterior-only procedures for correction of severe spinal deformities.
AB - PURPOSE: To review the outcomes of surgical treatment for severe spinal
deformities via a two-stage posterior-only approach. METHODS: A total of 18
patients with large and rigid spinal deformities were studied based on clinical
and radiographic data. All of them received a two-stage posterior-only approach:
first on the concave side by internal distraction of pedicle screws and rods
through intramuscular tunnels, followed by respiratory function exercise and
improving nutritional status during the intervening period, and finally by
posterior additional correction, ultimate instrumentation and spinal fusion in
the second operation. RESULTS: The mean major coronal curve was corrected by 46%
after the first operation and by 60.4% after the second operation. The mean
thoracic kyphosis was corrected by 50.9% after the first operation and by 64.8%
after the second operation. The loss of correction mean was 3.3 degrees for the
major coronal curve and 2.6 degrees for the thoracic kyphosis at a mean of 31.5
months follow-up. The mean operation time for the first and second operation was
186.2 and 300.6 min, and the mean intraoperative blood loss was 211.1 and 1,597.2
mL, respectively. No severe complication was noticed in this series. CONCLUSIONS:
The two-stage posterior-only procedures permitting stepwise correction for the
treatment of severe spinal deformities provide safe and satisfactory outcomes in
this patient population.
PMID- 22068699
TI - Staff workload and adverse events during mechanical ventilation in neonatal
intensive care units.
AB - OBJECTIVE: To investigate a possible association between the intensity of staff
workload and intermediate adverse events, such as accidental extubation,
obstruction of the endotracheal tube, and accidental disconnection of the
ventilator circuit, during neonatal mechanical ventilation in high-risk neonatal
units. METHOD: This prospective cohort study analyzed data of 543 newborns from
public neonatal intensive care units (NICUs) in the city of Sao Luis, state of
Maranhao, Northeastern Brazil, for 6 months, during which 136 newborns were
submitted to mechanical ventilation in 1,108 shifts and were observed a total of
4,554 times. RESULTS: Adverse events occurred 117 times during this period. The
associations between workload and adverse events were analyzed by means of
generalized estimating equations. The adjustment variables were: birth weight,
gender, maternity unit, Clinical Risk Index for Babies score, and care demand,
the latter measured by the Northern Neonatal Network Scale. The larger the number
of newborns classified by care demand (NCCD) per nurse and nursing technician,
the more likely the occurrence of intermediate adverse events linked to
mechanical ventilation. A number of NCCD > 22 per nurse (relative risk [RR] =
2.86) and > 4.8 per auxiliary nurse (RR = 3.41) was associated with a higher
prevalence of intermediate adverse events. CONCLUSIONS: The workload of NICU
professionals seems to interfere with the intermediate results of neonatal care
and thus should be taken into consideration when evaluating NICU outcomes.
PMID- 22068700
TI - Moderate sedation for elective upper endoscopy with balanced propofol versus
fentanyl and midazolam alone: a randomized clinical trial.
AB - BACKGROUND AND STUDY AIMS: Balanced propofol sedation (BPS) combines propofol
with opiates and benzodiazepines and targets at moderate sedation. Data comparing
outcomes of BPS and standard sedation with opiates and benzodiazepines during
elective esophagogastroduodenoscopy (EGD) are sparse. The primary end point of
this study was to compare, in the recovery area, patient satisfaction with EGD
following BPS versus standard sedation. PATIENTS AND METHODS: Prospective, single
blinded randomized trial in 110 outpatients undergoing elective EGD and
randomized 1:1 to BPS or standard sedation. Upon full recovery, the primary
outcome of patient satisfaction was assessed using a 100-mm visual analogue scale
(VAS) (1 = not at all satisfied, 100 = completely satisfied). Sedation recovery
times and recall of pain and gagging (1 = none, 100 = severe) were also evaluated
using a VAS. RESULTS: The BPS and standard sedation groups were similar regarding
American Society of Anesthesiologists (ASA), Mallampati class, age, sex, and body
mass index (BMI). Compared with the standard sedation arm, the BPS group
exhibited higher median procedural satisfaction (99 vs. 85, P < 0.001), and lower
median scores for recall of pain (P < 0.001) and gagging (P < 0.001). BPS
resulted in a shorter median recovery time (min) (11 vs. 23, P < 0.001). On
multivariable regression analysis, predictors of patient satisfaction included
use of propofol, increased age, and higher fentanyl dosage. CONCLUSIONS: BPS
targeted to moderate sedation by adequately trained endoscopists results in
superior patient satisfaction and shorter recovery times than standard sedation
alone during EGD.
PMID- 22068701
TI - Complete Barrett's excision by stepwise endoscopic resection in short-segment
disease: long term outcomes and predictors of stricture.
AB - BACKGROUND AND STUDY AIMS: Complete Barrett's excision (CBE) of short-segment
Barrett's high grade dysplasia (HGD) and early esophageal adenocarcinoma by
stepwise endoscopic resection is a precise staging tool, detects covert
synchronous disease, and may produce a sustained treatment response. Esophageal
stricture is the most commonly reported complication of CBE although risk factors
have not yet been clearly defined. PATIENTS AND METHODS: Data were recorded
prospectively on patients with limited co-morbidity and age <= 80 years
undergoing CBE for histologically proven HGD or esophageal adenocarcinoma within
<= C3M5 segments. Endoscopic resection was performed by standardized protocol
every 6 - 8 weeks until CBE was achieved. Esophageal dilation was performed when
patients reported dysphagia. Dysphagia scores were recorded at scheduled
endoscopic surveillance or by telephone interview. RESULTS: By intention-to-treat
analysis, complete eradication of neoplasia and intestinal metaplasia was
achieved in 95 % and 82 %, respectively, in 77 patients undergoing a median of 2
resection sessions (interquartile range [IQR] 1 - 3). Esophageal dilation was
required in 33 % (median 3 dilations, IQR 1 - 3.5) at median follow-up of 20
months (IQR 6 - 40). Independent risk factors for dilation requirement were the
number of mucosal resections at the index procedure (odds ratio [OR] 1.3 per
resection, 95 % confidence interval [CI] 1.0 - 1.9; P = 0.043) and maximal extent
of the Barrett's segment (OR 2.2 per cm, 95 %CI 1.2 - 3.9; P = 0.009).
CONCLUSIONS: Although CBE is highly effective in the treatment of Barrett's HGD
and esophageal adenocarcinoma, the risk of post-CBE dysphagia increases with the
maximal extent of the Barrett's segment and the number of mucosal resections at
the index procedure. These data could be used to inform treatment decisions and
identify those patients who may benefit from prophylactic therapies such as
dilation.
PMID- 22068702
TI - Initial evaluation of a novel multibending backward-oblique viewing duodenoscope
in endoscopic retrograde cholangiopancreatography.
AB - A novel multibending backward-oblique viewing duodenoscope was developed to
overcome the difficult technical aspect of deep cannulation into the bile duct
during endoscopic retrograde cholangiopancreatography (ERCP). The aim of the
present study was to evaluate the initial experience of a novel multibending
backward-oblique viewing duodenoscope (M-D scope) for ERCP. This was a
retrospective review of 23 patients with native papilla who received biliary ERCP
with the M-D scope between April and December 2010. The procedures were performed
by two well-experienced endoscopists. In all patients, biliary cannulation and
therapeutic procedure were successfully completed. In two patients with Billroth
I gastrectomy, ERCP were initially attempted with a conventional single-bending
duodenoscope, but biliary cannulations were unsuccessful. However, with the use
of the M-D scope, biliary cannulation and therapeutic procedures were
successfully completed. A novel multibending backward-oblique viewing
duodenoscope is safe and feasible for therapeutic and diagnostic ERCP.
PMID- 22068703
TI - Gastrointestinal endoscopy in a low budget context: delegating EGD to non
physician clinicians in Malawi can be feasible and safe.
AB - Gastrointestinal endoscopy is rarely performed in low-income countries in sub
Saharan Africa. One reason is the lack of available medical doctors and
specialists in these countries. At Zomba Central Hospital in Malawi, clinical
officers (non-physician clinicians with 4 years of formal training) were trained
in upper gastrointestinal endoscopy. Prospectively recorded details of 1732
consecutive esophagogastroduodenoscopies (EGDs) performed between September 2001
and August 2010 were analyzed to evaluate whether upper gastrointestinal
endoscopy can be performed safely and accurately by clinical officers. A total of
1059 (61.1%) EGDs were performed by clinical officers alone and 673 (38.9%) were
carried out with a medical doctor present who performed or assisted in the
procedure. Failure and complication rates were similar in both groups (P=0.105).
Endoscopic diagnoses for frequent indications were generally evenly distributed
across the two groups. The main difference was a higher proportion of normal
findings and a lower proportion of esophagitis in the group with a doctor
present, although this was significant only in patients who had presented with
epigastric/abdominal pain (P<0.001). In conclusion, delegating upper
gastrointestinal endoscopy to clinical officers can be feasible and safe in a
setting with a shortage of medical doctors when adequate training and supervision
are provided.
PMID- 22068704
TI - A homogeneous, high-throughput-compatible, fluorescence intensity-based assay for
UDP-N-acetylenolpyruvylglucosamine reductase (MurB) with nanomolar product
detection.
AB - A novel assay for the NADPH-dependent bacterial enzyme UDP-N
acetylenolpyruvylglucosamine reductase (MurB) is described that has nanomolar
sensitivity for product formation and is suitable for high-throughput
applications. MurB catalyzes an essential cytoplasmic step in the synthesis of
peptidoglycan for the bacterial cell wall, reduction of UDP-N
acetylenolpyruvylglucosamine to UDP-N-acetylmuramic acid (UNAM). Interruption of
this biosynthetic pathway leads to cell death, making MurB an attractive target
for antibacterial drug discovery. In the new assay, the UNAM product of the MurB
reaction is ligated to L-alanine by the next enzyme in the peptidoglycan
biosynthesis pathway, MurC, resulting in hydrolysis of adenosine triphosphate
(ATP) to adenosine diphosphate (ADP). The ADP is detected with nanomolar
sensitivity by converting it to oligomeric RNA with polynucleotide phosphorylase
and detecting the oligomeric RNA with a fluorescent dye. The product sensitivity
of the new assay is 1000-fold greater than that of the standard assay that
follows the absorbance decrease resulting from the conversion of NADPH to
NADP(+). This sensitivity allows inhibitor screening to be performed at the low
substrate concentrations needed to make the assay sensitive to competitive
inhibition of MurB.
PMID- 22068705
TI - Establishment of a stable cell line coexpressing dengue virus-2 and green
fluorescent protein for screening of antiviral compounds.
AB - This study aimed to generate a stable cell line harboring subgenomic dengue virus
replicon and a green fluorescent gene (DENV/GFP) for a cell-based model to screen
anti-DENV compounds. The gene-encoding envelope protein of DENV-2 was deleted and
then replaced with fragments of the GFP gene and a foot-and-mouth-disease virus
2A-derived cleavage site. The human cytomegalovirus immediate early and antisense
hepatitis delta virus ribozyme sequences were added at the 5'- and 3'-ends. An
internal ribosome entry site and neomycin resistance genes were placed upstream
and next to the NS1 gene. The recombinant plasmids were propagated in a mammalian
cell line. A stable cell line with the brightest green fluorescent protein and
the highest viral protein and RNA expression was selected from six clones. The
clone was then examined for effectiveness in an antiviral drug screening assay
with compounds isolated from the local plants using two known antiviral agents as
controls. Two novel flavones, PMF and TMF, were discovered having DENV-inhibitory
properties. The data were validated by a conventional plaque titration assay. The
results indicate that this newly developed cell line is efficient for use as a
cell-based model for primary screening of anti-DENV compounds.
PMID- 22068706
TI - Biosensor-based approach to the identification of protein kinase ligands with
dual-site modes of action.
AB - The authors have used a surface plasmon resonance (SPR)-based biosensor approach
to identify and characterize compounds with a unique binding mode to protein
kinases. Biacore was used to characterize hits from an enzymatic high-throughput
screen of the Tec family tyrosine kinase, IL2-inducible T cell kinase (ITK).
Complex binding kinetics was observed for some compounds, which led to
identification of compounds that bound simultaneously at both the adenosine
triphosphate (ATP) binding site and a second, allosteric site on ITK. The
presence of the second binding site was confirmed by X-ray crystallography. The
second site is located in the N-terminal lobe of the protein kinase catalytic
domain, adjacent to but distinct from the ATP site. To enable rapid optimization
of binding properties, a competition-based Biacore assay has been developed to
successfully identify second site noncompetitive binders that have been confirmed
by X-ray crystallographic studies. The authors have found that SPR technology is
a key method for rapid identification of compounds with dual-site modes of
action.
PMID- 22068707
TI - A homogeneous fluorescent assay for cAMP-phosphodiesterase enzyme activity.
AB - Cyclic adenosine monophosphate-phosphodiesterases (cAMP-PDEs) regulate the
cellular level of cAMP by selectively catalyzing the hydrolysis of the
phosphodiester bond in the cAMP molecule. They play important roles in modulating
cellular and physiological functions. There is a growing interest in the study of
cAMP-PDEs as therapeutic targets. We describe a novel method for measuring the
enzyme activity of cAMP-PDEs that is based on a homogeneous fluorescence assay
employing a cAMP-dependent DNA-binding protein (CAP). We demonstrate that the
assay is quick and robust compared to traditional methods and is expected to be
cost-effective for high-throughput screening of cAMP-PDE inhibitors. The
usefulness of the assay is demonstrated by measuring IC(50) values of three
nonselective PDE inhibitors and by kinetic measurements of cAMP-PDEs from various
rat tissues.
PMID- 22068708
TI - Layer-by-layer evolution and a hysteretic single-crystal to single-crystal
transformation cycle of a flexible pillared-layer open framework.
AB - Two hypothetical crystal-growth intermediates of a new pillared-layer framework
have been isolated. The seemingly rigid pillared-layer framework also undergoes
temperature-induced, time-dependent, hysteretic framework distortion.
PMID- 22068709
TI - Community sodium reduction: is it worth the effort?
PMID- 22068710
TI - Effects of low-sodium diet vs. high-sodium diet on blood pressure, renin,
aldosterone, catecholamines, cholesterol, and triglyceride (Cochrane Review).
AB - BACKGROUND: The question of whether reduced sodium intake is effective as a
health prophylaxis initiative is unsolved. The purpose was to estimate the
effects of low-sodium vs. high-sodium intake on blood pressure (BP), renin,
aldosterone, catecholamines, and lipids. METHODS: Studies randomizing persons to
low-sodium and high-sodium diets evaluating at least one of the above outcome
parameters were included. Data were analyzed with Review Manager 5.1. RESULTS: A
total of 167 studies were included. The effect of sodium reduction in: (i)
Normotensives: Caucasians: systolic BP (SBP) -1.27 mm Hg (95% confidence interval
(CI): -1.88, -0.66; P = 0.0001), diastolic BP (DBP) -0.05 mm Hg (95% CI: -0.51,
0.42; P = 0.85). Blacks: SBP -4.02 mm Hg (95% CI: -7.37, -0.68; P = 0.002), DBP
2.01 mm Hg (95% CI: -4.37, 0.35; P = 0.09). Asians: SBP -1.27 mm Hg (95% CI:
3.07, 0.54; P = 0.17), DBP -1.68 mm Hg (95% CI: -3.29, -0.06; P = 0.04). (ii)
Hypertensives: Caucasians: SBP -5.48 mm Hg (95% CI: -6.53, -4.43; P < 0.00001),
DBP -2.75 mm Hg (95% CI: -3.34, -2.17; P < 0.00001). Blacks: SBP -6.44 mm Hg (95%
CI: -8.85, -4.03; P = 0.00001), DBP -2.40 mm Hg (95% CI: -4.68, -0.12; P = 0.04).
Asians: SBP -10.21 mm Hg (95% CI: -16.98, -3.44; P = 0.003), DBP -2.60 mm Hg (95%
CI: -4.03, -1.16; P = 0.0004). Sodium reduction resulted in significant increases
in renin (P < 0.00001), aldosterone (P < 0.00001), noradrenaline (P < 0.00001),
adrenaline (P < 0.0002), cholesterol (P < 0.001), and triglyceride (P < 0.0008).
CONCLUSIONS: Sodium reduction resulted in a significant decrease in BP of 1%
(normotensives), 3.5% (hypertensives), and a significant increase in plasma
renin, plasma aldosterone, plasma adrenaline, and plasma noradrenaline, a 2.5%
increase in cholesterol, and a 7% increase in triglyceride.
PMID- 22068711
TI - Public health policies: no place for surrogates.
PMID- 22068712
TI - Face emotion processing in depressed children and adolescents with and without
comorbid conduct disorder.
AB - Studies of adults with depression point to characteristic neurocognitive
deficits, including differences in processing facial expressions. Few studies
have examined face processing in juvenile depression, or taken account of other
comorbid disorders. Three groups were compared: depressed children and
adolescents with conduct disorder (n = 23), depressed children and adolescents
without conduct disorder (n = 29) and children and adolescents without disorder
(n = 37). A novel face emotion processing experiment presented faces with
'happy', 'sad', 'angry', or 'fearful' expressions of varying emotional intensity
using morphed stimuli. Those with depression showed no overall or specific
deficits in facial expression recognition accuracy. Instead, they showed biases
affecting processing of low-intensity expressions, more often perceiving these as
sad. In contrast, non-depressed controls more often misperceived low intensity
negative emotions as happy. There were no differences between depressed children
and adolescents with and without conduct disorder, or between children with
comorbid depression/conduct disorder and controls. Face emotion processing biases
rather than deficits appear to distinguish depressed from non-depressed children
and adolescents.
PMID- 22068713
TI - Gender differences in psychopathic traits, types, and correlates of aggression
among adjudicated youth.
AB - The current study investigated gender differences in types and correlates of
aggression among 150 adjudicated youth (M age = 15.2, SD = 1.4). In cluster
analysis, consistent with past studies, one aggressive group characterized by
moderate levels of reactive aggression and one characterized by high levels of
proactive and reactive aggression emerged and these patterns were consistent
across gender. For both boys and girls, the combined proactive/reactive
aggression cluster showed the greatest levels of aggression, impulsivity, and
callous-unemotional traits, supporting a severity over a typology model of
proactive and reactive aggression. Girls displayed significantly higher rates of
physical and relational aggression than boys. Girls were highly aggressive toward
both girls and boys, whereas boys were highly aggressive only toward other boys.
Girls also showed multiple indications of severity and emotionality, indexed by
higher rates of negative affect, anxiety, distress about social provocations, and
empathy.
PMID- 22068715
TI - Distal anterior choroidal artery aneurysm following iatrogenic posterior cerebral
artery occlusion : a case report and review of literature.
AB - Aneurysms of the anterior choroidal artery are uncommon and account for only 2-5%
of all intracranial aneurysms. Distal anterior choroidal artery aneurysms are
rare and the pathogenesis of this aneurysm may be different from typical proximal
ones. We describe an unusual case of ruptured de novo distal anterior choroidal
artery aneurysm in a 55 year-old man who had previously undergone endovascular
proximal occlusion for a fusiform aneurysm of the ipsilateral posterior cerebral
artery (PCA). A comprehensive review of literature using Medline, PubMed and all
related journals was also performed. Only 34 reported distal AchoA aneurysms were
found: 16 associated with moyamoya disease, 10 idiopathic, two with
atherosclerosis, two with arteriovenous malformation, two dissecting, one
infectious and one due to trauma. It is probable that the increased hemodynamic
stress within the AchoA contributed to the formation and rupture of the reported
aneurysm. This late complication needs to be considered when large intracranial
vessels are iatrogenically occluded in the management of complex intracranial
aneurysms.
PMID- 22068716
TI - An innovative method for detecting surgical errors using indocyanine green
angiography during carotid endarterectomy: a preliminary investigation.
AB - BACKGROUND: Carotid endarterectomy (CEA) is the most effective treatment method
of carotid stenosis or occlusion. Surgeons typically check the blood flow in each
vessel using Duplex Doppler ultrasonography or radiocontrast angiography in order
to prevent postoperative complications. Embolic cerebral infarction on the
ipsilateral side has been reported in 4-7% of patients undergoing CEA despite a
tolerable blood flow reported by Duplex ultrasonography. This study was designed
to evaluate a new intraoperative method for detecting technical errors during CEA
using indocyanine green (ICG) angiography. METHODS: Six consecutive patients with
severe carotid stenosis or occlusion underwent CEA. Both ICG angiography and
Doppler ultrasonography were performed before the carotid arterial incision and
after the carotid arterial suture. After injecting ICG dye via an intravenous
route, the internal surface, atheroma, and flow defect were visualized with a
microscope. RESULTS: In ICG angiography, stenotic lesions could be identified as
regions of relatively dark signal intensity. Magnified real-time images could be
created using a microscope with an infrared filter, including three-dimensional
images and detailed images of the inner lumen. These images could then be
compared with the results of Doppler ultrasonography. In the six cases assessed
by both ICG angiography and Doppler ultrasonography, all Doppler results were
acceptable. However, one patient underwent revision surgery because a fluttering
atheroma was detected by ICG angiography. ICG angiography could assume the extent
of severe stenotic area. ICG angiography could also detect mobile lesions such as
a fluttering atheroma. CONCLUSIONS: Intraoperative ICG angiography before
arteriotomy is useful to determine the precise stenotic area and the shape of the
associated plaque. ICG angiography after an arteriotomy site is sutured is also
useful for detecting residual stenosis or fluttering atheroma. ICG angiography
could be an alternative method to Doppler ultrasonography for ensuring a complete
and successful operation and preventing complications.
PMID- 22068717
TI - Intentional partial coiling dome protection of complex ruptured cerebral
aneurysms prevents acute rebleeding and produces favorable clinical outcomes.
AB - BACKGROUND: The coiling of ruptured cerebral aneurysms protects against acute
rebleeding; however, whether partially coiling a ruptured cerebral aneurysm
protects against acute rebleeding has never been demonstrated. OBJECTIVE: This
study was performed to test our hypothesis that intentional partial coiling of
complex ruptured cerebral aneurysms, which are unfavorable for clipping and
cannot be completely coiled primarily, prevents acute rebleeding to allow for
clinical and neurological recovery until definitive treatment and produces
favorable clinical outcomes. METHODS: Data were collected from the prospective
databases of three centers. Only subarachnoid hemorrhage patients that were
treated with a strategy of intentional partial coiling for dome protection were
included. This did not include patients in whom the goal was complete coiling but
only subtotal coil occlusion was achieved. RESULTS: Fifteen patients [aged 51 +/-
13 years; HH 3-5 (n = 7); Fisher 3-4 (n = 9)] were treated with intentional
partial dome protection. Aneurysm size was 12.8 +/- 5.4 mm; neck size 4.9 +/- 3
mm; 12 anterior circulation. Four intentional partial coilings were performed
with balloon assistance. Definitive treatment was performed 92 +/- 90 days later,
with no case of rebleeding. Definitive treatment was clipping (n = 8), stent
coiling (n = 5), Onyx (n = 1), further coiling (n = 1). Clinical outcome was
favorable in 13 cases (GOS 4-5), fair in one (GOS 3), and death in one (GOS 1).
CONCLUSIONS: Judicious use of a treatment strategy of intentional partial dome
protection for complex aneurysms that are not favorable for clipping and in which
complete coiling primarily is not possible may prevent acute rebleeding and
produce favorable clinical outcomes.
PMID- 22068718
TI - Magnetization, Mossbauer and isothermal dilatometric behavior of oxidized
YBa(Co,Fe)4O(7+delta).
AB - Mossbauer spectroscopy and magnetization studies of YBaCo(4-x)Fe(x)O(7+delta) (x
= 0-0.8) oxidized at 0.21 and 100 atm O(2), indicate an increasing role of penta
coordinated Co(3+) states when the oxygen content approaches 8-8.5 atoms per
formula unit. Strong magnetic correlations are observed in YBaCo(4-x)Fe(x)O(8.5)
from 2 K up to 55-70 K, whilst the average magnetic moment of Co(3+) is lower
than that for delta <= 0.2, in correlation with the lower (57)Fe(3+) isomer
shifts determined from Mossbauer spectra. The hypothesis on dominant five-fold
coordination of cobalt cations was validated by molecular dynamics modeling of
YBaCo(4)O(8.5). The iron solubility limit in YBaCo(4-x)Fe(x)O(7+delta)
corresponds to approximately x ~ 0.7. The oxygen intercalation processes in
YBaCo(4)O(7+delta) at 470-700 K, analyzed by X-ray diffraction, thermogravimetry
and controlled-atmosphere dilatometry, lead to unusual volume expansion opposing
to the cobalt cation radius variations. This behavior is associated with
increasing cobalt coordination numbers and with rising local distortions and
disorder in the crystal lattice on oxidation, predicted by the computer
simulations. When the oxygen partial pressure increases from 4 * 10(-5) to 1 atm,
the linear strain in YBaCo(4)O(7+delta) ceramics at 598 K is as high as 0.33%.
PMID- 22068719
TI - Analysis of T-wave Amplitude Adaptation to Heart Rate Using RR-binning of Long
Term ECG Recordings.
AB - The prognosis of patients with coronary artery disease at the early stage of the
disease is a challenge of modern cardiology. There is an urgent need to risk
stratify these patients. Holter technology is a cheap and cost effective tool to
evaluate electrical abnormalities in the heart. We propose to investigate T
amplitude adaptation to heart rate (HR) using RR-binning. We used daytime
recordings from healthy subjects and subjects with acute myocardial infarction
(AMI) from the Telemetric and Holter ECG Warehouse. The AMI subjects were divided
into two groups based on location of their infarction (group A: anterior or
anterior lateral, group B: inferior or inferior lateral). Both AMI groups had
acute and stable phase recordings. Population-based T-adaptation to HR was
observed for healthy subjects (R2 = 0.92) but was less pronounced for AMI
subjects: [Formula: see text].
PMID- 22068720
TI - Modeling and design of optimal flow perfusion bioreactors for tissue engineering
applications.
AB - Perfusion bioreactors have been used in different tissue engineering applications
because of their consistent distribution of nutrients and flow-induced shear
stress within the tissue-engineering scaffold. A widely used configuration uses a
scaffold with a circular cross-section enclosed within a cylindrical chamber and
inlet and outlet pipes which are connected to the chamber on either side through
which media is continuously circulated. However, fluid-flow experiments and
simulations have shown that the majority of the flow perfuses through the center.
This pattern creates stagnant zones in the peripheral regions as well as in those
of high flow rate near the inlet and outlet. This non-uniformity of flow and
shear stress, owing to a circular design, results in limited cell proliferation
and differentiation in these areas. The focus of this communication is to design
an optimized perfusion system using computational fluid dynamics as a
mathematical tool to overcome the time-consuming trial and error experimental
method. We compared the flow within a circular and a rectangular bioreactor
system. Flow simulations within the rectangular bioreactor are shown to overcome
the limitations in the circular design. This communication challenges the
circular cross-section bioreactor configuration paradigm and provides proof of
the advantages of the new design over the existing one.
PMID- 22068721
TI - Children's exposure to metals: a community-initiated study.
AB - In 2007, it was shown that the shipping of lead (Pb) through Esperance Port in
Western Australia resulted in contamination and increased Pb concentrations in
children. A clean-up strategy was implemented; however, little attention was
given to other metals. In consultation with the community, a cross-sectional
exposure study was designed. Thirty-nine children aged 1 to 12 years provided
samples of hair, urine, drinking water, residential soil and dust. Concentrations
of nickel (Ni) and Pb were low in biological and environmental samples. Hair
aluminium (Al) (lower than the detection limit [DL] to 251 MUg/g) and copper (Cu)
(7 to 415 MUg/g), as well as urinary Al (
Pt2 > Pt3.
PMID- 22068825
TI - A method for analysis of lipid vesicle domain structure from confocal image data.
AB - Quantitative characterization of the lateral structure of curved membranes based
on fluorescence microscopy requires knowledge of the fluorophore distribution on
the surface. We present an image analysis approach for extraction of the
fluorophore distribution on a spherical lipid vesicle from confocal imaging
stacks. The technique involves projection of volumetric image data onto a
triangulated surface mesh representation of the membrane, correction of
photoselection effects and global motion of the vesicle during image acquisition
and segmentation of the surface into domains using histograms. The analysis
allows for investigation of the morphology and size distribution of domains on
the surface.
PMID- 22068826
TI - Observation of protein folding/unfolding dynamics of ubiquitin trapped in agarose
gel by single-molecule FRET.
AB - A ubiquitin mutant with two Cys mutations, m[C]q/S65C, was site-specifically
labeled with two dye molecules, Alexa Fluor 488 (donor) and Alexa Fluor 594
(acceptor), due to the different reactivity of these two Cys residues. This
doubly dye-labeled ubiquitin has lower structural stability than wild-type
ubiquitin. Taking advantage of this decreased stability, conformational
heterogeneity of this protein under nondenaturing condition was observed at the
single-molecule level using single-paired Forster resonance energy transfer
(FRET) by trapping the protein in agarose gel. Three conformational populations
corresponding to folded (E (ET) ~ 0.95), loosely packed (E (ET) ~ 0.72), and
unfolded (E (ET) ~ 0.22) structures, and the structural transitions between them
were observed. Our results suggest that agarose immobilization is good for
observing structural dynamics of proteins under native condition.
PMID- 22068827
TI - Guanine triphosphate-cyclohydrolase 1-deficient dopa-responsive dystonia
presenting as frequent falling in 2 children.
AB - Guanine triphosphate (GTP)-cyclohydrolase 1 (GCH1)-deficient dopa-responsive
dystonia is caused by GCH1 gene mutation. Two children presenting with frequent
daily falling are reported with GCH1 gene mutations with persistent response to
low-dose levodopa/carbidopa. Typical and atypical clinical features associated
with GCH1 mutations are also reviewed.
PMID- 22068828
TI - Evaluation of intraventricular hemorrhage in pediatric intracerebral hemorrhage.
AB - Previous studies of pediatric intracerebral hemorrhage have investigated isolated
intraparenchymal hemorrhage. The authors investigated whether detailed assessment
of intraventricular hemorrhage enhanced outcome prediction after intracerebral
hemorrhage. They prospectively enrolled 46 children, full-term to 17 years,
median age 2.7 years, with spontaneous intraparenchymal hemorrhage and/or
intraventricular hemorrhage. Outcome was assessed with the King's Outcome Scale
for Childhood Head Injury. Twenty-six (57%) had intraparenchymal hemorrhage, 10
(22%) had pure intraventricular hemorrhage, and 10 (22%) had both. There were 2
deaths, both with intraparenchymal hemorrhage and intraventricular hemorrhage
volume >=4% of total brain volume. Presence of intraventricular hemorrhage was
not associated with poor outcome, but hydrocephalus showed a trend (P = .09)
toward poor outcome. In receiver operating characteristic curve analysis,
combined intraparenchymal hemorrhage and intraventricular hemorrhage volume also
showed a trend toward better outcome prediction than intraparenchymal hemorrhage
volume alone. Although not an independent outcome predictor, future studies
should assess intraventricular hemorrhage qualitatively and quantitatively.
PMID- 22068829
TI - Skewed allele-specific expression of the NF1 gene in normal subjects: a possible
mechanism for phenotypic variability in neurofibromatosis type 1.
AB - Neurofibromatosis type 1 is an autosomal dominant disorder characterized by
neurocutaneous abnormalities, learning disabilities, and attention-deficit
disorder. Neurofibromatosis type 1 symptom severity can be highly variable even
within families where all affected members carry the same mutation. We
hypothesized that variation in the expression of the normal NF1 allele may be a
mechanism that participates in producing variable phenotypes. We performed
allelic expression imbalance assays on healthy control individuals to estimate
the prevalence of skewed allelic expression of the NF1 gene. Approximately 30% of
individuals in our sample population showed significant skewing of allelic
expression away from the expected 50:50 ratio, indicating that differential
regulation of the NF1 alleles occurs in a high proportion of individuals.
Differences of up to 25% in allele-specific expression of the NF1 alleles were
identified. In individuals with Neurofibromatosis type 1, who carry a mutant
allele (haploinsufficient), this degree of expression skewing may be sufficient
to modulate the phenotype.
PMID- 22068830
TI - Opioid use and dosing in the workers' compensation setting. A comparative review
and new data from Ohio.
AB - BACKGROUND: Many authorities are concerned about the rising use and the potential
overuse of opioid pain medications. A study of opioid prevalence and dosage in
Ohio's workers' compensation (WC) system was conducted, with comparisons made to
opioid use in other WC and non-WC settings. METHODS: Systematic literature
reviews of WC and non-WC opioid use and dosage nationally were conducted. Two
years of Ohio WC data (2008-2009) were analyzed to determine average daily
morphine equivalent dose (MED), opioid costs, pharmacies used per claimant, and
extent of long-duration cases. RESULTS: Nearly one-fifth (19.2%) of Ohio WC
claims involved opioid use, compared to 31.8% in other WC systems and 17.9% in
non-WC settings. Mean MED was 57.5 mg, compared to 47.8 mg in other WC systems,
and 41.8 mg among non-WC populations. Nearly 10% of WC claims involved relatively
high MED exceeding 120 mg/day. CONCLUSION: Policy makers need to develop
strategies for addressing high opioid use in WC systems.
PMID- 22068831
TI - A Comparison of IIR and Wavelet Filtering for Noise Reduction of the ECG.
AB - This study compares the ability to preserve information and reduce noise
contaminants on the ECG for five wavelet filters and three IIR filters. Two 3
lead Holter ECGs were used. White Gaussian Noise was added to the first ECG in
increments of 10% coverage. The second ECG contained alternating muscle
transients and noise-free segments. Computation times and SNR improvements for
different noise coverages were calculated and compared. RMS errors were
calculated from noise-free segments on the ECG with transient muscle noise.
Wavelet filters improved SNR more than IIR filters when the signal coverage was
more than 50% noise. In contrast, the computation times were shorter for IIR
filters (6 s) than for wavelet filters (88 s). On the ECG with transient muscle
noise there was a trade-off in performance between wavelet and IIR filtering. In
a clinical setting where the amount of noise is unknown, using IIR filters
appears to be preferred for consistent performance.
PMID- 22068832
TI - Effect of different intensity pulsed ultrasound on the restoration of rat
skeletal muscle contusion.
AB - Muscle damage is a common form of injury. The incidence of muscle damage accounts
for up to half of the sports injuries. The aim of this study was to investigate
the effect of pulsed ultrasound on the healing process in an animal contusion
injury model. SD rats (62) were randomly divided into control group (CG, 14 rats)
and treatment group (48). According to the intensities of Ultrasound therapy, the
treatment group was divided into 4 subgroups of 12 rats, each: A (0.25 W/cm(2),
US(1)), B (0.5 W/cm(2), US(2)), C (0.75 W/cm(2), US(3)) and D (0.25 W/cm(2)). The
effectiveness of ultrasound treatment on muscle injuries was evaluated, and the
optimal intensity of ultrasound in treating muscle injuries was explored. The
results obtained provide experimental and theoretical evidence for the clinical
effectiveness of Ultrasound therapy in treating muscle injuries.
PMID- 22068833
TI - A case study of a high-status human skeleton from Pacopampa in Formative Period
Peru.
AB - The Pacopampa site is located in the northern highlands of Peru and is an
archaeological site belonging to the Formative Period (2500-1 BC). The excavation
of the Pacopampa site yielded unusual human skeletons from the main platform of a
ceremonial center of the site during the 2009 field season. The skeletal remains
were associated with a pair of gold earplugs, a pair of gold earrings, and shell
objects. This specimen is possibly a female aged 20-39 years. Detailed
examination of the neurocranium revealed the presence of artificial cranial
deformation with decreased cranial length, increased cranial breadth, and lateral
bulging of the parietal bones. The estimated stature of this individual was 162
cm, which is about 15 cm higher than that of contemporary females of Pacopampa
and about 20-25 cm higher than that of other Formative Period sites in northern
Peru. The peculiarity of this individual, detected not only in the cultural
artifacts but also in the physical features, is possible evidence for social
stratification in the Formative Period.
PMID- 22068834
TI - Isolation of prostate tumor initiating cells (TICs) through their
dielectrophoretic signature.
AB - In this study, the dielectrophoretic response of prostate tumor initiating cells
(TICs) was investigated in a microfluidic system utilizing contactless
dielectrophoresis (cDEP). The dielectrophoretic response of prostate TICs was
observed to be distinctively different than that for non-TICs, enabling them to
be sorted using cDEP. Culturing the sorted TICs generated spheroids, indicating
that they were indeed initiating cells. This study presents the first marker-free
TIC separation from non-TICs utilizing their electrical fingerprints through
dielectrophoresis.
PMID- 22068835
TI - Transportation Difficulty of Black and White Rural Older Adults.
AB - The purpose of this study was to understand self-reported transportation
difficulty among rural older adults. We used data from the UAB Study of Aging
(255 Black and 259 White), community-dwelling participants residing in rural
areas. We examined the relationship of predisposing characteristics, enabling
resources, and measures of need for care with self-reports of transportation
difficulty. Blacks reported having more transportation difficulty than Whites
(24.7% vs. 11.6%; p <= .05). When we introduced other variables, race differences
disappeared, but there was a race by income interaction with transportation
difficulty. Whites with lower incomes were more likely to have transportation
difficulty than Whites with higher incomes. When data from Blacks and Whites were
analyzed separately, income was the only variable associated with transportation
difficulty among Whites. Among Blacks, income was not related to transportation
difficulty but several variables other than income (age, gender, marital status,
MMSE scores and depression) were.
PMID- 22068838
TI - Nanoparticle induced piezoelectric, super toughened, radiation resistant, multi
functional nanohybrids.
AB - We have developed multifunctional nanohybrids of poly(vinylidene fluoride-co
chlorotrifluoroethylene) (CTFE) with a small percentage of surface modified
inorganic layered silicate showing dramatic improvement in toughness, radiation
resistant and piezoelectric properties vis-a-vis pristine polymer. Massive
intercalation (d(001) 1.8 -> 3.9 nm) of polymer inside the nanoclay galleries and
unique crystallization behavior of the fluoropolymer on the surface of individual
silicate layer has been reported. Toughness in the nanohybrid increases more than
three orders of magnitude as compared to pure CTFE. High energy radiation (80 MeV
Si(+7)) causes chain session, amorphization and creates olefinic bonds in the
pure polymer while the nanohybrids are radiation resistant at a similar dose.
Nanoclay induces the metastable piezoelectric beta-phase in CTFE, suitable for
sensor and actuator application. Molecular level changes after irradiation and
controlled morphology for smart membrane have been confirmed by using
spectroscopy, sol-gel technique, surface morphology studies and in situ residual
gas analysis.
PMID- 22068837
TI - Yeast RNA viruses as indicators of exosome activity: human exosome hCsl4p
participates in RNA degradation in Saccharomyces cerevisiae'.
AB - The exosome is an evolutionarily conserved 10-mer complex involved in RNA
metabolism, located in both the nucleus and the cytoplasm. The cytoplasmic
exosome plays an important role in mRNA turnover through its 3'->5'
exonucleolytic activity. The superkiller (SKI) phenotype of yeast was originally
identified as an increase of killer toxin production due to elevated levels of
the L-A double-stranded RNA (dsRNA) Totivirus and its satellite toxin-encoding M
dsRNA. Most SKI genes were later shown to be either components of the exosome or
modulators of its activity. Variations in the amount of Totivirus are, thus, good
indicators of yeast exosome activity, and can be used to analyse its components.
Furthermore, if exosome proteins of higher eukaryotes were functional in S.
cerevisiae, these viruses would provide a simple tool to analyse their function.
In this work, we have found that hCSL4, the human orthologue of SKI4 in the yeast
exosome, rescues the null phenotype of the deletion mutant. hCsl4p shares with
Ski4p conserved S1 RNA-binding domains, but lacks the N-terminal third of Ski4p.
Nevertheless, it interacts with the Dis3p exonuclease of yeast exosome, and
partially complements the superkiller phenotype of ski4-1 mutation. The
elimination of the N-terminal third of Ski4p does not affect its activity,
indicating that it is dispensable for RNA degradation. We have also identified
the point mutation G152E in hCSL4, equivalent to the ski4-1 mutation G253E, which
impairs the activity of the protein, thus validating our approach of using yeast
RNA virus to analyse the exosome of higher eukaryotes.
PMID- 22068839
TI - Encapsulated oligothiophenes having electron-affinity characteristics.
AB - Oligothiophenes composed of dioxocyclopenta[c]thiophene bearing bulky bis(di-t
butylphenyl) groups were designed and synthesized to develop molecular wires
having electron-transporting characteristics. Their effective conjugation,
electron affinity, and encapsulation effects were investigated by photophysical
and electrochemical measurements.
PMID- 22068836
TI - MicroRNAs in opioid pharmacology.
AB - MicroRNAs (miRNA), a class of ~22-nucleotide RNA molecules, are important gene
regulators that bind to the target sites of mRNAs to inhibit the gene expressions
either through translational inhibition or mRNA destabilization. There are
growing evidences that miRNAs have played several regulatory roles in opioid
pharmacology. Like other research fields such as cancer biology, the area where
numerous miRNAs are found to be involved in gene regulation, we assume that in
opioid studies including research fields of drug additions and opioid receptor
regulation, there may be more miRNAs waiting to be discovered. This review will
summarize our current knowledge of miRNA functions on opioids biology and briefly
describe future research directions of miRNAs related to opioids.
PMID- 22068841
TI - Stem cell differentiation depending on different surfaces.
AB - Mesenchymal stem cells and 3D biomaterials are a potent assembly in tissue
engineering. Today, a sizable number of biomaterials has been characterized for
special tissue engineering applications. However, diverse material properties,
such as soft or hard biomaterials, have a specific influence on cell behavior.
Not only the cell attachment and proliferation, but also differentiation is
controlled by the microenvironment. Material characteristics such as pore size,
stiffness, roughness, and geometry affect not only the cell attachment and
proliferation, but also the differentiation behavior of mesenchymal stem cells.
Optimization of these features might enable direct differentiation without
adjustment of the culture medium by applying expensive growth or differentiation
factors. Future aspects include the design of multilayered biomaterials, where
each zone fulfills a distinct function. Moreover, the embedding of growth and
differentiation factors into the matrix with a controlled release rate might be
advantageous to direct differentiation.
PMID- 22068840
TI - Characteristics and management of intractable neck involvement in tularemia:
report of 19 patients.
AB - The objective of this study is to determine the clinical characteristics and to
evaluate the treatment options of intractable neck involvement in tularemia. The
medical records of 19 tularemia patients with neck involvement were reviewed
retrospectively. On physical examination, fluctuation indicating an abscess
formation was detected in 78.9% of the patients. Bilateral involvement was seen
in 15.8% of the patients. The most common clinical form was glandular form
(63.1%). The most commonly involved lymph node group was upper jugular nodes
(78.6%). Six patients underwent incision and drainage procedure, five patients
underwent superselective neck dissection and eight patients had only medical
treatment. Complete and immediate cure, and better tissue healing with less
scarring could be achieved in all patients who underwent superselective neck
dissections. In conclusion, intractable neck masses and an abscess can be the
initial finding in tularemia, and a high index of suspicion is needed in the
differential diagnosis. Superselective neck dissection is a safe and effective
option in the treatment of long lasting cervical tularemia unless it responds to
medical treatment.
PMID- 22068842
TI - Genetic aspects of cell line development from a synthetic biology perspective.
AB - Animal cells can be regarded as factories for the production of relevant
proteins. The advances described in this chapter towards the development of cell
lines with higher productivity capacities, certain metabolic and proliferation
properties, reduced apoptosis and other features must be regarded in an
integrative perspective. The systematic application of systems biology approaches
in combination with a synthetic arsenal for targeted modification of endogenous
networks are proposed to lead towards the achievement of a predictable and
technologically advanced cell system with high biotechnological impact.
PMID- 22068843
TI - First-principles study of electronic and magnetic properties of transition metal
adsorbed h-BNC2 sheets.
AB - Adsorption of Fe, Co and Ni atoms on a hybrid hexagonal sheet of graphene and
boron nitride is studied using density functional methods. Most favorable
adsorption sites for these adatoms are identified for different widths of the
graphene and boron nitride regions. Electronic structure and magnetic properties
of the TM-adsorbed sheets are then studied in detail. The TM atoms change the
electronic structure of the sheet significantly, and the resulting system can be
a magnetic semiconductor, semi-metal, or a non-magnetic semiconductor depending
on the TM chosen. This gives tunability of properties which can be useful in
novel electronics applications. Finally, barriers for diffusion of the adatoms on
the sheet are calculated, and their tendency to agglomerate on the sheet is
estimated.
PMID- 22068844
TI - [Whole-body MRI in preoperative diagnostics of breast cancer--a comparison with
[corrected] staging methods according to the S 3 guidelines].
AB - PURPOSE: The German Society of Senology (step-3 guidelines for the early
recognition of breast cancer in Germany) recommends whole-body staging including
chest X-ray, ultrasound of the liver and bone scintigraphy before systemic
therapy in patients with breast cancer. The performance of these three
examinations is time-consuming and involves radiation exposure. Whole-body MR
imaging (WB-MRI) allows staging in a single examination without radiation
exposure. The purpose of this study was to compare the diagnostic accuracy of WB
MRI with staging according to the guidelines. MATERIALS AND METHODS: During 04/07
and 06/09, the initial staging in 51 patients (56 +/- 12 yrs) with breast cancer
(24 patients with lymph node metastases) was performed according to the S 3
guidelines. Additionally, all patients underwent contrast-enhanced WB-MRI (1.5
Tesla-Magnetom Avanto, Siemens, Erlangen). The findings of the different
modalities were compared after correlation of the lesions by follow-up. The
detection of suspicious findings and the accuracy of prediction of malignancy of
the detected lesions were evaluated. RESULTS: Overall, 14 metastases were
detected in 4 of 51 patients after completion of the follow-up. By means of WB
MRI, all 14 metastases could be detected, while just 4 of these metastases were
identified by the conventional methods. CONCLUSION: The detection of distant
metastases has an important impact on patient management. In this study WB-MRI in
breast cancer staging has shown promising results in regard to possible clinical
implementation as a matter of routine staging.
PMID- 22068845
TI - [An unusual combination of fibromuscular dysplasia sites].
PMID- 22068846
TI - [Clinical application of flat-panel CT in the angio suite].
AB - The introduction of flat-panel detectors in modern angiographic C-arm systems
makes it possible to acquire CT-like images in the angiographic suite (flat-panel
CT; FD-CT). In this review, after a short technical introduction of FD-CT
including the discussion of the advantages and disadvantages of this new imaging
modality, the most commonly and best evaluated indications for FD-CT will be
described. FD-CT has become an important adjunctive imaging modality in
neurointerventional procedures and embolizations, especially during liver
embolization. Another attractive indication for FD-CT is musculoskeletal
interventions. FD-CT may provide important additional information in complicated
peripheral vascular interventions and stent-graft procedures. FD-CT has a broad
spectrum of possible applications, which finally depends on the experience and
innovative thinking of the operator. However, it has to be kept in mind that FD
CT causes additional radiation exposure. Roughly, it can be assumed that
currently one FD-CT sequence causes at least the same radiation exposure as one
spiral CT sequence of the corresponding body region.
PMID- 22068847
TI - Impact factor and insertion of the ABO in the world scientific literature.
PMID- 22068848
TI - [Frequency of occurrence of eyelid basal cell carcinoma in the centralwest region
of Sao Paulo State and carriers characteristics].
AB - PURPOSE: To observe the frequency of occurrence of eyelid basal cell carcinoma in
the centralwest region of Sao Paulo State and to describe the demographic profile
of the basal cell carcinoma carriers. METHODS: Transversal study, using a random
sampling, carried out in 12 cities in the centralwest region of Sao Paulo State
evolving 11,167 individuals. Patients were evaluated in a Mobile Unit, with
complete ophthalmologic evaluation. The diagnosis of eyelid basal cell carcinoma
was done through clinical examination and biomicroscopy of the lesion if
desirable. The basal cell carcinoma carriers diagnosed were referred to
Oculoplastic Clinic of Faculdade de Medicina de Botucatu for treatment. Data were
submitted to analysis of frequency of occurrence. RESULTS: Five cases of eyelid
basal cell carcinoma were identified in the sample, corresponding to a frequency
of occurrence of 0.045%. Four patients were female, most with age equal or
greater than 70 year-old and all the cases had white skin color. Only three
individuals conveyed attended the service for excision of the lesion and
diagnostic confirmation. CONCLUSION: The eyelid basal cell carcinoma affects
0.045% of the inhabitants of the centralwest region of Sao Paulo State, affecting
mainly the 70 year-old female range.
PMID- 22068849
TI - Cytological features of live limbal tissue donor eyes for autograft or allograft
limbal stem cell transplantation.
AB - PURPOSE: To evaluate by impression cytology (IC) the corneal surface of live
limbal tissue donor eyes for autograft or allograft limbal stem cell
transplantation (LSCT). METHODS: Twenty limbal donors were enrolled (17 for
autograft LSCT and 3 for allograft). Impression cytology was performed before
transplantation of superior and inferior limbal grafts and after the third
postoperative month. RESULTS: Impression cytology analysis showed sheets of
corneal epithelial cells and goblet cell absence beyond the edge of the
keratectomy sites in all patients, suggesting that conjunctival invasion towards
the center did not occur in any eye. Partial conjunctivalization within 2 to 3
clock hours, confirmed by the presence of goblet cells, was limited to the
keratectomy site in 10% of the cases. CONCLUSION: A clear central corneal surface
was demonstrated in all eyes following surgery leading to the conclusion that
limbal donation was a safe procedure in this group of patients. A small
percentage of eyes can have donor sites re-epithelized with conjunctival cells at
the periphery of the cornea.
PMID- 22068850
TI - Postconceptional age at the treatment of retinopathy of prematurity in inborn and
referred preterm infants from the same institution.
AB - PURPOSE: The outcomes of the treatment of retinopathy of prematurity (ROP) seem
to be better in inborn patients than in those patients who were referred for ROP
treatment. This study aims to investigate the timing of treatment and the
outcomes in inborn patients and in patients referred for treatment to the
Hospital de Clinicas de Porto Alegre, Brazil. METHODS: An institutional
prospective cohort study was conducted from 2002 to 2010 and included in group 1
all inborn preterm neonates treated for retinopathy of prematurity and in group 2
all babies referred for treatment to the same institution. All of the included
patients presented birth weight (BW) <=1,500 g and/or gestational age (GA) <=32
weeks. Main outcomes were postconceptional age at the treatment and one year
follow-up outcomes in both groups. The considered variables were: BW, GA, stage
and location of retinopathy of prematurity at treatment. RESULTS: Group 1
comprised 24 inborn patients. Mean BW and GA at birth were 918 +/- 232 g and 28.2
+/- 2.1 weeks, respectively, and median post-conceptional postconceptional age at
treatment was 37 weeks. Group 2 comprised 14 infants transferred for treatment.
Mean BW and GA at birth were 885 +/- 188 g and 28.2 +/- 2.4 weeks, respectively,
and median postconceptional age at treatment was 39 weeks. Mean BW and GA were
similar in both groups (P=0.654 and P=0.949, respectively), but the difference
among the postconceptional age was significant (P=0.029). CONCLUSIONS: Inborn
patients were treated for retinopathy of prematurity during the 37(th) week of
postconceptional age while transferred patients were treated, usually, after the
39(th) week postconceptional age. The worst outcomes observed among referred
patients could be partially explained by the delayed time for treatment.
PMID- 22068851
TI - [Ocular findings in children with congenital toxoplasmosis].
AB - PURPOSE: This study aimed to know the most common ocular findings in children
with congenital toxoplasmosis. METHODS: This is a retrospective study carried out
from a historical cohort, with a quantitative approach. We evaluated children
referred to a pediatric infectious disease service and included only those with
confirmed diagnosis of congenital toxoplasmosis. The ophthalmologic evaluation
included regular fundus examination under pupil dilation. RESULTS: Of 58 children
presumably exposed to risk of the disease during the pregnancy, 20 had ocular
lesions during the first year of life (34 eyes). Of these, 12 were asymptomatic
at birth. Strabismus was noted in 14 children (70%). In one child there was
ptosis, and another had decrease in the palpebral fissure (microphthalmia).
Retinochoroiditis was the most common complication, present in all 20 children.
Seven children (35%) showed unilateral changes and 13 children showed bilateral
changes (65%), with emphasis on the location in the posterior pole and macula.
CONCLUSION: Retinochoroiditis and strabismus were outstanding as important
sequelae of congenital toxoplasmosis.
PMID- 22068852
TI - Real-time PCR in infectious uveitis as an alternative diagnosis.
AB - PURPOSE: Uveitis is a major visual impairment disease affecting parts or the
entire uveal tract and occasionally the sclera, the cornea or the optic nerve.
The disease is a major cause of ocular morbidity and blindness in immunocompetent
and immunocompromised patients. In this work we analyzed the sensitivity and
specificity of real-time PCR to detect the etiological agent from blood, plasma,
vitreous and aqueous humor and compared with the diagnostic hypothesis. METHODS:
Twenty-seven patients (13 male) were studied and Real-time PCR method was used
for the detection of herpes simplex virus 1 (HSV-1), herpes simplex virus 2 (HSV
2), varicella zoster virus (VZV), cytomegalovirus (CMV), Mycobacterium
tuberculosis (TB) and Toxoplasma gondii (Toxo) in the aqueous humor as well as in
the vitreous, blood and plasma. RESULTS: Our results showed the presence of Toxo,
CMV, VZV or HSV-2 in 19.2% of aqueous humor samples, and in 30% of vitreous humor
samples. In plasma and blood samples, only CMV was detected (11.1% and 3.7%,
respectively). CONCLUSION: Real-time PCR was able to detect and to confirm
diagnostic hypothesis in uveitis. Our data also confirms that vitreous humor is
the best source for molecular diagnosis of infectious uveitis but indicates
aqueous humor samples that are easier to obtain may also be appropriate to be
tested by Real-time PCR.
PMID- 22068853
TI - [Functional vision assessment in children with low vision from two to six years
of age - a comparative study].
AB - PURPOSE: The Functional Vision Assessment (AVIF-2 to 6 years)'s capacity to
differentiate visual ability levels in children with low vision was analyzed. The
AVIF-2 to 6 years was created at the Infantile Low Vision Sector from Sao Geraldo
Hospital, Brazil. METHODS: After a debate among professionals from different
areas regarding the appropriateness of the test items, AVIF-2 to 6 years was
applied to 40 children aged from 2 to 6 years; twenty children with low vision
(Group 1) and twenty without it (Group 2) were assessed. Group 1 was recruited
from the Infantile Low Vision Sector from Sao Geraldo Hospital. Group 2 comprised
children from two different public day care centers and children were matched by
age, gender and social level with group 1. Seven domains were studied: visual
fixation, visual following, visual field confrontation, eye-hand coordination and
surrounding locomotion, contrast vision and color vision discrimination. Group 1
children were submitted to a complete ophthalmologic exam and group 2 to
ophthalmologic screening. Children with low vision and neurologic disease were
excluded. Comparative analyzes were performed for both groups and for distinct
subgroups classified by age (24 to 35 months, 36 to 59 months and 60 to 78
months) and by visual acuity subgroups (<1.0 logMAR and >=1.0 logMAR). RESULTS:
The scores at total AVIF-2 to 6 years and its domains were statistically
significant (p<0.05), except for the contrast vision and color vision
discrimination domains. The total AVIF- 2 to 6 years median was lower for group 1
at the three interval ages. CONCLUSION: AVIF- 2 to 6 years can discriminate
different levels of functional vision of low vision children, however the authors
emphasize that although the results are encouraging, further studies shall be
done until the test is ready for clinical use.
PMID- 22068854
TI - [Surgical correction of permanent exotropia outcomes in amblyopic and non
amblyopic patients].
AB - Permanent exotropia (XT) occurs in 1 to 2% of the pediatric population. Its
management involves careful assessment of patient, treatment of amblyopia,
refractive errors and surgery. The aim of the surgery is to straighten the eyes
in the primary gaze position, giving a better cosmetic outcome. The factors
reported to affect surgical outcome after exotropia surgery vary widely in
reports and success rates for strabismus surgery have been reported to range from
60% to 80%. There are few reports to determine the relation between amblyopia and
surgical outcome in exotropic patients. PURPOSE: To compare the surgical outcome
of permanent exotropia surgery in amblyopic and non-amblyopic patients. METHODS:
This is a retrospective study of 37 clinical records from amblyopic patients
(Group A) and non-amblyopic patients (Group B) who underwent recess-resect in one
eye for XT. Postoperative deviation was analyzed in one month (immediate) and in
six months (final) in both groups and in between. Age: group A 24.7 +/- 14.2
years, group B 22.6 +/- 18.6 years; Preoperative deviation: group A 29.1 +/-
7.2(Delta), group B 28.4 +/- 6.8(Delta). RESULTS: The success rate in the
immediate postoperative period was 60% (Group A) and 100% (Group B) (p<0.05); 50%
(Group A) and 82.3% (Group B) (p=0.082) in the final postoperative period. There
was a statistical difference in the immediate postoperative deviation, but the
final deviation and the variation of the deviation were similar in both groups.
CONCLUSION: There is a better outcome in patients of group B and no diference in
the surgical outcome between these amblyopic and non-amblyopic patients in the
final postoperative period.
PMID- 22068855
TI - Health seeking behavior of the families of children with cataract attending an
eye clinic in Rio de Janeiro, Brazil.
AB - PURPOSE: To determine the health-seeking behavior of the families of children
presenting with congenital and developmental cataract attending "Instituto
Brasileiro de Oftalmologia" (IBOL), Rio de Janeiro, Brazil. METHODS: Caregivers
of consecutive eligible children were interviewed using a pretested questionnaire
and medical records were reviewed to collect information about their health
seeking behavior and socioeconomic status in June and July of 2008. RESULTS: Data
from 70 children were gathered, from which 42 (60.0%) had bilateral disease.
Fifty-eight (82.9%) cases were considered congenital and 12 (17.1%)
developmental. Presentation delay was observed in 33 (47.1%) children. Having
insurance (adjusted OR 0.17; 95% CI 0.04 - 0.82) and being the only child
(adjusted OR 0.16; 95% CI 0.04 - 0.69) decreased likelihood of late presentation.
CONCLUSIONS: Delayed detection and presentation for treatment of non-traumatic
pediatric cataract are still significant problems in the state of Rio de Janeiro.
Early recognition as well as prompt referral and appropriate treatment have to be
improved, especially at the public sector.
PMID- 22068856
TI - [Study of retinopathy of prematurity in a university hospital].
AB - PURPOSE: To evaluate the prevalence of retinopathy of prematurity (ROP) in
newborns, classify the cases, describe the risk factors for disease and
treatment. METHODS: A retrospective observational cross-sectional study including
newborns with gestational age <= 32 weeks and/or weight <= 1,500 g admitted to
the neonatal intensive care unit of the Hospital de Clinicas, Universidade
Federal de Uberlandia (HC-UFU) during the period of July 2005 to June 2007.
RESULTS: We analyzed 148 patients. In 66 (44.6%) ROP was detected; 82 (55.4%)
showed no disease. The statistically significant risk factors were: birth weight
(p=0.0001), gestational age (p=0.0001), mechanical ventilation (p=0.0001), blood
transfusion (p=0.0001), and postconceptional age (PCA) (p=0.0001). Of the 66
premature infants with ROP, 77% were treated medically (follow-up with indirect
ophthalmoscopy) and 23% required surgical treatment or photocoagulation.
CONCLUSION: Based on the data above, the prevalence observed in this study was
high. The development of ROP was inversely proportional to the weight and
gestational age at birth.
PMID- 22068857
TI - Functional and cosmetic results of a lower eyelid crease approach for external
dacryocystorhinostomy.
AB - PURPOSE: External dacryocystorhinostomy is routinely performed through a
cutaneous vertical incision placed on the lateral aspect of the nose. The lower
eyelid crease approach has been seldom reported. The purpose of this study is to
report the cosmetic and functional results of the lid crease approach for
external dacryocystorhinostomy in a series of patients. METHODS: Prospective,
interventional case series. Twenty-five consecutive patients (17 women) ranging
in age from 3 to 85 years (mean +/- SD= 44.84 +/- 23.67) were included in the
study. All patients but one underwent unilateral external dacryocystorhinostomy
with a 10 to 15 mm horizontal incision placed on a subciliary relaxed eyelid
tension line. The inner canthus was photographed with a Nikon D70S digital camera
with a macrolens and resolution of 3008 x 2000 pixels at 1, 3 and 6 months after
surgery. The resulting scar was judged from the photographs by 3 observers
(ophthalmologist, plastic and head and neck surgeons) according to a four level
scale (1= unapparent, 2= minimally visible, 3= moderately visible, 4= very
visible). RESULTS: The surgery was easily performed in all patients with a 90.48%
success. Three of the elderly patients (ages 61, 79 and 85 yr) developed mild
lacrimal punctum ectropion, which resolved with conservative treatment. One
patient had a hypometric blink which spontaneously recovered within one month.
The mean score for scar visibility was 2.19 (1(st) mo), 1.65 (3(th) mo) and 1.44
(6(th) mo). CONCLUSIONS: The eyelid crease approach is an excellent option for
external dacryocystorhinostomy. It leaves an unapparent scar since the first
month after surgery, even in younger patients. The functional results are
excellent and comparable to other techniques. Care should be taken in elderly
patients with lower eyelid laxity in order to prevent lacrimal punctum ectropion.
PMID- 22068858
TI - Danish type gelsolin-related amyloidosis in a Brazilian family: case reports.
AB - Familial amyloidosis of the Finnish type (FAF) is an autosomal dominant form of
systemic amyloidosis showing marked geographic clustering in Finland. The disease
is caused by a point mutation, 654G-A, in the gelsolin gene. The Danish-subtype
of FAF has been previously described in three families, the patients present
clinical findings similar to FAF, and the mutation 654G-T in the gelsolin gene.
Three members from two generations of the same family, with familial amyloidosis,
were screened for mutations in the GSN gene. Genomic DNA was extracted from
peripheral blood lymphocytes and the polymerase chain reaction (PCR) was carried
out under standard conditions, using appropriate primers. Sequence analysis
showed the presence of a G to T transition at nucleotide 654 of the gelsolin
gene. This is the first report of gelsolin-related familial amyloidosis in a
Brazilian family, and the result is particularly significant as this pedigree
presents an unusual mutation, described previously in three families, with no
known Finnish ancestors (Danish type).
PMID- 22068859
TI - Intravitreal injection of ranibizumab for foveal-macular pattern dystrophy: case
report.
AB - In the recent years, anti-angiogenic medications have successfully treated other
diseases associated with choroidal neovascularization. The anti-angiogenic
therapy alone or combined with LASER and/or steroids has been effective in
controlling ocular neovascularization, not only restricted to the treatment of
typical membranes due to macular degeneration in the wet form. The discovery and
subsequent use of these drugs has revolutionized medicine and ophthalmology. This
report illustrates an example of successful treatment in a challenging pathology
where it was found important visual and anatomical response after the use of
ranibizumab.
PMID- 22068860
TI - [Familial exudative vitreoretinopathy simulating Coats disease: case report].
AB - We report the case of a seven year-old male patient, born at term without any
perinatal complications, referred to the Retina/Vitreous Service for diagnostic
elucidation. He had a history of progressive visual acuity loss on his left eye
that started four years ago. On examination, he had decreased corneal diameter
and corectopia of the right eye (OD), without any noteworthy findings on the
biomicroscopy of the left eye (OS). The fundus of the OD revealed total retinal
detachment, and the OS initially showed peripheral retinal vascular abnormalities
and retinal exudation, associated with retinal vitreous traction on the temporal
sector. The CT and MRI of the brain/orbits showed no abnormalities, except for
findings suggestive of an old retinal detachment on the OD, confirmed by
ultrasonography, which also showed microphthalmia of the OD. The diagnosis of
familial exudative vitreoretinopathy, a rare disease of autosomal dominant
inheritance and related to consanguineous marriages, that can initially simulate
Coats disease, was proposed. The patient was treated with diode laser
photocoagulation in the temporal periphery of the OS, with improvement in the
areas of vitreoretinal traction.
PMID- 22068861
TI - [Perfluoro-n-octane in orbital cavity after posterior vitrectomy and suture of
eye perforating injury: case report].
AB - A case of a perfluoro-n-octane leakage into the orbital cavity after
corneoscleral suture, scleral buckling and pars plana vitrectomy in an eye with
perforating injury after trauma is reported for the first time. A previously
healthy 39-year-old man was sent for ophthalmic evaluation one day after
suffering a penetrating ocular trauma in his right eye while hammering a nail. On
the initial evaluation, the patient presented sudden reduction of visual acuity
on his right eye with a perforating corneoscleral injury, intraocular foreign
body and retinal detachment. The patient was submitted to corneoscleral suture,
pars plana vitrectomy with perfluoro-n-octane administration to flatten the
retina and scleral buckling, when it was found transfixation of the globe by the
intraocular foreign body. Postoperatively, computed tomography scans of the orbit
were ordered due to proptosis, which showed the presence of hyperdense images,
suggesting leakage of perfluoro-n-octane into the orbital cavity. Thus, in cases
of perforating eye injury, one should be suspicious about the possibility of
intraocular foreign body, as well as possible occurrence of transfixation of the
globe when scheduling the surgery.
PMID- 22068862
TI - Meta-analysis of beta radiation augmentation for trabeculectomy - results in
distinct ethnic groups.
AB - PURPOSE: To conduct a systematic review with meta-analysis on the efficacy of
trabeculectomy (TREC) followed by beta irradiation (BRT/TREC) compared to TREC
alone for glaucoma in terms of intraocular pressure (IOP) control and adverse
effects of treatment in different ethnic groups. METHODS: A meta-analysis of
randomized controlled trials (RCT) was performed comparing adjunct BRT treatment
for glaucoma with standard TREC after 12 months. The MEDLINE, EMBASE, LILACS, and
Cochrane Library databases, Trial registers, bibliographic databases and recent
studies of relevant journals were searched. Two reviewers independently reviewed
relevant reports and the references from these reports were searched for
additional trials, using guidelines set by QUOROM statement criteria. RESULTS: Of
a total of 1,350 citations, eight studies (five cohorts, three randomized) were
identified and only 3 RCT were included in this meta-analysis. Higher IOP
reductions were verified in the BRT arm compared to the control arm (mean
difference=1.68 mmHg, 95% CI= 0.61-2.68, P=0.002). Uncontrolled postoperative IOP
(>21 mmHg) was less frequent when BRT was used (BRT/ TREC arm) compared to the
control arm (38/218=17.4% versus 9/239=3.8%; OR=6.7; 95% CI 3.2-14.3, P<0.0001).
Although better IOP control was observed in all patients treated with adjuvant
BRT, only Black patients displayed a significant difference (P=0.005). There were
no significant differences between the BRT and control arms regarding loss of
visual acuity, postoperative complications and necessity of cataract surgery.
CONCLUSION: Adjunct BRT increases the success rate of TREC, with better results
in non Caucasian patients, and does not influence the occurrence of postoperative
complications.
PMID- 22068863
TI - Literature systematic review on the ophthalmological side effects of interferons.
AB - Interferons alpha and beta have been used worldwide for a few decades, altering
the natural history of several severe diseases including hepatitis C, cancer and
immune-mediated conditions such as multiple sclerosis. The adverse events profile
of interferons is well established, but only isolated reports of ophthalmological
complications of interferon therapy have been published. The objective of this
study was to carry out a literature systematic review on the subject, bringing to
light the need for careful ophthalmological monitoring of patients undergoing
interferon treatment. Nearly 500 cases of ophthalmological complications related
to interferon have been reported. The most frequent findings were soft exudates,
hemorrhages and retina ischemia.
PMID- 22068865
TI - Relation between blood pressure and vascular events and mortality in patients
with manifest vascular disease: J-curve revisited.
AB - Recent studies have challenged the notion that "lower is better" for blood
pressure in relation to vascular events and mortality in patients with vascular
disease, whereas practice guidelines currently recommend to lower blood pressure
to <130/80 mm Hg. We reassessed this J-curved relationship between blood pressure
and cardiovascular events and all-cause mortality in patients with various
manifestations of vascular disease. For this purpose, 5788 patients with
symptomatic vascular disease enrolled in the Secondary Manifestations of Arterial
Disease Study were followed-up for the occurrence of new vascular events (ie,
myocardial infarction, stroke, or vascular death) and all-cause mortality. During
a median of 5.0 years (interquartile range: 2.6-8.1 years), 788 patients
experienced a new vascular event, and 779 died. Overall, the covariate-adjusted
relationship between mean baseline systolic, diastolic, or pulse pressure and the
occurrence of vascular events followed a J-curve with increased event rates above
and below the nadir blood pressure of 143/82 mm Hg. A similar nonlinear
relationship was found for diastolic pressure and all-cause mortality. Elevated
blood pressure was not associated with increased morbidity and mortality in
patients with recently diagnosed coronary artery disease, >=65 years, and having
>60 mm Hg pulse pressure. Importantly, especially in these subgroups, low blood
pressure could also be a symptom rather than a cause of disease. Blood pressure
level below and above 143/82 mm Hg is, thus, an independent risk factor for
recurrent events in patients with manifest vascular disease. Uncertainty of
whether this association is causal provides a strong rationale for trials
evaluating blood pressure treatment targets.
PMID- 22068864
TI - Placental ischemia impairs middle cerebral artery myogenic responses in the
pregnant rat.
AB - One potential mechanism contributing to the increased risk for encephalopathies
in women with preeclampsia is altered cerebral vascular autoregulation resulting
from impaired myogenic tone. Whether placental ischemia, a commonly proposed
initiator of preeclampsia, alters cerebral vascular function is unknown. This
study tested the hypothesis that placental ischemia in pregnant rats (caused by
reduced uterine perfusion pressure [RUPP]) leads to impaired myogenic responses
in middle cerebral arteries. Mean arterial pressure was increased by RUPP (135+/
3 mm Hg) compared with normal pregnant rats (103+/-2 mm Hg) and nonpregnant
controls (116+/-1 mm Hg). Middle cerebral arteries from rats euthanized on
gestation day 19 were assessed in a pressure arteriograph under active (+Ca(2+))
and passive (0 Ca(2+)) conditions, whereas luminal pressure was varied between 25
and 150 mm Hg. The slope of the relationship between tone and pressure in the
middle cerebral artery was 0.08+/-0.01 in control rats and was similar in normal
pregnant rats (0.05+/-0.01). In the RUPP model of placental ischemia, this
relationship was markedly reduced (slope=0.01+/-0.00; P<0.05). Endothelial
dependent and independent dilation was not different between groups, nor was
there evidence of vascular remodeling assessed by the wall:lumen ratio and
calculated wall stress. The impaired myogenic response was associated with brain
edema measured by percentage of water content (RUPP P<0.05 versus control and
normal pregnant rats). This study demonstrates that placental ischemia in
pregnant rats leads to impaired myogenic tone in the middle cerebral arteries and
that the RUPP model is a potentially important tool to examine mechanisms leading
to encephalopathy during preeclamptic pregnancies.
PMID- 22068867
TI - Carotid stiffness in young adults: a life-course analysis of its early
determinants: the Amsterdam Growth and Health Longitudinal Study.
AB - Cardiovascular risk factors affecting arterial stiffness in adulthood may develop
at different critical periods earlier in life. We examined whether the
trajectories, from adolescence to young adulthood, of blood pressure, body
fatness and fat distribution, blood lipids, cardiorespiratory fitness, and heart
rate determined levels of arterial stiffness in young adults. We investigated 373
apparently healthy adults in whom cardiovascular risk factors were repeatedly
examined between the ages of 13 and 36 years and carotid stiffness estimates were
obtained at the age of 36 years. Differences in the mean levels and the
trajectories of risk factors throughout the 24-year longitudinal period between
subjects with different levels of carotid stiffness at age 36 years were analyzed
with generalized estimating equations. Compared with individuals with less stiff
carotid arteries, those with stiffer carotid arteries at the age of 36 years were
characterized from ages 13 to 36 years by greater levels of and steeper increases
in blood pressure and central fatness, independently of each other and other risk
factors. These increases were already present in adolescence, preceded the
development of poorer levels of blood lipids, cardiorespiratory fitness, and
heart rate, which were evident during adulthood only, and explained to a great
extent the deleterious association between these risk factors and carotid
stiffness at the age of 36 years. Multiple and intertwined mechanisms involved in
the pathogenesis of arterial stiffness have their origins in early life. Blood
pressure and central fatness have a pivotal role herein and should be
specifically targeted to prevent arterial stiffening and its cardiovascular
sequelae.
PMID- 22068866
TI - Left ventricular mass and function with reduced-fat or reduced-carbohydrate
hypocaloric diets in overweight and obese subjects.
AB - In animals, carbohydrate and fat composition during dietary interventions
influenced cardiac metabolism, structure, and function. Because reduced
carbohydrate and reduced-fat hypocaloric diets are commonly used in the treatment
of obesity, we investigated whether these interventions differentially affect
left ventricular mass, cardiac function, and blood pressure. We randomized 170
overweight and obese subjects (body mass index, 32.9+/-4.4; range, 26.5-45.4
kg/m(2)) to 6-month hypocaloric diets with either reduced carbohydrate intake or
reduced fat intake. We obtained cardiac MRI and ambulatory blood pressure
recordings over 24 hours before and after 6 months. Ninety subjects completing
the intervention period had a full cardiac MRI data set. Subjects lost 7.3+/-4.0
kg (7.9+/-3.8%) with reduced-carbohydrate diet and 6.2+/-4.2 kg (6.7+/-4.4%) with
reduced-fat diet (P<0.001 within each group; P=not significant between
interventions). Caloric restriction led to similar significant decreases in left
ventricular mass with low-carbohydrate diets (5.4+/-5.4 g) or low-fat diets
(5.2+/-4.8 g; P<0.001 within each group; P=not significant between
interventions). Systolic and diastolic left ventricular function did not change
with either diet. The 24-hour systolic blood pressure decreased similarly with
both interventions. Body weight change (beta=0.33; P=0.02) and percentage of
ingested n-3 polyunsaturated fatty acids (beta=-0.27; P=0.03) predicted changes
in left ventricular mass. In conclusion, weight loss induced by reduced-fat diets
or reduced-carbohydrate diets similarly improved left ventricular mass in
overweight and obese subjects over a 6-month period. However, n-3 polyunsaturated
fatty acid ingestion may have an independent beneficial effect on left
ventricular mass.
PMID- 22068868
TI - Caloric restriction ameliorates angiotensin II-induced mitochondrial remodeling
and cardiac hypertrophy.
AB - Angiotensin II-induced cardiac damage is associated with oxidative stress
dependent mitochondrial dysfunction. Caloric restriction (CR), a dietary regimen
that increases mitochondrial activity and cellular stress resistance, could
provide protection. We tested that hypothesis in double transgenic rats harboring
human renin and angiotensinogen genes (dTGRs). CR (60% of energy intake for 4
weeks) decreased mortality in dTGRs. CR ameliorated angiotensin II-induced
cardiomyocyte hypertrophy, vascular inflammation, cardiac damage and fibrosis,
cardiomyocyte apoptosis, and cardiac atrial natriuretic peptide mRNA
overexpression. The effects were blood pressure independent and were linked to
increased endoplasmic reticulum stress, autophagy, serum adiponectin level, and
5' AMP-activated protein kinase phosphorylation. CR decreased cardiac p38
phosphorylation, nitrotyrosine expression, and serum insulin-like growth factor 1
levels. Mitochondria from dTGR hearts showed clustered mitochondrial patterns,
decreased numbers, and volume fractions but increased trans-sectional areas. All
of these effects were reduced in CR dTGRs. Mitochondrial proteomic profiling
identified 43 dTGR proteins and 42 Sprague-Dawley proteins, of which 29 proteins
were in common in response to CR. We identified 7 proteins in CR dTGRs that were
not found in control dTGRs. In contrast, 6 mitochondrial proteins were identified
from dTGRs that were not detected in any other group. Gene ontology annotations
with the Panther protein classification system revealed downregulation of
cytoskeletal proteins and enzyme modulators and upregulation of oxidoreductase
activity in dTGRs. CR provides powerful, blood pressure-independent, protection
against angiotensin II-induced mitochondrial remodeling and cardiac hypertrophy.
The findings support the notion of modulating cardiac bioenergetics to ameliorate
angiotensin II-induced cardiovascular complications.
PMID- 22068870
TI - Plasma aldosterone levels and development of insulin resistance: prospective
study in a general population.
AB - Aldosterone plays a role in hypertension, and hypertension is prevalent in
patients with insulin resistance. Cross-sectional studies have reported that
plasma aldosterone levels are higher in patients with insulin resistance.
However, it is not known whether plasma aldosterone levels predict the
development of insulin resistance. Subjects of the present study were 1235 local
residents (490 men and 745 women) who participated in health screenings in Japan
in 1999. Plasma aldosterone levels were measured by radioimmunoassay. We
investigated the cross-sectional relationship between plasma aldosterone levels
and insulin resistance (homeostasis model assessment index >=1.73 according to
the diagnostic criteria used in Japan) in 1088 nondiabetic participants. At the
10-year follow-up, 141 subjects had died, and 260 subjects refused re
examination. We performed a prospective analysis of 564 subjects to predict
incident insulin resistance. We found a significant (P<0.001) cross-sectional
relationship between plasma aldosterone and homeostasis model assessment index at
baseline. In the prospective analysis, a significantly higher (P<0.05) relative
risk (1.71 [95% CI: 1.03-2.84]) was observed in the highest tertile versus lowest
tertile of plasma aldosterone for the development of insulin resistance, after
adjustment for confounding factors. This 10-year prospective study demonstrated
that plasma aldosterone levels predicted the development of insulin resistance in
a general population.
PMID- 22068873
TI - The J-shaped curve in secondary prevention: shall clinical practice change?
PMID- 22068872
TI - Vascular biomarkers in the prediction of clinical cardiovascular disease: the
Strong Heart Study.
AB - We compared the ability of separately measured intimal-medial thickness and
atherosclerotic plaque to predict incident cardiovascular disease. American
Indian men and women from the Strong Heart Study who were free of cardiovascular
disease were evaluated with carotid ultrasound and cardiovascular disease risk
factor assessment. End-diastolic intimal-medial thickness of the common carotid
arteries was measured and averaged. Arterial mass (cross-sectional area) was
calculated from intimal-medial thickness and end-diastolic diameter.
Atherosclerosis was defined by focal plaque (discrete thickening >50% relative to
the adjacent wall) and the number of carotid segments containing plaque (plaque
score); 2441 participants (age 63+/-8 years) were followed-up for a mean of 7.7+/
2.8 years, during which time 495 experienced incident cardiovascular disease
events. Time-to-event analyses were performed in groups stratified according to
diabetes and hypertension status. Cardiovascular disease events were predicted by
presence and extent of atherosclerosis in all groups; intima-medial thickness and
arterial mass were only associated with outcomes when neither hypertension nor
diabetes was present. Unequivocal evidence of atherosclerosis (plaque) and its
extent (plaque score) are independently associated with incident cardiovascular
disease events in individuals without preexisting cardiovascular disease
regardless of diabetes and hypertension status. Hypertension-related increases in
intima-media thickness and arterial mass appear to limit their use as measures of
early or diffuse atherosclerosis and, hence, association with cardiovascular
disease outcomes. These findings support the utility of separate assessment of
focal atherosclerosis and intimal-medial thickness in epidemiological studies,
trials, and risk stratification protocols.
PMID- 22068871
TI - Vitamin D, parathyroid hormone, and sudden cardiac death: results from the
Cardiovascular Health Study.
AB - Recent studies have demonstrated greater risks of cardiovascular events and
mortality among persons who have lower 25-hydroxyvitamin D (25-OHD) and higher
parathyroid hormone (PTH) levels. We sought to evaluate the association between
markers of mineral metabolism and sudden cardiac death (SCD) among the 2312
participants from the Cardiovascular Health Study who were free of clinical
cardiovascular disease at baseline. We estimated associations of baseline 25-OHD
and PTH concentrations individually and in combination with SCD using Cox
proportional hazards models after adjustment for demographics, cardiovascular
risk factors, and kidney function. During a median follow-up of 14 years, there
were 73 adjudicated SCD events. The annual incidence of SCD was greater among
subjects who had lower 25-OHD concentrations, 2 events per 1000 for 25-OHD >=20
ng/mL and 4 events per 1000 for 25-OHD <20 ng/mL. Similarly, SCD incidence was
greater among subjects who had higher PTH concentrations, 2 events per 1000 for
PTH <65 pg/mL and 4 events per 1000 for PTH >=65 pg/mL. Multivariate adjustment
attenuated associations of 25-OHD and PTH with SCD. Finally, 267 participants
(11.7% of the cohort) had high PTH and low 25-OHD concentrations. This
combination was associated with a >2-fold risk of SCD after adjustment (hazard
ratio: 2.19 [95% CI: 1.17-4.10]; P=0.017) compared with participants with normal
levels of PTH and 25-OHD. The combination of lower 25-OHD and higher PTH
concentrations appears to be associated independently with SCD risk among older
adults without cardiovascular disease.
PMID- 22068874
TI - A loss-of-function polymorphism in the human P2X4 receptor is associated with
increased pulse pressure.
AB - The P2X4 receptor is involved in endothelium-dependent changes in large arterial
tone in response to shear stress and is, therefore, potentially relevant to
arterial compliance and pulse pressure. Four identified nonsynonymous
polymorphisms in P2RX4 were reproduced in recombinantly expressed human P2X4.
Electrophysiological studies showed that one of these, the Tyr315>Cys mutation
(rs28360472), significantly reduced the peak amplitude of the ATP-induced inward
current to 10.9% of wild-type P2X4 receptors in transfected HEK-293 cells (10
MUmol/L of ATP; n=4-8 cells; P<0.001). Concentration-response curves for ATP and
the partial agonist BzATP demonstrate that the 315Cys-P2X4 mutant had an
increased EC(50) value for both ligands. Mutation of Tyr315>Cys likely disrupts
the agonist binding site of P2X4 receptors, a finding supported by molecular
modeling based on the zebrafish P2X4 receptor crystal structure. We tested
inheritance of rs28360472 encoding the Tyr315>Cys mutation in P2RX4 against pulse
pressure in 2874 subjects from the Victorian Family Heart Study. The minor allele
frequency was 0.014 (1.4%). In a variance components analysis we found
significant association with pulse pressure (P=0.023 for total association) where
1 minor allele increased pulse pressure by 2.84 mm Hg (95% CI: 0.41-5.27). This
increase in pulse pressure associated with inheritance of 315Cys-P2X4 receptors
might reflect reduced large arterial compliance as a result of impaired
endothelium-dependent vasodilation in large arteries.
PMID- 22068876
TI - Supramolecular hybrid of metal nanoparticles and semiconducting single-walled
carbon nanotubes wrapped by a fluorene-carbazole copolymer.
AB - The first approach for the preparation of metal nanoparticle/semiconducting
single-walled carbon nanotube (SWNT) hybrids with specified chirality is
described. For this purpose, a copolymer of a fluorene derivative with two long
chain alkyl substituents and a carbazole derivative carrying a thiol group was
used. The copolymer was found to selectively dissolve (7,6)- and (8,7)SWNTs, as
determined by UV/Vis/NIR absorption and Raman spectroscopy and 2D
photoluminescence mapping. Gold and silver nanoparticles with diameters of about
3.8 and about 3.2 nm, respectively, were readily attached along the SWNTs by
means of coordination bonds between the nanoparticles and the thiol moieties on
the copolymer, as revealed by atomic force and electron microscopy studies. The
study provides a novel way to design and fabricate metal
nanoparticle/semiconducting SWNT hybrids with specific nanotube chirality.
PMID- 22068877
TI - Dendritic nanospace constructed by only glycerol units enhanced uptake of a
fluorescent molecule in aqueous solution.
AB - A polyglycerol dendrimer (PGD) of generation 2, which consists of only glycerol
units, constructed nanospace capable of uptake of a fluorescent molecule with a
1:1 stoichiometry. On the other hand, a PGD of generation 1 trapped the molecule
at the outer part.
PMID- 22068875
TI - Interleukin 6 underlies angiotensin II-induced hypertension and chronic renal
damage.
AB - Chronic kidney disease (CKD) is a prevalent life-threatening disease frequently
associated with hypertension, progression to renal fibrosis, and eventual renal
failure. Although the pathogenesis of CKD remains largely unknown, an increased
inflammatory response is known to be associated with the disease and has long
been speculated to contribute to disease development. However, the causative
factors, the exact role of the increased inflammatory cascade in CKD, and the
underlying mechanisms for its progression remain unidentified. Here we report
that interleukin 6 (IL-6) expression levels were significantly increased in the
kidneys collected from CKD patients and further elevated in CKD patients
characterized with hypertension. Functionally, we determined that angiotensin II
is a causative factor responsible for IL-6 induction in the mouse kidney and that
genetic deletion of IL-6 significantly reduced hypertension and key features of
CKD, including renal injury and progression to renal fibrosis in angiotensin II
infused mice. Mechanistically, we provide both human and mouse evidence that IL-6
is a key cytokine functioning downstream of angiotensin II signaling to directly
induce fibrotic gene expression and preproendothelin 1 mRNA expression in the
kidney. Overall, both the mouse and human studies reported here provide evidence
that angiotensin II induces IL-6 production in the kidney, and that, in addition
to its role in hypertension, increased IL-6 may play an important pathogenic role
in CKD by inducing fibrotic gene expression and ET-1 gene expression. These
findings immediately suggest that the IL-6 signaling is a novel therapeutic
target to manage this devastating disorder affecting millions worldwide.
PMID- 22068878
TI - Risk factors for missed HIV primary care visits among men who have sex with men.
AB - Benefits of anti-retroviral therapy (ART) depend on consistent HIV care
attendance. However, appointment non-adherence (i.e. missed appointments) is
common even in programs that reduce financial barriers. Demographic,
health/treatment, and psychosocial contributors to appointment non-adherence were
examined among men who have sex with men (MSM) attending HIV primary care.
Participants (n = 503) completed questionnaires, and HIV biomarker data were
extracted from medical records. At 12 months, records were reviewed to assess HIV
primary care appointment non-adherence. Among MSM, 31.2% missed without
cancellation at least one appointment during 12-month study period. Independent
predictors (P < 0.05) were: low income (OR = 1.87); African American (OR = 3.00)
and Hispanic/Latino (OR = 4.31) relative to non-Hispanic White; depression (OR =
2.01); and low expectancy for appointments to prevent/treat infection (OR =
2.38), whereas private insurance (OR = 0.48) and older age (OR = 0.94) predicted
lower risk. Low self-efficacy predicted marginal risk (OR = 2.74, P = 0.10). The
following did not independently predict risk for non-adherence: education,
relationship status, general health, time since HIV diagnosis, ART history, post
traumatic stress disorder, HIV stigma, or supportive clinic staff. Appointment
non-adherence is prevalent, particularly among younger and racial/ethnic minority
MSM. Socioeconomic barriers, depression and low appointment expectancy and self
efficacy may be targets to increase care engagement.
PMID- 22068879
TI - The benefits of cognitive training after a coronary artery bypass graft surgery.
AB - Cognitive deficits are frequent after coronary artery bypass graft surgery (CABG)
in the elderly population. In fact, memory and attention deficits can persist
several months after the surgery. Recent studies with healthy older adults have
shown that memory and attention can be improved through cognitive training
programs. The present study examined whether memory training (method of loci and
story generation) and attentional training (dual-task computerized training)
could improve cognitive functions in patients aged 65 years and older who
underwent CABG surgery. Participants (n = 51) were assigned to one of three
groups: (1) control group (tested at 1, 3 and 6 months after the surgery), (2)
attention training followed by memory training, (3) memory training followed by
attention training (groups 2 and 3: tested at 1, 2, 3 and 6 months after the
surgery). The trainings took place between the 6th and 10th week following the
surgery. The three groups were compared before and after each training program
using attention and memory tests and neuropsychological tests. The results showed
that attention and memory trainings lead to significant improvement in the
cognitive domain that was trained. It thus seems that cognitive training can be a
promising tool to enhance cognitive functions after a CABG surgery.
PMID- 22068880
TI - Endarterectomy versus carotid stenting.
PMID- 22068882
TI - Characterization of the necrosis determinant of the European genotype of pepino
mosaic virus by site-specific mutagenesis of an infectious cDNA clone.
AB - Mild and necrotic isolates have been described for the European (EU) genotype of
pepino mosaic virus (PepMV), an important pathogen of tomato worldwide. In this
study, we produced various infectious cDNA clones of an EU isolate with point
mutations introduced by site-directed mutagenesis. Our results showed that the
genetic determinant responsible for necrosis induction on tomato and Datura
inoxia was amino acid 67 of TGBp3. This amino acid residue also acts as necrosis
determinant in PepMV isolates belonging to the Chilean 2 genotype. This
demonstrates that a single point mutation plays a role in necrosis induction by
PepMV, irrespective of genotype.
PMID- 22068881
TI - Characterization of avian influenza viruses isolated from domestic ducks in
Vietnam in 2009 and 2010.
AB - In the surveillance of avian influenza in Vietnam, 26 H9N2, 1 H3N2, 1 H3N8, 7
H4N6, 3 H11N3, and 1 H11N9 viruses were isolated from tracheal and cloacal swab
samples of 300 domestic ducks in April 2009, and 1 H9N6 virus from 300 bird
samples in March 2010. Out of the 27 H9 virus isolates, the hemagglutinins of 18
strains were genetically classified as belonging to the sublineage G1, and the
other nine belonged to the Korean sublineage. Phylogenetic analysis revealed that
one of the 27 H9 viruses was a reassortant in which the PB2 gene belonged to the
Korean sublineage and the other seven genes belonged to the G1 sublineage. Three
representative H9N2 viruses were intranasally inoculated into ducks, chickens,
pigs, and mice. On the basis of experimental infection studies, it was found that
each of the three viruses readily infected pigs and replicated in their upper
respiratory tracts, and they infected chickens with slight replication. Viruses
were recovered from the lungs of mice inoculated with two of the three isolates.
The present results reveal that H9 avian influenza viruses are prevailing and
genetic reassortment occurs among domestic ducks in Vietnam. It is recommended
that careful surveillance of swine influenza with H9 viruses should be performed
to prepare for pandemic influenza.
PMID- 22068883
TI - Oxovanadium(IV) based hypocrellin B complexes with enhanced photodynamic
activity.
AB - Hypocrellin B (HB), a naturally occurring photosensitizer, has been extensively
and intensively studied as a promising photodynamic therapy (PDT) agent. In this
work, three new oxovanadium(IV) complexes were designed and synthesized with HB
as a bridging ligand and phen (1,10-phenanthroline, complex 1), tmp (3,4,7,8
tetramethyl-1,10-phenanthroline, complex 2) and dpq (dipyrido[3,2-f:2'3'
h]quinoxaline, complex 3) as terminal ligands. The use of a diimine terminal
ligand avoids the formation of polymeric complexes and ensures the three VO(2+)
HB complexes possess a definite molecular formula and molecular weight to meet
the single component requirement for an ideal PDT agent. Compared to HB, the
VO(2+)-HB complexes exhibit improved water solubility, enhanced absorptivity in
the phototherapeutic window, increased binding affinity toward dsDNA, and similar
singlet oxygen quantum yield, therefore advanced DNA photocleavage activity. Both
the DNA binding constants and photo nuclease activities of the complexes follow
the order 2 (tmp) > 3 (dpq) > 1 (phen), demonstrating the importance of the
binding affinity to biomolecules, which improves the bioavailability of reactive
oxygen species. Our work opens a new avenue for the development of HB-based PDT
agents.
PMID- 22068884
TI - Hazard analysis and risk assessment in the development of biomedical drug
formulation equipment.
AB - Hazard analysis and risk assessment techniques are utilized within many private
sector industries and government agencies, including the medical device and
pharmaceutical industry, within a structured process to control human injuries
and environmental and property damage. In the U.S. the Federal Drug
Administration (FDA) requires a hazard analysis be performed on all medical
devices. While there are biomedical engineering applications reported which deal
with human hazards in clinical, patient care environment, no previous studies
extend these traditional techniques to a university-based, research environment.
This study applies a tiered approach to hazard analysis and risk assessment to a
biomedical, university-based, research environment in the design of a high
throughput platform that screens chemical excipients (additives) for their
ability to increase protein solubility. Each design stage (conceptual,
preliminary, system, and detailed) requires a unique hazard analysis technique
based on available information. The analysis techniques applied here are
evaluated for their use in a biomedical research environment where experiment
accuracy is a primary concern.
PMID- 22068885
TI - Assessment of obstructive sleep apnea and its severity during wakefulness.
AB - In this article, a novel technique for assessment of obstructive sleep apnea
(OSA) during wakefulness is proposed; the technique is based on tracheal breath
sound analysis of normal breathing in upright sitting and supine body positions.
We recorded tracheal breath sounds of 17 non-apneic individuals and 35 people
with various degrees of severity of OSA in supine and upright sitting positions
during both nose and mouth breathing at medium flow rate. We calculated the power
spectrum, Kurtosis, and Katz fractal dimensions of the recorded signals and used
the one-way analysis of variance to select the features, which were statistically
significant between the groups. Then, the maximum relevancy minimum redundancy
method was used to reduce the number of characteristic features to two. Using the
best two selected features, we classified the participant into severe OSA and non
OSA groups as well as non-OSA or mild vs. moderate and severe OSA groups; the
results showed more than 91 and 83% accuracy; 85 and 81% specificity; 92 and 95%
sensitivity, for the two types of classification, respectively. The results are
encouraging for identifying people with OSA and also prediction of OSA severity.
Once verified on a larger population, the proposed method offers a simple and non
invasive screening tool for prediction of OSA during wakefulness.
PMID- 22068887
TI - Diffusion-weighted whole-body MRI with background body signal suppression:
technical improvements at 3.0 T.
AB - PURPOSE: To improve image quality of diffusion-weighted body magnetic resonance
imaging (MRI) with background body signal suppression (DWIBS) at 3.0 T. MATERIALS
AND METHODS: In 30 patients and eight volunteers, a diffusion-weighted spin-echo
echo-planar imaging sequence with short TI inversion recovery (STIR) fat
suppression was applied and repeated using slice-selective gradient reversal
(SSGR) and/or dual-source parallel radiofrequency (RF) transmission (TX). The
quality of diffusion-weighted images and gray scale inverted maximum intensity
projections (MIP) were visually assessed by intraindividual comparison with
respect to the level of fat suppression and signal homogeneity. Moreover, the
contrast between lesions/lymph nodes and background (C(lb)) was analyzed in the
MIP reconstructions. RESULTS: By combining STIR with SSGR, fat suppression was
significantly improved (P < 0.001) and C(lb) was increased two times. The use of
TX allowed the reduction of acquisition time and improved image quality with
regard to signal homogeneity (P < 0.001) and fat suppression (P = 0.005).
CONCLUSION: DWIBS at 3.0 T can be improved by using SSGR and TX.
PMID- 22068886
TI - Glycoengineering of HCELL, the human bone marrow homing receptor: sweetly
programming cell migration.
AB - The successful clinical implementation of adoptive cell therapeutics, including
bone marrow transplantation and other stem cell-based treatments, depends
critically on the ability to deliver cells to sites where they are needed. E
selectin, an endothelial C-type lectin, binds sialofucosylated carbohydrate
determinants on its pertinent ligands. This molecule is expressed in a
constitutive manner on bone marrow and dermal microvascular endothelium, and
inducibly on post-capillary venules at all sites of tissue injury. Engagement of
E-selectin with relevant ligand(s) expressed on circulating cells mediates
initial "tethering/rolling" endothelial adhesive interactions prerequisite for
extravasation of blood-borne cells at any target tissue. Most mammalian cells
express high levels of a transmembrane glycoprotein known as CD44. A specialized
glycoform of CD44 called "Hematopoietic Cell E-/L-selectin Ligand" (HCELL) is a
potent E-selectin ligand expressed on human cells. Under native conditions, HCELL
expression is restricted to human hematopoietic stem/progenitor cells. We have
developed a technology called "Glycosyltransferase-Programmed Stereosubstitution"
(GPS) for custom-modifying CD44 glycans to create HCELL on the surface of living
cells. GPS-based glycoengineering of HCELL endows cell migration to endothelial
beds expressing E-selectin. Enforced HCELL expression targets human mesenchymal
stem cell homing to marrow, licensing transendothelial migration without
chemokine signaling via a VLA-4/VCAM-1-dependent "Step 2-bypass pathway." This
review presents an historical framework of the homing receptor concept, and will
describe the discovery of HCELL, its function as the bone marrow homing receptor,
and how enforced expression of this molecule via chemical engineering of CD44
glycans could enable stem cell-based regenerative medicine and other adoptive
cell therapeutics.
PMID- 22068889
TI - Endoscopic ultrasound-guided treatment of bleeding duodenal varix.
AB - A 35-year-old man presented with recurrence of upper gastrointestinal bleed after
eradication of esophageal varices. Upper gastrointestinal endoscopy revealed
submucosal lesion in the duodenum and endoscopic ultrasound (EUS) demonstrated it
to be a duodenal varix. Cyanoacrylate glue was injected into the duodenal varix
and successful obliteration of the duodenal varix was demonstrated on a follow up
EUS.
PMID- 22068888
TI - The IgE gene in primates exhibits extraordinary evolutionary diversity.
AB - Membrane-bound IgE (mIgE) on B lymphocytes is essential for IgE production.
Earlier studies showed that the epsilon chain of mIgE (mepsilon) on human B cells
has a "long" isoform, with an extra "CepsilonmX" domain of 52 amino acid (aa)
residues between the CH4 domain and the membrane-anchor segment, as compared to
the conventional "short" isoform. Because CepsilonmX provides an antigenic site
for targeting IgE-expressing B cells to down-regulate IgE production in patients
with allergy, analysis of CepsilonmX in various animals is of great interest.
Hence, we analyzed the epsilon Ig gene, in particular, its membrane exon regions
encoding the membrane anchor peptide segment and CepsilonmX domain, of 26 species
of the order Primates and 12 species of seven non-Primate orders using data
obtained experimentally or retrieved from GenBank. Our analyses reveal the
unexpected finding that the genes of three extant tarsier species do not contain
the membrane exons for mIgE. Another striking finding is that early evolved
Strepsirhini primates such as lemurs and lorises do not have gene segments for
the long isoform, whereas New World monkeys such as marmosets and squirrel
monkeys allow the transcription of only the long isoform. In Old World monkeys
and apes, including humans, the epsilon gene allows the transcription of both
isoforms. This work thus reveals the dramatic differences in the gene segment
encoding the mepsilon C terminal region among the four major primate lineages:
the Strepsirhini primates, the tarsiers, New World monkeys, and Old World monkeys
and apes/humans.
PMID- 22068890
TI - Biomass fuel use for cooking in Sri Lanka: analysis of data from national
demographic health surveys.
AB - BACKGROUND: Biomass cooking fuel is the main source of indoor air pollution in
the majority of households in the developing world. Sri Lanka is an island of
about 20 million population with urban, rural, and estate population of 14.6%,
80.0%, and 5.4%, respectively. This study describes biomass fuel use for cooking
in Sri Lanka. METHODS: We analyzed data from two national Demographic Health
Surveys (2000 and 2007) to identify the use and determinants of cooking fuels in
Sri Lankan households. The results are based on a sample of 8,169 households in
2000 and 19,862 households in 2007. RESULTS: Wood was the principal cooking fuel
used in 78.3% and 78.5% of households in 2000 and 2007, respectively. In 2007,
96.3% of estate sector households used firewood as compared to 84.2% in the rural
and 34.6% in the urban sectors. Similar trends were noted in 2000 as well.
CONCLUSIONS: The shift from firewood to cleaner fuels in Sri Lanka is negligible
from 2000 to 2007. Improving the quality of life of the population does not
necessarily predict a shift towards the use of cleaner cooking fuels in Sri
Lanka.
PMID- 22068891
TI - Targeted agents: review of toxicity in the elderly metastatic colorectal cancer
patients.
AB - Colorectal cancer remains a major cause of cancer mortality in the Western world.
With a median age at presentation of 71, patients with metastatic disease are
often elderly with significant co-morbidities. In addition, elderly patients are
more likely to be undertreated and under-represented in clinical trials.
Therefore, as the available data from clinical trials are scarce, the optimal
treatment strategy for this group of patients has not been adequately defined. In
the setting of metastatic colorectal cancer, the introduction of so called
targeted agents has significantly improved outcomes in the context of randomized
clinical trials, while at the same time increasing treatment options for such
patients. This review focuses on the role of targeted therapies in elderly
patients with metastatic colorectal cancer, with specific reference to toxicity
and tolerability. It should be noted that studies reviewed herein will have
mostly included fit elderly patients fulfilling specific inclusion criteria. The
available data so far are limited but suggest that targeted agents have similar
efficacy and tolerability in elderly fit patients when compared with younger
ones, provided caution is exercised in specific high-risk sub-groups. Clearly,
further studies aimed at this specific patient population using well-established
geriatric end-points will hopefully identify those patients more likely to
benefit and less likely to suffer severe side effects.
PMID- 22068893
TI - Updated practice guideline for somatostatin receptor scintigraphy.
PMID- 22068892
TI - Vitamin D, osteocalcin, and risk for adiposity as comorbidities in middle school
children.
AB - Nonclassic actions of vitamin D include potential regulation of immune function
and glucose homeostasis. The bone-metabolism loop has recently been expanded to
include osteocalcin, which appears to play a more direct role in pancreatic beta
cell function and energy metabolism. We hypothesized that both vitamin D and
osteocalcin would correlate negatively with indices of adiposity-related
comorbidity risk in periadolescents, varying by ethnic group. We analyzed
anthropometric, metabolic, and inflammatory markers from a multiethnic population
of 106 school children 11 to 14 years of age studied as part of the Reduce
Obesity and Diabetes (ROAD) consortium. As expected, 25-hydroxyvitamin D (25-OH
vitamin D) was inversely correlated with intact parathyroid hormone (iPTH); total
osteocalcin (OCN) and uncarboxylated osteocalcin (uOCN) were directly correlated
with each other. OCN and uOCN concentrations correlated inversely with age.
Vitamin D deficiency was most prevalent among East Asians (EA) and African
Americans (AA). The highest lipid risk scores and homeostatic model for
assessment of insulin resistance (HOMA-IR) values were seen in the South Asian
(SA) group. Overall, adiposity measures were inversely correlated with OCN and
iPTH, whereas such relationships were not observed for vitamin D. Acute insulin
response to glucose challenge correlated negatively with uOCN in all subjects;
however, lipid risk score correlated negatively with uOCN only in whites. The
relationships between markers of calcium metabolism and body composition, glucose
homeostasis, lipids, and inflammation all showed racial and ethnic differences.
No consistent relationship was found between vitamin D and adiposity or vitamin D
and glucose metabolism; instead vitamin D levels varied by race and ethnicity in
this school-based group. These findings are consistent with the hypothesis that
markers of calcium and bone metabolism may reflect risk for adiposity-related
comorbidities in children.
PMID- 22068894
TI - Clinical feasibility of fast 3-dimensional dosimetry of the liver for treatment
planning of hepatocellular carcinoma with 90Y-microspheres.
AB - Several treatment strategies are used for selective internal radiation therapy
with (90)Y-microspheres. The diversity of approaches does not favor the
standardization of the prescribed activity calculation. To this aim, a fast 3
dimensional (3D) dosimetry method was developed for (90)Y-microsphere treatment
planning and was clinically evaluated retrospectively. METHODS: Our 3D approach
is based on voxel S values (VSVs) and has been implemented in the software tool
VoxelDose. VSVs were previously calculated at a fine voxel size. The time
integrated activity (TIA) map is derived from pretherapeutic (99m)Tc
macroaggregated-albumin SPECT/CT. The fine VSV map is resampled at the voxel size
of the TIA map. Then, the TIA map is convolved with the resampled VSV map to
construct the 3D dose map. Data for 10 patients with 12 tumor sites treated by
(90)Y-microspheres for hepatocellular carcinoma were collected retrospectively.
3D dose maps were computed for each patient, and tumoral liver and nontumoral
liver (TL and NTL, respectively) were delineated, allowing the computation of
descriptive statistics (i.e., mean absorbed dose, minimum absorbed dose, and
maximum absorbed dose) and dose-volume histograms. Mean absorbed doses in TL and
NTL from VoxelDose were compared with those calculated with the standard
partition model. RESULTS: The estimated processing time for a complete 3D
dosimetry calculation is on the order of 15 min, including 10 s for the dose
calculation (i.e., VSV resampling and convolution). An additional 45 min was
needed for the semiautomatic and manual segmentation of TL and NTL. The mean
absorbed dose (+/-SD) was 422 +/- 263 Gy for TL and 50.1 +/- 36.0 Gy for NTL. The
comparison between VoxelDose and partition model shows a mean relative difference
of 1.5% for TL and 4.4% for NTL. Results show a wide spread of voxel-dose values
around mean absorbed dose. The minimum absorbed dose within TL ranges from 32 to
267 Gy (n = 12). The fraction of NTL volume irradiated with at least 80 Gy ranges
from 4% to 70% (n = 10), and the absorbed dose from which 25% of NTL was the
least irradiated ranges from 14 to 178 Gy. CONCLUSION: This article demonstrates
the feasibility of a fast 3D dosimetry method for (90)Y-microspheres and
highlights the potential value of a 3D treatment planning strategy.
PMID- 22068895
TI - 124I-huA33 antibody uptake is driven by A33 antigen concentration in tissues from
colorectal cancer patients imaged by immuno-PET.
AB - The primary aim of this analysis was to examine the quantitative features of
antibody-antigen interactions in tumors and normal tissue after parenteral
administration of antitumor antibodies to human patients. METHODS: Humanized anti
A33 antibody (10 mg) labeled with the positron-emitting radionuclide (124)I
((124)I-huA33) was injected intravenously in 15 patients with colorectal cancer.
Clinical PET/CT was performed approximately 1 wk later, followed by a detailed
assay of surgically removed tissue specimens including radioactivity counting,
autoradiography, immunohistochemistry, and antigen density determination.
RESULTS: PET/CT showed high levels of antibody targeting in tumors and normal
bowel. In tissue specimens, the spatial distribution of (124)I-huA33 conformed to
that of A33 antigen, and there was a linear relationship between the amount of
bound antibody and antigen concentration. Antibody uptake was high in 1- to 2-mm
regions of antigen-positive tumor cells (mean, ~0.05 percentage injected dose per
gram) and in antigen-positive normal colonic mucosa (mean, ~0.03 percentage
injected dose per gram). The estimated binding site occupancy for tumor and
normal colon was 20%-50%. CONCLUSION: The in vivo biodistribution of (124)I-huA33
in human patients 1 wk after antibody administration was determined by A33
antigen expression. Our data imply that the optimal strategy for A33-based
radioimmunotherapy of colon cancer will consist of a multistep treatment using a
radionuclide with short-range (alpha- or beta-particle) emissions.
PMID- 22068896
TI - "Treadmill exercise does not affect image quality on subsequent whole body FDG
PET scan".
PMID- 22068897
TI - Antitumor effects of a human dimeric antibody fragment 131I-AFRA-DFM5.3 in a
mouse model for ovarian cancer.
AB - AFRA-DMF5.3 is a human antibody fragment that, as a dimer, specifically binds to
the alpha-folate receptor (FR) on ovary cancer cells. Pharmacokinetic and
biodistribution parameters of (131)I-AFRA-DFM5.3 after intravenous administration
in animal models support its potential therapeutic use. We evaluated its
preclinical specificity and therapeutic efficacy in tumor models. METHODS: A
negative control, AFRA-DFM6.1, was obtained by protein engineering. The activity
and specificity of (131)I-AFRA-DFMs were evaluated by systemic administration
(intravenous) in subcutaneous tumor xenograft-bearing nude mice.
Pharmacokinetics, biodistribution, and efficacy were assessed by intraperitoneal
administration of (131)I-AFRA-DFM5.3 in nude mice bearing 2 different
intraperitoneal ovarian carcinoma xenografts. Treatments were tested at different
doses and as single or double administrations 1 wk apart. RESULTS: In
subcutaneous models, (131)I-AFRA-DFM5.3, but not the negative control, was found
to reside on FR-positive tumor masses and significantly reduced tumor growth. In
intraperitoneal models, early accumulation on free-floating clumps of ovarian
cancer cells and solid peritoneal masses was evident after 1 h, and tumor uptake
was stable for up to 3 h. The high tumor uptake determined the efficacy of (131)I
AFRA-DFM5.3. The best antitumor activity, with more than 50% of treated animals
cured, was achieved with 2 locoregional treatments of intraperitoneally growing
tumors on days 2 and 9. CONCLUSION: These results suggest that radioimmunotherapy
with (131)I-AFRA-DFM5.3 is feasible and leads to significantly prolonged
survival. These preclinical data provide the basis for the rationale design of
therapeutic treatments of ovarian cancer patients with a radiolabeled anti-FR
antibody fragment.
PMID- 22068898
TI - Evaluation of 177Lu-DOTA-sst2 antagonist versus 177Lu-DOTA-sst2 agonist binding
in human cancers in vitro.
AB - Somatostatin receptor targeting of neuroendocrine tumors using radiolabeled
somatostatin agonists is today an established method to image and treat cancer
patients. However, in a study using an animal tumor model, somatostatin receptor
antagonists were shown to label sst(2)- and sst(3)-expressing tumors in vivo
better than agonists, with comparable affinity even though they are not
internalized into the tumor cell. In the present study, we evaluated the in vitro
binding of the antagonist (177)Lu-DOTA-pNO(2)-Phe-c (DCys-Tyr-DTrp-Lys-Thr-Cys)
DTyrNH(2) ((177)Lu-DOTA-BASS) or the (177)Lu-DOTATATE agonist to sst(2)
expressing human tumor samples. METHODS: Forty-eight sst(2)-positive human tumor
tissue samples (9 ileal carcinoids, 10 pheochromocytomas, 7 breast carcinomas, 10
renal cell carcinomas, and 12 non-Hodgkin lymphomas) were analyzed by in vitro
receptor autoradiography for the expression of sst(2), comparing the binding
capacity of (177)Lu-DOTA-BASS and (177)Lu-DOTATATE in successive tissue sections.
The autoradiograms were quantitated using an electronic autoradiography detection
system. RESULTS: In all cases, the radiolabeled antagonist bound to more receptor
sites than did the agonist. The mean ratios of the antagonist (177)Lu-DOTA-BASS
to the agonist (177)Lu-DOTATATE were 4.2 +/- 0.5 in the 9 ileal carcinoids, 12 +/
3 in the 10 pheochromocytomas, 11 +/- 4 in the 7 breast carcinomas, 5.1 +/- 0.6
in the 10 renal cell carcinomas, and 4.8 +/- 0.7 in the 12 non-Hodgkin lymphomas.
CONCLUSION: The present in vitro human data, together with previous in vivo
animal tumor data, are strong arguments indicating that sst(2) antagonists may be
worth testing in vivo in patients in a wide range of tumors including
nonneuroendocrine tumors.
PMID- 22068899
TI - Bio-inspired synthesis: understanding and exploitation of the crystallization
process from amorphous precursors.
AB - Many biominerals, such as mollusk nacre, sea urchin, bone and teeth, are found to
form by an amorphous precursor pathway, and these biominerals have remarkable
properties, which are better than their artificial material counterparts that are
formed at high temperatures and high pressures. More than ever, synthesizing
technologically relevant materials following nature's way with a specific size,
shape, orientation, organization, and complex form has been a focus of ongoing
interest due to the increasing need for low cost and environmentally friendly
approaches to processing advanced materials. Herein, we present recent
developments in the crystallization process from amorphous precursors by
primarily drawing on results from our own laboratory, and discuss some unique
characteristics from the transformation process that can be exploited for the
design and synthesis of artificial functional materials.
PMID- 22068900
TI - QT/RR Coupling and Gender Differences.
AB - Data provided by THEW was used to test QT gender differences. Three QT/RR models
were used during analysis: a transfer function model (TRF), a model based on
exponential weighting of RR intervals (EXP), and an EXP model with additive
direct coupling with RR intervals (EXPDC). Data from 81 men and 73 women was
analyzed.Women have a significantly higher QTc (p<10(-6)), steeper Gain(L) (QT/RR
slope, gain for slow RR variability, p<0.01), faster tau (QT adaptation, p<0.05),
higher Gain(F) (gain for fast RR variability, immediate change of QT, p<0.05) and
higher QT random variability (p<0.05).The higher prevalence of arrhythmias in
women, given by longer QTc, is compensated to some extent by a higher level of
Gain(F) and faster tau. The proarrhythmic influence of drugs may originate in a
change of Gain(L), Gain(F) or tau without any change in QTc.
PMID- 22068901
TI - Synthesis of Nanoporous Iminodiacetic Acid Sorbents for Binding Transition
Metals.
AB - Iminodiacetic acid (IDAA) forms strong complexes with a wide variety of metal
ions. Using self-assembled monolayers in mesoporous supports (SAMMS) to present
the IDAA ligand potentially allows for multiple metal-ligand interactions to
enhance the metal binding affinity relative to that of randomly oriented polymer
based supports. This manuscript describes the synthesis of a novel nanostructured
sorbent material built using self-assembly of a IDAA ligand inside a nanoporous
silica, and demonstrates its use for capturing transition metal cations, and
anionic metal complexes, such as PdCl(4) (-2).
PMID- 22068902
TI - One-pot synthesis of reduced graphene oxide-cadmium sulfide nanocomposite and its
photocatalytic hydrogen production.
AB - Reduced graphene oxide (RGO)-cadmium sulfide (CdS) nanocomposites were
successfully prepared by a one-pot solvothermal process without pretreatment of
graphene oxide (GO) and a precipitation process, in which GO needs to be pre
reduced by hydrazine. The as-obtained RGO-CdS nanocomposites were used as
photocatalysts for hydrogen production under visible light irradiation, and it
was found that the product derived from the one-pot solvothermal process showed
much better photoactivity than that from the precipitation method.
PMID- 22068903
TI - Solid-state photochromism of chromenes: enhanced photocoloration and observation
of unstable colored species at low temperatures.
AB - Solid-state photochromism of benzopyrans and naphthopyrans (chromenes) was
investigated in the temperature range between 300 and 80 K. Variable-temperature
diffuse reflectance spectroscopy of microcrystalline powders showed that the
extent of photocoloration was greatly enhanced at low temperatures. All the
chromenes examined exhibited solid-state photochromism at low temperatures, even
when they showed little or no photocoloration at room temperature. The solid
state photochromic properties of the chromenes were quite similar to those
reported for analogous photochromic compounds of spiropyrans and spirooxazines,
which indicates that these classes of compounds are generally photochromic even
in the solid state. Photobleaching reactions of the colored merocyanine forms
proceeded at low temperatures through the formation of a colorless intermediate,
instead of directly resuming the original closed form. In addition to two stable
planar merocyanine forms, which are usually observed in the photochromic
reactions in solution, photoreactions at low temperatures allowed us to observe
unstable colored species, which were tentatively assigned as nonplanar cisoid
forms, and were stabilized in the solid state at low temperatures.
PMID- 22068906
TI - Plasma von Willebrand factor as a predictor of survival in pulmonary arterial
hypertension associated with congenital heart disease.
AB - Biomarkers have been identified for pulmonary arterial hypertension, but are less
well defined for specific etiologies such as congenital heart disease-associated
pulmonary arterial hypertension (CHDPAH). We measured plasma levels of eight
microvascular dysfunction markers in CHDPAH, and tested for associations with
survival. A cohort of 46 inoperable CHDPAH patients (age 15.0 to 60.2 years,
median 33.5 years, female:male 29:17) was prospectively followed for 0.7 to 4.0
years (median 3.6 years). Plasma levels of von Willebrand factor antigen
(VWF:Ag), tissue plasminogen activator (t-PA) and its inhibitor (PAI-1), P
selectin, reactive C-protein, tumor necrosis factor alpha, and interleukin-6 and
10 were measured at baseline, and at 30, 90, and 180 days in all subjects. Levels
of six of the eight proteins were significantly increased in patients versus
controls (13 to 106% increase, P < 0.003). Interleukin-10 level was 2.06 times
normal (P = 0.0003; Th2 cytokine response). Increased levels of four proteins (t
PA, PAI-1, P-selectin, and interleukin-6) correlated with disease severity
indices (P < 0.05). Seven patients died during follow-up. An average VWF:Ag (mean
of four determinations) above the level corresponding to the 95th percentile of
controls (139 U/dL) was independently associated with a high risk of death
(hazard ratio = 6.56, 95%CI = 1.46 to 29.4, P = 0.014). Thus, in CHDPAH,
microvascular dysfunction appears to involve Th2 inflammatory response. Of the
biomarkers studied, plasma vWF:Ag was independently associated with survival.
PMID- 22068907
TI - Protective effect of the APOE-e3 allele in Alzheimer's disease.
AB - Although several alleles of susceptibility to Alzheimer's disease (AD) have been
studied in the last decades, few polymorphisms have been considered as risk
factors for the disease. Among them, the APOE-e4 allele appears to be the major
genetic risk factor for the onset of the disease. However, it is important to
confirm the potential susceptibility of these genetic variants in different
populations in order to establish a genetic profile for the disease in specific
communities. This study analyzed the APOE polymorphisms regarding susceptibility
to AD in a sample of 264 individuals (primarily Caucasians; 82 cases and 182
controls) in the population from Vitoria, ES, Brazil, by PCR restriction fragment
length polymorphism (PCR-RFLP) methods. The patients were selected according to
clinical criteria for probable AD. Whereas the e4 allele showed statistically
significant positive association with susceptibility to AD (OR = 3.01, 95%CI =
1.96-4.61; P < 0.0001), the e2 allele did not. The results of the e4 allele
confirm the role of this polymorphism as a risk factor for AD in the sample
studied as observed in other populations. Although the e3 allele has been
considered neutral in several studies, our results suggest that it acts as a
protective factor against AD in the population studied (OR = 0.46, 95%CI = 0.30
0.67; P < 0.0001). This study may provide a new insight into the role of the APOE
e3 allele in the etiology of AD and might help to establish a profile of risk for
AD in the population from Vitoria, ES.
PMID- 22068908
TI - Periodic mechanical stress activates MEK1/2-ERK1/2 mitogenic signals in rat
chondrocytes through Src and PLCgamma1.
AB - The mitogenic effects of periodic mechanical stress on chondrocytes have been
studied extensively but the mechanisms whereby chondrocytes sense and respond to
periodic mechanical stress remain a matter of debate. We explored the signal
transduction pathways of chondrocyte proliferation and matrix synthesis under
periodic mechanical stress. In particular, we sought to identify the role of the
MEK1/2-ERK1/2 signaling pathway in chondrocyte proliferation and matrix synthesis
following cyclic physiologic mechanical compression. Under periodic mechanical
stress, both rat chondrocyte proliferation and matrix synthesis were
significantly increased (P < 0.05) and were associated with increases in the
phosphorylation of Src, PLCgamma1, MEK1/2, and ERK1/2 (P < 0.05). Pretreatment
with the MEK1/2-ERK1/2 selective inhibitor, PD98059, and shRNA targeted to ERK1/2
reduced periodic mechanical stress-induced chondrocyte proliferation and matrix
synthesis (P < 0.05), while the phosphorylation levels of Src-Tyr418 and
PLCgamma1-Tyr783 were not inhibited. Proliferation, matrix synthesis and
phosphorylation of MEK1/2-Ser217/221 and ERK1/2-Thr202/Tyr204 were inhibited
after pretreatment with the PLCgamma1 inhibitor U73122 in chondrocytes in
response to periodic mechanical stress (P < 0.05), while the phosphorylation site
of Src-Tyr418 was not affected. Inhibition of Src activity with PP2 and shRNA
targeted to Src abrogated chondrocyte proliferation and matrix synthesis (P <
0.05) and attenuated PLCgamma1, MEK1/2 and ERK1/2 activation in chondrocytes
subjected to periodic mechanical stress (P < 0.05). These findings suggest that
periodic mechanical stress promotes chondrocyte proliferation and matrix
synthesis in part through the Src-PLCgamma1-MEK1/2-ERK1/2 signaling pathway,
which links these three important signaling molecules into a mitogenic cascade.
PMID- 22068909
TI - Catalytic enantioselective addition of terminal 1,3-diynes to aromatic ketones:
facile access to chiral nonracemic tertiary alcohols.
AB - An efficient, catalytic, and enantioselective 1,2-addition of terminal 1,3-diynes
to aromatic ketones was realized in the presence of 10 mol% of a Cu(OTf)(2)
hydroxycamphor-sulfonamide complex, affording chiral tertiary alcohols in up to
94% yield and 90% ee.
PMID- 22068910
TI - Newborn screening for severe combined immunodeficiency; the Wisconsin experience
(2008-2011).
AB - Severe combined immunodeficiency is a life-threatening primary immune deficiency
characterized by low numbers of naive T cells. Early diagnosis and treatment of
this disease decreases mortality. In 2008, Wisconsin began newborn screening of
infants for severe combined immunodeficiency and other forms of T-cell
lymphopenia by the T-cell receptor excision circle assay. In total, 207,696
infants were screened. Seventy-two infants had an abnormal assay. T-cell numbers
were normal in 38 infants, abnormal in 33 infants, and not performed in one
infant, giving a positive predictive value for T-cell lymphopenia of any cause of
45.83% and a specificity of 99.98%. Five infants with severe combined
immunodeficiency/severe T-cell lymphopenia requiring hematopoietic stem cell
transplantation or other therapy were detected. In summary, the T-cell receptor
excision circle assay is a sensitive and specific test to identify infants with
severe combined immunodeficiency and severe T-cell lymphopenia that leads to life
saving therapies such as hematopoietic stem cell transplantation prior to the
acquisition of severe infections.
PMID- 22068911
TI - The influence of interleukin-32gamma on osteoclastogenesis with a focus on fusion
related genes.
AB - We previously reported that interleukin-32 gamma (IL-32gamma) has a direct effect
on osteoclast differentiation and activation in vitro in the context of receptor
activator of NF-kappaB ligand (RANKL) co-stimulation. However, the stage of
osteoclast differentiation at which IL-32gamma exerts its effect was not
determined. Here, we demonstrated that IL-32gamma plays an important role in the
fusion of preosteoclasts to yield multinuclear osteoclasts, particularly large
osteoclasts. The synergistic effect of IL-32gamma on RANKL-induced formation of
multinuclear osteoclasts was readily apparent when cells were treated with IL
32gamma at the fusion stage. In addition, we demonstrated that IL-32gamma induced
the expression of dendritic cell-specific transmembrane protein (DC-STAMP) and
nuclear factor of activated T cells cytoplasmic 1 (NFATc1), and NFATc1
inactivation by cyclosporine treatment attenuated the effect of IL-32gamma. These
results indicate that IL-32gamma is a potential mediator of osteoclast fusion,
likely through up-regulation of NFATc1 and DC-STAMP.
PMID- 22068912
TI - Colonic mucosa-associated microbiota is influenced by an interaction of Crohn
disease and FUT2 (Secretor) genotype.
AB - The FUT2 (Secretor) gene is responsible for the presence of ABO histo-blood group
antigens on the gastrointestinal mucosa and in bodily secretions. Individuals
lacking a functional copy of FUT2 are known as "nonsecretors" and display an
array of differences in susceptibility to infection and disease, including Crohn
disease. To determine whether variation in resident microbial communities with
respect to FUT2 genotype is a potential factor contributing to susceptibility, we
performed 454-based community profiling of the intestinal microbiota in a panel
of healthy subjects and Crohn disease patients and determined their genotype for
the primary nonsecretor allele in Caucasian populations, W143X (G428A).
Consistent with previous studies, we observe significant deviations in the
microbial communities of individuals with Crohn disease. Furthermore, the FUT2
genotype explains substantial differences in community composition, diversity,
and structure, and we identified several bacterial species displaying disease-by
genotype associations. These findings indicate that alterations in resident
microbial communities may in part explain the variety of host susceptibilities
surrounding nonsecretor status and that FUT2 is an important genetic factor
influencing host-microbial diversity.
PMID- 22068913
TI - Redefining the relevance of established cancer cell lines to the study of
mechanisms of clinical anti-cancer drug resistance.
AB - Although in vitro models have been a cornerstone of anti-cancer drug development,
their direct applicability to clinical cancer research has been uncertain. Using
a state-of-the-art Taqman-based quantitative RT-PCR assay, we investigated the
multidrug resistance (MDR) transcriptome of six cancer types, in established
cancer cell lines (grown in monolayer, 3D scaffold, or in xenograft) and clinical
samples, either containing >75% tumor cells or microdissected. The MDR
transcriptome was determined a priori based on an extensive curation of the
literature published during the last three decades, which led to the enumeration
of 380 genes. No correlation was found between clinical samples and established
cancer cell lines. As expected, we found up-regulation of genes that would
facilitate survival across all cultured cancer cell lines evaluated. More
troubling, however, were data showing that all of the cell lines, grown either in
vitro or in vivo, bear more resemblance to each other, regardless of the tissue
of origin, than to the clinical samples they are supposed to model. Although
cultured cells can be used to study many aspects of cancer biology and response
of cells to drugs, this study emphasizes the necessity for new in vitro cancer
models and the use of primary tumor models in which gene expression can be
manipulated and small molecules tested in a setting that more closely mimics the
in vivo cancer microenvironment so as to avoid radical changes in gene expression
profiles brought on by extended periods of cell culture.
PMID- 22068914
TI - Persisting symptoms after intrathoracic anastomotic leak following oesophagectomy
for cancer.
AB - BACKGROUND: Intrathoracic anastomotic leak is a major cause of postoperative
mortality and morbidity after resection for oesophageal cancer. Little is known
about persisting symptoms after this complication. In this Swedish nationwide
cohort study, it was hypothesized that intrathoracic anastomotic leak makes
patients more susceptible to persisting eating difficulties, odynophagia,
dysphagia, trouble swallowing saliva and reflux. METHODS: Patients who underwent
oesophagectomy for oesophageal cancer, and had reconstruction with a gastric
conduit and an intrathoracic anastomosis, between April 2001 and December 2005
were included. Symptoms were measured using an oesophageal cancer-specific health
related quality-of-life questionnaire (QLQ-OES18), developed by the European
Organization for Research and Treatment of Cancer. Multivariable logistic
regression models were used to calculate risk estimates for symptoms, expressed
as odds ratio (OR) with 95 per cent confidence interval, 6 months after
intrathoracic anastomotic leakage. RESULTS: Among the 277 patients included in
the study, the 29 patients with an intrathoracic anastomotic leak had a fourfold
increased risk (OR 4.05, 1.47 to 11.16) of eating difficulties and a more than
twofold greater risk (OR 2.59, 1.15 to 5.82) of odynophagia, 6 months after
surgery, compared with patients without a leak. There was a twofold increased
risk of trouble swallowing, but this was not statistically significant (OR 1.98,
0.58 to 6.67). CONCLUSION: Patients with an intrathoracic anastomotic leak after
oesophageal cancer surgery were at increased risk of eating difficulties and
odynophagia 6 months after surgery. Higher risks of reflux and dysphagia were not
found among patients with anastomotic leak.
PMID- 22068915
TI - Crystal structure, electronic properties and cytotoxic activity of palladium
chloride complexes with monosubstituted pyridines.
AB - Palladium(II) complexes attract great attention due to their remarkable catalytic
and biological activity. In the present study X-ray characterization, UV-Vis and
Time-Dependent Density Functional Theory (TD-DFT) calculations for six
PdCl(2)(XPy)(2) complexes (where: Py = pyridine; X = H, CH(3) or Cl) were applied
in order to investigate substituent effects on their crystal structures and
electronic properties and to combine the results with their catalytic and
cytotoxic activity. The structures of complexes PdCl(2)(3-MePy)(2), PdCl(2)(4
MePy)(2) and PdCl(2)(2-ClPy)(2), have been described for the first time and we
compared our results with available data for the whole series of six complexes.
All compounds exhibit a square planar coordination geometry in which the
palladium ion coordinates two nitrogen atoms of pyridine ligands and two chlorine
atoms in trans positions. For complexes with ortho substituted XPy ligands a cis
disposition of substituents takes place, whereas for other ligands: 3-MePy and 3
ClPy--the substituents are in trans positions. For XPy the energies of pi-pi* and
n-pi* transitions depend on the position and nature of the X substituent in the
XPy ring. After complex formation a hipsochromic shift (24-34 nm) of pi-pi* and a
bathochromic shift of n-pi* bands are observed. The UV-Vis spectra of
PdCl(2)(XPy)(2) confirm that square planar coordination geometry of complexes I
VI and two dpi-pi* transitions are expected. With the help of the TD-DFT
calculations we proved that dpi-pi* transitions in solutions of PdCl(2)(XPy)(2)
complexes result from MLCT (metal-to-ligand charge transfer) with contribution
from chlorine atoms to palladium. We also studied substituent effects on
cytotoxic properties of Pd(II) complexes against the human breast cancer cell
line MCF7, the human prostate cancer cell line PC3, and the human T-cell
lymphoblast-like cell line CCRF. The studied complexes were the most active
against the CCRF cell line and less or even no cytotoxic effect was observed for
PC3 cells. Complexes with MePy ligands showed increased cytotoxic activity
compared to unsubstituted pyridine ligands.
PMID- 22068916
TI - Radiofrequency heating induced by 7T head MRI: thermal assessment using discrete
vasculature or Pennes' bioheat equation.
AB - PURPOSE: To evaluate and compare the maximum temperature (T(max) ) in the head
after exposure to a 300 MHz radiofrequency (RF) field induced by a magnetic
resonance imaging (MRI) coil using two thermal simulation methods: Pennes'
bioheat equation (PBHE) and discrete vasculature (DIVA). MATERIALS AND METHODS:
The electromagnetic field induced in the head by a 7T birdcage coil was simulated
using finite-difference time-domain (FDTD) and validated by MRI. The specific
absorption rate (SAR) distributions normalized to the 10-gram maximum or the
whole-head average were used for PBHE and DIVA simulations. RESULTS: For all
cases, the T(max) in PBHE was slightly higher than in DIVA. The T(max) was 37.9
38.4 degrees C, depending on the simulation method or perfusion rate. CONCLUSION:
In some situations, RF exposure limited to SAR(max,10g) led to a T(max) higher
than allowed by International Electrotechnical Commission (IEC) regulations.
Therefore, it is advisable to use thermal simulations to evaluate RF safety of
MRI. The simulation method used only slightly influenced the observed maximum
temperature; the observed temperature with PBHE was higher in all situations. So
PBHE is an appropriate method for RF safety assessment of MRI in the head. Using
DIVA simulations, it was found unlikely that the body temperature increases
significantly due to energy deposited by a head coil under normal circumstances.
PMID- 22068917
TI - Preventive oral supplementation with glutamine and arginine has beneficial
effects on the intestinal mucosa and inflammatory cytokines in endotoxemic rats.
AB - The objective of this study was to evaluate the effect of oral supplementation
with a combination of arginine and glutamine on the intestinal mucosa and
inflammatory cytokines of lipopolysaccharide (LPS)-induced adult rats. Fifty
Sprague-Dawley rats (average weight of 185 +/- 15 g) were randomly divided into
five groups: control group A (CA) and control group B (CB), both orally
supplemented with 0.9% saline; group Arg, supplemented with 300 mg/kg day(-1)
arginine; group Gln, supplemented with 300 mg/kg day(-1) glutamine; group AG,
supplemented with 150 mg/kg day(-1) arginine and 150 mg/kg day(-1) glutamine. The
experiment lasted for 2 weeks. Food intake and body weight were measured during
the experiment. At 10.00 h of day 15, animals were injected with 4 mg/kg LPS
(group CB, Arg, Gln, and AG) or sterile saline (group CA) after supplementation.
Then at 14.00 h, all animals were killed and blood and tissue collected. The
results showed that compared with group CB, arginine concentration tended to be
increased (P > 0.05) in group Arg and AG, while there was no significant
difference in glutamine concentration among the groups challenged with LPS. Oral
supplementation with arginine or/and glutamine mitigated morphology impairment
(lower villus height, P < 0.05) in the jejunum and ileum induced by LPS
challenge. LPS administration resulted in a significant increase in TNF-alpha, IL
1beta, IL-6 and IL-10 mRNA abundance. Arginine only significantly decreased TNF
alpha mRNA abundance in the ileum, while glutamine significantly decreased both
TNF-alpha and IL-10 mRNA in the ileum. A combination of arginine and glutamine
significantly decreased TNF-alpha and IL-1beta mRNA abundance in both the jejunum
and ileum, while they also significantly decreased anti-inflammatory IL-10 in the
ileum. These results revealed that an oral supply of combined arginine and
glutamine had more favorable effects on the intestinal mucosa and inflammatory
cytokines than a supply of arginine or glutamine alone.
PMID- 22068918
TI - The high fermentative metabolism of Kluyveromyces marxianus UFV-3 relies on the
increased expression of key lactose metabolic enzymes.
AB - The aim of this work was to obtain insights about the factors that determine the
lactose fermentative metabolism of Kluyveromyces marxianus UFV-3. K. marxianus
UFV-3 and Kluyveromyces lactis JA6 were cultured in a minimal medium containing
different lactose concentrations (ranging from 0.25 to 64 mmol l(-1)) under
aerobic and hypoxic conditions to evaluate their growth kinetics, gene expression
and enzymatic activity. The increase in lactose concentration and the decrease in
oxygen level favoured ethanol yield for both yeasts but in K. marxianus UFV-3 the
effect was more pronounced. Under hypoxic conditions, the activities of beta
galactosidase and pyruvate decarboxylase from K. marxianus UFV-3 were
significantly higher than those in K. lactis JA6. The expression of the LAC4
(beta-galactosidase), RAG6 (pyruvate decarboxylase), GAL7 (galactose-1-phosphate
uridylyltransferase) and GAL10 (epimerase) genes in K. marxianus UFV-3 was higher
under hypoxic conditions than under aerobic conditions. The high expression of
genes of the Leloir pathway, LAC4 and RAG6, associated with the high activity of
beta-galactosidase and pyruvate decarboxylase contribute to the high fermentative
flux in K. marxianus UFV-3. These data on the fermentative metabolism of K.
marxianus UFV-3 will be useful for optimising the conversion of cheese whey
lactose to ethanol.
PMID- 22068919
TI - The Toxicology Investigators Consortium Case Registry-the 2010 experience.
AB - INTRODUCTION: The American College of Medical Toxicology Case Registry was
established in 2010 as a method of identifying cases cared for by medical
toxicologists at participating institutions. The Registry allows for the
extraction of information from medical records making it the most robust
multicenter database on chemical toxicities in existence. The current report is a
summary of the data collected in 2010. METHODS: All cases seen by medical
toxicologists at participating institutions were entered on a database.
Information characterizing patients entered in 2010 was tabulated. RESULTS: Over
the course of 2010, the number of institutions contributing cases grew from 4 to
50. Three thousand nine hundred forty-eight cases were entered. Emergency
departments were the most common source of consultations, accounting for
approximately 50% of the cases. The most common reason for consultations was for
pharmaceutical overdoses, which occurred in 42% of the patients. The most common
classes of agents were non-opioid analgesics (14%), sedative/hypnotics/muscle
relaxants (10%), ethanol (8%), and opioids (8%). N-acetylcysteine was the most
common antidote used, followed by opioid antagonists, sodium bicarbonate, and
physostigmine. Anti-crotalidae Fab fragments were administered in 72% of the
cases in which an antivenin was used. Signals were detected suggesting the
possibility that amlodipine and metoprolol were associated with greater toxicity
than had been previously recognized. CONCLUSIONS: The Registry can identify and
characterize patients who have sufficient toxicity to require a consultation by a
medical toxicologist. Hypotheses for further investigation emerged from the data.
The Registry appears to be a potentially powerful tool for toxicovigilance and
research.
PMID- 22068920
TI - Increased rates of asthma among World Trade Center disaster responders.
AB - BACKGROUND: Studies have documented high rates of asthma symptoms among
responders to the World Trade Center (WTC) disaster. However, whether there are
increased rates of asthma among responders compared to the general population is
unknown. METHODS: The study population consisted of a prospective cohort of
20,834 responders participating in the WTC Medical Monitoring and Treatment
Program between July 2002 and December 2007. We calculated prevalence and
standardized morbidity ratios (SMRs) of lifetime asthma and 12-month asthma
(defined as >=1 attacks in the prior 12 months) among WTC responders. The
comparison population consisted of >200,000 adults who completed the National
Health Interview Survey in 2000 (for pre-9/11 comparisons) and between 2002 and
2007 (for post-9/11 comparisons). RESULTS: WTC responders were on average 43 +/-
9 years old, 86% male, 59% white, and 42% had an occupation in protective
services. The lifetime prevalence of asthma in the general population was
relatively constant at about 10% from 2000 to 2007. However, among WTC
responders, lifetime prevalence increased from 3% in 2000, to 13% in 2002, and
19% in 2007. The age-adjusted overall SMR for lifetime asthma among WTC
responders was 1.8 (95% CI: 1.8-1.9) for men and 2.0 (95% CI: 1.9-2.1) for women.
Twelve-month asthma was also more frequent among WTC responders compared to the
general population (SMR 2.4, 95% CI: 2.2-2.5) for men and 2.2 (95% CI: 2.0-2.5)
for women. CONCLUSIONS: WTC responders are at an increased risk of asthma as
measured by lifetime prevalence or active disease.
PMID- 22068922
TI - Rivastigmine transdermal patch and capsule in Alzheimer's disease: influence of
disease stage on response to therapy.
AB - OBJECTIVES: The cholinesterase inhibitor rivastigmine is approved for the
symptomatic treatment of mild to moderate Alzheimer's disease (AD). This
exploratory, hypothesis-forming analysis assessed response to rivastigmine
according to severity of dementia at baseline. METHODS: This was a retrospective
analysis of a large randomized, placebo-controlled trial (ENA713D2320). AD
patients treated with 9.5 mg/24 h rivastigmine patch, 17.4 mg/24 h rivastigmine
patch, rivastigmine capsule (12 mg/day), or placebo were stratified according to
baseline Mini-Mental State Examination (MMSE) scores: >=7 to <=12 (severe
disease), >=13 to <=15 (moderately severe), >=16 to <=18 (moderate), or >=19 to
<=25 (mild to moderate). Changes from baseline at Week 24 on Alzheimer's Disease
Assessment Scale-cognitive subscale (ADAS-cog), Alzheimer's Disease Cooperative
Study-Clinical Global Impression of Change (ADCS-CGIC), and Alzheimer's Disease
Cooperative Study-Activities of Daily Living (ADCS-ADL) were assessed. RESULTS:
Based on baseline MMSE scores, 141, 228, 333, and 348 patients had severe,
moderately severe, moderate, and mild to moderate dementia. Worsening of ADAS
cog, ADCS-CGIC, and ADCS-ADL scores in patients receiving placebo were greater in
patients with more severe dementia. Significant improvements versus placebo were
seen with rivastigmine patch and/or capsule on ADAS-cog, ADCS-CGIC, and ADCS-ADL
scores in patients with severe, moderately severe, and moderate AD (all p <
0.05). However, no significant improvements were seen in rivastigmine-treated
patients with mild to moderate AD. CONCLUSIONS: Rivastigmine benefits AD patients
across dementia stages. Similar to previous cholinesterase inhibitor studies,
greatest treatment effects with rivastigmine patch and capsule were seen in
patients with more advanced dementia, most likely driven by greater placebo
decline in this population.
PMID- 22068923
TI - Depression and its correlates in older adults in Ukraine.
AB - OBJECTIVE: Little is known about the epidemiology of late life depression in
Eastern Europe. This study examined the 12-month prevalence and correlates of DSM
IV major depressive episode (MDE) in adults age 50 years and over in Ukraine. The
correlates included demographic factors, mental health and alcohol history,
physical conditions, and impairments in functioning. METHODS: A cross-sectional
survey was conducted in Ukraine using the Composite International Diagnostic
Interview (CIDI-3.0) as part of the World Health Organization-World Mental Health
Survey Initiative. The sample included 1843 respondents age 50-91. Unadjusted and
adjusted odds ratios were used to examine associations of the risk factors with
12-month MDE in men and women separately. RESULTS: The 12-month prevalence of MDE
was 14.4% in women and 7.1% in men. In both sexes, history of MDE before age 50
and poor self-assessed mental/physical health were significantly associated with
MDE. Additionally, in men, living alone, 5+ physician visits, and role
impairment, but not alcoholism, were associated with depression; in women,
poverty, history of anxiety disorder, medical conditions, and cognitive and self
care impairment were significant. CONCLUSIONS: The 12-month prevalence of late
life MDE was substantially higher in Ukraine than in Western Europe and other
developed countries. The risk factors, however, were similar to those found
outside Ukraine. Depression is a recurrent condition, and history of depression
was the strongest risk factor. Overall, the results show that older people in
Ukraine constitute a high-risk group for MDE and would therefore benefit from
targeted interventions by primary care physicians.
PMID- 22068924
TI - What did you expect?
PMID- 22068921
TI - MEG-SIM: a web portal for testing MEG analysis methods using realistic simulated
and empirical data.
AB - MEG and EEG measure electrophysiological activity in the brain with exquisite
temporal resolution. Because of this unique strength relative to noninvasive
hemodynamic-based measures (fMRI, PET), the complementary nature of hemodynamic
and electrophysiological techniques is becoming more widely recognized (e.g.,
Human Connectome Project). However, the available analysis methods for solving
the inverse problem for MEG and EEG have not been compared and standardized to
the extent that they have for fMRI/PET. A number of factors, including the non
uniqueness of the solution to the inverse problem for MEG/EEG, have led to
multiple analysis techniques which have not been tested on consistent datasets,
making direct comparisons of techniques challenging (or impossible). Since each
of the methods is known to have their own set of strengths and weaknesses, it
would be beneficial to quantify them. Toward this end, we are announcing the
establishment of a website containing an extensive series of realistic simulated
data for testing purposes ( http://cobre.mrn.org/megsim/ ). Here, we present: 1)
a brief overview of the basic types of inverse procedures; 2) the rationale and
description of the testbed created; and 3) cases emphasizing functional
connectivity (e.g., oscillatory activity) suitable for a wide assortment of
analyses including independent component analysis (ICA), Granger
Causality/Directed transfer function, and single-trial analysis.
PMID- 22068925
TI - Very large magnetoresistive graphene disk with negative permittivity.
AB - At room temperature a large magnetoresistance (MR) of up to 70% is observed in
graphene. Both graphene size and surface functionality influence the MR behavior
significantly. The conductivity increases linearly with increasing temperature
and a unique negative permittivity over a wide frequency range from 10(3) to
10(6) Hz is observed at room temperature.
PMID- 22068926
TI - Regulation of CYP24 splicing by 1,25-dihydroxyvitamin D3 in human colon cancer
cells.
AB - CYP24 is a well-established vitamin D receptor (VDR) target gene. The active VDR
ligand 1,25(OH)2D3 regulates its own catabolism by increasing CYP24 expression.
It is well known that in the presence of 1,25(OH)2D3, VDR binds to VDREs in the
promoter region of CYP24 and initiates CYP24 transcription. However, little is
known about the role of 1,25(OH)2D3 in the posttranscriptional modulation of
CYP24. In this study, we investigated the functional significance of 1,25(OH)2D3
in CYP24 RNA splicing in colon cancer cells. Using RT-PCR, we found that
1,25(OH)2D3 actively induces CYP24 splicing in a time-dependent manner and CYP24
splicing pattern could be cell type or tissue specific. The induction of RNA
splicing by 1,25(OH)2D3 was mainly CYP24 selective. Treatment of cells with
parathyroid hormone inhibited basal CYP24 splicing, but failed to inhibit
1,25(OH)2D3-induced CYP24 splicing. Further experiments demonstrated that new RNA
synthesis was required for the induction of CYP24 splicing by vitamin D. In
addition, alteration of multiple signaling pathways also affected CYP24 splicing
and cellular sensitivity in response to vitamin D appeared to correlate with the
induction of CYP24 splicing. These results suggest that 1,25(OH)2D3 not only
regulates CYP24 transcription, but also plays an important role in
posttranscriptional modulation of CYP24 by inducing its splicing. Our findings
reveal an additional regulatory step that makes the vitamin D mediated action
more prompt and efficient.
PMID- 22068927
TI - Estrogen receptor (ER) expression and function in the pregnant human myometrium:
estradiol via ERalpha activates ERK1/2 signaling in term myometrium.
AB - Estrogens are thought to promote labor by increasing the expression of pro
contraction genes in myometrial cells. The specific estrogen receptors ((ERs:
ERalpha and ERbeta (also known as ESR1 and ESR2)) and G protein-coupled receptor
30 (GPR30; also known as G protein-coupled estrogen receptor 1)) and signaling
pathways that mediate these actions are not clearly understood. In this study, we
identified the ERs expressed in the pregnant human myometrium and determined a
key extranuclear signaling pathway through which estradiol (E(2)) modulates
expression of the gene encoding the oxytocin receptor (OXTR), a major pro
contraction protein. Using quantitative RT-PCR, we found that ERalpha and GPR30
mRNAs were expressed in the human pregnant myometrium while ERbeta mRNA was
virtually undetectable. While mRNA encoding ERalpha was the predominant ER
transcript in the pregnant myometrium, ERalpha protein was largely undetectable
in myometrial tissue by immunoblotting. Pharmacological inhibition of 26S
proteasome activity increased ERalpha protein abundance to detectable levels in
term myometrial explants, however, indicating rapid turnover of ERalpha protein
by proteasomal processing in the pregnant myometrium. E(2) stimulated rapid
extranuclear signaling in myometrial explants, as evidenced by increased
extracellularly regulated kinase (ERK1/2) phosphorylation within 10 min. This
effect was inhibited by pre-treatment with an ER antagonist, ICI 182 780,
indicating the involvement of ERalpha. Inhibition of ERK signaling abrogated the
ability of E(2) to stimulate OXTR gene expression in myometrial explants. We
conclude that estrogenic actions in the human myometrium during pregnancy,
including the stimulation of contraction-associated gene expression, can be
mediated by extranuclear signaling through ERalpha via activation of the
ERK/mitogen-activated protein kinase pathway.
PMID- 22068928
TI - Mechanism of benzene hydroxylation by high-valent bare Fe(IV)=O2+: explicit
electronic structure analysis.
AB - The conversion of benzene to phenol by high-valent bare FeO(2+) was
comprehensively explored using a density functional theory method. The conductor
like screen model (COSMO) was used to mimic the role of solvent effect with
acetonitrile chosen as the solvent. Two radical mechanisms and one oxygen
insertion mechanism were tested for this conversion. The first radical mechanism
can also be named as the concerted mechanism in which the hydrogen-atom
abstraction process is accomplished via a four-centered transition state. The
second radical mechanism is initiated by a direct hydrogen-atom abstraction with
a collinear C-H-O transition structure. It is actually the same as the well
accepted rebound mechanism for the C-H bond activation by heme and nonheme iron
oxo catalysts. The third is an oxygen insertion mechanism which is essentially an
aromatic electrophilic attack leading to an arenium sigma-complex intermediate.
The formation of a precomplex with an eta(4) coordinate environment in the first
radical mechanism is energetically more favorable. However, the relatively lower
activation energy barrier of the oxygen insertion mechanism compared to the
radical ones makes it highly competitive if the Fe=O(2+) collides with benzene in
the proper orientation. The detailed potential energy surfaces also indicate that
the second radical mechanism, i.e., the benzene C-H bond activation through the
rebound mechanism, is less favorable. This thorough theoretical study, especially
the electronic structure analysis, may offer very important clues for
understanding and studying C-H bond activation by iron-based catalysts and
enzymatic reactions in protein active pockets.
PMID- 22068930
TI - Sports therapy for attention, cognitions and sociality.
AB - The aim of the present study was to understand if sport improves attention
symptoms, social competency, and cognitive functions in children with attention
deficit and hyperactivity disorder (ADHD). The present study was designed as a 6
week, prospective trial, including 12 sessions of education/sports therapy. 13
ADHD children participated in a 90-min athletic activity (sports-cADHD) twice a
week, while 15 ADHD children received education on behavior control (edu-cADHD).
During the 6-week treatment period, the sports-cADHD group showed greater
improvements in DuPaul's ADHD Rating Scale scores, parent and teacher version (K
ARS-PT), compared to those of the edu-sADHD group. The cognitive functions
assessed with the digit symbol and Trail-Making Test part B (TMT B) were improved
in the sports-cADHD group, while the cognitive functions observed in the edu
sADHD group were not significantly changed. The cooperativeness scores in the
sports-cADHD group were greatly increased compared to those of the edu-sADHD
group. The results demonstrated a positive correlation with sports and
improvement in attention symptoms, cognitive symptoms and social skills. The
results of the present study suggest that therapy in the form of athletic
activity may increase social competency in children with ADHD, as demonstrated by
improved cognitive functions.
PMID- 22068931
TI - Muscle protein turnover in endurance training: a review.
AB - There has been much debate about skeletal muscle capacity to adapt to long
lasting endurance exercise. Exercise in the aerobic zone of metabolism does not
result in hypertrophy of skeletal muscle fibres but increases their oxidative
capacity. The duration and intensity of an exercise session determines the time
period of depressed muscle protein synthesis and increased degradation rate
during the recovery period after exercise. Protein turnover characterizes the
renewal processes of muscle proteins and the functional capacity of muscle. The
turnover rate of myofibrillar proteins is slow in comparison with mitochondrial
proteins and depends on the oxidative capacity of muscle fibres. The turnover
rate of myofibrillar proteins in the same muscle is different and is also
different within the myosin molecule between myosin heavy and light chain
isoforms. The turnover rate of muscle proteins in endurance training shows the
adaptation of skeletal muscle to long-lasting exercise via remodelling of muscle
structures. Adaptational coordination between myofibrillar and mitochondrial
compartments shows the physiological role and adaptational capacity of skeletal
muscle to endurance training. It is challenging to use muscle protein turnover
for the purposes of monitoring the training process of endurance athletes,
optimizing training programs and preventing overtraining.
PMID- 22068929
TI - Cytokines and sudden infant death.
AB - BACKGROUND: It has been hypothesised that inflammatory reactions could play an
important role in the pathway(s) leading to sudden and unexpected death in
infancy. On a molecular level, these reactions are regulated by various
cytokines. METHODS: To characterise the role of IL-1beta, IL-6 and TNFalpha more
precisely, the concentrations of these cytokines were determined quantitatively
using specific ELISA techniques in serum and cerebrospinal fluid (CSF) in 119
cases of sudden infant death. The infants were grouped into four categories
(SIDS, SIDS with infection, natural death due to infection and unnatural death).
RESULTS: A good correlation was found between CSF and serum for IL-6 (Spearman
correlation coefficients (SCC), 0.73) and also for TNFalpha (SCC, 0.57), although
the CSF concentrations were lower than that from the serum. There were no
significant differences between the categories of death for any of the serum or
CSF cytokines. Compared with normal values, increased serum concentrations of IL
1beta, IL-6 and TNFalpha were found in 70%, 69% and 38% of the cases
respectively, indicating possible agonal or post-mortem changes of cytokine
concentrations. In three cases very high cytokine concentrations were found
(mainly for IL-6). This may have contributed to the mechanism of death (cytokine
storm) in two of the cases. CONCLUSIONS: In a small group of patients, very high
cytokine concentrations are a possible explanation for the cause of death
("cytokine storm").
PMID- 22068932
TI - The importance of considering both racial and socioeconomic disparities: A
response to Collins and Alpert.
PMID- 22068933
TI - Stitching phospholanes together piece by piece: new modular di- and tridentate
stereodirecting ligands.
AB - The modular one-pot synthesis of a large family of bi- and tridentate 2,5
dimethyl- and 2,5-diphenyl-substituted phospholanes employs air-stable chiral
phospholanium chloride salts and primary amines or NH(4)Cl as starting materials.
These were transformed into the C(2)-symmetric dimethyl- and diphenylphospholane
ligands, which reacted with [Rh(cod)(2)]BF(4) (cod=1,5-cyclooctadiene) to yield
the rhodium complexes [Rh(L)(cod)]BF(4) (L=bisphospholane ligands). The
corresponding trisphospholane complexes, 11 and 12, were obtained in high yields
(81 and 92%, respectively), and fully characterised by NMR spectroscopy, mass
spectrometry and elemental analysis. Whilst in the C(3)-symmetric complex 11,
containing the tridentate 2,5-dimethylphospholane, the ligand is bound
symmetrically, different coordination behaviour was found for the diphenyl
substituted complex 12, in which the coordination of only two of the three
phospholane moieties to the metal centre was observed. A DFT study at the B3PW91
level established minimum energy structures consistent with experimental findings
in solution and in the solid state. The non-coordinated phospholane unit present
in 12 allowed further modification of the complex through the coordination of
Au(I)-X (X=Cl, C(6)F(5) and tris(trifluoromethyl)phenyl ((F)Mes)) fragments to
the pendant phosphane. To investigate the potential of the new ligands, the
enantioselective hydrogenation of a series of prochiral olefins as benchmark
substrates, using isolated Rh complexes as catalysts, was studied. The substrates
included methyl esters of three dehydro-alpha-acetamido acids and two itaconic
acid derivatives. In general good to excellent enantioselectivities (of up to
>99% ee) were observed. Ligand backbone modification by coordination of bulky Au
X substituents to the free phospholane unit in complex 12 led to an outstanding
enhancement of the catalyst performance and there was a clear correlation between
the properties of the complex periphery and the enantioselectivity.
PMID- 22068934
TI - Comparison of three T-Wave Delineation Algorithms based on Wavelet Filterbank,
Correlation and PCA.
AB - There is a large interest in analysing the QT-interval, as a prolonged QT
interval can cause the development of ventricular tachyarrhythmias such as
Torsade de Pointes. One major part of QT-analysis is T-end detection. Three
automatic T-end delineation methods based on wavelet filterbanks (WAM),
correlation (CORM) and Principal Component Analysis PCA (PCAM) have been
developed and applied to Physionet QT database.All algorithms tested on Physionet
QT database showed good results, while PCAM produced better results than WAM and
CORM achieved best results. Standard deviation in sampling points (f(s)=250Hz)
have been 33.3 (WAM), 8.0 (PTDM) and 7.8 (CORM). It could be shown that WAM is
prone to interference while CORM is the most stable method even under bad
conditions. Furthermore it was possible to detect significant QT-prolongation
caused by Moxifloxacin in Thorough QT Study # 2 using CORM. QT-prolongation is
significantly correlated to blood plasma concentration of Moxifloxacin.
PMID- 22068936
TI - Allee effect in exotic and introduced blowflies.
AB - We combined two models to investigate the theoretical dynamics of five exotic and
native blowfly species in response to the Allee effect by using demographic
parameters estimated from experimental populations. Most of the results suggest
stabilization of dynamic behavior in response to the Allee effect. However, the
results depended on the magnitude of the demographic parameters of each species,
and also indicated chaotic fluctuations and limit cycles. The results are
discussed in the context of larval aggregation, an important biological process
for blowflies, which naturally incorporates the Allee effect.
PMID- 22068935
TI - [Dermatobia hominis infection in a 3-year-old child].
AB - In the context of increasing travel to the tropics, outpatient services are more
frequently confronted with non-domestic diseases in Europe. A 3-year old child
presented with a painful tumor of the scalp. After incision of the furuncle-like
lesion, we extracted a larva of the botfly Dermatobia hominis. Botflies are
mainly encountered in Central and South America; they should be considered if
patients demonstrate a furuncle-like lesion and have returned from a holiday in
these endemic regions.
PMID- 22068937
TI - Diapause initiation and alterations in the life cycle of Grapholita molesta
(Busck) (Lepidoptera: Tortricidae) as induced by photoperiodic conditions.
AB - Grapholita molesta (Busck) is one of the major pests of Rosaceae, causing
significant damage to buds and fruits. In Southern Brazil, its population density
is reduced during Rosaceae dormancy months. The present study evaluated the
influence of different photoperiods (L:D) (10:14, 11:13, 12:12, 13:11, 14:10 and
16:8) at 25 +/- 1oC and 60 +/- 10% RH on diapause induction of G. molesta eggs,
larvae, prepupae, and pupae. The effects of the photoperiod on the life cycle of
non-diapausing insects and on the second generation were also assessed. Prepupal
diapause was observed only when eggs and neonates (<= 12h-old larvae) were
exposed to photophases from 10h to 14h long. Development of non-diapausing
individuals and those from the second generation tended to be longer in
photophases between 10h and 14h long.
PMID- 22068938
TI - Development and survival of the diamondback moth, Plutella xylostella (L.)
(Lepidoptera: Yponomeutidae) as a function of temperature: effect on the number
of generations in tropical and subtropical regions.
AB - The diamondback moth, Plutella xylostella (L.), is the most important pest of
brassicaceous crops worldwide. Since temperature is the major abiotic factor
influencing insect development and thermal requirements may vary among insect
populations, it is important to know the effect of temperature on development and
survival of a subtropical strain of P. xylostella. Development and survival of
the diamondback moth was evaluated under seven constant temperatures ranging from
10 degrees C to 35 degrees C. Development was completed between 10 degrees C and
32.5 degrees C, but at 35 degrees C all individuals died in the larval stage.
Data were fitted to one linear and five nonlinear models. Considering as criteria
the goodness of fit and the ability to estimate parameters of biological
significance, the models Briere-1 and Briere-2 were the most adequate to describe
the relationship between temperature and development of P. xylostella. The linear
model demonstrated that P. xylostella required 312.5 degree-days above a lower
threshold of 6.3 degrees C to complete development. The degree-day model showed
that the number of diamondback moth generations in the tropical region of Brazil
is nearly twice the number in the subtropical region of the country. This result
explains, at least in part, the higher population levels of this species in the
tropical region of Brazil, and also demonstrates that P. xylostella is tolerant
to a wide range of temperatures (6.1-32.5 degrees C). Therefore, temperature
cannot be considered a limiting factor for the occurrence of diamondback moth
throughout the year in most regions of Brazil.
PMID- 22068939
TI - Do leaf-litter attributes affect the richness of leaf-litter ants?
AB - The search for factors shaping leaf-litter ant communities has received
particular attention due to the essential role of these insects in many
ecological processes. Here, we aimed to investigate how the number of leaves and
leaf morphotypes affect the litter-ant species density at forest edge and
interior in an Atlantic Forest remnant in the state of Alagoas, Brazil. This
study was developed based on 28 litter plots (1m2 each), 14 in the forest
interior and 14 in the forest edge. As we early expected, ant species density
increased with increasing both the number of leaves and the number of leaf
morphotypes, but this result was clearly influenced by plot location. Contrasting
with the forest interior, ant species density did not increase as the number of
leaves increased in the forest edge. Possibly, factors such as plant species
richness, vegetation structure and environmental conditions affect ant species
density as well as promote a patchy distribution of species in ant communities
along the edge-to-interior gradient. Our findings suggest that edge-affected
forests present more simplified ant communities, with different factors shaping
its structure. We encourage future studies to include leaf litter heterogeneity
as one of the explanatory variables investigated.
PMID- 22068940
TI - Long-term male aggregations of Euglossa melanotricha Moure (Hymenoptera: Apidae)
on fern fronds Serpocaulon triseriale (Pteridophyta: Polypodiaceae).
AB - A communal dormitory of male orchid bees, Euglossa melanotricha Moure, was
monitored over a one-year period, when they passed the night in the fronds of a
Serpocaulon triseriale (Polypodiaceae) fern. The bees used the same fronds
continuously, moving to neighboring fronds as senescence set in. As many as 49
males were observed together on any one night, clinging to the midribs on the
abaxial surface of up to five fern blades with their mandibles. A number of males
returned to the same site to pass the night continuously over a number of months,
and were observed making physical contact with one another without provoking
agonistic behavior. Males of E. melanotricha appeared to prefer sleeping at sites
close to nests and potential sources of odoriferous essences, such as orchids
(Orchidaceae).
PMID- 22068941
TI - Molecules, wing pattern and distribution: an approach to species delimitation in
the "loxurina group" (Lepidoptera: Lycaenidae: Penaincisalia).
AB - The wide range of morphological variations in the "loxurina group" makes taxa
identification difficult, and despite several reviews, serious taxonomical
confusion remains. We make use of DNA data in conjunction with morphological
appearance and available information on species distribution to delimit the
boundaries of the "loxurina" group species previously established based on
morphology. A fragment of 635 base pairs within the mtDNA gene cytochrome oxidase
I (COI) was analysed for seven species of the "loxurina group". Phylogenetic
relationships among the included taxa were inferred using maximum parsimony and
maximum likelihood methods. Penaincisalia sigsiga (Balint et al), P.
cillutincarae (Draudt), P. atymna (Hewitson) and P. loxurina (C. Felder & R.
Felder) were easily delimited as the morphological, geographic and molecular data
were congruent. Penaincisalia ludovica (Balint & Wojtusiak) and P. loxurina
astillero (Johnson) represent the same entity and constitute a sub-species of P.
loxurina. However, incongruence among morphological, genetic, and geographic data
is shown in P. chachapoya (Balint & Wojtusiak) and P. tegulina (Balint et al).
Our results highlight that an integrative approach is needed to clarify the
taxonomy of these neotropical taxa, but more genetic and geographical studies are
still required.
PMID- 22068942
TI - Barbadocladius Cranston & Krosch, a new genus of Orthocladiinae (Diptera:
Chironomidae) from South America.
AB - Barbadocladius n. gen. is erected and described in larval, pupal and adult stages
for two species: B. andinus sp. nov. and B. limay sp. nov., from Andean streams.
The larva is distinctive by virtue of the very large ventromental 'beard' and the
anterior parapods with a 'sleeve' of hooklets in addition to apical pectinate
claws. The pupa has hooklets on some tergal and sternal intersegmental membranes.
The adult, reported only in teneral specimens has hairy eyes, no antennal apical
strong seta, no acrostichals, bare and unmarked wings, cylindrical 4th tarsomere
subequal in length to the 5th, pulvilli about half the claw length, and
hypopygium with anal point, lacking a virga. Molecular phylogenetic analysis
eliminates relationships directly to the Eukiefferiella complex (which also have
pupal hooklets), or to the Cricotopus group (adults also with hairy eyes),
suggesting instead a sister group relationship to a suite of predominantly
austral genera of Orthocladiinae.
PMID- 22068943
TI - New mariner elements in Anastrepha species (Diptera: Tephritidae).
AB - Mariner-like elements (MLE) are members from class II of transposable elements
also known as DNA transposons. These elements have a wide distribution among
different groups of organisms, including insects, which can be explained by
horizontal and vertical gene-transfer. MLE families have been described in
tephritid flies and other genera. During screening for Wolbachia bacteria in
fruit flies of the genus Anastrepha, we discovered two sequences related to
mariner-like elements. Based on these sequences, we designed primers that allowed
us to isolate and characterize two new mariner-like elements (Anmar1 and Anmar2)
in Anastrepha flies. These elements, which belong to the mellifera and rosa
subfamilies have a low nucleotide diversity, and are probably inactive and
acquired by vertical transfer. This is the first report of mariner-like
transposons in flies found in South America.
PMID- 22068944
TI - A new species group of the genus Epicauta Dejean of southern South America, the
bella group (Coleoptera: Meloidae).
AB - Epicauta includes two subgenera, and within the nominotypical subgenus several
species groups. Analyzing species of southern South America, a set of species of
Epicauta has the particularity to present two distinctive characters which
separates this group from the other species groups of American Epicauta: color
pattern of pubescence in elytra is not coincident with color pattern of tegument
and endophalic hook robust. Based on these characters I propose a new group of
species herein named bella group. This group includes the Neotropical species
Epicauta bella Maklin, E. brunneipennis (Haag-Rutemberg), E. diagramma
(Burmeister), E. griseonigra (Fairmaire), E. luctifera (Fairmaire), E. riojana
(Fairmaire) (new status), and E. zebra (Dohrn). This group is endemic of southern
South America, inhabiting the Chaco biogeographical subregion, mainly in the arid
northern areas of Argentina. Here we redefine the species of the bella group,
consider new characters, illustrate the species in the group, provide maps of
their distribution, and a key to identify them.
PMID- 22068945
TI - A morphometric and molecular study of Anastrepha pickeli Lima (Diptera:
Tephritidae).
AB - This study investigated the level of morphometric and genetic variability among
populations of Anastrepha pickeli Lima from several localities in Brazil, one
locality in Bolivia and one in Paraguay. Traditional and geometric morphometric
analyses were used, as well as sequencing of a fragment of the cytochrome oxidase
gene (COI). Six variables were measured from the aculeus for traditional
morphometric analysis and 14 landmarks from the right wing were used for
geometric analysis, using 10 specimes/population. The aculeus tip length, aculeus
width at the end of the cloaca opening, and the serrate part length contributed
with 62.7% for grouping. According to the results from traditional morphometry,
there was no significant difference, but the multivariate tests showed that the
canonical variables were statistically significant, indicating a difference in
the wing conformation among populations. Molecular phylogenetic analysis
indicated that the populations clustered into three clades and revealed a high
level of genetic variation within A. pickeli populations from various geographic
regions. Anastrepha pickeli populations differed among them according to the
methods used in this study, showing incongruence among the methods used.
PMID- 22068946
TI - Riethia manauara n. sp., an Amazonian Chironomid (Diptera: Chironomidae) from
Brazil.
AB - One new species of Riethia Kieffer, Riethia manauara n. sp., is described and
figured as male, pupa and larva. The generic diagnosis for pupae and larvae are
emended. The specimens were collected from water systems in the Amazon Rainforest
in northern Brazil.
PMID- 22068947
TI - Plasmid patterns of efficient and inefficient strains of Bacillus thuringiensis
against Spodoptera frugiperda (J.E. Smith) (Lepidoptera: Noctuidae).
AB - Bacillus thuringiensis harbors genes encoding Cry proteins found in chromosomes
or plasmids of different sizes (4-150 Mb). Although the smaller plasmids are more
abundant in B. thuringiensis, their specific function is unknown. As for the
megaplasmids, their main recognized function is to harbor cry genes, although the
sequencing of some of these plasmids indicates the occurrence of other important
genes. This work used a new protocol for practical and rapid extraction of
plasmid DNA in order to characterize the plasmid patterns of Brazilian strains
belonging to Embrapa Milho e Sorgo research center B. thuringiensis bank. We
tried to further assess the relationship of plasmid patterns with strains
belonging to the same serovars and strains causing 100% and no mortality to
Spodoptera frugiperda (J.E. Smith) larvae. It was possible to characterize 59
strains based on the migration of bands in agarose gel. Strains belonging to the
same serovars showed different plasmid sizes (from 1,636 bp to 23,200 bp), with
the exception of two strains belonging to serovar galleriae. The strain T09 Bt
tolworthi showed a plasmid migration pattern identical to strains belonging to
serovar galleriae. Plasmid patterns differed for 46 strains, confirming that this
is a useful tool to discriminate specific strains. However, it was not possible
to associate the plasmid pattern or the occurrence of particular plasmids with
the pathogenicity of a given species towards S. frugiperda larvae.
PMID- 22068948
TI - Cost-effectiveness of integrated pest management compared with insecticidal
spraying against the German cockroach in apartment buildings.
AB - This study assessed the cost and effectiveness of an integrated pest management
(IPM) program using hydramethylnon gel baits compared with conventional spraying
for controlling the German cockroach, Blattella germanica (L.) (Blattodea:
Blattellidae), in two residential buildings in Yasuj, Iran. The IPM approach was
based on educational programs using pamphlets, posters and lectures, sanitation
using vacuuming and application of hydramethylnon gel baits. Conventional
approach used cypermethrin (10% EC) on baseboard and cracks-and-crevices. Sticky
traps were used as tools for monitoring cockroach population densities. The IPM
approach reduced (943%) the rate of insecticide application compared to the
conventional spray. Cockroach populations in the IPM treatment were significantly
reduced from an average of 12.2 +/- 3.01 cockroaches per unit before treatment to
zero cockroach per unit by week four and thereafter. Cockroach populations in the
conventional spray treatment were reduced from an average of 11.5 +/- 4.43
cockroaches per unit before treatment to an average of 3.4 +/- 0.99 cockroach per
unit after 11 weeks of post treatment. The IPM treatment improved 100% of
infested units compared to 78% for spray treatment to obtain a clean level of
infestation (< 1cockroach per trap per unit). The results suggest that the
intervention by IPM using hydramethylnon gel baits significantly reduced
cockroach infestation compared to cypermethrin spray throughout the 11 weeks of
post-treatment period. However, within the study period, the IPM system involving
gel baits, educational program and sanitation was 363.2% more expensive than the
conventional method.
PMID- 22068949
TI - Infestation of cassava genotypes by Neosilba perezi (Romero & Ruppell) (Diptera:
Lonchaeidae).
AB - The objectives of this work were to assess the infestation of ten genotypes of
cassava by the shoot fly Neosilba perezi (Romero & Ruppell) and to investigate
effects of plant age, temperature or precipitation on cassava plants infestation
by the shoot fly. Thirty-two individuals of each cassava genotype were planted
and analyzed every two weeks in order to calculate the percentage of plants
infested by shoot fly larvae at each sampling event and per genotype. Infestation
by the fly was different across the genotypes. Genotype IAC Caapora 105-66 and
genotype IAC Cascuda were resistant to shoot fly infestation, whereas the
genotype IAC 15 was the most susceptible to this insect. Plant age may have an
influence on infestation by shoot flies. Advanced plant age apparently favors
lower or even inexistent infestation rates. However, infestation rate does not
seem to be affected by temperature or precipitation.
PMID- 22068950
TI - Natural incidence of egg parasitoids of Edessa meditabunda (F.) (Hemiptera:
Pentatomidae) on Crotalaria spectabilis in Campo Novo do Parecis, MT, Brazil.
AB - Egg parasitoids of the stink bug Edessa meditabunda (F) were studied on rattlepod
Crotalaria spectabilis used in soybean crop rotation in Campo Novo do Parecis,
Mato Grosso state, central western Brazil. Seven species of parasitoids were
found: two Encyrtidae, one Eurytomidae, and four Platygastridae. The occurrence
of Trissolcus euchisti (Ashmead) and Trissolcus elimatus Johnson (Platygastridae)
on eggs of E. meditabunda is recorded for the first time. Moreover, this is the
first record of T. elimatus and T. euchisti from Brazil.
PMID- 22068951
TI - Record of Podisus nigrispinus (Dallas) (Hemiptera: Pentatomidae) preying on
Metrogaleruca obscura Degeer (Coleoptera: Chrysomelidae).
AB - Asopines are predators of insects, with several species with potential as
biocontrol agents of a number of pests. Metrogaleruca obscura (Degeer), a
neotropical species of Galerucini, was introduced in Malaysia, Asia, and
Mauritius, Africa, to control the spread of Cordia curassavica (Boraginaceae), a
native plant of the neotropics. The occurrence of Podisus nigrispinus (Dallas)
preying on M. obscura is recorded, and Cordia verbenacea (Boraginaceae) is
mentioned as a host plant for M. obscura. A list of Chrysomelidae attacked by
asopines in the neotropical region is also presented.
PMID- 22068952
TI - First record of the olive bud mite Oxycenus maxwelli (Keifer) (Acari:
Eriophyidae) from Brazil.
AB - The mite Oxycenus maxwelli (Keifer) (Eriophyidae) is reported for the first time
in Brazil infesting olive trees, Olea europaea. Specimens were found on seedlings
at Maria da Fe, state of Minas Gerais, in 2007. Although minor symptoms were not
noticed, significant damage to plants were observed. There is no reliable
evidence of when the mite could have been introduced. It is believed that the
mite occurs since the first introductions of olive trees, around 1820, through
vegetative propagating material, but the mite remained unnoticed due to the lack
of studies with olive trees in Brazil.
PMID- 22068953
TI - First host plant record for Pero obtusaria Prout (Lepidoptera: Geometridae).
AB - The shrub Pluchea chingoyo is mentioned as the first host plant record for larvae
of the little known moth Pero obtusaria Prout.
PMID- 22068954
TI - New records of thrips (Thysanoptera) species in Brazil.
AB - This study reports four thrips species from Brazil for the first time: the
terebrantians Aptinothrips rufus (Haliday) and Echinothrips caribbeanus Hood; and
the tubuliferans Androthrips ramachandrai Karny and Gynaikothrips uzeli
(Zimmermann). New data about biological aspects of some of these new records are
presented.
PMID- 22068955
TI - Kamlet-taft and Catalan studies of some novel Y-shaped imidazole derivatives.
AB - Some novel Y-shaped imidazole derivatives were developed and characterized by NMR
and mass spectral techniques. The photophysical properties of these imidazole
derivatives were studied in several solvents. The Kamlet-Taft and Catalan's
solvent scales were found to be the most suitable for describing the
solvatochromic shifts of the absorption and fluorescence emission. The adjusted
coefficient representing the electron releasing ability or basicity of the
solvent, C(beta) or C(SB) has a negative value, suggesting that the absorption
and fluorescence bands shift to lower energies with the increasing electron
donating ability of the solvent. This effect can be interpreted in terms of the
stabilization of the resonance structures of the chromophore. The observed lower
fluorescence quantum yield may be due to an increase in the non-radiative
deactivation rate constant. This is attributed to the loss of planarity in the
excited state provided by the non co-planarity of the cinnamaldehyde ring
attached to C(2) atom of the imidazole ring. Such a geometrical change in the
excited state leads to an important Stokes shift, reducing the reabsorption and
reemission effects in the detected emission in highly concentrated solutions.
PMID- 22068957
TI - Tumour-related factors and prognosis in breast cancer detected by screening.
AB - BACKGROUND: Breast cancer detected by screening has an unexplained prognostic
advantage beyond stage shift compared with cancers detected clinically. The aim
was to investigate biological factors in invasive breast cancer, with reference
to mode of detection and rate of death from breast cancer. METHODS: Histology,
oestrogen receptor alpha and beta, progesterone receptor, human epidermal growth
factor receptor (HER) 2, cyclin D1, p27, Ki-67 and perinodal growth were analysed
in 466 tumours from a prospective cohort, the Malmo Diet and Cancer Study. Using
logistic regression, odds ratios were calculated to investigate the relationship
between tumour characteristics and mode of detection. The same tumour factors
were analysed in relation to standard prognostic features. Death from breast
cancer was analysed using Cox regression with adjustments for standard tumour
factors; differences following adjustment were analysed by means of Freedman
statistics. RESULTS: None of the biological tumour characteristics varied with
mode of detection of breast cancer. After adjustment for age, tumour size,
axillary lymph node involvement (ALNI) and grade, women with cancer detected
clinically had an increased risk of death from breast cancer (hazard ratio 2.48,
95 per cent confidence interval 1.34 to 4.59), corresponding to a 37.2 per cent
difference compared with the unadjusted model. Additional adjustment for
biological tumour factors studied caused only minor changes. CONCLUSION: None of
the biological tumour markers investigated explained the improved prognosis in
breast cancer detected by screening. None of the factors was related to ALNI,
suggesting that other mechanisms may be responsible for tumour spread.
PMID- 22068956
TI - Spectrofluorimetric assessment of chlorzoxazone and ibuprofen in pharmaceutical
formulations by using Eu-tetracycline HCl optical sensor doped in sol-gel matrix.
AB - A novel, simple, sensitive and selective spectrofluorimetric method was developed
for the determination of trace amounts of chlorzoxazone and Ibuprofen in
pharmaceutical tablets using optical sensor Eu-Tetracycline HCl doped in sol-gel
matrix. The chlorzoxazone or Ibuprofen can remarkably enhance the luminescence
intensity of Eu-Tetracycline HCl complex doped in a sol-gel matrix in
dimethylformamide (DMF) at pH 9.7 and 6.3, respectively, lambda(ex) = 400 nm. The
enhancing of luminescence intensity peak of Eu-Tetracycline HCl complex at 617 nm
is proportional to the concentration of chlorzoxazone or Ibuprofen a result that
suggested profitable application as a simple optical sensor for chlorzoxazone or
Ibuprofen assessment. The dynamic ranges found for the determination of
chlorzoxazone and Ibuprofen concentration are 5 * 10(-9)-1 * 10(-4) and 1 * 10(
8)-7 * 10(-5) mol L(-1), and the limit of detection (LOD) and quantitation limit
of detection (LOQ) are 3.1 * 10(-10), 9.6 * 10(-10) and 5.6 * 10(-10), 1.7 * 10(
9) mol L(-1), respectively.
PMID- 22068958
TI - Water oxidation catalysed by manganese compounds: from complexes to 'biomimetic
rocks'.
AB - One of the most fundamental processes of the natural photosynthetic reaction
sequence is the light-driven oxidation of water to molecular oxygen. In vivo,
this reaction takes place in the large protein ensemble Photosystem II, where a
MU-oxido-Mn(4)Ca- cluster, the oxygen-evolving-complex (OEC), has been identified
as the catalytic site for the four-electron/four-proton redox reaction of water
oxidation. This Perspective presents recent progress for three strategies which
have been followed to prepare functional synthetic analogues of the OEC: (1) the
synthesis of dinuclear manganese complexes designed to act as water-oxidation
catalysts in homogeneous solution, (2) heterogeneous catalysts in the form of
clay hybrids of such Mn(2)-complexes and (3) the preparation of manganese oxide
particles of different compositions and morphologies. We discuss the key
observations from the studies of such synthetic manganese systems in order to
shed light upon the catalytic mechanism of natural water oxidation. Additionally,
it is shown how research in this field has recently been motivated more and more
by the prospect of finding efficient, robust and affordable catalysts for light
driven water oxidation, a key reaction of artificial photosynthesis. As manganese
is an abundant and non-toxic element, manganese compounds are very promising
candidates for the extraction of reduction equivalents from water. These
electrons could consecutively be fed into the synthesis of "solar fuels" such as
hydrogen or methanol.
PMID- 22068959
TI - Left ventricular diastolic function in type 2 diabetes mellitus is associated
with myocardial triglyceride content but not with impaired myocardial perfusion
reserve.
AB - PURPOSE: To study myocardial perfusion reserve and myocellular metabolic
alterations indicated by triglyceride content as possible causes of diastolic
dysfunction in patients with type 2 diabetes mellitus, preserved systolic
function, and without clinically evident coronary artery disease. MATERIALS AND
METHODS: Patients with type 2 diabetes mellitus (n = 42) underwent cardiac
magnetic resonance (CMR) for quantification of 1) myocardial contractility by
strain-encoded MR (SENC); 2) myocardial triglyceride content by proton magnetic
resonance spectroscopy ((1) H-MRS); and 3) myocardial perfusion reserve during
pharmacologic hyperemia. Age-matched healthy volunteers (n = 16) also underwent
CMR to acquire normal values for myocardial strain and perfusion reserve.
RESULTS: Stress CMR procedures were successfully performed in all subjects, and
no regional inducible perfusion defects were observed in type 2 diabetes mellitus
patients. Diastolic strain rate and myocardial perfusion reserve were
significantly impaired in patients with type 2 diabetes mellitus compared to
control subjects (P < 0.001 for both). Interestingly, impaired diastolic function
in type 2 diabetes mellitus was not associated with impaired myocardial perfusion
reserve (r = 0.12, P = NS). Conversely a significant association was observed
between diastolic dysfunction and myocardial triglyceride content (r = -0.71, P <
0.001), which proved to be independent of age, gender, diabetes duration, blood
pressure, and fasting blood glucose. CONCLUSION: Myocardial steatosis may
represent an early marker of diabetic heart disease, triggering subclinical
myocardial dysfunction irrespective of myocardial perfusion reserve.
PMID- 22068960
TI - Design of thermostable luciferases through arginine saturation in solvent-exposed
loops.
AB - In most bioluminescence systems the oxidation of luciferin and production of
light is catalyzed by luciferases. Protein engineering studies have shown that
thermostable proteins from thermophilic organisms have a higher frequency of Arg,
especially in exposed states. To further clarify the arginine saturation effect
on thermostability of firefly luciferase, some of hydrophobic solvent-exposed
residues in Lampyris turkestanicus luciferase are changed to arginine. All of
these residues are located at the surface loops of L.turkestanicus luciferase.
Starting with a luciferase mutant (E354Q/Arg356), single mutation (-Q35R, -I182R,
-I232R and -L(300)R), double mutation (-Q35R/I232R) and triple mutation (
Q35R/I232R/I182R) are added. Bioluminescence emission spectra indicate that
substitution of Arg by these residues, do not effect on the maximum wavelength of
emission spectrum. It should be noted, introduction of double mutation (
Q35R/I232R) and triple mutation (-Q35R/I232R/I182R) were kept specific activity
of firefly luciferase. By addition of positively charged residue, some specific
mutations (-I232R, -Q35R/I232R and -Q35R/I232R/I182R) showed that optimum
temperature of activity was increased to 40 degrees C which are 12 and 15 degrees
C higher than E354Q/Arg356 and wild-type luciferases, respectively. Also, after
40 min incubation of enzymes at 40 degrees C, the relative remaining activity of
wild type was only 5%, whereas for -I232R, -Q35R/I232R and -Q35R/I232R/I182R was
60, 80 and 80% of original activity, respectively.
PMID- 22068961
TI - Unraveling the Helicobacter pylori UreG zinc binding site using X-ray absorption
spectroscopy (XAS) and structural modeling.
AB - The pathogenicity of Helicobacter pylori depends on the activity of urease for pH
modification. Urease activity requires assembly of a dinickel active site that is
facilitated in part by GTP hydrolysis by UreG. The proper functioning of
Helicobacter pylori UreG (HpUreG) is dependent on Zn(II) binding and
dimerization. X-ray absorption spectroscopy and structural modeling were used to
elucidate the structure of the Zn(II) site in HpUreG. These studies independently
indicated a site at the dimer interface that has trigonal bipyramidal geometry
and is composed of two axial cysteines at 2.29(2) A, two equatorial histidines at
1.99(1) A, and a solvent-accessible coordination site. The final model for the
Zn(II) site structure was determined by refining multiple-scattering extended X
ray absorption fine structure fits using the geometry predicted by homology
modeling and ab initio calculations.
PMID- 22068962
TI - The differential heritability of personality item clusters.
AB - An earlier twin analysis by Loehlin and Nichols was repeated with a considerably
larger twin sample (2,600 adult Australian twin pairs). Like the previous
analysis, it was based on item clusters, although from different questionnaires.
Unlike the previous study, it found consistent differences in MZ-DZ discrepancy
in correlation among the clusters. A more elaborate analysis confirmed this for
the clusters, but not for broad Extraversion and Neuroticism composites based
upon them.
PMID- 22068963
TI - Nutritional aspects in patient undergoing liver resection.
AB - In the past two decades, hepatic surgery has achieved important technical
breakthroughs resulting in a drastic reduction of the onset of complications and
in an improved post-resective survival. Pre-operative nutritional status is one
of the key points for the success of a liver resection. Modern surgical
achievement such as the development of living-related liver donation, and the
possibility to perform more laparoscopic liver resection gave us the opportunity
to extend post-operative protocol focused on early intestinal feeding to tumor
patients. The aims of this review were to report the current status of the
knowledge regarding nutritional aspects in liver resection patients.
PMID- 22068964
TI - Glass etching to bridge micro- and nanofluidics.
AB - In this study, a simple and economical fabrication technique bridging micro- and
nanostructures is proposed. Glass molds with micro-nanostructures are fabricated
by glass microlithography. The microlithography provides flexibility for
structure design, and the glass etching contributes to transform the micro glass
ridge to the nanoscale. Glass ridge structures with triangular cross sections are
generated by undercutting, which coupled the isotropic character of glass and the
shield effect of the top Cr layer upon HF etching. Further etching induced the
height of the glass ridges to shrink from micro- to nanometres due to the edge
effects. At the late etching stage, the geometrical change of the glass greatly
slows down, which gives better control over the size of the glass ridge. By glass
structure mold-copy, well repeatable, mechanically stable and tunable
polydimethylsiloxane (PDMS) channels and cones are fabricated. Scanning electron
microscopy (SEM) and laser interferometry (LI) are carried out to characterize
the micro-nanostructures. To demonstrate their workability, sample
preconcentration to a single nanochannel level is carried out.
PMID- 22068965
TI - Work-related upper-extremity amputations in Norway.
PMID- 22068967
TI - Acute enterocyte adaptation to luminal glucose: a posttranslational mechanism for
rapid apical recruitment of the transporter GLUT2.
AB - BACKGROUND: Glucose absorption postprandially increases markedly to levels far
greater than possible by the classic glucose transporter sodium-glucose
cotransporter 1 (SGLT1). HYPOTHESIS: Luminal concentrations of glucose >50 mM
lead to rapid, phenotypic, non-genomic adaptations by the enterocyte to recruit
another transporter, glucose transporter 2 (GLUT2), to the apical membrane to
increase glucose absorption. METHODS: Isolated segments of jejunum were perfused
in vivo with glucose-containing solutions in anesthetized rats. Carrier-mediated
glucose uptake was measured in 10 and 100 mM glucose solutions (n = 6 rats each)
with and without selective inhibitors of SGLT1 and GLUT2. RESULTS: The mean rate
of carrier-mediated glucose uptake increased in rats perfused with 100 mM versus
10 mM glucose to 13.9 +/- 2.9 MUmol from 2.1 +/- 0.1 MUmol, respectively (p <
0.0001). Using selective inhibitors, the relative contribution of GLUT2 to
glucose absorption was 56% in the 100 mM concentration of glucose compared to the
10 mM concentration (27%; p < 0.01). Passive absorption accounted for 6% of total
glucose absorption at 100 mM glucose. CONCLUSION: A small amount of GLUT2 is
active at the lesser luminal concentrations of glucose, but when exposed to
concentrations of 100 mM, the enterocyte presumably changes its phenotype by
recruiting GLUT2 apically to markedly augment glucose absorption.
PMID- 22068968
TI - Imatinib mesylate improves liver regeneration and attenuates liver fibrogenesis
in CCL4-treated mice.
AB - BACKGROUNDS: Imatinib mesylate (STI-571), a tyrosine kinase inhibitor, has
previously been demonstrated to attenuate liver fibrogenesis through inhibition
of the activation of hepatic stellate cells (HSCs) in CCL(4)-treated rat models.
AIMS: This study aimed to further evaluate the role of STI-571 in liver
regeneration. MATERIALS AND METHODS: All animals were divided into four groups,
and mice were treated with or without CCL(4) and STI-571 (n = 6 for each group).
RESULTS: Activated cultured HSCs in vitro with STI-571 administration showed
increased apoptosis and reduced proliferation, as determined by flow cytometric
analysis, 3-(4, 5-cimethylthiazol-2-yl)-2, 5-diphenyl tetrazolium bromide assay,
and confocal microscopy. STI-571 treatment attenuated liver fibrosis in vivo, as
was evident in the results of histology, mRNA level, and expression analysis of
smooth muscle actin and type I collagen. Mice treated with STI-571 had increased
liver weight ratio and the improvement in liver regeneration was compatible with
the change of serum interleukin 6 levels (p < 0.05). Further, increased apoptosis
and a reduced proliferation were observed in the CCL(4)-treated mice after STI
571 treatment based on the immunohistochemical staining of Annexin V,
phosphorylated STAT3, and PCNA. CONCLUSION: STI-571 treatment effectively
attenuated liver fibrogenesis and improved in liver regeneration in vivo and
induced apoptosis in HSCs both in vitro and in vivo.
PMID- 22068969
TI - Timing and risk factors of hepatectomy in the management of complications
following laparoscopic cholecystectomy.
AB - INTRODUCTION: Complex bile duct injury (BDI) is a serious condition requiring
hepatectomy in some instances. The present study was to analyse the factors that
led to hepatectomy for patients with BDI after laparoscopic cholecystectomy (LC).
METHODS: The medical records of patients referred to our department from April
1998 to September 2007 for management of BDI following LC were reviewed, and
patients who underwent hepatectomy were identified. The type of BDI, indication
for liver resection, interval between LC and liver surgery, histology of the
liver specimen, postoperative morbidity and long-term results were analysed.
RESULTS: Hepatectomy was performed in 10 of 76 patients (13.2%), with BDI either
as isolated damage or in combination with vascular injury (VI). Proximal BDI
(defined as disruption of the biliary confluence) and injury to the right hepatic
artery were found to be independent risk factors of hepatectomy, with odds ratios
of 16 and 45, respectively. Five patients required early liver resection (within
5 weeks post-LC) to control sepsis caused by confluent liver necrosis or bile
duct necrosis. In five patients, hepatectomy was indicated during long-term
follow-up (over 4 months post-LC) to effectively manage recurrent cholangitis and
liver atrophy. Despite of high postoperative morbidity (60%) and even mortality
(10%), the long-term results (median follow-up of 34 months) were satisfactory,
with either no or only transitory symptoms in 67% of the patients. CONCLUSION:
Hepatectomy may inevitably be necessary to manage early or late complications
after LC. Proximal BDI and VI were the two independent risk factors of
hepatectomy in this series.
PMID- 22068970
TI - Littoral cell angioma and angiosarcoma of the spleen: report of two cases in
siblings and review of the literature.
PMID- 22068971
TI - A systematic review of the accuracy and clinical utility of the Addenbrooke's
Cognitive Examination and the Addenbrooke's Cognitive Examination-Revised in the
diagnosis of dementia.
AB - OBJECTIVES: To review the evidence relating to the diagnostic accuracy and
clinical utility of the Addenbrooke's Cognitive Examination (ACE) and its updated
version, the Addenbrooke's Cognitive Examination-Revised (ACE-R) in relation to
the diagnosis of dementia. DESIGN: A systematic search of relevant databases was
conducted, covering the period 2000 to April 2010. Specific journals and
reference lists were hand searched. Identified studies that fulfilled the
inclusion criteria were reviewed using a tailored, methodological quality rating
checklist. RESULTS: The systematic search process identified nine studies for
review (seven relating to the ACE, two on the ACE-R). Strengths and weaknesses
across studies are considered, and diagnostic accuracy measures are presented for
six out of the nine studies. CONCLUSION: The evidence suggests that the ACE/ACE-R
is capable of providing information on a range of cognitive domains and of
differentiating well between those with and those without cognitive impairment.
Further research examining how the tools distinguish between dementia subtypes
and mild cognitive impairment will further benefit the evidence base.
PMID- 22068973
TI - Functionalization of two-component molecular networks: recognition of Fe3+.
AB - Two-component supramolecular networks have been constructed with a symmetric
triphenylene derivative with three carboxyl groups (sym-TTT) and melamine. Two
kinds of hydrogen bonds with different strength are involved in the multi
component self-assembly, one is H-bond between carboxyl group of sym-TTT and
melamine, the other is intermolecular H-bond between melamine molecules. These
interactions drive a structural transformation from close-packed network to
hexagonal network with active amino groups inside of the cavity. Scanning
tunneling microscopy (STM) measurements reveal that the functionalized network of
sym-TTT/melamine could recognise Fe(3+). These results could be helpful for
designing functionalized molecular networks by multi-component self-assembling
strategy.
PMID- 22068972
TI - Calcium control of neurotransmitter release.
AB - Upon entering a presynaptic terminal, an action potential opens Ca(2+) channels,
and transiently increases the local Ca(2+) concentration at the presynaptic
active zone. Ca(2+) then triggers neurotransmitter release within a few hundred
microseconds by activating synaptotagmins Ca(2+). Synaptotagmins bind Ca(2+) via
two C2-domains, and transduce the Ca(2+) signal into a nanomechanical activation
of the membrane fusion machinery; this activation is mediated by the Ca(2+)
dependent interaction of the synaptotagmin C2-domains with phospholipids and
SNARE proteins. In triggering exocytosis, synaptotagmins do not act alone, but
require an obligatory cofactor called complexin, a small protein that binds to
SNARE complexes and simultaneously activates and clamps the SNARE complexes,
thereby positioning the SNARE complexes for subsequent synaptotagmin action. The
conserved function of synaptotagmins and complexins operates generally in most,
if not all, Ca(2+)-regulated forms of exocytosis throughout the body in addition
to synaptic vesicle exocytosis, including in the degranulation of mast cells,
acrosome exocytosis in sperm cells, hormone secretion from endocrine cells, and
neuropeptide release.
PMID- 22068974
TI - The Meaning In Life Questionnaire: psychometric properties with individuals with
serious mental illness in an inpatient setting.
AB - OBJECTIVES: This study examined the psychometric properties of the Meaning in
Life Questionnaire (MLQ) with individuals with serious mental illness (SMI) in an
inpatient setting (N = 96). The 10-item MLQ comprises Presence (perceived
meaning) and Search (motivation to discover meaning) scales. DESIGN: This study
focused on the reliability and validity of the MLQ, reporting a range of data,
including correlations and regression (predicting scores on a measure of
psychopathology, the Brief Symptom Inventory). RESULTS: Both MLQ scales yielded
reliable scores. The current sample tended to report greater Presence, whereas
Search means tended to be similar to those reported in other studies. The
association between Presence and the Brief Symptom Inventory was not
statistically significant. As for Search, people reporting greater motivation to
discover meaning tended to report greater degrees of symptoms. The Presence and
Search scales correlated at r =.12, which was unexpected given that most studies
note an inverse relationship. However, this finding is considered in light of an
interaction effect between Presence and Search when predicting psychological
distress. CONCLUSIONS: The current findings are supportive of the MLQ's utility
with individuals with SMI. Limitations and directions for research are offered.
PMID- 22068975
TI - Mutagenesis of the thiostrepton precursor peptide at Thr7 impacts both
biosynthesis and function.
AB - The seventh residue of thiostrepton is predicted to be critical for antibacterial
activity. Substitution of Thr7 in the thiostrepton precursor peptide disrupts
both biological activity and the successful biosynthesis of analogs.
PMID- 22068976
TI - Pattern formation in the iodate-sulfite-thiosulfate reaction-diffusion system.
AB - Sodium polyacrylate-induced pH pattern formation and starch-induced iodine
pattern formation were investigated in the iodate-sulfite-thiosulfate (IST)
reaction in a one-side fed disc gel reactor (OSFR). As binding agents of the
autocatalyst of hydrogen ions or iodide ions, different content of sodium
polyacrylate or starch has induced various types of pattern formation. We
observed pH pulses, striped patterns, mixed spots and stripes, and hexagonal
spots upon increasing the content of sodium polyacrylate and observed iodine
pulses, branched patterns, and labyrinthine patterns upon increasing the starch
content in the system. Coexistence of a pH front and an iodine front was also
studied in a batch IST reaction-diffusion system. Both pH and iodine front
instabilities were observed in the presence of sodium polyacrylate, i.e.,
cellular fronts and transient Turing structures resulting from the decrease in
diffusion coefficients of activators. The mechanism of multiple feedback may
explain the different patterns in the IST reaction-diffusion system.
PMID- 22068977
TI - Rapid improvement of tardive dyskinesia with tetrabenazine, clonazepam and
clozapine combined: a naturalistic long-term follow-up study.
AB - Tardive dyskinesia (TD) is a complex involuntary movement disorder affecting
about 23% of neuroleptic-treated patients. Our objective was to retrospectively
analyze a combination of tetrabenazine (TBZ), clonazepam (CLONAZ) and clozapine
(CLOZ) used simultaneously for TD in psychotic patients. Six patients with
severe, unsuccessfully controlled TD were referred for treatment (mean age 51.5
years; three male; four schizophrenics; one bipolar disease; one borderline
personality disorder). They were being treated with neuroleptics (classic, three;
risperidone, two; olanzapine, one) and developed severe neck and buccolingual
dyskinesias. At our clinic, all of them were treated simultaneously with TBZ
(mean dose 141.6 mg); CLONAZ (mean dose 4.3 mg); and CLOZ (mean dose 125 mg). In
parallel, we stopped the offending medication. With 1 week, we observed a very
impressive improvement in symptoms and within 1 month all the patients were free
of symptoms. The mean observation period was 4 years. The combination of TBZ,
CLONAZ and CLOZ is a rapid and beneficial option for the management of TD. An
augmentation effect probably played a role in the rapid alleviation of
symptomatology.
PMID- 22068978
TI - The flexible FIGO classification concept for underlying causes of abnormal
uterine bleeding.
AB - To this juncture, clinical management, teaching of medical providers, and the
design and interpretation of clinical trials has been hampered by the absence of
a consensus system for the classification of causes or potential causes of
abnormal uterine bleeding (AUB). Indeed, more than one possible mechanism may be
involved in the development of the bleeding symptoms experienced by a given
individual. A consistent and universally accepted classification system could be
used by clinicians, investigators, and even patients to facilitate communication,
clinical care, and research. The "PALM-COEIN" AUB classification system is the
result of several years of collaboration among a spectrum of individuals involved
in clinical medicine, teaching, and the basic and clinical sciences and is
proposed as a tool that meets the requirements just described but one that is
capable of adaptation to our evolving insight into the mechanisms involved in the
genesis of AUB. This system has been accepted by the International Federation of
Gynecology and Obstetrics (FIGO) as a suitable system for widespread
international use.
PMID- 22068980
TI - The Escherichia coli protein YfeX functions as a porphyrinogen oxidase, not a
heme dechelatase.
AB - The protein YfeX from Escherichia coli has been proposed to be essential for the
process of iron removal from heme by carrying out a dechelation of heme without
cleavage of the porphyrin macrocycle. Since this proposed reaction is unique and
would represent the first instance of the biological dechelation of heme, we
undertook to characterize YfeX. Our data reveal that YfeX effectively decolorizes
the dyes alizarin red and Cibacron blue F3GA and has peroxidase activity with
pyrogallal but not guiacol. YfeX oxidizes protoporphyrinogen to protoporphyrin in
vitro. However, we were unable to detect any dechelation of heme to free
porphyrin with purified YfeX or in cellular extracts of E. coli overexpressing
YfeX. Additionally, Vibrio fischeri, an organism that can utilize heme as an iron
source when grown under iron limitation, is able to grow with heme as the sole
source of iron when its YfeX homolog is absent. Plasmid-driven expression of YfeX
in V. fischeri grown with heme did not result in accumulation of protoporphyrin.
We propose that YfeX is a typical dye-decolorizing peroxidase (or DyP) and not a
dechelatase. The protoporphyrin reported to accumulate when YfeX is overexpressed
in E. coli likely arises from the intracellular oxidation of endogenously
synthesized protoporphyrinogen and not from dechelation of exogenously supplied
heme. Bioinformatic analysis of bacterial YfeX homologs does not identify any
connection with iron acquisition but does suggest links to anaerobic-growth
related respiratory pathways. Additionally, some genes encoding homologs of YfeX
have tight association with genes encoding a bacterial cytoplasmic encapsulating
protein. IMPORTANCE: Acquisition of iron from the host during infection is a
limiting factor for growth and survival of pathogens. Host heme is the major
source of iron in infections, and pathogenic bacteria have evolved complex
mechanisms to acquire heme and abstract the iron from heme. Recently Letoffe et
al. (Proc. Natl. Acad. Sci. U.S.A. 106:11719-11724, 2009) reported that the
protein YfeX from E. coli is able to dechelate heme to remove iron and leave an
intact tetrapyrrole. This is totally unlike any other described biological system
for iron removal from heme and, thus, would represent a dramatically new feature
with potentially profound implications for our understanding of bacterial
pathogenesis. Given that this reaction has no precedent in biological systems, we
characterized YfeX and a related protein. Our data clearly demonstrate that YfeX
is not a dechelatase as reported but is a peroxidase that oxidizes endogenous
porphyrinogens to porphyrins.
PMID- 22068981
TI - Mononuclear-dinuclear equilibrium of grafted copper complexes confined in the
nanochannels of MCM-41 silica.
AB - Following the structural concept of copper-containing proteins in which dinuclear
copper centers are connected by hydroxide bridging ligands, a bidentate
copper(II) complex has been incorporated into nano-confined MCM-41 silica by a
multistep sequential grafting technique. Characterization by a combination of EPR
spectroscopy, X-ray photoelectron spectroscopy (XPS), UV/Vis spectroscopy, IR
spectroscopy , and solid-state (13)C and (29)Si cross-polarization magic-angle
spinning (CP-MAS) NMR suggests that dinuclear Cu complexes are bridged by
hydroxide and other counterions (chloride or perchlorate ions), similar to the
situation for EPR-undetectable [Cu(II)...Cu(II)] dimer analogues in biological
systems. More importantly, a dynamic mononuclear-dinuclear equilibrium between
different coordination modes of copper is observed, which strongly depends on the
nature of the counterions (Cl(-) or ClO(4)(-)) in the copper precursor and the
pore size of the silica matrix (the so-called confinement effect). A proton
transfer mechanism within the hydrogen-bonding network is suggested to explain
the dynamic nature of the dinuclear copper complex supported on the MCM-41
silica.
PMID- 22068979
TI - A monomorphic haplotype of chromosome Ia is associated with widespread success in
clonal and nonclonal populations of Toxoplasma gondii.
AB - Toxoplasma gondii is a common parasite of animals that also causes a zoonotic
infection in humans. Previous studies have revealed a strongly clonal population
structure that is shared between North America and Europe, while South American
strains show greater genetic diversity and evidence of sexual recombination. The
common inheritance of a monomorphic version of chromosome Ia (referred to as
ChrIa*) among three clonal lineages from North America and Europe suggests that
inheritance of this chromosome might underlie their recent clonal expansion. To
further examine the diversity and distribution of ChrIa, we have analyzed
additional strains with greater geographic diversity. Our findings reveal that
the same haplotype of ChrIa* is found in the clonal lineages from North America
and Europe and in older lineages in South America, where sexual recombination is
more common. Although lineages from all three continents harbor the same
conserved ChrIa* haplotype, strains from North America and Europe are genetically
separate from those in South America, and these respective geographic regions
show limited evidence of recent mixing. Genome-wide, array-based profiling of
polymorphisms provided evidence for an ancestral flow from particular older
southern lineages that gave rise to the clonal lineages now dominant in the
north. Collectively, these data indicate that ChrIa* is widespread among
nonclonal strains in South America and has more recently been associated with
clonal expansion of specific lineages in North America and Europe. These findings
have significant implications for the spread of genetic loci influencing
transmission and virulence in pathogen populations. IMPORTANCE: Understanding
parasite population structure is important for evaluating the potential spread of
pathogenicity determinants between different geographic regions. Examining the
genetic makeup of different isolates of Toxoplasma gondii from around the world
revealed that chromosome Ia is highly homogeneous among lineages that predominate
on different continents and within genomes that were otherwise quite divergent.
This pattern of recent shared ancestry is highly unusual and suggests that some
gene(s) found on this chromosome imparts an unusual fitness advantage that has
resulted in its recent spread. Although the basis for the conservation of this
particularly homogeneous chromosome is unknown, it may have implications for the
transmission of infection and spread of human disease.
PMID- 22068982
TI - The cover. The last of New England--the beginning of New Mexico.
PMID- 22068983
TI - A piece of my mind. Annie.
PMID- 22068984
TI - Public health cuts threaten preparedness, preventive health services.
PMID- 22068985
TI - Nobel Prize honors immunity researchers.
PMID- 22068986
TI - Knockout science: massive mouse project to provide window into human diseases.
PMID- 22068987
TI - Pegloticase and chronic gout.
PMID- 22068988
TI - Microscopic hematuria in adolescents and young adults and risk for end-stage
renal disease.
PMID- 22068989
TI - Reporting of effect direction and size in abstracts of systematic reviews.
PMID- 22068991
TI - Association between physician billing and cardiac stress testing patterns
following coronary revascularization.
AB - CONTEXT: The degree to which financial factors may influence use of cardiac
stress imaging procedures is unknown. OBJECTIVE: To examine the association of
physician billing and nuclear stress and stress echocardiography testing
following coronary revascularization. DESIGN, SETTING, AND PATIENTS: Using data
from a national health insurance carrier, 17,847 patients were identified between
November 1, 2004, and June 30, 2007, who had coronary revascularization and an
index cardiac outpatient visit more than 90 days following the procedure. Based
on overall billings, physicians were classified as billing for both technical
(practice/equipment) and professional (supervision/interpretation) fees,
professional fees only, or not billing for either. Logistic regression models
were used to evaluate the association between physician billing and use of stress
testing, after adjusting for patient and other physician factors. MAIN OUTCOME
MEASURES: Incidence of nuclear and echocardiographic stress tests within 30 days
of an index cardiac-related outpatient visit. RESULTS: The overall cumulative
incidence of nuclear or echocardiography stress testing within 30 days of the
index cardiac-related outpatient visit following revascularization was 12.2% (95%
CI, 11.8%-12.7%). The cumulative incidence of nuclear stress testing was 12.6%
(95% CI, 12.0%-13.2%), 8.8% (95% CI, 7.5%-10.2%), and 5.0% (95% CI, 4.4%-5.7%)
among physicians who billed for technical and professional fees, professional
fees only, or neither, respectively. For stress echocardiography, the cumulative
incidence of testing was 2.8% (95% CI, 2.5%-3.2%), 1.4% (95% CI, 1.0%-1.9%), and
0.4% (95% CI, 0.3%-0.6%) among physicians who billed for the technical and
professional fees, professional fees only, or neither, respectively. Adjusted
odds ratios (ORs) of nuclear stress testing among patients treated by physicians
who billed for technical and professional fees and professional fees only were
2.3 (95% CI, 1.8-2.9) and 1.6 (95% CI, 1.2-2.1), respectively, compared with
those patients treated by physicians who did not bill for testing (P < .001). The
adjusted OR of stress echocardiography testing among patients treated by
physicians billing for both or professional fees only were 12.8 (95% CI, 7.6
21.6) and 7.1 (95% CI, 4.0-12.9), respectively, compared with patients treated by
physicians who did not bill for testing (P < .001). CONCLUSION: Nuclear stress
testing and stress echocardiography testing following revascularization were more
frequent among patients treated by physicians who billed for technical fees,
professional fees, or both compared with those treated by physicians who did not
bill for these services.
PMID- 22068992
TI - Neuron number and size in prefrontal cortex of children with autism.
AB - CONTEXT: Autism often involves early brain overgrowth, including the prefrontal
cortex (PFC). Although prefrontal abnormality has been theorized to underlie some
autistic symptoms, the cellular defects that cause abnormal overgrowth remain
unknown. OBJECTIVE: To investigate whether early brain overgrowth in children
with autism involves excess neuron numbers in the PFC. DESIGN, SETTING, AND
CASES: Postmortem prefrontal tissue from 7 autistic and 6 control male children
aged 2 to 16 years was examined by expert anatomists who were blinded to
diagnostic status. Number and size of neurons were quantified using stereological
methods within the dorsolateral (DL-PFC) and mesial (M-PFC) subdivisions of the
PFC. Cases were from the eastern and southeastern United States and died between
2000 and 2006. MAIN OUTCOME MEASURES: Mean neuron number and size in the DL-PFC
and M-PFC were compared between autistic and control postmortem cases.
Correlations of neuron number with deviation in brain weight from normative
values for age were also performed. RESULTS: Children with autism had 67% more
neurons in the PFC (mean, 1.94 billion; 95% CI, 1.57-2.31) compared with control
children (1.16 billion; 95% CI, 0.90-1.42; P = .002), including 79% more in DL
PFC (1.57 billion; 95% CI, 1.20-1.94 in autism cases vs 0.88 billion; 95% CI,
0.66-1.10 in controls; P = .003) and 29% more in M-PFC (0.36 billion; 95% CI,
0.33-0.40 in autism cases vs 0.28 billion; 95% CI, 0.23-0.34 in controls; P =
.009). Brain weight in the autistic cases differed from normative mean weight for
age by a mean of 17.6% (95% CI, 10.2%-25.0%; P = .001), while brains in controls
differed by a mean of 0.2% (95% CI, -8.7% to 9.1%; P = .96). Plots of counts by
weight showed autistic children had both greater total prefrontal neuron counts
and brain weight for age than control children. CONCLUSION: In this small
preliminary study, brain overgrowth in males with autism involved an abnormal
excess number of neurons in the PFC.
PMID- 22068993
TI - Treatment of brain arteriovenous malformations: a systematic review and meta
analysis.
AB - CONTEXT: Outcomes following treatment of brain arteriovenous malformations (AVMs)
with microsurgery, embolization, stereotactic radiosurgery (SRS), or combinations
vary greatly between studies. OBJECTIVES: To assess rates of case fatality, long
term risk of hemorrhage, complications, and successful obliteration of brain AVMs
after interventional treatment and to assess determinants of these outcomes. DATA
SOURCES: We searched PubMed and EMBASE to March 1, 2011, and hand-searched 6
journals from January 2000 until March 2011. STUDY SELECTION AND DATA EXTRACTION:
We identified studies fulfilling predefined inclusion criteria. We used Poisson
regression analyses to explore associations of patient and study characteristics
with case fatality, complications, long-term risk of hemorrhage, and successful
brain AVM obliteration. DATA SYNTHESIS: We identified 137 observational studies
including 142 cohorts, totaling 13,698 patients and 46,314 patient-years of
follow-up. Case fatality was 0.68 (95% CI, 0.61-0.76) per 100 person-years
overall, 1.1 (95% CI, 0.87-1.3; n = 2549) after microsurgery, 0.50 (95% CI, 0.43
0.58; n = 9436) after SRS, and 0.96 (95% CI, 0.67-1.4; n = 1019) after
embolization. Intracranial hemorrhage rates were 1.4 (95% CI, 1.3-1.5) per 100
person-years overall, 0.18 (95% CI, 0.10-0.30) after microsurgery, 1.7 (95% CI,
1.5-1.8) after SRS, and 1.7 (95% CI, 1.3-2.3) after embolization. More recent
studies were associated with lower case-fatality rates (rate ratio [RR], 0.972;
95% CI, 0.955-0.989) but increased rates of hemorrhage (RR, 1.02; 95% CI, 1.00
1.03). Male sex (RR, 0.964; 95% CI, 0.945-0.984), small brain AVMs (RR, 0.988;
95% CI, 0.981-0.995), and those with strictly deep venous drainage (RR, 0.975;
95% CI, 0.960-0.990) were associated with lower case fatality. Lower hemorrhage
rates were associated with male sex (RR, 0.976, 95% CI, 0.964-0.988), small brain
AVMs (RR, 0.988, 95% CI, 0.980-0.996), and brain AVMs with deep venous drainage
(0.982, 95% CI, 0.969-0.996). Complications leading to permanent neurological
deficits or death occurred in a median 7.4% (range, 0%-40%) of patients after
microsurgery, 5.1% (range, 0%-21%) after SRS, and 6.6% (range, 0%-28%) after
embolization. Successful brain AVM obliteration was achieved in 96% (range, 0%
100%) of patients after microsurgery, 38% (range, 0%-75%) after SRS, and 13%
(range, 0%-94%) after embolization. CONCLUSIONS: Although case fatality after
treatment has decreased over time, treatment of brain AVM remains associated with
considerable risks and incomplete efficacy. Randomized controlled trials
comparing different treatment modalities appear justified.
PMID- 22068994
TI - Can the Patient-Centered Outcomes Research Institute become relevant to
controlling medical costs and improving value?
PMID- 22068995
TI - Narrative vs evidence-based medicine--and, not or.
PMID- 22068996
TI - Linking insurance coverage for innovative invasive procedures with participation
in clinical research.
PMID- 22068997
TI - Acute stroke therapy at the crossroads.
PMID- 22068998
TI - Financial incentives and the art of payment reform.
PMID- 22068999
TI - Increased neuron number and head size in autism.
PMID- 22069000
TI - JAMA patient page. Chronic sinusitis.
PMID- 22069001
TI - [Glucocorticoids in individual prescriptions].
PMID- 22068990
TI - Extracranial-intracranial bypass surgery for stroke prevention in hemodynamic
cerebral ischemia: the Carotid Occlusion Surgery Study randomized trial.
AB - CONTEXT: Patients with symptomatic atherosclerotic internal carotid artery
occlusion (AICAO) and hemodynamic cerebral ischemia are at high risk for
subsequent stroke when treated medically. OBJECTIVE: To test the hypothesis that
extracranial-intracranial (EC-IC) bypass surgery, added to best medical therapy,
reduces subsequent ipsilateral ischemic stroke in patients with recently
symptomatic AICAO and hemodynamic cerebral ischemia. DESIGN: Parallel-group,
randomized, open-label, blinded-adjudication clinical treatment trial conducted
from 2002 to 2010. SETTING: Forty-nine clinical centers and 18 positron emission
tomography (PET) centers in the United States and Canada. The majority were
academic medical centers. PARTICIPANTS: Patients with arteriographically
confirmed AICAO causing hemispheric symptoms within 120 days and hemodynamic
cerebral ischemia identified by ipsilateral increased oxygen extraction fraction
measured by PET. Of 195 patients who were randomized, 97 were randomized to
receive surgery and 98 to no surgery. Follow-up for the primary end point until
occurrence, 2 years, or termination of trial was 99% complete. No participant
withdrew because of adverse events. INTERVENTIONS: Anastomosis of superficial
temporal artery branch to a middle cerebral artery cortical branch for the
surgical group. Antithrombotic therapy and risk factor intervention were
recommended for all participants. MAIN OUTCOME MEASURE: For all participants who
were assigned to surgery and received surgery, the combination of (1) all stroke
and death from surgery through 30 days after surgery and (2) ipsilateral ischemic
stroke within 2 years of randomization. For the nonsurgical group and
participants assigned to surgery who did not receive surgery, the combination of
(1) all stroke and death from randomization to randomization plus 30 days and (2)
ipsilateral ischemic stroke within 2 years of randomization. RESULTS: The trial
was terminated early for futility. Two-year rates for the primary end point were
21.0% (95% CI, 12.8% to 29.2%; 20 events) for the surgical group and 22.7% (95%
CI, 13.9% to 31.6%; 20 events) for the nonsurgical group (P = .78, Z test), a
difference of 1.7% (95% CI, -10.4% to 13.8%). Thirty-day rates for ipsilateral
ischemic stroke were 14.4% (14/97) in the surgical group and 2.0% (2/98) in the
nonsurgical group, a difference of 12.4% (95% CI, 4.9% to 19.9%). CONCLUSION:
Among participants with recently symptomatic AICAO and hemodynamic cerebral
ischemia, EC-IC bypass surgery plus medical therapy compared with medical therapy
alone did not reduce the risk of recurrent ipsilateral ischemic stroke at 2
years. TRIAL REGISTRATION: clinicaltrials.gov Identifier: NCT00029146.
PMID- 22069002
TI - [Dermato-epidemiology].
AB - Dermato-epidemiology is an important scientific discipline which investigates
skin diseases using epidemiological methods. Epidemiology is the science of the
distribution and determinants of disease in specified populations. We describe
fundamental terms of dermato-epidemiology (measures of disease occurrence,
measures of risk), different study types (observational studies, interventional
studies), the selection of statistical tests, bias and confounding as well as the
principles of evidence-based dermatology, and give illustrative examples.
PMID- 22069004
TI - The Revista Brasileira de Epidemiologia (Brazilian Journal of Epidemiology-RBE)
has followed the increase in scientific production in the field of public health
and epidemiology.
PMID- 22069005
TI - [Evaluation of the Brazilian surveillance system for adverse events following
vaccination].
AB - OBJECTIVES: To describe and evaluate the Brazilian system of passive surveillance
of adverse events following immunization (PSAEFI). METHODS: The description and
evaluation of PSAEFI were undertaken using the reported cases of adverse events
following immunization with DTwP-Hib vaccine (AEFI-T), during the period from
2002 to 2005, using the Centers for Disease Control methodology. RESULTS: The
PSAEFI system, which provides national coverage, is designed to standardize
practices in cases of adverse events following immunization (AEFI) and to
identify highly reactogenic lots of vaccine. The PSAEFI system proved its
usefulness, simplicity and flexibility; despite low sensitivity, overestimate the
proportion of sever events, but it consistently described AEFI-T, identifying
fever, convulsions and hypotonic-hyporesponsive episodes as the most common
events. It showed that 49.7% of AEFI-T occur after the first dose, and that 72.8%
occur within the first six hours after vaccination. It facilitates public health
decisions and epidemiological investigations. It is timely, 46.1% of all AEFI-T
being reported within 10 days after vaccination and its completeness ranges from
70 to 90%, depending on the item evaluated. CONCLUSIONS: The PSAEFI system proved
useful for monitoring DTwP-Hib vaccine safety. We recommended the incorporation
of new methodologies, such the use of sentinel cities/hospitals and computerized
immunization registries in order to increase its sensitivity.
PMID- 22069006
TI - [A socio-economic profile of exposed populations to petroleum exploration
residues].
AB - INTRODUCTION: Environmental Macro-Area Five (EMA-5) is located in Rio de Janeiro.
Their municipalities are suffering the consequences of rapid urbanization,
especially after the discovery of large reserves of petroleum and natural gas
(PNG) in the Campos Basin. OBJECTIVE: To describe the socio-economic profile of
the region and compare their indicators in space and time. METHODS: This is an
ecological study, which made comparisons between the 11 municipalities of EMA-5.
It is based on the behavior of the indicators before and after the increase in
royalties and special participatioms (RPE) and the division of the production
areas of PNG (main production area - MPA - and the border zone of MPA, BZMPA).
The period of analysis of the indicators ranged from 1991 to 2005. RESULTS:
Higher values of gross domestic product per capita were found in the
municipalities of MPA, especially Macae. The same occurred in the RPE per capita,
especially Rio das Ostras (higher value) and Nova Friburgo (lower value). In
2004, more than 50% of total revenues of municipalities were dependent on the
funds derived from royalties. In 2000, Nova Friburgo had the best Human
Development Index. The highest value of the Quality Index of Municipalities
Potential for Development was found in Macae. The major indexes of Social
Exclusion was found in Macae, Nova Friburgo and Rio das Ostras. CONCLUSION: It
was found, in the municipalities of MPA, economic growth with great exclusive
reliance on royalties. It should invest in alternative economic activities for
which there is no damage to the population with the completion of these
resources.
PMID- 22069007
TI - [Migration and Hansen's disease in Mato Grosso].
AB - Studies on medical geography about leprosy discuss the role of the detailed
report of the occupation of the territories as a basis of the permanence of
leprosy focus. In Brazil, the states that present the highest rates of detection
historically are in the Amazon region, which shows an uneven regional evolution
of the disease. This paper analyzes the evolution of leprosy contextualizing the
migratory processes that occurred in the State of Mato Grosso since the second
half of the 20th century. The economic dynamism that occurred in the State in the
1970s, 1980s and 1990s caused population growth rates higher than the national
average. The data analyzed permitted an association between the evolution of
leprosy and the process of occupation of the mato-grossense territory. However,
the permanence of leprosy in the municipalities of the Baixada Cuiabana, as well
as in other municipalities that lost population, seem to point to the existence
of geographic contexts of different vulnerability to the social production of the
disease in the tate. The migration would explain the appearance and evolution of
leprosy. However, we consider that the maintenance of the endemic can be
associated to contextual factors related to environment.
PMID- 22069008
TI - [Household appliances and food insecurity: gender, referred skin color and
socioeconomic differences].
AB - OBJECTIVE: Data from the National Household Survey 2004 was analyzed to compare
differences in prevalence among moderate or severe food insecurity. Also, it was
compared food security or mild food insecurity households in relation to the
assets and other socioeconomic and demographic conditions of the household.
METHOD: Private permanent households, with per capita monthly income of up to one
minimum wage and with the Brazilian Food Insecurity Scale answered by a household
resident were studied (n=51,357). Association of variables with the dependent
variable (food security) was verified using chi2 test, with 5% significance
level. Crude prevalence ratio, respective 95% confidence interval and adjusted
analyses were carried out using Poisson multiple regression Stata 8.0. It
considers the weights of the complex sampling design of the survey. RESULTS: The
per capita monthly household income was the variable with strongest association
to food security. Both in urban and rural areas, there were higher risk of
moderate or severe food insecurity prevalence ratio when the head of the
household was a female, black color, presence of six or more members in the
household, metropolitan area and with absence of some specific assets (stove,
water filter, refrigerator, freezer, washing machine and cellular phone). In a
model that, among assets, included just the refrigerator, it was observed the
highest prevalence ratio for household income of up to 1/4 of a minimum wage and
after this, the absence of refrigerator among households headed by white and
black males and white or black female. Although female and black headed
households have greater food restriction, internal differences among these groups
were higher for households headed by white males and lower for those headed by
black females. CONCLUSION: At national level and households with monthly income
of up to one minimum age, poor socioeconomic conditions are associated to
household food insecurity. This situation is worse among those headed by women
and black people. Among poor people, the absence of assets identifies the most
vulnerable population to food insecurity and may be used as complementary
indicator, mainly in local studies with poor technical resources for data
collection and more sophisticated analyzes.
PMID- 22069009
TI - [Hospital admissions in the hospital health care system, SUS and non-SUS: Brazil,
2006].
AB - The objective of this study was to analyze admissions in the hospital health care
system in Brazil in the year of 2006, starting from the admitted subjects. The
initial data were the admissions SUS (SIH), non-SUS (CIH) in 2006 and 2007. The
identification of the individual was by probabilistic method of associations of
registrations (linkage) and, for the composition of the admission data, specific
algorithms were applied to the data. A total of 12,391,990 admissions with at
least one day in hospital in the year of 2006 were analyzed. The imprecise nature
of the probabilistic method at a certain degree, the adoption of conservative
parameters in order to avoid the inclusion of false positive, and the
subnotification of CIH represent possible limitations of this study. The Hospital
Services System in Brazil presented admission rate of 5.6% in total, 5.0% SUS and
1.6% non-SUS, with differences according to age and region. The non-SUS
admissions data collected by CIH give important additional information to the
analysis of the hospital utilization in the country. The SUS administrative data
are valid for analyses of admissions and the algorithms for admission data
composition enhance the analysis of the Hospital Services System in Brazil.
PMID- 22069010
TI - [Internet behavior survey about the unprotected anal sex with men who have sex
with men].
AB - INTRODUCTION: Unprotected anal sex (insertive or receptive) is an important risk
factor for HIV infection and other STDs. OBJECTIVE: The objective was to
investigate individual and social factors associated with unprotected anal sex
among Men Who have Sex with Men (MSM) that declared being HIV negative and
engaged in anal sex with an HIV positive partner or of ignored serology. METHODS:
This study is part of a survey among MSM and among Internet users, with data
collected from an on line questionnaire in project site. The internet sample for
this study was 487 MSM. Descriptive and stratified analyses were carried out and
chi2 test was used. Logistic regression was used for modeling the data. RESULTS:
Among the participants 30.8% referred unprotected anal sex in the previous 12
months with an HIV positive partner or partner with unknown serology. Most of
them belonged to higher social classes, were white and single. Factors associated
with unprotected anal sex were: number of partners (more than 3) in the previous
12 months (OR=1.7; 95% CI 1.1-2.7), high or medium perception of risk to HIV/Aids
(OR=2.7; 95% CI 1.5-3.6) and enjoy passive oral sex (OR=0.3; 95% CI 0.2-0.8).
CONCLUSION: Unprotected anal sex was a frequent practice among MSM in this
survey. The frequency to public bathrooms should be further explored as a risk
factor for unprotected anal sex. It is important to further explore these
findings in order to understand these relationships and the involvement in risky
sexual practices for HIV infection among MSM.
PMID- 22069011
TI - [Motorcycle couriers: characteristics of traffic accidents in southern Brazil].
AB - OBJECTIVE: This study aimed at understanding characteristics of traffic accidents
with motorcycle couriers in the cities of Londrina and Maringa, in the State of
Parana (Brazil). METHODS: A total of 327 couriers who reported, in 2005/2006,
motorcycle accident in the previous 12 months took part in the study (147 in
Londrina and 180 in Maringa). RESULTS: Of all the interviewed, 39.6% reported
more than one traffic accident. The accidents were perceived as serious by 21.4%
of them and 56.3% reported knowing a convalescing courier due to a traffic
accident. Most injuries (82.9%) occurred during work hours. Significant
differences were observed between the cities concerning climatic conditions
(p=0.013), time of the day (p=0.002), pre-hospital care (p=0.032) and hospital
admission (p<0.001). CONCLUSION: The high incidence and the recurrence of traffic
accidents highlight the susceptibility of motorcycle couriers to these events and
the need for strategies and specific prevention policies.
PMID- 22069012
TI - [Traffic related air pollution and population health: a review about Sao Paulo
(SP), Brazil].
AB - INTRODUCTION: Air pollution is an important problem for Sao Paulo city and
vehicles are the main source. About 11 million people are exposed to this
pollution. OBJECTIVE: To examine studies realized about air pollution and its
effects on health of the population of Sao Paulo (Brazil) and methods of
assessing exposure to pollution related to traffic. METHODS: We performed a
literature review using the keywords "air pollution", "Sao Paulo", and traffic
related air pollution. RESULTS: As results were obtained several studies that
found relation between air pollution in Sao Paulo and respiratory and
cardiovascular problems, fetal growth, increased mortality and hospitalizations,
particularly in children and elderly people. In order to estimate the exposure,
most of these studies consider the isotropic distribution of pollutants
throughout the area, what prevents the evaluation of and the influence of
traffic. Several methods have been used to analyze the air traffic exposure,
which can be used isolated or combined. It is believed that the combination of
models used to calculate pollutant concentrations to methods of georeferencing is
the most appropriate approach for similar studies in Sao Paulo. The advantages of
these methods are the ability to identify priority areas and situations,
obtaining detailed information for adoption of public policies or measures, and
to simulate different scenarios. CONCLUSION: The application of these methods in
studies at Sao Paulo depends on the improvement of input data, air quality
meteorological monitoring net enhancement and data of traffic volume.
PMID- 22069013
TI - [Challenges in definition of gestational age in population studies on birth
preterm: the case of a study in Campina Grande (PB), Brazil].
AB - INTRODUCTION: The prevalence of preterm birth has increased in recent years and
it is currently a worldwide public health problem. In any epidemiological study,
the greatest challenge facing the study of preterm delivery is to standardize the
measurement of gestational age births. OBJECTIVE: To describe the methods used to
define the sample under study and selection of events in case-control studies of
risk factors for preterm birth in hospital births by mothers living in the city
of Campina Grande (PB), Brazil. METHODS: The design was a case-control population
based, which was conducted from June 2008 to May 2009. The cases were born at
less than 37 weeks of gestation and controls at 37 weeks or more. Gestational age
in weeks was defined using selection criteria based on the accuracy of the
estimate. Interviews were conducted with mothers and collection of hospital
records. RESULTS: It was selected 341 cases and 424 controls, 13.19% were
classified as extremely preterm (<28 weeks), 34.87% as very preterm (<33 weeks)
and 65.10% as moderate preterm (33 to 36 weeks of gestation). Among controls, the
percent of children born 37-39 weeks was 58.02 to 5.90% was born post term (>42
weeks). CONCLUSION: The strategies shown to be viable, even in a context with
major limitations in obtaining the necessary information, given that the profile
of preterm infants, the distribution of gestational age were comparable to
studies with more accurate methods.
PMID- 22069014
TI - [Early diagnosis and correlations of sexually transmitted infections among women
in primary care health services].
AB - INTRODUCTION: Sexually Transmitted Infections (STIs) in women remain a public
health challenge due to high prevalence, difficulties to implement early
diagnosis strategies and high rates of complications. OBJECTIVE: Identify the
prevalence of STIs among users of a primary health care clinic in Sao Paulo.
METHODS: Women, 18 to 40 years of age, were invited to self-collect vaginal
specimens to be tested for Chlamydia trachomatis, Neisseria gonorrhoeae, and
Trichomonas vaginalis by Polymerase Chain Reaction (PCR). Women were also invited
to answer a demographic and sexual history questionnaire, either on the computer
or face-to-face. RESULTS: The prevalence of STIs obtained from the 781 women
included in the study was: Chlamydia trachomatis: 8.4%, Neisseria gonorrhoeae:
1.9%, and Trichomonas vaginalis: 3.2%. Thirteen percent tested positive for at
least one out of the three STIs. The variables associated independently with a
higher risk of STIs were: age under 20-years-old, more than two lifetime sexual
partners, and self-perception of STI risk. The use of condoms as a contraceptive
method proved to be a protective factor. CONCLUSION: The high prevalence found
among these women indicates the need for the implementation of STI screening
strategies in primary care settings in Brazil.
PMID- 22069015
TI - [Tuberculosis treatment drop out prevalence and associated factors in Sapucaia do
Sul County (RS), Brazil, 2000-2008].
AB - OBJECTIVE: To estimate the Tuberculosis treatment drop out prevalence and the
variables associated in the patients registered in the Tuberculosis Control
Program in Sapucaia do Sul (Brazil), between 2000 and 2008. METHOD: A cross
sectional study was conducted, which was based on the notified data in
Information System for Disease Surveillance of the City Health Secretariat.
RESULTS: From the 632 cases included in the study, 65 (10.3%; CI95%=7.9-12.7)
were classified as treatment abandonment. Between 2000 and 2004, the prevalence
of noncompliance was 12.7% (95%CI=9.1-16.2), and in the period 2005 to 2008
decreased to 7.0% (95%CI=4.0-9.9). In the crude analysis, we find association
with sex, age and AIDS presence. The adjusted analysis with the Poisson
regression didn't show significant differences between the independent variables.
CONCLUSION: The analysis showed reduction in the prevalence of noncompliance with
the creation of Tuberculosis Control Program, from 2005, although the confidence
intervals are shown superimposed. Still, the prevalence of noncompliance was high
and stove above the 5% target, agreed between levels of government.
PMID- 22069016
TI - [Latent tuberculosis among professionals with and without direct contact with
inmates of two penitentiaries in the state of Sao Paulo, Brazil, 2008].
AB - INTRODUCTION: For groups of persons who remain confined, mainly in prisons,
tuberculosis has always been a serious health problem, due to its transmission
respiratory, putting in risk the professionals that work in a prison, especially
the communicants of inmates. OBJECTIVE: To know the infection prevalence for the
Mycobacterium tuberculosis among the employees communicating and no communicating
of inmates of two prisons of the State of Sao Paulo. METHODS: This study
consisted of the application of an individual questionnaire; application and
reading of the tuberculin skin testing (TST); sputum smear examination and
culture; identification and drug sensitivity testing; in the period of March the
June of 2008. RESULTS: 277 (48.3%) employees of the 574 existent were examined.
They were applied and read 248 (89.5%) TST (PPD-RT23 - 2TU/0.1 mL); of them, 194
were in employees that worked directly with the inmates, that is, were
communicants and 54 were no communicants. Among the communicants, 62.4% presented
induration larger than 10 mm and among the non communicants, 38.9% presented this
measure of TST. There was not positive in the sputum smear examination or in the
culture, that is, tuberculosis illness case was not identified enters the
professionals, at the moment of the research. CONCLUSION: This study suggests
that the communicant employees have a larger risk of if they infect for the M.
tuberculosis and consequently of being sick of tuberculosis.
PMID- 22069017
TI - [Population based study on periodontal conditions and socioeconomic determinants
in adults in the city of Guarulhos (SP), Brazil, 2006].
AB - OBJECTIVE: This study described the prevalence of periodontal conditions and
assessed its association with social, economical and behavioral variables in
adults aged 35 to 44 years old, residents in the city of Guarulhos, State of Sao
Paulo, 2006. METHODS: This study was a population based survey with cluster
sampling. Data collecting and exams were done at houses drew at random. The
Community Periodontal Index was used to assess the periodontal condition. Data
analysis used EPIINFO 6 and STATA 10 softwares. The assessment of association
used the prevalence ratio (PR), as calculated by Poisson regression models with
complex sampling and weighted sample adjustments. RESULTS: The total number of
people was 263 in 237 domiciles. In the multivariate model, the prevalence of
gingival bleeding and dental calculus was significantly associated to being male
(PR=1.12, p=0.04), smoking (PR=1.11, p=0.01), school level of less than eight
years of study (PR=1.14, p=0.01), and who have not received dental care for more
than two years (PR=1.19, p<0.01). As for the presence of periodontal pocket,
associated factors were smoking (PR=1.71, p=0.02) and who have not received
dental care for more than 2 years (PR=1.97, p=0.03). CONCLUSION: We observed a
higher prevalence (62.7%) of individuals with gingival bleeding and dental
calculus in relation to the presence of shallow or deep periodontal pockets
(26,6%). As shallow pockets are reversible, their treatment may be resolute in
programs of primary dental care.
PMID- 22069018
TI - [Translation and validation for Brazil of the body image scale for adolescents-
Offer Self-Image Questionnaire (OSIQ)].
AB - OBJECTIVE: To evaluate the semantic and measure equivalence of the body image sub
scale of the Offer Self Image Questionnaire (OSIQ). METHODS: Participants were
386 teenagers, 10 to 18 years old, both sexes, enrolled in a private school
(junior and high school age). Translation, back-translation, technique revision
and evaluation were conducted. The Portuguese instrument was evaluated for
internal consistency, discriminate and concurrent validity. RESULTS: Internal
consistency showed values from 0.43 to 0.54 and was able to discriminate all
groups studied--the whole population, boys and girls, and boys in early
adolescence, by nutritional status (p<0.001; p<0.009; p=0.030; p=0.043,
respectively). Concurrent analyses showed significant correlation with
anthropometric measures only for girls (r=-0.16 and p=0.021; r=-0.19 and
p=0.007), early adolescence (r=-0.23 and p=0.008; r=-0.26 and p=0.003) and
intermediate adolescence (r=-0.29 and p=0.010) and the retest confirmed
reliability by the coefficient of interclass correlation. Although the instrument
has proven its ability to discriminate between the groups studied by nutritional
state, other results were less satisfactory. More studies are necessary for full
transcultural adaptation, including the application of other comparative scales.
CONCLUSION: The body image sub-scale of the OSIQ was translated, but the results
are not promising and require more studies.
PMID- 22069019
TI - [Mortality by race/color: evidence of social inequalities in Vitoria (ES),
Brazil].
AB - OBJECTIVE: To analyze mortality by cause and sex among groups of race or color
from the mortality information system (MIS) in Vitoria (Brazil), in the period
from 2003 to 2006. METHODS: We calculated and analyzed the mortality rates
according to underlying cause, sex and race/color, and the mean and median age of
death by underlying cause, sex and race. We calculated the relative risk (RR) for
age, sex and underlying cause (p<0.05 and CI 95%). RESULTS: The completeness of
race/color in SIM ranged from 1% in 1996 to 81% in 2006. There was a greater RR
of death among blacks for mental and behavioral disorders (RR=9.29), Ill-defined
causes (RR=8.71), and external causes (RR=5.71). For black women, we highlight
the external causes (RR=2.38). We found a variation of up to 33 years (nervous
system) between whites and blacks. CONCLUSION: This study confirms the existence
of unequal racial/ethnic mortality, highlighting the mortality from mental
disorders and external causes, in addition to early mortality that occurs in the
black population.
PMID- 22069020
TI - [Food groups for the investigation of risk of type 2 diabetes and associated
diseases].
AB - INTRODUCTION: The food groups conventionally applied in activities of nutritional
counseling were established according to their macronutrient content. However, it
does not consider recent scientific evidences of the association between food
consumption and risk of developing non-communicable chronic diseases. OBJECTIVE:
To propose food groups for the investigation of the association between food
consumption and risk of developing type 2 diabetes and associated diseases among
Japanese-Brazilians. METHODS: Cross-sectional analysis of baseline data of a
lifestyle intervention study conducted among Japanese-Brazilians of Bauru, SP,
Brazil, from 2005 to 2007. Food intake was assessed by three 24-hour recalls of
640 participants, both genders, aged 30 to 88 years old. RESULTS: Eighteen new
food groups were proposed according to their quantity and quality of fat,
carbohydrates, sodium, and fiber. CONCLUSION: The new food groups incorporate
recent evidences of the association between diet and the risk of developing type
2 diabetes.
PMID- 22069022
TI - Majority of patients with inflammatory bowel disease in clinical remission have
mucosal inflammation.
AB - BACKGROUND: Management of inflammatory bowel disease (IBD) is increasingly
focused on mucosal remission. We assessed the prevalence of mucosal inflammation
during clinical remission, the clinical consequences, and the impact on disease
course. METHODS: IBD patients from two referral centers who underwent a
surveillance colonoscopy while clinically in remission between January 2001 and
December 2003 were included. Follow-up ended May 1, 2009. Clinical data were
collected from patient charts. Statistical analysis was performed using
independent t-tests and nonparametric tests. RESULTS: In total, 152 IBD patients
were included (98 [65%] ulcerative colitis, 46 [30%] Crohn's disease; 85 [56%]
males). Median follow-up was 6.8 years (interquartile range [IQR] 6-8). Forty
seven (31%) patients had no signs of inflammation during endoscopy (group A). Of
the remaining 105 (68%) patients, 51 (49%) had both endoscopic and histological
inflammation (group B), 51 (49%) histological inflammation only (group C), two
(2%) endoscopic lesions only (group D). Two years later, 29% of all patients had
endoscopic inflammation and another 27% had only microscopic inflammation. In 39%
the inflammation had resolved spontaneously. Inflammation was more often found in
group B+C (n = 62/102; 61%) than in group A (n = 17/47; 36%; P = 0.21).
Inflammation was not associated with more frequent clinical relapses nor with
stricture formation, nor with the need for surgery. CONCLUSIONS: A large
proportion of IBD patients have mucosal inflammation without clinical symptoms.
Although one-third recover spontaneously, mucosal inflammation in patients who
are clinically in remission is associated with more severe mucosal disease
activity, but not with more complications or symptomatic flares during follow-up.
PMID- 22069024
TI - Chiral anion-based NMR enantiodiscrimination of a dinuclear, cationic Ir(I) NHC
complex with a figure-of-eight loop structure.
AB - A dinuclear Ir(I) complex with a bis-NHC ligand was formed in situ from 1,1'
((4,6-dimethyl-1,3-phenylene)bis(methylene))bis(3-methyl-1H-imidazol-3-ium) in
the presence of Cs(2)CO(3) and [Ir(MU-Cl)(cod)](2). Its solid-state structure,
determined by X-ray diffraction, shows a figure-of-eight loop with both the P and
M enantiomers being present. Solution NMR studies were performed to examine the
enantiodiscrimination between them by applying the enantiopure anions
tris(tetrachlorobenzenediolato)phosphate(v) (Delta-TRISPHAT) and
bis(tetrachlorobenzenediolato)mono([1,10]binaphthalenyl-2,20-diolato)phosphate(v)
(Lambda-BINPHAT).
PMID- 22069023
TI - Predictors of medication adherence in an urban Latino community with healthcare
disparities.
AB - Ethnic disparities exist when comparing glycemic control: Latino patients have
suboptimal glycemic control as compared to non-Latino whites. A key factor to
achieving optimal diabetes management and control is medication adherence. We
conducted a nested, cross-sectional retrospective study of data (n = 61)
collected from a larger parallel, randomized, longitudinal study conducted at an
urban primary care practice examining a culturally tailored community-based peer
counselor intervention. Baseline demographic and medication utilization
covariates were evaluated for eligibility into the multivariate logistic
regression to predict medication adherence. Significant correlates of medication
adherence were physician or healthcare team support (OR 12.79, 95% CI 1.04,
157.21), and increasing numbers of medications taken (OR 1.24, 95% CI 1.04,
1.48). Receipt of government benefits was associated with medication non
adherence (OR 0.06, 95% CI 0.01, 0.51). Modifiable factors such as the number of
medications and the patient-healthcare team relationship appear to play a role in
medication adherence.
PMID- 22069025
TI - Mass-forming autoimmune pancreatitis and pancreatic carcinoma: differential
diagnosis on the basis of computed tomography and magnetic resonance
cholangiopancreatography, and diffusion-weighted imaging findings.
AB - PURPOSE: To differentiate mass-forming autoimmune pancreatitis (AIP) from
pancreatic carcinoma by means of analysis of both computed tomography (CT) and
magnetic resonance imaging (MRI) findings. MATERIALS AND METHODS: Ten patients
with mass-forming AIP diagnosed by revised clinical criteria of Japan Pancreas
Society and 70 patients with pathologically proven pancreatic carcinoma were
enrolled in this retrospective study. Two radiologists independently evaluated
the CT and MR imaging findings. The sensitivity, specificity, and odds ratio of
significant imaging findings and combinations of findings were calculated.
RESULTS: Seven findings were more frequently observed in AIP patients: (i) early
homogeneous good enhancement, (ii) delayed homogeneous good enhancement, (iii)
hypoattenuating capsule-like rim, (iv) absence of distal pancreatic atrophy, (v5)
duct penetrating sign, (vi) main pancreatic duct (MPD) upstream dilatation <= 4
mm, and (vii) an apparent diffusion coefficient (ADC) <= 0.88 * 10(-3) mm(2) /s.
When the findings of delayed homogeneous enhancement and ADC <= 0.88 * 10(-3)
mm(2) /s were both used in diagnosis of mass-forming AIP, a sensitivity of 100%
and a specificity of 100% were achieved. When 4 of any of the 7 findings were
used in the diagnosis of AIP, a sensitivity of 100% and a specificity of 98% were
achieved. CONCLUSION: Analysis of a combination of CT and MR imaging findings
allows for highly accurate differentiation between mass-forming AIP and
pancreatic carcinoma.
PMID- 22069026
TI - Cloning of a fibrinolytic enzyme (subtilisin) gene from Bacillus subtilis in
Escherichia coli.
AB - Several investigations are being pursued to enhance the efficacy and specificity
of fibrinolytic therapy. In this regard, microbial fibrinolytic enzymes attracted
much more medical interests during these decades. Subtilisin, a member of
subtilases (the superfamily of subtilisin-like serine proteases) and also a
fibrinolytic enzyme is quite common in Gram-positive bacteria, and Bacillus
species stand out in particular, as many extracellular and even intracellular
variants have been identified. In the present work, the subtilisin gene from
Bacillus subtilis PTCC 1023 was cloned into the vector pET-15b and expressed in
Escherichia coli strain BL21 (DE3). Total genomic DNA were isolated and used for
PCR amplification of the subtilisin gene by means of the specific primers. SDS
PAGE and enzyme assay were done for characterizing the expressed protein. A
~1,100 bp of the structural subtilisin gene was amplified. The DNA and amino acid
sequence alignments resulting from the BLAST search of subtilisin showed high
sequence identity with the other strains of B. subtilis, whereas significantly
lower identity was observed with other bacterial subtilisins. The recombinant
enzyme had the same molecular weight as other reported subtilisins and the E.
coli transformants showed high subtilisin activity. This study provides evidence
that subtilisin can be actively expressed in E. coli. The commercial availability
of subtilisin is of great importance for industrial applications and also
pharmaceutical purposes as thrombolytic agent. Thus, the characterization of new
recombinant subtilisin and the development of rapid, simple, and effective
production methods are not only of academic interest, but also of practical
importance.
PMID- 22069027
TI - Biogenic synthesis of multidimensional gold nanoparticles assisted by
Streptomyces hygroscopicus and its electrochemical and antibacterial properties.
AB - The fabrication of reliable, green chemistry processes for nanomaterial synthesis
is an important aspect of nanotechnology. The biosynthesis of single-pot room
temperature reduction of aqueous chloroaurate ions by Streptomyces hygroscopicus
cells has been reported to facilitate the development of an industrially viable
greener methodology for the synthesis of technologically important gold
nanoparticles (AuNPs). Multidimensional AuNPs are generated via the manipulation
of key growth parameters, including solution pH and reaction time. The
synthesized nanostructures are characterized by UV/Vis and energy dispersive X
ray analysis studies. Particle morphology is characterized by HRTEM, FE-SEM and
BioAFM. Additionally, we have demonstrated the electrochemical and antibacterial
properties of AuNPs via cyclic voltammetry analysis and a minimal inhibitory
concentration assay. Owing to the drawbacks of chemical synthesis, a biological
synthesis method has been developed to generate biocompatible, inexpensive and
eco-friendly size-controlled nanoparticles.
PMID- 22069028
TI - Quiescin sulfhydryl oxidase (QSOX) is expressed in the human atheroma core:
possible role in apoptosis.
AB - Quiescin sulfhydryl oxidases (QSOXs) catalyze the formation of disulfide bonds in
peptides and proteins, and in vertebrates comprise two proteins: QSOX1 and QSOX2.
QSOX1, the most extensively studied type, has been implicated in protein folding,
production of extracellular matrix, redox regulation, protection from apoptosis,
angiogenesis, and cell differentiation. Atherosclerosis is an immunopathological
condition in which redox processes, apoptosis, cell differentiation, and matrix
secretion/maturation have critical roles. Considering these data, we hypothesized
that QSOX1 could be involved in this disease, possibly reducing apoptosis and
angiogenesis inside the plaque. QSOX1 labeling in normal human carotid vessels
showed predominant expression by endothelium, subendothelium, and adventitia. In
atherosclerotic plaques, however, QSOX1 was highly expressed in macrophages at
the lipid core. QSOX1 expression was also studied in terms of mRNA and protein in
cell types present in plaques under apoptotic or activating stimuli, emulating
conditions found in the atherosclerotic process. QSOX1 mRNA increased in
endothelial cells and macrophages after the induction of apoptosis. At the
protein level, the correlation between apoptosis and QSOX1 expression was not
evident in all cell types, possibly because of a rapid secretion of QSOX1. Our
results propose for the first time possible roles for QSOX1 in atherosclerosis,
being upregulated in endothelial cells and macrophages by apoptosis and cell
activation, and possibly controlling these processes, as well as angiogenesis.
The quantitative differences in QSOX1 induction may depend on the cell type and
also on local factors.
PMID- 22069029
TI - Hit clustering can improve virtual fragment screening: CDK2 and PARP1 case
studies.
AB - Virtual fragment screening could be a promising alternative to existing
experimental screening techniques. However, reliable methods of in silico
fragment screening are yet to be established and validated. In order to develop
such an approach we first checked how successful the existing molecular docking
methods can be in predicting fragment binding affinities and poses. Using our
Lead Finder docking software the RMSD of the binding energy prediction was
observed to be 1.35 kcal/mol(-1) on a set of 26 experimentally characterized
fragment inhibitors, and the RMSD of the predicted binding pose from the
experimental one was <1.5 A. Then, we explored docking of 68 fragments obtained
from 39 drug molecules for which co-crystal structures were available from the
PDB. It appeared that fragments that participate in oriented non-covalent
interactions, such as hydrogen bonds and metal coordination, could be correctly
docked in 70-80% of cases suggesting the potential success of rediscovering of
corresponding drugs by in silico fragment approach. Based on these findings we've
developed a virtual fragment screening technique which involved structural
filtration of protein-ligand complexes for specific interactions and subsequent
clustering in order to minimize the number of preferable starting fragment
candidates. Application of this method led to 2 millimolar-scale fragment PARP1
inhibitors with a new scaffold.
PMID- 22069030
TI - Comparative homology modeling of pyruvate dehydrogenase kinase isozymes from
Xenopus tropicalis reveals structural basis for their subfunctionalization.
AB - Structural-functional divergence is responsible for the preservation of highly
homologous genes. Protein functions affected by mutagenesis in divergent
sequences require investigation on an individual basis. In the present study,
comparative homology modeling and predictive bioinformatics analysis were used to
reveal for the first time the subfunctionalization of two pyruvate dehydrogenase
kinase (PDK) isozymes in the western clawed frog Xenopus tropicalis. Three
dimensional structures of the two proteins were built by homology modeling based
on the crystal structures of mammalian PDKs. A detailed comparison of them
revealed important structural differences that modify the accessibility of the
nucleotide binding site in the two isozymes. Based on the generated models and
bioinformatics data analysis, the differences between the two proteins in terms
of kinetic parameters, metabolic regulation, and tissue distribution are
predicted. The results obtained are consistent with the idea that one of the
xtPDKs is the major isozyme responsible for metabolic control of PDC activity in
X. tropicalis, whereas the other one has more specialized functions. Hence, this
study provides a rationale for the existence of two closely related PDK isozymes
in X. tropicalis, thereby enhancing our understanding of the functional evolution
of PDK family genes.
PMID- 22069031
TI - Evaluation of ultrasound-guided diagnostic local anaesthetic hip joint injection
for osteoarthritis.
AB - PURPOSE: The diagnosis of hip osteoarthritis is often complicated by co-existing
pathology in the knee and spine, and mismatch between clinical and radiological
signs. Temporary pain relief from a local anaesthetic injection into the hip
joint has been reported to help localise symptoms, reducing the risk of
unnecessary surgery being performed. We hypothesize that good surgical outcome is
predicted by good analgesia following diagnostic injection, and that alternative
pathology is present when there is no response to injection. METHODS: Data were
analysed from a prospective database of 163 consecutive patients who underwent
diagnostic hip injection for possible osteoarthritis. We recorded result of
injection and whether hip arthroplasty was performed. A good outcome to surgery
was defined as subsequent pain relief without significant residual symptoms.
RESULTS: A total of 138 patients were suitable for inclusion in the study. Fifty
eight patients had hip arthroplasty following a good response to diagnostic
injection. Of these 54 had a good outcome following surgery (93%). There was also
a quantitative improvement in pain and function in these patients as measured by
1 year post-operative and pre-operative Harris hip scores (P < 0.0001). A total
of 44/49 patients had no surgery following no response to injection. A clear
alternative diagnosis to hip osteoarthritis was made in 40 of these patients
(91%). CONCLUSION: Diagnostic ultrasound-guided local anaesthetic injection of
the hip joint is a useful test in confirming hip pathology. Complete relief of
hip pain following intracapsular injection of local anaesthetic is associated
with good surgical outcome following joint replacement.
PMID- 22069032
TI - High resolution magnetic resonance imaging of the patellar retinaculum: normal
anatomy, common injury patterns, and pathologies.
AB - The medial patellar retinaculum (MPR) and the lateral patellar retinaculum (LPR)
are vital structures for the stability of the patella. Failure to identify or
treat injury to the patellar retinaculum is associated with recurrent patellar
instability and contributes to significant morbidity. High-resolution magnetic
resonance imaging (MRI) readily depicts the detailed anatomy of various
components (layers) of the retinacula. In this review article, we discuss normal
anatomy, important landmarks, common injury patterns, and other pathologies
encountered in patellar retinacula. High field strength MRI is an excellent
noninvasive tool for evaluation of patellar retinaculum anatomy and pathology.
This article will help the reader become familiar with normal imaging findings
and the most commonly occurring injuries/pathologies in MPR and LPR.
PMID- 22069033
TI - Muscle fat-fraction and mapping in Duchenne muscular dystrophy: evaluation of
disease distribution and correlation with clinical assessments. Preliminary
experience.
AB - PURPOSE: To examine the usefulness of dual-echo dual-flip angle spoiled gradient
recalled (SPGR) magnetic resonance imaging (MRI) technique in quantifying muscle
fat fraction (MFF) of pelvic and thighs muscles as a marker of disease severity
in boys with Duchenne muscular dystrophy (DMD), by correlating MFF calculation
with clinical assessments. We also tried to identify characteristic patterns of
disease distribution. MATERIALS AND METHODS: Twenty consecutive boys (mean age,
8.6 years +/- 2.3 [standard deviation, SD]; age range, 5-15 years; median age, 9
years;) with DMD were evaluated using a dual-echo dual-flip angle SPGR MRI
technique, calculating muscle fat fraction (MFF) of eight muscles in the pelvic
girdle and thigh (gluteus maximus, adductor magnus, rectus femoris, vastus
lateralis, vastus medialis, biceps femoris, semitendinosus, and gracilis). Color
coded parametric maps of MFF were also obtained. A neurologist who was blinded to
the MRI findings performed the clinical assessments (patient age, Medical
Research Council score, timed Gower score, time to run 10 m). The relationships
between mean MFF and clinical assessments were investigated using Spearman's rho
coefficient. Positive and negative correlations were evaluated and considered
significant if the P value was < 0.05. RESULTS: The highest mean MFF was found in
the gluteus maximus (mean, 46.3 % +/- 24.5 SD), whereas the lowest was found in
the gracilis muscle (mean, 2.7 % +/- 4.7 SD). Mean MFF of the gluteus maximus was
significantly higher than that of the other muscles (P < 0.01), except for the
adductor magnus and biceps muscles. A significant positive correlation was found
between the mean MFF of all muscles and the patients age (20 patients; P <
0.005), Medical Research Council score (19 patients; P < 0.001), timed Gower
score (17 patients; P < 0.03), and time to run 10 m (20 patients; P < 0.001). A
positive correlation was also found between the mean MFF of the gluteus maximus
muscle and the timed Gower score. Color-coded maps provided an efficient visual
assessment of muscle fat content and its heterogeneous distribution. CONCLUSION:
Muscle fat fraction calculation and mapping using the dual-echo dual-flip angle
SPGR MRI technique are useful markers of disease severity and permit patterns of
disease distribution to be identified in patients with DMD.
PMID- 22069035
TI - Automated tertiary structure prediction with accurate local model quality
assessment using the IntFOLD-TS method.
AB - The IntFOLD-TS method was developed according to the guiding principle that the
model quality assessment (QA) would be the most critical stage for our template
based modeling pipeline. Thus, the IntFOLD-TS method firstly generates numerous
alternate models, using in-house versions of several different sequence-structure
alignment methods, which are then ranked in terms of global quality using our top
performing QA method-ModFOLDclust2. In addition to the predicted global quality
scores, the predictions of local errors are also provided in the resulting
coordinate files, using scores that represent the predicted deviation of each
residue in the model from the equivalent residue in the native structure. The
IntFOLD-TS method was found to generate high quality 3D models for many of the
CASP9 targets, whilst also providing highly accurate predictions of their per
residue errors. This important information may help to make the 3D models that
are produced by the IntFOLD-TS method more useful for guiding future experimental
work.
PMID- 22069034
TI - Assessment of protein structure refinement in CASP9.
AB - We assess performance in the structure refinement category in CASP9. Two years
after CASP8, the performance of the best groups has not improved. There are few
groups that improve any of our assessment scores with statistical significance.
Some predictors, however, are able to consistently improve the physicality of the
models. Although we cannot identify any clear bottleneck in improving refinement,
several points arise: (1) The refinement portion of CASP has too few targets to
make many statistically meaningful conclusions. (2) Predictors are usually very
conservative, limiting the possibility of large improvements in models. (3) No
group is actually able to correctly rank their five submissions-indicating that
potentially better models may be discarded. (4) Different sampling strategies
work better for different refinement problems; there is no single strategy that
works on all targets. In general, conservative strategies do better, while the
greatest improvements come from more adventurous sampling-at the cost of
consistency. Comparison with experimental data reveals aspects not captured by
comparison to a single structure. In particular, we show that improvement in
backbone geometry does not always mean better agreement with experimental data.
Finally, we demonstrate that even given the current challenges facing refinement,
the refined models are useful for solving the crystallographic phase problem
through molecular replacement. Proteins 2011;. (c) 2011 Wiley-Liss, Inc.
PMID- 22069037
TI - Identification of "known unknowns" utilizing accurate mass data and ChemSpider.
AB - In many cases, an unknown to an investigator is actually known in the chemical
literature, a reference database, or an internet resource. We refer to these
types of compounds as "known unknowns." ChemSpider is a very valuable internet
database of known compounds useful in the identification of these types of
compounds in commercial, environmental, forensic, and natural product samples.
The database contains over 26 million entries from hundreds of data sources and
is provided as a free resource to the community. Accurate mass mass spectrometry
data is used to query the database by either elemental composition or a
monoisotopic mass. Searching by elemental composition is the preferred approach.
However, it is often difficult to determine a unique elemental composition for
compounds with molecular weights greater than 600 Da. In these cases, searching
by the monoisotopic mass is advantageous. In either case, the search results are
refined by sorting the number of references associated with each compound in
descending order. This raises the most useful candidates to the top of the list
for further evaluation. These approaches were shown to be successful in
identifying "known unknowns" noted in our laboratory and for compounds of
interest to others.
PMID- 22069036
TI - Automated protein structure modeling in CASP9 by I-TASSER pipeline combined with
QUARK-based ab initio folding and FG-MD-based structure refinement.
AB - I-TASSER is an automated pipeline for protein tertiary structure prediction using
multiple threading alignments and iterative structure assembly simulations. In
CASP9 experiments, two new algorithms, QUARK and fragment-guided molecular
dynamics (FG-MD), were added to the I-TASSER pipeline for improving the
structural modeling accuracy. QUARK is a de novo structure prediction algorithm
used for structure modeling of proteins that lack detectable template structures.
For distantly homologous targets, QUARK models are found useful as a reference
structure for selecting good threading alignments and guiding the I-TASSER
structure assembly simulations. FG-MD is an atomic-level structural refinement
program that uses structural fragments collected from the PDB structures to guide
molecular dynamics simulation and improve the local structure of predicted model,
including hydrogen-bonding networks, torsion angles, and steric clashes. Despite
considerable progress in both the template-based and template-free structure
modeling, significant improvements on protein target classification, domain
parsing, model selection, and ab initio folding of beta-proteins are still needed
to further improve the I-TASSER pipeline.
PMID- 22069038
TI - Studying interfacial reactions of cholesterol sulfate in an unsaturated
phosphatidylglycerol layer with ozone using field induced droplet ionization mass
spectrometry.
AB - Field-induced droplet ionization (FIDI) is a recently developed ionization
technique that can transfer ions from the surface of microliter droplets to the
gas phase intact. The air-liquid interfacial reactions of cholesterol sulfate
(CholSO(4)) in a 1-palmitoyl-2-oleoyl-sn-phosphatidylglycerol (POPG) surfactant
layer with ozone (O(3)) are investigated using field-induced droplet ionization
mass spectrometry (FIDI-MS). Time-resolved studies of interfacial ozonolysis of
CholSO(4) reveal that water plays an important role in forming oxygenated
products. An epoxide derivative is observed as a major product of CholSO(4)
oxidation in the FIDI-MS spectrum after exposure of the droplet to O(3) for 5 s.
The abundance of the epoxide product then decreases with continued O(3) exposure
as the finite number of water molecules at the air-liquid interface becomes
exhausted. Competitive oxidation of CholSO(4) and POPG is observed when they are
present together in a lipid surfactant layer at the air-liquid interface.
Competitive reactions of CholSO(4) and POPG with O(3) suggest that CholSO(4) is
present with POPG as a well-mixed interfacial layer. Compared with CholSO(4) and
POPG alone, the overall ozonolysis rates of both CholSO(4) and POPG are reduced
in a mixed layer, suggesting the double bonds of both molecules are shielded by
additional hydrocarbons from one another. Molecular dynamics simulations of a
monolayer comprising POPG and CholSO(4) correlate well with experimental
observations and provide a detailed picture of the interactions between
CholSO(4), lipids, and water molecules in the interfacial region.
PMID- 22069039
TI - New electric-shock job exposure matrix.
AB - BACKGROUND: To evaluate a consistent association between jobs in "electric"
occupations and amyotrophic lateral sclerosis (ALS), a comprehensive job exposure
matrix (JEM) that includes electric shocks and magnetic fields (MF) is needed.
METHODS: We used incident electric shocks and electrocutions from two available
data sources along with expert judgment to create a JEM that was integrated into
an existing MF JEM. The final JEM contained ordinal electric-shock exposure
assignments for 501 job titles. RESULTS: Main occupational groups experiencing
the electric shocks were precision production, craft, and repair occupations.
Specific jobs with the highest proportion of shocks per 100,000 workers were:
electrical apprentices (99.7), mechanic and repairer helpers (74.0), hoist and
winch operators (63.3), and electrical power installers (52.4). Examples of job
titles with low electric-shock exposures were administrative support occupations,
data-key entry operators, and waiters and waitresses. CONCLUSIONS: Combining
publicly available data with an expert panel is a viable method to construct an
electric-shock MF JEM. This JEM will allow an evaluation of association between
electric shocks and neurodegenerative diseases.
PMID- 22069040
TI - Loss of epithelial oestrogen receptor alpha inhibits oestrogen-stimulated
prostate proliferation and squamous metaplasia via in vivo tissue selective
knockout models.
AB - Squamous metaplasia (SQM) is a specific phenotype in response to oestrogen in the
prostate and oestrogen receptor (ER) alpha is required to mediate this response.
Previous studies utilizing tissue recombination with seminal vesicle (SV)
mesenchyme and prostatic ductal tips from wild type and ERalphaKO mice suggested
that both epithelial and stromal ERalpha are necessary for SQM. However, tissue
recombination is conducted in the renal capsule of immune-deficient mice, in
which the microenvironment is different from normal prostate microenvironment in
the intact mice. Furthermore, whether the requirement of stromal ERalpha in the
SV for developing SQM is the same as in the prostate is unknown. Therefore, there
is a clear need to evaluate the respective roles of ERalpha in prostate
epithelial versus stromal compartments in the intact mouse. Here we generated a
mouse model that has selectively lost ERalpha in either stromal (FSP-ERalphaKO)
or epithelial prostate cells (pes-ERalphaKO) to determine the requirements of
ERalpha for oestrogen-stimulated prostate proliferation and SQM. Our results
indicated that FSP-ERalphaKO prostates develop full and uniform SQM, which
suggests that loss of the majority (~65%) of stromal ERalpha will not influence
oestrogen-mediated SQM. In contrast, loss of epithelial ERalpha inhibits
oestrogen-mediated prostate growth and SQM evidenced by decreasing cytokertin 10
positive squamous cell stratification and differentiation, by reduced ERalpha
protein expression in SQM compared to wild type mice ERalpha, and by the presence
of normal proliferative activities in the oestrogen-treated pes-ERalphaKO
prostates. These in vivo results suggest that epithelial ERalpha is required for
oestrogen-mediated proliferative response and could be an appropriate target for
preventing aberrant oestrogen signalling in the prostate.
PMID- 22069041
TI - ParaCEST MRI contrast agents capable of derivatization via"click" chemistry.
AB - A comprehensive series of lanthanide chelates has been prepared with a
tetrapropargyl DOTAM type ligand. The complexes have been characterized by a
combination of (1)H NMR, single-crystal X-ray crystallography, CEST and
relaxation studies and have also been evaluated for potential use as paramagnetic
chemical exchange saturation transfer (ParaCEST) contrast agents in magnetic
resonance imaging (MRI). We demonstrate the functionalization of several chelates
by means of alkyne-azide "click" chemistry in which a glucosyl azide is used to
produce a tetra-substituted carbohydrate-decorated lanthanide complex. The
carbohydrate periphery of the chelates has a potent influence on the CEST
properties as described herein.
PMID- 22069042
TI - Malignant pheochromocytoma: new malignancy criteria.
AB - PURPOSE: The pathological diagnosis of malignancy in pheochromocytomas remains a
controversial issue. According to the WHO, malignancy is defined in the presence
of metastasis. Multiparameter scoring systems such as PASS (Pheochromocytoma of
Adrenal gland Scaled Score) have been used but remain controversial. The aim of
this study was to search for new immunohistologic elements allowing determination
of pheochromocytoma malignancy. METHODS: Among 53 patients operated for
pheochromocytoma between 1993 and 2009, we selected pheochromocytomas with proven
metastasis, seven cases in group 1 (G1) and paired two others groups: group 2
(G2), patients who had "benign" pheochromocytoma with PASS >=4 and group 3 (G3),
patients who had "benign" pheochromocytoma with PASS <4. We retrospectively
analysed PASS criteria, size, weight, tumour necrosis, Ki-67 and pS100 staining.
RESULTS: The size and weight of the lesion were directly and significantly
correlated to malignancy in all three groups: respectively 9.7 cm and 292.0 g
(G1), 6.2 cm and 83.8 g (G2) and 3.8 cm and 37.1 g (G3) (p < 0.005 for both).
Tumour necrosis (TN) was present in all G1 (p < 0.005) and respectively at 0% and
37.5% in G2 and G3. Ki-67 is directly correlated to presence of TN (p < 0.005)
and malignancy (G1 14.1%, G2 1.8%, G3 2.6%; p < 0.001). All G1 had a Ki-67 index
>4%, although one G3 presented an 11% Ki-67 index. There was an inverse
statistically significant correlation between the three groups in staining using
pS100 (p < 0.01). CONCLUSIONS: Size and weight of the pheochromocytoma are
directly related to PASS and malignancy. The presence of tumour necrosis, Ki-67
index >4% and pS100 absence impose a close histopathological evaluation and
follow-up with regard to cases presenting a high risk of malignancy/recurrence.
PMID- 22069043
TI - Adrenocortical carcinoma: effect of hospital volume on patient outcome.
AB - PURPOSE: Optimal management of adrenocortical carcinoma (ACC) involves a detailed
diagnostic workup, radical surgery, and appropriate adjuvant therapy. However,
due to the rarity of this disease, adequate expertise is necessary to ensure
optimal patient care. We evaluated if the experience of a treating center
influences the outcome of ACC. METHODS: Two hundred sixty-three patients who
underwent adrenalectomy for ACC were included in a multi-institutional surgical
survey and divided into 2 groups: "high-volume center" (HVC) (>=10
adrenalectomies for ACC) and "low-volume center" (LVC) (<10 adrenalectomies for
ACC). A comparative analysis was performed. RESULTS: One hundred seventy-two
patients underwent adrenalectomy at HVC and 91 at LVC. The two groups were
homogeneous for age, sex, clinical presentation, and stage. The mean lesions size
of ACC was higher in HVC than in LVC (104.1 +/- 54.6 vs 82.8 +/- 41.3 mm; P <
0.001). A significantly higher rate of lymph node dissection (P < 0.01) and of
multiorgan resection (P < 0.01) was accomplished in HVC. The number of patients
who underwent adjuvant therapy was significantly higher in HVC (P < 0.001). Local
recurrence rate was lower in patients treated at HVC (6% vs 18.5%; P = NS). Mean
time to recurrence was significantly longer in HVC than in LVC (25.2 +/- 28.1 vs
10.1 +/- 7.5; P < 0.01). CONCLUSION: The expertise of dedicated centers had a
positive impact on the outcome of patients with ACC, resulting in a lower
recurrence rate and improved mean time to recurrence. The improved patient
outcome could be related not only to the appropriateness of the surgical
procedure, but also to a more adequate multidisciplinary approach.
PMID- 22069044
TI - Baroreceptor reflex dysfunction in the BACHD mouse model of Huntington's disease.
AB - Huntington's disease is a progressive, neurodegenerative disorder that presents
with a triad of clinical symptoms, which include movement abnormalities,
emotional disturbance and cognitive impairment. Recent studies reported
dysfunction of the autonomic nervous system in Huntington's disease patients,
which may contribute to the increased incidence of cardiovascular events in this
patient population that often leads to death. We measured the baroreceptor
reflex, a process dependent on proper autonomic function, in the BACHD mouse
model of Huntington's disease. We found a blunted response of the baroreceptor
reflex as well as significantly higher daytime blood pressure in BACHD mice
compared to WT controls, which are both indications of autonomic dysfunction.
BACHD mice had increased heart weight to tibia length ratios at 7 and 12 mo of
age suggesting hypertrophic changes of the heart, which we speculate is a
response to the increased blood pressure and aberrant baroreceptor reflex.
Despite these structural changes, the hearts of BACHD mice continue to function
normally as assessed by echocardiographic analysis. Studies of autonomic and
cardiovascular function in BACHD mice may help elucidate the pathophysiology of
Huntington's disease and aid in the development of clinical strategies to offset
the incidence of fatal cardiovascular events in the Huntington's disease patient
population.
PMID- 22069045
TI - Quantification of HBsAg and HBV-DNA during therapy with peginterferon alpha-2b
plus lamivudine and peginterferon alpha-2b alone in a German chronic hepatitis B
cohort.
AB - BACKGROUND: Peginterferon alpha-2b (PEG-IFNa2b) and lamivudine are efficient
treatment options for chronic hepatitis B virus (HBV) infection. We assumed that
a combination therapy of PEG-IFNalpha-2b plus lamivudine will be more effective
than PEG-IFNalpha-2b alone concerning loss of HBV-DNA, HBeAg seroconversion, and
HBsAg reduction. PATIENTS AND METHODS: Patients with chronic hepatitis B were
randomised to nine months treatment with PEG-IFNalpha-2b 1.5 ug/kg o. i. w. or
PEG- IFNalpha-2b plus lamivudine 100 mg/d. The study was designed with 60
patients per treatment arm. The primary endpoint was defined as loss of HBV-DNA
(< 400 copies/mL) 24 weeks after the end of therapy. HBV-DNA (PCR), HBsAg
(Architect, Abbott), and HBeAg (Axsym, Abbott) were determined prior to and at
the end of treatment as well as at follow-up. HBV-genotypes were determined by
Innolipa (Innogenetics). RESULTS: Only 32 patients were randomised to combination
therapy and 27 individuals to monotherapy due to low recruitment rates. On
treatment reduction of HBV-DNA was significantly higher during combination
therapy compared to PEG-IFNa-2b monotherapy (- 4.60 +/- 2.71 vs. - 2.41 +/- 2.17
log; p = 0.003). However, there was no difference in the number of cases
achieving HBV-DNA < 400 copies/mL, ALT normalisation, or HBeAg seroconversion at
follow-up. None of the parameters was significantly related to HBV-genotypes. In
a post-hoc analysis serum HBsAg levels were analysed as an additional prognostic
parameter for treatment response (n = 29). Combination therapy showed a stronger,
but not significant HBsAg decline during (- 0.7 +/- 1.17 log IU/mL vs. - 0.26 +/-
0.61 log IU/mL; p = 0.35) and after therapy (- 0.68 +/- 1.29 log IU/mL vs. - 0.24
+/- 0.56 log IU/mL; p = 0.82). Two of three cases with a 2-log HBsAg decline to
HBsAg levels < 100 IU/mL eliminated HBsAg during long-term follow-up. CONCLUSION:
The study was underpowered with respect to the primary endpoint due to low
recruitment rates. However, in the post-hoc analysis HBsAg decline was over two
fold stronger at the end of treatment and follow-up after combination therapy and
did not rebound after lamivudine withdrawal. These results may indicate the
usefulness of future combination therapies without discontinuation of
nucleos(t)ide analogues.
PMID- 22069046
TI - [Extensive squamous papillomatosis of the oesophagus with malignant
transformation of squamous epithelium].
AB - While small solitary oesophageal papillomas are uncommon findings that are
occasionally identified on routine upper endoscopies, extensive oesophageal
papillomatosis is an extremely rare condition with only 9 cases reported in the
English literature. We report the case of a 72-year-old woman who was referred
for progressive dysphagia for solid food and clinical signs for a reflux disease.
Upper endoscopy demonstrated bizarre villous alterations of the mucosa covering
the oesophagus subtotally and a suspicious area within these alterations.
Histological work-up of the biopsy samples revealed marked papillary hypertrophy
and a squamous epithelial carcinoma in situ corresponding to the suspicious
lesion. The patient underwent oesophagectomy with cervical gastroesophageal
anastomosis and proximal remnants of papillomatous mucosa above the anastomosis
were destroyed with endoscopic argon plasma coagulation. In the 2-year follow-up
the patient showed limited recurrence of the papillomatosis in the remaining
proximal oesophagus containing a circumscript carcinoma that was successfully
treated by local endoscopic mucosectomy. Our case strongly underscores the risk
of malignant transformation in large areas of papillomatous mucosa and shows that
systematic surveillance is essential.
PMID- 22069047
TI - Hyperemesis and a high water bill.
AB - A male patient aged 28 years was admitted with hyperemesis that did not cease in
spite of different therapeutic approaches and had persisted for several days. A
wide range of differential diagnoses was excluded and all tests remained without
pathological findings. He reported regular cannabis use and showed abnormal
bathing behavior taking hot showers several times a day for more than one hour
each, which was the only measure to ease his nausea; on the basis of these
clinical findings, the diagnosis of cannabinoid hyperemesis syndrome was made.
After detoxification, he remained free of symptoms. Cannabinoid hyperemesis
syndrome was first described in 2004 in Australia and is an underrecognized cause
of hyperemesis and abnormal bathing behavior. To the best of our knowledge, this
is the first reported case in Germany.
PMID- 22069048
TI - [The enteric glia--part of the puzzle in Crohn's disease?].
AB - Enteric glia cells are essential for the mucosal integrity of the gut. A leakage
of the epithelial barrier is postulated in Crohn's disease. The role of enteric
glia cells in the onset and progress of Crohn's disease is not clear yet. A new
approach in the therapy of Crohn's disease is to target "mucosal healing". Since
enteric glia cells are an important source of factors that regulate the
epithelial barrier function, further research and discussion about this cell
entity under therapeutic aspects is urgently necessary.
PMID- 22069049
TI - [High-protein reduced-carbohydrate weight-loss diets: negative impact on colonic
health].
PMID- 22069050
TI - [Improved survival in patients with NET of the pancreas with molecular
therapies].
PMID- 22069051
TI - [Walter Krienitz and one of the first descriptions of gastric bacteria].
PMID- 22069052
TI - [Recommendations for antibiotic prophylaxis in gastrointestinal endoscopy].
PMID- 22069053
TI - The observation of gaseous gold superions induced from monodispersed
nanoparticles.
PMID- 22069054
TI - p38 Mitogen-activated protein kinase modulates exocrine secretion in rabbit
lacrimal gland.
AB - The lacrimal gland (LG) is an exocrine gland important for secretion of the tear
film. The kinase p38 has important signal transduction functions, e.g. in gene
transcription, but has previously not been known to modulate exocrine secretion.
The aim of the current study was to investigate the role of p38 in carbachol
(Cch)-induced LG secretion in LG acinar cells in vitro. Western blotting was used
to determine the phosphorylation status of p38 and p42/44 and determine
expression of p38 isoforms. To determine the effect of p38 inhibition on LG
secretion, PD 169316, a general p38 inhibitor, and SB 239063, an inhibitor of
p38alpha and beta, were added to the cells prior to secretion measurements. The
results revealed activation of p38 mediated by Cch stimulation and inhibition of
Cch-induced secretion as a result of p38 inhibition. The inhibition was observed
with PD 169316 isoforms, but not with SB 239063. The p38delta isoform was shown
to have robust expression both by Western blotting of acinar cells and
immunofluorescence of the whole gland. In conclusion, p38 activation mediates
secretion in cholinergic stimulation of rabbit LG cells.
PMID- 22069055
TI - Three-dimensional closed microfluidic channel fabrication by stepper projection
single step lithography: the diabolo effect.
AB - Microfluidic devices are currently being used in many types of biochemical
microsystems for liquid phase analysis in the frame of medical applications. This
paper presents a new technique for the realization of microfluidic channels using
SU-8, a commonly used epoxy-based negative photo-resist. These microchannels were
fabricated by a single stepper UV-photolithography process. By changing the
process parameters, e.g. the optical focus depth and the UV exposure dose, well
defined, covered microchannels with various dimensions and aspect ratios were
realized and proven to be effective for the fluid transport by capillarity. This
technique can easily be used for the fabrication of microfluidic devices in the
microanalysis and lab-on-chip applications realm.
PMID- 22069056
TI - Overhauser DNP with 15N labelled Fremy's salt at 0.35 Tesla.
AB - The effectiveness of dynamic nuclear polarization (DNP) as a tool to enhance the
sensitivity of liquid state NMR critically depends on the choice of the optimal
polarizer molecule. In this study the performance of (15)N labelled Fremy's salt
as a polarizing agent in Overhauser DNP is investigated in detail at X-band (0.35
T, 9.7 GHz EPR, 15 MHz (1)H NMR) and compared to that of TEMPONE-D,(15)N employed
in previous studies. Both radicals provide similar maximum enhancements of the
solvent water protons under similar conditions but a different saturation
behaviour. The factors determining the enhancement and effective saturation were
measured independently by EPR, ELDOR and NMRD and are shown to fulfil the
Overhauser equation. In particular, following the theory of EPR saturation we
provide analytical solutions for the dependence of the enhancement on the
microwave field strength in terms of saturation transfer between two coupled
hyperfine lines undergoing spin exchange. The negative charge of the radical in
Fremy's salt solutions can explain the peculiar properties of this polarizing
agent and indicates different suitable application areas for the two types of
nitroxide radicals.
PMID- 22069057
TI - Psychological and social characteristics associated with religiosity in Women's
Health Initiative participants.
AB - Measures of religiosity are linked to health outcomes, possibly indicating
mediating effects of associated psychological and social factors. We examined
cross-sectional data from 92,539 postmenopausal participants of the Women's
Health Initiative Observational Study who responded to questions on religious
service attendance, psychological characteristics, and social support domains. We
present odds ratios from multiple logistic regressions controlling for
covariates. Women attending services weekly during the past month, compared with
those not attending at all in the past month, were less likely to be depressed
[OR = 0.78; CI = 0.74-0.83] or characterized by cynical hostility [OR = 0.94; CI
= 0.90-0.98], and more likely to be optimistic [OR = 1.22; CI = 1.17-1.26]. They
were also more likely to report overall positive social support [OR = 1.28; CI =
1.24-1.33], as well as social support of four subtypes (emotional/informational
support, affection support, tangible support, and positive social interaction),
and were less likely to report social strain [OR = 0.91; CI = 0.88-0.94].
However, those attending more or less than weekly were not less likely to be
characterized by cynical hostility, nor were they less likely to report social
strain, compared to those not attending during the past month.
PMID- 22069058
TI - Maxillary osteonecrosis and spontaneous teeth exfoliation following herpes
zoster.
AB - BACKGROUND: Reports of osteonecrosis and spontaneous tooth loss following herpes
zoster infection of the fifth cranial are extremely rare. Only 39 previously
recorded cases of post-zoster osteonecrosis have been found in the literature.
The unusual feature of the case of interest to the dental surgeon is a rare
complication of tooth exfoliation and maxillary osteonecrosis. CASE REPORT: This
article reports a case of 52-year-old man with herpes zoster infection of the
trigeminal nerve and related alveolar bone necrosis and teeth loss. The etiology
and management of herpes zoster infection associated with destructive sequelae
are discussed. DISCUSSION: Very few cases of osteonecrosis and spontaneous teeth
exfoliation secondary to herpes zoster are found in the literature. The exact
mechanism by which herpes zoster induces these destructive changes in the
alveolar bone and teeth cannot be proposed. As Varicella zoster virus is an
aneurotropic virus, the possible provoking factors may be the infection of the
nerves innervating the periosteum or the chronic inflammatory changes in the form
of adverse periodontal disease and delayed healing of the extraction sockets
associated with compromised host resistance.
PMID- 22069060
TI - Blockade of cytotoxic T-lymphocyte antigen-4 by ipilimumab is associated with a
profound long-lasting depletion of Foxp3+ regulatory T cells: a mechanistic
explanation for ipilimumab-induced severe enterocolitis?
PMID- 22069059
TI - Small bite, large impact-saliva and salivary molecules in the medicinal leech,
Hirudo medicinalis.
AB - Blood-sucking leeches have been used for medical purposes in humans for hundreds
of years. Accordingly, one of the most prominent species has been named Hirudo
medicinalis by Carl Linne in 1758. Feeding on vertebrate blood poses some serious
problems to blood-sucking ectoparasites, as they have to penetrate the body
surface of the host and to suppress the normal reactions of the host to such
injuries (swelling, pain, inflammation) to remain undetected during the feeding
period. Furthermore, the parasites have to take measures to inhibit the normal
reactions in host tissues to blood vessel damage, namely hemostasis and blood
coagulation (platelet aggregation and activation, activation of thrombin and
formation of fibrin clots). During evolution, leeches have acquired the ability
to control these processes in their hosts by transferring various bioactive
substances to the host. These substances are supposedly produced in unicellular
salivary gland cells and injected into the wound at the feeding site through tiny
salivary ductule openings in the jaws that the leech uses to slice open the host
body surface and to cut blood vessels in the depth of the wound. This review
summarizes current knowledge about the salivary gland cells and the biological
effects of individual saliva components as well as hints to the potential
usefulness of some of these compounds for medical purposes.
PMID- 22069061
TI - Predictors of early cessation of dairy farming in the French Doubs province: 12
year follow-up.
AB - BACKGROUND: A healthy worker effect due to respiratory disability has been noted
in the farming population, but other factors may also interfere. Little has been
published about factors influencing the early cessation of work in self-employed
dairy farmers. METHODS: Two hundred and nineteen dairy farmers were included from
a cohort constituted in eastern France in 1993-1994 with a 12-year follow-up.
Spirometric data, personal, and farm characteristics were registered. Cox models
with delayed entry in which age was the time-scale were applied to identify the
baseline predictive factors of the early cessation of dairy farming. RESULTS:
Working in a modern farm was protective against early cessation of dairy farming
(hazard ratio: 0.36 [95% CI: 0.16-0.81]), especially in men. Having asthma was a
predictive factor of early cessation, especially in women (hazard ratio: 16.12
[95% CI: 3.28-79.12]). CONCLUSIONS: The most predictive factors of early
cessation of dairy farming were health related in women and farm related in men.
PMID- 22069062
TI - Fat grafting versus adipose-derived stem cell therapy: distinguishing
indications, techniques, and outcomes.
AB - With adipose-derived stem cells (ASCs) at the forefront of research and potential
clinical applications, it is important that clinicians be able to distinguish
them from the fat grafting currently used clinically and to understand how the
two approaches relate to one another. At times, there has been confusion in
clinically considering the two therapies to be the same. This report is aimed at
distinguishing clearly between fat grafting and ASC therapy with regard to the
indications, harvesting, processing, application techniques, outcomes, and
complications. Findings have shown that autologous fat transfer, a widely used
procedure for soft tissue augmentation, is beneficial for reconstructive and
cosmetic procedures used to treat patients with volume loss due to disease,
trauma, congenital defects, or the natural process of aging. On the other hand,
ASCs have been identified as an ideal source of cells for regenerative medicine,
with the potential to serve as soft tissue therapy for irradiated, scarred, or
chronic wounds. Recent advances in tissue engineering suggest that the
supplementation of fat grafts with ASCs isolated in the stromal vascular fraction
may increase the longevity and quality of the fat graft. Research suggests that
ASC supplementation may be a great clinical tool in the future, but more data
should be acquired before clinical applications.
PMID- 22069064
TI - [Prevention of vertical HIV-1 transmission in a tertiary care public hospital in
Buenos Aires, Argentina].
AB - OBJECTIVE: To describe characteristics of mother-child binomium (MCB),
antiretroviral (ARV) prophylaxis, time trends, and variables associated with
vertical transmission of HIV-1 in a population assisted by a tertiary public
hospital in Argentina. METHODS: Prospective descriptive study undertaken by the
Hospital Cosme Argerich s Vertical Transmission Working Group, Buenos Aires city,
Argentina 1998-2008. Periods 1998-2003 vs. 2004-2008 were compared and variables
associated with vertical transmission identified. RESULTS: Of 357 MCB, 21.0% of
the mothers had HCV coinfection and 68.0% CD4 < 500/uL. During pregnancy, 75.0%
received ARV: zidovudine (17.8%), zidovudinelamivudine (19.8%), zidovudine
lamivudine-nevirapine (41.9%), and zidovudinelamivudine-protease inhibitor
(11.4%); 74.0% had viral load (VL) peripartum < 1 000 copies/mL. Caesarean
delivery: 58.0%. Intrapartum zidovudine: 83.4%; 98.0% of infants received
prophylaxis; zidovudine monotherapy was the most frequently used (73.0%). Of
neonates, 15.4% had low birthweight and 6.7% were premature. The global vertical
transmission was 3.3% (10/302). Comparing both periods, an increase in triple ARV
and VL < 1 000 copies/mL in peripartum and a decrease in the absence of
maternal/neonatal prophylaxis and overall VT was observed. The vertical
transmission for 2004-2008 was 1.3% vs. 6.3% in Buenos Aires city (official
statistics). Absence of maternal/intrapartum prophylaxis and prematurity were
associated with vertical transmission (P < 0.01 and P = 0.01, respectively).
CONCLUSIONS: A decline in overall vertical transmission between the two periods
was observed attributable to increased coverage of maternal/neonatal ARV
administration and increased use of triple therapy. The absence of
maternal/intrapartum prophylaxis was the main factor associated with vertical
transmission, emphasizing the need to improve accessibility of MCB to the local
public health system.
PMID- 22069065
TI - Intervention to prevent intestinal parasitic reinfections among Tarahumara
indigenous schoolchildren in northern Mexico.
AB - OBJECTIVE: To assess the effectiveness of a 20-week, broad intervention to
prevent reinfection by Ascaris lumbricoides (AL) and Giardia lamblia (GL) among
indigenous schoolchildren in northern Mexico. METHODS: A prospective,
comparative, ecological study. Two isolated boarding schools, each hosting 100
120 children, 4-15 years of age, were selected based on physical infrastructure:
intervention school (IS), modern; control school (CS), deprived. After initial
diagnosis, children with positive stool samples received supervised treatment
with oral nitazoxanide. Diagnoses were made with at least one positive
microscopic result from two serial samples using the Faust technique, as reported
by the independent observations of two trained, laboratory technicians. Post
treatment samples were taken, and only those with negative results were followed
up. The intervention included infrastructure improvements/maintenance and an
educational preventive program for children, parents, and school personnel; no
activities were undertaken in the CS. RESULTS: Baseline prevalence for AL was
37.5% at the IS versus 16.6% at the CS (P < 0.01); and for GL, 51.7% versus
37.8%, respectively. At the IS, 35.7% did not speak Spanish, compared to 6.7% in
the CS (P < 0.01). Cure rates were similar in both schools for AL (~ 98%) and GL
(~ 80%). Final prevalence and reinfection rates for GL were 10.4% versus 10.8%,
and 17.2% versus 21% at the IS and CS, respectively. No children were
infected/reinfected with AL in either school. Follow-up rates were 80%-83% at the
CS and 90%-95% at the IS. CONCLUSIONS: Infection/reinfection rates were similar
at the schools after 20 weeks. Supervised treatment alone every semester could
effectively control AL/GL infections in this indigenous setting.
PMID- 22069066
TI - [Perception of patients with chronic hemiplegia regarding the use of assistive
walking devices].
AB - OBJECTIVE: To assess the perception of patients with chronic hemiplegia regarding
the use of assistive walking devices (AWD). METHODS: Twenty-three individuals who
met the inclusion criteria and used AWD were recruited from a database of 360
stroke survivors. Their mean age was 58.4 years, mean time since stroke was 80.8
months, and mean time using AWD was 67.6 months. To assess the participants'
perception, was used a standardized questionnaire covering the impact of AWDs on
five aspects: weight-bearing on the paretic limb; ability to move the paretic
limb; confidence; safety; and walking style. Possible answers were "improved,"
"unchanged," or "decreased." RESULTS: Fourteen individuals used canes and nine
used elbow crutches; 21 (91.3%) used AWDs on public roads and only two (8.7%)
used AWDs at home. The perception regarding the use of AWDs were positive in the
first four questions (6.87 < chi2 < 29.83; 0.0001 < P < 0.03), with reports of
improvement in weight-bearing (82.6%), ability to move the paretic limb (39.1%),
confidence (82.6%), and safety (86.9%). No significant differences were found in
terms of walking style (chi2 = 1.09; P = 0.30). CONCLUSIONS: The perception of
chronic hemiplegic subjects regarding the use of AWD were positive, suggesting
that these devices can improve mobility and independence in activities of daily
living.
PMID- 22069063
TI - Silencing disease genes in the laboratory and the clinic.
AB - Synthetic nucleic acids are commonly used laboratory tools for modulating gene
expression and have the potential to be widely used in the clinic. Progress
towards nucleic acid drugs, however, has been slow and many challenges remain to
be overcome before their full impact on patient care can be understood. Antisense
oligonucleotides (ASOs) and small interfering RNAs (siRNAs) are the two most
widely used strategies for silencing gene expression. We first describe these two
approaches and contrast their relative strengths and weaknesses for laboratory
applications. We then review the choices faced during development of clinical
candidates and the current state of clinical trials. Attitudes towards clinical
development of nucleic acid silencing strategies have repeatedly swung from
optimism to depression during the past 20 years. Our goal is to provide the
information needed to design robust studies with oligonucleotides, making use of
the strengths of each oligonucleotide technology.
PMID- 22069067
TI - [Epidemiological and economic impact of tetanus vaccination in Colombian adults].
AB - OBJECTIVE: Assess the potential epidemiological and economic impact of
vaccinating the over-15 Colombian population against tetanus with a booster dose
every 10 years. METHODS: A cost-effectiveness analysis of tetanus vaccination
with a booster dose every 10 years was conducted in Colombia and compared with
the current strategy (2, 4, 6, 18, and 60 months). Estimates of the burden of
disease were based on three official data sources. A Markov model from the
perspective of the third party payer was developed. The time horizon was the
lifetime of a person. Deterministic and probabilistic sensitivity analyses were
conducted. RESULTS: In Colombia, 30 to 48 cases of tetanus resulting in 9.6 to
10.1 deaths are reported each year. Although booster vaccination for the entire
population was cost-effective (the cost per disability-adjusted life year [DALY]
avoided was US$ 11,314 in the entire population), gender-based differentiation of
the results showed that it would not be cost-effective in women (cost per DALY
avoided was US$ 4,903 in men and US$ 22,332 in women). CONCLUSIONS: This is the
first study that evaluates the cost-effectiveness of a tetanus vaccine booster
dose every 10 years in a developing country. Use of this measure would be cost
effective in Colombia, especially for men. As a result of the gender-based
differences in the results, any decision about its use in women of childbearing
age should take current vaccination into account.
PMID- 22069068
TI - Implementation and progress of an inclusive primary health care model in
Guatemala: coverage, quality, and utilization.
AB - OBJECTIVE: To describe a primary health care model designed specifically for
Guatemala that has been implemented in two demonstration sites since 2004 and
present results of a process evaluation of utilization, service coverage, and
quality of care from 2005 to 2009. METHODS: Coverage, utilization, and quality
were assessed by using an automated database linking census and clinical records
and were reported over time. Key maternal and child health coverage measures were
compared with national-level measures. RESULTS: The postnatal coverage achieved
by the Modelo Incluyente de Salud of nearly 100.0% at both sites contrasts with
the national average of 25.6%. Vaccination coverage for children aged 12-23
months in the Modelo Incluyente de Salud reached 95.6% at site 1 (Bocacosta,
Solola) and 92.7% at site 2 (San Juan Ostuncalco), compared with the national
average of 71.2%. Adherence to national treatment guidelines increased
significantly at both sites with a marked increase between 2006 and 2007.
Utilization increased significantly at both sites, with only 7.5% of families at
site 1 and 11.2% of families at site 2 not using services by the end of the 5
year period. CONCLUSIONS: Coverage, quality of care, and utilization measures
increased significantly during the 5-year period when the service delivery model
was implemented. This finding suggests a strong possibility that the model may
have a benefit for health outcomes as well as for process measures. The Modelo
Incluyente de Salud will be financially sustained by the Ministry of Health and
extended to at least three additional sites. The model provides important lessons
for primary care programs internationally.
PMID- 22069069
TI - [Application of the intrinsic estimator to breast cancer mortality rates].
AB - OBJECTIVE: Assess use of the intrinsic estimator (IE) technique in epidemiology.
METHODS: The IE approach was applied to the analysis of breast cancer data in
Argentina in order to observe the trends associated with "age, period, and
cohort" (APC). This method involves the use of a principal components regression
to obtain a single set of estimated trends. The results were compared to the
findings obtained with the conventional method, which consists of adjusting a
generalized linear model that includes the traditional constraints of the
statistical model as well as an additional constraint (CGLM). RESULTS: Both
methods yielded compatible results in the trends associated with APC. However,
they differed in the confidence intervals, with IE yielding greater efficiency.
The curve associated with age showed the expected pattern of change across the
life course: the greater the age, the greater the risk. With regard to cohorts, a
decrease in the effects associated with the most recent cohorts was evident,
whereas there was very little variation in the estimated effects for the period.
CONCLUSIONS: A comparison of the results obtained with the IE method and the CGLM
method revealed the reach of the generic solution provided by the IE to the
problem of estimates in an APC model. The IE method is based on conversion of the
data observed using a weighting matrix that is simple to apply and provides
estimates with desirable statistical properties.
PMID- 22069070
TI - [Assessment of the impact of the NGO Refazer on medical care for children at
social risk].
AB - OBJECTIVE: Assess the impact of activities by the nongovernmental organization
Refazer in support of medical care for children at social risk. METHODS: A
longitudinal study was conducted of 77 patients from Rio de Janeiro in treatment
at the Fernandes Figueira Institute and supported by Refazer. The assessment
period was two years, one before and the other during the intervention period
while being supported by the NGO. The percentage of hospitalizations, average
length of stay, severity of the illness, use of technology, number of outpatient
consultations, and clinical conditions involved were compared. RESULTS: The
indicators linked with the hospitalizations revealed changes during the
intervention period. There was a reduction in the average time and percentage of
hospitalization. The most common pathologies were congenital malformations,
chromosomal anomalies, and diseases of the nervous system. These diseases and
HIV/AIDS were more prevalent in children that remained hospitalized even after
receiving support from the NGO. CONCLUSIONS: Analysis of the indicators showed
that the outcomes are adequate to the NGO's mission and that the population
helped benefits from participation in the social support network. Although it
cannot be stated that the benefits found are due exclusively to the activities of
the NGO, a simple and easy-to-use evaluation of adequacy was developed that NGOs
themselves can use, representing progress toward the institutional use of
evaluations by NGOs working in health.
PMID- 22069071
TI - Patterns and predictors of current cigarette smoking in women and men of
reproductive age-Ecuador, El Salvador, Guatemala, and Honduras.
AB - OBJECTIVE: To estimate smoking prevalence by gender, describe patterns of
cigarette use, and identify predictors of current smoking in reproductive-age
adults in four Latin American countries. METHODS: Self-reported smoking was
examined using data from Reproductive Health Surveys of women aged 15-49 years in
Ecuador (2004), El Salvador (2002-2003), Guatemala (2002), and Honduras (2001),
and of men aged 15-59 years in El Salvador, Guatemala, and Honduras for the same
years. Current smoking was assessed by demographic characteristics, and
independent associations were examined using logistic regression. Data were
weighted to be nationally representative of households with reproductive-age
women and men. RESULTS: Current smoking prevalence ranged from 2.6% (Guatemala)
to 13.1% (Ecuador) for women and from 23.1% (Guatemala) to 34.9% (El Salvador)
for men. In Ecuador, 67.6% of female smokers were non-daily users; in other
countries, daily use was more prevalent than non-daily use for both men and
women. In daily users, the median number of cigarettes smoked per day ranged from
1.9 (Ecuador, Honduras) to 2.3 (Guatemala) for women and from 2.1 (Guatemala) to
3.6 (Honduras) for men. In bivariate analysis, smoking prevalence in all
countries was highest in women who lived in urban areas, were previously married,
and/or had high socioeconomic status. Risk factors for smoking varied by country
and gender. CONCLUSIONS: National tobacco control programs in these countries
should aggressively target high-risk populations (reproductive-age men) and
maintain low prevalence in low-risk populations (reproductive-age women). More
research is needed to understand addiction patterns in non-daily smokers.
PMID- 22069072
TI - [Reducing hospitalization with the use of a dengue management algorithm in
Colombia].
AB - OBJECTIVE: Assess the impact of a dengue management algorithm on the
hospitalization rate of patients with suspected disease in a primary care health
facility in an endemic area of Colombia. METHODS: A quasi-experimental study was
conducted at the local hospital in Piedecuesta, Santander, Colombia, based on
comparison of two periods (18 weeks each), before and after use of the algorithm.
This included recommendations for clinical diagnosis of dengue and the planning
of follow-up visits and hemograms, as well as criteria for hospitalization and
the discontinuation of follow-up. Hospitalization rates in the two periods were
compared using the Poisson analysis. The population analyzed consisted of
patients seen in the facility for acute febrile syndrome. For adjustment
purposes, the number of dengue cases (IgM positive) identified in the
municipality was included. RESULTS: Information was obtained on 964 patients in
the first period and 1350 patients in the second. There were 44 and 13
hospitalizations during the respective periods. Use of the algorithm was
associated with a significant reduction in the hospitalization rate (ratio: 0.21;
95% confidence interval; 0.11-0.39). This association did not change when
adjusted for the number of dengue cases identified in the city. There were no
significant differences in the rate of follow-up visits (P = 0.85) and hemograms
(P = 0.24) in the two periods. There were no case fatalities. CONCLUSIONS: The
results suggest that health care resources for dengue management can be optimized
with the use of the algorithm.
PMID- 22069073
TI - Redefining personality disorder: a Jamaican perspective.
AB - OBJECTIVE: To characterize and assess the factor structure of phenomenological
features of DSM-IV personality disorder diagnosis in Jamaican patients and
determine any similarities with those of traditional criteria, associations with
disorder severity, and/or significant relationships between variables to inform
the current debate on the relevance of established personality disorder
diagnostics. METHODS: This was a case-control study. All the patients included
were seen by one private psychiatric practice from 1974 to 2007. The study sample
group (n = 351) were patients diagnosed as having a personality disorder (DSM-IV
Axis II). The control group was composed of patients with DSM-IV Axis I clinical
disorders, who had not been diagnosed with a personality disorder, and matched
exactly on gender, and closely on age, as well as socioeconomic variables.
RESULTS: Of the 351 individuals in the study sample group, 166 (47.3%) were male
and 185 (53.7%) were female; 50 (14.2%) were white and 301 (85.8%) were black;
293 (83.5%) were born and raised in Jamaica; and 202 (57.6%) were from
socioeconomic classes I and II. Mean age was 33.92 (standard deviation 10.236).
Disaggregating the phenomenology, the conventional DSM-IV personality disorder
diagnoses disappeared. Factor analysis of 38 clinical phenomena identified five
components: psychosis, major depression, power management problems, psychosexual
issues, and physiological dependency. Independent t-tests revealed patients
without personality disorder had significantly higher mean scores for psychosis;
both groups scored equally for depression; and those with personality disorder
had significantly higher mean scores on the remaining factors. Analysis of
variance indicated these factors differed significantly for three levels of
severity (mild, moderate, and severe). CONCLUSIONS: The phenomenology clustering
into three major groups suggested an Axis I (clinical) diagnostic disorder of
impulse control and authority and conflict management.
PMID- 22069074
TI - [Cross-cultural adaptation and analysis of the psychometric properties of the
Brazilian version of the Motor Activity Log].
AB - OBJECTIVE: To describe the translation into Brazilian Portuguese and cross
cultural adaptation of the Motor Activity Log (MAL), an instrument specifically
designed to assess function of the more severely affected upper limb in
hemiplegics. METHODS: The MAL was translated and adapted according to
standardized procedures and submitted to test-retest reliability assessment
(intraclass correlation coefficient, ICC). Other psychometric properties were
investigated using Rasch analysis in 77 chronic hemiplegics (41 men, mean age =
57.5 +/- 12.4 years). RESULTS: An excellent ICC (0.98) was obtained for the total
scores of both the quantity and quality MAL scales. When assessing degree of
difficulty, "using a key to unlock the door" was the most difficult item on the
quantity scale, whereas "washing hands" was the easiest one. On the quality
scale, the most difficult item was "using the TV remote control," and the easiest
one was "drying hands." The analyses showed that the set of items as a whole fit
into the model; however, the individual analyses indicated that four items did
not meet the expectations of the model in both the quantity (items 21, 16, 14,
and 13) and quality (items 9, 21, 23, and 22) scales. Irregular response patterns
were observed, and five subjects obtained the minimum score. There was
disagreement between item difficulty and sample ability, suggesting that the
abilities of individuals were below the degree of difficulty of the assessed
items. A significant correlation was observed between grip strength of the more
severely affected upper limb and motor skill measurement among individuals on the
quantity (r = 0.51, P < 0.0001) and quality scales (r = 0.57, P < 0.0001), and
also between the two scales when measuring the individuals' motor skills (r =
0.97, P < 0.0001). CONCLUSIONS: MAL-Brazil is potentially useful to evaluate the
more severely affected upper limb in Brazilian patients with chronic hemiplegia.
However, the instrument has limitations for use with individuals with severe limb
impairments. Also, construct validity was affected by the presence of irregular
score patterns. MAL-Brazil should be applied to additional samples to further
investigate its validity.
PMID- 22069076
TI - Situation of bovine tuberculosis in Ecuador.
AB - Bovine tuberculosis (BTB) is a chronic and contagious disease that affects
domestic animals, wildlife, and humans. Caused by Mycobacterium bovis, BTB causes
major economic losses and poses a serious constraint to international livestock
trade. Moreover, in developing countries where BTB controls are lacking, M. bovis
is a public health concern. In most developing countries, the prevalence of BTB
in livestock is unknown because the information is either not reported or not
available. In Ecuador, there is no national BTB control program. This article
reviews the BTB situation in Ecuador by examining exhaustive data from tuberculin
testing surveys and slaughterhouse surveillance studies conducted in 1972-2008 in
a variety of the country's geographic areas. In Ecuador, several factors,
including the dairy industry's expansion (preempted by the high demand for milk
and its by-products), intensified efforts to increase the cattle population, the
presence of M. bovis, and a lack of BTB controls, have caused a rise in BTB
prevalence, and consequently, a growing push for the implementation of a national
BTB control program.
PMID- 22069075
TI - [A health care model for the Wayuu people on the Colombian-Venezuelan border].
AB - At meetings and workshops with Wayuu communities and government officials from
Colombia and Venezuela, after validating the analysis of the health situation and
its determinants, the components of a binational health care model for the Wayuu
people living on the border between the two countries were identified. Although
both countries have made progress in intercultural health care, some aspects of
their legislation and service organization still need to be strengthened to make
the guaranteed right to health a reality. The allocation of new national
resources-or the redistribution of current resources-should be ensured, as well
as the management of international resources for conducting a short-term pilot
project and implementing the model in the medium term.
PMID- 22069077
TI - Comparison of Comet assay dose-response for ethyl methanesulfonate using freshly
prepared versus cryopreserved tissues.
AB - The National Toxicology Program (NTP) is using the Comet assay to evaluate
genotoxic potential, and is investigating the integration of this assay into
repeat-dose toxicity studies. To reduce sample-to-sample variability, address
logistical concerns associated with evaluating multiple tissues from many
animals, and accommodate sample collection at geographically distant testing
facilities, tissue samples collected for Comet analysis by the NTP are routinely
flash-frozen in liquid nitrogen and stored in a -80 degrees C freezer until
evaluation. To compare data obtained from frozen tissues to data from freshly
isolated tissues, we conducted a dose-response study in male Sprague Dawley rats.
Rats (5 per treatment group) were administered ethyl methanesulfonate (EMS; 0,
25, 50, 100, or 200 mg/kg) by gavage twice at an interval of 21 hr; blood, liver,
stomach, and colon tissues were harvested 3 hr after the second treatment. Single
cell preparations from each of the four tissues were put into Hank's balanced
salt solution with 10% fresh dimethyl sulfoxide. One aliquot of each tissue
preparation was used for immediate analysis, while additional aliquots were flash
frozen in liquid nitrogen and stored in a -80 degrees C freezer for 1 or 8 weeks.
One set of 8-week frozen samples was shipped roundtrip via air courier from
Research Triangle Park, NC to Rochester, NY prior to analysis. For all four
tissues, results from frozen, nontransported samples showed a similar dose
response pattern for EMS-induced genotoxicity. We also demonstrated that for
three tissues (blood, liver, stomach), air transport did not alter the
sensitivity of the Comet assay for detecting DNA damage.
PMID- 22069078
TI - Modulatory effects of acupuncture on resting-state networks: a functional MRI
study combining independent component analysis and multivariate Granger causality
analysis.
AB - PURPOSE: To investigate acupuncture specificity by exploring causal relationships
of brain networks following acupuncture at GB40 (Qiuxu), with the acupoint KI3
(Taixi) as a control (belonging to the same nerve segment but different
meridians). MATERIALS AND METHODS: Needling at acupoints GB40 and KI3 was
performed in 12 subjects separately. The specific coherent patterns, resting
state networks (RSNs), were retrieved by independent component analysis (ICA)
from functional magnetic resonance imaging (fMRI) data of resting state and post
acupuncture resting states, respectively. Then multivariate Granger causality
analysis (mGCA) was applied to evaluate the effective connectivity within and
among the detected RSNs-default model, memory, executive, auditory, and motor
brain networks. RESULTS: Following acupuncture at GB40, the strength of causal
connectivity between the superior temporal gyrus (STG) and anterior insula was
enhanced, while the connection strength between the STG and postcentral gyrus
increased following acupuncture at KI3. Additionally, the causal influences
within the auditory network increased following acupuncture at GB40, in
comparison with the executive network following acupuncture at KI3. CONCLUSION:
The current study demonstrates that acupuncture at different acupoints could
exert different modulatory effects on RSNs. Our findings may help to understand
the neurophysiological mechanisms underlying acupuncture specificity.
PMID- 22069079
TI - Quantification of kinase activity in cell lysates via photopatterned macroporous
poly(ethylene glycol) hydrogel arrays in microfluidic channels.
AB - The efficacy of tyrosine kinase inhibitors (TKIs) as cancer therapeutics varies
amongst individual patients as a result of patient-specific differences in
molecular regulation of cancer development and progression, and acquisition of
resistance to TKIs during therapy. A sensitive assay that can quantify kinase
activity and predict inhibition of that activity from minimally invasive patient
tissue samples may aid design of efficacious individualized TKI treatments. A
microfluidic format can be useful in reducing limitations in standard protein
kinase assays, including sensitivity required and low sample volume available. We
present photopatterned macroporous poly(ethylene glycol) diacrylate hydrogel
pillars functionalized with kinase substrates within microchannels for
quantifying kinase activity in complex cellular lysates. We determined the effect
of using a porogen to induce macroporosity in hydrogel pillars and showed that
hydrogel poration enhanced the sensitivity of detecting Bcr-Abl activity in cell
lysates by an order of magnitude. Bcr-Abl tyrosine kinase activity in K562 cell
lysates could be detected from 0.01 MUg/MUL of cell lysate, corresponding to
approximately 500 cells, using GST-Crkl immobilized in macroporous hydrogels.
This device was also capable of quantifying inhibition of Bcr-Abl activity by
imatinib mesylate, which demonstrates the potential to predict the biochemical
response to drug inhibitors. These results indicate that microfluidic devices
containing macroporous hydrogels functionalized with kinase substrates provide a
promising platform for sensitive and specific quantification of kinase activity
and efficacy of kinase inhibitors in cancer cell lysates.
PMID- 22069080
TI - Microstructuring of multiwell plates for three-dimensional cell culture
applications by ultrasonic embossing.
AB - Since three-dimensional (3D) cell culture models better reflect tissues in vivo
in terms of cell shape and microenvironment compared to conventional monolayer
cultures, 3D tissue culture substrates gain more importance for a wide range of
biological applications like drug discovery, toxicological studies, cancer and
stem cell research. In this study we developed a method for the fabrication of 3D
cell culture substrates in a multiwell plate format by microstructuring the
bottom of 96-well cell culture plates using an ultrasonic embossing process. The
resulting microstructured area consists of cubic microcavities in which adherent
multicellular aggregates can be formed. We performed the biological evaluation of
the system with the liver-derived human cell-line HepG2 and compared the novel
substrate with a commercially available 3D culture system comprising porous
alginate sponges. Metabolic activity (alamarBlue(r) reduction) and induction of
four biotransformation enzymes (EROD, ECOD, UGT, SULT) were determined by
fluorimetry or HPLC. Our results revealed that HepG2 cells in microstructured
plates showed a higher mitochondrial activity, as well as enzyme activity of ECOD
and UGT after treatment with an inducer when compared to cells cultured in
alginate sponges at otherwise comparable conditions. Since we have modified
standard cell culture plates, the obtained system is adaptable to automated
screening and might be useful for all kinds of cultures including adult,
progenitor and stem cells which need a 3D culture configuration to restore or
maintain the differentiated status.
PMID- 22069081
TI - Perception of the end position of a limb loaded with a weight.
AB - We examined the effect of loading a weight on the perception of the end position
of the limb. The participants haptically perceived where the end of their limb
was located while they swung the limb or statically held it. The results showed
that the loading of a weight influenced participants' perception only when they
swung the limb; when the weight was attached to a participant's hand, the
position was perceived to be farther from the body than when the weight was
attached to the forearm or no weight was attached. We also found that the end
position was generally underestimated when the participants swung their limb. On
the other hand, when the participants statically held their limb, the perceived
position was not affected by the loading of the weight and was rather precise.
These results suggest that the perceptual system for limb end position is
sensitive to changes in the surrounding haptic information caused by moving the
limb.
PMID- 22069083
TI - The impact of category separation on unsupervised categorization.
AB - Most previous research on unsupervised categorization has used unconstrained
tasks in which no instructions are provided about the underlying category
structure or in which the stimuli are not clustered into categories. Few studies
have investigated constrained tasks in which the goal is to learn predefined
stimulus clusters in the absence of feedback. These studies have generally
reported good performance when the stimulus clusters could be separated by a one
dimensional rule. In the present study, we investigated the limits of this
ability. Results suggest that even when two stimulus clusters are as widely
separated, as in previous studies, performance is poor if within-category
variance on the relevant dimension is nonnegligible. In fact, under these
conditions, many participants failed even to identify the single relevant
stimulus dimension. This poor performance is generally incompatible with all
current models of unsupervised category learning.
PMID- 22069082
TI - Curved apparent motion induced by amodal completion.
AB - We investigated whether amodal completion can bias apparent motion (AM) to
deviate from its default straight path toward a longer curved path, which would
violate the well-established principle that AM follows the shortest possible
path. Observers viewed motion sequences of two alternating rectangular tokens
positioned at the ends of a semicircular occluder, with varying interstimulus
intervals (ISIs; 100-500 ms). At short ISIs, observers tended to report simple
straight-path motion-that is, outside the occluder. But at long ISIs, they became
increasingly likely to report a curved-path motion behind the occluder. This
tendency toward reporting curved-path motion was influenced by the shape of
tokens, display orientation, the gap between tokens and the occluder, and
binocular depth cues. Our results suggest that the visual system tends to
minimize unexplained absence of a moving object, as well as its path length, such
that AM deviates from the shortest path when amodal integration of motion
trajectory behind the curved occluder can account for the objective invisibility
of the object during the ISI.
PMID- 22069084
TI - Productivity enhancement of C=C bioreductions by coupling the in situ substrate
feeding product removal technology with isolated enzymes.
AB - To overcome the usually low productivities of the C=C bond bioreduction of
alpha,beta-unsaturated aldehydes we combined the in situ substrate feeding
product removal (SFPR) technology with a cascade system comprising an isolated
ene-reductase and a chemoselective alcohol dehydrogenase.
PMID- 22069085
TI - Synthesis and in vitro enzymatic and antiviral evaluation of phosphoramidate d4T
derivatives as chain terminators.
AB - The anti-HIV activity of nucleoside analogues is highly related to their
substrate specificity for cellular and viral kinase and, as triphosphate, for HIV
RT. A series of phosphoramidate d4T derivatives have been synthesized and
evaluated as substrates for HIV-1 RT, and also tested for their in vitro anti-HIV
activity. Compounds 2 and 4 are able to inhibit HIV-1 replication to the same
extent as d4T and d4TMP in MT-4 cells as well as in CEM/0 cells and CEM/TK(-)
cells. The data suggests that these phosphoramidates are hydrolysed to d4T before
exerting their antiviral activity.
PMID- 22069086
TI - Dinuclear Rh(II) pyrazolates as CVD precursors for rhodium thin films.
AB - New dinuclear rhodium(II) pyrazolate (Pz) complexes of formula Rh(2)(3-R,5
R'Pz)(4).2L (R = R' = CF(3), L = H(2)O (1), CH(3)CN (2)) and Rh(2)(3-R,5-R'Pz)(4)
(R = R' = (t)Bu (3); R = CF(3), R' = (t)Bu (4)) have been synthesized from the
interaction of the lithium salt of the corresponding pyrazole with Rh(2)(OAc)(4)
in diethyl ether. The complexes were characterized by X-ray crystallography and
spectroscopic methods. They were further evaluated as precursors for the chemical
vapor deposition (CVD) of Rh thin films using H(2) as the carrier gas. The
resulting films were characterized by scanning electron microscopy (SEM), X-ray
diffraction (XRD) and X-ray photoelectron spectroscopy (XPS).
PMID- 22069087
TI - Risk factors for elevated INR values during warfarin therapy in hospitalized
pediatric patients.
AB - BACKGROUND: There are currently no data describing the incidence of or risk
factors for elevated International Normalized Ratio (INR) values in patients
receiving warfarin at a tertiary care pediatric hospital. By minimizing risk
factors for elevated INR values patient morbidity may be minimized. PROCEDURES: A
6-year retrospective chart review of inpatient admissions at our institution that
received warfarin was performed. Factors for elevated INR values, such as patient
demographics, disease state, and medication interactions were reviewed. Patients
with an INR value >= 0.5 above the upper limit of the goal range were compared to
patients without an elevated INR value. A logistic regression analysis was
performed to identify independent variables for elevated INR values. RESULTS: A
total of 298 patient admissions (184 patients) met study criteria, and the
largest patient population was between 1 and 12 years old (36.9%). The most
common indication for warfarin was mitral valve replacement (25.5%). An elevated
INR occurred in 20.8% of admissions. Logistic regression analysis identified
Asian race, an elevated baseline INR value, warfarin initiation after cardiac
surgery, days of inpatient warfarin therapy, and drug interactions with
ciprofloxacin and lansoprazole as independent variables for an elevated INR value
(P < 0.05). Obesity was significant as an independent variable for a non-elevated
INR value (P < 0.05). CONCLUSIONS: Elevated INR values occur often in patients
receiving warfarin while admitted to a tertiary care pediatric facility and
modifiable risk factors exist for elevated INR values.
PMID- 22069089
TI - Editorial: Biotechnology Journal shines the spotlight on ACB-2011.
PMID- 22069092
TI - Meeting report: ACB-2011 Shanghai - "biotechnology for better life".
PMID- 22069094
TI - Cancer hyperthermia using magnetic nanoparticles.
AB - Magnetic-nanoparticle-mediated intracellular hyperthermia has the potential to
achieve localized tumor heating without any side effects. The technique consists
of targeting magnetic nanoparticles to tumor tissue followed by application of an
external alternating magnetic field that induces heat through Neel relaxation
loss of the magnetic nanoparticles. The temperature in tumor tissue is increased
to above 43 degrees C, which causes necrosis of cancer cells, but does not damage
surrounding normal tissue. Among magnetic nanoparticles available, magnetite has
been extensively studied. Recent years have seen remarkable advances in magnetite
nanoparticle-mediated hyperthermia; both functional magnetite nanoparticles and
alternating-magnetic-field generators have been developed. In addition to the
expected tumor cell death, hyperthermia treatment has also induced unexpected
biological responses, such as tumor-specific immune responses as a result of heat
shock protein expression. These results suggest that hyperthermia is able to kill
not only local tumors exposed to heat treatment, but also tumors at distant
sites, including metastatic cancer cells. Currently, several research centers
have begun clinical trials with promising results, suggesting that the time may
have come for clinical applications. This review describes recent advances in
magnetite nanoparticle-mediated hyperthermia.
PMID- 22069095
TI - Evaluating (13)C enrichment data of free amino acids for precise metabolic flux
analysis.
AB - Metabolic flux analysis using (13)C enrichment data of intracellular free amino
acids (FAAs) can improve the time resolution of flux estimation compared to
analysis of proteinogenic amino acid data owing to the faster turnover times of
FAAs. The nature of the (13)C enrichment dynamics of FAAs remains obscure,
however, especially with regard to its dependence on culture conditions, even
though an understanding of dynamic behavior is important for precise metabolic
flux estimation. In this study, we analyzed the (13)C enrichment dynamics of free
and proteinogenic amino acids in a series of continuous culture experiments with
Escherichia coli. The results indicated that the effect of protein degradation on
the (13)C enrichment of FAAs was negligible under cellular growth conditions.
Furthermore, they showed that the time scale necessary for (13)C enrichment
dynamics of FAAs to reach a steady state depends on culture conditions such as
oxygen uptake rate, which was likely due to different pool sizes of intracellular
metabolites. The results demonstrate the importance of analyzing (13)C enrichment
dynamics for the precise estimation of metabolic fluxes using FAA data.
PMID- 22069097
TI - A special issue on sleep.
PMID- 22069098
TI - Immunohistochemical detection of dopamine D2 receptors in neuroendocrine tumours.
AB - BACKGROUND: Recently, dopamine D2 receptors (RD2) have been found to be expressed
in neuroendocrine tumours (NET), the tumours which arise from the diffuse
neuroendocrine cells. Moreover, successful trials of the treatment of NET with
cabergoline - D2 agonist, have been reported. These findings increase the
interest of investigating RD2 expression in NET. MATERIAL AND METHODS: The
expression of RD2 was investigated immunohistochemically using the antibody which
recognises both short (S) and long (L) isoforms of the receptor in 17 NET samples
taken from 15 patients. RESULTS: In 17 NET samples, a positive reaction with the
anti-RD2 antibody occurred in 11 cases. In six cases, the localisation of the
immunostaining was cytoplasmic and in nine cases it was nuclear. Only in one case
was the receptor cell membrane-located, and in two cases the immunoreaction was
also localised in the blood vessels walls. The relation between RD2 expression
and the grade of malignancy examined by means of Ki-67 antigen expression needs
further study. However, preliminary observations indicate that the nuclear
localisation of RD2 is linked to higher tumour malignancy. The next investigated
question was the co-expression of somatostatin and dopamine receptors. This
question seems important because of the perspectives of somatostatin-dopamine
chimeras application in NET treatment. In the samples examined by us, RD2 were co
expressed in 5/10 cases with sstr1, in 3/10 with sstr2A, in 2/9 with sstr2B, in
3/10 with sstr3, and in 5/10 with sstr5. CONCLUSION: Dopamine D2 receptors are
revealed by means of immunohistochemistry in the majority of NET. They exhibit
cytoplasmic and/or nuclear localisations, the latter being possibly linked to a
higher grade of malignancy, and are often co-expressed with somatostatin
receptors (mostly with subtypes1 and 5).
PMID- 22069099
TI - Efficacy and safety of 90Y-DOTATATE therapy in neuroendocrine tumours.
AB - BACKGROUND: The aim of this study was to assess the efficacy and toxicity of
peptide receptor radionuclide therapy (PRRT) with the use of the high affinity
somatostatin receptor subtype 2 analogue, (90)Y labelled Tyr3-octreotate, ((90)Y
DOTATATE) in neuroendocrine tumours (NETs). MATERIAL AND METHODS: 46 patients
with disseminated or non-operable NET were enrolled in this study. The (90)Y
DOTATATE therapeutic activity was calculated per total body surface area up to a
total of 7.4 GBq/m(2) administered in three to five cycles, repeated every four
to nine weeks. Before and after the therapy, blood tests for haematology, kidney
and liver function, and chromogranin A were performed. RESULTS: Out of 46 (90)Y
DOTATATE treated patients, one died before completing the therapy and 16 died
after completing the therapy, among them one due to myocardial infarction. After
12 month follow-up, stabilisation of disease was observed in 47%, partial
remission in 31%, and progression in 9% of the 45 patients who completed the
therapy. Five patients died before completion of 12 months of follow-up. One of
the patients died due to myocardial infarction. In one case, the information
after 12 months is incomplete. The progression free survival was 37.4 months.
During 12 months follow-up, transient decrease of PLT, WBC and haemoglobin values
was observed. A transient increase of creatinine level (within normal ranges) and
decrease of GFR values were found. CONCLUSIONS: NETs (90)Y-DOTATATE therapy
results in symptomatic relief and tumour mass reduction. The mild critical organ
toxicity does not limit the PRRT of NETs.
PMID- 22069100
TI - The predictive value of the IGF-1 level in acromegaly patients treated by surgery
and a somatostatin analogue.
AB - BACKGROUND: We evaluated the predictive value of IGF-1 against hGH in the
treatment outcome. MATERIAL AND METHODS: A prospective study was undertaken of 47
patients (mean age 41.1 +/- 12.9 years; 44 with macroadenoma and 3 with
microadenoma), requiring treatment with octreotide LAR (SSLAR) following
incomplete surgery. Concentrations of hGH and IGF-1 were measured three months
after surgery and three, six, nine, 12, 18, and 24 months after introducing
SSLAR. RESULTS: Following surgery, respective median values of hGH and IGF-1
concentrations were 5.55 ng/mL (IQR = 7.1) and 512.7 ng/mL (IQR = 379.5). After
six, 12, and 24 months of SSLAR treatment, median values of hGH decreased
significantly: to 2.95 ng/mL (IQR = 5.5, p < 0.05), 2.95 ng/mL (IQR = 4.4, p <
0.05) and 2.00 ng/mL (IQR = 3.6, p < 0.001), respectively. After six, 12, and 24
months of SSLAR treatment, the respective median IGF-1 concentrations
significantly decreased to 384.5 ng/mL (IQR = 312.2, p < 0.01), 323.0 ng/mL (IQR
= 230.3, p < 0.001) and 334.0 ng/mL (IQR = 328.9, p < 0.01). The differences
between median hGH and IGF-1 concentrations at 12 and 24 months were not
significant. A statistically significant correlation was found between IGF-1
concentration prior to and after surgery (R = 0.61, p < 0.05) and prior to SSLAR
treatment and IGF-1 concentration 24 months later (R = 0.49, p < 0.05). No such
correlation was observed for hGH. CONCLUSIONS: The level of IGF-1 prior to
surgery and prior to SSLAR treatment is a better predictor of the treatment
outcome than hGH. Octreotide LAR was most effective over the first 12 months of
treatment. No further significant decrease of hGH or IGF-1 levels was observed
past this period.
PMID- 22069101
TI - Fibrinogen and D-dimers levels in patients with hyperthyroidism before and after
radioiodine therapy.
AB - BACKGROUND: Various abnormalities of haemostasis have been described in patients
with hyperthyroidism. The results of different studies point to the underlying
thyroid disease, especially severity of hyperthyroidism and autoimmune processes,
as important factors contributing to coagulation-fibrinolytic balance. The
objective of this study was to investigate the association between
hyperthyroidism (concerning severity of thyroid dysfunction and anti-thyroid
perioxidase antibodies level) and plasma fibrinogen and D-dimers levels before
and after radioiodine therapy. MATERIAL AND METHODS: The study included 35 non
smoking, postmenopausal women, aged 51-69, with subclinical or overt
hyperthyroidism treated with radioiodine. Analysis comprised serum TSH (thyroid
stimulating hormone), fT4 (free thyroxine), fT3 (free triiodothyronine), TPO
antibodies (anti-thyroid perioxidase) levels, and plasma D-dimers and fibrinogen
levels before and 12-16 weeks and 24-28 weeks after radioiodine therapy. RESULTS:
Elevated fibrinogen (3.82 g/L +/- 0.75, reference range 2-4.5 g/L) and D-dimers
(674.26 ng/mL +/- 652.71, reference range 70-490 ng/mL) levels were observed in
subjects with hyperthyroidism. They decreased after radioiodine therapy. A
negative correlation between plasma fibrinogen and D-dimers levels and anti
thyroid perioxidase antibodies level was found. TSH, fT4 and fT3 correlated with
D-dimers level in overt hyperthyroidism. CONCLUSIONS: Hyperthyroidism is
associated with a tendency toward hypercoagulation and hyperfibrinolysis. The
changes observed in plasma fibrinogen and D-dimers levels are reversible.
Fibrinogen level decreases within reference range and D-dimers level decreases
almost to the upper reference range. They depend on severity and autoimmunity of
the underlying thyroid disease and may be modified by restoring euthyroidism.
PMID- 22069102
TI - Age, place of living and education influences the pregnancy universal thyroid
function screening program attendance - questionnaire study.
AB - BACKGROUND: The aim of this study was to assess attendance at the universal
screening programme for thyroid function in pregnancy and attempt to evaluate the
influence of age, number of past pregnancies, level of education, and place of
residence on the attendance. The study was performed by means of a questionnaire.
MATERIAL AND METHODS: Our study was performed on the basis of an anonymous
questionnaire handed out to 543 women aged 16-45 years, on the third day of their
puerperal stay in one of five obstetric wards in southern Poland. The
questionnaire contained questions about participation in plasma level
measurements of TSH, fT4, total T4, thyroid antibodies or thyroid ultrasound
scanning at least once in pregnancy. RESULTS: The rate of attendance at any
examination of thyroid function among pregnant women was 26.7%. The highest
attendance rate (32.7%) was found among women living in provincial capitals or
with higher education (41.3%), whereas the lowest was among women who had
completed only primary school (11%) and those living in county towns (15%). The
number of previous pregnancies did not influence the thyroid screening
attendance. Women over 21 years of age participated in this screening programme
more frequently (27.1-30%). CONCLUSION: Less than one third of pregnant women
participated in the thyroid function screening. Place of living, education level,
and age were the main factors influencing the attendance rate.
PMID- 22069103
TI - Effects of thyroid autoimmunity on abdominal obesity and hyperlipidaemia.
AB - BACKGROUND: Thyroid autoimmunity has been suggested as a risk factor for
atherosclerosis independent of thyroid function in several studies. The aim of
this study was to investigate whether thyroid autoimmunity had any effect on
hyperlipidaemia, obesity and abdominal obesity independent of thyroid function.
MATERIAL AND METHODS: 184 premenopausal female patients with Hashimoto's
thyroiditis (HT) and 150 healthy premenopausal female volunteers as control group
(CG) were included in the study. According to thyroid function status, the
patients were divided into three subgroups: overt hypothyroid patients (ohp),
subclinical hypothyroid patients (shp) and euthyroid patients (ep). Body mass
index (BMI), waist to hip ratios, waist circumference (WC), and serum lipid
levels of all the participants were determined. These parameters of ep were
compared with those of ohp, shp and CG. Relationships among thyroid stimulating
hormone (TSH), thyroid autoantibodies and lipid levels were investigated.
RESULTS: There were no significant differences between serum total cholesterol
and low density lipoprotein cholesterol (LDL-C) levels of ohp and ep with HT (P =
0.18, P = 0.07 respectively) and LDL-C levels of ep were higher than those of CG
(P = 0.03, P = 0.042, respectively). Although TSH levels did not correlate with
serum lipid levels, levels of anti-thyroid peroxidase antibody correlated with
triglyceride levels and WCs (r = 0.158; P = 0.013, r = 0.128; P = 0.048
respectively) and negatively correlated with high density lipoprotein cholesterol
(HDL-C) levels (r = -0.137; P = 0.031). Levels of anti-thyroglobulin antibody
also correlated with triglyceride and nonHDL-C levels (r = 0.208; P = 0.007, r =
0.158; P = 0.043 respectively). CONCLUSION: Thyroid autoimmunity may have some
effects on hyperlipidaemia and abdominal obesity independent of thyroid function.
PMID- 22069104
TI - Relation of leptin, adiponectin and insulin resistance to bone mineral density in
type 2 diabetic postmenopausal women.
AB - BACKGROUND: Our aim is to identify the relation of leptin, adiponectin and
insulin resistance to bone mineral density (BMD) in type 2 diabetic
postmenopausal women and compare it with that experienced by nondiabetics.
MATERIAL AND METHODS: Seventy six postmenopausal female patients were included in
the study. Postmenopausal type 2 diabetic (n = 19) and nondiabetic patients (n =
19) with spine and/or hip BMD T score lower than -2 were included in the study,
and postmenopausal type 2 diabetic (n = 20) and nondiabetic women (n = 18) with
normal BMD (T score > -1) were selected as control groups. Those receiving
therapy for osteoporosis, over the age of 65, those who had a disease and were
taking a medication that could affect bone metabolism were excluded. Biochemical
tests, as well as leptin, adiponectin and insulin levels, were measured and
insulin resistance was calculated using the HOMA test. RESULTS: There was no
correlation between low BMD and leptin, adiponectin and insulin resistance. There
was only a negative correlation between leptin and femur Ward's triangle BMD.
CONCLUSION: Further large-scale studies must to be performed in order to analyse
the effects of leptin, adiponectin and insulin resistance on bone metabolism in
type 2 diabetic patients.
PMID- 22069105
TI - Increased risk of type 1 diabetes in Polish children - association with INS-IGF2
5'VNTR and lack of association with HLA haplotype.
AB - BACKGROUND: Human leukocyte antigens (HLA) complex and INS-IGF2 5'VNTR loci are
principal determinants of the risk of type 1 diabetes mellitus (T1DM). Carriage
of class III allele is protective, while class I/I homozygosity increases the
risk of T1DM. MATERIAL AND METHODS: HLA and 5'VNTR allele frequencies were
summarised and multivariate logistic regression models with interaction
evaluation were employed to determine the presence and types of allele effect
interdependency. The study group was planned to number 590 children who would
undergo genotyping of 5'VNTR and HLA. RESULTS: 590 patients (302 with T1DM and
288 controls) were recruited. Frequencies of HLA risk alleles were: 117 carriers
of DR3-DQ2; 130 carriers of DR4-DQ8 including 43 DR3-DQ2/DR4-DQ8 heterozygotes.
In all cases, risk alleles were vastly overrepresented in the T1DM group compared
to the controls (p < 0.0001 in all cases). The most frequent protective haplotype
was DQB1 * 0602 observed in 24 controls and two T1DM cases (p < 0.001). Class I
5'VNTR homozygotes constituted 58% of the control group (n = 174) and 78% (n =
224) of T1DM patients [OR = 2.63 (95% CI: 1.79-3.57)]. Interactions between
5'VNTR and DR3-DQ2 or DR4-DQ8 variants did not reach statistical significance for
risk of developing T1DM (p = 0.54 and 0.24) or age at its diagnosis (p = 0.14 and
0.67 respectively). CONCLUSIONS: Interactions between HLA and 5'VNTR genotype are
not of multiplicative character. Class I homozygosity at 5'VNTR is a significant
risk factor of T1DM and acts independently from HLA haplotype in determining the
actual risk of diabetes in children.
PMID- 22069106
TI - Vascular endothelial growth factor (VEGF) - part 1: in physiology and
pathophysiology.
AB - Angiogenesis is an important component of many physiological processes, such as
the female sexual cycle, placenta formation, the processes of growth and
differentiation of tissues, and reparative processes including wound healing,
fracture repair, and liver regeneration. The formation of new blood vessels
during angiogenesis and vasculogenesis allows the growth and functioning of
multicellular organisms. Pathological angiogenesis most commonly occurs in
ischaemic, inflammatory and neoplastic diseases. Conditions in the pathogenesis
of which angiogenesis plays an important role are sometimes labelled angiogenic
diseases. To date, a number of pro-and anti-angiogenic factors have been defined.
VEGF is the only specific mitogen for endothelial cells. It stimulates their
growth and inhibits apoptosis, increases vascular permeability in many tissues,
promotes vasculogenesis and angiogenesis. VEGF signalling activity in relation to
the cell is dependent on having its specific membrane receptors (Flt-1, KDR, Flt
4). Angiogenesis plays a protective role in ischaemic heart disease and
myocardial infarction. Angiogenesis extends life for patients after a stroke.
Most of the facts about physiological angiogenesis are derived from studies into
liver regeneration as a result of an acute injury or partial hepatectomy.
Pathological hepatic angiogenesis occurs in the course of inflammation, fibrosis,
hypoxia, and during tumourogenesis. There is interesting data relating to liver
steatosis and obesity.
PMID- 22069107
TI - Vascular endothelial growth factor (VEGF) - part 2: in endocrinology and
oncology.
AB - Endocrine glands are well vascularised and the structure of their vessels
facilitates the exchange of various substances, including hormones. These glands
are a frequent experimental model in research on VEGF and angiogenesis. VEGF
participates in the pathogenesis of diabetes. Diabetic nephropathy is in essence
a microvascular disease that develops as a result of a confluence of haemodynamic
and metabolic perturbations. Diabetic retinopathy is the commonest microvascular
complication of diabetes mellitus and is the leading cause of blindness. In
diabetic retinopathy, ischaemic states, and hence tissue hypoxia and
angiogenesis, take place. The participation of angiogenesis and VEGF in the
pathogenesis of neoplastic disease has been described in many papers. VEGF
protein and mRNA have been found in cancers of the thyroid, bronchus, lungs,
oesophagus, stomach, colon, liver, breast, ovary, uterus, kidney, and urinary
bladder, and in malignant tumours of the brain and bone. There have been many
reports of the connections between the degree of VEGF expression and tumour
aggression and prognosis in patients. Richly vascularised are GEP NET. In
neuroendocrine tumours, strong expression of VEGF, Flt-1 and KDR in relation to
the unchanged surrounding tissues has been demonstrated. Depending on the disease
entity or the degree of its severity, attempts to apply angiogenic and
antiangiogenic therapy have being made. Antiangiogenic therapy (usually regarded
as a form of cancer therapy) is based on: 1. inhibitory effects of proangiogenic
ligands and their receptors; 2. stimulation or delivery of angiogenesis
inhibitors; and 3. direct destruction of neoplastic tumour vasculature.
PMID- 22069108
TI - Suppurative thyroiditis caused by Salmonella enteritidis.
AB - Bacterial thyroiditis is a rare disease, and one of which the clinical symptoms
and signs are frequently misleading. On the other hand, prompt diagnosis is
crucial for successful treatment. We report the case of an 82 year-old man with
diabetes mellitus type 2 and a history of steroid treatment who presented with
severe odynophagia and dysphagia associated with fever, chills, sore throat and
right ear pain. Based on the clinical picture, radiological studies, thyroid
cytology, blood and thyroid aspirate culture, suppurative thyroiditis caused by
Salmonella enteritidis was diagnosed. The patient was successfully treated with
antibiotics and surgical drainage.
PMID- 22069109
TI - Transplantation osteoporosis.
AB - Transplantation provides a valuable, often life-saving, treatment for end-stage
failure of many organs, including the heart, kidneys, liver, pancreas and lungs.
It is also an important therapeutic option in diseases of the bone marrow and the
immune system. Despite the undoubted benefits for transplant patients, it is
associated with an increased risk of many complications. The potential causes
include: poor general health of the patient, heavy burden of the surgery itself
and the need for the long-term use of immunosuppression. In addition, the
patients are also on numerous other medications, e.g. anti-coagulants, diuretics.
Osteoporosis and high risk of fractures have emerged as frequent and devastating
complications of the transplantation process. This article provides a review of
the current literature on osteoporosis after transplantation, and the treatment
options for this serious illness.
PMID- 22069110
TI - Improved synthesis and mutagenicity of oligonucleotides containing 5
hydroxymethylcytosine, 5-formylcytosine and 5-carboxylcytosine.
AB - 5-Formylcytosine (fC or (5-CHO)dC) and 5-carboxylcytosine (caC or (5-COOH)dC)
have recently been identified as constituents of mammalian DNA. The nucleosides
are formed from 5-methylcytosine (mC or (5-Me)dC) via 5-hydroxymethylcytosine
(hmC or (5-HOMe)dC) and are possible intermediates of an active DNA demethylation
process. Here we show efficient syntheses of phosphoramidites which enable the
synthesis of DNA strands containing these cytosine modifications based on Pd(0)
catalyzed functionalization of 5-iododeoxycytidine. The first crystal structure
of fC reveals the existence of an intramolecular H-bond between the exocyclic
amine and the formyl group, which controls the conformation of the formyl
substituent. Using a newly designed in vitro mutagenicity assay we show that fC
and caC are only marginally mutagenic, which is a prerequisite for the bases to
function as epigenetic control units.
PMID- 22069111
TI - Antidepressant effects of magnetic resonance imaging--based stimulation on major
depressive disorder: a double-blind randomized clinical trial.
AB - Antidepressant effects of magnetic resonance imaging (MRI) based stimulation have
been reported in animal studies, but no human studies are available on subjects
with major depressive disorder. Here, the efficacy of two diagnostic MRI
protocols (echo-planar diffusion-weighted imaging (DWI) and T1-weighted imaging)
was assessed in patients with major depressive disorder. In this double-blind
randomized clinical trial, 51 patients with clinically proven major depressive
disorder were randomly enrolled into three equal groups. All patients were
receiving a selective serotonin reuptake inhibitor as the only antidepressant
treatment. The first group received echo-planar DW stimulation (DWI group), the
second group received T1-weighted stimulation (T1 group), and the third group
experienced a similar condition without receiving any magnetic stimulation (sham
group). The Hamilton rating scale for depression (HAMD24) and Beck depression
inventory (BDI) were used to assess the effect of MR stimulation on depressive
symptoms. In comparison to baseline, mean HAMD24 and BDI scores significantly (p
< 0.001) decreased in the DWI (by 35% and 39%) and T1 (by 38% and 39%) groups 2
weeks after MR stimulation. In the sham group, reduction in HAMD24 (19%, p =
0.04) and BDI score (15%, p = 0.07) were lower than the MR stimulation groups.
Two weeks after the MR experiments, changes in mean HAMD24 score and BDI score
were significantly (p < 0.05) higher in subjects treated with MR stimulation (DWI
or T1) vs. sham group. In conclusion, this study demonstrated the antidepressant
effects of DWI and T1 MRI protocols. Our results may point to usefulness of MR
stimulation for clinical use in patients with major depressive disorder.
PMID- 22069112
TI - Barriers to staff adoption of a surgical safety checklist.
AB - OBJECTIVE: Implementation of a surgical checklist depends on many organisational
factors and on socio-cultural patterns. The objective of this study was to
identify barriers to effective implementation of a surgical checklist and to
develop a best use strategy. SETTING: 18 cancer centres in France. DESIGN: The
authors first assessed use compliance and completeness rates of the surgical
checklist on a random sample of 80 surgical procedures performed under general or
loco-regional anaesthesia in each of the 18 centres. They then developed a
typology of the organisational and cultural barriers to effective checklist
implementation and defined each barrier's contents using data from collective and
semi-structured individual interviews of key staff, the results of an email
questionnaire sent to the 18 centres, and direct observations over 20 h in two
centres. RESULTS: The study consisted of 1440 surgical procedures, 1299
checklists, and 28 578 items. The mean compliance rate was 90.2% (0, 100). The
mean completion rate was 61% (0, 84). 11 barriers to effective checklist
implementation were identified. Their incidence varied widely across centres. The
main barriers were duplication of items within existing checklists (16/18
centres), poor communication between surgeon and anaesthetist (10/18), time spent
completing the checklist for no perceived benefit, and lack of understanding and
timing of item checks (9/18), ambiguity (8/18), unaccounted risks (7/18) and a
time-honoured hierarchy (6/18). CONCLUSIONS: Several of the barriers to the
successful implementation of the surgical checklist depended on organisational
and cultural factors within each centre. The authors propose a strategy for
change for checklist design, use and assessment, which could be used to construct
a feedback loop for local team organisation and national initiatives.
PMID- 22069114
TI - Do older patients' perceptions of safety highlight barriers that could make their
care safer during organisational care transfers?
AB - BACKGROUND: Healthcare is a series of complex, interwoven systems in which any
discontinuities of care may affect the safety of patients, who have been reported
to perceive safety differently to clinicians. This study aimed to explore patient
perceptions of safety and identify how they can be used to construct additional
barriers to reduce safety incidents within organisational care transfers, which
are known to be high in risk. DESIGN: Appreciative Inquiry (AI) methodology was
used to develop semi-structured interviews, using the Discover and Dream
processes of AI. Fourteen patients (four men, 10 women; average age 76.2 years)
were purposively recruited from NHS community care teams, social care homes and
private nursing homes based on their experience of going through organisational
care transfers. Thematic analysis was used to highlight key themes, which
participants verified. FINDINGS: Communication, responsiveness and avoidance of
traditional safety risks were identified as being important for patients to feel
safe. Communication and responsiveness were mapped onto the Swiss-Cheese model of
safety, presenting two new barriers to safety incidents. Traditional risks and
the role of trust are discussed in relation to patients feeling safe. CONCLUSION:
Perceptions of safety such as communication and responsiveness were similar to
those found in previous studies. Mapping these perceptions onto the Swiss-Cheese
model of safety identifies how further defences, barriers and safeguards can be
constructed to make people feel safer by reinforcing communication and
responsiveness. Traditional risks are widely published, but the identification by
patients reinforces the role they can play in identifying and reporting these
risks.
PMID- 22069113
TI - Effects of a multicentre teamwork and communication programme on patient
outcomes: results from the Triad for Optimal Patient Safety (TOPS) project.
AB - BACKGROUND: Improving communication between caregivers is an important approach
to improving safety. OBJECTIVE: To implement teamwork and communication
interventions and evaluate their impact on patient outcomes. DESIGN: A
prospective, interrupted time series of a three-phase INTERVENTION: a run-in
period (phase 1), during which a training programme was given to providers and
staff on each unit; phase 2, which focused on unit-based safety teams to identify
and address care problems using skills from phase 1; and phase 3, which focused
on engaging patients in communication efforts. SETTING: General medical inpatient
units at three northern California hospitals. PATIENTS: Administrative data were
collected from all adults admitted to the target units, and a convenience sample
of patients interviewed during and after hospitalisation. MEASUREMENTS:
Readmission, length of stay and patient reports of teamwork, problems with care,
and overall satisfaction. RESULTS: 10 977 patients were admitted; 581 patients
(5.3% of total sample) were interviewed in hospital, and 313 (2.9% overall, 53.8%
of interviewed patients) completed 1-month surveys. No phase of the study was
associated with adjusted differences in readmission or length of stay. The phase
2 intervention appeared to be associated with improvement in reports of whether
physicians treated them with respect, whether nurses treated them with respect or
understood their needs (p<0.05 for all). Interestingly, patients were more likely
to perceive that an error took place with their care and agreed less that their
caregivers worked well together as a team. No phase had a consistent impact on
patient reports of care processes or overall satisfaction. Limitations The study
lacks direct measures of patient safety. CONCLUSIONS: Efforts to simultaneously
improve caregivers' ability to troubleshoot care and enhance communication may
improve patients' perception of team functions, but may also increase patients'
perception of safety gaps.
PMID- 22069115
TI - Getting the message: a quality improvement initiative to reduce pages sent to the
wrong physician.
AB - BACKGROUND: One in seven pages are sent to the wrong physician and may result in
unnecessary delays that potentially threaten patient safety. The authors aimed to
implement a new team-based paging process to reduce pages sent to the wrong
physician. METHODS: The authors redesigned the paging process on general internal
medicine (GIM) wards at a Canadian academic medical centre by implementing a
standardised team-based paging process (pages directed to one physician
responsible for receiving pages on behalf of the entire physician team) using
rapid-cycle change methods. The authors evaluated the intervention using a
controlled before-after study design by measuring pages sent to the wrong
physician before and after implementation of the redesigned paging process.
RESULTS: Pages sent to the wrong physician from the GIM (intervention) wards
decreased from 14% to 3% (11% reduction), while pages sent to the wrong physician
from control wards fell from 13% to 7% (6% reduction). The difference between the
intervention wards and the control wards was significant (5% greater reduction in
the intervention group compared with the control group, p=0.008). Nurses were
more satisfied with team-based paging than the existing paging process. Team
based paging may, however, introduce changes in communication workflow that lead
to increased paging interruptions for certain members of the physician team.
CONCLUSIONS: The authors successfully redesigned the hospital's paging process to
decrease pages sent to the wrong physician. They recommend that the frequency of
pages sent to the wrong physician is measured and changes be implemented to
paging processes to reduce this error.
PMID- 22069116
TI - Systems human factors: how far have we come?
PMID- 22069117
TI - Mothers' differential treatment of adolescent siblings: predicting college
attendance of sisters versus brothers.
AB - Current estimates suggest that by 2015, 60% of college students will be women, a
change since 1970 when 59% were men. We investigated family dynamics that might
explain the growing gender gap in college attendance, focusing on an ethnically
diverse sample of 522 mixed sex sibling dyads from the National Longitudinal
Study of Adolescent Health. We examined whether the difference between sisters'
and brothers' reports of their mothers' expectations for, and involvement in,
their education during adolescence predicted their differential odds of college
attendance seven years later. Sisters were more likely than brothers to attend
college, and this gap was more pronounced among non-Whites and non-Asians.
Sisters also had higher grades in school than their brothers. Although there were
no gender differences overall in maternal educational expectations or
involvement, brothers reported greater maternal involvement than sisters in non
White and non-Asian families. After controlling for family background factors,
the average of siblings' reports of maternal treatment, and differences between
siblings' grades, the results revealed that as sisters reported greater maternal
educational expectations than their brothers, it became more likely that only the
sister rather than only the brother in the family attended college. The
difference between brothers' and sisters' reports of their mothers' educational
involvement and their odds of attending college showed the same pattern of
association but was not statistically significant. These results suggest that
within-family social comparisons may play a role in sisters' and brothers'
choices about attending college.
PMID- 22069118
TI - Domestication bottlenecks limit genetic diversity and constrain adaptation in
narrow-leafed lupin (Lupinus angustifolius L.).
AB - In contrast to most widespread broad-acre crops, the narrow-leafed lupin (Lupinus
angustifolius L.) was domesticated very recently, in breeding programmes isolated
in both space and time. Whereas domestication was initiated in Central Europe in
the early twentieth century, the crop was subsequently industrialized in
Australia, which now dominates world production. To investigate the ramifications
of these bottlenecks, the genetic diversity of wild (n = 1,248) and domesticated
populations (n = 95) was characterized using diversity arrays technology, and
adaptation studied using G * E trials (n = 31) comprising all Australian
cultivars released from 1967 to 2004 (n = 23). Principal coordinates analysis
demonstrates extremely limited genetic diversity in European and Australian
breeding material compared to wild stocks. AMMI analysis indicates that G * E
interaction is a minor, albeit significant effect, dominated by strong responses
to local, Western Australian (WA) optima. Over time Australian cultivars have
become increasingly responsive to warm, intermediate rainfall environments in the
northern WA grainbelt, but much less so to cool vegetative phase eastern
environments, which have considerably more yield potential. G * E interaction is
well explained by phenology, and its interaction with seasonal climate, as a
result of varying vernalization responses. Yield differences are minimized when
vegetative phase temperatures fully satisfy the vernalization requirement
(typical of eastern Australia), and maximized when they do not (typical of WA).
In breeding for WA optima, the vernalization response has been eliminated and
there has been strong selection for terminal drought avoidance through early
phenology, which limits yield potential in longer season eastern environments.
Conversely, vernalization-responsive cultivars are more yield-responsive in the
east, where low temperatures moderately extend the vegetative phase. The
confounding of phenology and vernalization response limits adaptation in narrow
leafed lupin, isolates breeding programmes, and should be eliminated by widening
the flowering time range in a vernalization-unresponsive background.
Concomitantly, breeding strategies that will widen the genetic base of the
breeding pool in an ongoing manner should be initiated.
PMID- 22069119
TI - Identification, validation and high-throughput genotyping of transcribed gene
SNPs in cassava.
AB - The availability of genomic resources can facilitate progress in plant breeding
through the application of advanced molecular technologies for crop improvement.
This is particularly important in the case of less researched crops such as
cassava, a staple and food security crop for more than 800 million people. Here,
expressed sequence tags (ESTs) were generated from five drought stressed and well
watered cassava varieties. Two cDNA libraries were developed: one from root
tissue (CASR), the other from leaf, stem and stem meristem tissue (CASL).
Sequencing generated 706 contigs and 3,430 singletons. These sequences were
combined with those from two other EST sequencing initiatives and filtered based
on the sequence quality. Quality sequences were aligned using CAP3 and embedded
in a Windows browser called HarvEST:Cassava which is made available.
HarvEST:Cassava consists of a Unigene set of 22,903 quality sequences. A total of
2,954 putative SNPs were identified. Of these 1,536 SNPs from 1,170 contigs and
53 cassava genotypes were selected for SNP validation using Illumina's GoldenGate
assay. As a result 1,190 SNPs were validated technically and biologically. The
location of validated SNPs on scaffolds of the cassava genome sequence (v.4.1) is
provided. A diversity assessment of 53 cassava varieties reveals some sub
structure based on the geographical origin, greater diversity in the Americas as
opposed to Africa, and similar levels of diversity in West Africa and southern,
eastern and central Africa. The resources presented allow for improved genetic
dissection of economically important traits and the application of modern
genomics-based approaches to cassava breeding and conservation.
PMID- 22069120
TI - Analysis of current treatments used in clinical practice in a pediatric summer
camp population for children with inflammatory bowel disease.
AB - BACKGROUND: Many treatment options exist for children with inflammatory bowel
disease (IBD), yet the lack of clinical guidelines for management has lead to
great variation in care. The purpose of this project was to evaluate current
treatment modalities in children from the Northeast US who applied to the 2010
session of Camp Oasis, a Crohn's and Colitis Foundation of America (CCFA)
sponsored camp for children ages 8-17 with medically stable IBD. METHODS: Patient
demographics, medical history, and current medications were entered into the camp
database. The subjects were divided into two groups; Crohn's disease (CD) or
ulcerative colitis/indeterminate colitis (UC/IC). In all, 164 applicants were
included, 121 (74%) with CD and 43 (26%) with UC/IC. RESULTS: There were no
significant differences between the two groups with respect to median age at the
time of camp, median age at diagnosis, or median length of illness. Of the 121
applicants with CD, 13 (10.7%) were on an antibiotic, 56 (46.3%) were on a 5
aminosalicylate (5-ASA), 10 (8.3%) were on corticosteroids, 57 (47.1%) were on
immunomodulators, and 44 (36.4%) were on a biologic agent. Six (5%) were on both
an immunomodulator and a biologic agent. Of the 43 subjects with UC/IC, 27
(62.7%) were on a 5-ASA, two (4.7%) were on corticosteroids, 13 (30.2%) were on
an immunomodulator, and four (9.3%) were on a biologic agent. The groups were
similar with regard to surgery (20.7% for CD and 18.6% for UC/IC). CONCLUSIONS:
Identifying current treatment patterns may serve to highlight variations in care
among this pediatric IBD population.
PMID- 22069121
TI - Treating and downstaging hepatocellular carcinoma in the caudate lobe with
yttrium-90 radioembolization.
AB - PURPOSE: This study was designed to determine the technical feasibility, safety,
efficacy, and potential to downstage patients to within transplantation criteria
when treating patients with hepatocellular carcinoma (HCC) of the caudate lobe
using Y90 radioembolization. METHODS: During a 4-year period, 8 of 291 patients
treated with radioembolization for unresectable HCC had disease involving the
caudate lobe. All patients were followed for treatment-related
clinical/biochemical toxicities, serum tumor marker response, and treatment
response. Imaging response was assessed with the World Health Organization (WHO)
and European Association for the Study of the Liver (EASL) classification
schemes. Pathologic response was reported as percent necrosis at explantation.
RESULTS: Caudate lobe radioembolization was successfully performed in all eight
patients. All patients presented with both cirrhosis and portal hypertension.
Half were United Network for Organ Sharing (UNOS) stage T3 (n = 4, 50%). Fatigue
was reported in half of the patients (n = 4, 50%). One (13%) grade 3/4 bilirubin
toxicity was reported. One patient (13%) showed complete tumor response by WHO
criteria, and three patients (38%) showed complete response using EASL
guidelines. Serum AFP decreased by more than 50% in most patients (n = 6, 75%).
Four patients (50%) were UNOS downstaged from T3 to T2, three of who underwent
transplantation. One specimen showed histopathologic evidence of 100% complete
necrosis, and two specimens demonstrated greater than 50% necrosis. CONCLUSIONS:
Radioembolization with yttrium-90 appears to be a feasible, safe, and effective
treatment option for patients with unresectable caudate lobe HCC. It has the
potential to downstage patients to transplantation.
PMID- 22069122
TI - An update on the pathology and clinical management of gouty arthritis.
AB - Gouty arthritis is an inflammatory condition associated with debilitating
clinical symptoms, functional impairments, and a substantial impact on quality of
life. This condition is initially triggered by the deposition of monosodium urate
crystals into the joint space. This causes an inflammatory cascade resulting in
the secretion of several proinflammatory cytokines and neutrophil recruitment
into the joint. While generally effective, currently available agents are
associated with a number of adverse events and contraindications that complicate
their use. Based on our increased understanding of the inflammatory pathogenesis
of gouty arthritis, several new agents are under development that may provide
increased efficacy and reduced toxicity.
PMID- 22069123
TI - Agricultural injury risk among rural California public high school students:
prospective results.
AB - OBJECTIVES: To characterize prospective agricultural injury experience among
rural California Central Valley public high school students enrolled in
agricultural sciences curriculum. METHODS: The University of California, Davis
Youth Agricultural Injury Study (UCD-YAIS) examined prospective farm-work injury
among students from 10 California Central Valley public high schools. RESULTS: Of
eligible subjects, 882 (62.5%) completed at least one annual follow-up survey. Of
these, 489 reported farm work in the previous year, including 40 (8.2%) with at
least one farm work-related injury. Fractures were the most common injury,
especially among girls. Girls were more likely to suffer animal-related injury
and boys injury from motor vehicles, machinery, or tool use. Prospective injury
risk was strongly associated with prior-year farm injury (OR 8.53; 95% CI 4.02,
18.1) and farm work hours. After adjustment for farm work hours, grade level, and
sex, risk was significantly associated with machinery operation, applying
chemicals, number of hazardous tasks performed, riding motorcycles or mopeds,
riding in back of an uncovered pick-up truck, and smoking. Risky attitude toward
farm safety was associated prospectively with injury in stepwise fashion.
CONCLUSIONS: Adolescents are at risk for serious farm-work injuries. Although
limitations on hazardous tasks and farm work hours are likely to be the most
efficacious means for reducing injury, education will play an important role.
Education should include inculcating safety-related attitudes and habits and
focus on hazardous tasks, such as those involving animals (for girls) and motor
vehicles and machinery (for boys), especially among youth with prior farm injury.
PMID- 22069124
TI - Calpain 2-dependent IkappaBalpha degradation mediates CPT-11 secondary resistance
in colorectal cancer xenografts.
AB - CPT-11 (irinotecan), the first-line chemotherapy for advanced stage colorectal
cancer, remains inactive in about half of patients (primary chemoresistance) and
almost all initial responders develop secondary resistance after several courses
of treatment (8 months on average). Nude mice bearing HT-29 colon cancer
xenografts were treated with CPT-11 and/or an NF-kappaB inhibitor for two
courses. We confirm that NF-kappaB inhibition potentiated CPT-11 anti-tumoural
effect after the first course of treatment. However, tumours grew again at the
end of the second course of treatment, generating resistant tumours. We observed
an increase in the basal NF-kappaB activation in resistant tumours and in two
resistant sublines, either obtained from resistant HT-29 tumours (HT-29R cells)
or generated in vitro (RSN cells). The decrease of NF-kappaB activation in HT-29R
and RSN cells by stable transfections with the super-repressor form of
IkappaBalpha augmented their sensitivity to CPT-11. Comparing gene expression
profiles of HT-29 and HT-29R cells, we identified the S100A10/Annexin A2 complex
and calpain 2 as over-expressed potential NF-kappaB inducers. SiRNA silencing of
calpain 2 but not of S100A10 and/or annexin A2, resulted in a decrease in NF
kappaB activation, an increase in cellular levels of IkappaBalpha and a partial
restoration of the CPT-11 sensitivity in both HT-29R and RSN cells, suggesting
that calpain 2-dependent IkappaBalpha degradation mediates CPT-11 secondary
resistance. Thus, targeted therapies directed against calpain 2 may represent a
novel strategy to enhance the anti-cancer efficacy of CPT-11.
PMID- 22069125
TI - Obesity: the greatest epidemic of the 21st century?
PMID- 22069126
TI - Who are the low-risk patients that could benefit from watch-and-wait regarding
the neck?
AB - CONTEXT AND OBJECTIVE: The management of clinically negative neck is
controversial, with an ongoing debate on the indication criteria and prognostic
impact of different types of therapy. The aim here was to compare the results
from neck dissection and watch-and-wait, among oral cancer patients who,
clinically, did not show any evidence of neck metastasis. DESIGN AND SETTING:
Retrospective analysis in a tertiary cancer center hospital. METHODS: Patients
with epidermoid oral carcinoma were assessed. The inclusion criteria were:
primary tumor restricted to the oral/oropharyngeal cavity, no previous treatment,
surgical treatment as the first option, clinical/radiological stage N0 and no
distant metastasis. RESULTS: Two hundred and sixty-two patients were analyzed.
The length of follow-up ranged from four to 369.6 months and, at the end, 118
patients were alive, 53 had died due to cancer, 84 had died from other causes and
7 had died after the operation. Among the patients who underwent neck dissection,
lymphatic vascular embolization (P = 0.009) and tumor thickness (P = 0.002) were
significant for regional recurrence, while for the watch-and-wait group, only
tumor thickness was significant (P = 0.018). Through recursive partitioning, the
patients without adverse prognostic factors and tumor thickness < 2 mm presented
compatible results in the two groups. CONCLUSION: Elective neck dissection seems
to be the best treatment option. Patients who are eligible for watch-and-wait
constitute a small group that, ideally, is categorized according to the
postoperative pathological findings.
PMID- 22069127
TI - Costs and usage of healthcare services before and after open bariatric surgery.
AB - CONTEXT AND OBJECTIVE: Morbidly obese individuals are major consumers of
healthcare services, with high associated costs. Bariatric surgery is an
alternative for improving these individuals' comorbidities. There are no studies
comparing costs before and after bariatric surgery in Brazil. The aim here was to
analyze results relating to healthcare usage and direct costs among morbidly
obese patients undergoing bariatric surgery. DESIGN AND SETTING: Historical
cohort study on patients receiving healthcare through a private health plan in
Belo Horizonte, Minas Gerais. METHODS: All healthcare services and their
associated costs were included in the analysis: hospitalization, hospital stay,
elective outpatient consultations, emergency service usage and examinations. The
analyses were treated as total when including the whole years before and after
surgery, or partial when excluding the three-month periods adjacent to the
operation. RESULTS: For 382 obese patients who underwent open bariatric
operations, there were 53 hospitalizations one year before and 95 one year after
surgery (P = 0.013). Gastrointestinal complications were the main indications for
post-procedure hospitalizations. The partial average cost almost doubled after
the operation (US$ 391.96 versus US$ 678.31). In subgroup analysis, the costs
from patients with gastrointestinal complications were almost four times greater
after bariatric surgery. Even in the subgroup without complications, the partial
average cost remained significantly higher. CONCLUSION: Although bariatric
surgery is the only path towards sustained weight loss for morbidly obese
patients, the direct costs over the first year after the procedure are greater.
Further studies, with longer follow-up, might elucidate whether long-term
reversal of this trend would occur.
PMID- 22069128
TI - Prevalence of prediabetes in patients with metabolic risk.
AB - CONTEXT AND OBJECTIVE: Early diagnosis of prediabetes should be done to avoid
complications relating to diabetes mellitus (DM). The aim here was to assess the
prevalence of prediabetes among individuals at high risk of developing DM, and to
seek variables relating to glucose intolerance (GI) among individuals with normal
fasting plasma glucose (FPG). DESIGN AND SETTING: Cross-sectional study at
Hospital do Servidor Publico Estadual, Sao Paulo. METHODS: The FPG and glucose
tolerance test (GTT) were analyzed, from which the subjects were divided as
follows: group 1 (FPG and GTT both normal), group 2 (normal FPG but abnormal
GTT), group 3 (abnormal FPG but normal GTT), and group 4 (FPG and GTT both
abnormal). The subjects' clinical, laboratory and anthropometric profile was
determined. RESULTS: 138 subjects were studied: 44 in group 1, 11 in group 2, 33
in group 3 and 50 in group 4. The prevalence of prediabetes was 68.0%. Group 4
individuals were older than group 1 individuals [69.0 (55.5-74.0) versus 58.9 +/-
11.8 years; P < 0.05], with greater prevalence of risk conditions for DM [5.0
(4.0-5.0) versus 4.0 (3.0-5.0); P < 0.05]. Among individuals with normal FPG, GI
prevalence was 20.0%. No variables analyzed correlated with GTT. CONCLUSION: The
prevalence of prediabetes was 68.0%, and 20.0% of subjects with normal FPG had
GI. Although some anthropometric, clinical and laboratory variables have been
correlated with DM and prediabetes, none, except for GTT, was able to screen for
GI among subjects with normal FPG in the present study.
PMID- 22069129
TI - Prevalence of breast cancer in the city of Goiania, Goias, Brazil, between 1988
and 2002.
AB - CONTEXT AND OBJECTIVE: Studies have shown increased prevalence rates for breast
cancer, relating to higher incidence, longer survival and breast cancer
prevention programs among populations. The aim here was to analyze the annual
prevalence of breast cancer in Goiania over a 15-year period. DESIGN AND SETTING:
This was a cross-sectional study on women with breast cancer diagnosed in
Goiania, Goias, Brazil, from 1988 to 2002. METHODS: The breast cancer cases were
identified in the database of the Population-Based Cancer Registry of Goiania.
The 15-year period was stratified into three five-year periods. The cases were
followed up for five years, and the mortality database was used to exclude
deaths. The population of the official census was used as the denominator for
rate calculations. RESULTS: The coefficient of breast cancer prevalence in
Goiania was 22.87/100,000 in 1988 and 220.22/100,000 women in 2002. The analyses
for periods showed that in the first period, the rate was 19.39/100,000 and that
it was 44.79/100,000 in the last period. For the fifteen years analyzed, the
prevalence rate for breast cancer was 127.24/100,000 women. The annual percentage
change was 27.07 (P < 0.001; 95% confidence interval, CI: 20.79-33.67) from 1988
to 1992 and 9.39 (P < 0.001; 95% CI: 8.52-10.25) from 1992 to 2002. CONCLUSION:
There was an increase in the breast cancer prevalence rate in the city of Goiania
between 1988 and 2002, possibly relating to the improvement in the screening and
treatment of breast cancer.
PMID- 22069130
TI - Characteristics of ascitic fluid from patients with suspected spontaneous
bacterial peritonitis in emergency units at a tertiary hospital.
AB - CONTEXT AND OBJECTIVE: Spontaneous bacterial peritonitis (SBP) is a complication
of ascites, especially in cirrhosis. Ascitic fluid with 250 or more
neutrophils/mm3 is an acceptable criterion for diagnosis, even when bacterial
fluid cultures are negative. The aims here were to estimate SBP frequency among
emergency room patients based on cellular criteria and evaluate the biochemical
profile of these fluids. DESIGN AND SETTING: Retrospective study at a public
tertiary hospital. METHODS: Laboratory records of patients with ascites attended
in emergency rooms between November 2001 and November 2006, from whom ascitic
fluid samples were sent to the laboratory due to suspected SBP, were evaluated.
The 691 samples included were divided into group A (presumed SBP: >= 250
neutrophils/mm3; n = 219; 31.7%) and group B (no presumed SBP: < 250
neutrophils/mm3; n = 472; 68.3%). Patients' sex and age; ascitic fluid
characteristics (numbers of neutrophils, leukocytes and nucleated cells);
bacteriological characteristics; and protein, lactate dehydrogenase, adenosine
deaminase and glucose concentrations were evaluated. RESULTS: Among group A
cultured samples, 63 (33.8%) had positive bacterial cultures with growth of
pathogens commonly associated with SBP. In total, the group A samples showed
higher lactate dehydrogenase levels than seen in the group B samples. The latter
presented predominance of lymphocytes and macrophages. CONCLUSION: Among the
ascitic fluid samples with clinically suspected SBP, 31.7% fulfilled the cellular
diagnostic criteria. Positive bacterial isolation was found in 33.8% of the
cultured samples from the presumed SBP group.
PMID- 22069131
TI - Canonical and noncanonical Wnt pathways: a comparison between endometrial cancer
type I and atrophic endometrium in Brazil.
AB - CONTEXT AND OBJECTIVE: The Wnt pathway is involved in tumorigenesis of several
tissues. For this reason, we proposed to evaluate Wnt gene expression in
endometrial cancer type I. DESIGN AND SETTING: Cross-sectional study on materials
gathered from the tissue bank of the Department of Pathology, Universidade
Federal de Sao Paulo. METHODS: Endometrial specimens were obtained from surgeries
performed between 1995 and 2005 at Sao Paulo Hospital, Universidade Federal de
Sao Paulo. The material was divided into two groups according to tissue type:
Group A, atrophic endometrium (n = 15); and Group B, endometrial adenocarcinoma
(n = 45). We compared the immunohistochemical expression of Wnt1, Frizzled-1
(FZD1), Wnt5a, Frizzled-5 (FZD5) and beta-catenin between endometrial cancer type
I and atrophic endometrium. RESULTS: Regarding Wnt1, FZD1 and Wnt5a expression,
no significant association was observed between the groups. A significant
association was observed between the groups in relation to FZD5 expression (P =
0.001). The proportion of FZD5-positive samples was significantly higher in group
A (80.0%) than in group B (31.1%). Regarding the survival curve for FZD5 in group
B, we did not find any significant association between atrophic endometrium and
endometrial adenocarcinoma. We also did not find any significant association
regarding beta-catenin expression (P = 1.000). CONCLUSION: FZD5 is downregulated
in endometrial adenocarcinoma, in comparison with atrophic endometrium.
PMID- 22069132
TI - Efficacy of a specific model for cognitive-behavioral therapy among panic
disorder patients with agoraphobia: a randomized clinical trial.
AB - CONTEXT AND OBJECTIVE: Cognitive-behavioral therapy is frequently indicated for
panic disorder. The aim here was to evaluate the efficacy of a model for
cognitive-behavioral therapy for treating panic disorder with agoraphobia. DESIGN
AND SETTING: Randomized clinical trial at Instituto de Psiquiatria da
Universidade Federal do Rio de Janeiro. METHODS: A group of 50 patients with a
diagnosis of panic disorder with agoraphobia was randomized into two groups to
receive: a) cognitive-behavioral therapy with medication; or b) medication
(tricyclic antidepressants or selective serotonin reuptake inhibitors). RESULTS:
Although there was no difference between the groups after the treatment in
relation to almost all variables with the exception of some items of the Sheehan
disability scale and the psychosocial and environmental problems scale, the
patients who received the specific therapy presented significant reductions in
panic attacks, anticipatory anxiety, agoraphobia avoidance and fear of body
sensations at the end of the study, in relation to the group without the therapy.
On the overall functioning assessment scale, overall wellbeing increased from
60.8% to 72.5% among the patients in the group with therapy, thus differing from
the group without therapy. CONCLUSION: Although both groups responded to the
treatment and improved, we only observed significant differences between the
interventions on some scales. The association between specific cognitive
behavioral therapy focusing on somatic complaints and pharmacological treatment
was effective among this sample of patients with panic disorder and the response
was similar in the group with pharmacological treatment alone.
PMID- 22069133
TI - Lumiracoxib for acute postoperative dental pain: a systematic review of
randomized clinical trials.
AB - CONTEXT AND OBJECTIVE: Lumiracoxib is an anti-inflammatory drug that has been
used to treat acute dental pain, mainly in postsurgical settings, in which the
greatest levels of pain and discomfort are experienced during the first 24 hours.
This study aimed to assess the efficacy and safety of lumiracoxib for treating
acute postsurgical dental pain. DESIGN AND SETTING: Systematic review developed
at the Brazilian Cochrane Centre, Universidade Federal de Sao Paulo. METHODS: An
electronic search was conducted in the PubMed, Cochrane Library, Lilacs
(Literatura Latino-Americana e do Caribe em Ciencias da Saude), SciELO
(Scientific Electronic Library Online) and Embase databases. A manual search was
also performed. Only randomized controlled trials were included, and these were
selected and assessed by two researchers with regard to the risk of bias.
RESULTS: Three clinical trials with 921 participants were included. Lumiracoxib
400 mg produced onset of analgesia in a shorter time than shown by lumiracoxib
100 mg, celecoxib 200 mg and ibuprofen 400 mg. There was no difference between
lumiracoxib 400 mg and rofecoxib 50 mg. In two studies, the mean time taken to
attain onset of analgesia for the placebo was not estimated because the number of
participants who reached onset was too small. CONCLUSION: There is evidence with
a moderate risk of bias that recommends the use of lumiracoxib for acute
postoperative dental pain. However, the adverse effects are not completely known.
Given that lumiracoxib is currently available in only three countries, further
studies are likely to be rare and discouraged.
PMID- 22069134
TI - Testicular adrenal rest tumor in infertile man with congenital adrenal
hyperplasia: case report and literature review.
AB - CONTEXT: Synthesis of cortisol and aldosterone is impaired in patients with
congenital adrenal hyperplasia (CAH) because of 21-hydroxylase deficiency. Men
with CAH have low fertility rates compared with the normal population, and this
is related to testicular adrenal rest tumors. Findings of azoospermia in
combination with a testicular tumor on ultrasound are likely to have a mechanical
cause, especially when in the testicular mediastinum. The preferred treatment
method consists of intensive corticoid therapy. However, when the tumor is
unresponsive to steroid therapy, surgical treatment should be considered. CASE
REPORT: We present the case of a male patient with CAH due to 21-hydroxylase
deficiency who presented a testicular tumor and azoospermia. Treatment with low
daily corticoid doses had previously been started by an endocrinologist, but
after 12 months, no significant change in sperm count was found. Although the
adrenocorticotrophic hormone and 17-hydroxyprogesterone levels returned to normal
values, the follicle-stimulating hormone (FSH), luteinizing hormone and
testosterone levels remained unchanged. Ultrasound examination confirmed that the
testicles were small and heterogenous bilaterally, and revealed a mosaic area at
the projection of the testis network bilaterally. Magnetic resonance imaging
confirmed the finding. Testicular biopsy revealed the presence of preserved
spermatogenesis and spermiogenesis in 20% of the seminiferous tubules in the
right testicle. The patient underwent testis-sparing tumor resection. After 12
months of follow-up, there was no tumor recurrence but the patient still
presented azoospermia and joined an intracytoplasmic sperm injection program.
PMID- 22069135
TI - Cystic brain metastases radiologically simulating neurocysticercosis.
AB - CONTEXT: Brain metastases are common complications of cancer. Magnetic resonance
imaging (MRI), the main diagnostic imaging method in these cases, rarely shows
cystic images. CASE REPORT: The patient was a 45-year-old woman who had had
severe headache for a month that was refractory to medication, and had previously
had breast cancer, which had been treated. The MRI showed the criteria for
neurocysticercosis. Since there was no improvement with clinical treatment, we
chose to excise the lesions. Histopathological analysis showed an epithelioid
malignant neoplasm. CONCLUSION: From immunohistochemical analysis, it was
concluded that this was a metastasis of breast carcinoma. Even when the MRI is
not characteristic of cerebral metastasis, this hypothesis needs to be ruled out
in patients with a previous history of cancer.
PMID- 22069136
TI - Hand dysfunction in scleroderma patients.
PMID- 22069137
TI - Confidential unit exclusion and blood safety.
PMID- 22069140
TI - Investigations on cytotoxic and genotoxic effects of laser printer emissions in
human epithelial A549 lung cells using an air/liquid exposure system.
AB - Exposure to emissions from laser printers during the printing process is
commonplace worldwide, both in the home and workplace environment. In the present
study, cytotoxic and genotoxic effects of the emission from five low to medium
throughput laser printers were investigated with respect to the release of ozone
(O(3) ), volatile organic compounds (VOC), particulate matter (PM), and
submicrometer particles (SMP) during standby and operation. Experiments were
conducted in a 1 m(3) emission chamber connected to a Vitrocell(r) exposure
system. Cytotoxicity was determined by the WST-1 assay and genotoxicity by the
micronucleus test in human A549 lung cells. The five laser printers emitted
varying but generally small amounts of O(3) , VOC, and PM. VOC emissions included
13 compounds with total VOC concentrations ranging from 95 to 280 MUg/m(3) (e.g.,
2-butanone, hexanal, m,p-xylene, and o-xylene). Mean PM concentrations were below
2.4 MUg/m(3). SMP number concentration levels during standby ranged from 9 to 26
particles/cm(3). However, three of the printers generated a 90 to 16 * 10(3)
fold increase of SMP during the printing process (maximum 294,460
particles/cm(3)). Whereas none of the printer emissions were found to cause
cytotoxicity, emissions from two printers induced formation of micronuclei (P <
0.001), thus providing evidence for genotoxicity. As yet, differences in
biological activity cannot be explained on the basis of the specific emission
characteristics of the different printers. Because laser printing technology is
widely used, studies with additional cytogenetic endpoints are necessary to
confirm the DNA-damaging potency and to identify emission components responsible
for genotoxicity.
PMID- 22069141
TI - Reducing the influence of b-value selection on diffusion-weighted imaging of the
prostate: evaluation of a revised monoexponential model within a clinical
setting.
AB - PURPOSE: To compare the accuracy of standard and revised monoexponential models
of diffusion-weighted magnetic resonance imaging (DW-MRI) data for
differentiating malignant from benign prostate tissue, using surgical pathology
as the reference standard. MATERIALS AND METHODS: The Institutional Review Board
waived informed consent for this Health Insurance Portability and Accountability
Act (HIPAA)-compliant, retrospective study of 46 patients (median age = 61 years;
range: 42-85 years) who underwent DW-MRI between May and December 2008 before
radical prostatectomy for biopsy-proven prostate cancer, had no prior treatment,
and had whole-mount step-section pathology maps available showing at least one
peripheral zone (PZ) lesion >0.1 cm(3) . DW-MRI data were obtained for b-values
of 0, 400, and 700 s/mm(2) . Apparent diffusion coefficients (ADCs) were
estimated from PZ regions of interest (ROIs) on b = 0, 700 and b = 0, 400 s/mm(2)
images, using a standard monoexponential model. The true diffusion coefficient
(D) and perfusion fraction (f) were measured using a revised monoexponential
model incorporating all three b-values. Areas under receiver operating
characteristic curves (AUCs) were calculated to assess the accuracy of individual
parameters and a logistic regression model combining D and f (D+f) in
distinguishing malignant ROIs; P < 0.05 denoted significance. RESULTS: ADC(400)
(AUC = 0.81, P < 0.0001), ADC(700) (AUC = 0.79, P < 0.0001), D (AUC = 0.71, P =
0.0001) and D + f distinguished malignant from benign ROIs (AUC = 0.82, P <
0.0001), but f did not (AUC = 0.56, P = 0.28); D + f was significantly more
accurate than D (P = 0.016) but not more accurate than ADC(400) (P = 0.26) or
ADC(700) (P = 0.12). CONCLUSION: The true diffusion coefficient provides an
additional DW-MRI parameter for distinguishing prostate cancer that is less
influenced than the ADC by b-value selection.
PMID- 22069142
TI - Neurocognitive outcome in patients with hypertyrosinemia type I after long-term
treatment with NTBC.
AB - OBJECTIVE: The implementation of NTBC into treatment of hypertyrosinemia type I
(HT I) greatly improved survival by prevention of acute liver failure and
hepatocellular carcinoma. However, there are first reports of cognitive
impairment in patients with elevated plasma tyrosine concentrations. METHODS: We
here assess the neurocognitive development using standardized psychometric test
batteries with respect to cognition, motor abilities and speech in nine early
treated patients with HT I under long-term NTBC treatment. RESULTS: High plasma
tyrosine concentrations were frequently documented resulting in elevated 12-month
median plasma tyrosine concentrations in seven out of nine patients. Plasma NTBC
concentrations were generally in the lower therapeutic range. Five out of seven
patients (71%) above 3 years of age had a total IQ score below the average. In
addition, five out of seven patients above 3 years showed an inhomogenous test
profile with significant differences between the different testing scales. Motor
abilities were subnormal in four out of seven patients(57%). Cerebral MRI
revealed no abnormalities. Logopedic evaluation in children at school age
documented dysfunction or retardation in language development in all but one of
the tested patients (80%), however, all but one patients had a migration
background. CONCLUSIONS: A high number of patients performed below normal in the
assessment of development, motor function and speech. We propose intellectual
impairment as long-term complication in HT type I with elevated plasma tyrosine
under NTBC treatment as observed in other hypertyrosinemias. These findings
remain to be reproduced in greater patient numbers.
PMID- 22069143
TI - Cystathionine beta-synthase mutants exhibit changes in protein unfolding:
conformational analysis of misfolded variants in crude cell extracts.
AB - Protein misfolding has been proposed to be a common pathogenic mechanism in many
inborn errors of metabolism including cystathionine beta-synthase (CBS)
deficiency. In this work, we describe the structural properties of nine CBS
mutants that represent a common molecular pathology in the CBS gene. Using
thermolysin in two proteolytic techniques, we examined conformation of these
mutants directly in crude cell extracts after expression in E. coli. Proteolysis
with thermolysin under native conditions appeared to be a useful technique even
for very unstable mutant proteins, whereas pulse proteolysis in a urea gradient
had limited values for the study of the majority of CBS mutants due to their
instability. Mutants in the active core had either slightly increased unfolding
(p.A114V, p.E302K and p.G307S) or extensive unfolding with decreased stability
(p.H65R, p.T191M, p.I278T and p.R369C). The extent of the unfolding inversely
correlated with the previously determined degree of tetrameric assembly and with
the catalytic activity. In contrast, mutants bearing aminoacid substitutions in
the C-terminal regulatory domain (p.R439Q and p.D444N) had increased global
stability with decreased flexibility. This study shows that proteolytic
techniques can reveal conformational abnormalities even for CBS mutants that have
activity and/or a degree of assembly similar to the wild-type enzyme. We present
here a methodological strategy that may be used in cell lysates to evaluate
properties of proteins that tend to misfold and aggregate and that may be
important for conformational studies of disease-causing mutations in the field of
inborn errors of metabolism.
PMID- 22069144
TI - On the interpretation of removable interactions: a survey of the field 33 years
after Loftus.
AB - In a classic 1978 Memory & Cognition article, Geoff Loftus explained why
noncrossover interactions are removable. These removable interactions are tied to
the scale of measurement for the dependent variable and therefore do not allow
unambiguous conclusions about latent psychological processes. In the present
article, we present concrete examples of how this insight helps prevent
experimental psychologists from drawing incorrect conclusions about the effects
of forgetting and aging. In addition, we extend the Loftus classification scheme
for interactions to include those on the cusp between removable and nonremovable.
Finally, we use various methods (i.e., a study of citation histories, a
questionnaire for psychology students and faculty members, an analysis of
statistical textbooks, and a review of articles published in the 2008 issue of
Psychology and Aging) to show that experimental psychologists have remained
generally unaware of the concept of removable interactions. We conclude that
there is more to interactions in a 2 * 2 design than meets the eye.
PMID- 22069145
TI - Contrast class cues and performance facilitation in a hypothesis-testing task:
evidence for an iterative counterfactual model.
AB - Hypothesis-testing performance on Wason's (Quarterly Journal of Experimental
Psychology 12:129-140, 1960) 2-4-6 task is typically poor, with only around 20%
of participants announcing the to-be-discovered "ascending numbers" rule on their
first attempt. Enhanced solution rates can, however, readily be observed with
dual-goal (DG) task variants requiring the discovery of two complementary rules,
one labeled "DAX" (the standard "ascending numbers" rule) and the other labeled
"MED" ("any other number triples"). Two DG experiments are reported in which we
manipulated the usefulness of a presented MED exemplar, where usefulness denotes
cues that can establish a helpful "contrast class" that can stand in opposition
to the presented 2-4-6 DAX exemplar. The usefulness of MED exemplars had a
striking facilitatory effect on DAX rule discovery, which supports the importance
of contrast-class information in hypothesis testing. A third experiment ruled out
the possibility that the useful MED triple seeded the correct rule from the
outset and obviated any need for hypothesis testing. We propose that an extension
of Oaksford and Chater's (European Journal of Cognitive Psychology 6:149-169,
1994) iterative counterfactual model can neatly capture the mechanisms by which
DG facilitation arises.
PMID- 22069146
TI - Submicroscopic deletion of 12q13 including HOXC gene cluster with skeletal
anomalies and global developmental delay.
AB - We report on a patient with a submicroscopic deletion of 12q13 detected by array
CGH and confirmed by FISH. He was haploinsufficient for the HOXC gene cluster and
some other neighboring genes. HOX genes have an important role in the initial
formation of the body. The patient showed characteristic features including
severe kyphoscoliosis, digital abnormalities, cardiac anomaly, expressive
language, and global developmental delay. Radiologic features of the fingers had
some similarities with those for multiple synostosis syndrome. No human genetic
disorders due to HOXC abnormalities are yet known. We tentatively assume that his
skeletal anomalies are associated with haploinsufficiency of the HOXC gene
cluster. Further studies are necessary to determine the clinical importance of
haploinsufficiency of the HOXC gene cluster.
PMID- 22069147
TI - New azidotetrazoles: structurally interesting and extremely sensitive.
AB - The treatment of triaminoguanidinium chloride with two equivalents of sodium
nitrite under acidic conditions, followed by the cyclization with stoichiometric
amounts of either sodium hydroxide solution or solid sodium carbonate yielded 1
amino-5-azidotetrazole (1), 5-azido-1-diazidocarbamoyltetrazole (2), and 1
(aminoazidocarbamoyl)-5-azidotetrazole (3). The three novel compounds could be
isolated by short-column liquid chromatography by using chloroform in reasonable
yields. The mechanism of the formation as well as the decomposition pathway of
the materials was investigated and a full characterization of all three compounds
is presented. Compounds 1-3 have been characterized by means of Raman and IR as
well as multinuclear NMR spectroscopy, mass spectrometry, and X-ray diffraction
studies. Thermal stabilities have been evaluated by differential scanning
calorimetry. Theoretical calculations have been performed to ensure the
assignment of the vibrational modes obtained from Raman and IR measurements. The
sensitivity values obtained from our measurements reflect the behavior of the
compounds, which show an extremely high sensitivity toward mechanical as well as
thermal stimuli.
PMID- 22069148
TI - Physical evidence of child sexual abuse.
AB - Child sexual abuse is increasingly recognised in all societies, affecting boys
and girls alike in all age groups and often involving oral, anal and vaginal
penetration. The presence of physical evidence following suspected child sexual
abuse is important in confirming the diagnosis and providing legal corroboration
that abuse has occurred. Whilst many children have no physical evidence, its
presence should be carefully sought and documented by skilled examination,
regardless of the time interval between any suspected abuse and the examination.
When examination is close to the time of the abuse, forensic sampling may be
required. Although many children have no physical findings, understanding the
significance of physical findings has increased with both experience and
research, although certainty and agreement is lacking in some areas. There are
few case control studies of abused and non-abused children where standard
terminology, examination method and description allow for meaningful comparison.
CONCLUSIONS: Physical findings rarely provide conclusive evidence of sexual abuse
in isolation but may offer important pieces of the diagnostic "jigsaw picture".
PMID- 22069149
TI - Lewis acid-promoted synthesis of unsymmetrical and highly functionalized
carbazoles and dibenzofurans from biaryl triazenes: application for the total
synthesis of clausine C, clausine R, and clauraila A.
PMID- 22069150
TI - Proteins influencing foam formation in wine and beer: the role of yeast.
AB - This review focuses on the role of proteins in the production and maintenance of
foam in both sparkling wines and beer. The quality of the foam in beer but
especially in sparkling wines depends, among other factors, on the presence of
mannoproteins released from the yeast cell walls during autolysis. These proteins
are hydrophobic, highly glycosylated, and their molecular masses range from 10 to
200 kDa--characteristics that allow mannoproteins to surround and thus stabilize
the gas bubbles of the foam. Both the production and stabilization of foam also
depend on other proteins. In wine, these include grape-derived proteins such as
vacuolar invertase; in beer, barley-derived proteins, such as LTP1, protein Z,
and hordein-derived polypeptides, are even more important in this respect than
mannoproteins.
PMID- 22069151
TI - Electricity generation by microorganisms in the sediment-water interface of an
extreme acidic microcosm.
AB - The attachment of microorganisms to electrodes is of great interest for
electricity generation in microbial fuel cells (MFC) or other applications in
bioelectrochemical systems (BES). In this work, a microcosm of the acidic
ecosystem of Rio Tinto was built and graphite electrodes were introduced at
different points. This allowed the study of electricity generation in the
sediment/water interface and the involvement of acidophilic microorganisms as
biocatalysts of the anodic and cathodic reactions in a fuel-cell configuration.
Current densities and power outputs of up to 3.5 A/m2 and 0.3 W/m2, respectively,
were measured at pH 3. Microbial analyses of the electrode surfaces showed that
Acidiphilium spp., which uses organic compounds as electron donors, were the
predominant biocatalysts of the anodic reactions, whereas the aerobic iron
oxidizers Acidithiobacillus ferrooxidans and Leptospirillum spp. were detected
mainly on the cathode surface.
PMID- 22069152
TI - Comparison of the gut microbiota from soldier and worker castes of the termite
Reticulitermes grassei.
AB - The bacterial microbiota from the whole gut of soldier and worker castes of the
termite Reticulitermes grassei was isolated and studied. In addition, the 16S
rDNA bacterial genes from gut DNA were PCR-amplified using Bacteria-selective
primers, and the 16S rDNA amplicons subsequently cloned into Escherichia coli.
Sequences of the cloned inserts were then used to determine closest relatives by
comparison with published sequences and with sequences from our previous work.
The clones were found to be affiliated with the phyla Spirochaetes,
Proteobacteria, Firmicutes, Bacteroidetes, Actinobacteria, Synergistetes,
Verrucomicrobia, and candidate phyla Termite Group 1 (TG1) and Termite Group 2
(TG2). No significant differences were observed with respect to the relative
bacterial abundances between soldier and worker phylotypes. The phylotypes
obtained in this study were compared with reported sequences from other termites,
especially those of phylotypes related to Spirochaetes, Wolbachia (an
Alphaproteobacteria), Actinobacteria, and TG1. Many of the clone phylotypes
detected in soldiers grouped with those of workers. Moreover, clones CRgS91
(soldiers) and CRgW68 (workers), both affiliated with 'Endomicrobia', were the
same phylotype. Soldiers and workers also seemed to have similar relative protist
abundances. Heterotrophic, poly-beta-hydroxyalkanoate-accumulating bacteria were
isolated from the gut of soldiers and shown to be affiliated with Actinobacteria
and Gammaproteobacteria. We noted that Wolbachia was detected in soldiers but not
in workers. Overall, the maintenance by soldiers and workers of comparable axial
and radial redox gradients in the gut is consistent with the similarities in the
prokaryotes and protists comprising their microbiota.
PMID- 22069153
TI - Screening and evaluation of antiparasitic and in vitro anticancer activities of
Panamanian endophytic fungi.
AB - Many compounds produced by fungi have relevant pharmaceutical applications. The
purpose of this study was to collect and isolate endophytic fungi from different
regions of Panama and then to test their potential therapeutic activities against
Leishmania donovani, Plasmodium falciparum, and Trypanosoma cruzi as well as
their anticancer activities in MCF-7 cells. Of the 25 fungal isolates obtained,
ten of them had good anti-parasitic potential, showing selective activity against
L. donovani; four had significant anti-malarial activity; and three inhibited the
growth of T. cruzi. Anticancer activity was demonstrated in four isolates. Of the
active isolates, Edenia sp. strain F0755, Xylaria sp. strain F1220, Aspergillus
sp. strain F1544, Mycoleptodiscus sp. strain F0194, Phomopsis sp. strain F1566,
Pycnoporus sp. strain F0305, and Diaporthe sp. strain F1647 showed the most
promise based on their selective bioactivity and lack of toxicity in the assays.
PMID- 22069154
TI - Identification of lactobacilli residing in chicken ceca with antagonism against
Campylobacter.
AB - Bacteriocins produced by Lactobacillus salivarius have been recently recognized
as a natural means to control Campylobacter and Salmonella in live poultry. This
finding is of relevance since Campylobacter jejuni and Campylobacter coli are the
predominant species isolated from poultry that are associated with human
campylobacteriosis. In the present work, lactic acid bacteria (LAB) isolated from
the cecum of twenty Tunisian chickens were identified and those isolates with
antagonism against Campylobacter were further characterized. Following their
preliminary confirmation as LAB, 150 strains were identified by combining
morphological criteria, biochemical tests, and molecular methods, the latter
inluding intergenic 16S- 23S PCR, specific lactobacilli PCR, and a biphasic
approach. Most of the LAB isolated belonged to the genus Lactobacillus, among
them Lb. sakei (33.3%), Lb. salivarius (19.4%), Lb. reuteri (8.6%), and Lb.
curvatus (8.6%). The other LAB strains included those of the genus Weissella
(16.7%), Enterococcus faecalis (5.3%), Leuconostoc mesenteroides (2.7%),
Lactococcus graviae (2.7%), and Streptococcus sp. (2.7%). The Lactobacilli
strains were tested for their antagonism against C. jejuni and C. coli. The
activity of three of them, Lb. salivarius SMXD51, Lb. salivarius MMS122, and
Lb. salivarius MMS151, against the aforementioned target strains could be
ascribed to the production of bacteriocins.
PMID- 22069155
TI - Genomics and transcriptomics characterization of genes expressed during
postharvest at 4 degrees C by the edible basidiomycete Pleurotus ostreatus.
AB - Pleurotus ostreatus is an industrially cultivated basidiomycete with nutritional
and environmental applications. Its genome, which was sequenced by the Joint
Genome Institute, has become a model for lignin degradation and for fungal
genomics and transcriptomics studies. The complete P. ostreatus genome contains
35 Mbp organized in 11 chromosomes, and two different haploid genomes have been
individually sequenced. In this work, genomics and transcriptomics approaches
were employed in the study of P. ostreatus under different physiological
conditions. Specifically, we analyzed a collection of expressed sequence tags
(EST) obtained from cut fruit bodies that had been stored at 4 degrees C for 7
days (postharvest conditions). Studies of the 253 expressed clones that had been
automatically and manually annotated provided a detailed picture of the life
characteristics of the self-sustained fruit bodies. The results suggested a
complex metabolism in which autophagy, RNA metabolism, and protein and
carbohydrate turnover are increased. Genes involved in environment sensing and
morphogenesis were expressed under these conditions. The data improve our
understanding of the decay process in postharvest mushrooms and highlight the use
of high-throughput techniques to construct models of living organisms subjected
to different environmental conditions.
PMID- 22069156
TI - Spinal subdural hemorrhage in abusive head trauma: a retrospective study.
AB - PURPOSE: To compare the relative incidence, distribution, and radiologic
characteristics of spinal subdural hemorrhage after abusive head trauma versus
that after accidental trauma in children. MATERIALS AND METHODS: This study
received prior approval from the Human Subjects Protection Office. Informed
consent was waived. This study was HIPAA compliant. Two hundred fifty-two
children aged 0-2 years treated for abusive head trauma at our institute between
1997 and 2009 were identified through retrospective chart review. A second group
of 70 children aged 0-2 years treated at our institute for well-documented
accidental trauma between 2003 and 2010 were also identified through
retrospective chart review. All clinical data and cross-sectional imaging
results, including computed tomographic and magnetic resonance imaging of the
brain, spine, chest, abdomen, and pelvis, were reviewed for both of these groups.
A Fisher exact test was performed to assess the statistical significance of the
proportion of the spinal canal subdural hemorrhage in abusive head trauma versus
that in accidental trauma. RESULTS: In the abusive head trauma cohort, 67 (26.5%)
of 252 children had evaluable spinal imaging results. Of these, 38 (56%) of 67
children had undergone thoracolumbar imaging, and 24 (63%) of 38 had
thoracolumbar subdural hemorrhage. Spinal imaging was performed in this cohort
0.3-141 hours after injury (mean, 23 hours +/- 27 [standard deviation]), with 65
(97%) of 67 cases having undergone imaging within 52 hours of injury. In the
second cohort with accidental injury, only one (1%) of 70 children had spinal
subdural hemorrhage at presentation; this patient had displaced occipital
fracture. The comparison of incidences of spinal subdural hemorrhage in abusive
head trauma versus those in accidental trauma was statistically significant (P <
.001). CONCLUSION: Spinal canal subdural hemorrhage was present in more than 60%
of children with abusive head trauma who underwent thoracolumbar imaging in this
series but was rare in those with accidental trauma.
PMID- 22069159
TI - Prevalence of musculoskeletal disorders among farmers: A systematic review.
AB - OBJECTIVE: To determine the prevalence of musculoskeletal disorders (MSDs) among
farmers and to establish the most common regional MSDs reported. METHODS:
Comprehensive electronic searches of Pubmed, Web of Science, CINAHL, SCOPUS,
EMBASE, Agris Database, and Cochrane Library were carried out using keywords for
MSDs and farmers. Pooled estimates of prevalence with 95% confidence intervals
were calculated for overall MSD prevalence and the most common regional MSDs
reported. RESULTS: Twenty-four studies fulfilled the inclusion criteria and were
incorporated into this review. From these studies, life-time prevalence of any
form of MSD among farmers was 90.6% while 1-year MSD prevalence was 76.9% (95% CI
69.8-82.7). The majority of studies focused on spinal MSDs with low back pain
(LBP) the most frequently investigated. Life-time LBP prevalence was 75% (95% CI
67-81.5) while 1-year LBP prevalence was 47.8% (95% CI 40.2-55.5). The next most
common regional MSDs reported were upper (range 3.6-71.4%) and lower extremities
(range 10.4-41%). CONCLUSIONS: The systematic review identified the prevalence of
MSDs by body region in farmers and established that LBP was the most common MSD,
followed by upper and then lower extremity MSDs. Reported trends suggest that the
prevalence of MSDs in farmers is greater than in non-farmer populations. Case
definition uniformity among MSD researchers is warranted. More studies are needed
regarding upper and lower extremity MSDs, gender, workplace, and task context of
MSDs.
PMID- 22069161
TI - Dye-terminated, hyperbranched polytruxenes and polytruxene-block-polythiophene
multiblock copolymers made in an "AB2 + A" approach.
AB - Novel dye-terminated, hyperbranched polytruxenes and polytruxene-block
polythiophene multiblock copolymers have been synthesized in a simple "AB(2) +
A" approach. Photoexcitation into the higher energy polytruxene absorption band
results in an efficient excitation energy transfer to the peripheral dye or
polythiophene blocks.
PMID- 22069160
TI - Low MAD2 expression levels associate with reduced progression-free survival in
patients with high-grade serous epithelial ovarian cancer.
AB - Epithelial ovarian cancer (EOC) has an innate susceptibility to become
chemoresistant. Up to 30% of patients do not respond to conventional chemotherapy
[paclitaxel (Taxol(r)) in combination with carboplatin] and, of those who have an
initial response, many patients relapse. Therefore, an understanding of the
molecular mechanisms that regulate cellular chemotherapeutic responses in EOC
cells has the potential to impact significantly on patient outcome. The mitotic
arrest deficiency protein 2 (MAD2), is a centrally important mediator of the
cellular response to paclitaxel. MAD2 immunohistochemical analysis was performed
on 82 high-grade serous EOC samples. A multivariate Cox regression analysis of
nuclear MAD2 IHC intensity adjusting for stage, tumour grade and optimum surgical
debulking revealed that low MAD2 IHC staining intensity was significantly
associated with reduced progression-free survival (PFS) (p = 0.0003), with a
hazard ratio of 4.689. The in vitro analyses of five ovarian cancer cell lines
demonstrated that cells with low MAD2 expression were less sensitive to
paclitaxel. Furthermore, paclitaxel-induced activation of the spindle assembly
checkpoint (SAC) and apoptotic cell death was abrogated in cells transfected with
MAD2 siRNA. In silico analysis identified a miR-433 binding domain in the MAD2 3'
UTR, which was verified in a series of experiments. Firstly, MAD2 protein
expression levels were down-regulated in pre-miR-433 transfected A2780 cells.
Secondly, pre-miR-433 suppressed the activity of a reporter construct containing
the 3'-UTR of MAD2. Thirdly, blocking miR-433 binding to the MAD2 3' UTR
protected MAD2 from miR-433 induced protein down-regulation. Importantly, reduced
MAD2 protein expression in pre-miR-433-transfected A2780 cells rendered these
cells less sensitive to paclitaxel. In conclusion, loss of MAD2 protein
expression results in increased resistance to paclitaxel in EOC cells. Measuring
MAD2 IHC staining intensity may predict paclitaxel responses in women presenting
with high-grade serous EOC.
PMID- 22069162
TI - Variation of noise in multi-run functional MRI using generalized autocalibrating
partially parallel acquisition (GRAPPA).
AB - PURPOSE: To investigate the noise variation in multi-run functional MRI (fMRI)
scans using generalized autocalibrating partially parallel acquisition (GRAPPA),
with a focus on the cause of this variation. MATERIALS AND METHODS: A phantom was
continuously scanned for 10 runs using echo-planar imaging (EPI) combined with
GRAPPA to simulate a multi-run fMRI exam. The variation of noise between runs was
examined for different GRAPPA acceleration factors. The noise variation was also
evaluated in a real fMRI experiment with human subjects at an acceleration factor
of two. The cause of noise variation was explored by offline reconstruction using
different GRAPPA weights and numerical simulation of GRAPPA reference scans.
RESULTS: It was found that the noise distribution in the image is stable within a
run but may vary randomly from run to run. The variation of noise was also
observed in fMRI experiments with human subjects. The variation can be
significantly reduced if all the images from individual runs are reconstructed
using the same reference scan data. CONCLUSION: Both phantom experiments and
human data showed that the noise pattern may change in different fMRI runs. The
variation is mainly caused by the random noise in separate reference scans for
GRAPPA in each run.
PMID- 22069163
TI - Hydrolysis of ammonia borane as a hydrogen source: fundamental issues and
potential solutions towards implementation.
AB - In today's era of energy crisis and global warming, hydrogen has been projected
as a sustainable alternative to depleting CO(2)-emitting fossil fuels. However,
its deployment as an energy source is impeded by many issues, one of the most
important being storage. Chemical hydrogen storage materials, in particular B-N
compounds such as ammonia borane, with a potential storage capacity of 19.6 wt %
H(2) and 0.145 kg(H2)L(-1), have been intensively studied from the standpoint of
addressing the storage issues. Ammonia borane undergoes dehydrogenation through
hydrolysis at room temperature in the presence of a catalyst, but its practical
implementation is hindered by several problems affecting all of the chemical
compounds in the reaction scheme, including ammonia borane, water, borate
byproducts, and hydrogen. In this Minireview, we exhaustively survey the state of
the art, discuss the fundamental problems, and, where applicable, propose
solutions with the prospect of technological applications.
PMID- 22069165
TI - Enantioselective syntheses of corynanthe alkaloids by chiral Bronsted acid and
palladium catalysis.
PMID- 22069164
TI - Causes of death and autopsy findings in a large study cohort of individuals with
Cornelia de Lange syndrome and review of the literature.
AB - To identify causes of death (COD) in propositi with Cornelia de Lange syndrome
(CdLS) at various ages, and to develop guidelines to improve management and avoid
morbidity and mortality, we retrospectively reviewed a total of 426 propositi
with confirmed clinical diagnoses of CdLS in our database who died in a 41-year
period between 1966 and 2007. Of these, 295 had an identifiable COD reported to
us. Clinical, laboratory, and complete autopsy data were completed on 41, of
which 38 were obtainable, an additional 19 had autopsies that only documented the
COD, and 45 propositi had surgical, imaging, or terminal event clinical
documentation of their COD. Proband ages ranged from fetuses (21-40 weeks
gestation) to 61 years. A literature review was undertaken to identify all
reported causes of death in CdLS individuals. In our cohort of 295 propositi with
a known COD, respiratory causes including aspiration/reflux and pneumonias were
the most common primary causes (31%), followed by gastrointestinal disease,
including obstruction/volvulus (19%). Congenital anomalies accounted for 15% of
deaths and included congenital diaphragmatic hernia and congenital heart defects.
Acquired cardiac disease accounted for 3% of deaths. Neurological causes and
accidents each accounted for 8%, sepsis for 4%, cancer for 2%, renal disease for
1.7%, and other causes, 9% of deaths. We also present 21 representative clinical
cases for illustration. This comprehensive review has identified important
etiologies contributing to the morbidity and mortality in this population that
will provide for an improved understanding of clinical complications, and
management for children and adults with CdLS.
PMID- 22069166
TI - Normative data for anthropometric parameters used in delineation of dysmorphic
features in north Indian children.
AB - OBJECTIVE: To provide normative data for a set of anthropometric parameters which
are commonly used in delineation of dysmorphic features. METHODS: This cross
sectional observational study was conducted in a tertiary care hospital of Delhi.
Six hundred infants and children up to 2 years with hundred subjects each in the
age group of 0-3, 3-6, 6-9, 9-12, 12-18 and 18-24 months were included. Both
sexes were represented equally in the sample to avoid bias. Inner and outer
intercanthal distance were measured by sliding callipers. Inter pupillary
distance was measured directly as the distance between midpoint of two pupils.
Ear length was the maximum vertical distance from the superior to the inferior
edge of the ear. Internipple distance was the distance between centre of both
nipples. Hand length was the distance between distal wrist crease to the tip of
middle finger. Middle finger length was the distance between proximal flexion
crease and tip of middle finger. The data was analyzed using the LMS method and
percentile curves were developed for each age group for all the seven parameters.
RESULTS: No statistically significant differences between male and female infants
were observed. Significant differences were observed from other south east Asian
and Caucasian population stressing the need for generation of regional ethnic
data. The percentage of other isolated anomalies noted was 1.16% for polydactyly,
2-4 syndactyly 2-4 toe syndactyly (0.89%), Preauricular tags (0.5%), double whorl
pattern of hair (1.55%), 2-4 syndactyly (0.33%) and paraumbilical hernia (2.83%).
CONCLUSIONS: Normative data directed towards the ethnic origin are useful in
charting dysmorphic traits. Children with parameters less than 3rd percentile or
more than 97th percentile should be evaluated for other co-existing anomalies.
Percentile charts provided in the present study may also be applicable across
India but more studies are required to validate the authors' contention.
PMID- 22069168
TI - Capillarity creates single-crystal calcite nanowires from amorphous calcium
carbonate.
AB - Single-crystal calcite nanowires are formed by crystallization of morphologically
equivalent amorphous calcium carbonate (ACC) particles within the pores of track
etch membranes. The polyaspartic acid stabilized ACC is drawn into the membrane
pores by capillary action, and the single-crystal nature of the nanowires is
attributed to the limited contact of the intramembrane ACC particle with the bulk
solution. The reaction environment then supports transformation to a single
crystal product.
PMID- 22069167
TI - Access to health services and early age mortality in Ende, Indonesia.
AB - OBJECTIVE: Improvements in child survival to achieve Millennium Development Goal
4 require highly accessible and effective maternal and child health (MCH)
services. This article seeks to fill the gap in information for local government
in Indonesia about early age mortality and access to appropriate care to inform
the evaluation and planning of MCH services. METHODS: The Ende Child Mortality
Survey (ECMS) was conducted in the district of Ende in Nusa Tenggara Timur (NTT),
one of the poorest provinces in Indonesia. The ECMS is a cross-sectional
household survey, providing information on child survival, MCH service
utilisation, and socio-economic characteristics of the population. Multivariate
logistic regression was conducted to examine the association of mortality, health
service utilisation and socio-economic variables. RESULTS: Use of an unskilled
birth attendant (45% of births) and giving birth at home are most common among
the poorest and least educated women. The children of these women have the
highest risk of infant mortality. The infant mortality rate differs greatly by
region within Ende. Time to the preferred provider of child health care is
longest in regions with the highest under-five mortality risk. CONCLUSIONS: Many
women in Ende do not receive vital interventions during labour to reduce infant
mortality. The ECMS demonstrates the feasibility in implementing a low cost
survey to provide evidence for MCH investments to improve accessibility to
appropriate health services and reduce mortality risk.
PMID- 22069169
TI - Towards power and sample size calculations for the comparison of two groups of
patients with item response theory models.
AB - Evaluation of patient-reported outcomes (PRO) is increasingly performed in health
sciences. PRO differs from other measurements because such patient
characteristics cannot be directly observed. Item response theory (IRT) is an
attractive way for PRO analysis. However, in the framework of IRT, sample size
justification is rarely provided or ignores the fact that PRO measures are latent
variables with the use of formulas developed for observed variables. It might
therefore be inappropriate and might provide inadequately sized studies. The
objective was to develop valid sample size methodology for the comparison of PRO
in two groups of patients using IRT. The proposed approach takes into account
questionnaire's items parameters, the difference of the latent variables means,
and its variance whose derivation is approximated using Cramer-Rao bound (CRB).
We also computed the associated power. We realized a simulation study taking into
account sample size, number of items, and value of the group effect. We compared
power obtained from CRB with the one obtained from simulations (SIM) and with the
power based on observed variables (OBS). For a given sample size, powers using
CRB and SIM were similar and always lower than OBS. We observed a strong impact
of the number of items for CRB and SIM, the power increasing with the
questionnaire's length but not for OBS. In the context of latent variables, it
seems important to use an adapted sample size formula because the formula
developed for observed variables seems to be inadequate and leads to an
underestimated study size.
PMID- 22069170
TI - Tribute to Alfred E. Chang.
PMID- 22069171
TI - Histopathology of gastrointestinal stromal tumor.
AB - Gastrointestinal stromal tumor (GIST), generally driven by oncogenic KIT or
PDGFRA mutations, is the most common mesenchymal tumor of the gastrointestinal
(GI) tract. GIST is most common in the stomach (60%) and small intestine (30%),
but can occur anywhere in the GI-tract and the intra-abdominal soft tissues. GIST
can show spindle cell or epithelioid morphology, and mitotic count and tumor size
are most important prognostic parameters. GISTs in NF1 patients and children are
distinctive clinicopathologic groups. Immunohistochemical testing for KIT and
sometimes for DOG1/Ano 1 is essential in confirming the diagnosis.
PMID- 22069172
TI - Morphology of gastrointestinal stromal tumors: historical perspectives.
AB - About 2/3 of gastrointestinal stromal tumors occur in the stomach and about 1/5
in the small intestine with few in the rectum, colon, and esophagus. Their cells
are related to the interstitial cells of Cajal. They differ by site in terms of
cell type and growth pattern. Benign and malignant tumors are separated based on
their light microscopic appearances, size as measured by innumerable pathologists
and assistants and mitotic counts.
PMID- 22069173
TI - Advanced or metastatic gastrointestinal stromal tumors: systemic treatment
options.
AB - Gastrointestinal stromal tumor (GIST), the most common sarcoma arising in the
gastrointestinal tract, typically expresses the tyrosine-kinase receptor, C-KIT,
and contains activating mutation in the c-kit or platelet-derived growth factor
receptor (pdgfr) gene. Recently, development of small molecules that inhibit the
kinase activity of mutant C-KIT and PDGFR proteins has radically changed
treatment and prognosis of patients diagnosed with advanced GIST as this
molecularly "targeted" therapy has demonstrated remarkable high-level of activity
in this disease.
PMID- 22069174
TI - Adjuvant imatinib therapy for gastrointestinal stromal tumors.
AB - Surgery is the standard of care for primary resectable gastrointestinal stromal
tumors (GISTs), but half of surgically treated patients relapse. Imatinib (IM)
has been shown to prolong recurrence-free survival after complete surgery and is
now approved as adjuvant therapy (400 mg/day) for high-risk GIST patients. IM is
well tolerated, with mild to moderate side effects observed. Whether adjuvant IM
prolongs overall survival is under evaluation in two ongoing clinical trials.
PMID- 22069175
TI - Systemic therapy for advanced gastrointestinal stromal tumors: beyond imatinib.
AB - Progression on first-line therapy with imatinib in gastrointestinal stromal
tumors (GIST) is caused by either initial resistance or more often a secondary
mutation in tyrosine kinases KIT or PDGFR. Therapies in development for imatinib
resistant GIST include agents that target KIT/PDGFR with greater potency or
possess broader kinase inhibition profiles including VEGFR. To circumvent
secondary mutations in KIT/PDGFR, inhibition of the downstream signaling in
PI3K/Akt/mTOR pathway and enhanced degradation of KIT/PDGFR are also under
investigation.
PMID- 22069176
TI - Imaging modalities of gastrointestinal stromal tumors.
AB - Recent dramatic improvement of survival of Gastrointestinal stromal tumor
patients alongside the development of a new targeted treatment has made the role
of imaging increasingly more important not only in diagnosing the disease, but
also in monitoring the treatment effect and surveillance. Computed tomography is
currently the imaging modality of choice for these purposes. This article reviews
the current roles of different imaging modalities in clinical management of the
gastrointestinal stromal tumors.
PMID- 22069177
TI - Surveillance strategies for gastrointestinal stromal tumors.
AB - Although only 10-30% of gastrointestinal stromal tumors (GISTs) are clinically
malignant, all have some degree of malignant potential. The management of high
risk patients should be evidence based. However, prospective data and a consensus
for guidelines concerning the screening of asymptomatic high risk patients and
surveillance following multidisciplinary treatment do not exist. This review
provides an overview of GIST, with an emphasis on the available data regarding
screening and surveillance of certain populations with GISTs.
PMID- 22069178
TI - Special considerations in pediatric gastrointestinal tumors.
AB - Pediatric gastrointestinal tumors are rare in children but are being increasingly
recognized. These tumors have distinct biologic and clinical feature that are
different from those observed in adults. This review highlights the biological
and clinical characteristics of pediatric GIST and provides diagnostic and
therapeutic guidelines for the management these unique and challenging group of
tumors.
PMID- 22069179
TI - Photosensitized hydrogen evolution from water using a single-walled carbon
nanotube/fullerodendron/SiO2 coaxial nanohybrid.
AB - A coaxial nanohybrid consisting of a single-walled carbon nanotube (SWCNT),
fullerodendron, and SiO(2) shows high-efficiency light-driven hydrogen evolution
from water. Upon visible light irradiation, SWCNT/fullerodendron/SiO(2) coaxial
nanohybrid shows hydrogen evolution activity in the presence of methyl viologen
(MV(2+)), benzyldihydronicotinamide (BNAH), and a colloidal polyvinyl
alcohol(PVA)-Pt.
PMID- 22069180
TI - Guidelines for good database selection and use in pharmacoepidemiology research.
AB - The use of healthcare databases in research provides advantages such as increased
speed, lower costs and limitation of some biases. However, database research has
its own challenges as studies must be performed within the limitations of
resources, which often are the product of complex healthcare systems. The primary
purpose of this document is to assist in the selection and use of data resources
in pharmacoepidemiology, highlighting potential limitations and recommending
tested procedures. This guidance is presented as a detailed text with a checklist
for quick reference and covers six areas: selection of a database, use of
multiple data resources, extraction and analysis of the study population, privacy
and security, quality and validation procedures and documentation.
PMID- 22069181
TI - Functional characterization of a novel TP63 mutation in a family with overlapping
features of Rapp-Hodgkin/AEC/ADULT syndromes.
AB - Heterozygous mutations in TP63 cause a wide spectrum of autosomal dominant
developmental disorders variably affecting skin, limbs, and face. TP63 encodes
p63, a protein expressed in two main isoforms (Tap63 and DeltaNp63) with critical
roles in both cell differentiation and development. Some analyses suggest a
relationship of the mutation site to the observed clinical picture, although this
link is inconsistent. This suggests an appreciable phenotypic continuity within
the TP63-related disorders. We report a 3-month-old boy ascertained for
congenital scalp erosion and mild features of ectodermal dysplasia. His mother
showed full-blown characteristics of Rapp-Hodgkin syndrome plus intense abdominal
and popliteal freckling. Molecular investigation identified the novel TP63
mutation c.1697delG. We used a luciferase reporter assay to compare the effects
on the p63 transactivation (TA) activity of c.1697delG with that of the
p.Arg280Cys and p.Gln634X mutations, associated with ectrodactyly-ectodermal
dysplasia-cleft lip/palate syndrome and isolated split hand/foot malformation,
respectively. These results demonstrated complex behavior of c.1697delG in the TA
of genes involved in epidermal differentiation and development and shed further
light in the physiopathology of TP63-related disorders.
PMID- 22069183
TI - Fifty years of X-inactivation research.
AB - The third X-inactivation meeting 'Fifty years of X-inactivation research', which
celebrated the fiftieth anniversary of Mary Lyon's formulation of the X
inactivation hypothesis, was an EMBO workshop held in Oxford, UK, in July 2011.
This conference brought together the usual suspects from the field, as well as
younger researchers, to discuss recent advances in X-inactivation research. Here,
we review the results presented at the meeting and highlight some of the exciting
progress that has been made. We also discuss the future challenges for the field,
which aim to further our understanding of the developmental regulation of X
inactivation, the randomness (or skewing) of X inactivation, and the diverse
strategies used by mammalian species to mediate X inactivation.
PMID- 22069182
TI - Inhibitory activities of pancreatic lipase and phosphodiesterase from Korean
medicinal plant extracts.
AB - To find new pancreatic lipase (triacylglycerol acylhydrolase, EC 3.1.1.3)
inhibitors from natural products, 61 medicinal plants from Korea were screened
for their antilipase activity for prevention of obesity. Dried and powdered
plants were extracted three times with EtOH and extracts were obtained by removal
of the solvent in vacuo. Lipase activity was determined by measuring the
hydrolysis of p-nitrophenyl butyrate to p-nitrophenol. Also, the inhibitory
effect was measured on phosphodiesterase (PDE), another therapeutic target for
obesity. Of the extracts tested, Sorbus commixta (stem, leaf) and Viscum album
(whole plant) exhibited antilipase activity (with IC(50) values of 29.6 ug/mL and
33.3 ug/mL, respectively) and only anti-PDE activity (IC(50) values of 20.08
ug/mL and 35.15 ug/mL, respectively).
PMID- 22069184
TI - Chromosome silencing mechanisms in X-chromosome inactivation: unknown unknowns.
AB - Fifty years ago, Mary Lyon hypothesised that one of the two X chromosomes in
female mammalian cells is inactivated at random during early embryogenesis and
that the inactive X is then stably maintained through all subsequent cell
divisions. Although Lyon's hypothesis is now widely regarded as fact, we should
not forget that her conceptual leap met with considerable resistance from the
scientific establishment at the time - a common response to new ideas. Taking
this point as a theme, I discuss our current understanding of the molecular
mechanism of chromosome silencing in X-chromosome inactivation and focus on
topics where new findings are challenging the prevailing view.
PMID- 22069185
TI - Hedgehog and retinoic acid signaling cooperate to promote motoneurogenesis in
zebrafish.
AB - The precise requirements of Hedgehog (Hh) pathway activity in vertebrate central
nervous system development remain unclear, particularly in organisms with both
maternally and zygotically derived signaling. Here we describe the motoneural
phenotype of zebrafish that lack maternal and zygotic contributions of the Hh
signaling transducer Smoothened (MZsmo mutants) and therefore are completely
devoid of ligand-dependent pathway activation. Some functional primary
motoneurons (PMNs) persist in the absence of Hh signaling, and we find that their
induction requires both basal Gli transcription factor activity and retinoic acid
(RA) signaling. We also provide evidence that RA pathway activation can modulate
Gli function in a Hh ligand-independent manner. These findings support a model in
which Hh and RA signaling cooperate to promote PMN cell fates in zebrafish.
PMID- 22069186
TI - Roles of Hedgehog pathway components and retinoic acid signalling in specifying
zebrafish ventral spinal cord neurons.
AB - In mouse, Hedgehog (Hh) signalling is required for most ventral spinal neurons to
form. Here, we analyse the spinal cord phenotype of zebrafish maternal-zygotic
smoothened (MZsmo) mutants that completely lack Hh signalling. We find that most
V3 domain cells and motoneurons are lost, whereas medial floorplate still
develops normally and V2, V1 and V0v cells form in normal numbers. This phenotype
resembles that of mice that lack both Hh signalling and Gli repressor activity.
Ventral spinal cord progenitor domain transcription factors are not expressed at
24 hpf in zebrafish MZsmo mutants. However, pMN, p2 and p1 domain markers are
expressed at early somitogenesis stages in these mutants. This suggests that Gli
repressor activity does not extend into zebrafish ventral spinal cord at these
stages, even in the absence of Hh signalling. Consistent with this, ectopic
expression of Gli3R represses ventral progenitor domain expression at these early
stages and knocking down Gli repressor activity rescues later expression. We
investigated whether retinoic acid (RA) signalling specifies ventral spinal
neurons in the absence of Hh signalling. The results suggest that RA is required
for the correct number of many different spinal neurons to form. This is probably
mediated, in part, by an effect on cell proliferation. However, V0v, V1 and V2
cells are still present, even in the absence of both Hh and RA signalling. We
demonstrate that Gli1 has a Hh-independent role in specifying most of the
remaining motoneurons and V3 domain cells in embryos that lack Hh signalling, but
removal of Gli1 activity does not affect more dorsal neurons.
PMID- 22069187
TI - The astrocyte-expressed integrin alphavbeta8 governs blood vessel sprouting in
the developing retina.
AB - The mouse retina is vascularized after birth when angiogenic blood vessels grow
and sprout along a pre-formed latticework of astrocytes. How astrocyte-derived
cues control patterns of blood vessel growth and sprouting, however, remains
enigmatic. Here, we have used molecular genetic strategies in mice to demonstrate
that alphavbeta8 integrin expressed in astrocytes is essential for
neovascularization of the developing retina. Selective ablation of alphav or
beta8 integrin gene expression in astrocytes leads to impaired blood vessel
sprouting and intraretinal hemorrhage, particularly during formation of the
secondary vascular plexus. These pathologies correlate, in part, with diminished
alphavbeta8 integrin-mediated activation of extracellular matrix-bound latent
transforming growth factor betas (TGFbetas) and defective TGFbeta signaling in
vascular endothelial cells, but not astrocytes. Collectively, our data
demonstrate that alphavbeta8 integrin is a component of a paracrine signaling
axis that links astrocytes to blood vessels and is essential for proper
regulation of retinal angiogenesis.
PMID- 22069189
TI - Retinoic acid-dependent and -independent gene-regulatory pathways of Pitx3 in
meso-diencephalic dopaminergic neurons.
AB - Development of meso-diencephalic dopamine (mdDA) neurons requires the combined
actions of the orphan nuclear receptor Nurr1 and the paired-like homeobox
transcription factor Pitx3. Whereas all mdDA neurons require Nurr1 for expression
of Th and survival, dependence on Pitx3 is displayed only by the mdDA
subpopulation that will form the substantia nigra (SNc). Previously, we have
demonstrated that Pitx3(-/-) embryos lack the expression of the retinoic acid
(RA)-generating enzyme Ahd2, which is normally selectively expressed in the Pitx3
dependent DA neurons of the SNc. Restoring RA signaling in Pitx3(-/-) embryos
revealed a selective dependence of SNc neurons on the presence of RA for
differentiation into Th-positive neurons and maintenance throughout embryonic
development. Whereas these data are suggestive of an important developmental role
for RA in neurons of the SNc, it remained unclear whether other Nurr1 and Pitx3
target genes depend on RA signaling in a manner similar to Th. In the search for
genes that were affected in Pitx3-deficient mdDA neurons and restored upon
embryonic RA treatment, we provide evidence that Delta-like 1, D2R (Drd2) and Th
are regulated by Pitx3 and RA signaling, which influences the mdDA terminal
differentiated phenotype. Furthermore, we show that regulation of Ahd2-mediated
RA signaling represents only one aspect of the Pitx3 downstream cascade, as
Vmat2, Dat, Ahd2 (Aldh1a1), En1, En2 and Cck were unaffected by RA treatment and
are (subset) specifically modulated by Pitx3. In conclusion, our data reveal
several RA-dependent and -independent aspects of the Pitx3-regulated gene
cascade, suggesting that Pitx3 acts on multiple levels in the molecular subset
specification of mdDA neurons.
PMID- 22069188
TI - Regulation of Drosophila glial cell proliferation by Merlin-Hippo signaling.
AB - Glia perform diverse and essential roles in the nervous system, but the
mechanisms that regulate glial cell numbers are not well understood. Here, we
identify and characterize a requirement for the Hippo pathway and its
transcriptional co-activator Yorkie in controlling Drosophila glial
proliferation. We find that Yorkie is both necessary for normal glial cell
numbers and, when activated, sufficient to drive glial over-proliferation. Yorkie
activity in glial cells is controlled by a Merlin-Hippo signaling pathway,
whereas the upstream Hippo pathway regulators Fat, Expanded, Crumbs and Lethal
giant larvae have no detectable role. We extend functional characterization of
Merlin-Hippo signaling by showing that Merlin and Hippo can be physically linked
by the Salvador tumor suppressor. Yorkie promotes expression of the microRNA gene
bantam in glia, and bantam promotes expression of Myc, which is required for
Yorkie and bantam-induced glial proliferation. Our results provide new insights
into the control of glial growth, and establish glia as a model for Merlin
specific Hippo signaling. Moreover, as several of the genes we studied have been
linked to human gliomas, our results suggest that this linkage could reflect
their organization into a conserved pathway for the control of glial cell
proliferation.
PMID- 22069190
TI - Reelin sets the pace of neocortical neurogenesis.
AB - Migration of neurons during cortical development is often assumed to rely on
purely post-proliferative reelin signaling. However, Notch signaling, long known
to regulate neural precursor formation and maintenance, is required for the
effects of reelin on neuronal migration. Here, we show that reelin gain-of
function causes a higher expression of Notch target genes in radial glia and
accelerates the production of both neurons and intermediate progenitor cells.
Converse alterations correlate with reelin loss-of-function, consistent with
reelin controlling Notch signaling during neurogenesis. Ectopic expression of
reelin in isolated clones of progenitors causes a severe reduction in neuronal
differentiation. In mosaic cell cultures, reelin-primed progenitor cells respond
to wild-type cells by further decreasing neuronal differentiation, consistent
with an increased sensitivity to lateral inhibition. These results indicate that
reelin and Notch signaling cooperate to set the pace of neocortical neurogenesis,
a prerequisite for proper neuronal migration and cortical layering.
PMID- 22069191
TI - Mastermind-like 1 (MamL1) and mastermind-like 3 (MamL3) are essential for Notch
signaling in vivo.
AB - Mastermind (Mam) is one of the elements of Notch signaling, a system that plays a
pivotal role in metazoan development. Mam proteins form transcriptionally
activating complexes with the intracellular domains of Notch, which are generated
in response to the ligand-receptor interaction, and CSL DNA-binding proteins. In
mammals, three structurally divergent Mam isoforms (MamL1, MamL2 and MamL3) have
been identified. There have also been indications that Mam interacts functionally
with various other transcription factors, including the p53 tumor suppressor,
beta-catenin and NF-kappaB. We have demonstrated previously that disruption of
MamL1 causes partial deficiency of Notch signaling in vivo. However, MamL1
deficient mice did not recapitulate total loss of Notch signaling, suggesting
that other members could compensate for the loss or that Notch signaling could
proceed in the absence of Mam in certain contexts. Here, we report the generation
of lines of mice null for MamL3. Although MamL3-null mice showed no apparent
abnormalities, mice null for both MamL1 and MamL3 died during the early
organogenic period with classic pan-Notch defects. Furthermore, expression of the
lunatic fringe gene, which is strictly controlled by Notch signaling in the
posterior presomitic mesoderm, was undetectable in this tissue of the double-null
embryos. Neither of the single-null embryos exhibited any of these phenotypes.
These various roles of the three Mam proteins could be due to their differential
physical characteristics and/or their spatiotemporal distributions. These results
indicate that engagement of Mam is essential for Notch signaling, and that the
three Mam isoforms have distinct roles in vivo.
PMID- 22069192
TI - ID4 regulates mammary gland development by suppressing p38MAPK activity.
AB - The ID family of helix-loop-helix proteins regulates cell proliferation and
differentiation in many different developmental pathways, but the functions of
ID4 in mammary development are unknown. We report that mouse Id4 is expressed in
cap cells, basal cells and in a subset of luminal epithelial cells, and that its
targeted deletion impairs ductal expansion and branching morphogenesis as well as
cell proliferation induced by estrogen and/or progesterone. We discover that
p38MAPK is activated in Id4-null mammary cells. p38MAPK is also activated
following siRNA-mediated Id4 knockdown in transformed mammary cells. This p38MAPK
activation is required for the reduced proliferation and increased apoptosis in
Id4-ablated mammary glands. Therefore, ID4 promotes mammary gland development by
suppressing p38MAPK activity.
PMID- 22069194
TI - Evaluation of primary prostate cancer using 11C-methionine-PET/CT and 18F-FDG
PET/CT.
AB - OBJECTIVE: The objective of this study was to evaluate the capability of (11)C
methionine (MET)-PET/CT and (18)F-2-deoxy-2-fluoro-D: -glucose (FDG)-PET/CT to
diagnose primary prostate cancer using recently developed Gemini TF PET/CT
(Philips Healthcare, Cleveland, OH). METHODS: Twenty men who had been referred
for a diagnostic work-up for prostate cancer were enrolled in this study. MET-
and FDG-PET/CT by high-resolution mode were carried out on the same day prior to
prostate biopsy and each maximum standardized uptake value (SUVmax) was compared
with the pathological findings. The regions of interest (about 100 mm(2) small
round) were placed at standard 6 points of the peripheral zone and 4 points in
the apex of the transitional zone in cases that had undergone biopsy of the
internal gland. We summed two scores if a specimen had inhomogeneous Gleason
scores (e.g. GS 7; 4 + 3) and doubled the score when the Gleason score was the
same (e.g. GS 8; 4 * 2). We divided the tumors into three groups. If the summed
Gleason score of the specimens was 5 or less, they were grouped as NG (no grade
with the Gleason score). If the summed Gleason score was 6 or 7, the tumors were
defined as LG (low Gleason score group), and if the summed Gleason score was 8, 9
or 10, the tumors were classified as HG (high Gleason score group). The mean
SUVmax was calculated and one-way analysis of variance or Kruskal-Wallis test and
the Tukey post hoc test were performed for statistical comparisons. The
capabilities of MET and FDG for diagnosing prostate cancer were evaluated through
analysis of the area under the curve of the receiver operating characteristic
(ROC) curve. The cut-off levels of SUVmax for the highest accuracy were
determined by the results of the ROC analysis, and the sensitivity, specificity
and accuracy were calculated. RESULTS: The PET images, obtained with Gemini TF
PET/CT, allowed visual identification of anatomical locations within the prostate
gland. Among the mean SUVmax of MET, FDG early phase and FDG delayed phase, the
differences between NG and HG were all statistically significant (P < 0.01). With
MET the difference between NG and LG was also significant (P < 0.05). And for the
elevation rate from FDG early to delayed phase, the difference between NG and HG
was significant (P < 0.05). The cut-off SUVmax, sensitivity, specificity,
accuracy for distinguishing between NG and LG + HG by MET, FDG early and delayed
phase were 3.15/78.7/75.6/78.3, 2.81/61.7/80.0/70.7 and 3.00/62.8/78.9/70.7,
respectively. And the same factors between NG + LG and HG were
3.76/70.1/89.7/82.6, 2.88/70.1/82.9/78.3 and 3.47/62.7/86.3/77.7, respectively.
CONCLUSIONS: In terms of the capability to diagnose prostate cancer of high
Gleason score (>=8), there was no significant difference between MET and FDG. MET
appears to be useful for detecting prostate cancer of both low and high Gleason
score.
PMID- 22069193
TI - A conserved PTEN/FOXO pathway regulates neuronal morphology during C. elegans
development.
AB - The phosphatidylinositol 3-kinase (PI3K) signaling pathway is a conserved signal
transduction cascade that is fundamental for the correct development of the
nervous system. The major negative regulator of PI3K signaling is the lipid
phosphatase DAF-18/PTEN, which can modulate PI3K pathway activity during
neurodevelopment. Here, we identify a novel role for DAF-18 in promoting neurite
outgrowth during development in Caenorhabditis elegans. We find that DAF-18
modulates the PI3K signaling pathway to activate DAF-16/FOXO and promote
developmental neurite outgrowth. This activity of DAF-16 in promoting outgrowth
is isoform-specific, being effected by the daf-16b isoform but not the daf-16a or
daf-16d/f isoform. We also demonstrate that the capacity of DAF-16/FOXO in
regulating neuron morphology is conserved in mammalian neurons. These data
provide a novel mechanism by which the conserved PI3K signaling pathway regulates
neuronal cell morphology during development through FOXO.
PMID- 22069195
TI - Advances in PET myocardial perfusion imaging: F-18 labeled tracers.
AB - Coronary artery disease and its related cardiac disorders represent the most
common cause of death in the USA and Western world. Despite advancements in
treatment and accompanying improvements in outcome with current diagnostic and
therapeutic modalities, it is the correct assignment of these diagnostic
techniques and treatment options which are crucial. From a diagnostic standpoint,
SPECT myocardial perfusion imaging (MPI) using traditional radiotracers like
thallium-201 chloride, Tc-99m sestamibi or Tc-99m tetrofosmin is the most
utilized imaging technique. However, PET MPI using N-13 ammonia, rubidium-82
chloride or O-15 water is increasing in availability and usage as a result of the
growing number of medical centers with new-generation PET/CT systems taking
advantage of the superior imaging properties of PET over SPECT. The routine
clinical use of PET MPI is still limited, in part because of the short half-life
of conventional PET MPI tracers. The disadvantages of these conventional PET
tracers include expensive onsite production and inconvenient on-scanner tracer
administration making them unsuitable for physical exercise stress imaging.
Recently, two F-18 labeled radiotracers with longer radioactive half-lives than
conventional PET imaging agents have been introduced. These are flurpiridaz F 18
(formerly known as F-18 BMS747158-02) and F-18 fluorobenzyltriphenylphosphonium.
These longer half-life F-18 labeled perfusion tracers can overcome the production
and protocol limitations of currently used radiotracers for PET MPI.
PMID- 22069199
TI - Development of gatekeeping strategies in confirmatory clinical trials.
AB - This paper discusses multiplicity issues arising in confirmatory clinical trials
with hierarchically ordered multiple objectives. In order to protect the overall
type I error rate, multiple objectives are analyzed using multiple testing
procedures. When the objectives are ordered and grouped in multiple families
(e.g. families of primary and secondary endpoints), gatekeeping procedures are
employed to account for this hierarchical structure. We discuss considerations
arising in the process of building gatekeeping procedures, including proper use
of relevant trial-specific information and criteria for selecting gatekeeping
procedures. The methods and principles discussed in this paper are illustrated
using a clinical trial in patients with type II diabetes mellitus.
PMID- 22069200
TI - On familywise type I error control for multiplicity in equivalence trials with
three or more treatments.
AB - For the all pairwise comparisons for equivalence of k (k>=2) treatments Lauzon
and Caffo proposed simply to divide the type I error level alpha by k-1 to
achieve a Bonferroni-based familywise error control when declaring pairs of two
treatments equivalent. This rule is shown to be too liberal for k>=4. It works
for k=3 yet for reasons not considered by Lauzon and Caffo. Based on the two one
sided testing procedures and using the closure test principle we develop valid
alternatives based on Bonferroni's inequality. The set H of intersection
hypotheses reveals a rich structure, leading to the possibility to present H as a
directed acyclic graph (DAG). This in turn allows using some graph theoretical
theorems and eases proving properties of the resulting multiple testing problems.
PMID- 22069201
TI - Robust estimation for the Cox regression model based on trimming.
AB - We propose a robust Cox regression model with outliers. The model is fit by
trimming the smallest contributions to the partial likelihood. To do so, we
implement a Metropolis-type maximization routine, and show its convergence to a
global optimum. We discuss global robustness properties of the approach, which is
illustrated and compared through simulations. We finally fit the model on an
original and on a benchmark data set.
PMID- 22069202
TI - Nonparametric Bayesian estimation of the three-way receiver operating
characteristic surface.
AB - We describe a nonparametric Bayesian approach for estimating the three-way ROC
surface based on mixtures of finite Polya trees (MFPT) priors. Mixtures of finite
Polya trees are robust models that can handle nonstandard features in the data.
We address the difficulties in modeling continuous diagnostic data with skewness,
multimodality, or other nonstandard features, and how parametric approaches can
lead to misleading results in such cases. Robust, data-driven inference for the
ROC surface and for the volume under the ROC surface is obtained. A simulation
study is performed to assess the performance of the proposed method. Methods are
applied to data from a magnetic resonance spectroscopy study on human
immunodeficiency virus patients.
PMID- 22069203
TI - Patient safety: issues and advances.
PMID- 22069205
TI - Role of effective teamwork and communication in delivering safe, high-quality
care.
AB - Healthcare is delivered in an extraordinary complex environment. Despite highly
skilled, dedicated clinicians, there are currently unacceptably high levels of
communication failures and adverse events. Effective teamwork, in conjunction
with reliable processes of care, is essential for the consistent delivery of high
quality care. Practical concepts and tools are provided that address the team
behaviors of structured communication, effective assertion/critical language,
psychological safety, situational awareness, and effective leadership. Examples
of the mounting clinical evidence of improved patient outcomes and reduced harm
resulting from effective teamwork training are cited.
PMID- 22069204
TI - Improving quality in healthcare: start with the patient.
AB - In the decade since the Institute of Medicine released To Err Is Human, patient
harm from medical errors is still widespread. Healthcare has not undergone the
transformative change that is needed to reduce medical errors and improve
quality. This article discusses patient-centeredness as an organizing principle
for transforming healthcare. We also describe important efforts that depict the
shift from a provider-focused system to one that is more patient-centered.
Finally, the article discusses challenges for the future and the importance of
involving patients in the quest to deliver safe, quality care.
PMID- 22069206
TI - Effect of e-prescribing systems on patient safety.
AB - E-prescribing systems enable electronic transmissions of prescriptions to
pharmacies from the provider's office. The promise of e-prescribing in regard to
patient safety is reduction in the time gap between point of care and point of
service, reduction in medication errors, and improved quality of care. This
article will give a brief overview of e-prescribing systems, what is known about
these systems and their impact on patient safety, and what challenges remain. For
purposes of this article, the term "patient safety" will be used interchangeably
with medication errors and adverse drug events. Although there is some evidence
that e-prescribing alone and e-prescribing with medication decision support can
reduce medication errors, there is also evidence that e-prescribing can be a
source of medication errors. The need for more study is particularly relevant and
timely, as the Centers for Medicare and Medicaid Services is strongly
incentivizing providers to use e-prescribing with medication decision support.
Despite concerns about efficiency and dissatisfaction, the majority of providers
believe e-prescribing provides for improved patient safety. Limited evidence
suggests that e-prescribing with medication decision support can improve patient
safety.
PMID- 22069207
TI - Reforming way medical students and physicians are taught about quality and
safety.
AB - The purpose of this article is to briefly review the history of how quality and
safety have been addressed in the United States by those who have been teaching
medical students and residents, and then discuss why and how this training must
change in the future to more effectively address the problems of improving
healthcare quality and safety. Although it has become clear that the curriculum
in medical schools should encompass quality and safety training, medical schools
have been very slow to implement the reforms that are necessary to accomplish
such a goal. These changes, although desirable from a rational perspective,
involve basic changes in the culture of medical schools and teaching hospitals.
Moreover, the cost of implementing these changes would be very large, and, if
imposed by outside agencies, would likely constitute an unfunded mandate. It
should also be noted that at the present time there are very few people who are
well trained to develop and teach these classes. In order to accomplish the goal
of improving patient safety, it is essential that we provide much more training
and knowledge regarding patient safety to medical students, including knowledge
of interventions known to be effective in preventing errors; education in
technical performance; information about organizational and team issues; and
training in disclosing errors to patients. This training should occur early in
the training of professionals, preferably while they are still in school, if such
training is to change the culture of medicine. Some suggestions and plans for
implementation are discussed, using some innovative programs as examples.
PMID- 22069208
TI - Patient safety training simulations based on competency criteria of the
Accreditation Council for Graduate Medical Education.
AB - This report reviews and critically evaluates the development of 3 movements in
healthcare that have had a profound impact on changes occurring at all levels of
medical education: patient safety, healthcare simulation, and competency-based
education (exemplified by the Accreditation Council for Graduate Medical
Education). The authors performed a critical and selective review of the
literature from 1999 to 2011 to identify uses of simulation to address patient
safety issues aligned according to the Accreditation Council for Graduate Medical
Education 6 core competencies: (1) patient care; (2) medical knowledge; (3)
interpersonal and communication skills; (4) professionalism; (5) practice-based
learning; and (6) systems-based practice. The research synthesis is reported to
inform and provide evidence about how simulation is used to train and evaluate
learners on a range of patient-safety issues for each of the core competencies:
There is emerging evidence that simulation can be used in training efforts to
reduce medical errors related to medical knowledge and patient care (particular
invasive procedures as well as improved communication and teamwork skills). There
remains limited evidence on its impact to improve patient safety related to more
complex competencies of practice-based learning and systems-based practice.
Simulation-based learning can lead to positive patient outcomes and reduction of
medical errors particularly when used for individual skills. However, particular
attention needs to be placed on the organizational context in which it is
implemented if improvements in practice-based learning and systems-based practice
are to be realized.
PMID- 22069209
TI - Errors in transfusion medicine: have we learned our lesson?
AB - The phrase "patient safety" represents freedom from accidental or preventable
harm due to events occurring in the healthcare setting. Practitioners aim to
reduce, if not prevent, medical errors and adverse outcomes. Yet studies
performed from many perspectives show that medical error constitutes a serious
worldwide problem. Transfusion medicine, with its interdisciplinary intricacies
and the danger of fatal outcomes, serves as an exemplar of lessons learned.
Opportunity for error in complex systems is vast, and although errors are
traditionally blamed on humans, they are often set up by preexisting factors.
Transfusion has inherent hazards such as clinical vulnerabilities (eg,
contracting an infectious agent or experiencing a transfusion reaction), but
there also exists the possibility of hazards associated with process errors.
Sample collection errors, or preanalytic errors, may occur when samples are drawn
from donors during blood donation, as well as when drawn from patients prior to
transfusion-related testing, and account for approximately one-third of events in
transfusion. Errors in the analytic phase of the transfusion chain, slips and
errors in the laboratory, comprise close to one-third of patient safety-related
transfusion events. As many as 40% of mistransfusions are due to errors in the
postanalytic phase: often failures in the final check of the right blood and the
right patient at the bedside. Bar-code labels, radiofrequency identification
tags, and even palm vein-scanning technology are increasingly being utilized in
patient identification. The last phase of transfusion, careful monitoring of the
recipient for adverse signs or symptoms, when performed diligently can help
prevent or manage a potentially fatal reaction caused by an earlier process error
or an unavoidable physiologic condition. Ways in which we can and do deal with
potential hazards of transfusion are discussed, including a method of hazard
reduction termed inherently safer design. This approach aims to lessen risk, with
elimination of a hazard or the reduction of its occurrence as primary. In blood
transfusion, elimination and marked reduction of some hazards has been employed
to good effect. However, there is still a heavy reliance on procedural methods in
the essentially manual steps constituting the phases of the transfusion chain.
Some hospitals have created a new role of transfusion safety officer to assist in
the effort of monitoring, identifying, and resolving conditions that may lessen
safety.
PMID- 22069210
TI - Prevention of retained surgical items.
AB - Reduction in retained surgical items is an important part of any operating room
patient-safety effort. Any item used in an operation can result in a retained
surgical item, but sponges are the most frequent and the abdomen is the most
common location. Retained sponges can cause significant morbidity, and the costs
associated with both prevention and treatment of retained surgical items,
including legal costs, can be considerable. This review will examine counting,
teamwork, radiography, and new technology as methods used to prevent retained
surgical items. Even though none of these techniques individually is likely to
completely prevent retained surgical items, when used together the numbers can be
reduced.
PMID- 22069211
TI - Mitochondrial pathology in Parkinson's disease.
AB - The last 25 years have witnessed remarkable advances in our understanding of the
etiology and pathogenesis of Parkinson's disease. The ability to undertake
detailed biochemical analyses of the Parkinson's disease postmortem brain enabled
the identification of defects of mitochondrial and free-radical metabolism. The
discovery of the first gene mutation for Parkinson's disease, in alpha-synuclein,
ushered in the genetic era for the disease and the subsequent finding of several
gene mutations causing parkinsonism, 15 at the time of writing. Technological
advances both in sequencing technology and software analysis have allowed
association studies of sufficiently large size accurately to describe genes
conferring an increased risk for Parkinson's disease. What has been so surprising
is the convergence of these 2 separate disciplines (biochemistry and genetics) in
terms of reinforcing the importance of the same pathways (ie, mitochondrial
dysfunction and free-radical metabolism). Other pathways are also important in
pathogenesis, including protein turnover, inflammation, and post-translational
modification, particularly protein phosphorylation and ubiquitination. However,
even these additional pathways overlap with each other and with those of
mitochondrial dysfunction and oxidative stress. This review explores these
concepts with particular relevance to mitochondrial involvement.
PMID- 22069212
TI - Image analysis of small pulmonary nodules identified by computed tomography.
AB - Detection of small pulmonary nodules has markedly increased as computed
tomography (CT) technology has advanced and interpretation evolved from viewing
small CT images on film to magnified images on large, high-resolution computer
monitors. Despite these advances, determining the etiology of a lung nodule short
of major surgery remains problematic. Initial nodule size is a major criterion in
evaluating the risk for malignancy, and the majority of CT detected nodules are
<10 mm in diameter. Also, the likelihood that the nodule is a lung cancer
increases with increasing age and smoking history, and such clinical information
needs to be integrated into algorithms that guide the workup of such nodules.
Baseline and annual repeat screening results are also very helpful in developing
and assessing the usefulness of such algorithms. Based on CT morphology, subtypes
of nodules have been identified; today nodules are routinely classified as being
solid, part-solid, or nonsolid. It has been shown that part-solid nodules have a
higher frequency of being malignant than solid or nonsolid ones. Other nodule
characteristics such as spiculation are useful, although granulomas and fibrosis
also have such features, so these characteristics have not been as useful as
nodule-growth assessment. Depending on the aggressiveness of the lung cancer and
the size of the nodule when it is initially seen, a follow-up CT scan 1-3 months
after the first CT scan can identify those nodules with growth at a malignant
rate. Software has been developed by all CT scanner manufacturers for such growth
assessment, but the inherent variability of such assessments needs further
development. Nodule-growth assessment based on 2-dimensional approaches is
limited; therefore, software has been developed for the 3-dimensional assessment
of growth. Different approaches for such growth assessment have been developed,
either using automated computer segmentation techniques or hybrid methods that
allow the radiologist to adjust such segmentation. There are, however, inherent
reasons for variability in such measurements that need to be carefully
considered, and this, together with continued technologic advances and
integration of the relevant clinical information, will allow for
individualization of the algorithms for the workup of small pulmonary nodules.
PMID- 22069213
TI - Use of in vivo real-time optical imaging for esophageal neoplasia.
AB - Esophageal adenocarcinoma carries a poor prognosis, as it typically presents at a
late stage. Thus, a major research priority is the development of novel
diagnostic-imaging strategies that can detect neoplastic lesions earlier and more
accurately than current techniques. Advances in optical imaging allow clinicians
to obtain real-time histopathologic information with instant visualization of
cellular architecture and the potential to identify neoplastic tissue. The
various endoscopic imaging modalities for esophageal neoplasia can be grouped
into 2 major categories: (1) wide-field imaging, a comparatively lower-resolution
view for imaging larger surface areas, and (2) high-resolution imaging, which
allows individual cells to be visualized. This review will provide an overview of
the various forms of real-time optical imaging in the diagnosis and management of
Barrett's esophagus and esophageal adenocarcinoma.
PMID- 22069214
TI - Unravelling the mechanism of glycerol hydrogenolysis over rhodium catalyst
through combined experimental-theoretical investigations.
AB - We report herein a detailed and accurate study of the mechanism of rhodium
catalysed conversion of glycerol into 1,2-propanediol and lactic acid. The first
step of the reaction is particularly debated, as it can be either dehydration or
dehydrogenation. It is expected that these elementary reactions can be influenced
by pH variations and by the nature of the gas phase. These parameters were
consequently investigated experimentally. On the other hand, there was a lack of
knowledge about the behaviour of glycerol at the surface of the metallic
catalyst. A theoretical approach on a model Rh(111) surface was thus implemented
in the framework of density functional theory (DFT) to describe the above
mentioned elementary reactions and to calculate the corresponding transition
states. The combination of experiment and theory shows that the dehydrogenation
into glyceraldehyde is the first step for the glycerol transformation on the Rh/C
catalyst in basic media under He or H(2) atmosphere.
PMID- 22069215
TI - Detection of a novel FH whole gene deletion in the propositus leading to
subsequent prenatal diagnosis in a sibship with fumarase deficiency.
AB - Fumarase deficiency is a rare autosomal recessive metabolic condition. We report
on a sibship with molecularly confirmed fumarase deficiency. Prenatal findings
included agenesis of the corpus callosum, ventriculomegaly, and ventriculoseptal
defect. The postnatal course was significant for metabolic acidosis ultimately
leading to death around 3 weeks of age. Postmortem findings were noted including
swollen mitochondria with abnormal cristae on electron microscopy within the
liver. Molecular testing revealed a novel whole gene deletion in conjunction with
a point mutation. While the point mutation has been previously reported, the
detection of a whole gene deletion has not been described to date in an
individual with fumarase deficiency.
PMID- 22069216
TI - Few sex differences in the use of drugs for secondary prevention after stroke: a
nationwide observational study.
AB - PURPOSE: This observational study describes the sex differences in the use of
secondary preventive drugs after ischemic stroke in terms of prescribing and
persistence. Also, sex differences in patient- and treatment-related factors
associated with drug use were investigated. METHODS: In this nationwide register
based study, the Swedish Stroke Register was linked to the Swedish Prescribed
Drug Register for information on drugs prescribed for, and bought by, stroke
patients. Background factors were included from the Swedish Stroke Register.
RESULTS: Included in the database were 9331 men and 9018 women. Men were more
often prescribed statins, 48.8% versus 38.1% [age-adjusted prevalence ratio (PR)
= 0.86, 95%CI = 0.82-0.91], and warfarin, 38.4% versus 26.4% after stroke (age
adjusted PR = 0.88, 95%CI = 0.79-0.97). There were no differences in prescribing
of antihypertensive or antiplatelet drugs. No sex differences were seen regarding
not continuing drug treatment after discharge (primary non-adherence). Women had
slightly higher persistence to antihypertensive treatment 2 years after
discharge, 76.3% versus 71.9% for men (age-adjusted PR = 1.05, 95%CI = 1.00
1.09), but there were no differences in persistence to antiplatelet, warfarin or
statin treatments. Similar factors were related to statin and warfarin
prescribing for both men and women. Only antihypertensive treatment before stroke
was associated to persistence to antihypertensive treatment, and this increased
persistence for both men and women. CONCLUSIONS: This study showed few
differences between men and women after stroke. Patients' use of secondary
preventive drugs needs to be improved, and from a public health perspective, poor
persistence is probably a greater problem than differences between the sexes.
PMID- 22069217
TI - Comparison of headspace solid-phase microextraction, headspace single-drop
microextraction and hydrodistillation for chemical screening of volatiles in
Myrtus communis L.
AB - INTRODUCTION: Headspace solid-phase microextraction (HS-SPME) and headspace
single-drop microextraction (HS-SDME) methods have never been used for the
extraction and analysis of the volatile compounds of Myrtus communis. For that
reason, in this work, these two techniques were compared with the traditional
hydrodistillation (HD) extraction technique. OBJECTIVE: To compare SPME and SDME
with HD for the extraction and analysis of Myrtus communis volatiles.
METHODOLOGY: Three extraction methods, i.e. SPME, SDME and HD, coupled to gas
chromatography-mass spectrometry were used and optimised for the analysis of
Myrtus communis volatiles. The SPME extraction was performed on a 100 um PDMS
fibre and for SDME a drop of n-octadecane containing 0.7% of menthol as internal
standard was used as extracting solvent. The results were compared from different
viewpoints including efficiency of extraction, different kinds of species
extracted and quantity of extracted compounds with HD. RESULTS: The main analytes
extracted by SPME were found to be alpha-pinene, limonene, 1,8-cineole, linalool,
linalyl acetate, alpha-terpinyl acetate and geranyl acetate, whereas for SDME
alpha-pinene, limonene, 1,8-cineole, linalool, linalyl acetate and beta-myrcene
were extracted as major components. Hydrodistillation could extract alpha-pinene,
limonene, 1,8-cineole, linalool, alpha-terpineol, linalyl acetate, alpha-terpinyl
acetate, geranyl acetate and cis-isoeugenol better than other volatiles from
Myrtus communis. CONCLUSION: The results demonstrated that HS-SPME and HS-SDME
can be applied successfully for the extraction and separation of volatiles in
aromatic plants, and these techniques are easier to perform, and more effective
than HD for collection of more volatile compounds.
PMID- 22069220
TI - Synthetic prodiginine obatoclax (GX15-070) and related analogues: anion binding,
transmembrane transport, and cytotoxicity properties.
AB - Synthetic prodiginine obatoclax shows promise as a potential anticancer drug.
This compound promotes apoptosis of cancer cells, although the mechanism of
action is unclear. To date, only the inhibition of BCL-2 proteins has been
proposed as a mechanism of action. To gain insight into other possible modes of
action, we have studied the anion-binding properties of obatoclax and related
analogues in solution, in the solid state, and by means of density functional
theory calculations. These compounds are well suited to interact with anions such
as chloride and bicarbonate. The anion-transport properties of the compounds
synthesized were assayed in model phospholipid liposomes by using a chloride
selective-electrode technique and (13)C NMR spectroscopy. The results
demonstrated that these compounds are efficient anion exchangers that promote
chloride, bicarbonate, and nitrate transport through lipid bilayers at very low
concentrations. In vitro studies on small-cell lung carcinoma cell line GLC4
showed that active ionophores are able to discharge pH gradients in living cells
and the cytotoxicity of these compounds correlates well with ionophoric activity.
PMID- 22069221
TI - Safety aspects of antiepileptic drugs--focus on pharmacovigilance.
AB - PURPOSE: Most patients with epilepsy are dependent on a life-long pharmacological
treatment with antiepileptic drugs (AEDs). AEDs include a wide range of
substances that possess large pharmacological variability and are often
susceptible to drug interactions and adverse drug reactions (ADRs). AEDs are
increasingly used in other neurological and psychiatric disorders, and new
patient groups are exposed to these drugs. The aim of the present review is to
focus upon how pharmacovigilance contributes to improved safety of AEDs. METHODS:
The present article is a review based on searches in Pubmed on articles from the
last 15 years. Strengths and challenges regarding these aspects will be
highlighted and discussed. RESULTS: The concept of pharmacovigilance includes
various methods of monitoring of large patient populations with registries and
databases of surveillance of ADRs and risk of suicide and sudden unexpected
death, drug utilization and prescription patterns, pregnancy and birth registries
and therapeutic drug monitoring (TDM) databases for studies on pharmacological
variability of AEDs in large sample sizes. Challenges for existing reporting
systems and databases include differences in outcome measures and thus
international comparison. CONCLUSION: Continuous focus on safety aspects of AEDs
and the importance of the implementation of pharmacovigilance contribute to
further optimized therapy on a large scale and for the individual patient.
PMID- 22069219
TI - Ex vivo spinal cord slice model of neuromyelitis optica reveals novel
immunopathogenic mechanisms.
AB - OBJECTIVE: Neuromyelitis optica (NMO) is a neuroinflammatory disease of spinal
cord and optic nerve associated with serum autoantibodies (NMO-immunoglobulin G
[IgG]) against astrocyte water channel aquaporin-4 (AQP4). Recent studies suggest
that AQP4 autoantibodies are pathogenic. The objectives of this study were to
establish an ex vivo spinal cord slice model in which NMO-IgG exposure produces
lesions with characteristic NMO pathology, and to test the involvement of
specific inflammatory cell types and soluble factors. METHODS: Vibratome-cut
transverse spinal cord slices were cultured on transwell porous supports. After 7
days in culture, spinal cord slices were exposed to NMO-IgG and complement for 1
to 3 days. In some studies inflammatory cells or factors were added. Slices were
examined for glial fibrillary acidic protein (GFAP), AQP4, and myelin
immunoreactivity. RESULTS: Spinal cord cellular structure, including astrocytes,
microglia, neurons, and myelin, was preserved in culture. NMO-IgG bound strongly
to astrocytes in the spinal cord slices. Slices exposed to NMO-IgG and complement
showed marked loss of GFAP, AQP4, and myelin. Lesions were not seen in the
absence of complement or in spinal cord slices from AQP4 null mice. In cultures
treated with submaximal NMO-IgG, the severity of NMO lesions was increased with
inclusion of neutrophils, natural killer cells, or macrophages, or the soluble
factors tumor necrosis factor alpha (TNFalpha), interleukin-6 (IL-6), IL-1beta,
or interferon-gamma. Lesions were also produced in ex vivo optic nerve and
hippocampal slice cultures. INTERPRETATION: These results provide evidence for
AQP4, complement- and NMO-IgG-dependent NMO pathogenesis in spinal cord, and
implicate the involvement of specific immune cells and cytokines. Our ex vivo
model allows for direct manipulation of putative effectors of NMO disease
pathogenesis in a disease-relevant tissue.
PMID- 22069222
TI - Quantitative assessment of skeletal muscle degeneration in patients with myotonic
dystrophy type 1 using MRI.
AB - PURPOSE: To identify MRI biomarkers that could be used to follow disease
progression and therapeutic efficacy in one individual muscle in patients with
myotonic dystrophy type 1 (DM1). MATERIALS AND METHODS: Lower limb MRI and
maximal ankle dorsiflexor strength assessment, using a hand-held dynamometer,
were performed in 19 DM1 patients and 6 control subjects. The volume of residual
muscle tissue of Tibialis Anterior (TA) muscle was chosen as an index for muscle
atrophy, and the T2-relaxation-time of the residual muscle tissue was measured to
evaluate edema-like lesions. The fat-to-water ratio was assessed using three
point Dixon images to quantify fat infiltration in the entire muscle. RESULTS:
The intra-observer variability of MRI indices (~5.2% for the residual muscle
tissue volume and 2.5% for the fat-to-water ratio) was lower than that of the
dorsiflexor torque measurement (~11.5%). A high correlation (r = 0.91) was found
between maximal ankle dorsiflexor strength and residual TA muscle tissue volume
in DM1 patients. Increases in the fat-to-water ratio and T2-relaxation-time were
associated with a decrease in maximal ankle dorsiflexor strength. CONCLUSION: MRI
appears as a noninvasive method which can be used to follow disease progression
and therapeutic efficacy.
PMID- 22069223
TI - Formation of giant protein vesicles by a lipid cosolvent method.
AB - This paper describes a method to create giant protein vesicles (GPVs) of >=10 MUm
by solvent-driven fusion of large vesicles (0.1-0.2 MUm) with reconstituted
membrane proteins. We found that formation of GPVs proceeded from rotational
mixing of protein-reconstituted large unilamellar vesicles (LUVs) with a lipid
containing solvent phase. We made GPVs by using n-decane and squalene as
solvents, and applied generalized polarization (GP) imaging to monitor the
polarity around the protein transmembrane region of aquaporins labeled with the
polarity-sensitive probe Badan. Specifically, we created GPVs of spinach SoPIP2;1
and E. coli AqpZ aquaporins. Our findings show that hydrophobic interactions
within the bilayer of formed GPVs are influenced not only by the solvent
partitioning propensity, but also by lipid composition and membrane protein
isoform.
PMID- 22069224
TI - Binap-gold(I) versus Binap-silver trifluoroacetate complexes as catalysts in 1,3
dipolar cycloadditions of azomethine ylides.
AB - The 1,3-dipolar cycloaddition between azomethine ylides and alkenes is
efficiently catalysed by [{(S(a))-Binap-Au(tfa)}(2)] (Binap=2,2'
bis(diphenylphosphino)-1,1'-binaphthyl; tfa=trifluoroacetyl). Maleimides, 1,2
bis(phenylsulfonyl)ethylene, chalcone and nitrostyrene were suitable
dipolarophiles even when using sterically hindered 1,3-dipole precursors. The
results obtained in these transformations improve the analogous ones obtained in
the same reactions catalysed by [Binap-Ag(tfa)]. In addition, computational
studies have also been carried out to demonstrate both the high
enantioselectivity exhibited by the chiral gold(I) complex, and the non-linear
effect observed in this transformation.
PMID- 22069225
TI - Long-term mental health of men who lose a wife to cancer--a population-based
follow-up.
AB - OBJECTIVE: This study investigated the long-term risk of psychological morbidity
for men after losing a wife to cancer. A further aim of the study was to
investigate if being in a new relationship at the time of follow-up affects the
risk of psychological morbidity. METHOD: In a population-based cohort study, we
collected data from 907 men in Sweden who lost a wife to cancer in the breast,
ovary or colon 4-5 years earlier. A control group of 330 married men was also
included. RESULTS: Six hundred ninety-one of the widowers (76%) and 262 of the
controls (79%) answered a questionnaire. Widowers in a new relationship had a
similar risk of psychological morbidity compared with a control group of married
men. However, compared with widowers in a new relationship, single widowers
reported increased risks of (among other symptoms) the following: depression (RR
2.2, confidence interval [CI] 1.5-3.2), anxiety (RR 1.6, CI 1.1-2.5) emotional
numbness (RR 2.2, CI 1.7-2.8), and waking up at night with anxiety (RR 2.2, CI
1.4-3.7). CONCLUSIONS: Men who lost a wife to cancer in Sweden in 2000 or 2001
and are single 4-5 years later have increased risks of psychological morbidity,
both compared with widowers who are in a new relationship at the time of follow
up and with married men. Further scientific effort is needed for improved
understanding of the most likely underlying mechanisms; that is, that enhanced
emotional support of a new relationship after the loss of a wife protects against
psychological morbidity, or alternatively, that the healthiest widowers enter a
new relationship.
PMID- 22069227
TI - Fourier analysis of STimulated echoes (FAST) for the quantitative analysis of
left ventricular twist.
AB - PURPOSE: To validate a novel method for the rapid and facile quantification of
left ventricular (LV) twist from tagged magnetic resonance images and demonstrate
the potential clinical utility in a series of 20 healthy volunteers. MATERIALS
AND METHODS: Cardiac magnetic resonance imaging (MRI) short-axis images were
acquired with tissue tagging in 20 healthy subjects and six canines. The tagged
images were processed using a novel Fourier Analysis of the STimulated echoes
(FAST) method, which uses a series of Fourier-space operations to measure LV
twist with limited user interaction. A subset of eight healthy subjects and the
canine data were compared to results from previously validated "gold standard"
software (FindTags). Interobserver and intraobserver coefficients of variation
(CV(INTER) and CV(INTRA) ), linear regression, and Bland-Altman analyses were
used to assess agreement between observers and methods. RESULTS: CV(INTRA) for
peak systolic twist (2.9% and 2.6%) and CV(INTER) (4.3% and 4.2%) were all small.
Linear regression analysis of the FAST and FindTags twist values indicated very
good agreement in healthy subjects (R = 0.91) and in canines (R = 0.95). Bland
Altman comparison of the FAST and FindTags twist results indicated excellent
agreement in healthy subjects (bias of -0.5 degrees , 95% confidence intervals (
4.3 degrees , 4.3 degrees )) and canines (bias of 0.2 degrees , 95% confidence
intervals (-2.7 degrees , 3.1 degrees )). Peak systolic twist in healthy subjects
averaged 10.5 +/- 1.9 degrees degrees. CONCLUSION: The FAST method for
quantifying LV twist produces results that are not significantly different from
the current "gold standard" in a fraction of the user interaction time and has
demonstrated feasibility in human subjects.
PMID- 22069228
TI - 15N relaxation NMR studies of prolyl oligopeptidase, an 80 kDa enzyme, reveal a
pre-existing equilibrium between different conformational states.
AB - Open and closed: The characterization of protein mobility is crucial for the
understanding of biological functions. We have applied NMR spectroscopy to study
the conformational dynamics of the 80 kDa enzyme prolyl oligopeptidase (POP). Our
results revealed that POP is highly dynamic and that inhibition of catalytic
activity shifts this conformational equilibrium towards a less dynamic state.
PMID- 22069229
TI - Evaluating the polar organic chemical integrative sampler for the monitoring of
beta-blockers and hormones in wastewater treatment plant effluents and receiving
surface waters.
AB - Wastewater treatment plants (WWTP) are known to be a source of surface water
contamination by organic compounds such as pharmaceuticals. The objective of the
present work was to study the suitability of the polar organic chemical
integrative sampler (POCIS) to monitor beta-blockers and hormones in effluents
and surface waters. Four sampling campaigns were carried out in French rivers
(the Saone, the Ardieres, the Bourbre, and the Seine) between November 2007 and
September 2008. Passive samplers were exposed in surface waters, upstream and
downstream of WWTP outflows, and in effluents. Exposures lasted for up to 24 d to
study the uptake kinetics directly in situ, and repeatability was assessed by
exposure of triplicates. A good agreement was found between POCIS and water
samples. With the exception of atenolol, beta-blockers showed a linear uptake
during at least three weeks, and their sampling rates could be determined in
situ. These sampling rates were then used to calculate time-weighted average
concentrations of beta-blockers in the Seine River with an overall good accuracy
and repeatability. Such calculations could not be performed for hormones because
of their variable occurrences and low concentrations in water and POCIS. Polar
organic chemical integrative sampler therefore seems to be a suitable tool for
monitoring beta-blockers in surface waters impacted by WWTP effluents. Longer
exposure durations would be necessary to determine the suitability of POCIS for
monitoring hormones. Finally, preliminary assays on the use of several deuterated
compounds as performance reference compounds showed promising results for
deuterated atenolol.
PMID- 22069230
TI - Boron- and silicon-substituted [3]-1-heterodendralenes as versatile building
blocks for the rapid construction of polycyclic architectures.
PMID- 22069231
TI - Concise review: Cord blood banking, transplantation and induced pluripotent stem
cell: success and opportunities.
AB - Hematopoietic cell transplantation (HCT) has become a standard practice to treat
a number of malignant and nonmalignant hematologic diseases. Bone marrow,
mobilized peripheral blood, and umbilical cord blood can all serve as primary
sources of cells for HCT. The number of cord blood units currently stored is
large, although it represents only a fraction of potential collections. With much
of the collection being sequestered in private banks for possible autologous use,
there is a reason to expect that public banks may not be able to provide for the
demand in coming years as use of cord blood for treatment of patients with
diseases such as leukemia and lymphoma continues to increase. We suggest that a
possible solution to encourage private banks to share their valuable units is to
apply recent methodologies to generate induced pluripotent stem cells from cord
cells and to optimize techniques to generate hematopoietic lineages from them.
This strategy would allow us to take advantage of the units already collected
under appropriate regulatory guidelines, to access a pristine cell that can be
converted to a pluripotent cell at a much higher efficiency and in a shorter time
period than other cells. The ability to potentially replenish a used cord unit
with new cells, as well as extend the potential utility of cord blood for
additional therapeutic applications, should allow banks to develop an appropriate
business model for both private and public cord blood banks to flourish.
PMID- 22069232
TI - Age and dose-limited PET-CT scan regime in lymphoma: between the devil and the
deep blue sea?
AB - In this study the authors speculate about hypothetical effective-dose (E)
reduction through limiting post-chemotherapy PET-CT scanning to lymphoma sites
previously identified on pre-treatment CT. E reductions/scan time savings are
compared between post-treatment standard and theoretically limited PET-CT scans.
The influence of patient age with E savings and associated clinical implication
for 100 subjects are discussed. The greatest E theoretical savings of 52 and 32%
for the CT contribution and combined PET-CT, respectively, were seen in patients
<18 y old using limited scans in this study, with a potential mean time saving of
16 min per patient across the entire cohort. However, the limited PET-CT regime
here missed a 1% rate of unexpected cancer that standard PET-CT recorded. The
authors recommend performing larger scale multi-centre studies comparing PET-CT
pre- and post-chemotherapy to establish full clinical efficacy of this method.
PMID- 22069233
TI - 3D calculation of absorbed dose for 131I-targeted radiotherapy: a Monte Carlo
study.
AB - Various methods, such as those developed by the Medical Internal Radiation
Dosimetry (MIRD) Committee of the Society of Nuclear Medicine or employing dose
point kernels, have been applied to the radiation dosimetry of (131)I
radionuclide therapy. However, studies have not shown a strong relationship
between tumour absorbed dose and its overall therapeutic response, probably due
in part to inaccuracies in activity and dose estimation. In the current study,
the GATE Monte Carlo computer code was used to facilitate voxel-level radiation
dosimetry for organ activities measured in an (131)I-treated thyroid cancer
patient. This approach allows incorporation of the size, shape and composition of
organs (in the current study, in the Zubal anthropomorphic phantom) and intra
organ and intra-tumour inhomogeneities in the activity distributions. The total
activities of the tumours and their heterogeneous distributions were measured
from the SPECT images to calculate the dose maps. For investigating the effect of
activity distribution on dose distribution, a hypothetical homogeneous
distribution of the same total activity was considered in the tumours. It was
observed that the tumour mean absorbed dose rates per unit cumulated activity
were 0.65E-5 and 0.61E-5 mGY MBq(-1) s(-1) for the uniform and non-uniform
distributions in the tumour, respectively, which do not differ considerably.
However, the dose-volume histograms (DVH) show that the tumour non-uniform
activity distribution decreases the absorbed dose to portions of the tumour
volume. In such a case, it can be misleading to quote the mean or maximum
absorbed dose, because overall response is likely limited by the tumour volume
that receives low (i.e. non-cytocidal) doses. Three-dimensional radiation
dosimetry, and calculation of tumour DVHs, may lead to the derivation of
clinically reliable dose-response relationships and therefore may ultimately
improve treatment planning as well as response assessment for radionuclide
therapy.
PMID- 22069234
TI - A study of environmental radioactivity measurements for Cankiri, Turkey.
AB - This study is the first to assess the level of background radiation for the
Cankiri province of Turkey. Indoor air radon concentrations were determined using
Columbia Resin-39 nuclear track detectors and the average (222)Rn activity was
found to be 44 Bq m(-3) (equivalent to an annual effective dose of 1.1 mSv).
Measurements of gamma doses in outdoor air were performed using a portable
plastic scintillation detector and the average gamma absorbed dose rate was found
to be 8 MUR h(-1) (corresponding to an annual effective dose of 87.7 MUSv).
Radionuclide activity concentrations in soil samples were measured through gamma
ray spectrometry and the average activities were determined as 17.7, 22.3, 357
and 4.1 Bq kg(-1) for the radionuclides (238)U, (232)Th, (40)K and (137)Cs,
respectively. The average annual effective dose from the natural radioactivity
sources ((238)U series, (232)Th series and (40)K) was calculated to be 44.4 MUSv.
Radioactivity levels of drinking water samples were carried out using a low
background proportional counter and the average gross alpha and beta activities
were obtained as 0.25 and 0.26 Bq l(-1), respectively (equivalent to an annual
effective dose of 184 MUSv). The average radon concentrations in indoor air and
the average radionuclide activities in soil were found to be lower than most
Turkish cities while higher levels of outdoor gamma dose rate and water
radioactivity were observed. The results of this study showed that the region's
background radioactivity level differs considerably from the reported data for
Turkish cities.
PMID- 22069235
TI - Perfusion parameters analysis of the vertebral bone marrow in patients with Ph1-
chronic myeloproliferative neoplasms (Ph(neg) MPN): a dynamic contrast-enhanced
MRI (DCE-MRI) study.
AB - PURPOSE: To evaluate perfusion parameters of the vertebral bone marrow in
patients with Philadelphia negative chronic myeloproliferative neoplasms (Ph(neg)
MPN) using dynamic contrast-enhanced MRI (DCE-MRI). MATERIALS AND METHODS: The
study enrolled 24 patients with Ph(neg) MPN: 12 patients with myelofibrosis
(Group A), 6 with essential thrombocythemia (ET), and 6 with polycythemia vera
(PV) (Group B) who underwent DCE-MRI of the lumbosacral spine. Twelve normal
individuals served as control group (Group C). Wash-in (WIN), wash-out (WOUT),
maximum contrast-enhancement (CE max), time-to-peak (TTPK), time-to-maximum
slope (TMSP), and the WIN/TMSP ratio (WTSP) were calculated. RESULTS: WIN,
CE(max) , and WTSP parameters were higher in Group A than in Group C (P < 0.05).
These parameters were significant (P < 0.0001) in discriminating patients with
myelofibrosis from normal individuals with sensitivities 74.14%, 87.93%, 74.14%,
and specificities 91.07%, 83.93%, 91.07%, respectively. WIN, WOUT, CE(max) , and
WTSP parameters were higher in Group A than in Group B (P < 0.05). Group B
exhibited no differences in perfusion parameters as compared with Group C with
the exception of WOUT. CONCLUSION: Patients with myelofibrosis exhibited
increased perfusion parameters in vertebral bone marrow, which could be consisted
with increased vascularity, probably related to neoangiogenesis as opposed to ET
or PV patients showing no increased perfusion. DCE-MRI may be of value in
discriminating subgroups of Ph(neg) MPN patients and in indicating those
progressing to myelofibrosis.
PMID- 22069236
TI - Surface-functionalized ionic liquid crystal-supported ionic liquid phase
materials: ionic liquid crystals in mesopores.
AB - The influence of confinement on the ionic liquid crystal (ILC) [C(18)C(1)Im][OTf]
is studied using differential scanning calorimetry (DSC), polarized optical
microscopy (POM), and diffuse reflectance infrared Fourier transform spectroscopy
(DRIFTS). The ILC studied is supported on Si-based powders and glasses with pore
sizes ranging from 11 to 50 nm. The temperature of the solid-to-liquid
crystalline phase transition seems mostly unaffected by the confinement, whereas
the temperature of the liquid-crystalline-to-liquid phase transition is depressed
for smaller pore sizes. A contact layer with a thickness in the order of 2 nm is
identified. The contact layer exhibits a phase transition at a temperature 30 K
lower than the solid-to-liquid-crystalline phase transition observed for the neat
ILC. For applications within the "supported ionic liquid phase (SILP)" concept,
the experiments show that in pores of diameter 50 nm a pore filling of alpha>0.4
is sufficient to reproduce the phase transitions of the neat ILC.
PMID- 22069237
TI - A critical requirement for notch signaling in maintenance of the quiescent
skeletal muscle stem cell state.
AB - Notch signaling plays a key role in virtually all tissues and organs in
metazoans; however, limited examples are available for the regulatory role of
this pathway in adult quiescent stem cells. We performed a temporal and
ontological assessment of effectors of the Notch pathway that indicated highest
activity in freshly isolated satellite cells and, unexpectedly, a sharp decline
before the first mitosis, and subsequently in proliferating, satellite cell
derived myoblasts. Using genetic tools to conditionally abrogate canonical Notch
signaling during homeostasis, we demonstrate that satellite cells differentiate
spontaneously and contribute to myofibers, thereby resulting in a severe
depletion of the stem cell pool. Furthermore, whereas loss of Rbpj function
provokes some satellite cells to proliferate before fusing, strikingly, the
majority of mutant cells terminally differentiate unusually from the quiescent
state, without passing through S-phase. This study establishes Notch signaling
pathway as the first regulator of cellular quiescence in adult muscle stem cells.
PMID- 22069238
TI - Optimization of b value in diffusion-weighted MRI for characterization of benign
and malignant gynecological lesions.
AB - PURPOSE: To explore the optimal b value in diffusion-weighted (DW)-MRI for
differentiation of benign and malignant gynecological lesions. MATERIALS AND
METHODS: Consecutive 58 patients (66 lesions) with pathologically confirmed
diagnosis of gynecological disease were included in the study. Routine pelvic MRI
sequences were used for defining the lesions and reviewed independently for
benignity/ malignity. Single-shot echoplanar imaging (SH-EPI) DW-MRI with eight b
values and nine apparent diffusion coefficient (ADC) maps were obtained. The
lesions were analyzed qualitatively on DW-MRI for benignity/malignity on a five
point-scale and quantitatively by measurement of apparent diffusion coefficient
(ADC) values. Receiver operating characteristic (ROC) analysis was used to
evaluate the diagnostic accuracy of ADC values for differentiating between benign
and malignant lesions. Pathology results were the reference standard. RESULTS:
Differentiation between benign and malignant gynecological lesions using visual
scoring was found to be successful with b values of 600, 800, or 1000 s/mm(2) .
The mean ADC values of malignant lesions were significantly lower than those of
benign lesions for all b value (P < 0.005). The ADCs with b = 0 and 600, 0 and
1000 s/mm(2) , 0, 600, 800 and 1000 s/mm(2) , and all b values were more
effective for distinguishing malignant from benign gynecological lesions (Az =
0.851, 0.847, 0.848, 0.849, respectively). Using ADC with b = 0, 600, 800, and
1000 s/mm(2) , a threshold value of 1.20 * 10(-3) mm(2) /s permitted this
distinction with a sensitivity of 83%, a specificity of 81%. CONCLUSION: DW-MRI
is an important method, and the optimal b values are between 600 and 1000 s/mm(2)
for differentiation between benign and malignant gynecological lesions.
PMID- 22069239
TI - Assessing spin-component-scaled second-order Moller-plesset theory using
anharmonic frequencies.
AB - Four common parametrisations of spin-component-scaled second-order Moller-Plesset
(MP2) theory are benchmarked by calculating the anharmonic vibrational
frequencies of a test suite consisting of eighteen diatomic and five small
molecules. Of the four methods, the scaled opposite-spin MP2 (SOS-MP2), the
variable-scaling opposite-spin MP2 (VOS-MP2) and the spin-component-scaled MP2
(SCS-MP2) methods perform statistically better than standard MP2 theory, while
the spin-component scaled for nucleic bases MP2 (SCSN-MP2) performs worse.
Vibrations of closed-shell diatomic molecules are slightly more accurately
described by the SOS-MP2 method of Head-Gordon (epsilon(MAD) =51 cm(-1) ) than
the SCS-MP2 method of Grimme (epsilon(MAD) =61 cm(-1)) or the size-consistent
parametrisation of VOS-MP2 (epsilon(MAD) =54 cm(-1)). For open-shell diatomic
molecules, the SOS-MP2 (epsilon(MAD) =83 cm(-1)) and SCS-MP2 (epsilon(MAD) =81
cm(-1)) methods are of similar accuracy, while VOS-MP2 is slightly better
(epsilon(MAD) =77 cm(-1)). Since the VOS-MP2 and SOS-MP2 methods tend to have
smaller deviations from experiment, and they can be made computationally more
economical than the SCS-MP2 or MP2 methods, we suggest that they should be the
preferred ab initio method for computing vibrational frequencies in large
molecules.
PMID- 22069240
TI - Serological antibodies in inflammatory bowel disease: a systematic review.
AB - The diagnosis of inflammatory bowel disease (IBD) is traditionally based on a
combination of clinical, endoscopic, histological, and radiological criteria.
However, further testing is needed in cases of diagnostic uncertainty and in
predicting disease course. This systematic review focuses on the potential for 10
serological antibodies to fill these roles: pANCA, ASCA, anti-OmpC, anti-CBir1,
anti-I2, ALCA, ACCA, AMCA, anti-L, and anti-C. We discuss their prevalence in IBD
and health; their role in disease diagnosis and risk stratification; their
stability over time; their presence in unaffected relatives; their association
with genetic variants; and differences across ethnic groups. Serological
antibodies have some role in primary diagnosis and in differentiating between
Crohn's disease and ulcerative colitis. In indeterminate colitis, preoperative
measurement of serological antibodies can help to predict the likelihood of
complications among patients undergoing pouch surgery. The combined presence and
magnitude of a large panel of antibodies appear to be of value in predicting
disease progression. There is currently insufficient evidence to recommend the
use of antibody testing to predict responses to treatment or surgery in patients
with IBD.
PMID- 22069242
TI - Photodissociation dynamics of ClCN at different wavelengths.
AB - The photodissociation dynamics of small molecules in the gas and condensed phase
is an important source of information for better characterizing intermolecular
interactions. Herein, classical molecular dynamics simulations with provisions to
follow reactive processes between different electronic states are used to probe
the wavelength dependence of product state distributions after laser excitation
of ClCN. It is found that the maximum of the rotational excitation distribution
P(j) of the CN product shifts to lower j-values with increasing wavelength and
the width of the distribution narrows. Both observations are in accord with
earlier experiments and provide improvements over previous theoretical treatments
of the process with the same interaction potentials. For the reaction in a water
droplet, strong quenching of rotational excitation is found.
PMID- 22069241
TI - Cisterna chyli in patients with portal hypertension: evaluation with MR imaging.
AB - PURPOSE: To evaluate the difference in the caliber of cisterna chyli between
patients with and without portal hypertension on magnetic resonance imaging (MRI)
and to assess the alteration of the caliber of cisterna chyli related to
contraction waves during serial T2-weighted MRI. MATERIALS AND METHODS: This
study included 177 patients with and without portal hypertension who underwent
two sets of T2-weighted MRI. MR images were evaluated for the visibility of
cisterna chyli, the difference in the diameter of cisterna chyli between two
patients groups, and the alteration in the diameter of cisterna chyli during
serial T2-weighted MRI. RESULTS: The mean maximal diameter of cisterna chyli in
patients with portal hypertension (4.97 +/- 1.87 mm, range; 2.5-13.1 mm) was
significantly larger (P < 0.001) than that in patients without portal
hypertension (3.37 +/- 1.25 mm, range; 1.5-6.8 mm). In 132 patients with visible
cisterna chyli and portal hypertension, 25 (19%) patients had a positive caliber
change of cisterna chyli of more than 2 mm between two sets of T2-weighted MR
images. CONCLUSION: The dilatation of cisterna chyli can be demonstrated at MRI
in patients with portal hypertension. Additionally, the positive caliber change
of cisterna chyli related to contraction waves was observed in subsets of
patients during serial T2-weighted MRI.
PMID- 22069243
TI - Impact of exclusive enteral nutrition on body composition and circulating
micronutrients in plasma and erythrocytes of children with active Crohn's
disease.
AB - BACKGROUND: Nutritional therapy is the primary treatment for active pediatric
Crohn's disease (CD) in the UK/Europe, improving disease activity and
anthropometry. This study assessed changes in micronutrient status during
exclusive enteral nutrition (EEN). METHODS: Seventeen children (male/female: 8/9;
median age: 12.7 years) with active CD were treated exclusively for 6-8 weeks on
a polymeric feed (Modulen IBD; Nestle, UK). Body impedance was measured at
baseline, during EEN, and posttreatment on normal diet and converted to z-scores
of fat and lean mass. Blood samples for nutrient analysis were collected from 13
children at baseline, end of EEN, and posttreatment. RESULTS: Lean but not fat
mass improved at the end of EEN (initiation vs. end of EEN; fat mass [z-score]:
0.5 vs. -0.3; P = 0.141; lean mass [z-score]: -2.1 vs. -0.8; P < 0.0001). At
baseline several children presented with suboptimal concentrations of
carotenoids, trace elements, vitamin C, B6, and folate in plasma but not in
erythrocytes. EEN improved concentrations for several nutrients, but more than
90% of patients had depleted concentrations of all carotenoids. The latter
improved on normal diet but other micronutrients, which improved during EEN,
returned toward pretreatment concentrations. CONCLUSIONS: Lean but not fat mass
improved at the end of EEN. Median concentrations for several plasma
micronutrients improved on EEN but carotenoids were depleted. These findings may
have implications for clinical practice and producers of enteral feeds. As plasma
concentrations for many micronutrients can be affected by the acute phase
response, measurements in erythrocytes may be a better marker of actual body
stores.
PMID- 22069244
TI - Prediction of microvascular invasion of hepatocellular carcinoma: usefulness of
peritumoral hypointensity seen on gadoxetate disodium-enhanced hepatobiliary
phase images.
AB - PURPOSE: To determine whether peritumoral hypointensity seen on hepatobiliary
phase images of preoperative gadoxetate disodium-enhanced magnetic resonance
imaging (EOB-MRI) is useful for predicting microvascular invasion of
hepatocellular carcinoma (HCC). MATERIALS AND METHODS: This study was approved by
the Institutional Review Board. In all, 104 HCC masses in 104 patients who had
undergone EOB-MRI and liver surgery within 1 month after EOB-MRI were evaluated.
Two radiologists independently recorded the presence of a peritumoral
hypointensity on hepatobiliary phase. Interobserver agreement was assessed and
consensus records were used. Tumor size was measured. A chi-square test and
independent t-test were used for univariate analysis. Multiple logistic
regression was performed to determine factors for predicting microvascular
invasion. Sensitivity, specificity, positive predictive value (PPV), and negative
predictive value (NPV) of peritumoral hypointensity were calculated. RESULTS:
Sixty HCCs had microvascular invasion and 44 did not. Interobserver agreement in
determining peritumoral hypointensity was excellent (kappa = 0.83). By univariate
analysis, peritumoral hypointensity and tumor size were significant for
predicting microvascular invasion of HCC. On multiple logistic regression
analysis, only peritumoral hypointensity was significant in predicting
microvascular invasion of HCC (P = 0.013). The sensitivity, specificity, PPV, and
NPV of peritumoral hypointensity were 38.3%, 93.2%, 88.5%, and 52.6%,
respectively. CONCLUSION: Peritumoral hypointensity on the hepatobiliary phase of
EOB-MRI is not sensitive but has high specificity for predicting microvascular
invasion of HCC.
PMID- 22069245
TI - Colloidal crystallization of surfactant-free ZnO quantum dots.
PMID- 22069246
TI - Presence of concomitant inflammatory bowel disease is associated with an
increased risk of postcholecystectomy complications.
AB - BACKGROUND: Surgery in patients with inflammatory bowel disease (IBD) is often
associated with complications. The aim of our study was to evaluate whether
concomitant IBD was associated with an increased risk of postcholecystectomy
complications. METHODS: The study group consisted of 82 consecutive IBD patients
who underwent cholecystectomy from January 2001 to October 2010. The control
group included 296 cholecystectomy patients without IBD who were randomly
selected from the cholecystectomy database. Variables were analyzed by univariate
and multivariate analyses. RESULTS: There were no significant differences in age,
gender, body mass index, presence of gallstones/common bile duct stones,
indication for cholecystectomy, and postoperative mortality between the study and
control groups. More patients in the study group had postoperative complications
than in the control group (17.1% vs. 6.8%, P = 0.005). On multivariate analysis,
the presence of concomitant IBD was independently associated with an increased
risk for postoperative complications (odds ratio [OR] = 4.64; 95% confidence
interval [CI], 1.63-13.20, P = 0.004) after adjusting for age, the presence of
cirrhosis, diabetes, body mass index, the use of corticosteroids,
immunomodulators, total parental nutrition, or biologics, the presence of primary
sclerosing cholangitis (PSC), acute or chronic cholecystitis, cholelithiasis, or
prior abdominal surgeries, and indication for surgery (elective vs. emergent).
CONCLUSIONS: IBD patients undergoing cholecystectomy have a significantly
increased risk of postoperative complications. Although further studies are
warranted to clarify the reason for these differences, caution should be taken to
determine the need and timing of cholecystectomy in IBD patients.
PMID- 22069247
TI - Augmented reality and haptic interfaces for robot-assisted surgery.
AB - BACKGROUND: Current teleoperated robot-assisted minimally invasive surgical
systems do not take full advantage of the potential performance enhancements
offered by various forms of haptic feedback to the surgeon. Direct and graphical
haptic feedback systems can be integrated with vision and robot control systems
in order to provide haptic feedback to improve safety and tissue mechanical
property identification. METHODS: An interoperable interface for teleoperated
robot-assisted minimally invasive surgery was developed to provide haptic
feedback and augmented visual feedback using three-dimensional (3D) graphical
overlays. The software framework consists of control and command software, robot
plug-ins, image processing plug-ins and 3D surface reconstructions. RESULTS: The
feasibility of the interface was demonstrated in two tasks performed with
artificial tissue: palpation to detect hard lumps and surface tracing, using
vision-based forbidden-region virtual fixtures to prevent the patient-side
manipulator from entering unwanted regions of the workspace. CONCLUSIONS: The
interoperable interface enables fast development and successful implementation of
effective haptic feedback methods in teleoperation.
PMID- 22069248
TI - Ultrasound and cadaveric prosections as methods for teaching cardiac anatomy: a
comparative study.
AB - This study compared the efficacy of two cardiac anatomy teaching modalities,
ultrasound imaging and cadaveric prosections, for learning cardiac gross anatomy.
One hundred and eight first-year medical students participated. Two weeks prior
to the teaching intervention, students completed a pretest to assess their prior
knowledge and to ensure that groups were equally randomized. Students, divided
into pre-existing teaching groups, were assigned to one of two conditions;
"cadaver" or "ultrasound." Those in the cadaver group received teaching on the
heart using prosections, whereas the ultrasound group received teaching using
live ultrasound images of the heart. Immediately after teaching, students sat a
post-test. Both teaching modalities increased students' test scores by similar
amounts but no significant difference was found between the two conditions,
suggesting that both prosections and ultrasound are equally effective methods for
teaching gross anatomy of the heart. Our data support the inclusion of either
cadaveric teaching or living anatomy using ultrasound within the undergraduate
anatomy curriculum, and further work is needed to compare the additive effect of
the two modalities.
PMID- 22069249
TI - Identification and characterization of a complex pure mosaic of small
supernumerary marker chromosomes involving 11p11.12 -> q12.1 and 19p12 -> q12
regions in a child featuring multiple congenital anomalies.
AB - Unstable, gene-rich pericentric regions have been associated with various
structural aberrations including small supernumerary marker chromosomes (sSMCs).
We hereby report on a complex pure mosaic sSMCs derived from chromosomes 11 and
19 in a child featuring multiple congenital anomalies. As indicated by microarray
analysis, the sSMCs have involved materials from 11p11.12 -> q12.1 and 19p12 ->
q12 in complex forms (with four cell lines harboring from 1 to 4 sSMCs) in all
peripheral blood lymphocytes. The patient featured facial dysmorphism,
generalized hypotonia, cryptorchidism, transverse palmar creases, cerebral
hemorrhage, atrial septal defect secundum, strabismus, epilepsy,
immunodeficiency, and severe cognitive and motor impairment. Literature review
indicated this to be a unique sSMCs case simultaneously involving chromosomes 11
and 19, with one sSMC containing materials from the both chromosomes. We propose
that the involved chromosomal regions may contain dosage-sensitive genes which
are important for the development, and that the sSMCs derived from multiple
origins have formed by a complex mechanism.
PMID- 22069251
TI - Immunology of Diabetes Society T-Cell Workshop: HLA class I tetramer-directed
epitope validation initiative T-Cell Workshop Report-HLA Class I Tetramer
Validation Initiative.
AB - BACKGROUND: Identification of T-cell reactivity to beta-cell antigen epitopes is
an important goal for studying pathogenesis and for designing and monitoring of
immunotherapeutic interventions in type 1 diabetes (T1D). METHODS: We performed a
multicentre validation of known human leukocyte antigen (HLA) class I CD8+ T-cell
epitopes. To this end, peripheral blood T-cell responses were measured in 35
recently (<2 years) diagnosed HLA-A*02:01+ T1D patients using blind-coded HLA-A2
tetramers (TMrs) and pentamers (PMrs), encompassing two epitopes of preproinsulin
(PPI; PPIA12-20 and PPIB10-18) and two epitopes of glutamic acid decarboxylase
(GAD; GAD114-122 and GAD536-545). We also compared the readout of TMrs and PMrs
with a CD8+ T-cell interferon-gamma enzyme-linked immunospot assay. RESULTS:
Despite the minute frequencies of autoreactive cells detected by TMrs/PMrs, most
(73-77%) T1D patients had responses to one or more of the epitopes used. All four
epitopes were recognized by T1D patients, with a prevalence ranging from 5 to
25%. TMrs and PMrs detected more positive responses to the beta-cell epitopes
than CD8+ T-cell interferon-gamma enzyme-linked immunospot. However, concordance
between positive responses to TMrs and PMrs was limited. CONCLUSIONS: Using a
multicentre blind-coded setup and three different T-cell assays, we have
validated PPI and GAD epitopes as commonly recognized CD8+ T-cell targets in
recently diagnosed T1D patients. Both TMrs and PMrs showed higher detection
sensitivity than the CD8+ T-cell interferon-gamma enzyme-linked immunospot assay.
However, there are some important methodological issues that need to be addressed
in using these sensitive techniques for detecting low frequency responses.
PMID- 22069252
TI - Immunology of Diabetes Society T-Cell Workshop: HLA class II tetramer-directed
epitope validation initiative.
AB - BACKGROUND: Islet-antigen-specific CD4+ T cells are known to promote auto-immune
destruction in T1D. Measuring T-cell number and function provides an important
biomarker. In response to this need, we evaluated responses to proinsulin and GAD
epitopes in a multicentre study. METHODS: A tetramer-based assay was used in five
participating centres to measure T-cell reactivities to DR0401-restricted
epitopes. Three participating centres concurrently performed ELISPOT or
immunoblot assays. Each centre used blind-coded, centrally distributed peptide
and tetramer reagents. RESULTS: All participating centres detected responses to
auto-antigens and the positive control antigen, and in some cases cloned the
corresponding T cells. However, response rates varied among centres. In total,
74% of patients were positive for at least one islet epitope. The most commonly
recognized epitope was GAD270-285. Only a minority of the patients tested by
tetramer and ELISPOT were concordant for both assays. CONCLUSIONS: This study
successfully detected GAD and proinsulin responses using centrally distributed
blind-coded reagents. Centres with little previous experience using class II
tetramer reagents implemented the assay. The variability in response rates
observed for different centres suggests technical difficulties and/or
heterogeneity within the local patient populations tested. Dual analysis by
tetramer and ELISPOT or immunoblot assays was frequently discordant, suggesting
that these assays detect distinct cell populations. Future efforts should
investigate shared blood samples to evaluate assay reproducibility and
longitudinal samples to identify changes in T-cell phenotype that correlate with
changes in disease course.
PMID- 22069253
TI - Comparison of cryopreservation methods on T-cell responses to islet and control
antigens from type 1 diabetic patients and controls.
AB - BACKGROUND: Type 1 diabetes (T1D) is a cell-mediated autoimmune disease
characterized by destruction of the pancreatic islet cells. The use of
cryopreserved cells is preferable to the use of freshly isolated cells to monitor
clinical trials to decrease assay and laboratory variability. METHODS: The T-Cell
Workshop Committee of the Immunology of Diabetes Society compared two widely
accepted T-cell freezing protocols (warm and cold) to freshly isolated peripheral
blood mononuclear cells from patients with T1D and controls in terms of recovery,
viability, cell subset composition, and performance in functional assays
currently in use in T1D-related research. Nine laboratories participated in the
study with four different functional assays included. RESULTS: The cold freezing
method yielded higher recovery and viability compared with the warm freezing
method. Irrespective of freezing protocol, B cells and CD8+ T cells were
enriched, monocyte fraction decreased, and islet antigen-reactive responses were
lower in frozen versus fresh cells. However, these results need to take in to
account that the overall response to islet autoantigens was low in some assays.
CONCLUSIONS: In the current study, none of the tested T-cell functional assays
performed well using frozen samples. More research is required to identify a
freezing method and a T-cell functional assay that will produce responses in
patients with T1D comparable to responses using fresh peripheral blood
mononuclear cells.
PMID- 22069254
TI - Persistent glucose transporter expression on pancreatic beta cells from
longstanding type 1 diabetic individuals.
AB - BACKGROUND: Recent reports have established the notion that many patients with
longstanding type 1 diabetes (T1D) possess a remnant population of insulin
producing beta cells. It remains questionable, however, whether these surviving
cells can physiologically sense and respond to glucose stimuli. METHODS: Frozen
pancreatic sections from non-diabetic donors (n=8), type 2 diabetic patients
(n=4), islet autoantibody-positive non-diabetic patients (n=3), type 1 diabetic
patients (n=10) and one case of gestational diabetes were obtained via the
network for Pancreatic Organ Donors. All longstanding T1D samples were selected
based on the detection of insulin-producing beta cells in the pancreas by
immunohistochemistry. RNA was isolated from all sections followed by cDNA
preparation and quantitative real-time polymerase chain reaction for insulin,
glucose transporter 1 (GLUT1), GLUT2 and GLUT3. Finally, immunofluorescent
staining was performed on consecutive sections for all four of these markers and
a comparison was made between the expression of GLUT2 in humans versus NOD mice.
RESULTS: In contrast to islets from the most widely used T1D model, the NOD
mouse, human islets predominantly express GLUT1 and, to a much lesser extent,
GLUT3 on their surface instead of GLUT2. Relative expression levels of these
receptors do not significantly change in the context of the various (pre
)diabetic conditions studied. Moreover, in both species preservation of GLUT
expression was observed even under conditions of substantial leucocyte
infiltration or decades of T1D duration. CONCLUSIONS: These data suggest that
despite being subjected to multiple years of physiological stress, the remaining
beta-cell population in longstanding T1D patients retains a capacity to sense
glucose via its GLUTs.
PMID- 22069255
TI - Delta-cell-specific expression of hedgehog pathway Ptch1 receptor in murine and
human endocrine pancreas.
AB - BACKGROUND: Hedgehog pathway plays an important role during pancreas development,
when its inactivation is crucial to assure expression of pancreatic marker genes
involved in the organ formation and to assure an appropriate organogenesis.
Patched1 (Ptch1) is a transmembrane receptor of hedgehog pathway which has a key
role in this process. In fact, heterozygous Ptch1 mutant (ptc+/-) mice are
affected by an impaired glucose tolerance accompanied by reduced islet function.
In the light that the cell distribution of Ptch1 receptor within the endocrine
pancreas has not yet been established, we aimed at identifying the pancreatic
endocrine cell subset(s) expressing such molecule. METHODS: Double immunostaining
for Ptch1 and pancreatic hormones insulin, glucagon and somatostatin on
pancreatic paraffin sections of C57BL/6J mice and human non-diabetic multiorgan
donors was performed and analysed using confocal microscopy. In addition,
diabetes was experimentally induced in mice by intraperitoneal injection of
streptozotocin. Quantitative real-time polymerase chain reaction after laser
capture microdissection of different islets from frozen pancreatic murine tissue
sections was also performed. RESULTS: Ptch1 receptor was detected only in
somatostatin-positive delta cells both in mice and in human pancreas; in mice its
expression was not affected by streptozotocin treatment. A significant increase
of Ptch1 mRNA expression levels in the islet periphery versus the islet core was
observed by quantitative real-time polymerase chain reaction, in accord with
immunohistochemical observations. CONCLUSION: Our data show a delta-cell-specific
expression of Ptch1 receptor in murine and human pancreas.
PMID- 22069256
TI - Imaging of pancreatic islet cells.
AB - At present, the onset and progress of diabetes, and the efficacy of potential
treatments, can only be assessed through indirect means, i.e. blood glucose,
insulin, or C-peptide measurements. The development of non-invasive and reliable
methods for (1) quantification of pancreatic beta islet cell mass in vivo, (2)
determining endogenous islet function and survival, and (3) visualizing the
biodistribution, survival, and function of transplanted exogenous islets are
critical to further advance both basic science research and islet cell therapy in
diabetes. Islet cell imaging using magnetic resonance, bioluminescence, positron
emission tomography, or single photon emission computed tomography may provide us
with a direct means to interrogate islet cell distribution, survival, and
function. Current state-of-the-art strategies for beta-cell imaging are discussed
and reviewed here in context of their clinical relevance.
PMID- 22069257
TI - Imaging the pancreatic vasculature in diabetes models.
AB - BACKGROUND: Vascular parameters, such as vascular volume, flow, and permeability,
are important disease biomarkers for both type 1 and type 2 diabetes. Therefore,
it is essential to develop approaches to monitor the changes in pancreatic
microvasculature non-invasively. METHODS: Here, we describe the application of
the long-circulating, paramagnetic T1 contrast agent, protected Graft Copolymer
bearing covalently linked gadolinium diethylenetriaminepentaacetic acid residues
and labelled with fluorescein (PGC-GdDTPA-F) for the non-invasive semi
quantitative evaluation of vascular changes in diabetic models using magnetic
resonance imaging. RESULTS: We observed a significantly higher accumulation of
protected graft copolymer bearing covalently linked gadolinium
diethylenetriaminepentaacetic acid residues and labelled with fluorescein in the
pancreata of BBDR rats induced to develop diabetes, as compared to non-diabetic
controls at 1 h post-injection. No differences were seen in the blood pool,
kidney, or muscle, indicating that the effect is specific to the diabetic
pancreas. Fluorescence microscopy revealed a marked increase in contrast agent
availability in the pancreas with the development of the pathology. Similar
changes were noted in the homozygous Leprdb mouse model of type 2 diabetes. This
effect appeared to result both from the increase of vascular volume and
permeability. CONCLUSIONS: High-molecular weight paramagnetic blood volume
contrast agents are valuable for the in vivo definition of pancreatic
microvasculature dynamics by magnetic resonance imaging. The increase in vascular
volume and permeability, associated with diabetic inflammation, can be monitored
non-invasively and semi-quantitatively by magnetic resonance imaging in diabetic
BBDR rats. This imaging strategy represents a valuable research tool for better
understanding of the pathologic process.
PMID- 22069258
TI - Insulin as a key autoantigen in the development of type 1 diabetes.
AB - Type 1 diabetes is a T-cell-mediated autoimmune disease against pancreatic beta
cells. T cells target various antigens such as insulin, chromogranin A, glutamic
acid decarboxylase and islet-specific glucose-6-phosphatase catalytic subunit
related protein. Elimination of insulin dramatically prevents diabetes in the non
obese diabetic (NOD) mouse model and response to insulin occurs prior to that to
other antigens. These findings suggest that insulin is a target antigen at the
early stage of the disease and is likely to be essential to cause anti-islet
autoimmunity in NOD mice. In this review, we discuss whether insulin is truly
essential and is only the single essential autoantigen for NOD mice and
potentially for man. Although the ultimate principle is still being addressed, it
is certain that T-cell response to insulin is a major check point to develop type
1 diabetes in NOD mice. Given multiple similarities between diabetes of NOD mice
and man, targeting insulin and insulin-reactive T cells may provide opportunities
to develop robust immunotherapies.
PMID- 22069259
TI - Multiple antigens versus single major antigen in type 1 diabetes: arguing for
multiple antigens.
AB - Our recent review of the literature revealed that approximately 20 antigens are
now known to be targeted by T cells in the NOD mouse model of the autoimmune
disease type 1 diabetes. Of these, insulin has received considerable attention
and has been described by some in the research community as an 'initiating' or
'single major' antigen in the disease. Insulin may indeed be worthy of these
titles, at least in NOD mice and in the context of the particular major
histocompatibility complex molecules expressed in this strain. However, here we
present arguments in favour of viewing type 1 diabetes as a disease in which
multiple antigens should be considered, rather than just one. In our view, other
antigens may prove to be more worthy of these titles in humans, and the major
histocompatibility complex molecules expressed may well be a determining factor.
Furthermore, even if insulin is 'the initiating antigen' in type 1 diabetes,
multiple pathogenic specificities are known to exist even during the prediabetic
period and it is at our peril that we ignore them. The recent discovery of novel
beta-cell antigens, e.g. ZnT8 and chromogranin A, has taught us that we still
have much to learn about the targets of the autoimmune response in type 1
diabetes. Increased knowledge will promote a clearer picture of disease
pathogenesis and will better position the field to be successful in its
translational goals of immune monitoring and disease prevention and reversal.
PMID- 22069260
TI - Immunization with an insulin peptide-MHC complex to prevent type 1 diabetes of
NOD mice.
AB - BACKGROUND: Mutating the insulin B:9-23 peptide prevents diabetes in NOD mice.
Thus, the trimolecular complex of I-Ag7-insulin B:9-23 peptide-TCR may be
essential for the development of spontaneous diabetes. Pathogenic T cells
recognize the B:9-23 peptide presented by I-Ag7 in what is termed register 3,
with the B22 basic amino acid (arginine) of the peptide bound in pocket 9 of I
Ag7. Our hypothesis is that immunization with an insulin B:12-22 peptide linked
to I-Ag7 in register 3 (I-Ag7-B:RE#3 complex) can induce specific antibodies to
the complex, block pathogenic TCRs, and thus prevent diabetes. METHODS: We
immunized young NOD mice with recombinant I-Ag7-B:RE#3 protein, in which two
amino acids of the peptide were mutated to fix the peptide in register 3, and
investigated the induced antibodies targeted to the peptide in register 3.
RESULTS: Specific antibodies targeting I-Ag7-B:RE#3 but not I-Ag7-HEL were
identified in the sera of I-Ag7-B:RE#3 immunized mice. The sera inhibited B:9-23
induced T-cell responses in vitro. I-Ag7-B:RE#3 immunization delayed progression
to diabetes (versus PBS, p=0.0005), while immunization with I-Ag7-HEL control
complex did not. CONCLUSIONS: Immunization with I-Ag7-B:RE#3 complex
significantly delays the development of insulin autoantibodies and the onset of
diabetes in NOD mice, which is associated with the induction of I-Ag7-B:RE#3
antibodies.
PMID- 22069261
TI - Intracellular pathways of pancreatic beta-cell apoptosis in type 1 diabetes.
AB - BACKGROUND: Apoptosis of beta cells is a feature of type 1 diabetes. It is also
increasingly recognized in type 2 diabetes and islet graft rejection. METHODS: We
have studied the intracellular pathways that regulate beta-cell apoptosis in type
1 and 2 diabetes. We have examined the role of Bid, a pro-apoptotic member of the
Bcl-2 family, using islets from mice deficient in Bid. We also studied the Bcl-2
family molecules involved in killing by using high concentrations of reducing
sugars such as glucose or ribose. RESULTS: We found that Bid-deficient islets are
protected from recombinant human perforin and granzyme B, as well as from Fas
mediated killing. This makes Bid a target for protection of beta cells from
multiple insults relevant to type 1 diabetes. In contrast to granzyme B and death
receptor signalling, we found that islets lacking Bim or Puma were protected from
glucose toxicity. CONCLUSIONS: Our data indicate that different stimuli activate
different initiator molecules in the Bcl-2-regulated pathway in beta cells.
PMID- 22069262
TI - Role of TLR2 in the pathogenesis of autoimmune diabetes and its therapeutic
implication.
AB - Recently, a couple of articles suggested the possibility that apoptosis of
pancreatic beta-cells induces inflammatory/immune responses to beta-cells. Such a
theory is based on the assumption that apoptotic cells can, under certain
circumstances, induce immune responses, inflammatory and autoimmune disorders,
which is in contrast to the dogma that apoptotic cells result in
immunosuppression and necrotic cells provoke inflammation/immunity. We observed
that late apoptotic beta-cells with secondary necrosis elicited inflammatory
responses in macrophages through the toll-like receptor 2 (TLR2)/MyD88/nuclear
factor-kappaB signalling pathway. Late apoptotic cells also induced TLR2
dependent maturation of dendritic cells and then activation of autoreactive T
cells. TLR2 knockout mice showed defective priming of diabetogenic T-cells by
apoptotic beta-cells in the pancreatic lymph nodes. Furthermore, TLR2 deficiency
conferred a significant protection against type 1 diabetes (T1D) and insulitis in
T1D animal models. These findings present evidence suggesting that apoptosis of
pancreatic beta-cells could be one of the initial events in T1D and provide a
novel strategy for therapeutic or preventive intervention in T1D.
PMID- 22069263
TI - The combination of metallothionein and superoxide dismutase protects pancreatic
beta cells from oxidative damage.
AB - BACKGROUND: Reactive oxygen species are considered an important cause of the
death of pancreatic beta cells, thereby triggering the development of type 2
diabetes as well as failure of islet transplantation. The biological properties
of metallothionein (MT) and superoxide dismutase (SOD) are likely to be related
to their antioxidant and free-radical scavenging abilities, but their access
across biological membranes is limited. METHODS: We investigated whether Tat-MT
and Tat-SOD fusion protein could be introduced into islets by a novel protein
transduction technology and protect them from oxidative damage. We used 3-[4,5
dimethylthiazol-2-yl]-2,5-diphenyl tetrazolium bromide (MTT) and Annexin
V/propidium iodide assays to analyse cell viability, and assessed expression of
apoptosis marker proteins by Western blotting. We examined the protective effect
of Tat-MT and Tat-SOD on the development of diabetes and on graft failure after
syngeneic islet transplantation into Otsuka Long Evans Tokushima Fatty (OLETF)
rats and Imprinting Control Region (ICR) mice, respectively. RESULTS: Tat-MT and
Tat-SOD were successfully delivered into the rat islets, and reactive oxygen
species, nitric oxide, glucolipotoxicity-induced cell death, cytokine injury, and
DNA fragmentation due to ischaemia-reperfusion in pancreatic beta cells were
significantly reduced. In addition Tat-MT and Tat-SOD treatment protected OLETF
rats from developing diabetes, and enhanced the survival of antioxidant-treated
islets transplanted into the renal capsules of diabetic mice. CONCLUSIONS:
Transduction of Tat-MT and Tat-SOD proteins offers a new strategy for protecting
against the development of diabetes by relieving oxidative stress.
PMID- 22069264
TI - Administration of recombinant human thioredoxin-1 significantly delays and
prevents autoimmune diabetes in nonobese diabetic mice through modulation of
autoimmunity.
AB - BACKGROUND: Thioredoxin as a biological antioxidant plays an important role in
regulating the redox system. The administration of recombinant thioredoxin has
been demonstrated to be anti-inflammatory. In this study, the effect of
recombinant human thioredoxin-1 (rhTrx-1) in preventing type 1 diabetes (T1D) in
nonobese diabetic (NOD) mice was evaluated. METHODS: Eight-week-old NOD mice were
treated with intravenous injection of rhTrx-1 (5 ug/mouse/day) for 5 weeks (5
days a week), followed by every other day for additional 5 weeks. Diabetes onset
was monitored twice a week. Pancreatic histology and beta-cell mass were examined
by hematoxylin and eosin (H&E) and insulin immunohistochemistry staining,
respectively. Adoptive transfer experiments were executed to assess autoimmune T
cells modulated by rhTrx treatment. RESULTS: The intravenous administration of
rhTrx-1 significantly delayed and prevented T1D in NOD mice. The histology data
showed that rhTrx-1 treatment markedly reduced insulitic lesions and
significantly preserved insulin-producing beta cells. Adoptive transfer of spleen
cells from rhTrx-1-treated mice into nonobese diabetic-severe combined
immunodeficiency (NOD-SCID) mice significantly reduced the diabetes onset than
transfer of those from phosphate-buffered saline-treated mice. Adoptive co
transfer experiments demonstrated that spleen cells from rhTrx-1-treated mice
significantly delayed diabetes induced by the co-transferred diabetogenic spleen
cells from the new-onset diabetic mice. CONCLUSIONS: Antioxidant rhTrx-1
effectively prevents T1D which may be attributed to its activity to modulate
autoimmunity.
PMID- 22069265
TI - Interleukin-6 treatment induces beta-cell apoptosis via STAT-3-mediated nitric
oxide production.
AB - BACKGROUND: Type 2 diabetes is characterized by progressive beta-cell failure and
apoptosis is probably the main form of beta-cell death in this disease. It was
reported that circulating levels of interleukin-6 are elevated in type 2 diabetic
patients, but whether this is involved in the pathogenesis of type 2 diabetes is
still debated. In this study, we examined whether interleukin-6 can induce beta
cell damage in vitro and elucidated its mechanisms. METHODS: To examine the
effect of interleukin-6 on beta cells, glucose-stimulated insulin secretion
(GSIS) by enzyme immunoassay (EIA) method and cell apoptosis by propidium iodide
and annexin-V staining were measured in a rat beta-cell line (INS-1 or INS
832/13) after treatment with interleukin-6. The expression of apoptosis-related
molecules was measured using western blotting and nitric oxide (NO) production
was measured using Griess assay. AG490 and N-monomethyl-L-arginine were used to
inhibit Janus kinase-mediated signal transducers and activators of transcription
signalling and NO production, respectively. RESULTS: Exposure (48 h) of INS-1
cells to 20 ng/mL interleukin-6 significantly decreased GSIS as well as cell
viability. We found that sub-G1/G0 population was increased as compared with
untreated cells and expression of cleaved caspase-3, cleaved poly(ADP-ribose)
polymerase, phosphorylated p38 mitogen-activated protein kinase and
phosphorylated nuclear factor-kappaB was increased in interleukin-6-treated INS-1
cells. Interleukin-6 increased the amount of early apoptotic cells; this increase
was blocked by AG490 or N-monomethyl-L-arginine treatment. Moreover, NO
production, which is known to induce apoptosis, was increased by interleukin-6
treatment but abrogated in AG490-treated cells. CONCLUSION: Our results show that
exposure to interleukin-6 for 48 h can induce beta-cell death, in part via signal
transducers and activators of transcription-3-mediated NO production.
PMID- 22069266
TI - Enteroviruses and type 1 diabetes.
AB - BACKGROUND: Human enteroviruses, which are transmitted via a faecal-oral route,
have long been associated with type 1 diabetes onset. Increased hygiene in the
20th century may now be responsible for a decreased chance of enterovirus
exposure from an early age onward. Infections with enteroviruses may also be more
likely to occur at a later age; the recurrent poliomyelitis epidemics in the 20th
century were linked to increased hygiene, consistent with this hypothesis. The
association of fewer enterovirus exposures and increased diabetes rates may seem
at first non-intuitive but may be explained using a combination of human
observations and data from experimental coxsackie B virus infections in nonobese
diabetic mice. METHODS: Network for Pancreatic Organ Donors with Diabetes samples
were examined for the presence of detectable enteroviral RNA by RT-PCR. RESULTS:
Viral RNA was not detected. CONCLUSIONS: A role for enteroviruses in the
aetiology of human type 1 diabetes is hard to refute but in order to definitively
link enteroviruses in general, and specific viruses in particular, with the
disease, pancreas biopsy tissue must become available at the time of disease
diagnosis.
PMID- 22069267
TI - Innate immunity in type 1 diabetes.
AB - BACKGROUND: Rat models of diabetes have emerged as a powerful experimental tool
for addressing the role of microbial pathogens in the mechanism of autoimmune
diabetes. We have used the biobreeding diabetes resistant and LEW1.WR1 rat models
to identify the role of virus-induced innate immunity in the mechanism of type 1
diabetes. METHODS: Groups of rats 21-25 days of age were left untreated, injected
i.p. with 1*10(7) PFU of Kilham rat virus (KRV) only, or with 1-3 ug/g body
weight-purified toll-like receptor agonists on three consecutive days and
infected with 1*10(7) PFU of KRV on the following day. Spleens and pancreatic
lymph nodes were recovered 5 days after infection and used for gene array
analysis. To test the role of inflammation in diabetes, rats injected with KRV
only or Poly(I:C) plus KRV were also administered with 2 or 0.2 ug/g body weight
of dexamethasone and followed for diabetes for 40 days. RESULTS: KRV induced the
expression of a vast array of proinflammatory genes in pancreatic lymph nodes on
day 5 following infection. Brief dexamethasone therapy downmodulated inflammation
and completely blocked diabetes. CONCLUSIONS: Our data suggest a strong
association between early virus-induced proinflammatory responses and islet
destruction and raise the possibility that targeting innate immune pathways in
the early stages of diabetes may be a useful strategy for disease prevention.
PMID- 22069268
TI - Serum CXCL1 concentrations are elevated in type 1 diabetes mellitus, possibly
reflecting activity of anti-islet autoimmune activity.
AB - BACKGROUND: Identification of unique inflammatory markers may facilitate
prediction of type 1 diabetes mellitus (T1DM). We previously compared transcript
profiles of bone marrow-derived dendritic cells from non-obese diabetic mice with
those from non-obese non-diabetic mice and found that bone marrow-derived
dendritic cells' expressions of inflammatory mediators, including chemokine (C-X
C motif) ligand 1 (CXCL1), were three to five times higher in 4-week-old female
non-obese diabetic mice than in non-obese non-diabetic mice. In humans,
microarray analysis results have suggested this chemokine be a biomarker
representing active anti-islet autoimmunity. We investigated whether serum CXCL1
levels, reflecting active autoimmune processes, might serve as biomarkers for
T1DM. METHODS: The study groups consisted of 26 subjects with acute-onset T1DM,
20 with slowly progressive T1DM, and 20 with type 2 diabetes mellitus as disease
controls. All subjects were Japanese. CXCL1 in sera were quantified by solid
phase enzyme-linked immunosorbent assays. RESULTS: Serum CXCL1 levels were
significantly higher in subjects with acute-onset [median 113.2 ng/mL (41.75
457.2)] or slowly progressive [median 100.8 ng/mL (32.87-225.0)] T1DM than in
those with type 2 diabetes mellitus [median 71.58 ng/mL (32.45-152.6), p=0.01 and
0.03, respectively, Mann-Whitney U-test]. Decreases in fasting C-peptide levels
per year correlated significantly with CXCL1 levels (n=11, r2=0.524, p=0.012) in
a subpopulation of slowly progressive T1DM subjects displaying preserved beta
cell function. CONCLUSIONS: To our knowledge, this is the first study to show
elevated serum CXCL1 in T1DM subjects, regardless of diabetes subtype, as
compared to control type 2 diabetes mellitus subjects. We propose serum CXCL1
elevation to be a good T1DM marker, possibly indicating a predisposition to
autoimmune disease development.
PMID- 22069269
TI - Self-reported lower respiratory tract infections and development of islet
autoimmunity in children with the type 1 diabetes high-risk HLA genotype: the
MIDIA study.
AB - AIM: To test whether self-reported lower respiratory tract infections in early
infancy predicted risk for islet autoimmunity in genetically predisposed
children. METHODS: The environmental triggers for type 1 diabetes (MIDIA) study
recruited newborns in Norway to identify those with the human leukocyte antigen
high-risk genotype DR4-DQ8/DR3-DQ2. Of 46 939 newborns genotyped, 1003 (2.1%)
carried the high-risk genotype, of whom 885 children were followed longitudinally
with questionnaires and blood samples for autoantibody testing at 3, 6, 9 and 12
months of age, and then annually until 4 years of age. The endpoint
(autoimmunity) was defined as positivity for at least one of three autoantibodies
(to insulin, glutamic acid decarboxylase (GAD) or protein tyrosine phosphatase
like protein (IA2)) on at least two consecutive samples. The parents responded in
the questionnaires, whether the child had had 'pneumonia, bronchitis or
respiratory syncytial virus'. Cox proportional hazards regression models with
time-dependent covariates were used to estimate hazard ratios for autoimmunity
using STATA 10. RESULTS: Forty-two children developed autoimmunity, of whom 15
later developed type 1 diabetes. For 17 of the 42 cases (40%) 'pneumonia,
bronchitis or respiratory syncytial virus' was reported (0.5-4 years of age)
before or at the onset of autoimmunity. For 187 of the 843 non-cases (22%)
'pneumonia, bronchitis or respiratory syncytial virus' was reported in the same
age group. The hazard ratio was 3.4 (p=0.001, 95% confidence interval: 1.6-7.1)
for developing autoimmunity. The estimated hazard ratio was only marginally
influenced by adjustment for potential confounding factors. No association was
found for other infectious self-reported symptoms. CONCLUSION: Self-reported
lower respiratory tract infections were associated with increased risk of islet
autoimmunity in early infancy.
PMID- 22069270
TI - Genetic association analyses of atopic illness and proinflammatory cytokine genes
with type 1 diabetes.
AB - BACKGROUND: The genetic basis of the autoimmune disease type 1 diabetes (T1D) has
now been largely determined, so now we can compare these findings with emerging
genetic knowledge of disorders and phenotypes that have been negatively or
positively associated with T1D historically. Here, we assessed the role in T1D of
variants previously reported to be associated with atopic diseases and epithelial
barrier function, profilaggrin (FLG), and those that affect the expression levels
of the proinflammatory cytokines tumour necrosis factor (TNF)-alpha, interleukin
(IL)-1beta, interferon (IFN)gamma and IL-18. METHODS: We genotyped single
nucleotide polymorphisms (SNPs): -105/rs28665122 in SELS or SEPS1
(selenoprotein), three single nucleotide polymorphisms in IL18 (-105/rs360717,
+183/rs5744292 and +1467/rs574456) and R501X/rs61816761 in FLG, the major locus
associated with atopic dermatitis and predisposing to asthma, in a minimum of
6743 T1D cases and 7864 controls. RESULTS: No evidence of T1D association was
found for any of the SNPs we genotyped at FLG, SELS or IL18 (p>=0.03), nor with
haplotypes of IL18 (p=0.82). Review of previous T1D genome-wide association
results revealed that four (human leucocyte antigen (HLA), gasdermin B/ORM1
(Saccharomyces cerevisiae)-like/gasdermin B/, GSDMB/ORMDL3/GSDMA and IL2RB) of
ten loci recently reported to be associated with asthma were associated with T1D
(p<=0.005). CONCLUSIONS: These results show that there are shared genetic
associations for atopy-related traits and T1D, and this might help in the future
to understand the mechanisms, pathways and environmental factors that underpin
the rapid rise in incidence of both disorders in children.
PMID- 22069271
TI - Analysis of the HLA and non-HLA susceptibility loci in Japanese type 1 diabetes.
AB - BACKGROUND: We previously reported the associations of human leukocyte antigen
(HLA) (DRB1 and DQB1), INS, CTLA4, IL2RA, ERBB3 and CLEC16A with Japanese type 1
diabetes (T1D). In this study, we jointly analysed these loci in addition to
IFIH1 and IL7R. METHODS: A maximum of 790 T1D patients and 953 control subjects
were analysed. HLA was determined by sequencing-based typing. Seven non-HLA
single nucleotide polymorphisms were genotyped using TaqMan assay. RESULTS: HLA
DRB1*0405, DRB1*0901 and DRB1*0802-DQB1*0302 haplotypes were positively
associated with T1D, while the DRB1*15 haplotypes were negatively associated. Non
HLA single nucleotide polymorphisms, INS, IL2RA, ERBB3, CLEC16A and IL7R were
associated with T1D. By a prediction model using the HLA loci alone (HLA model)
or the non-HLA loci alone (non-HLA model), it was revealed that the cumulative
effect of the non-HLA model was much weaker than that of the HLA model (average
increase in odds ratio: 1.17 versus 3.14). Furthermore, the area under the
receiver operating characteristic curve of the non-HLA model was also much
smaller than that of the HLA model (0.65 versus 0.81, p<10(-11)). Finally, a
patient-only analysis revealed the susceptible HLA haplotypes and the risk allele
of INS to be negatively associated with slower onset of the disease. In addition,
the DRB1*0901 haplotype and the risk alleles of ERBB3, CLEC16A and CTLA4 were
positively associated with the co-occurrence of thyroid autoimmunity.
CONCLUSIONS: Although several non-HLA susceptibility genes in Japanese were
confirmed trans-racially and appear to contribute to the heterogeneity of the
clinical phenotypes, the cumulative effect on the ability to predict the
development of T1D was weak.
PMID- 22069272
TI - Role of genetics in resistance to type 1 diabetes.
AB - BACKGROUND: A single nucleotide polymorphism in the mitochondrial gene encoding
NADH dehydrogenase subunit 2 (mt-ND2) has been associated with reduced incidence
of human type 1 diabetes (T1D). We identified the orthologue of this
mitochondrial single nucleotide polymorphism in mouse and using NOD mouse models
linked this genetic polymorphism to T1D resistance. The mechanism how this single
nucleotide polymorphism affects the development of diabetes is studied using
mouse models and beta cell lines. METHODS: The impact of this single nucleotide
polymorphism on mitochondrial function and resistance to reactive oxygen species
was assessed. For these studies we measured oxygen consumption by isolated
mitochondria under different doses of nitric oxide. In addition, alloxan
sensitivity of beta cell lines was tested using the MTT method to measure cell
survival. RESULTS: mt-Nd2a is associated with protection against mouse T1D and
alloxan-induced diabetes. Mice with mt-Nd2a exhibited resistance to transfer of
diabetes by single clone of diabetogenic CD4+ or CD8+ T cells. Beta cell line
with mt-Nd2a resist in vitro attack of diabetogenic CD8+ cytotoxic T cells, as
well as free radicals generated by alloxan; isolated mitochondria with mt-Nd2a
showed lower reactive oxygen species production and were more resistant to nitric
oxide. CONCLUSION: mt-Nd2a protects against T1D in mouse models. The protection
is at beta cell level and is associated with resistance against reactive oxygen
species-mediated damage and death.
PMID- 22069273
TI - Characterization of the regulatory roles of the SUMO.
AB - BACKGROUND: Type 1 diabetes is a multi-factorial autoimmune disease that results
from the destruction of insulin-producing beta cells of the pancreas; both
genetic and environmental factors are thought to contribute to its development.
Recently, a novel gene encoding small ubiquitin-like modifier protein 4 (SUMO4)
was cloned and a single nucleotide substitution (M55V) was found to be strongly
associated with type 1 diabetes. SUMO4 was shown to interact with IkappaBalpha
and inhibit NFkappaB transcriptional activity. The M55V substitution of SUMO4 may
affect its ability to modify IkappaBalpha by sumoylation, and so lead to
activation of NFkappaB and transcription of genes implicated in the development
of type 1 diabetes. However, the effects of sumoylation on immune cells are
poorly understood. METHODS: Human SUMO1, 2, 3, 4 and mouse SUMO2 (mSUMO2) were
cloned and overexpressed in dendritic, T and B cells using retroviral
transduction. We then investigated whether SUMO overexpression affected their
functions in vitro. To study the function of mSUMO2 in vivo, we made transgenic
mice overexpressing mSUMO2 in T cells and pancreatic beta cells and compared them
with transgenic mice expressing a super-repressor of NFkappaB (a dominant
negative form of NFkappaB, IkappaBalphaDeltaN) in T cells. Diabetes was induced
in the two groups of mice by i.p. injection of streptozotocin. RESULTS: Human
SUMO1, 2, 3, 4 and mSUMO2 were all found to negatively regulate the
transcriptional activity of T, B and dendritic cells. Although mSUMO2
overexpression in dendritic cells did not alter the expression of major
histocompatibility complex class II proteins or B7, IL-1, IL-6 and IL-7, IL-12
expression decreased, switching Th1-directed immune responses into Th2 responses.
Unlike T cells from the IkappaBalphaDeltaN mice, mSUMO2 overexpression in T cells
suppressed the production of both Th1 and Th2 cytokines. Whereas the mice
overexpressing IkappaBalphaDeltaN were completely protected against diabetes,
those expressing mSUMO2 had the same susceptibility to diabetes as wild type.
CONCLUSION: These results indicate that at least in T cells, whereas NFkappaB has
pro-apoptotic activity, mSUMO2 plays a more complex role in the development of
autoimmune diabetes. The relative influence of NFkappaB and sumoylation on the
development of autoimmune diabetes in vivo may vary depending on the
developmental stage and cell type.
PMID- 22069274
TI - Increased expression of microRNA miR-326 in type 1 diabetic patients with ongoing
islet autoimmunity.
AB - BACKGROUND: The current paradigm that microRNAs represent a new layer of gene
regulation has generated much interest in this field. MicroRNAs have emerged as
important regulatory factors involved in the developmental processes and in the
regulation of insulin secretion and signalling. Furthermore, recent studies
revealed an altered microRNA profiling in lymphocytes of patients with autoimmune
diseases like multiple sclerosis, in which a hyperexpression of miR-326 was
reported. Here, we analysed the expression levels of miR-326 in peripheral blood
lymphocytes from type 1 diabetic (T1D) patients in relationship with ongoing
islet autoimmunity. METHODS: Peripheral blood lymphocytes were obtained from 19
T1D patients; 4/19 patients were positive for both glutamic acid decarboxylase
(GAD) and islet cell antigen 512 autoantibodies; 10/19 were single GAD or IA-2 Ab
positive and 5/19 were GAD antibodies and IA-2 antibodies (IA-2A) negative.
Quantitative analysis of miR-326 was performed using specific stem-loop primers
followed by real-time polymerase chain reaction. All values were normalized to
endogenous control U6. RESULTS: miR-326 resulted increased in Ab-positive versus
Ab-negative T1D subjects. Its expression levels were 2.05+/-0.38-fold increased
in peripheral blood lymphocytes from patients expressing both GADA and IA-2A and
2.93+/-0.46-fold increased in single Ab-positive versus Ab-negative individuals
(p<0.05). CONCLUSION: In conclusion, we have shown that miR-326 is expressed at
higher levels in T1D subjects with ongoing islet autoimmunity, similar to what
has been observed in multiple sclerosis, in which levels of this microRNA were
highly correlated with disease severity. Interestingly, an online search of miR
326 predicted targets revealed vitamin D receptor and Erythroblastosis virus E26
oncogene homologue 1, two molecules highly involved in immune regulation.
PMID- 22069275
TI - Evidence for the role of STAT4 as a general autoimmunity locus in the Korean
population.
AB - BACKGROUND: Recently, the association of a common STAT4 haplotype with type 1
diabetes (T1D) as well as rheumatoid arthritis has been documented in Caucasians
and Koreans. STAT4 is involved in the signalling of interleukin-12 and gammaIFN,
as well as interleukin-23. To discover genes affecting the susceptibility of
common autoimmune diseases, we studied the association of polymorphisms in STAT4
with autoimmune thyroid disease (AITD) as well as T1D in the Korean population.
SUBJECTS AND METHODS: Four single-nucleotide polymorphisms on the chromosome 2q
(rs11889341, rs7574865, rs8179673, and rs10181656), which were found to associate
with rheumatoid arthritis were examined for association in a Korean sample of 428
AITD, 418 T1D patients, and 1060 controls. RESULTS: The minor alleles of all four
single-nucleotide polymorphisms and the reconstructed STAT4 haplotypes conferred
significant degree of risk for AITD (p=10(-2) to 10(-4)). Although we found a
weak association of rs11889341 with T1D (p<0.05), the same haplotypes were not
associated with T1D susceptibility. When we stratified T1D patients according to
the age of onset, the minor alleles of all four single-nucleotide polymorphisms
and the same haplotypes showed significant association with the susceptibility of
T1D in the early-onset subgroup (p<0.01), not in the late-onset subgroup.
CONCLUSION: STAT4 alleles and the same haplotypes might influence cytokine
signalling, and therefore the development of AITD as well as T1D. These results
reinforce the influence of STAT4 gene as a general autoimmune gene.
PMID- 22069276
TI - Killer cell immunoglobulin-like receptor along with HLA-C ligand genes are
associated with type 1 diabetes in Chinese Han population.
AB - OBJECTIVE: Killer cell immunoglobulin-like receptor (KIR) genes and their
putative ligands human leukocyte antigen (HLA)-C genes have been associated with
type 1 diabetes (T1D). We hypothesize that KIR genes and their ligands HLA-C
genes are important in T1D aetiology. RESEARCH DESIGN AND METHODS: KIR and HLA-C
ligand genotyping was performed in 259 T1D patients and 262 healthy children.
RESULTS: No significant difference was observed in the distribution of KIR genes
between T1D patients and healthy controls. However, frequency of HLA-C1 gene and
HLA-C2 gene (marginal association) was higher in patient group. The combinations
2DL2-/HLA-C1+; 2DL3+/HLA-C1+; 2DS2-/HLAC1+ were positively associated with T1D.
The combinations 2DL1+/HLA-C2-; 2DL2-/HLA-C1-; 2DL3+/HLA-C1-; 2DS2-/HLAC1- were
found to be negatively associated with T1D. Among the genes we tested, a
combination of HLA-C1 and -C2 conferred the strongest association with T1D and
the strength of this association was higher than that of HLA-C1 alone. The
frequencies of KIR 2DL1, 2DL2 and 2DL3 and HLA-C1 were higher in T1D patients
positive for GAD65 autoantibody; frequency of KIR 2DS4 is higher in T1D patients
positive for IA-2 autoantibody. The association between KIR/HLA-C gene and
autoantibody status was not statistically significant after applying Bonferroni
correction. CONCLUSION: In our study of a Han population (East China), we found
no direct association of KIR genes with T1D. However, a combination of HLA-C1 and
-C2 showed a positive association with T1D. Different combinations of HLA-C and
KIR showed positive and negative association with T1D.
PMID- 22069277
TI - Susceptibility influence of a PTPN22 haplotype with thyroid autoimmunity in
Koreans.
AB - BACKGROUND: Considerable amount of evidences in the Caucasians have suggested the
association of a missense single-nucleotide polymorphism (SNP) in the protein
tyrosine phosphatase non-receptor type 22 (PTPN22) gene (rs2476601) with several
autoimmune diseases including autoimmune thyroid diseases (AITD) and type 1
diabetes (T1D). As the SNP was reported to be non-polymorphic in Asians, we
attempt to explore an association of PTPN22 without restricting to the rs2476601
with AITD or T1D in Korean population. METHODS: We studied 389 T1D, 212 AITD (84
Graves' disease and 128 Hashimoto's thyroiditis) patients and 225 controls. In
addition to the rs2476601, we selected five testing SNPs spanning 58 kb over the
PTPN22 gene using the previous resequencing data and International HapMap
Project. RESULTS: We found that neither alleles, genotypes among all five SNPs,
nor reconstructed haplotypes of five SNPs were associated with T1D.
Interestingly, a minor allele of a SNP (rs12730735) and a haplotype (GGCTT)
showed significant association with the susceptibility of AITD, especially with
that of Hashimoto's thyroiditis (p<0.01). CONCLUSIONS: These results indicate
that the PTPN22 gene polymorphism independent of the SNP rs2476601 might be a
supplementary risk factor to AITD, but not in T1D in Koreans, contradicting a
major contributory influence of the PTPN22 gene in explaining common mechanism
underlying multiple autoimmune diseases.
PMID- 22069278
TI - Mapping of conformational autoantibody epitopes in ZNT8.
AB - BACKGROUND: Identification of the major humoral epitopes in zinc transporter 8
(ZnT8) will expand the range of biomarkers for human type 1 diabetes and may
provide clues to the mechanisms governing disease progression. Our initial
studies suggested that most ZnT8-reactive sera recognize conformational epitopes
in the final 100aa region of the molecule. Subsequently we identified residue 325
as a major determinant in two epitopes linked to a genetic polymorphism with high
minor allele frequency (rs13266634). The goal of the current study was to extend
this analysis to identify non-polymorphic epitopes in ZnT8. METHODS: Although the
carboxy-terminal domains of human and mouse ZnT8 are ~80% identical, the mouse
probe is not precipitated by the majority of human type 1 diabetes sera. Thus to
identify key residues we systematically 'humanized' the mouse probe at each
position that differs and evaluated the probes in radio-immunoassays. RESULTS: As
previously reported, only the alteration of Q>R325 by itself showed any
restoration of binding to human sera. However, when clusters of structurally
adjacent variant residues were also changed an additional region of antigenicity
was revealed that depended on residues R332, E333, K336, and K340. Using a panel
of 112 sera from recent onset subjects tested with the hC325Q and m
R325R332E333K336K340 probes, 39.3% of the subjects were ZnT8(Q)A+ , of which
38.6% (17/44) also recognized the mouse probe. CONCLUSIONS: We conclude that the
mR-REKK probe identifies a third major epitope in ZnT8 that may add to the
diagnostic utility of measuring autoantibodies to this molecule.
PMID- 22069279
TI - Development of a novel autoantibody assay for autoimmune gastritis in type 1
diabetic individuals.
AB - BACKGROUND: Autoimmune atrophic body gastritis (ABG) and pernicious anaemia are
prototypical, organ-specific autoimmune diseases whose prevalence in the general
population is 2.0 vs 2 and 0.15-1%, respectively. The incidence of disease
increases with age and is frequently associated with other autoimmune disorders
such as type 1 diabetes mellitus (T1DM). Early diagnosis of ABG/pernicious
anaemia is essential for the prevention and/or treatment before manifestations of
chronic disease become irreversible. Parietal cell autoantibody detection via
enzyme-linked immunosorbent assay is currently the most widely used biomarker of
disease with diagnosis confirmed by subsequent immunohistochemistry via biopsy.
METHODS: To improve the assay we designed a specific, molecularly defined
radioimmunoprecipitation assay for early detection of ABG, targeting its major
antigen, the gastric H+/K+ ATPase 4A subunit ATP4A. RESULTS: The major antigenic
domain in ATP4A was tested against a panel of sera from new onset patients with
T1DM which tested positive for the gold standard T1DM autoantibodies (IAA, IA2A,
GAD65A, and ZnT8A). Significant immunoreactivity to ATP4A was measured (25%)
while 6% of first-degree relatives of subjects with T1DM who were sero-negative
for T1DM autoantigens were positive for ATP4A autoantibodies. ATP4A antibody
prevalence increased with age of onset of T1DM, which is atypical of other T1DM
autoantibodies. Immunoreactivity to ATP4A, unlike that of T1DM antigens,
demonstrates a significant gender bias in newly diagnosed individuals with T1DM.
CONCLUSION: Although the utility of the assay as a biomarker for T1DM is likely
limited, it may serve as an improved indicator of ABG.
PMID- 22069280
TI - LIPS islet autoantibody assays in high-throughput format for DASP 2010.
AB - BACKGROUND: For cost-effective population-based diabetes prediction and
confirmation, islet autoantibody assays must be made more economical. METHODS: We
evaluated glutamic acid decarboxylase (GAD)-Ruc (renilla luciferase) and IA2ic
(also known as ICA512ic)-Ruc (renilla luciferase) fusion protein constructs in
high-throughput islet antibody assay formats. RESULTS: Antigen production via
transfection onto COS cells in 100 mm culture dishes yielded sufficient antigen
to assay 375 and 535 serum samples for GAD and IA2ic per dish, respectively.
Antigen was usably stable after -80 degrees C storage for 40-80 days after which
luciferase activity decreased. The mean signal-to-noise ratios for luciferase
based immunoprecitation system (LIPS) GAD and LIPS IA2ic were 88+/-24 and 219+/
89, respectively, comparing favourably to radio-binding assays (RBA) in the same
format. However, the coefficient of variation among triplicate wells was higher
for IA2ic than for GAD in LIPS, similar to findings in RBA format. Correlation
coefficients between autoantibody indices determined from the RBA and LIPS
methods were only R2=0.79 and R2=0.75 for GAD and IA2ic, respectively, raising
the possibility that different epitopes were favoured in the two different assay
formats. Nevertheless, overall concordance for the two assay types was high, at
228/240=95.0% for GAD and 494/521=94.8% for IA2ic. Using optimal cutoffs,
Diabetes Autoantibody Standardization Program (DASP) 2010 sensitivity/specificity
was 80/99% for GAD RBA, 80/99% for GAD LIPS, 70/98% for IA2 RBA, and 72/99% for
IA2 LIPS. CONCLUSION: The LIPS assays for islet autoantibodies to GAD and IA2ic
performed as well as RBA in DASP 2010. With further refinements in expression and
storage, these assays may be more economical than current methods to measure
islet autoantibodies in type 1 diabetes.
PMID- 22069281
TI - Zinc transporter 8 autoantibodies in fulminant, acute-onset, and slow-onset
patients with type 1 diabetes.
AB - BACKGROUND: The aim of this study was to determine the prevalence and role of
autoantibodies to zinc transporter 8 (ZnT8A) in three forms (fulminant, acute
onset, and slow-onset) of Japanese patients with type 1 diabetes. METHODS: One
hundred and ninety-six new-onset patients with type 1 diabetes were studied: 85
were fulminant, 81 acute-onset, and 30 slow-onset type 1 diabetes. ZnT8A were
determined by radioimmunoassay using a hybrid ZnT8 carboxy-terminal construct
(aa268-369) carrying 325Trp and 325Arg. Furthermore, ZnT8A epitopes were analysed
using ZnT8 constructs incorporating the known aa325 variants (Trp, Arg, and Gln).
RESULTS: ZnT8A were detected in 58% patients with acute-onset and 20% with slow
onset type 1 diabetes (p<0.0005). In contrast, none of sera from fulminant type 1
diabetes were reactive to ZnT8 construct. Conversion of Arg or Trp to Gln at
aa325 abolished reactivity in 59% of patients with an age of onset>10 years,
which was significantly higher than that in patients<=10 years of age (33%,
p<0.05). CONCLUSIONS: These results suggest that ZnT8A are an additional useful
marker for acute-onset type 1 diabetes, but not a diagnostic marker for fulminant
type 1 diabetes, and ZnT8A epitope recognition is different according to the
onset age.
PMID- 22069282
TI - Discordant association of islet autoantibodies with high-risk HLA genes in
Chinese type 1 diabetes.
AB - BACKGROUND: To reveal the aetiology of diabetes, the relationships between the
islet autoantibodies, human leukocyte antigen (HLA)-A and DRB1 genotypes in the
Chinese patients with type l diabetes (T1D) were investigated in our study.
METHODS: In the cross-sectional and case-control study, peripheral blood samples
were collected from 600 T1D patients and 102 healthy controls. The genetic
polymorphisms of HLA-A and DRB1 are examined with polymerase chain reaction
sequence oligonucleotide probe method. The zinc transporter 8 antibody (ZnT8A),
glutamic acid decarboxylase antibody (GADA) and protein-tyrosine-phosphatase-2
autoantibody (IA2A) were detected by radioligand assay. RESULTS: The A*2402,
DRB1*0301, DRB1*0405 and DRB1*0901 alleles, and A*1101-DRB1*0901, A*2402
DRB1*0405 and A*2402-DRB1*0901 haplotypes were associated with T1D (all p<0.05).
The positive rates of ZnT8A in patients carried DRB1*0901, IA2A in patients
carried DRB1*0405 and A*1101-DRB1*0901 and GADA in patients carried DRB1*0901 and
A*2402-DRB1*0901 were significantly higher than those not carried (p<0.05). HLA
DRB1*0901 was the independent risk factor of positive antibody in T1D patients.
In addition, higher body mass index is also related with the loss of islet
function besides high-risk HLA gene and islet autoantibody (p<0.05). CONCLUSIONS:
The discordant association of autoantibodies with high-risk HLA gene may indicate
the different immunology mechanisms of those autoantibodies. And metabolic burden
resulting from overweight may accelerate apoptosis of beta cells.
PMID- 22069283
TI - An improved method for growing and analysing human antigen-specific CD4+ T-cell
clones.
AB - BACKGROUND: T-cell clones are valuable tools for investigating T-cell specificity
in type 1 diabetes. Efficient methods for isolating T-cell clones have been
developed, but growing enough cells to undertake a detailed analysis remains a
challenge. METHODS: We optimized the conditions for isolating and growing antigen
specific human CD4+ effector T-cell clones. T-cell clones were isolated by FACS
sorting antigen-responsive cells identified by carboxylfluorescein diacetate
succinimidyl ester (CFSE) dilution. The cloning efficiency was compared between T
cells cloned in the presence of 21 different combinations of cytokines. Following
cloning, the growth of cloned T cells in the presence of seven different
combinations of cytokines was compared. Finally, we sought a quicker and more
sensitive assay to measure cloned T cells' responses to antigen. RESULTS: IL-2+IL
4 were optimal for cloning antigen-specific CD4+ T cells. Following cloning, the
most antigen-specific CD4+ T-cell clones grew in the presence of IL-15+IL-21.
Antigen recognition by T cells cloned and grown under these conditions was
readily detected by the increase in the expression of CD25. Induction of CD25 was
a more sensitive measure of antigen recognition than 3H-thymidine incorporation
assays. These findings were confirmed with two proinsulin-specific CD4+ T-cell
clones isolated from an individual with type 1 diabetes. CONCLUSION: The optimal
cytokines for isolating, and growing, proinsulin-specific human, CD4+ T-cell
clones are IL-2+IL-4 and IL-15+IL-21, respectively. Antigen recognition, by
clones isolated and grown under these conditions is best detected by the
induction of CD25.
PMID- 22069284
TI - 'Sensing' the link between type 1 and type 2 diabetes.
AB - Obesity-associated insulin resistance is a core element of metabolic syndrome and
type 2 diabetes (T2D). Notably, insulin resistance is also a feature of type 1
diabetes (T1D), where findings in the non-obese diabetic mouse model have
implicated transient receptor potential vanilloid-1 (TRPV1+) sensory neurons in
local islet inflammation and glucose metabolism. Here, we briefly review the role
of TRPV1 in non-obese diabetic (NOD) T1D pathogenesis, highlighting commonalities
that suggest TRPV1 may contribute to obesity and T2D as well. With the recently
discovered importance of adipose infiltrating lymphocytes in the metabolic
disturbances of obesity and T2D, sensory innervation of fat may thus play an
analogous role to sensory neurons in the islet--modulating neuroendocrine
homeostasis and inflammation. In such a scenario, TRPV1+ sensory nerves would
provide the pathoaetiological link connecting the shared metabolic and
immunologic features of type 1 diabetes and T2D.
PMID- 22069286
TI - Preservation of recall immunity in anti-CD3-treated recent onset type 1 diabetes
patients.
AB - BACKGROUND: The safety of any immune modulating agent in type 1 diabetes mellitus
(T1DM) involves its selectivity on autoimmunity and its preservation of recall
and tumour immunity. METHODS: We performed lymphocyte proliferation tests on
seven recent onset diabetic patients treated with anti-CD3 (Otelixizumab;
ChAglyCD3) and five recent onset diabetic patients treated with placebo, on
average 2 years after therapy. RESULTS: Proliferative responses towards common
viral, bacterial and yeast antigens upon in vitro stimulation with a range of
recall antigens in anti-CD3-treated T1DM patients were highly similar to those in
placebo-treated T1DM patients. Similarly, T-cell responses towards autoantigens
were equally low between the two groups, several years after diagnosis of T1DM.
The proliferative response upon stimulation with the human suppressor protein p53
was invariably high in both anti-CD3- and placebo-treated patients, implying
preserved anti-tumour immunity in anti-CD3 treatment. CONCLUSIONS: As long-term
focus on side effects is key, we demonstrate in this sub-cohort of recent onset
T1DM patients treated with Otelixizumab that recall immunity is preserved in
spite of high-dose anti-CD3 treatment, adding to the safety of anti-CD3 treatment
as an immune-modulatory agent in the treatment of T1DM.
PMID- 22069287
TI - Encapsulated pancreatic progenitors derived from human embryonic stem cells as a
therapy for insulin-dependent diabetes.
AB - BACKGROUND: Cellular-based therapies for insulin-dependent diabetes are potential
means of achieving and maintaining normal blood glucose levels (BGL) without the
need for insulin administration. Islets isolated from donor pancreases have been
the most common tissue used to date, but supply is a limiting factor. The use of
human embryonic stem cells (hESC) as a therapy became a possibility with the
report that these cells could be differentiated to pancreatic progenitors (PP)
over 12 days in vitro. Conversion of PP to glucose-responsive insulin-secreting
cells can be achieved by transplanting the progenitors in vivo where cell
maturation occurs. To date this step has not been shown under in vitro
conditions. METHODS: Prior to transplanting, cells are encapsulated in alginate
to prevent the immune cells of recipient attacking the graft. The alginate
capsules have pores with a molecular weight cut-off of 250 kDa. These are too
small to allow entry of immune cells, but large enough for passage of nutrients
and insulin. RESULTS: Encapsulated insulin-producing cells survive and function
when transplanted, and have been shown to normalize BGL when allografted into
diabetic mice. As few as 750 encapsulated human islets are sufficient to
normalize BGL of diabetic non-obese diabetic severe combined immunodeficient
(NOD/SCID) recipient mice for at least 2 months. The safety of transplanting
encapsulated human islets as demonstrated by the lack of major adverse events and
infection was recently shown in a first-in-human clinical trial. Finally, fetal
porcine islet-like cell clusters, which are akin to PP derived from ESC, mature
and normalize BGL of diabetic recipient mice with the same efficiency as non
encapsulated clusters placed under the kidney capsule. CONCLUSION: Transplanting
encapsulated PP, derived from hESCs, into diabetic recipients is the strategy
that is now being explored in the Australia Diabetes Therapy Project.
PMID- 22069285
TI - Mast cell stabilization: novel medication for obesity and diabetes.
AB - Mast cells are essential in allergic responses and beyond. White adipose tissue
from obese humans contains large numbers of mast cells. Serum mast cell tryptase
levels are also significantly higher in obese subjects than in lean subjects,
suggesting a role of these inflammatory cells in obesity and diabetes. Two types
of mast cell-deficient mice, along with corresponding wild-type control mice,
were fed a Western diet to induce obesity and diabetes. We also used two anti
allergy drugs, cromolyn and ketotifen (Zaditor), to treat wild-type mice during
intake of a Western diet or after the onset of obesity and diabetes, to examine
the possible prevention or reversal of these conditions. Mast cell deficiency or
pharmacological stabilization reduced body weight gain and improved glucose and
insulin sensitivities. These common, side effect-free drugs also reduced pre
established obesity and diabetes without noticeable toxicity. Mechanistic studies
suggest that mast cells participate in these metabolic disorders by affecting
energy expenditure, protease expression, angiogenesis, apoptosis, and
preadipocyte differentiation. These observations open a new era of basic research
regarding mast cells, and offer hope to patients suffering from these metabolic
disorders.
PMID- 22069288
TI - 1,25-Dihydroxyvitamin D3 alters murine dendritic cell behaviour in vitro and in
vivo.
AB - BACKGROUND: Differentiation and maturation of dendritic cells yield a cell type
with the ability to prime immune responses towards defence and destruction.
1,25(OH)2D3, the active form of vitamin D3, fosters the development of
tolerogenic dendritic cells. This study aimed to evaluate the effects of
1,25(OH)2D3 on murine dendritic cell behaviour in vitro and in vivo. METHODS:
Dendritic cells were differentiated from bone marrow cells of female C57Bl/6 mice
in the presence or absence of 10(-8) M 1,25(OH)2D3 for 8 days (IL4 and GM-CSF).
Maturation was induced for 48 h (IFNgamma, LPS and BALB/C islet homogenate
antigen). RESULTS: Bone marrow-derived dendritic cells displayed a different
surface marker profile in the presence of 1,25(OH)2D3 with decreased MHC II, CD86
and CD80 and increased CCR5, DEC205, F4/80 and CD40, as well as lower IL6 and
IL12 expression upon LPS/IFNgamma stimulation. T-cell proliferation was
significantly reduced when exposed to islet antigen-loaded 1,25D3-DCs as compared
to control dendritic cells and IL4, IL10, TNFalpha and TGFbeta levels were
increased. In vivo, transfer of islet antigen-loaded control dendritic cells
resulted in priming of the immune system and hyperacute islet allograft rejection
(4/4), whereas this was prevented in 5/7 mice treated with islet antigen-loaded
1,25D3-DCs. CONCLUSION: We conclude that in vitro 1,25(OH)2D3 exposure alters
dendritic cell behaviour, converting them into a cell type that drives T cells
away from destruction towards a regulatory phenotype.
PMID- 22069289
TI - The effect of vitamin D supplementation on peripheral regulatory T cells and beta
cell function in healthy humans: a randomized controlled trial.
AB - BACKGROUND: Increasing evidence supports the role of vitamin D (vitD) in
modifying the risk to develop type 1 diabetes (T1D) and other autoimmune
diseases. VitD3 might stimulate regulatory T cells (Tregs), a central player in
the maintenance of self-tolerance. In addition, direct effects of vitD on beta
cell function are postulated. The aim of our study was to evaluate the effect of
a high dose vitD supplementation on Tregs frequency (%Tregs) and beta-cell
function assessed by a mixed meal tolerance test (MMTT) in healthy humans.
METHODS: A double-blind, placebo controlled trial was performed in 59 healthy
adult subjects (49% females). Subjects received oral vitD3 (140,000 IU monthly)
or placebo for 3 months. %Tregs within 20,000 CD4+ T cells of peripheral blood
was determined by multi-parametric FACS-analysis. A liquid MMTT was carried out
before and after treatment. RESULTS: %Tregs increased significantly in the vitD
group, but remained unchanged in the placebo group. Fasting C-peptide
concentrations did not change significantly in either group. Similarly, the mean
AUC for C-peptide after 3 months and the change in mean values from baseline to
the end of the treatment were comparable in both groups. CONCLUSIONS: A short
time high dose vitD3 supplementation significantly increased the frequency of
Tregs, but did not further improve beta-cell function in apparently healthy
subjects. The immunomodulatory potential of vitD might be an important
mechanistic link for the association of vitD and T1D.
PMID- 22069290
TI - Immune regulation by CTLA-4--relevance to autoimmune diabetes in a transgenic
mouse model.
AB - BACKGROUND: The importance of cytotoxic T lymphocyte antigen-4 (CTLA-4) in immune
regulation is unquestioned, yet a precise understanding of which cells express
it, and how it mediates immune inhibitory function, is lacking. Regulatory T
cells are known to constitutively express CTLA-4 intracellularly, whereas
conventional T cells require activation to trigger CTLA-4 expression. However
comparative analysis of CTLA-4 trafficking in regulatory and conventional subsets
has not been performed. METHODS: Here we assess CTLA-4 expression in antigen
specific conventional and regulatory cells responding to immunizing antigen in
vivo and analyse the membrane trafficking of CTLA-4 using an in vitro recycling
assay. We assess the expression of CTLA-4 on Treg infiltrating the pancreas in
the DO11*RIP-mOVA diabetes model and the role of CTLA-4 in Treg function.
RESULTS: Regulatory T cells show an enhanced capacity to traffic CTLA-4 following
stimulation compared with conventional T cells. Treg infiltrating the pancreas in
DO11*RIP-mOVA mice show high expression of CTLA-4. Furthermore CTLA-4-deficient
Treg fail to control diabetes in an adoptive transfer model of diabetes, even in
situations where they outnumber the disease-inducing conventional T cells.
CONCLUSIONS: These data show that not only do regulatory T cells express higher
levels of intracellular CTLA-4 than conventional T cells, but they also show an
increased capacity to traffic CTLA-4 to the cell surface following stimulation.
CTLA-4 is strongly upregulated in regulatory T cells infiltrating the target
tissue in a mouse model of type 1 diabetes and expression of this protein is
critical for effective regulation.
PMID- 22069291
TI - Pioglitazone may accelerate disease course of slowly progressive type 1 diabetes.
AB - BACKGROUND: It has been reported that intervention with insulin in slowly
progressive type 1 diabetic (SPIDDM) patients delays the progression to an
insulin-dependent state compared to that with sulfonylureas. However, the rate of
progression to SPIDDM with the use of insulin-sensitizing agents is unknown. The
aim of this study was to determine the effect of insulin-sensitizing agents on
SPIDDM patients. METHODS: The enrolled SPIDDM patients were randomly allocated to
a pioglitazone or metformin group. When the haemoglobin A1C level was more than
8% on two consecutive occasions, the case was considered to reach the end point.
RESULTS: By 4 years post-intervention, all patients had reached the end point in
the pioglitazone group, whereas only 20% of patients had reached the end point in
the metformin group (p<0.05). CONCLUSIONS: Pioglitazone may accelerate the
disease course of SPIDDM.
PMID- 22069292
TI - Prevention of type 1 diabetes by inducing subclinical dermatitis on a small area.
AB - OBJECTIVE: We have previously epidemiologically shown that type 1 diabetes is
inversely associated with contact allergy. This finding is intriguing as type 1
diabetes and contact allergy are two completely different diseases, although T
cells are involved in both diseases. The objective of this study was therefore to
experimentally study the effect of contact allergens on the development of
diabetes in non-obese diabetic mice. METHODS: Non-obese diabetic mice 4 weeks of
age were separated into seven groups. One group was exposed to tapped water every
14th day, whereas the remaining six groups were split into sensitizations groups
or elicitation groups (exposure every 14th day). These groups were then treated
with one of the selected contact allergens (PPD or DNCB) or vehicle (AOO). All
groups received the sensitizing treatment regime, and hereafter only the
elicitation groups were further treated. If the blood glucose reached 14 mM, the
mice were considered diabetic and euthanized. Cardiac heart blood was drawn at
euthanization, and a Luminex analysis was done on the serum. RESULTS: We showed
that repeated application of a low dose of PPD reduced the incidence of diabetes
compared to application with water (47% versus 93%, p=0.004). The rest of the
groups developed diabetes with a cumulative incidence rate above 80%. The Luminex
cytokine analysis revealed no differences between the groups, and no elevated
cytokine level suggested a systemic response. Dermatitis was not noticeable by
visual inspection, a histological examination, however, revealed a slight
infiltration in the ears in the elicitation groups exposed to contact allergens.
CONCLUSION: This study showed that repeated topical application on the ears with
a contact allergen could prevent the development of diabetes in non-obese
diabetic mice. The contact allergens gave a non-visible, subclinical dermatitis
on the application site. Activation of NKT cells to the ear lymph nodes seems to
be involved.
PMID- 22069293
TI - Fulminant type 1 diabetes--an important subtype in East Asia.
AB - Fulminant type 1 diabetes is defined as a subtype of type 1 diabetes with a
remarkably acute onset. A nationwide survey identified that this variant accounts
for approximately 20% of acute-onset type 1 diabetic patients in Japan. Recent
studies indicate that this is not a minor subtype in other East Asian countries.
As genetic factors, we revealed association of HLA-DR-DQ, HLA-B and CTLA-4 to
fulminant type 1 diabetes. As an environmental factor, viral infection would
contribute to the development of this subtype. Cellular infiltration to islets
was detected soon after the onset but not observed 1 month after the onset.
Macrophages and T cells were the main components of the infiltrates. Enterovirus
RNA and Toll-like receptor-3 expression, a signature of viral infection, was also
observed. These findings suggest that viral infection in the susceptible
individual might trigger anti-viral immune response and that pancreatic beta
cells are rapidly destroyed through the accelerated immune reaction.
PMID- 22069294
TI - Pathological changes in the pancreas of fulminant type 1 diabetes and slowly
progressive insulin-dependent diabetes mellitus (SPIDDM): innate immunity in
fulminant type 1 diabetes and SPIDDM.
AB - OBJECTIVE: The contribution of innate immunity responsible for beta-cell
destruction in fulminant type 1 diabetes (FT1D) and slowly progressive insulin
dependent diabetes mellitus (SPIDDM) is unclear. RESEARCH DESIGN AND METHODS:
Islet-cell expression of Toll-like receptors (TLRs) including TLR3 and TLR4, the
cytoplasmic retinoic acid-inducible protein I (RIG-I)-like helicases, RIG-I,
melanoma differentiation-associated gene-5 and laboratory of genetics and
physiology 2 in the affected islets were studied immuno-histochemically on three
pancreases obtained 2-5 days after the onset of FT1D and a pancreas from a
patient with SPIDDM. RESULTS: Laboratory of genetics and physiology 2 and RIG-I
strongly expressed in beta cells in all three FT1D pancreases infected with
enterovirus (VP1 antigen). Melanoma differentiation-associated gene-5 was hyper
expressed in all subsets of islet cells including beta cells and alpha cells.
TLR3 and TLR4 were expressed in mononuclear cells that infiltrated to islets. IFN
alpha/beta was strongly expressed in islet cells. In contrast, pancreas of a
patient with SPIDDM, enterovirus and expression of innate immune receptors
including RIG-I, melanoma differentiation-associated gene-5, hyperexpression of
laboratory of genetics and physiology 2 and mononuclear cells, which were
positive for TLR3 and TLR4, and infiltration to the islets were not detected.
CONCLUSIONS: These findings demonstrate that retinoic acid-inducible protein I
(RIG-I)-like helicases and TLRs play a crucial role on beta-cell destruction in
enterovirus-induced FT1D. The presence of distinct mechanism(s) of slowly
progressive beta-cell failure in SPIDDM was suggested.
PMID- 22069295
TI - Heterogeneity among patients with latent autoimmune diabetes in adults.
AB - BACKGROUND: Some type 1 diabetic patients do not require insulin at diagnosis of
diabetes, and they progress to insulin dependence only after several years
(latent autoimmune diabetes in adults). However, not all patients with latent
autoimmune diabetes in adults progress to insulin dependence. We compared the
characteristics of patients with high glutamic acid decarboxylase antibodies
(GADA) titres (>=10 U/mL) to those of patients with low titres and examined other
factors possibly associated with the progression to insulin dependence. METHODS:
We began registering diabetic patients in 1993 and have since followed them
prospectively. Among these patients, we analysed clinical characteristics and
progression to insulin dependence in those followed for more than 5 years.
RESULTS: Patients with high GADA titres were younger and had lower body mass
index, shorter disease durations and lower serum C-peptide (s-CPR) levels than
the patients with low GADA titre and GADA negative type 2 diabetes. Frequencies
of other islet-related autoantibodies were significantly higher in patients with
high GADA titre than in those with low GADA titres. Disease protective HLA class
II genotypes were less frequent in patients with high titre. The positive
predictive value of being GADA positive was only 42.7%. The positive predictive
value increased to 78.6% when the cut-off was set at the relatively high level of
10 U/mL. Combining GADA with other islet-related autoantibodies or HLA class II
genotype increased positive predictive value but decreased sensitivity.
CONCLUSIONS: Our results suggest that latent autoimmune diabetes in adults
constitutes a heterogeneous group and that the majority of patients with high
GADA titres (>=10 U/mL) will ultimately develop type 1 diabetes while those with
low titres include patients with type 1 and type 2 diabetes.
PMID- 22069296
TI - LADA prevalence estimation and insulin dependency during follow-up.
AB - BACKGROUND: Latent autoimmune diabetes in adults (LADA) is a form of autoimmune
mediated diabetes in adults, usually defined by GAD autoantibody positivity. Few
epidemiological surveys on LADA in Asians did not come to a conclusive answer
regarding prevalence and incidence, because of different criteria used in patient
ascertainment. METHODS: We estimated LADA prevalence in a recent type 2 diabetes
cohort by the positivity of circulating autoantibodies to pancreatic islet cell
antigens (GAD, IA-2 and zinc transporter 8 (ZnT8)) applying a comparable
Caucasian criteria. We then observed the development of insulin dependency
prospectively for 36 months. RESULTS: Applying the European NIRAD LADA group
criteria, we found a high prevalence of LADA (4.4%) in Korean patients with
phenotypic type 2 diabetes. This high prevalence of LADA in Korea is noteworthy
since the previous population-based LADA prevalence survey reported low
prevalence (1.7%). When we consider the low-titre GAD antibodies and the low
prevalence of multiple autoantibodies, however, increased LADA prevalence does
not necessarily mean increase in future insulin dependency. After 36 months of
follow-up, only 3 of 39 patients who were initially classified as LADA have
become insulin-dependent. Those three were all positive for multiple
autoantibodies (GAD, IA-2 and zinc transporter 8 antibody). Other features of
insulin secretion or insulin resistance did not determine future insulin
necessity. CONCLUSIONS: Although the LADA prevalence estimated by anti-GAD
positivity appeared to increase, the true insulin dependency evidenced by
multiple antibody positivity did not increase in Korea.
PMID- 22069298
TI - Optical versus virtual: teaching assistant perceptions of the use of virtual
microscopy in an undergraduate human anatomy course.
AB - Many studies that evaluate the introduction of technology in the classroom focus
on student performance and student evaluations. This study focuses on instructor
evaluation of the introduction of virtual microscopy into an undergraduate
anatomy class. Semi-structured interviews were conducted with graduate teaching
assistants (TA) and analyzed through qualitative methods. This analysis showed
that the teaching assistants found the virtual microscope to be an advantageous
change in the classroom. They cite the ease of use of the virtual microscope,
access to histology outside of designated laboratory time, and increasing student
collaboration in class as the primary advantages. The teaching assistants also
discuss principal areas where the use of the virtual microscope can be improved
from a pedagogical standpoint, including requiring students to spend more time
working on histology in class.
PMID- 22069299
TI - Three-dimensional segmentation of nuclei and mitotic chromosomes for the study of
cell divisions in live Drosophila embryos.
AB - Drosophila embryogenesis is an established model to investigate mechanisms and
genes related to cell divisions in an intact multicellular organism. Progression
through the cell cycle phases can be monitored in vivo using fluorescently
labeled fusion proteins and time-lapse microscopy. To measure cellular properties
in microscopic images, accurate and fast image segmentation methods are a
critical prerequisite. To quantify static and dynamic features of interphase
nuclei and mitotic chromosomes, we developed a three-dimensional (3D)
segmentation method based on multiple level sets. We tested our method on 3D time
series images of live embryos expressing histone-2Av-green fluorescence protein.
Our method is robust to low signal-to-noise ratios inherent to high-speed
imaging, fluorescent signals in the cytoplasm, and dynamic changes of shape and
texture. Comparisons with manual ground-truth segmentations showed that our
method achieves more than 90% accuracy on the object as well as voxel levels and
performs consistently throughout all cell cycle phases and developmental stages
from syncytial blastoderm to postblastoderm mitotic domains.
PMID- 22069300
TI - Pericytes: a universal adult tissue stem cell?
PMID- 22069301
TI - Cell phones go cellular--current scale-down lab-in-your-pocket applications.
PMID- 22069302
TI - Surface chemical immobilization of parylene C with thermosensitive block
copolymer brushes based on N-isopropylacrylamide and N-tert-butylacrylamide:
synthesis, characterization, and cell adhesion/detachment.
AB - Poly(N-isopropylacrylamide) (pNIPAM), poly(N-tert-butylacrylamide) (pNTBAM), and
their copolymer brushes were covalently immobilized onto parylene C (PC) surfaces
via surface initiated atom transfer radical polymerization (ATRP). Contact angle
measurement between 13 and 40 degrees C showed that the hydrophobicity of the
modified PC surfaces was thermally sensitive. Among these samples, PC grafted
with pNIPAM (PC-NI), PC grafted with pNTBAM (PC-NT) and PC grafted with copolymer
brushes containing pNTBAM and pNIPAM (PC-NT-NI) exhibited the lower critical
solution temperature (LCST) at 29, 22, and 24 degrees C, respectively.
Cytocompatibility study for the modified surfaces was performed by 5 days human
skin fibroblast culture at 37 degrees C. Data showed that only a very small
amount of cells adhered on the PC and PC-NI surfaces, while a significantly
higher amount of cell adhesion and growth was observed on PC-NT and PC-NT-NI
surfaces. Furthermore, cell detachment at the temperatures of 24 and 6 degrees C
were studied after the substrates were cultured with cells at 37 degrees C for 24
h. The results showed that the cells on PC-NI formed the aggregations and loosely
attached on the substrate after 30-min culture at 24 degrees C, while no
significant cell detachment was observed for PC-NT and PC-NT-NI samples at this
temperature. By continuing the cell culture for additional 100 min at 6 degrees C
for PC-NT and PC-NT-NI, about 10 and 35% of the cells were found detached
respectively, and the unattached cells aggregated on the substrate. In
comparison, cells cultured on the tissue culture petri dish (TCP) exhibited no
quantity and morphology changes at the culture temperatures of 37, 24, and 6
degrees C. This study showed that: (1) immobilization of PC with nonthermal
sensitive pNTBAM could provide PC surface thermal sensitive hydrophilicity; (2)
the chlorines on the polymer brushes of PC-NT could be used to further initiate
the ATRP pNIPAM and form block copolymer brushes; (3) the incorporation of pNTBAM
into pNIPAM on PC-NT-NI could change the surface thermal hydrophilicity property,
and be further applied to decrease the LCST of the modified PC surface; (4)
grafted pNIPAM brushes on PC-NI by ATRP showed very low cell adhesion and
proliferation in 5 days fibroblast culture at 37 degrees C, and cell detached at
24 degrees C; (5) the incorporation of pNTBAM into pNIPAM on PC-NT-NI decreased
the thermal sensitivity of cell adhesion/detachment, cell detached at 6 degrees
C, but the cell adhesion and proliferation were significantly improved at a wide
temperature range.
PMID- 22069303
TI - Lamellar stack formation and degradative behaviors of hydrolytically degraded
poly(epsilon-caprolactone) and poly(glycolide-epsilon-caprolactone) blended
fibers.
AB - Electrospun fibrous mats have gained popularity in bioengineering over the past
decade, but few papers detail their degradative mechanisms. To address this,
blends of hydrophobic poly(epsilon-caprolactone) (PCL) and hydrophilic PGA-PCL
PGA triblock copolymer were electrospun into aligned fibrous mats to assess the
copolymers' mechanical and degradative properties. Increased hydrophilic triblock
content led to enhanced morphological uniformity of fiber, tightening of fiber
diameters, increased storage and Young's modulus, and decreased elongation. The
corresponding decrease in hydrophobic PCL content led to faster hydrolytic
degradation rate, as reflected by enhanced decrease in mass, molecular weight,
and modulus loss at 25, 37, and 45 degrees C. The activation energy for
hydrolytic degradation for 15:85 PCL: triblock copolymer was approximately half
that of 85:15 PCL: triblock copolymer. Detailed examination of fiber morphology
and crystallinity revealed initial surface erosion followed by the evolution of
crystalline lamellar stacks and bulk degradation at 37 degrees C. Because of the
high surface to volume and short diffusion length scale of the small diameter
fibers, surface and bulk degradation may both contribute to the hydrolytic
degradative behavior of these electrospun fibrous mats. Electrospun mats'
distinct architecture that embodies high specific surface to volume and
interfiber porous ultrastructures that lead to their unique degradative behaviors
hold much potential for significant impact in the field of tissue engineering and
controlled drug delivery.
PMID- 22069304
TI - Hospitalists and intensivists in the medical ICU: a prospective observational
study comparing mortality and length of stay between two staffing models.
AB - BACKGROUND: A shortage of critical care specialists or intensivists, coupled with
expanding United States critical care needs, mandates identification of alternate
qualified physicians for intensive care unit (ICU) staffing. OBJECTIVE: To
compare mortality and length of stay (LOS) of medical ICU patients cared for by a
hospitalist or an intensivist-led team. DESIGN: Prospective observational study.
SETTING: Urban academic community hospital affiliated with a major regional
academic university. PATIENTS: Consecutive medical patients admitted to a
hospitalist ICU team (n = 828) with selective intensivist consultation or an
intensivist-led ICU teaching team (n = 528). MEASUREMENTS: Endpoints were ICU and
in-hospital mortality and LOS, adjusted for patient differences with logistic and
linear regression models and propensity scores. RESULTS: The odds ratio adjusted
for disease severity for in-hospital mortality was 0.8 (95% confidence interval
[CI]: 0.49, 1.18; P = 0.23) and ICU mortality was 0.8 (95% CI: 0.51, 1.32; P =
0.41), referent to the hospitalist team. The adjusted LOS was similar between
teams (hospital LOS difference 0.9 days, P = 0.98; ICU LOS difference 0.3 days, P
= 0.32). Mechanically ventilated patients with intermediate illness severity had
lower hospital LOS (10.6 vs 17.8 days, P < 0.001) and ICU LOS (7.2 vs 10.6 days,
P = 0.02), and a trend towards decreased in-hospital mortality (15.6% vs 27.5%, P
= 0.10) in the intensivist-led group. CONCLUSIONS: The adjusted mortality and LOS
demonstrated no statistically significant difference between hospitalist and
intensivist-led ICU models. Mechanically ventilated patients with intermediate
illness severity showed improved LOS and a trend towards improved mortality when
cared for by an intensivist-led ICU teaching team.
PMID- 22069305
TI - Multifunctional mesoporous silica nanospheres with cleavable Gd(III) chelates as
MRI contrast agents: synthesis, characterization, target-specificity, and renal
clearance.
AB - Mesoporous silica nanospheres (MSNs) are a promising material for magnetic
resonance imaging (MRI) contrast agents. In this paper multifunctional MSNs with
cleavable Gd(III) chelates are synthesized and characterized, and their
applicability as MRI contrast agents is demonstrated both in vitro and in vivo.
The MSNs contain Gd(III) chelates that are covalently linked via a redox
responsive disulfide moiety. The MSNs are further functionalized with
polyethylene glycol (PEG) and an anisamide ligand to improve their
biocompatibility and target specificity. The effectiveness of MSNs as an MRI
imaging contrast agent and their targeting ability are successfully demonstrated
in vitro using human colon adenocarcinoma and pancreatic cancer cells. Finally,
the capability of this platform as an in vivo MRI contrast agent is tested using
a 3T scanner. The Gd(III) chelate was quickly cleaved by the blood pool thiols
and eliminated through the renal excretion pathway. Further tuning of the Gd(III)
chelate release kinetics is needed before the MSN system can be used as target
specific MRI contrast agents in vivo.
PMID- 22069306
TI - Self-assembly of graphenelike ZnO superstructured nanosheets and their
application in hybrid photoconductors.
AB - Photoconductors require high charge-carrier mobilities, sensitivity over a wide
range of light levels, and good stability. Combining an organic semiconductor
with environmentally benign inorganic nanoparticles is a rational means to
develop photoconductors with such properties. However, an inhomogeneous
distribution of nanoparticles in the active layer restricts both charge-carrier
mobility and charge collection at an electrode. In this paper, ultrathin ZnO
superstructured nanosheets are successfully synthesized by a solvothermal method.
Time-dependent investigations show that the superstructured nanosheets assemble
in solution during the growth process. Given that high-quality ZnO nanosheets are
obtained, a hybrid photoconductor device with P3HT is fabricated and
investigated. Sensitivity above 200% under simulated sunlight is obtained with
good air stability. This study demonstrates a general approach to design
photoconductors using hybrid nanomaterials.
PMID- 22069307
TI - Recommendations for mass spectrometry data quality metrics for open access data
(corollary to the Amsterdam principles).
AB - Policies supporting the rapid and open sharing of proteomic data are being
implemented by the leading journals in the field. The proteomics community is
taking steps to ensure that data are made publicly accessible and are of high
quality, a challenging task that requires the development and deployment of
methods for measuring and documenting data quality metrics. On September 18,
2010, the U.S. National Cancer Institute (NCI) convened the "International
Workshop on Proteomic Data Quality Metrics" in Sydney, Australia, to identify and
address issues facing the development and use of such methods for open access
proteomics data. The stakeholders at the workshop enumerated the key principles
underlying a framework for data quality assessment in mass spectrometry data that
will meet the needs of the research community, journals, funding agencies, and
data repositories. Attendees discussed and agreed upon two primary needs for the
wide use of quality metrics: (i) an evolving list of comprehensive quality
metrics and (ii) standards accompanied by software analytics. Attendees stressed
the importance of increased education and training programs to promote reliable
protocols in proteomics. This workshop report explores the historic precedents,
key discussions, and necessary next steps to enhance the quality of open access
data. By agreement, this article is published simultaneously in Proteomics,
Proteomics Clinical Applications, Journal of Proteome Research, and Molecular and
Cellular Proteomics, as a public service to the research community. The peer
review process was a coordinated effort conducted by a panel of referees selected
by the journals.
PMID- 22069308
TI - A novel role for interleukin-27 (IL-27) as mediator of intestinal epithelial
barrier protection mediated via differential signal transducer and activator of
transcription (STAT) protein signaling and induction of antibacterial and anti
inflammatory proteins.
AB - The role of the Th17 cell inhibiting cytokine IL-27 in the pathogenesis of
inflammatory bowel disease is contradictory. Its effects on the intestinal
barrier have so far not been investigated, which was the aim of this study. We
show that intestinal epithelial cells (IEC) express both IL-27 receptor subunits
IL-27RA and gp130. The IL-27 receptor expression is up-regulated in intestinal
inflammation and during bacterial infection. IL-27 activates ERK and p38 MAPKs as
well as Akt, STAT1, STAT3, and STAT6 in IEC. IL-27 significantly enhances cell
proliferation and IEC restitution. These functions of IL-27 are dependent on the
activation of STAT3 and STAT6 signaling pathways. As analyzed by microarray, IL
27 modulates the expression of 428 target genes in IEC (316 up and 112 down;
p<0.05). IL-27 as well as its main target genes are up-regulated in colonic
tissue and IEC isolated from mice with dextran sulfate sodium (DSS)-induced
colitis. The IL-27-induced expression of the anti-bacterial gene deleted in
malignant brain tumor 1 (DMBT1) is mediated by p38 and STAT3 signaling, whereas
the activation of the anti-inflammatory and anti-bacterial gene indoleamine 2,3
dioxygenase (IDO1) is dependent on STAT1 signal transduction. IL-27-induced
indoleamine 2,3-dioxygenase enzymatic activity leads to growth inhibition of
intestinal bacteria by causing local tryptophan depletion. For the first time, we
characterize IL-27 as a mediator of intestinal epithelial barrier protection
mediated via transcriptional activation of anti-inflammatory and antibacterial
target genes.
PMID- 22069309
TI - Molecular basis of maillard amide-advanced glycation end product (AGE) formation
in vivo.
AB - The Maillard reaction in vivo entails alteration of proteins or free amino acids
by non-enzymatic glycation or glycoxidation. The resulting modifications are
called advanced glycation end products (AGEs) and play a prominent role in
various pathologies, including normoglycemic uremia. Recently, we established a
new class of lysine amide modifications in vitro. Now, human plasma levels of the
novel amide-AGEs N(6)-acetyl lysine, N(6)-formyl lysine, N(6)-lactoyl lysine, and
N(6)-glycerinyl lysine were determined by means of LC-MS/MS. They were
significantly higher in uremic patients undergoing hemodialysis than in healthy
subjects. Model reactions with N(1)-t-butoxycarbonyl-lysine under physiological
conditions confirmed 1-deoxy-d-erythro-hexo-2,3-diulose as an immediate
precursor. Because formation of N(6)-formyl lysine from glucose responded
considerably to the presence of oxygen, glucosone was identified as another
precursor. Comparison of the in vivo results with the model experiments enabled
us to elucidate possible formation pathways linked to Maillard chemistry. The
results strongly suggest a major participation of non-enzymatic Maillard
mechanisms on amide-AGE formation pathways in vivo, which, in the case of N(6)
acetyl lysine, parallels enzymatic processes.
PMID- 22069310
TI - Ephrinb1 and Ephrinb2 are associated with interleukin-7 receptor alpha and retard
its internalization from the cell surface.
AB - IL-7 plays vital roles in thymocyte development, T cell homeostasis, and the
survival of these cells. IL-7 receptor alpha (IL-7Ralpha) on thymocytes and T
cells is rapidly internalized upon IL-7 ligation. Ephrins (Efns) are cell surface
molecules and ligands of the largest receptor kinase family, Eph kinases. We
discovered that T cell-specific double gene knock-out (dKO) of Efnb1 and Efnb2 in
mice led to reduced IL-7Ralpha expression in thymocytes and T cells, and that IL
7Ralpha down-regulation was accelerated in dKO CD4 cells upon IL-7 treatment. On
the other hand, Efnb1 and Efnb2 overexpression on T cell lymphoma EL4 cells
retarded IL-7Ralpha down-regulation. dKO T cells manifested compromised STAT5
activation and homeostatic proliferation, an IL-7-dependent process. Fluorescence
resonance energy transfer and immunoprecipitation demonstrated that Efnb1 and
Efnb2 interacted physically with IL-7Ralpha. Such interaction likely retarded IL
7Ralpha internalization, as Efnb1 and Efnb2 were not internalized. Therefore, we
revealed a novel function of Efnb1 and Efnb2 in stabilizing IL-7Ralpha expression
at the post-translational level, and a previously unknown modus operandi of Efnbs
in the regulation of expression of other vital cell surface receptors.
PMID- 22069311
TI - The mycobacterial transcriptional regulator whiB7 gene links redox homeostasis
and intrinsic antibiotic resistance.
AB - Intrinsic drug resistance in Mycobacterium tuberculosis limits therapeutic
options for treating tuberculosis. The mycobacterial transcriptional regulator
whiB7 contributes to intrinsic resistance by activating its own expression and
many drug resistance genes in response to antibiotics. To investigate whiB7
activation, we constructed a GFP reporter to monitor its expression, and we used
it to investigate the whiB7 promoter and to screen our custom library of almost
600 bioactive compounds, including the majority of clinical antibiotics. Results
showed whiB7 was transcribed from a promoter that was conserved across
mycobacteria and other actinomycetes, including an AT-rich sequence that was
likely targeted by WhiB7. Expression was induced by compounds having diverse
structures and targets, independent of the ability of whiB7 to mediate
resistance, and was dependent on media composition. Pretreatment with whiB7
activators resulted in clinically relevant increases in intrinsic drug
resistance. Antibiotic-induced transcription was synergistically increased by the
reductant dithiothreitol, an effect mirrored by a whiB7-dependent shift to a
highly reduced cytoplasm reflected by the ratio of reduced/oxidized mycothiol.
These data provided evidence that intrinsic resistance resulting from whiB7
activation is linked to fundamental changes in cell metabolism.
PMID- 22069313
TI - Anchor peptide of transferrin-binding protein B is required for interaction with
transferrin-binding protein A.
AB - Gram-negative bacterial pathogens belonging to the Pasteurellaceae,
Moraxellaceae, and Neisseriaceae families rely on an iron acquisition system that
acquires iron directly from host transferrin (Tf). The process is mediated by a
surface receptor composed of transferrin-binding proteins A and B (TbpA and
TbpB). TbpA is an integral outer membrane protein that functions as a gated
channel for the passage of iron into the periplasm. TbpB is a surface-exposed
lipoprotein that facilitates the iron uptake process. In this study, we
demonstrate that the region encompassing amino acids 7-40 of Actinobacillus
pleuropneumoniae TbpB is required for forming a complex with TbpA and that the
formation of the complex requires the presence of porcine Tf. These results are
consistent with a model in which TbpB is responsible for the initial capture of
iron-loaded Tf and subsequently interacts with TbpA through the anchor peptide.
We propose that TonB binding to TbpA initiates the formation of the TbpB-TbpA
complex and transfer of Tf to TbpA.
PMID- 22069312
TI - Functional selective oxytocin-derived agonists discriminate between individual G
protein family subtypes.
AB - We used a bioluminescence resonance energy transfer biosensor to screen for
functional selective ligands of the human oxytocin (OT) receptor. We demonstrated
that OT promoted the direct engagement and activation of G(q) and all the G(i/o)
subtypes at the OT receptor. Other peptidic analogues, chosen because of specific
substitutions in key OT structural/functional residues, all showed biased
activation of G protein subtypes. No ligand, except OT, activated G(oA) or G(oB),
and, with only one exception, all of the peptides that activated G(q) also
activated G(i2) and G(i3) but not G(i1), G(oA), or G(oB), indicating a strong
bias toward these subunits. Two peptides (DNalOVT and atosiban) activated only
G(i1) or G(i3), failed to recruit beta-arrestins, and did not induce receptor
internalization, providing the first clear examples of ligands differentiating
individual G(i/o) family members. Both analogs inhibited cell proliferation,
showing that a single G(i) subtype-mediated pathway is sufficient to prompt this
physiological response. These analogs represent unique tools for examining the
contribution of G(i/o) members in complex biological responses and open the way
to the development of drugs with peculiar selectivity profiles. This is of
particular relevance because OT has been shown to improve symptoms in
neurodevelopmental and psychiatric disorders characterized by abnormal social
behaviors, such as autism. Functional selective ligands, activating a specific G
protein signaling pathway, may possess a higher efficacy and specificity on OT
based therapeutics.
PMID- 22069314
TI - Site-directed mutagenesis reveals key residue for O antigen chain length
regulation and protein stability in Pseudomonas aeruginosa Wzz2.
AB - The production of preferred lipopolysaccharide O antigen chain lengths is
important for the survival of pathogenic Gram-negative bacteria in different
environments, yet how Wzz proteins regulate these lengths is not well understood.
The Wzz2 proteins from two different serotype O11 Pseudomonas aeruginosa strains
are responsible for the expression of different very long chain lengths despite
high sequence homology. Site-directed mutagenesis was performed to determine
whether a specific amino acid was responsible for this difference in chain
length; the residue present in position 321 within the second predicted coiled
coil region was able to determine which chain length was produced. A panel of
site-directed mutants introducing different amino acids at this position
implicated that the charge of the amino acid affected chain length, with
positively charged residues associated with shorter chain lengths. Expression
data also suggested this site was important for overall stability of the protein
because mutants predicted to disrupt proper folding of the alpha helix led to
lower protein levels. Cross-linking studies found that Wzz2 proteins producing
shorter chain lengths had more stable higher-order oligomers. Mapping residue 321
onto the solved Escherichia coli Wzz FepE crystal structure predicted it to be
located within alpha helix 8, which participates in intermonomeric interactions.
These data further support the observation that Wzz oligomerization is necessary
for chain length regulating activity but also provide evidence that differences
in complex stability or changes in the conformation of the oligomer can lead to
shifts in the length of the O antigen side chain.
PMID- 22069315
TI - The membrane-proximal intracellular domain of the epidermal growth factor
receptor underlies negative cooperativity in ligand binding.
AB - The binding of EGF induces dimerization of its receptor, leading to the
stimulation of its intracellular tyrosine kinase activity. Kinase activation
occurs within the context of an asymmetric dimer in which one kinase domain
serves as the activator for the other kinase domain but is not itself activated.
How ligand binding is related to the formation and dynamics of this asymmetric
dimer is not known. The binding of EGF to its receptor is negatively cooperative-
that is, EGF binds with lower affinity to the second site on the dimer than to
the first site on the dimer. In this study, we analyzed the binding of (125)I-EGF
to a series of EGF receptor mutants in the intracellular juxtamembrane domain and
demonstrate that the most membrane-proximal portion of this region plays a
significant role in the genesis of negative cooperativity in the EGF receptor.
The data are consistent with a model in which the binding of EGF to the first
site on the dimer induces the formation of one asymmetric kinase dimer. The
binding of EGF to the second site is required to disrupt the initial asymmetric
dimer and allow the formation of the reciprocal asymmetric dimer. Thus, some of
the energy of binding to the second site is used to reorient the first asymmetric
dimer, leading to a lower binding affinity and the observed negative
cooperativity.
PMID- 22069316
TI - Alternative splicing at C terminus of Ca(V)1.4 calcium channel modulates calcium
dependent inactivation, activation potential, and current density.
AB - The Ca(V)1.4 voltage-gated calcium channel is predominantly expressed in the
retina, and mutations to this channel have been associated with human congenital
stationary night blindness type-2. The L-type Ca(V)1.4 channel displays distinct
properties such as absence of calcium-dependent inactivation (CDI) and slow
voltage-dependent inactivation (VDI) due to the presence of an autoinhibitory
domain (inhibitor of CDI) in the distal C terminus. We hypothesized that native
Ca(V)1.4 is subjected to extensive alternative splicing, much like the other
voltage-gated calcium channels, and employed the transcript scanning method to
identify alternatively spliced exons within the Ca(V)1.4 transcripts isolated
from the human retina. In total, we identified 19 alternative splice variations,
of which 16 variations have not been previously reported. Characterization of the
C terminus alternatively spliced exons using whole-cell patch clamp
electrophysiology revealed a splice variant that exhibits robust CDI. This splice
variant arose from the splicing of a novel alternate exon (43*) that can be found
in 13.6% of the full-length transcripts screened. Inclusion of exon 43* inserts a
stop codon that truncates half the C terminus. The Ca(V)1.4 43* channel exhibited
robust CDI, a larger current density, a hyperpolarized shift in activation
potential by ~10 mV, and a slower VDI. Through deletional experiments, we showed
that the inhibitor of CDI was responsible for modulating channel activation and
VDI, in addition to CDI. Calcium currents in the photoreceptors were observed to
exhibit CDI and are more negatively activated as compared with currents elicited
from heterologously expressed full-length Ca(V)1.4. Naturally occurring
alternative splice variants may in part contribute to the properties of the
native Ca(V)1.4 channels.
PMID- 22069317
TI - 4-Phenylbutyrate stimulates Hsp70 expression through the Elp2 component of
elongator and STAT-3 in cystic fibrosis epithelial cells.
AB - Sodium 4-phenylbutyrate (4PBA) corrects trafficking of DeltaF508-CFTR in Cystic
Fibrosis (CF) epithelia, which is hypothesized to, at least in part, result from
increased expression of Hsp70 (stress-induced 70 kDa heat shock protein). To
identify other 4PBA-regulated proteins that may promote correction of DeltaF508
trafficking, we performed differential display RT-PCR on mRNA from IB3-1 CF
bronchiolar epithelial cells treated for 0-24 h with 1 mM 4PBA. In this screen, a
STAT-3 (signal transducer and activator of transcription-3)-interacting protein,
StIP-1 that regulates STAT-3 activation had transiently increased expression.
StIP-1 is identical to Elongator protein 2 (Elp2), a component of the Elongator
complex that regulates RNA polymerase II. Previous studies have suggested that
Elongator regulates Hsp70 mRNA transcription, and that the Hsp70 promoter
contains functional STAT-3-binding sites. We therefore tested the hypothesis that
4PBA increases Hsp70 expression by an Elongator- and STAT-3-dependent mechanism.
4PBA treatment of IB3-1 CF bronchiolar epithelial cells caused transiently
increased expression of Hsp70 protein, as well as Elp2 protein and mRNA. Elp2
depletion by transfection of small interfering RNAs, reduced both Elp2 and Hsp70
protein expression. 4PBA also caused transient activation of STAT-3, and
increased abundance of nuclear proteins that bind to the STAT-3-responsive
element of the Hsp70 promoter. Luciferase reporter assays demonstrated that both
Elp2 overexpression and 4PBA increase Hsp70 promoter activity, while Elp2
depletion blocked the ability of 4PBA to stimulate Hsp70 promoter activity.
Together, these data suggest that Elp2 and STAT-3 mediate, at least in part, the
stimulation of Hsp70 expression by 4PBA.
PMID- 22069318
TI - Polyubiquitination of transforming growth factor beta (TGFbeta)-associated kinase
1 mediates nuclear factor-kappaB activation in response to different inflammatory
stimuli.
AB - The transcription factor nuclear factor kappaB (NF-kappaB) plays a central role
in regulating inflammation in response to several external signals. The TGFbeta
associated kinase 1 (TAK1) is an upstream regulator of NF-kappaB signaling. In
TGFbeta-stimulated cells, TAK1 undergoes Lys-63-linked polyubiquitination at Lys
34 by TNF receptor-associated factor 6 and is thereby activated. The aim of this
study was to investigate whether TAK1 polyubiquitination at Lys-34 is also
essential for NF-kappaB activation via TNF receptor, IL-1 receptor and toll-like
receptor 4. We observed that TAK1 polyubiquitination occurred at Lys-34 and
required the E3 ubiquitin ligase TNF receptor-associated factor 6 after
stimulation of cells with IL-1beta. Polyubiquitination of TAK1 also occurred at
Lys-34 in cells stimulated by TNF-alpha and LPS, which activates TLR4, as well as
in HepG2 and prostate cancer cells stimulated with TGFbeta, which in all cases
resulted in NF-kappaB activation. Expression of a K34R-mutant TAK1 led to a
reduced NF-kappaB activation, IL-6 promoter activity, and proinflammatory
cytokine secretion by TNF-alpha-stimulated PC-3U cells. Similar results were
obtained in the mouse macrophage cell line RAW264.7 after LPS treatment. In
conclusion, polyubiquitination of TAK1 is correlated with activation of TAK1 and
is essential for activation of NF-kappaB signaling downstream of several
receptors.
PMID- 22069319
TI - Interferon-gamma alters downstream signaling originating from epidermal growth
factor receptor in intestinal epithelial cells: functional consequences for ion
transport.
AB - The epidermal growth factor receptor (EGFr) regulates many cellular functions,
such as proliferation, apoptosis, and ion transport. Our aim was to investigate
whether long term treatment with interferon-gamma (IFN-gamma) modulates EGF
activation of downstream signaling pathways in intestinal epithelial cells and if
this contributes to dysregulation of epithelial ion transport in inflammation.
Polarized monolayers of T(84) and HT29/cl.19A colonocytes were preincubated with
IFN-gamma prior to stimulation with EGF. Basolateral potassium transport was
studied in Ussing chambers. We also studied inflamed colonic mucosae from C57BL/6
mice treated with dextran sulfate sodium or mdr1a knock-out mice and controls.
IFN-gamma increased intestinal epithelial EGFr expression without increasing its
phosphorylation. Conversely, IFN-gamma caused a significant decrease in EGF
stimulated phosphorylation of specific EGFr tyrosine residues and activation of
ERK but not Akt-1. In IFNgamma-pretreated cells, the inhibitory effect of EGF on
carbachol-stimulated K(+) channel activity was lost. In inflamed colonic tissues,
EGFr expression was significantly increased, whereas ERK phosphorylation was
reduced. Thus, although it up-regulates EGFr expression, IFN-gamma causes
defective EGFr activation in colonic epithelial cells via reduced phosphorylation
of specific EGFr tyrosine residues. This probably accounts for altered downstream
signaling consequences. These observations were corroborated in the setting of
colitis. IFN-gamma also abrogates the ability of EGF to inhibit carbachol
stimulated basolateral K(+) currents. Our data suggest that, in the setting of
inflammation, the biological effect of EGF, including the inhibitory effect of
EGF on Ca(2+)-dependent ion transport, is altered, perhaps contributing to
diarrheal and other symptoms in vivo.
PMID- 22069320
TI - Evidence against cellular internalization in vivo of NMO-IgG, aquaporin-4, and
excitatory amino acid transporter 2 in neuromyelitis optica.
AB - Autoantibodies against astrocyte water channel aquaporin-4 (AQP4) are thought to
be pathogenic in neuromyelitis optica (NMO). Prior work has suggested that a key
component of NMO autoantibody (NMO-IgG) pathogenesis is internalization of AQP4
and the associated glutamate transporter EAAT2, leading to glutamate
excitotoxicity. Here, we show selective endocytosis of NMO-IgG and AQP4 in
transfected cell cultures, but little internalization in brain in vivo. AQP4
dependent endocytosis of NMO-IgG occurred rapidly in various AQP4-transfected
cell lines, with efficient transport from early endosomes to lysosomes. Cell
surface AQP4 was also reduced following NMO-IgG exposure. However, little or no
internalization of NMO-IgG, AQP4, or EAAT2 was found in primary astrocyte
cultures, nor was glutamate uptake affected by NMO-IgG exposure. Following
injection of NMO-IgG into mouse brain, NMO-IgG binding and AQP4 expression showed
a perivascular astrocyte distribution, without detectable cellular
internalization over 24 h. We conclude that astrocyte endocytosis of NMO-IgG,
AQP4, and EAAT2 is not a significant consequence of AQP4 autoantibody in vivo,
challenging generally accepted views about NMO pathogenesis.
PMID- 22069321
TI - Protein aggregates are recruited to aggresome by histone deacetylase 6 via
unanchored ubiquitin C termini.
AB - The aggresome pathway is activated when proteasomal clearance of misfolded
proteins is hindered. Misfolded polyubiquitinated protein aggregates are
recruited and transported to the aggresome via the microtubule network by a
protein complex consisting of histone deacetylase 6 (HDAC6) and the dynein motor
complex. The current model suggests that HDAC6 recognizes protein aggregates by
binding directly to polyubiquitinated proteins. Here, we show that there are
substantial amounts of unanchored ubiquitin in protein aggregates with solvent
accessible C termini. The ubiquitin-binding domain (ZnF-UBP) of HDAC6 binds
exclusively to the unanchored C-terminal diglycine motif of ubiquitin instead of
conjugated polyubiquitin. The unanchored ubiquitin C termini in the aggregates
are generated in situ by aggregate-associated deubiquitinase ataxin-3. These
results provide structural and mechanistic bases for the role of HDAC6 in
aggresome formation and further suggest a novel ubiquitin-mediated signaling
pathway, where the exposure of ubiquitin C termini within protein aggregates
enables HDAC6 recognition and transport to the aggresome.
PMID- 22069322
TI - Functional analysis of C-type lysozyme in penaeid shrimp.
AB - Lysozyme is an enzyme that cleaves the beta-1,4-glycosidic linkages between N
acetylmuramic acid and N-acetylglucosamine in peptidoglycan, leading to bacterial
lysis. Recently, lysozyme has been found to have anti-HIV and anti-cancer
properties in mammals. However, most functional analyses were done in vitro using
purified or recombinant lysozyme protein. Here, we used RNA interference to
silence c-type lysozyme expression in penaeid shrimp, Marsupenaeus japonicus, to
analyze the function of lysozyme in vivo. Silencing of lysozyme expression by
dsRNA lysozyme (dsLYZ) led to 100% mortality without any artificial bacterial
infection in 5 days. Lysozyme deficiency caused the number of hemocytes in
hemolymph to decrease from 1.3 * 10(7) to 2.3 * 10(6) cells/ml and caused the
number of bacteria to increase from 78 to 764 colony-forming units/ml.
Suppression of bacterial growth using oxytetracycline and kanamycin showed
improvement in mortality, suggesting that shrimp mortality post- dsLYZ injection
can be attributed to bacterial growth in the shrimp hemolymph. The majority of
the bacteria, identified by 16 S rRNA analysis, were Gram-negative species such
as Vibrio and Pseudomonas. Furthermore, PKH26 staining showed that the dsLYZ
injected shrimp were unable to eliminate non pathogenic Escherichia coli or
Staphylococcus aureus in 24 h. These data suggest that c-type lysozyme in shrimp
serves to regulate the growth of bacterial communities, particularly Gram
negative bacteria, in the hemolymph.
PMID- 22069323
TI - Mas-related gene X2 (MrgX2) is a novel G protein-coupled receptor for the
antimicrobial peptide LL-37 in human mast cells: resistance to receptor
phosphorylation, desensitization, and internalization.
AB - Human LL-37 is a multifunctional antimicrobial peptide that promotes
inflammation, angiogenesis, wound healing, and tumor metastasis. Most effects of
LL-37 are mediated via the activation of the cell surface G protein-coupled
receptor FPR2 on leukocytes and endothelial cells. Although LL-37 induces
chemotaxis, degranulation, and chemokine production in mast cells, the receptor
involved and the mechanism of its regulation remain unknown. MrgX2 is a member of
Mas-related genes that is primarily expressed in human dorsal root ganglia and
mast cells. We found that a human mast cell line LAD2 and CD34(+) cell-derived
primary mast cells, which natively express MrgX2, responded to LL-37 for
sustained Ca(2+) mobilization and substantial degranulation. However, an immature
human mast cell line, HMC-1, that lacks functional MrgX2 did not respond to LL
37. shRNA-mediated knockdown of MrgX2 in LAD2 mast cell line and primary CD34(+)
cell-derived mast cells caused a substantial reduction in LL-37-induced
degranulation. Furthermore, mast cell lines stably expressing MrgX2 responded to
LL-37 for chemotaxis, degranulation, and CCL4 production. Surprisingly, MrgX2 was
resistant to LL-37-induced phosphorylation, desensitization, and internalization.
In addition, shRNA-mediated knockdown of the G protein-coupled receptor kinases
(GRK2 and GRK3) had no effect on LL-37-induced mast cell degranulation. This
study identified MrgX2 as a novel G protein-coupled receptor for the
antibacterial peptide LL-37 and demonstrated that unlike most G protein-coupled
receptors it is resistant to agonist-induced receptor phosphorylation,
desensitization, and internalization.
PMID- 22069324
TI - Divergence and convergence in enzyme evolution.
AB - Comparative analysis of the sequences of enzymes encoded in a variety of
prokaryotic and eukaryotic genomes reveals convergence and divergence at several
levels. Functional convergence can be inferred when structurally distinct and
hence non-homologous enzymes show the ability to catalyze the same biochemical
reaction. In contrast, as a result of functional diversification, many
structurally similar enzyme molecules act on substantially distinct substrates
and catalyze diverse biochemical reactions. Here, we present updates on the ATP
grasp, alkaline phosphatase, cupin, HD hydrolase, and N-terminal nucleophile
(Ntn) hydrolase enzyme superfamilies and discuss the patterns of sequence and
structural conservation and diversity within these superfamilies. Typically,
enzymes within a superfamily possess common sequence motifs and key active site
residues, as well as (predicted) reaction mechanisms. These observations suggest
that the strained conformation (the entatic state) of the active site, which is
responsible for the substrate binding and formation of the transition complex,
tends to be conserved within enzyme superfamilies. The subsequent fate of the
transition complex is not necessarily conserved and depends on the details of the
structures of the enzyme and the substrate. This variability of reaction outcomes
limits the ability of sequence analysis to predict the exact enzymatic activities
of newly sequenced gene products. Nevertheless, sequence-based (super)family
assignments and generic functional predictions, even if imprecise, provide
valuable leads for experimental studies and remain the best approach to the
functional annotation of uncharacterized proteins from new genomes.
PMID- 22069325
TI - Inference of functional properties from large-scale analysis of enzyme
superfamilies.
AB - As increasingly large amounts of data from genome and other sequencing projects
become available, new approaches are needed to determine the functions of the
proteins these genes encode. We show how large-scale computational analysis can
help to address this challenge by linking functional information to sequence and
structural similarities using protein similarity networks. Network analyses using
three functionally diverse enzyme superfamilies illustrate the use of these
approaches for facile updating and comparison of available structures for a large
superfamily, for creation of functional hypotheses for metagenomic sequences, and
to summarize the limits of our functional knowledge about even well studied
superfamilies.
PMID- 22069326
TI - Divergent evolution in enolase superfamily: strategies for assigning functions.
AB - Nature's strategies for evolving catalytic functions can be deciphered from the
information contained in the rapidly expanding protein sequence databases.
However, the functions of many proteins in the protein sequence and structure
databases are either uncertain (too divergent to assign function based on
homology) or unknown (no homologs), thereby limiting the utility of the
databases. The mechanistically diverse enolase superfamily is a paradigm for
understanding the structural bases for evolution of enzymatic function. We
describe strategies for assigning functions to members of the enolase superfamily
that should be applicable to other superfamilies.
PMID- 22069327
TI - Direct interaction between scaffolding proteins RACK1 and 14-3-3zeta regulates
brain-derived neurotrophic factor (BDNF) transcription.
AB - RACK1 is a scaffolding protein that spatially and temporally regulates numerous
signaling cascades. We previously found that activation of the cAMP signaling
pathway induces the translocation of RACK1 to the nucleus. We further showed that
nuclear RACK1 is required to promote the transcription of the brain-derived
neurotrophic factor (BDNF). Here, we set out to elucidate the mechanism
underlying cAMP-dependent RACK1 nuclear translocation and BDNF transcription. We
identified the scaffolding protein 14-3-3zeta as a direct binding partner of
RACK1. Moreover, we found that 14-3-3zeta was necessary for the cAMP-dependent
translocation of RACK1 to the nucleus. We further observed that the disruption of
RACK1/14-3-3zeta interaction with a peptide derived from the RACK1/14-3-3zeta
binding site or shRNA-mediated 14-3-3zeta knockdown inhibited cAMP induction of
BDNF transcription. Together, these data reveal that the function of nuclear
RACK1 is mediated through its interaction with 14-3-3zeta. As RACK1 and 14-3
3zeta are two multifunctional scaffolding proteins that coordinate a wide variety
of signaling events, their interaction is likely to regulate other essential
cellular functions.
PMID- 22069328
TI - Thematic minireview series on enzyme evolution in the post-genomic era.
AB - The emergence of genomics; ongoing computational advances; and the development of
large-scale sequence, structural, and functional databases have created important
new interdisciplinary linkages between molecular evolution, molecular biology,
and enzymology. The five minireviews in this series survey advances and
challenges in this burgeoning field from complementary perspectives. The series
has three major themes. The first is the evolution of enzyme superfamilies, in
which members exhibit increasing sequence, structural, and functional divergence
with increasing time of divergence from a common ancestor. The second is the
evolutionary role of promiscuous enzymes, which, in addition to their primary
function, have adventitious secondary activities that frequently provide the
starting point for the evolution of new enzymes. The third is the importance of
in silico approaches to the daunting challenge of assigning and predicting the
functions of the many uncharacterized proteins in the large-scale sequence and
structural databases that are now available. A recent computational advance, the
use of protein similarity networks that map functional data onto proteins
clustered by similarity, is presented as an approach that can improve functional
insight and inference. The three themes are illustrated with several examples of
enzyme superfamilies, including the amidohydrolase, metallo-beta-lactamase, and
enolase superfamilies.
PMID- 22069329
TI - Divergence and convergence in enzyme evolution: parallel evolution of
paraoxonases from quorum-quenching lactonases.
AB - We discuss the basic features of divergent versus convergent evolution and of the
common scenario of parallel evolution. The example of quorum-quenching lactonases
is subsequently described. Three different quorum-quenching lactonase families
are known, and they belong to three different superfamilies. Their key active
site architectures have converged and are strikingly similar. Curiously, a
promiscuous organophosphate hydrolase activity is observed in all three families.
We describe the structural and mechanistic features that underline this converged
promiscuity and how this promiscuity drove the parallel divergence of
organophosphate hydrolases within these lactonase families by either natural or
laboratory evolution.
PMID- 22069330
TI - Toward a systems biology perspective on enzyme evolution.
AB - Large superfamilies of enzymes derived from a common progenitor have emerged by
duplication and divergence of genes encoding metabolic enzymes. Division of the
functions of early generalist enzymes enhanced catalytic power and control over
metabolic fluxes. Later, novel enzymes evolved from inefficient secondary
activities in specialized enzymes. Enzymes operate in the context of complex
metabolic and regulatory networks. The potential for evolution of a new enzyme
depends upon the collection of enzymes in a microbe, the topology of the
metabolic network, the environmental conditions, and the net effect of trade-offs
between the original and novel activities of the enzyme.
PMID- 22069331
TI - Carotenoid isomerase is key determinant of petal color of Calendula officinalis.
AB - Orange petals of calendula (Calendula officinalis) accumulate red carotenoids
with the cis-configuration at the C-5 or C-5' position (5-cis-carotenoids). We
speculated that the orange-flowered calendula is a carotenoid isomerase (crtiso)
loss-of-function mutant that impairs the cis-to-trans conversion of 5-cis
carotenoids. We compared the sequences and enzyme activities of CRTISO from
orange- and yellow-flowered calendulas. Four types of CRTISO were expressed in
calendula petals. The deduced amino acid sequence of one of these genes
(CoCRTISO1) was different between orange- and yellow-flowered calendulas, whereas
the sequences of the other three CRTISOs were identical between these plants.
Analysis of the enzymatic activities of the CoCRTISO homologs showed that
CoCRTISO1-Y, which was expressed in yellow petals, converted carotenoids from the
cis-to-trans-configuration, whereas both CoCRTISO1-ORa and 1-ORb, which were
expressed in orange petals, showed no activity with any of the cis-carotenoids we
tested. Moreover, the CoCRTISO1 genotypes of the F2 progeny obtained by crossing
orange and yellow lines linked closely to petal color. These data indicate that
CoCRTISO1 is a key regulator of the accumulation of 5-cis-carotenoids in
calendula petals. Site-directed mutagenesis showed that the deletion of Cys-His
His at positions 462-464 in CoCRTISO1-ORa and a Gly-to-Glu amino acid
substitution at position 450 in CoCRTISO1-ORb abolished enzyme activity
completely, indicating that these amino acid residues are important for the
enzymatic activity of CRTISO.
PMID- 22069333
TI - E1-E2 interactions in ubiquitin and Nedd8 ligation pathways.
AB - Initial rates of E1-catalyzed E2 transthiolation have been used as a reporter
function to probe the mechanism of 125I-ubiquitin transfer between activation and
ligation half-reactions of ubiquitin conjugation. A functional survey of 11
representative human E2 paralogs reveals similar Km for binding to human Uba1
ternary complex (Km(ave)=121+/-72 nm) and kcat for ubiquitin transfer
(kcat(ave)=4.0+/-1.2 s(-1)), suggesting that they possess a conserved binding
site and transition state geometry and that they compete for charging through
differences in intracellular concentration. Sequence analysis and mutagenesis
localize this binding motif to three basic residues within Helix 1 of the E2 core
domain, confirmed by transthiolation kinetics. Partial conservation of the motif
among E2 paralogs not recognized by Uba1 suggests that another factor(s) account
for the absolute specificity of cognate E2 binding. Truncation of the Uba1
carboxyl-terminal beta-grasp domain reduces cognate Ubc2b binding by 31-fold and
kcat by 3.5*10(4)-fold, indicating contributions to E2 binding and transition
state stabilization. Truncation of the paralogous domain from the Nedd8
activating enzyme has negligible effect on cognate Ubc12 transthiolation but
abrogates E2 specificity toward non-cognate carrier proteins. Exchange of the
beta-grasp domains between ubiquitin and Nedd8 activating enzymes fails to
reverse the effect of truncation. Thus, the conserved Helix 1 binding motif and
the beta-grasp domain direct general E2 binding, whereas the latter additionally
serves as a specificity filter to exclude charging of non-cognate E2 paralogs in
order to maintain the fidelity of downstream signaling.
PMID- 22069332
TI - O-GlcNAcylation, novel post-translational modification linking myocardial
metabolism and cardiomyocyte circadian clock.
AB - The cardiomyocyte circadian clock directly regulates multiple myocardial
functions in a time-of-day-dependent manner, including gene expression,
metabolism, contractility, and ischemic tolerance. These same biological
processes are also directly influenced by modification of proteins by
monosaccharides of O-linked beta-N-acetylglucosamine (O-GlcNAc). Because the
circadian clock and protein O-GlcNAcylation have common regulatory roles in the
heart, we hypothesized that a relationship exists between the two. We report that
total cardiac protein O-GlcNAc levels exhibit a diurnal variation in mouse
hearts, peaking during the active/awake phase. Genetic ablation of the circadian
clock specifically in cardiomyocytes in vivo abolishes diurnal variations in
cardiac O-GlcNAc levels. These time-of-day-dependent variations appear to be
mediated by clock-dependent regulation of O-GlcNAc transferase and O-GlcNAcase
protein levels, glucose metabolism/uptake, and glutamine synthesis in an NAD
independent manner. We also identify the clock component Bmal1 as an O-GlcNAc
modified protein. Increasing protein O-GlcNAcylation (through pharmacological
inhibition of O-GlcNAcase) results in diminished Per2 protein levels, time-of-day
dependent induction of bmal1 gene expression, and phase advances in the
suprachiasmatic nucleus clock. Collectively, these data suggest that the
cardiomyocyte circadian clock increases protein O-GlcNAcylation in the heart
during the active/awake phase through coordinated regulation of the hexosamine
biosynthetic pathway and that protein O-GlcNAcylation in turn influences the
timing of the circadian clock.
PMID- 22069334
TI - Aicardi-Goutieres syndrome gene and HIV-1 restriction factor SAMHD1 is a dGTP
regulated deoxynucleotide triphosphohydrolase.
AB - The SAMHD1 protein is an HIV-1 restriction factor that is targeted by the HIV-2
accessory protein Vpx in myeloid lineage cells. Mutations in the SAMHD1 gene
cause Aicardi-Goutieres syndrome, a genetic disease that mimics congenital viral
infection. To determine the physiological function of the SAMHD1 protein, the
SAMHD1 gene was cloned, recombinant protein was produced, and the catalytic
activity of the purified enzyme was identified. We show that SAMHD1 contains a
dGTP-regulated deoxynucleotide triphosphohydrolase. We propose that Vpx targets
SAMHD1 for degradation in a viral strategy to control cellular deoxynucleotide
levels for efficient replication.
PMID- 22069336
TI - Editorial.
PMID- 22069335
TI - Role of forward translocation in nucleoside triphosphate phosphohydrolase I (NPH
I)-mediated transcription termination of vaccinia virus early genes.
AB - Termination of transcription of vaccinia virus early genes requires the virion
form of the viral RNA polymerase (RNAP), a termination signal (UUUUUNU) in the
nascent RNA, vaccinia termination factor, nucleoside triphosphate
phosphohydrolase I (NPH I), and ATP. NPH I uses ATP hydrolysis to mediate
transcript release, and in vitro, ATPase activity requires single-stranded DNA.
NPH I shows sequence similarity with the DEXH-box family of proteins, which
includes an Escherichia coli ATP-dependent motor protein, Mfd. Mfd releases
transcripts and rescues arrested transcription complexes by moving the
transcription elongation complex downstream on the DNA template in the absence of
transcription elongation. This mechanism is known as forward translocation. In
this study, we demonstrate that NPH I also uses forward translocation to catalyze
transcript release from viral RNAP. Moreover, we show that NPH I-mediated release
can occur at a stalled RNAP in the absence of vaccinia termination factor and
U(5)NU when transcription elongation is prevented.
PMID- 22069337
TI - Learning spinal manipulation: a comparison of two teaching models.
AB - PURPOSE: The goal of the present study was to quantify the high-velocity, low
amplitude spinal manipulation biomechanical parameters in two cohorts of students
from different teaching institutions. The first cohort of students was taught
chiropractic techniques in a patient-doctor positioning practice setting, while
the second cohort of students was taught in a "complete practice" manipulation
setting, thus performing spinal manipulation skills on fellow student colleagues.
It was hypothesized that the students exposed to complete practice would perform
the standardized spinal manipulation with better biomechanical parameters.
METHODS: Participants (n = 88) were students enrolled in two distinct
chiropractic programs. Thoracic spine manipulation skills were assessed using an
instrumented manikin, which allowed the measurement of applied force. Dependent
variables included peak force, time to peak force, rate of force production, peak
force variability, and global coordination. RESULTS: The results revealed that
students exposed to complete practice demonstrated lower time to peak force
values, higher peak force, and a steeper rate of force production compared with
students in the patient-doctor positioning scenario. A significant group by
gender interaction was also noted for the time to peak force and rate of force
production variables. CONCLUSION: The results of the present study confirm the
importance of chiropractic technique curriculum and perhaps gender in spinal
manipulation skill learning. It also stresses the importance of integrating
spinal manipulation skills practice early in training to maximize the number and
the quality of significant learner-instructor interactions.
PMID- 22069338
TI - Are patients receiving health promotion advice in the chiropractic teaching
clinic setting?: an impact assessment of a brief intervention to increase
advising rates and goal setting.
AB - PURPOSE: The aim of this study was to analyze patient-reported health issues and
levels of engagement, discussion of needed lifestyle changes, and goal setting
with the patient's intern or staff doctor before and after a brief intervention
to increase health-promoting activities in the clinic. METHODS: Patient surveys
were developed and administered to outpatients before and after a brief
intervention aimed at increasing staff and intern engagement with patients on
health promotion measures. Patients self-reported areas of need and levels of
engagement by their doctor or intern. Data were analyzed as pre- and
postintervention independent, cross-sectional samples. Frequencies and chi-square
assessments were performed. RESULTS: One hundred twenty-eight preintervention
surveys and 162 postintervention surveys were collected. Back pain was the most
common reason for being seen in the clinic (60% of patients) and most patients
were white. More than 10% were smokers in both samples. Many patients reported
poor diet, unhealthy weight, sleep issues, stress, or lack of regular physical
activity, but 65% of the preintervention group and 72% of the postintervention
group said a needed lifestyle change was discussed. Goals were set for 74% of the
preintervention group and 84% of the postintervention group (p = .04).
Information on lifestyle change was received by 52% of preintervention patients
and 62% of postintervention patients and most were satisfied with this
information. Goal setting was more common when a lifestyle change was discussed.
Written information that was related to physical activity, for example, increased
350% (p < .0001). CONCLUSION: There are many opportunities for discussing needed
lifestyle changes with patients. Patients self-report health behavioral issues
related to physical activity, unhealthy weight, diet, stress, and sleep. More can
be done in this area by this clinic, but initial assessments of impact from a
brief intervention seem to have increased some levels of engagement by interns.
PMID- 22069339
TI - Depressive symptoms in chiropractic students: a 3-year study.
AB - BACKGROUND: The intensive training associated with health care education has been
suggested to have unintended negative consequences on students' mental or
emotional health that may interfere with the development of qualities deemed
essential for proficient health care professionals. This longitudinal study
examined the prevalence and severity of depressive symptoms among students at a
chiropractic educational institution. METHODS: Chiropractic students at all
levels of training were surveyed at Canadian Memorial Chiropractic College during
the academic years of 2000/2001, 2001/2002, and 2002/2003. The measurement tool
employed was the Beck Depression Inventory, 2nd edition (BDI-II). Previously
established BDI-II cutoff scores were used to assess the severity of reported
depression symptoms, and these were compared by sex and year of training.
RESULTS: The survey was completed by 1303 students (70%) over the 3 years of the
study. The prevalence of depressive symptoms was nearly 25%, with 13.7% of
respondents indicating a rating of mild depression, 7.1% indicating moderate
depressive symptoms, and 2.8% indicating severe symptoms. Significant differences
were found between years of training, with 2nd-year students having the highest
prevalence of depressive symptoms, and sex, with females having a higher rate of
symptoms. CONCLUSIONS: Chiropractic students surveyed at Canadian Memorial
Chiropractic College had high rates of depression similar to those measured in
other health care profession students. Chiropractic educational institutions
should be aware of this situation and are encouraged to emphasize students'
awareness of their own personal health and well-being and their access to
appropriate care, in addition to the same concerns for their future patients.
PMID- 22069341
TI - Faculty perception of clinical value of five commonly used orthopedic tests.
AB - PURPOSE: The purpose of this study is to examine the perceptions of the value of
five orthopedic tests (straight leg raise, Braggard's test, Kemp's test, Valsalva
maneuver, and Patrick's fabere test) in the diagnosis of specific
neuromusculoskeletal conditions among the chiropractic faculty at a large
chiropractic college. METHODS: This is an observational study that employed a
survey of 41 academic and clinic faculty members with a Doctor of Chiropractic
degree. RESULTS: Of the 12 posed questions, only five demonstrated statistically
significant consistency (positive straight leg raise for the presence of disc
pathology, positive Valsalva maneuver for the presence of disc pathology,
negative Valsalva maneuver to rule out disc pathology, negative Braggard's test
to rule out the presence of disc pathology, and positive Patrick's fabere test
for the presence of hip joint pathology). Subgroup analysis demonstrated that the
school of graduation may be the only predictor of consistency. CONCLUSION: There
were strong indications that faculty members were not consistent in their
perception of the value for common orthopedic tests for diagnosing specific
conditions. In an evidence-based model of education, there should be a consensus
among academic and clinical faculty in order for the students to learn,
integrate, and apply in practice what they have learned in the classroom. Active
intervention in the academic process is required to accomplish necessary change.
PMID- 22069340
TI - Perception of educational environment among undergraduate students in a
chiropractic training institution.
AB - PURPOSE: The impact of the educational environment in student learning is well
documented. However, there is a scarcity in the literature exploring the
educational environment in chiropractic training institutions. This study aimed
to identify the perceived educational environment in a chiropractic training
institution and the possible perceptual differences among different demographic
groups. METHODS: The perceived educational environment was surveyed using Dundee
Ready Education Environment (DREEM), which is a validated, self-administered, and
Likert-type inventory. DREEM items focus on subdomains related to learning,
teachers, self-confidence, academic atmosphere, and social environment. The
results were analyzed and interpreted in relation to standard norms of DREEM and
demographic variables. RESULTS: The survey was completed by 124 chiropractic
undergraduate students (response rate 83%). Statistically, the inventory items
showed high correlation and the subdomains showed a close relationship. Overall
the DREEM score was very high: 156.1/200 (78%). The subdomain scores were also at
very high levels. However, the scoring of four items by students was consistently
poor: lack of a support system for stressed students, 1.8 (SD 1.1); authoritarian
teachers, 1.8 (SD 1.2); inadequate school time-tabling, 2.0 (SD 1.1); and
overemphasis on factual learning, 2.0 (SD 1.0). There were no statistically
significant differences in DREEM scores between gender, age, minority, and
ethnicity groups. CONCLUSIONS: In general, students perceived that a sound
educational environment is fostered by the institution and its educational
program for all students despite their demographic variations. However, certain
specific elements of the educational process may need to be addressed to improve
the educational experience.
PMID- 22069342
TI - A history of the journal of chiropractic education: twenty-five years of service,
1987-2011.
AB - PURPOSE: The Journal of Chiropractic Education celebrates its 25th anniversary in
the year 2011. The purpose of this article is to chronicle the history of the
journal, which is unreported at this time. METHODS: The entire collection of the
journal was reviewed and information pertaining to important events and changes
in the format, personnel, and processes of the journal were extracted. This
information was used to create a chronology of the journal. The chronology was
complemented with information obtained from people who were involved in the
evolution of the journal and the Association of Chiropractic Colleges Educational
Conferences. RESULTS: Starting as a humble newsletter in 1987 and produced for a
small cadre of readers primarily from the United States, the journal is now a
full-sized and bound peer-reviewed international journal. Initially cataloged by
the Index to Chiropractic Literature and MANTIS, the indexing expanded to
interdisciplinary indexing systems such as CINAHL and ultimately PubMed. The
journal has grown to serve the needs of chiropractic educators from around the
world with representatives on the editorial board from 39 colleges and
universities from 15 different countries. The journal has grown in tandem with
the profession's leading education and research conference and has been the
primary repository for the scholarship of chiropractic education. CONCLUSION: The
history of the journal represents a significant milestone in the development of
the chiropractic profession, particularly the discipline of chiropractic
education. The journal has had an interesting history and the future promises to
bring more opportunities and challenges to the field of chiropractic education
and to the journal.
PMID- 22069343
TI - Allowing a possible margin of error when assessing student skills in spinous
process location.
AB - INTRODUCTION: Spinal palpation is subject to inconsistency between examiners.
When testing students on the location of vertebral spinous processes, faculty
examiners may wish to allow for a margin of error that is observed between
experienced practitioners. This study attempts to determine such a margin of
error for selected vertebral levels that could be allowed in testing situations
at Sherman Chiropractic College. This could serve as a model for other
chiropractic colleges in determining their margins of error. METHODS: Two faculty
clinicians palpated spinous processes at four different vertebral levels (C2, T3,
T9, and L2) on 18 student volunteers. Differences for each vertebral level, along
with one, two, and three standard deviations, were calculated. RESULTS: AVERAGE
DIFFERENCES BETWEEN EXAMINERS INCREASED CAUDALLY, AS FOLLOWS: C2, 4.23 +/- 3.77
mm; T3, 13.41 +/- 10.53 mm; T9, 18.17 +/- 17.62 mm; L2, 18.70 +/- 16.58 mm.
DISCUSSION: In this study, faculty examiners exhibited variation in their
locations of spinous processes for these vertebrae. These variations could be
allowed when assessing student skills in locating these spinous processes at this
chiropractic college. CONCLUSION: In this study, differences between examiners
plus or minus one standard deviation ranged from 4.23 +/- 3.77 mm for C2 to 18.70
+/- 16.58 mm for L2. The concept of margin of error should be considered by
faculty examiners when assessing the skill of students in locating the spinous
process of various vertebral levels.
PMID- 22069344
TI - 2011 association of chiropractic colleges educational conference and research
agenda conference.
PMID- 22069345
TI - Letters to the editor.
PMID- 22069346
TI - Evaluation of contrast visual acuity in patients with retinitis pigmentosa.
AB - BACKGROUND: The purpose of this study was to determine visual acuity at different
contrast levels under photopic and mesopic conditions in patients with retinitis
pigmentosa. METHODS: Sixty eyes of 31 normal controls, 92 eyes of 52 patients
with retinitis pigmentosa without other ocular disorders (RP-1 group), and 20
eyes of 14 patients with retinitis pigmentosa with cataracts and without other
ocular disorders (RP-2 group) were studied. Conventional visual acuity was
measured using a conventional Landolt ring chart with 100% contrast and luminance
of 150 cd/m(2). All of the patients with retinitis pigmentosa had a decimal
visual acuity better than 1.0. Contrast visual acuity was measured with the same
Landolt ring chart with contrasts of 100% and 10% and under photopic (200
cd/m(2)) and mesopic (10 cd/m(2)) conditions. Decimal visual acuities were
converted to logMAR units for the analyses. RESULTS: The 100% contrast visual
acuity and the 10% contrast visual acuity determined under both photopic and
mesopic conditions were significantly poorer in both the RP-1 and RP-2 groups
than in the controls. The differences between the conventional visual acuity and
the 100% contrast visual acuity were significantly greater in the RP-1 and RP-2
groups than in the controls under both photopic and mesopic conditions. The
differences between the 100% contrast visual acuity and the 10% contrast visual
acuity were not significant among the three groups under photopic and mesopic
conditions. CONCLUSION: Contrast visual acuities were greatly reduced in patients
with retinitis pigmentosa with relatively well preserved conventional visual
acuity, and the contrast visual acuity was largely influenced by ambient light
levels in patients with retinitis pigmentosa. Although a longitudinal study for
confirmation has to be performed, our findings indicate that contrast visual
acuity is a better test to follow changes in visual function in patients with
retinitis pigmentosa.
PMID- 22069347
TI - Persistent subretinal fluid due to central serous chorioretinopathy after retinal
detachment surgery.
AB - BACKGROUND: The causes of persistent submacular detachment after successful
rhegmatogenous retinal detachment (RRD) surgery remain unknown. Its presence is
associated with poor postoperative visual acuity, but due to its spontaneous
resolution no additional therapeutic or diagnostic procedure is recommended. CASE
REPORT: A case of central serous chorioretinopathy (CSC) that simulated
persistent subfoveal fluid after RRD surgery is presented. CONCLUSION: To the
authors' knowledge, no other case of visual impairment after successful retinal
detachment surgery due to CSC has been reported in the PubMed database. In view
of this report, CSC should be considered in the differential diagnosis of
persistent subretinal fluid after successful retinal detachment surgery.
PMID- 22069348
TI - Comparison of selective laser trabeculoplasty (SLT) in primary open angle
glaucoma and pseudoexfoliation glaucoma.
AB - BACKGROUND AND OBJECTIVE: The aim of the present study was to compare intraocular
pressure (IOP) reduction and inflammation after selective laser trabeculoplasty
(SLT) treatment in patients suffering from primary open angle (POAG) vs
pseudoexfoliative (PXFG) glaucoma. STUDY DESIGN/PATIENTS AND METHODS: Sixty
patients (60 eyes) participated in the study. Glaucoma patients (POAG or PXFG)
scheduled for treatment with SLT were included. Inflammation was measured with a
laser flare meter (Kowa FM-500). Measurements were made before SLT and 2 hours, 1
week, and 1 month after SLT treatment. IOP was also checked at the same time
intervals. RESULTS: Inflammation after SLT showed no significant difference
between the groups (t-test, before: P = 0.16; 2 hours: P = 0.14; 1 week: P =
0.12; and 1 month: P = 0.36). IOP reduction was the same in both groups (t-test,
P = 0.27). CONCLUSION: SLT safely reduces IOP in both POAG and PXFG.
Pseudoexfoliation does not seem to be a risk factor for post-laser complications.
PMID- 22069349
TI - The use of bevacizumab in a multilevel retinal hemorrhage secondary to retinal
macroaneurysm: a 39-month follow-up case report.
AB - PURPOSE: The evaluation of long-term visual outcome after the use of bevacizumab
for the management of multilevel hemorrhage due to retinal arterial macroaneurysm
(MA). CASE REPORT: A 71-year-old hypertensive female presented with sudden
reduction of visual acuity in her left eye (OS). Fundoscopy revealed an arterial
macroaneurysm with preretinal and subretinal hemorrhage in the eye. Due to
significant macular involvement, the patient received two intravitreal injections
of bevacizumab within 2 months. RESULTS: Significant visual and anatomical
recovery was observed 2 months later, which was confirmed by fluorescein
angiography. At the end of a follow-up period (39 months) visual acuity and
visual field were at normal levels. CONCLUSION: Retinal MA is a relatively rare
condition. Anti-vascular endothelial growth factor therapy appears a safe and
effective treatment option for selected symptomatic individuals that may offer
faster visual rehabilitation. Herein we report, for the first time, a 39-month
follow-up of a retinal MA treated with anti-vascular endothelial growth factor
therapy.
PMID- 22069350
TI - Ocular onchocerciasis: current management and future prospects.
AB - This paper reviews the current management of onchocerciasis and its future
prospects. Onchocerciasis is a disease affecting millions of people in Africa,
South and Central America, and Yemen. It is spread by the blackfly as a vector
and caused by the filarial nematode, Onchocerca volvulus. A serious attempt was
made by the Onchocerciasis Control Program between 1975 and 2002 to eliminate the
vector in eleven of the endemic countries in West Africa, and with remarkable
success. Formerly, the treatment was with diethyl carbamazine for the
microfilaria and suramin for the adult worm. These drugs are now known to be
toxic and unsuitable for mass distribution. In particular, they precipitate optic
nerve disease. With the discovery of ivermectin, a much safer microfilaricide,
and the decision of Merck to distribute the drug free of charge for as long as
needed, the strategy of control switched to mass drug administration through
community-directed treatment with ivermectin. So far, millions have received this
annual or biannual treatment through the African Program for Onchocerciasis
Control and the Onchocerciasis Elimination Program for the Americas. However, the
problem with ivermectin is that it is a monotherapy microfilaricide which has
limited effect on the adult worm, and thus will need to be continued for the life
span of the adult worm, which may last up to 15 years. There are also early
reports of resistance. Serious encephalopathy and death may occur when ivermectin
is used in subjects heavily infested with loiasis. It seems unlikely that a break
in transmission will occur with community-directed treatment with ivermectin in
Africa because of population migrations and the highly efficient vector, but in
the Americas some countries such as Columbia and the Oaxaca focus in Mexico have
reported eradication. Vector control is only now applicable in selected
situations, and particularly to control the nuisance value of the blackfly.
Trials are ongoing for alternatives to ivermectin. Candidate drugs include
moxidectin, a macrofilaricide, doxycycline which targets the Wolbachia
endosymbiont, and flubendazole, which shows promise with the newer oral
cyclodextrin formulation.
PMID- 22069351
TI - Translational research in retinology.
AB - Clinical laboratories are strong, integral partners in personalized health care.
Laboratory databases hold a vast amount of data on human phenotypes, genotypes,
biomarkers, progression of disease, and response to therapy. These structured and
unstructured free text data are critical for patient care and a resource for
personalized medicine and translational research. Laboratory data are integrated
into many electronic medical records that provide "summary reports" and
"trending" to visualize longitudinal patient data. Recent advances in
ophthalmology such as gene therapy, cell therapy using stem cells, and also
retinal prosthesis explore the potential of translational research marking a new
era in research into the diagnosis and treatment of eye diseases.
PMID- 22069352
TI - Evaluation of patients' experiences at different stages of the intravitreal
injection procedure - what can be improved?
AB - INTRODUCTION: Intravitreal injection of ranibizumab has become one of the most
commonly performed ophthalmic procedures. It is timely to conduct an evaluation
of the injection procedure from the patient's perspective so as to determine ways
to improve patient experience. The purpose of this study was to quantitatively
describe patients' experiences of the different stages of the intravitreal
injection procedure and provide suggestions for improvement. METHOD: Following
intravitreal injection, patients were administered a questionnaire to score the
distress felt for each of ten parts of the whole injection process from the
initial waiting to the final instillation of topical antibiotic at the end. A
score of higher than 4 was regarded as significantly unpleasant. The proportion
of scores above 4 for each step was used to evaluate the relative distress
experienced by patients for the different parts of the procedure. RESULTS: A
total of 42 patients were surveyed. The step with the highest percentage of
patients scoring more than 4 was the injection step (19%). However, cumulatively,
the steps relating to the application of the drape, the speculum, and the removal
of drape accounted for 53% of scores greater than 4. CONCLUSION: There is
considerable variation in how patients tolerate different stages of the injection
procedure. The needle entry was the most unpleasant step followed by the draping
steps cumulatively. Use of subconjunctival anesthesia, a perforated drape, and
alternative lid exclusion devices may help to improve the patient's tolerability
of the procedure and experience.
PMID- 22069353
TI - Donor and surgical risk factors for primary graft failure following Descemet's
stripping automated endothelial keratoplasty in Asian eyes.
AB - BACKGROUND: Descemet's stripping automated endothelial keratoplasty (DSAEK) has
been shown to have superior refractive and visual results compared with
penetrating keratoplasty, but higher rates of primary graft failure (PGF). This
paper presents donor and surgical risk factors for PGF in DSAEK cases in Asian
eyes. DESIGN: Retrospective case-control study. PARTICIPANTS: All consecutive
patients who underwent DSAEK at a tertiary referral teaching hospital from March
2006-December 2008. METHODS: DONOR DETAILS ANALYZED WERE: age of donor, cause of
donor death, death to harvesting time, donor storage time, distribution distance
of tissue, preoperative endothelial cell count. Surgical factors analyzed were:
donor diameter, donor thickness, and method of donor insertion. These risk
factors in cases of PGF were compared with patients with successful DSAEK as the
control group. MAIN OUTCOME MEASURE: PGF. RESULTS: A total of 124 DSAEK
procedures were performed. Six DSAEK procedures (five eyes of five patients; one
eye with two failures) resulted in PGF (4.8%). Significant risk factors were
found for PGF to include graft insertion using a folding technique (odds ratio
[OR], 34.03; 95% confidence interval [CI], 3.75-314.32; P = 0.0017) and a small
donor diameter (OR, 39.94; 95% CI, 2.18-732.17; P = 0.013). CONCLUSION: The
results of this study suggest that in Asian eyes with shallow anterior chambers,
surgical trauma relating to the technique of donor insertion, and the use of a
small donor are major risk factors for PGF following DSAEK.
PMID- 22069354
TI - Hemiretinal vein occlusion with macular hemorrhage and edema treated with
intravitreal bevacizumab.
AB - A 39-year-old male with decreased visual acuity and extensive macular hemorrhage
and edema secondary to a hemiretinal vein occlusion was treated with multiple
intravitreal injections of bevacizumab 1.25 mg every four to six weeks for over
one year. Treatment outcomes were assessed by visual acuity and Cirrus spectral
domain optical coherence tomography. Treatment resulted in ongoing visual and
anatomic improvement, with resolution at the last visit.
PMID- 22069355
TI - Additive intraocular pressure-lowering effect of dorzolamide 1%/timolol 0.5%
fixed combination on prostaglandin monotherapy in patients with normal tension
glaucoma.
AB - PURPOSE: To evaluate the intraocular pressure (IOP)-lowering effect of adding
dorzolamide 1.0%/timolol 0.5% fixed combination (DTFC) to prostaglandin analogs
(PGAs) as monotherapy in patients with normal tension glaucoma. METHODS: A
prospective, clinical, case-controlled study of patients with normal tension
glaucoma. Patients had been on a once-daily night dose of prostaglandins (PGs) as
monotherapy and then received DTFC added to PGs for 8 weeks. The IOP was measured
at 9 am, week 0 (baseline), week 4, and week 8. RESULTS: The baseline IOP of 40
patients who had previously been treated by prostaglandin monotherapy was 15.6 +/
2.0 mmHg at baseline. The IOPs at 4 and 8 weeks after adding DTFC to PGs were
13.5 +/- 2.1 mmHg and 13.7 +/- 2.2 mmHg, respectively. Significant decrease of
the IOP was observed at each time point of measurement as compared with the
baseline IOP before adding DTFC (P = 0.01). The percent IOP reduction from the
baseline IOP at week 4 and week 8 was 13.5% +/- 12.3% and 11.7% +/- 13.1%,
respectively. The percentage of patients who achieved 10% or more IOP reduction
from the baseline IOP at week 8 was 62.5%. The baseline IOP was significantly
correlated with the percent IOP reduction at week 8 (P = 0.03, r = 0.34).
CONCLUSION: DTFC therapy added to PGAs as glaucoma monotherapy is effective in
patients with normal tension glaucoma.
PMID- 22069356
TI - Evaluation of retrobulbar blood flow in patients with age-related cataract; color
Doppler ultrasonographic findings.
AB - OBJECTIVES: Cataracts are the most common cause of blindness worldwide, with
cataract surgery being the most common ophthalmic procedure. To our best
knowledge, this is the first case-control study with a large number of
participants to evaluate ocular blood flow in patients with cataracts. MATERIALS
AND METHODS: Color Doppler and duplex sonography of the orbital vessels was
performed in 224 eyes of 112 patients with known bilateral age-related cataracts
and in 76 eyes of 38 healthy age- and sex-matched volunteers. RESULTS: The mean
+/- (standard deviation [SD]) of peak systolic velocity (PSV) of the ophthalmic
artery in patients with cataracts (34.59 +/- 22.49 cm/second) was significantly
different to that in controls (52.11 +/- 14.01 cm/second) (P < 0.001). The mean
+/- SD PSV of the central retinal artery in patients with cataracts (15.31 +/-
4.93 cm/second) was significantly different to that in controls (9.61 +/- 5.64
cm/second) (P < 0.001). CONCLUSION: The mean PSV and resistive index (RI) of the
ophthalmic and central retinal arteries were lower in cataract patients when
compared with normal subjects. This suggests that ocular hypoperfusion and
changes in ocular hemodynamic may have a role in the formation of age-related
cataracts.
PMID- 22069357
TI - Improving near vision in presbyopic eyes by selective treatment of high-order
aberrations.
AB - PURPOSE: Evaluation of improving near vision in presbyopic patients with low to
moderate myopia with selective treatment of high-order aberrations (HOAs) using
the ORK-CAM software aberrometer and a SCHWIND ESIRIS excimer laser. In this
study, all HOAs except vertical coma were treated and the effect on near visual
function was evaluated. SETTING: Horus Vision Correction Center, Alexandria,
Egypt. METHODS: Twenty-six presbyopic patients (52 eyes) with low to moderate
myopia were divided into two groups, A and B. The ORK-CAM software aberrometer
was used in both groups to measure HOAs and design wavefront-guided treatment.
All included eyes had total coma >0.2 MUm. The Moria M2 mechanical microkeratome
was used for flap making in both groups. Wavefront-guided laser-assisted in situ
keratomileusis using ESIRIS laser was done for all eyes. In Group A (30 eyes) all
HOAs were treated. In Group B (22 eyes) vertical coma was left untreated.
Postoperative uncorrected distance visual acuity (UCVA), best corrected distance
visual acuity (BCVA), uncorrected near acuity (UCNA), distance corrected near
acuity (DCNA), best corrected near acuity with addition for near vision (BCNA),
manifest refractive spherical equivalent (MRSE), HOAs, and contrast sensitivity
for both groups were done preoperatively and at 3 months postoperatively.
RESULTS: Mean age was 47.46 and 45.2 years for groups A and B, respectively. Mean
preoperative MRSE was -2.37 and -2.87 D and mean preoperative total HOAs was 0.35
and 0.38 MUm in groups A and B, respectively. There was no significant difference
between groups regarding age, sex, preoperative MRSE, and preoperative total
HOAs. After 3 months, there was no significant difference between groups in terms
of UCVA, BCVA, MRSE, and contrast sensitivity. Analysis of postoperative HOAs
showed significant difference in vertical coma between the two groups (P <
0.001). DCNA was significantly better in Group B (P < 0.01). CONCLUSION: Treating
low to moderate myopia with wavefront-guided ablation including selective
treatment of HOAs might be very useful for improving visual functions. Treating
all HOAs but the vertical coma improved uncorrected near acuity in presbyopic
patients.
PMID- 22069358
TI - Risk factors for primary open-angle glaucoma in Japanese subjects attending
community health screenings.
AB - BACKGROUND/AIMS: To describe risk factors associated with primary open-angle
glaucoma (POAG) in Japanese subjects who participated in community health
screenings. METHODS: Residents of Akita, Japan, participating in a community
health checkup were selected to undergo a comprehensive ophthalmic examination.
Glaucoma was diagnosed based on optic disk appearance, perimetric results, and
other ocular findings. Systemic blood pressure and intraocular pressure were
measured and ocular perfusion pressure was calculated. Logistic regression
analysis was performed to determine risk factors for POAG patients. RESULTS AND
CONCLUSION: Of the 710 subjects examined, 26 had POAG. The estimated prevalence
of POAG was 3.7%. After adjusting for age, the prevalence of POAG was similar to
that found in the Tajimi Study of Japanese subjects. Multivariate logistic
regression analysis demonstrated that older age (>=60 years, odds ratio [OR]:
3.49), lower diastolic blood pressure (<=58 mmHg, OR: 2.11), higher intraocular
pressure (>=19 mmHg, OR: 4.12), and lower ocular perfusion pressure (<=34 mmHg,
OR: 5.78) were associated with increased risk of having POAG. These findings may
be relevant for identifying high risk groups.
PMID- 22069359
TI - Massive vitreous gel incarceration into the subretinal space following traumatic
retinal detachment in a young patient: a case report.
AB - PURPOSE: This paper reports a young patient with a traumatic rhegmatogenous
retinal detachment and massive vitreous gel incarceration into the subretinal
space, who was successfully treated with 23-gauge transconjunctival vitrectomy.
CASE REPORT: An 11-year-old boy was referred to the authors' clinic with
traumatic retinal detachment in the right eye, 2 weeks after ocular contusion in
a baseball accident. At the time of the injury, emergency fundus examination by
his local doctor had revealed vitreous hemorrhage in the inferior quadrant of the
right eye. Visual acuity was 1.5. He had continued to play baseball as usual for
2 weeks after the injury. At his first visit to the authors' clinic, fundus
examination showed a highly bullous retinal detachment involving the inferior two
quadrants, associated with multiple irregular retinal breaks. There was an oval
hole in the inferior quadrant which was 10-disc diameter * 5-disc diameter in
size and was surrounded by edematous and hemorrhagic retina. The macula remained
attached. Absolute rest for 4 hours in the supine position with binocular
occlusion did not diminish the height of the retinal detachment. A 23-gauge three
port pars plana vitrectomy combined with 360 degrees circumferential buckling
was performed under general anesthesia. The lens was retained. Incarceration of
massive vitreous gel, including vitreous hemorrhage into the subretinal space
through the largest break, was observed during vitrectomy. Reattachment of the
retina was achieved by fluid-air exchange and internal tamponade using SF(6) gas.
At follow-up at 9 months, the retina remained attached and visual acuity in the
right eye was 1.2.
PMID- 22069360
TI - Determinants of polypharmacy and compliance with GOLD guidelines in patients with
chronic obstructive pulmonary disease.
AB - BACKGROUND: Polypharmacy of respiratory medications is commonly observed in
patients with chronic obstructive pulmonary disease (COPD). The aims of this
study were to investigate determinants of polypharmacy and to study the
consistency of actual respiratory drug use with current Global Initiative for
Chronic Obstructive Lung Disease (GOLD) guidelines in pulmonary rehabilitation
candidates with COPD. METHODS: Data were extracted from the records of all
patients with a diagnosis of COPD referred for pulmonary rehabilitation to CIRO+
between 2005 and 2009. Use of respiratory medications, self-reported COPD
exacerbations, lung function, blood gases, exercise capacity, Medical Research
Council (MRC) dyspnea grade, and St George's Respiratory Questionnaire (SGRQ)
were recorded as part of assessment of health status. RESULTS: In total, 1859
COPD patients of mean age (+/- standard deviation) 64.3 +/- 9.7 years and with a
forced expiratory volume in one second (FEV(1)) of 44.7% +/- 18.2% were included.
On average, patients used 3.5 +/- 1.5 respiratory medications; this number
increased with increasing GOLD stage, MRC score, and SGRQ scores. FEV(1) (%
predicted), SGRQ, and number of recent exacerbations were independent
determinants of polypharmacy. Use of long-acting bronchodilators and inhaled
corticosteroids was substantial and comparable in all GOLD stages. Use of
corticosteroids was not restricted to patients with frequent exacerbations.
CONCLUSION: Polypharmacy of respiratory medications is common in COPD patients
with persistent symptoms. In addition to severity of disease, health status is an
independent predictor of polypharmacy. Actual drug use in COPD patients referred
for pulmonary rehabilitation is partially inconsistent with current GOLD
guidelines.
PMID- 22069361
TI - Effects of beclomethasone/formoterol fixed combination on lung hyperinflation and
dyspnea in COPD patients.
AB - BACKGROUND: Chronic obstructive pulmonary disease (COPD) is a common disease
characterized by airflow obstruction and lung hyperinflation leading to dyspnea
and exercise capacity limitation. OBJECTIVES: The present study was designed to
evaluate whether an extra-fine combination of beclomethasone and formoterol
(BDP/F) was effective in reducing air trapping in COPD patients with
hyperinflation. Fluticasone salmeterol (FP/S) combination treatment was the
active control. METHODS: COPD patients with forced expiratory volume in one
second <65% and plethysmographic functional residual capacity >=120% of predicted
were randomized to a double-blind, double-dummy, 12-week, parallel group,
treatment with either BDP/F 400/24 MUg/day or FP/S 500/100 MUg/day. Lung volumes
were measured with full body plethysmography, and dyspnea was measured with
transition dyspnea index. RESULTS: Eighteen patients were evaluable for intention
to treat. A significant reduction in air trapping and clinically meaningful
improvement in transition dyspnea index total score was detected in the BDP/F
group but not in the FP/S group. Functional residual capacity, residual volume
(RV) and total lung capacity significantly improved from baseline in the BDP/F
group only. With regard to group comparison, a significantly greater reduction in
RV was observed with BDP/F versus FP/S. CONCLUSION: BDP/F extra-fine combination
is effective in reducing air trapping and dyspnea in COPD patients with lung
hyperinflation.
PMID- 22069362
TI - Factors associated with good self-rated health and quality of life in subjects
with self-reported COPD.
AB - BACKGROUND: Recent guidelines for chronic obstructive pulmonary disease (COPD)
state that COPD is both preventable and treatable. To gain a more positive
outlook on the disease it is interesting to investigate factors associated with
good, self-rated health and quality of life in subjects with self-reported COPD
in the population. METHODS: In a cross-sectional study design, postal survey
questionnaires were sent to a stratified, random population in Sweden in 2004 and
2008. The prevalence of subjects (40-84 years) who reported having COPD was 2.1%
in 2004 and 2.7% in 2008. Data were analyzed for 1475 subjects. Regression models
were used to analyze the associations between health measures (general health
status, the General Health Questionnaire, the EuroQol five-dimension
questionnaire) and influencing factors. RESULTS: The most important factor
associated with good, self-rated health and quality of life was level of physical
activity. Odds ratios for general health varied from 2.4 to 7.7 depending on
degree of physical activity, where subjects with the highest physical activity
level reported the best health and also highest quality of life. Social support
and absence of economic problems almost doubled the odds ratios for better health
and quality of life. CONCLUSIONS: In this population-based public health survey,
better self-rated health status and quality of life in subjects with self
reported COPD was associated with higher levels of physical activity, social
support, and absence of economic problems. The findings indicated that of
possible factors that could be influenced, promoting physical activity and
strengthening social support are important in maintaining or improving the health
and quality of life in subjects with COPD. Severity of the disease as a possible
confounding effect should be investigated in future population studies.
PMID- 22069363
TI - Predictive properties of different multidimensional staging systems in patients
with chronic obstructive pulmonary disease.
AB - BACKGROUND: Chronic obstructive pulmonary disease (COPD) is considered to be a
respiratory disease with systemic manifestations. Some multidimensional staging
systems, not based solely on the level of airflow limitation, have been
developed; however, these systems have rarely been compared. METHODS: We
previously recruited 150 male outpatients with COPD for an analysis of factors
related to mortality. For this report, we examined the discriminative and
prognostic predictive properties of three COPD multidimensional measurements.
These indices were the modified BODE (mBODE), which includes body mass index,
airflow obstruction, dyspnea, and exercise capacity; the ADO, composed of age,
dyspnea, and airflow obstruction; and the modified DOSE (mDOSE), comprising
dyspnea, airflow obstruction, smoking status, and exacerbation frequency.
RESULTS: Among these indices, the frequency distribution of the mBODE index was
the most widely and normally distributed. Univariate Cox proportional hazards
analyses revealed that the scores on three indices were significantly predictive
of 5-year mortality of COPD (P < 0.001). The scores on the mBODE and ADO indices
were more significantly predictive of mortality than forced expiratory volume in
1 second, the Medical Research Council dyspnea score, and the St. George's
Respiratory Questionnaire total score. However, peak oxygen uptake on progressive
cycle ergometry was more significantly related to mortality than the scores on
the three indices (P < 0.0001). CONCLUSION: The multidimensional staging systems
using the mBODE, ADO, and mDOSE indices were significant predictors of mortality
in COPD patients, although exercise capacity had a more significant relationship
with mortality than those indices. The mBODE index was superior to the others for
its discriminative property. Further discussion of the definition of disease
severity is necessary to promote concrete multidimensional staging systems as a
new disease severity index in guidelines for the management of COPD.
PMID- 22069364
TI - Spirometry for patients in hospital and one month after admission with an acute
exacerbation of COPD.
AB - AIM: To assess whether spirometry done in hospital during an admission for an
acute exacerbation of chronic obstructive pulmonary disease (AECOPD) is
clinically useful for long-term management. METHODS: Patients admitted to
hospital with a clinical diagnosis of AECOPD had spirometry post-bronchodilator
at discharge and approximately 4 weeks later. RESULTS: Spirometry was achieved in
less than half of those considered to have AECOPD. Of 49 patients who had
spirometry on both occasions, 41 met the GOLD criteria for COPD at discharge and
39 of these met the criteria at 1 month. For the 41, spirometry was not
statistically different between discharge and 1 month but often crossed arbitrary
boundaries for classification of severity based on FEV(1). The eight who did not
meet GOLD criteria at discharge were either misclassified due to comorbidities
that reduce FVC, or they did not have COPD as a cause of their hospital
admission. CONCLUSION: Spirometry done in hospital at the time of AECOP is useful
in patients with a high pre-test probability of moderate-to-severe COPD. Small
changes in spirometry at 1 month could place them up or down one grade of
severity. Spirometry at discharge may be useful to detect those who warrant
further investigation.
PMID- 22069365
TI - Cost trends among commercially insured and Medicare Advantage-insured patients
with chronic obstructive pulmonary disease: 2006 through 2009.
AB - BACKGROUND: Few estimates of health care costs related to chronic obstructive
pulmonary disease (COPD) are available regarding commercially insured patients in
the United States. The aims of this retrospective observational analysis of
administrative data were to describe and compare health care resource use and
costs related to COPD in the United States for patients with commercial insurance
or Medicare Advantage with Part D benefits, and to assess cost trends over time.
METHODS: Patient-level and visit-level health care costs in the calendar years
2006, 2007, 2008, and 2009 were assessed for patients with evidence of COPD.
Generalized linear models adjusting for sex, age category, and geographic region
were used to investigate cost trends over time for patients with Medicare or
commercial insurance. RESULTS: Medical costs, which ranged from an annual mean of
US$2382 (Medicare 2007) to US$3339 (commercial 2009) per patient, comprised the
majority of total costs in all years for patients with either type of insurance.
COPD-related costs were less for Medicare than commercial cohorts. In the
multivariate analysis, total costs increased by approximately 6% per year for
commercial insurance patients (cost ratio 1.06; 95% confidence interval [CI] 1.04
1.07; P < 0.001) and 5% per year for Medicare patients (cost ratio 1.05; 95% CI
1.03-1.07; P < 0.001). Costs for outpatient and emergency department visits
increased significantly over time in both populations. Standard admission costs
increased significantly for Medicare patients (cost ratio 1.03; 95% CI 1.00-1.05;
P = 0.03), but not commercial patients, and costs for intensive care unit visits
remained stable for both populations. CONCLUSION: COPD imposed a substantial
economic burden on patients and the health care system, with costs increasing
significantly in both the Medicare and commercial populations.
PMID- 22069366
TI - Chronic airflow limitation in a rural Indian population: etiology and
relationship to body mass index.
AB - PURPOSE: Respiratory conditions remain a source of morbidity globally. As such,
this study aimed to explore factors associated with the development of airflow
obstruction (AFO) in a rural Indian setting and, using spirometry, study whether
underweight is linked to AFO. METHODS: Patients > 35 years old attending a rural
clinic in West Bengal, India, took a structured questionnaire, had their body
mass index (BMI) measured, and had spirometry performed by an ancillary health
care worker. RESULTS: In total, 416 patients completed the study; spirometry was
acceptable for analysis of forced expiratory volume in 1 second in 286 cases
(69%); 16% were noted to exhibit AFO. Factors associated with AFO were:
increasing age (95% confidence interval (CI) 0.004-0.011; P = 0.005), smoking
history (95% CI 0.07-0.174; P = 0.006), male gender (95% CI 0.19-0.47; P =
0.012), reduced BMI (95% CI 0.19-0.65; P = 0.02), and occupation (95% CI 0.12
0.84; P = 0.08). The mean BMI in males who currently smoked (n = 60; 19.29
kg/m(2); standard deviation [SD] 3.46) was significantly lower than in male never
smokers (n = 33; 21.15 kg/m(2) SD 3.38; P < 0.001). AFO was observed in 27% of
subjects with a BMI <18.5 kg/m(2), falling to 13% with a BMI >=18.5 kg/m(2) (P =
0.013). AFO was observed in 11% of housewives, 22% of farm laborers, and 31% of
cotton/jute workers (P = 0.035). CONCLUSION: In a rural Indian setting, AFO was
related to advancing age, current or previous smoking, male gender, reduced BMI,
and occupation. The data also suggest that being under-weight is linked with AFO
and that a mechanistic relationship exists between low body weight, smoking
tobacco, and development of AFO.
PMID- 22069367
TI - Experience with an extended-release opioid formulation designed to reduce abuse
liability in a community-based pain management clinic.
AB - CONTEXT: With the growing public health concern over rising rates of opioid
abuse, physicians have a responsibility to incorporate safeguards into their
practice to minimize the potential for opioid misuse, abuse, and diversion.
Patient-specific treatment regimens should include steps to monitor treatment
success with regard to optimal pain management as well as inappropriate use of
opioids and other substances. Opioid formulations designed to be less attractive
for abuse are also being developed. While future studies are needed to determine
the impact of such formulations in addressing the issue of opioid misuse in the
community as a whole, the experience of practitioners who have utilized these
formulations can highlight the practical steps to incorporate such formulations
into the everyday patient-care setting. PURPOSE: The purpose of this report is to
describe experience in managing patients with chronic, moderate-to-severe pain
using morphine sulfate and naltrexone hydrochloride extended release capsules (MS
sNT) (EMBEDA((r)), King Pharmaceuticals((r)) Inc, Bristol, TN, which was acquired
by Pfizer Inc, New York, NY, in March 2011), a formulation designed with features
to deter abuse/misuse, in a community-based pain management clinic. CASE
PRESENTATIONS: Case reports demonstrating a clinical management plan for
assessment, initial interview procedures, explanation/discussion of proposed
therapies, patients' treatment goals, conversion to MS-sNT, and titration and
treatment outcomes are provided. RESULTS: The management approach yielded
successful outcomes including pain relief, improved quality of life, treatment
satisfaction, and patient acceptance of a formulation designed to deter
abuse/misuse. DISCUSSION: The cases presented demonstrate that the communication
accompanying complete pretreatment assessment, goal-setting and expectations, and
attention to individual patient needs can enable optimization of pain-related
outcomes, resulting in improved quality of life for patients and fostering
patient acceptance of formulations designed to help address opioid abuse/misuse
issues in the community at large.
PMID- 22069368
TI - Noninvasive radioelectric asymmetric brain stimulation in the treatment of stress
related pain and physical problems: psychometric evaluation in a randomized,
single-blind placebo-controlled, naturalistic study.
AB - BACKGROUND: The aim of this study was to investigate the effects of noninvasive
radioelectric asymmetric conveyer brain stimulation (REAC-BS) on pain and
physical problems, a measurement cluster of the Psychological Stress Measure
(PSM) test. When the symptoms of pain and physical problems do not respond to
various therapeutic approaches such as medication, physiotherapy, and
psychotherapy, they are often called medically unexplained symptoms. As such,
these symptoms are reported to be a response to stressful situations or emotional
states, often unknown to patients themselves. To explore the effectiveness of
noninvasive radioelectric brain stimulation in the amelioration of symptoms of
pain and physical problems, we administered a neuropsychophysical optimization
protocol using a REAC device. METHODS: The PSM, a self-administered
questionnaire, was used to measure psychological stress and pain and physical
problems in a group of 888 subjects. Data were collected immediately prior to and
following a 4-week REAC treatment cycle. RESULTS: There was a significant
reduction in scores measuring subjective perceptions of stress for subjects
treated with one cycle of neuropsychophysical optimization REAC-BS. At the end of
the study, the number of treated subjects reporting symptoms of stress-related
pain and physical problems on the PSM test was significantly reduced, whereas
there was no difference in placebo-treated subjects. CONCLUSION: One cycle of
neuropsychophysical optimization REAC-BS appears to reduce subjective perceptions
of stress as measured by the PSM, particularly on the pain and physical problems
cluster.
PMID- 22069369
TI - Acute renal failure and severe rhabdomyolysis in a patient with resistant
thrombotic thrombocytopenic purpura.
AB - Thrombotic thrombocytopenic purpura (TTP) is a rare, life-threatening disorder.
This paper describes the case of a 39-year-old Sudanese male who presented to the
emergency room with fever, jaundice, decreased level of consciousness, and
worsening kidney function for 7 days, a high lactate dehydrogenase level (1947),
severe thrombocytopenia (platelets 8), and numerous schistocytes in the
peripheral blood smear. The patient was admitted with a diagnosis of TTP for
plasma exchange. Fourteen days later, his creatinine kinase (CK) level rose to
>50,000 IU; rhabdomyolysis was suggested. Continuous venovenous hemodialysis
(CVVHD) was started. The patient's CK level remained high, despite CVVHD, until
the 6th day, after which this parameter gradually started to decrease. This
report highlights a resistant case of TTP that presented with concomitant severe
rhabdomyolysis, which demanded aggressive, continuous intervention.
PMID- 22069370
TI - Chronic productive cough and extensive cysts in left lung.
AB - Bronchiectasis refers to dilated and thickened airways due to chronic
inflammation and infections, with anatomic distortion of the bronchi. Here, we
describe a 29-year-old man with a history of multiple hospitalizations for lung
infection who presented to the pulmonary clinic with a complaint of worsening
chronic productive cough in the previous year. This case presentation prompted a
review of the etiologies of bronchiectasis in 291 recent cases admitted to Masih
Daneshvari Hospital, Tehran.
PMID- 22069371
TI - Exploitation of resources and cardiovascular outcomes in low-risk patients with
chest pain hospitalized in coronary care units.
AB - BACKGROUND: Most patients who present to medical centers due to chest pain do not
suffer from acute coronary syndromes and do not need to be hospitalized in
coronary care units (CCUs). This study was done to determine exploitation of
resources and cardiovascular outcomes in low-risk patients with chest pain
hospitalized in CCUs of educational hospitals affiliated with a major medical
university. METHODS: Over a 4-month period, 550 patients with chest pain who were
hospitalized in the CCUs belonging to six hospitals affiliated to the authors'
medical university were recruited by census method. Using Thrombolysis in
Myocardial Infarction risk score, 95 patients (17.27%) were categorized as low
risk patients. This group was evaluated with respect to demographics, bed
occupancy rate, mean hospitalization period, expenses during admission, and
cardiovascular outcomes in the 30-day period postdischarge. RESULTS: Mean (+/-
standard deviation) hospitalization duration was 3.04 (+/-0.71) days. No
significant difference was seen between the six surveyed hospitals regarding
hospitalization duration (P = 0.602). The highest bed occupancy rate was seen in
Taleghani and Shohada Tajrish hospitals and the lowest was in Modarres Hospital.
The mean paid treatment expenses by low-risk patients was IRR 2,050,000 (US$205).
Mean total hospitalization expenses was US$205. No significant difference was
seen between the six surveyed hospitals (P = 0.699). Of the patients studied,
89.5% did not show any cardiovascular complications in 1 month and no deaths
occurred. CONCLUSION: Given the high bed-occupancy rate by low-risk patients,
associated high hospitalization costs, and the lack of cardiovascular
complications in patients observed at 1-month follow-up after discharge, it is
recommended that appropriate evaluations be performed in emergency units to
prevent unnecessary admissions.
PMID- 22069372
TI - The burden of cancer risk in Canada's indigenous population: a comparative study
of known risks in a Canadian region.
AB - BACKGROUND: Canadian First Nations, the largest of the Aboriginal groups in
Canada, have had lower cancer incidence and mortality rates than non-Aboriginal
populations in the past. This pattern is changing with increased life expectancy,
a growing population, and a poor social environment that influences risk
behaviors, metabolic conditions, and disparities in screening uptake. These
factors alone do not fully explain differences in cancer risk between
populations, as genetic susceptibility and environmental factors also have
significant influence. However, genetics and environment are difficult to modify.
This study compared modifiable behavioral risk factors and metabolic-associated
conditions for men and women, and cancer screening practices of women, between
First Nations living on-reserve and a non-First Nations Manitoba rural population
(Canada). METHODS: The study used data from the Canadian Community Health Survey
and the Manitoba First Nations Regional Longitudinal Health Survey to examine
smoking, binge drinking, metabolic conditions, physical activity, fruit/vegetable
consumption, and cancer-screening practices. RESULTS: First Nations on-reserve
had significantly higher rates of smoking (P < 0.001), binge drinking (P <
0.001), obesity (P < 0.001) and diabetes (P < 0.001), and less leisure-time
physical activity (P = 0.029), and consumption of fruits and vegetables (P <
0.001). Sex differences were also apparent. In addition, First Nations women
reported significantly less uptake of mammography screening (P < 0.001) but
similar rates for cervical cancer screening. CONCLUSIONS: Based on the findings
of this retrospective study, the future cancer burden is expected to be high in
the First Nations on-reserve population. Interventions, utilizing existing and
new health and social authorities, and long-term institutional partnerships, are
required to combat cancer risk disparities, while governments address economic
disparities.
PMID- 22069373
TI - Exercise lowers blood pressure in university professors during subsequent
teaching and sleeping hours.
AB - BACKGROUND: University professors are subjected to psychological stress that
contributes to blood pressure (BP) reactivity and development of hypertension.
The purpose of this study was to investigate the effects of exercise on BP in
university professors during teaching and sleeping hours. METHODS: Twelve
normotensive professors (42.2 +/- 10.8 years, 74.2 +/- 11.2 kg, 172.8 +/- 10.4
cm, 20.1% +/- 6.7% body fat) randomly underwent control (CONT) and exercise
(EX30) sessions before initiating their daily activities. EX30 consisted of 30
minutes of cycling at 80%-85% of heart rate reserve. Ambulatory BP was monitored
for 24 hours following both sessions. RESULTS: BP increased in comparison with
pre-session resting values during teaching after CONT (P < 0.05) but not after
EX30. Systolic, diastolic, and mean arterial BP showed a more pronounced
nocturnal dip following EX30 (approximately -14.7, -12.7, and -9.6 mmHg,
respectively) when compared with CONT (approximately -6, -5 and -3 mmHg).
CONCLUSION: Exercise induced a BP reduction in university professors, with the
main effects being observed during subsequent teaching and sleeping hours.
PMID- 22069374
TI - Molecular pathogenesis of EBV susceptibility in XLP as revealed by analysis of
female carriers with heterozygous expression of SAP.
AB - X-linked lymphoproliferative disease (XLP) is a primary immunodeficiency caused
by mutations in SH2D1A which encodes SAP. SAP functions in signalling pathways
elicited by the SLAM family of leukocyte receptors. A defining feature of XLP is
exquisite sensitivity to infection with EBV, a B-lymphotropic virus, but not
other viruses. Although previous studies have identified defects in lymphocytes
from XLP patients, the unique role of SAP in controlling EBV infection remains
unresolved. We describe a novel approach to this question using female XLP
carriers who, due to random X-inactivation, contain both SAP(+) and SAP(-) cells.
This represents the human equivalent of a mixed bone marrow chimera in mice.
While memory CD8(+) T cells specific for CMV and influenza were distributed
across SAP(+) and SAP(-) populations, EBV-specific cells were exclusively SAP(+).
The preferential recruitment of SAP(+) cells by EBV reflected the tropism of EBV
for B cells, and the requirement for SAP expression in CD8(+) T cells for them to
respond to Ag-presentation by B cells, but not other cell types. The inability of
SAP(-) clones to respond to Ag-presenting B cells was overcome by blocking the
SLAM receptors NTB-A and 2B4, while ectopic expression of NTB-A on fibroblasts
inhibited cytotoxicity of SAP(-) CD8(+) T cells, thereby demonstrating that SLAM
receptors acquire inhibitory function in the absence of SAP. The innovative XLP
carrier model allowed us to unravel the mechanisms underlying the unique
susceptibility of XLP patients to EBV infection in the absence of a relevant
animal model. We found that this reflected the nature of the Ag-presenting cell,
rather than EBV itself. Our data also identified a pathological signalling
pathway that could be targeted to treat patients with severe EBV infection. This
system may allow the study of other human diseases where heterozygous gene
expression from random X-chromosome inactivation can be exploited.
PMID- 22069376
TI - Unique interplay between sugar and lipid in determining the antigenic potency of
bacterial antigens for NKT cells.
AB - Invariant natural killer T (iNKT) cells are an evolutionary conserved T cell
population characterized by features of both the innate and adaptive immune
response. Studies have shown that iNKT cells are required for protective
responses to Gram-positive pathogens such as Streptococcus pneumoniae, and that
these cells recognize bacterial diacylglycerol antigens presented by CD1d, a non
classical antigen-presenting molecule. The combination of a lipid backbone
containing an unusual fatty acid, vaccenic acid, as well as a glucose sugar that
is weaker or not stimulatory when linked to other lipids, is required for iNKT
cell stimulation by these antigens. Here we have carried out structural and
biophysical studies that illuminate the reasons for the stringent requirement for
this unique combination. The data indicate that vaccenic acid bound to the CD1d
groove orients the protruding glucose sugar for TCR recognition, and it allows
for an additional hydrogen bond of the glucose with CD1d when in complex with the
TCR. Furthermore, TCR binding causes an induced fit in both the sugar and CD1d,
and we have identified the CD1d amino acids important for iNKT TCR recognition
and the stability of the ternary complex. The studies show also how hydrogen
bonds formed by the glucose sugar can account for the distinct binding kinetics
of the TCR for this CD1d-glycolipid complex. Therefore, our studies illuminate
the mechanism of glycolipid recognition for antigens from important pathogens.
PMID- 22069375
TI - De novo genesis of enhancers in vertebrates.
AB - Evolutionary innovation relies partially on changes in gene regulation. While a
growing body of evidence demonstrates that such innovation is generated by
functional changes or translocation of regulatory elements via mobile genetic
elements, the de novo generation of enhancers from non-regulatory/non-mobile
sequences has, to our knowledge, not previously been demonstrated. Here we show
evidence for the de novo genesis of enhancers in vertebrates. For this, we took
advantage of the massive gene loss following the last whole genome duplication in
teleosts to systematically identify regions that have lost their coding capacity
but retain sequence conservation with mammals. We found that these regions show
enhancer activity while the orthologous coding regions have no regulatory
activity. These results demonstrate that these enhancers have been de novo
generated in fish. By revealing that minor changes in non-regulatory sequences
are sufficient to generate new enhancers, our study highlights an important
playground for creating new regulatory variability and evolutionary innovation.
PMID- 22069377
TI - On the futility of screening for genes that make you fat.
PMID- 22069378
TI - Priorities for research on equity and health: towards an equity-focused health
research agenda.
PMID- 22069380
TI - Cholinergic partition cells and lamina x neurons induce a muscarinic-dependent
short-term potentiation of commissural glutamatergic inputs in lumbar
motoneurons.
AB - Acetylcholine and the activation of muscarinic receptors influence the activity
of neural networks generating locomotor behavior in the mammalian spinal cord.
Using electrical stimulations of the ventral commissure, we show that commissural
muscarinic (CM) depolarizations could be induced in lumbar motoneurons. We
provide a detailed electrophysiological characterization of the muscarinic
receptors and the membrane conductance involved in these responses. Activation of
the CM terminals, originating from lamina X neurons and partition cells, induced
a pathway-specific short-term potentiation (STP) of commissural glutamatergic
inputs in motoneurons. This STP is occluded in the presence of the muscarinic
antagonist atropine. During fictive locomotion, the activation of the commissural
pathways transiently enhanced the motor output in a muscarinic-dependent manner.
This study describes for the first time a novel regulatory mechanism of synaptic
strength in spinal locomotor networks. Such cellular mechanisms would endow the
locomotor central pattern generators with adaptive processes needed to generate
appropriate synaptic inputs to motoneurons during different motor tasks.
PMID- 22069381
TI - Topographic Organization and Corticocortical Connections of the Forepaw
Representation in Areas S1 and SC of the Opossum: Evidence for a Possible Role of
Area SC in Multimodal Processing.
AB - In small-brained mammals, such as opossums, the cortex is organized in fewer
sensory and motor areas than in mammals endowed with larger cortical sheets. The
presence of multimodal fields, involved in the integration of sensory inputs has
not been clearly characterized in those mammals. In the present study, the
corticocortical connections of the forepaw representation in the somatosensory
caudal (SC) area of the Didelphis aurita opossum was studied with injections of
fluorescent anatomical tracers in SC. Electrophysiological mapping of S1 was used
to delimit its respective rostral and caudal borders, and to guide SC injections.
The areal borders of S1 and the location of area SC were further confirmed by
myeloarchitecture. In S1, we found a well-delimited forepaw representation,
although it presented a crude internal topographic organization. Cortical
projections to S1 originate in somatosensory areas of the parietal cortex, and
appeared to be mostly homotopic. Physiological and connectional evidence were
provided for a topographic organization in opossum area SC as well. Most notably,
corticocortical projections to the forepaw representation of SC originated from
somatosensory cortical areas and from cortex representing other sensory
modalities, especially the visual peristriate cortex. This suggests that SC might
be involved in multimodal processing similar to the posterior parietal cortex of
species with larger brains.
PMID- 22069379
TI - Physical activity attenuates the influence of FTO variants on obesity risk: a
meta-analysis of 218,166 adults and 19,268 children.
AB - BACKGROUND: The FTO gene harbors the strongest known susceptibility locus for
obesity. While many individual studies have suggested that physical activity (PA)
may attenuate the effect of FTO on obesity risk, other studies have not been able
to confirm this interaction. To confirm or refute unambiguously whether PA
attenuates the association of FTO with obesity risk, we meta-analyzed data from
45 studies of adults (n = 218,166) and nine studies of children and adolescents
(n = 19,268). METHODS AND FINDINGS: All studies identified to have data on the
FTO rs9939609 variant (or any proxy [r(2)>0.8]) and PA were invited to
participate, regardless of ethnicity or age of the participants. PA was
standardized by categorizing it into a dichotomous variable (physically inactive
versus active) in each study. Overall, 25% of adults and 13% of children were
categorized as inactive. Interaction analyses were performed within each study by
including the FTO*PA interaction term in an additive model, adjusting for age and
sex. Subsequently, random effects meta-analysis was used to pool the interaction
terms. In adults, the minor (A-) allele of rs9939609 increased the odds of
obesity by 1.23-fold/allele (95% CI 1.20-1.26), but PA attenuated this effect
(p(interaction) = 0.001). More specifically, the minor allele of rs9939609
increased the odds of obesity less in the physically active group (odds ratio =
1.22/allele, 95% CI 1.19-1.25) than in the inactive group (odds ratio =
1.30/allele, 95% CI 1.24-1.36). No such interaction was found in children and
adolescents. CONCLUSIONS: The association of the FTO risk allele with the odds of
obesity is attenuated by 27% in physically active adults, highlighting the
importance of PA in particular in those genetically predisposed to obesity.
PMID- 22069382
TI - Can Temporal Repetitive Transcranial Magnetic Stimulation be Enhanced by
Targeting Affective Components of Tinnitus with Frontal rTMS? A Randomized
Controlled Pilot Trial.
AB - OBJECTIVES: Low-frequency repetitive transcranial magnetic stimulation (rTMS) of
the temporal cortex has been investigated as a new treatment tool for chronic
tinnitus during the last years and has shown moderate efficacy. However, there is
growing evidence that tinnitus is not a pathology of a specific brain region, but
rather the result of network dysfunction involving both auditory and non-auditory
brain regions. In functional imaging studies the right dorsolateral prefrontal
cortex has been identified as an important hub in tinnitus related networks and
has been shown to particularly reflect the affective components of tinnitus.
Based on these findings we aimed to investigate whether the effects of left low
frequency rTMS can be enhanced by antecedent right prefrontal low-frequency rTMS.
STUDY DESIGN: Fifty-six patients were randomized to receive either low-frequency
left temporal rTMS or a combination of low-frequency right prefrontal followed by
low-frequency left temporal rTMS. The change of the tinnitus questionnaire (TQ)
score was the primary outcome, secondary outcome parameters included the Tinnitus
Handicap Inventory, numeric rating scales, and the Beck Depression Inventory. The
study is registered in clinicaltrials.gov (NCT01261949). RESULTS: Directly after
therapy there was a significant improvement of the TQ-score in both groups.
Comparison of both groups revealed a trend toward more pronounced effects for the
combined group (effect size: Cohen's d = 0.176), but this effect did not reach
significance. A persistent trend toward better efficacy was also observed in all
other outcome criteria. CONCLUSION: Additional stimulation of the right
prefrontal cortex seems to be a promising strategy for enhancing TMS effects over
the temporal cortex. These results further support the involvement of the right
DLPFC in the pathophysiology of tinnitus. The small effect size might be due to
the study design comparing the protocol to an active control condition.
PMID- 22069383
TI - Computational characterization of visually induced auditory spatial adaptation.
AB - Recent research investigating the principles governing human perception has
provided increasing evidence for probabilistic inference in human perception. For
example, human auditory and visual localization judgments closely resemble that
of a Bayesian causal inference observer, where the underlying causal structure of
the stimuli are inferred based on both the available sensory evidence and prior
knowledge. However, most previous studies have focused on characterization of
perceptual inference within a static environment, and therefore, little is known
about how this inference process changes when observers are exposed to a new
environment. In this study we aimed to computationally characterize the change in
auditory spatial perception induced by repeated auditory-visual spatial conflict,
known as the ventriloquist aftereffect. In theory, this change could reflect a
shift in the auditory sensory representations (i.e., shift in auditory likelihood
distribution), a decrease in the precision of the auditory estimates (i.e.,
increase in spread of likelihood distribution), a shift in the auditory bias
(i.e., shift in prior distribution), or an increase/decrease in strength of the
auditory bias (i.e., the spread of prior distribution), or a combination of
these. By quantitatively estimating the parameters of the perceptual process for
each individual observer using a Bayesian causal inference model, we found that
the shift in the perceived locations after exposure was associated with a shift
in the mean of the auditory likelihood functions in the direction of the
experienced visual offset. The results suggest that repeated exposure to a fixed
auditory-visual discrepancy is attributed by the nervous system to sensory
representation error and as a result, the sensory map of space is recalibrated to
correct the error.
PMID- 22069384
TI - Revealing context-specific conditioned fear memories with full immersion virtual
reality.
AB - The extinction of conditioned fear is known to be context-specific and is often
considered more contextually bound than the fear memory itself (Bouton, 2004).
Yet, recent findings in rodents have challenged the notion that contextual fear
retention is initially generalized. The context-specificity of a cued fear memory
to the learning context has not been addressed in the human literature largely
due to limitations in methodology. Here we adapt a novel technology to test the
context-specificity of cued fear conditioning using full immersion 3-D virtual
reality (VR). During acquisition training, healthy participants navigated through
virtual environments containing dynamic snake and spider conditioned stimuli
(CSs), one of which was paired with electrical wrist stimulation. During a 24-h
delayed retention test, one group returned to the same context as acquisition
training whereas another group experienced the CSs in a novel context.
Unconditioned stimulus expectancy ratings were assayed on-line during fear
acquisition as an index of contingency awareness. Skin conductance responses time
locked to CS onset were the dependent measure of cued fear, and skin conductance
levels during the interstimulus interval were an index of context fear. Findings
indicate that early in acquisition training, participants express contingency
awareness as well as differential contextual fear, whereas differential cued fear
emerged later in acquisition. During the retention test, differential cued fear
retention was enhanced in the group who returned to the same context as
acquisition training relative to the context shift group. The results extend
recent rodent work to illustrate differences in cued and context fear acquisition
and the contextual specificity of recent fear memories. Findings support the use
of full immersion VR as a novel tool in cognitive neuroscience to bridge rodent
models of contextual phenomena underlying human clinical disorders.
PMID- 22069385
TI - Broader visual orientation tuning in patients with schizophrenia.
AB - Reduced gamma-aminobutyric acid (GABA) levels in cerebral cortex are thought to
contribute to information processing deficits in patients with schizophrenia
(SZ), and we have previously reported lower in vivo GABA levels in the visual
cortex of patients with SZ. GABA-mediated inhibition plays a role in sharpening
orientation tuning of visual cortical neurons. Therefore, we predicted that
tuning for visual stimulus orientation would be wider in SZ. We measured
orientation tuning with a psychophysical procedure in which subjects performed a
target detection task of a low-contrast oriented grating, following adaptation to
a high-contrast grating. Contrast detection thresholds were determined for a
range of adapter-target orientation offsets. For both SZ and healthy controls,
contrast thresholds decreased as orientation offset increased, suggesting that
this tuning curve reflects the selectivity of visual cortical neurons for
stimulus orientation. After accounting for generalized deficits in task
performance in SZ, there was no difference between patients and controls for
detection of target stimuli having either the same orientation as the adapter or
orientations far from the adapter. However, patients' thresholds were
significantly higher for intermediate adapter-target offsets. In addition, the
mean width parameter of a Gaussian fit to the psychophysical orientation tuning
curves was significantly larger for the patient group. We also present
preliminary data relating visual cortical GABA levels, as measured with magnetic
resonance spectroscopy, and orientation tuning width. These results suggest that
our finding of broader orientation tuning in SZ may be due to diminished visual
cortical GABA levels.
PMID- 22069386
TI - Increased readiness for adaptation and faster alternation rates under binocular
rivalry in children.
AB - Binocular rivalry in childhood has been poorly investigated in the past.
Information is scarce with respect to infancy, and there is a complete lack of
data on the development of binocular rivalry beyond the first 5-6 years of age.
In this study, we are attempting to fill this gap by investigating the
developmental trends in binocular rivalry in pre-puberty. We employ a classic
behavioral paradigm with orthogonal gratings, and introduce novel statistical
measures (after Pastukhov and Braun) to analyze the data. These novel measures
provide a sensitive tool to estimate the impact of the history of perceptual
dominance on future alternations. We found that the cumulative history of
perceptual alternations has an impact on future percepts, and that this impact is
significantly stronger and faster in children than in adults. Assessment of the
"cumulative history" and its characteristic time-constant helps us to take a look
at the adaptive states of the visual system under multi-stable perception, and
brings us closer to establishing a possible developmental scenario of binocular
rivalry: a greater and faster relative contribution of neural adaptation is found
in children, and this increased readiness for adaption seems to be associated
with faster alternation rates.
PMID- 22069387
TI - Brain correlates of mathematical competence in processing mathematical
representations.
AB - The ability to extract numerical information from different representation
formats (e.g., equations, tables, or diagrams) is a key component of mathematical
competence but little is known about its neural correlate. Previous studies
comparing mathematically less and more competent adults have focused on mental
arithmetic and reported differences in left angular gyrus (AG) activity which
were interpreted to reflect differential reliance on arithmetic fact retrieval
during problem solving. The aim of the present functional magnetic resonance
imaging study was to investigate the brain correlates of mathematical competence
in a task requiring the processing of typical mathematical representations.
Twenty-eight adults of lower and higher mathematical competence worked on a
representation matching task in which they had to evaluate whether the numerical
information of a symbolic equation matches that of a bar chart. Two task
conditions without and one condition with arithmetic demands were administered.
Both competence groups performed equally well in the non-arithmetic conditions
and only differed in accuracy in the condition requiring calculation. Activation
contrasts between the groups revealed consistently stronger left AG activation in
the more competent individuals across all three task conditions. The finding of
competence-related activation differences independently of arithmetic demands
suggests that more and less competent individuals differ in a cognitive process
other than arithmetic fact retrieval. Specifically, it is argued that the
stronger left AG activity in the more competent adults may reflect their higher
proficiency in processing mathematical symbols. Moreover, the study demonstrates
competence-related parietal activation differences that were not accompanied by
differential experimental performance.
PMID- 22069388
TI - Sensory feedback plays a significant role in generating walking gait and in gait
transition in salamanders: a simulation study.
AB - Here, we investigate the role of sensory feedback in gait generation and
transition by using a three-dimensional, neuro-musculo-mechanical model of a
salamander with realistic physical parameters. Activation of limb and axial
muscles were driven by neural output patterns obtained from a central pattern
generator (CPG) which is composed of simulated spiking neurons with adaptation.
The CPG consists of a body-CPG and four limb-CPGs that are interconnected via
synapses both ipsilaterally and contralaterally. We use the model both with and
without sensory modulation and four different combinations of ipsilateral and
contralateral coupling between the limb-CPGs. We found that the proprioceptive
sensory inputs are essential in obtaining a coordinated lateral sequence walking
gait (walking). The sensory feedback includes the signals coming from the stretch
receptor like intraspinal neurons located in the girdle regions and the limb
stretch receptors residing in the hip and scapula regions of the salamander. On
the other hand, walking trot gait (trotting) is more under central (CPG)
influence compared to that of the peripheral or sensory feedback. We found that
the gait transition from walking to trotting can be induced by increased activity
of the descending drive coming from the mesencephalic locomotor region and is
helped by the sensory inputs at the hip and scapula regions detecting the late
stance phase. More neurophysiological experiments are required to identify the
precise type of mechanoreceptors in the salamander and the neural mechanisms
mediating the sensory modulation.
PMID- 22069389
TI - Affective infrastructures: toward a cultural neuropsychology of sport.
AB - Recently there has been a turn toward considerations of embodiment, cognition,
and context in sport studies. Many researchers have argued that the traditional
focus on clinical psychology and performance enhancement within the discipline is
incomplete, and now emphasize the importance of athletes' social and familial
contexts in a research paradigm that examines interconnections between movement,
cognition, emotion, and the social and cultural context in which movement takes
place. While it is important that the sport studies focus is being expanded to
consider these interactions, I will argue that this model is still incomplete in
that it is missing a fundamental variable - that of our evolutionary
neurobiological roots. I will use the work of affective neuroscientists Jaak
Panksepp and Stephen Porges to show that because sport so clearly activates
neural systems that function at both proximate and ultimate levels of causation,
it can be seen to serve fundamental needs for affective balance. A neurobiology
of affect shows how the evolution of the mammalian autonomic nervous system has
resulted in neurophysiological substrates for affective processes and stress
responses, and has wide-ranging implications for sport studies in terms of
suggesting what forms of coaching might be the most effective in what context. I
propose the term cultural neuropsychology of sport as a descriptor for a model
that examines the relationships between neurophysiological substrates and
athletes' social and familial contexts in terms of how these variables facilitate
or fail to facilitate athletes' neuroceptions of safety, which in turn have a
direct impact on their performance. A cultural neuropsychological model of sport
might thereby be seen to elaborate a relationship between proximate and ultimate
mechanisms in concretely applied ways.
PMID- 22069390
TI - Information domain approach to the investigation of cardio-vascular, cardio
pulmonary, and vasculo-pulmonary causal couplings.
AB - The physiological mechanisms related to cardio-vascular (CV), cardio-pulmonary
(CP), and vasculo-pulmonary (VP) regulation may be probed through multivariate
time series analysis tools. This study applied an information domain approach for
the evaluation of non-linear causality to the beat-to-beat variability series of
heart period (t), systolic arterial pressure (s), and respiration (r) measured
during tilt testing and paced breathing (PB) protocols. The approach quantifies
the causal coupling from the series i to the series j (C(ij)) as the amount of
information flowing from i to j. A measure of directionality is also obtained as
the difference between two reciprocal causal couplings (D(i,j) = C(ij) - C(ji)).
Significant causal coupling and directionality were detected respectively when
the median of C(ij) over subjects was positive (C(ij) > 0), and when D(i,j) was
statistically different from zero (D(i,j) > 0 or D(i,j) < 0). The method was
applied on t, s, and r series measured in 15 healthy subjects (22-32 years, 8
males) in the supine (su) and upright (up) positions, and in further 15 subjects
(21-29 years, 7 males) during spontaneous (sp) and paced (pa) breathing. In the
control condition (su, sp), a significant causal coupling was observed for C(rs),
C(rt), C(st), and C(ts), and significant directionality was present only from r
to t (D(r,t) > 0). During head-up tilt (up, sp), C(rs) was preserved, C(rt)
decreased to zero median, and C(st) and C(ts) increased significantly;
directionality vanished between r and t (D(r,t) = 0) and raised from s to t
(D(s,t) > 0). During PB (su, pa), C(rs) increased significantly, C(rt) and C(ts)
were preserved, and C(st) decreased to zero median; directionality was preserved
from r to t (D(r,t) > 0), and raised from r to s (D(r,s) > 0). These results
suggest that the approach may reflect modifications of CV, CP, and VP mechanisms
consequent to altered physiological conditions, such as the baroreflex engagement
and the dampening of respiratory sinus arrhythmia induced by tilt, or the
respiratory driving on arterial pressure induced by PB. Thus, it could be
suggested as a tool for the non-invasive monitoring of CV and cardiorespiratory
control systems in normal and impaired conditions.
PMID- 22069391
TI - Oxytocin receptor gene associated with the efficiency of social auditory
processing.
AB - Oxytocin has been shown to facilitate social aspects of sensory processing,
thereby enhancing social communicative behaviors and empathy. Here we report that
compared to the AA/AG genotypes, the presumably more efficient GG genotype of an
oxytocin receptor gene polymorphism (OXTR rs53576) that has previously been
associated with increased sensitivity of social processing is related to less
self-reported difficulty in hearing and understanding people when there is
background noise. The present result extends associations between oxytocin and
social processing to the auditory and vocal domain. We discuss the relevance of
our findings for autistic spectrum disorders (ASD), as ASD seems related to
specific impairments in the orienting to, and selection of speech sounds from
background noise, and some social processing impairments in patients with ASD
have been found responsive to oxytocin treatment.
PMID- 22069392
TI - Finger numeral representations: more than just another symbolic code.
PMID- 22069393
TI - Attention demands of spoken word planning: a review.
AB - Attention and language are among the most intensively researched abilities in the
cognitive neurosciences, but the relation between these abilities has largely
been neglected. There is increasing evidence, however, that linguistic processes,
such as those underlying the planning of words, cannot proceed without paying
some form of attention. Here, we review evidence that word planning requires some
but not full attention. The evidence comes from chronometric studies of word
planning in picture naming and word reading under divided attention conditions.
It is generally assumed that the central attention demands of a process are
indexed by the extent that the process delays the performance of a concurrent
unrelated task. The studies measured the speed and accuracy of linguistic and non
linguistic responding as well as eye gaze durations reflecting the allocation of
attention. First, empirical evidence indicates that in several task situations,
processes up to and including phonological encoding in word planning delay, or
are delayed by, the performance of concurrent unrelated non-linguistic tasks.
These findings suggest that word planning requires central attention. Second,
empirical evidence indicates that conflicts in word planning may be resolved
while concurrently performing an unrelated non-linguistic task, making a task
decision, or making a go/no-go decision. These findings suggest that word
planning does not require full central attention. We outline a computationally
implemented theory of attention and word planning, and describe at various points
the outcomes of computer simulations that demonstrate the utility of the theory
in accounting for the key findings. Finally, we indicate how attention deficits
may contribute to impaired language performance, such as in individuals with
specific language impairment.
PMID- 22069394
TI - In search of our true selves: feedback as a path to self-knowledge.
AB - How can self-knowledge of personality be improved? What path is the most fruitful
source for learning about our true selves? Previous research has noted two main
avenues for learning about the self: looking inward (e.g., introspection) and
looking outward (e.g., feedback). Although most of the literature on these topics
does not directly measure the accuracy of self-perceptions (i.e., self
knowledge), we review these paths and their potential for improving self
knowledge. We come to the conclusion that explicit feedback, a largely unexamined
path, is likely a fruitful avenue for learning about one's own personality.
Specifically, we suggest that self-knowledge might be fully realized through the
use of explicit feedback from close, knowledgeable others. As such, we conclude
that the road to self-knowledge likely cannot be traveled alone but must be
traveled with close others who can help shed light on our blind spots.
PMID- 22069395
TI - False Belief vs. False Photographs: A Test of Theory of Mind or Working Memory?
AB - Theory of mind (ToM), the ability to reason about other people's thoughts and
beliefs, has been traditionally studied in behavioral and neuroimaging
experiments by comparing performance in "false belief" and "false photograph"
(control) stories. However, some evidence suggests that these stories are not
matched in difficulty, complicating the interpretation of results. Here, we more
fully evaluated the relative difficulty of comprehending these stories and
drawing inferences from them. Subjects read false belief and false photograph
stories followed by comprehension questions that probed true ("reality"
questions) or false beliefs ("representation" questions) appropriate to the
stories. Stories and comprehension questions were read and answered,
respectively, more slowly in the false photograph than false belief conditions,
indicating their greater difficulty. Interestingly, accuracy on representation
questions for false photograph stories was significantly lower than for all other
conditions and correlated positively with participants' working memory span
scores. These results suggest that drawing representational inferences from false
photo stories is particularly difficult and places heavy demands on working
memory. Extensive naturalistic practice with ToM reasoning may enable a more
flexible and efficient mental representation of false belief stories, resulting
in lower memory load requirements. An important implication of these results is
that the differential modulation of right temporal-parietal junction (RTPJ)
during ToM and "false photo" control conditions may reflect the documented
negative correlation of RTPJ activity with working memory load rather than a
specialized involvement in ToM processes.
PMID- 22069397
TI - Examination of microbial proteome preservation techniques applicable to
autonomous environmental sample collection.
AB - Improvements in temporal and spatial sampling frequency have the potential to
open new windows into the understanding of marine microbial dynamics. In recent
years, efforts have been made to allow automated samplers to collect microbial
biomass for DNA/RNA analyses from moored observatories and autonomous underwater
vehicles. Measurements of microbial proteins are also of significant interest
given their biogeochemical importance as enzymes that catalyze reactions and
transporters that interface with the environment. We examined the influence of
five preservatives solutions (SDS-extraction buffer, ethanol, trichloroacetic
acid, B-PER, and RNAlater) on the proteome integrity of the marine cyanobacterium
Synechococcus WH8102 after 4 weeks of storage at room temperature. Four
approaches were used to assess degradation: total protein recovery, band
integrity on an SDS detergent polyacrylamide electrophoresis (SDS-PAGE) gel, and
number of protein identifications and relative abundances by 1-dimensional LC
MS/MS proteomic analyses. Total protein recoveries from the preserved samples
were lower than the frozen control due to processing losses, which could be
corrected for with internal standardization. The trichloroacetic acid preserved
sample showed significant loss of protein band integrity on the SDS-PAGE gel. The
RNAlater preserved sample showed the highest number of protein identifications
(103% relative to the control; 520 +/- 31 identifications in RNAlater versus 504
+/- 4 in the control), equivalent to the frozen control. Relative abundances of
individual proteins in the RNAlater treatment were quite similar to that of the
frozen control (average ratio of 1.01 +/- 0.27 for the 50 most abundant
proteins), while the SDS-extraction buffer, ethanol, and B-PER all showed
significant decreases in both number of identifications and relative abundances
of individual proteins. Based on these findings, RNAlater was an effective
proteome preservative, although further study is warranted on additional marine
microbes.
PMID- 22069396
TI - Phonological Planning during Sentence Production: Beyond the Verb.
AB - The current study addresses the extent of phonological planning during
spontaneous sentence production. Previous work shows that at articulation,
phonological encoding occurs for entire phrases, but encoding beyond the initial
phrase may be due to the syntactic relevance of the verb in planning the
utterance. I conducted three experiments to investigate whether phonological
planning crosses multiple grammatical phrase boundaries (as defined by the number
of lexical heads of phrase) within a single phonological phrase. Using the
picture-word interference paradigm, I found in two separate experiments a
significant phonological facilitation effect to both the verb and noun of
sentences like "He opens the gate." I also altered the frequency of the direct
object and found longer utterance initiation times for sentences ending with a
low-frequency vs. high-frequency object offering further support that the direct
object was phonologically encoded at the time of utterance initiation. That
phonological information for post-verbal elements was activated suggests that the
grammatical importance of the verb does not restrict the extent of phonological
planning. These results suggest that the phonological phrase is unit of planning,
where all elements within a phonological phrase are encoded before articulation.
Thus, consistent with other action sequencing behavior, there is significant
phonological planning ahead in sentence production.
PMID- 22069398
TI - Lived experience of infertile men with male infertility cause.
AB - BACKGROUND: Approximately 15 percent of all the couples are involuntarily
childless in reproductive ages. The ability to reproduce and give birth to a
child is an important part of the human beings life; thus, infertility can cause
anxiety for the infertile people. Therefore, this study aimed to investigate
men's experiences from male infertility. METHODS: This was a descriptive
phenomenological study. The data were collected using in-depth interview of ten
infertile men. The interviews were taped and then transcribed on the paper for
analyzing through seven-step Colaizzi method. Considering that in qualitative
studies, study population is not considered, therefore there was no limitation in
location for collecting the data and the participants selected from the infertile
men of the society. RESULTS: FOUR MAIN CONCEPTS WERE OBTAINED IN ASSOCIATION WITH
INFERTILITY PHENOMENON: individual stress, challenges in communication, problems
associated with treatment process and the effects of beliefs and religious
attitude. CONCLUSIONS: According to the results of this study, it seems that all
the different life aspects of infertile were affected by infertility. Thus,
designing and conducting conductive and supportive programs plays an important
role for providing better care for infertile men.
PMID- 22069399
TI - Assessing the nursing error rate and related factors from the view of nursing
staff.
AB - BACKGROUND: Error is an inevitable aspect of all the professions particularly
health and treatment-related jobs and it should be acknowledged that committing
it cannot absolutely be preventable. Among the causes that necessitate the
evaluation of nursing errors, it should be noted that errors not only lead to
damage and mortality for the patients, but also they are one of the obvious and
costly problems in the hospitals. It is believed that nurses have the focal role
in committing nursing errors.The main objective of this study was to identify
nursing errors and the related factors. METHODS: This was a descriptive
correlative study which was conducted in 2010. 239 nurses and head nurses who
were working in the selected hospitals in Isfahan were selected randomly and
participated in this research. In order to collect the data, two questionnaires
were used, each one consisting of three sections of the demographic data,
questions about the type of error and the effective factors for making the
errors. RESULTS: The highest rate of error was reported about the lack of
compiling and reviewing the medical history of the patient (31.75%) and also
disregarding the appropriate time for prescription of the medicine (31.75%).
Besides, the nurses and head nurses believed in more than one factor for
committing the errors and mentioned the managerial factors (84.2%) and patient
related factors (50.5%) as the most important and the least important causes for
the commitment of errors respectively. Moreover, there was a direct relationship
between gender, ward, and having an extra job with the score of the nursing
errors. CONCLUSIONS: Attempts for reducing and controlling the nursing errors can
rely on the usage of systemic approaches for assessing the effective factors,
removing these factors as much as possible, and designing a system for increasing
the level of reporting these errors for identifying the weak points and
jeopardizing factors.
PMID- 22069400
TI - Assessing the effect of high school students' training program on peers
performance suffering from asthma.
AB - BACKGROUND: Asthma is the most common disease in childhood which is considered as
the forerunner of the acute diseases and simply can cause disability among the
children. Since childhood and adolescence are the most important periods of
growth and perfection and incidence of asthma can bring about distortion in this
process, the present study done aimed to assess the effect of conducting high
school training program on peers' performance with asthma. METHODS: This was a
quasi-experimental study in which the performance rate of the students at the
time of artificial attack of asthma was directly observed, assessed and compared
through demographic data questionnaire and performance assessment check list.
Eighty individuals from the second grade of high school students in 2010 in
Isfahan City were randomly selected to participate in the present study, among
which, 40 individuals were entered in the test group and 40 of them also were
placed in the control group. After conducting the training program for the test
group, which had been designed both by direct method (in person and face to face
by asking and answering and group discussion) and by indirect method (using
pamphlet and other educational materials), the level of the training
effectiveness was assessed on the students' performance. RESULTS: The findings of
the present study indicated that the performance of students at the test group
increased from 2.2 (0.6) to 91.8 (1.3) which emphasized that the training program
for the young adolescent peers had a positive effect on promoting their health.
CONCLUSIONS: Considering the results of the present study and regarding to the
importance and role of students as the future makers of the country, and also the
cost-effectiveness of the training programs and the positive effect of peers on
increasing the level of health among the students with asthma and consequently
decreasing the school absence, it obviously seems necessary to generalize and
expand these training programs.
PMID- 22069401
TI - Reviewing the effect of two methods of educational package and social inoculation
on changing the attitudes towards domestic violence against women.
AB - BACKGROUND: Domestic violence (DV) is a serious problem all over the world which
had been extended to boundaries of countries, economical, cultural and racial
classes. 10-69 percent of women are exposed to physical, sexual and emotional
violence which has numerous physical and emotional consequences. Therefore,
males' attitude towards women is one of the strongest predicting factors of
violence against women, so one of the ways to remove the violence against women
is to change the men's attitude. Changing the attitude, in the basic stages of
the marriage for couples who are not yet involved with marital misunderstandings,
will probably influence their future relationships, therefore, the present study
reviewed two attitude changing methods of educational package (EP) and social
inoculation (SI) which were used to change the attitude among male volunteers
participating in the premarital counseling classes. METHODS: This was a semi
experimental study aimed to determine the influence of two methods of supplying
EP and SI on the change of attitude toward DV against women. The study subjects
included male volunteers participating in Isfahan Molla Hadi Sabzevari Premarital
Counseling Center, where the attitudes of 183 subjects were investigated
primarily using simple sampling method. Ninety-nine subjects who had positive
attitude toward DV were selected and then, randomly distributed into three 33
subject groups. The first was SI group, the second was EP supply group and the
third was the control group. Data collection tool was an evaluating questionnaire
of the attitude toward DV against women which consisted of two parts, demographic
data and 34 attitude evaluating questions. Scientific validity was determined by
content validity method and scientific reliability was determined using
Cronbach's alpha. Analyzing the data was done using descriptive (frequency and
mean), analytical statistical methods (paired-t, independent t-tests, ANOVA,
Duncan, Kruskal-Wallis and chi-square) and SPSS software. RESULTS: Among 183
participants, 99 of them (54%) had a positive attitude with an average score of
46.5 and 84 of them (45%) with an average score of 29 had a negative attitude
toward violence against women. Before the intervention, the highest violence
attitude was in emotional, physical and sexual areas, respectively. After the
intervention in the inoculation group, the highest attitude changes were in
physical, sexual and emotional areas, respectively and in the EP group, in total
the attitude changes score after the intervention was significant compared to
prior to it, although changes were observed only in physical and sexual areas. In
SI group, more attitude changes were occurred than that in EP group. In control
group, the attitude score after the intervention had no significant change
compared to it before. CONCLUSIONS: Although attitude change has no guaranty for
changing the behavior, in order to change the behavior, changing the attitude is
required, in which for violence against women, it is better to be started at the
beginning of the marital relationship. The SI method has been successfully
applied in psychology Sciences and the health programs, but the EP method is not
an effective method on its own to change the attitude, so it is better to be
accompanied by other methods like group discussion, etc.
PMID- 22069402
TI - Evaluation of men's participation in group training of their wives in family
planning programs.
AB - BACKGROUND: Population growth is still a global concern. In spite of the decrease
in population growth and conception rate, Iran and the world population will grow
in coming years. Participation of men in contraception interventions to control
population growth is of great importance. METHODS: This is a quasi-experimental
study, in which the authors provided group training of contraceptive methods to
53 women, and then evaluated the effect of training on the dependent variable of
husbands' participation in family planning. The data was gathered using a
questionnaire and a checklist, and then was analyzed using SPSS software, by
paired t-test. RESULTS: The results indicated that the mean score of knowledge
level of the case group members and their husbands changed significantly after
the training program (p < 0.001). Also, it was found that 51% of the participants
and their husbands used contraceptive methods after the training program.
CONCLUSIONS: Considering the results, providing group training for couples to
increase the participation rate of men in family planning programs is
recommended.
PMID- 22069403
TI - Assessing the effect of two praying methods on the life quality of patients
suffering from cancer hospitalized at Seyedo Shohada medical center of Isfahan
University of Medical Sciences.
AB - BACKGROUND: Life quality and its promotional strategies among patients with acute
and debilitating diseases, especially cancer, have been considered from a long
time ago by medical and nursing societies. One of the methods to promote the
patient's life quality is spiritual care which can be in form of prayer. The
results of the studies done about the choice of the best praying method for the
patients involves a lot of challenges. Thus, the researchers decided to examine
the effect of two individual and choral praying methods on the life quality of
the cancer-stricken patients. METHODS: The present study was conducted in two
staged clinical trial using pre-post test administration in which the researcher
examined the effect of two individual and choral praying methods on the life
quality of 70 cancer-stricken patients. Data collection to assess the life
quality was performed by World Health Organization Brief Life Quality
Questionnaire. RESULTS: The current research showed that the life quality score
was increased in the individual-choral group after the intervention. Also,
comparing the average life quality score in the two groups of individual and
choral praying revealed a significant difference in which the quality of life had
improved more in the choral praying group than in the individual praying group.
CONCLUSIONS: The findings of the present study indicated the positive effect of
praying and supplication on the life quality of cancer-stricken patients.
Generally, choral praying has a better effect on the life quality of cancer
stricken patients compared with praying individually. More studies with a larger
sample size are suggested in order to verify the effect of praying on the life
quality of cancer-stricken patients.
PMID- 22069404
TI - Reviewing the effect of reflexology on the pain and certain features and outcomes
of the labor on the primiparous women.
AB - BACKGROUND: Reflexology is one of the non-pharmacological pain relief methods,
and since it is a non-invasive, inexpensive and applicable technique, it can be
used by a skilled and trained midwife. This study aimed to review the effect of
reflexology on the pain and outcomes of the labor. METHODS: In this quasi
experimental study, 88 primiparous mothers referred to selected hospitals of
Isfahan for vaginal delivery were selected using simple random sampling method
and then randomized in two groups. Data collection tools were the demographic
data questionnaire, profile and outcomes of the labor and the short-form of the
McGill Questionnaire for Pain Rating Index (PRI) assessment. The intervention was
general and specific reflexology in the active phase of labor. PRI was assessed
before the intervention and four times after the intervention (3-5 cm, 6-8 cm and
9-10 cm dilatations and second stage of labor. RESULTS: There was no significant
difference between groups before intervention. In the reflexology group, there
was a significant difference between the PRI before and after the 4 stages
intervention (p < 0.001). PRI was different significantly between studied groups
after intervention (p < 0.001). The length of active phase of labor was different
significantly between the two groups; but this difference was not significant
during the second (p = 0.29), and the third (p = 0.27) stages. The difference
between the 1(st) minute and the 5(th) minute Apgar score (p < 0.001) and rate of
hemorrhage between the two groups were different significantly (p = 0.02).
CONCLUSIONS: Reflexology can lead to decrease in the labor pain. Therefore,
regarding to the safety of this technique, it can be replaced as an alternative
for pharmacological methods.
PMID- 22069405
TI - Proposing a syllabus for the operation room B.S. courses in Iran.
AB - BACKGROUND: Education is based upon the knowledge, skills, and attitudes that are
required for an occupation, and the changes occurring in the occupations and
duties as well as in the ideals and values necessitate constant needs analysis.
Furthermore, owing to the transformations in sciences, especially medical
sciences, the current syllabus for the operation room courses at associate level
will not meet the requirements for operation room personnel in future. Therefore,
the syllabus for operation room B.S. was developed and proposed in a research
project entitled "Study of the international syllabus for the operation room
courses and proposing an appropriate syllabus for the courses in Iran." Since the
operation room courses at B.S. level are supposed to be introduced in Iranian
universities, we intended to learn about the opinions of other people related to
this subject in Iran. METHODS: In this research, a questionnaire was used that
contained the syllabus proposed for the operation room B.S. courses, which was
the result of a research project entitled "Study of the international syllabus
for the operation room courses and proposing an appropriate syllabus for the
courses in Iran." To develop this syllabus, 12 heads of the operation room
departments in universities across Iran in which the subject matter was being
taught at associate level were consulted. RESULTS: The study showed that 14 out
of the 53 courses proposed in the syllabus had a desirability level of 100%, 22
courses were desirable at levels of 91-100%, 19 were 75-90% desirable, and no
courses had a desirability level less than 75%. After carrying out some
modifications to the syllabus, the problems were resolved and the opinions were
again asked. When a consensus of greater than 70% was reached, the syllabus for
the operation room courses at B.S. level was finalized and proposed. The
regulations from the Development, Planning, and Evaluation Office of the Ministry
of Health were also followed. CONCLUSIONS: Although all the courses showed a
desirability level of greater than 70%, receiving appropriate suggestions about
some courses led the research team to carry out major or minor modifications to
some of the courses.
PMID- 22069406
TI - Assessing the effect of community health nursing care management at home on war
worn soldiers' physical problems suffering from spinal cord complications
(urinary infection, bedsore).
AB - BACKGROUND: Veterans are among the highly-susceptible and highly-esteemed groups
of the society. there is no correct, principled, and comprehensive programming
with respect to home-nursing care for them. METHODS: In this quasi-experimental
study, 26 veterans with spinal cord complications, with a 70-percent damage who
were resident of Najaf Abad, Iran were concluded. The data were gathered by a
checklist consisted of two parts, the first part included the demographic data
and the second part consisted of Para-clinical (clinical findings) assessment of
the veterans suffering from urinary infection, laboratorial assessments, and
assessing the bedsores. The researcher visited all the veterans and completed the
checklist by interviewing them. RESULTS: The mean age of the veterans was 45
(5.1) years and the highest frequency (53.8%) belonged to the age range of 40-44
years. The mean number of the family members was 4.4 people. The veterans who had
paraplegia damage included 88.6%. Considering the damage rate, the highest
frequency (69.2%) belonged to thoracic vertebra level. all the 26 veterans had
been suffering from urinal infection before the managerial intervention; however
20 subjects (76.9%) had urinal infection after the intervention. CONCLUSIONS: It
can be stated that pressure wounds are preventable and these caring measures can
be offered to susceptible groups of the community in a better and cheaper way if
more studies are done with a closer contact and a higher number of samples in
addition to have unison among the community-based systems.
PMID- 22069407
TI - The effect of participating in the labor preparation classes on maternal vitality
and positive affect during the pregnancy and after the labor.
AB - BACKGROUND: Pregnant women predispose to physical and emotional diseases.
Vitality and positive affect are against the depression and low energetic mood
Exercise and physical activities in pregnancy have short and long term
consequences for mothers and their growing fetus and affected in mood regulation.
Respiratory and relaxation skills could decrease stress, pain and use of
analgesics during labor. METHODS: In this study, 117 primigravida and
multigravida women (59 women in case and 58 in control groups) were enrolled.
Women in case group participated in delivery preparation classes since 20 weeks
of pregnancy for 8 sessions. The control group was just received routine
pregnancy care. The education was about pregnancy and delivery, physical
exercises and relaxation skills. Questionnaires of vitality and positive affect
toward the labor were completed three times: before intervention, after the 8(th)
session and after delivery to 2 weeks later by an interview. Data analyzed using
SPSS16 software and repeated measurement. RESULTS: There was a significant
difference in vitality and positive affect regarding type of delivery before and
after intervention and after delivery in case group(p < 0.0001).There was no
significant difference in vitality and positive affect scores after delivery and
after intervention (p < 0.083, p < 0.545). There was significant difference in
vitality and positive affect scores regarding between case and control groups
after the intervention and after the delivery (p < 0.001, p < 0.0001).
CONCLUSIONS: Considering the results of this study, it could be recommended that
all pregnant women should contribute in delivery preparation classes to improve
their mood, confidence, vitality toward labor.
PMID- 22069408
TI - The effect of anger management by nursing staff on violence rate against them in
the emergency unit.
AB - BACKGROUND: Violence at work is considered as part of the occupational hazards
which can affect medical staff and have undesirable effects on quality of
patients care. Anger management training causes increases the ability of
individuals to change behavior and also can increase the ability of the
individual in controlling the excitation in the undesirable conditions. This
study aimed to determine the effect of anger management training program by
nursing staff on violence rate against them. METHODS: This was a two-group, two
phase, semi-experimental study. Sixty six qualified nurses employed in emergency
unit of Al-Zahra Hospital were divided into test and control groups. In this
study, the modified questionnaire of World Health Organization was used with
adequate validity and reliability to measure the violence rate and anger control.
Thereafter, the test group received anger management training for four 60-minute
sessions. RESULTS: The results of the study showed that there was no significant
difference between the two groups in terms of demographic characteristics except
marital status. In addition, there was a significant difference between the two
groups in frequency distribution of psychological violence against nurses after
the intervention, but there was no significant difference between the two groups
in frequency distribution of physical violence against nurses. CONCLUSIONS: The
results of this study corroborated the findings of the previous studies.
Therefore, increase in self-control and communication skills and problem solving
skills at the time of dealing with the patients and their relatives is a step in
reducing one of the factors of violence at workplace.
PMID- 22069409
TI - Investigating the therapeutic effect of vaginal cream containing garlic and thyme
compared to clotrimazole cream for the treatment of mycotic vaginitis.
AB - BACKGROUND: Vaginitis is the most prevalent gynecological problem for which women
look for treatment and is responsible for 10 million physician visits annually.
Use of herbal treatments has been recorded in many of patient groups as well as
in general populations to increase health level. The present study was conducted
with the purpose of determination and comparison of the effect of vaginal cream
containing garlic and thyme compared to clotrimazole cream for the treatment of
mycotic vaginitis. METHODS: This clinical trial is a prospective, multivariate,
single-blind, two-stage, two-group study with randomized assignment of samples
into the two groups. From the clinics in Isfahan in 2010, 64 cases (32
individuals in each group) entered the study. Data collection was accomplished by
demographic information questionnaire and clinical performance checklist, and
data analysis was performed by SPSS 16.0 software. RESULTS: Abundance
distributions of clinical symptoms (vaginal discharge; vulval erythema and
edema), patients' complaints (secretions, itching, dyspareunia, painful
urination, and vaginal irritation) and clinical symptoms (existence of
germinating hypha, acidity under 4.5, and culture of vaginal secretions) were
different in each group prior to and after the intervention; these factors
however were not different between the two groups before and after the
intervention. CONCLUSIONS: The vaginal cream containing garlic and thyme is
effective as much as clotrimazole vaginal cream for the treatment of candida
vaginitis and there is no difference between responses to treatment by these two
drugs.
PMID- 22069410
TI - Experiences of university faculty members regarding communication in work
environment.
AB - BACKGROUND: Individuals have different understandings, beliefs, values and
attitudes, and follow their own specific approach to express their feelings. The
present research was aimed to study the experiences of the faculty members of
nursing and midwifery department regarding communication in work environment.
METHODS: As the phenomenon to be studied in the current research is the human
experiences, the study was accomplished in nursing and midwifery department of
seven universities, including Isfahan, Shahid Beheshti, Tehran, Iran, Mashhad,
Shiraz, and Tabriz universities of medical sciences. The most common data
collection technique in qualitative studies is the participants' observations,
interview, and their written texts. In the present study, Collaizzi's seven steps
were applied. RESULTS: We interviewed 36 individuals, including 20 women and 16
men. The intra-organizational communications influence the physical and mental
health as well as performance of staffs in their work environment. CONCLUSIONS:
This study expressed in the themes formulated from statements of the units under
study.
PMID- 22069411
TI - The process of confrontation with disability in patients with spinal cord injury.
AB - BACKGROUND: Spinal injury can establish severe psychological outcomes for the
patient and his/her family which requires high adjustment. Health system staff
would be able to play their roles well in caring these patients provided with
knowing what steps spinal injured people should pass to handle their disability
and also what assistance they need in what stages from what sources. This study
aimed to explain the process of confrontation with disability in spinal cord
injured patients. METHODS: This was a qualitative study with grounded theory
approach which was performed in Strauss and Corbin proposed method on twenty
people with spinal cord injury who had past at least three months from their
spinal injury. Sampling was done in purposive and theoretical method, and
analysis of the results was also performed during constant comparative process.
RESULTS: Central concept in the data was support which was associated with other
concepts and affected them. The patients, with the help of internal and external
support could overcome their main problem that was disability feeling and
dependency on others and find a new definition for the self, and ultimately
achieve the sense of independence and autonomy. CONCLUSIONS: Knowing the process
of confrontation with disability along with better understanding of spinal cord
injured people would help health system staff to actualize and support their
potentials much better through strengthening internal resources and providing
appropriate supportive services of each individual.
PMID- 22069412
TI - A comparative study on attitude of contraceptive methods users towards common
contraceptive methods.
AB - BACKGROUND: Family planning is a method of thinking and a life style which is
chosen voluntarily and according to the attitudes and responsible determination
of the couples in order to promote the hygiene and convenience of the family.
This study aimed to identify and compare the attitudes of the users of common
contraceptive methods with regard to each method separately. METHODS: The
descriptive study was conducted in 2010. The study samples included 378 women
using common contraceptive methods as LD pills, IUD (intrauterine devices),
condom, withdrawal, tubectomy (females sterilization) and vasectomy as well as
withdrawal method. The samples were selected through systemic random sampling
from 9 health care centers. The data collection tool was a researcher-made. In
order to determine the validity and reliability of the questionnaires, the
content validity and Cronbach's alpha correlation coefficient methods were used.
In order to analyze the data, the descriptive and inferential statistical methods
(ANOVA) were used. RESULTS: Mean score of attitude regarding different
contraceptive methods in the group who were users of the same method was above
the users of all the methods; however, total attitude score toward the
contraceptive methods was approximately similar to each other in all the groups
and there was no significant difference among the different groups. CONCLUSIONS:
The findings of this study showed that attitude is an important factor in
choosing the contraceptive methods; therefore, this issue should to be taken into
account by the family planning planners and consultants.
PMID- 22069413
TI - Comparing the effects of reflexology methods and Ibuprofen administration on
dysmenorrhea in female students of Isfahan University of Medical Sciences.
AB - BACKGROUND: Dysmenorrhea or menstrual pain is one of the most common disorders
experienced by 50% of women in their reproductive age. Adverse effects of medical
treatments and its failure rate of 20-25% have caused many women to seek other
complementary and alternative treatment methods for primary dysmenorrhea. Hence,
this study aimed to compare and determine the efficacy of reflexology and
Ibuprofen on reduction of pain intensity and duration of menstrual pain. METHODS:
This was a quasi-experimental clinical trial study on 68 students with primary
dysmenorrhea living in Isfahan University of Medical Sciences' dormitories.
Simple random sampling was done considering the inclusion criteria and then the
students were randomly divided into two groups. In the reflexology group, the
subjects received 10 reflexology sessions (40 minutes each) in two consecutive
mense cycles. The Ibuprofen group received Ibuprofen (400 mg), once every eight
hours for 3 days during 3 consecutive mense cycles. To assess the severity of
dysmenorrhea, Standard McGill Pain Questionnaire, visual analog scale (VAS) and
pain rating index (PRI) were used in this study. RESULTS: Findings of the study
showed that the two groups had no statistically significant difference in terms
of demographic characteristics (p > 0.05). Reflexology method was associated with
more reduction of intensity and duration of menstrual pain in comparison with
Ibuprofen therapy. Independent and Paired t-test showed that there was a
significant difference in the two groups between intensity and duration of
menstrual pain using VAS and PRI in each of the 3 cycles between reflexology and
Ibuprofen groups (p < 0.05). CONCLUSIONS: Considering the results of the study,
reflexology was superior to Ibuprofen on reducing dysmenorrhea and its treatment
effect continued even after discontinuing the intervention in the third cycle.
Therefore, considering that reflexology is a non-invasive, easy and cheap
technique, it seems that it can replace anti-inflammatory drugs (NSAIDs) to avoid
their adverse side effects.
PMID- 22069414
TI - Reviewing time intervals from onset of the symptoms to thrombolytic therapy in
patients with ST segment elevation myocardial infarction (STEMI).
AB - BACKGROUND: Coronary artery diseases are one of the causes of early death all
over the world. In developed countries, ischemic heart disease is responsible for
half of the entire mortalities; however in developing countries it is estimated
that from 9 million deaths per year, 32 percent are due to coronary artery
disease. Acute coronary syndromes are responsible for over 250,000 deaths per
year caused by progressive atherosclerotic process which would lead to rupture of
the atherosclerotic plaque and establishing thrombosis. This study aimed to
determine time intervals between the onset of the heart attack symptoms to
thrombolytic therapy in patients with ST segment elevation myocardial infarction
(STEMI) in selected hospitals of Isfahan University of Medical Sciences. METHODS:
In this study, 180 subjects with first time STEMI were enrolled by categorized
random sampling in cardiac care units of four hospitals affiliated to Isfahan
University of Medical Sciences during 9 months. Necessary information were
collected by asking the patients and reviewing their records. The data included
demographic, prehospital and in-hospital data of the patients. RESULTS: The mean
duration of time interval from the onset of heart attack symptoms to the first
action in order to seek medical care was 01:16'(01:36') (h:m [SD]), from the
symptoms onset to admission in emergency unit was 02:29'(02:00') and from
admission in emergency unit to administration of thrombolytic drug was
01:04'(01:14'). CONCLUSIONS: Time interval between onset of the acute coronary
symptoms and seek for medical care and arrival to the emergency unit in this
study had a better condition than other studies, but the interval between the
emergency admission and thrombolytic therapy was longer than other studies.
PMID- 22069415
TI - The effect of education on behavioral intention model of mothers' attitude
towards over weight preschool children's nutritional patterns.
AB - BACKGROUND: Obesity and over weight is one of the causes of threatening
children's present and future life. One of the factors that cause this problem is
parents' attitude toward preschool children's nutritional patterns. Education can
improve the parents' attitude and prevents from this big issue. This study aimed
to assess changes in mother's attitude and behavioral intention using intention
model. METHODS: This was a semi-experimental study with pre-test, post test
design. Forty-five mothers with overweight preschool children attended this
study. Sampling was based on cluster randomize sampling method. The researcher
made questionnaire which was used in this study was standardized through content
validity and test, re-test. RESULTS: Comparing the pretest and posttest scores
indicated a statistically significant difference in the attitude mean score. The
findings of this study suggest that attitude and behavioral intention about
nutritional patterns can be improved through parents' education based on
behavioral intention model such as attitude, subjective norm and behavioral
intention. CONCLUSIONS: In order to have healthy children, parents should improve
their knowledge about how they promote their attitude towards children's
nutritional pattern. Therefore, new model of education can optimize correct
healthy behavior.
PMID- 22069416
TI - Effect of communication skill training using group psychoeducation method on the
stress level of psychiatry ward nurses.
AB - BACKGROUND: Nursing is a dynamic and supportive job, with the main role of taking
care of patients. Maintaining appropriate communication of the nurse with the
patients is particularly known as the main core of care in mental health.
However, in spite of the importance of providing communication, one of the main
sources of stress in nurses of psychiatry wards is communication with the
patients. Some important reasons for inappropriate relationship between the nurse
and patient can be lack of necessary skills to communicate with patients because
of insufficient training. Although training communication skills is an important
part of the education of medical and paramedical students, in recent studies it
has been demonstrated that the communication skills learned in theoretical
courses would not necessarily be transferred to clinical settings, and proving
training in clinical settings is a must. The present study was carried out to
determine the effect of training communication skills using psychoeducation
method on the stress level of nurses of psychiatry wards in 2010. METHODS: This
is a quasi-experimental study. The participants were 45 nurses; 23 and 22 in the
experiment and control groups, respectively, working in psychiatry wards of Noor
and Farabi hospitals, Isfahan, Iran. The sampling was carried out by the census
method, and then the participants were randomly assigned to the two groups of
experiment and control, using random number table. The two groups filled out the
demographic data form and also the questionnaire on nurses' occupational stress,
designed by the researcher. The questionnaire was filled out three times; before,
immediately after, and one month after the training. Training of communication
skills was carried out using group psychoeducation method, in six sessions, each
lasted for 1.5 hours. The training sessions of the experiment group were held in
Farabi Hospital. RESULTS: The findings indicated that before the intervention,
the members of the two groups had a high level of occupational stress.
Immediately after the training, the stress level of the experiment group
decreased significantly, and the decrease was sustained for the following one
month. CONCLUSIONS: Training communicative skills using group psychoeducation
method can decrease the occupational stress of psychiatry ward nurses.
PMID- 22069417
TI - Evaluating the effect of magnesium and magnesium plus vitamin B6 supplement on
the severity of premenstrual syndrome.
AB - BACKGROUND: Since premenstrual syndrome (PMS) can cause suicide, dissociation of
familial relationships, abnormalities in the daily work and inter-personal
relationships in the patients, and bring about direct and indirect economic
burden for the society, it is important to resolve the problems of the patients.
The objective of the current study is to determine the effect of magnesium (Mg),
combination of vitamin B6 and Mg, and the placebo on the severity of PMS in the
patients affected by the disease referred to the health centers of Isfahan
University of Medical Sciences during 2009-2010. METHODS: The participants were
randomly assigned to two intervention groups and one control group. The study was
carried out for four months in ten selected health centers in Isfahan. To confirm
the PMS diagnosis in patients, they were asked to fill out the PMS daily symptom
record form for two months and then, when the diagnosis was confirmed, the
participants were randomly assigned to one of the three groups of the study (Mg,
Mg plus vitamin B6, and placebo). Medical intervention was carried out in two
menstrual cycles and the results of pre- and post-test were compared. RESULTS:
After the intervention, the mean score of PMS significantly decreased in all the
three groups (p < 0.05). The decrease was the greatest in the Mg plus vitamin B6
group, and was the least in the placebo group. CONCLUSIONS: The findings
indicated that Mg plus vitamin B6 and placebo has the greatest and the least
effect on the mean score of PMS, respectively.
PMID- 22069418
TI - Pediatric neurosurgery - Indian prospective.
PMID- 22069419
TI - Surgical management of Pott's disease of the spine in pediatric patients: A
single surgeon's experience of 8 years in a tertiary care center.
AB - STUDY DESIGN: A retrospective, case study of 64 pediatric patients who underwent
spinal surgery for Pott's spine and have minimum 6 months follow-up. OBJECTIVE:
The aim of this study was to evaluate the surgical management and outcome of 64
pediatric Pott's spine cases operated by single surgeon over last 8 years, with a
minimum follow-up of 6 months. The prognostic significance and the outcome of the
demographic factors, location of the disease, and its surgical approach were also
evaluated in these patients. MATERIALS AND METHODS: The data collected
retrospectively from the institute's record case sheets of the 64 pediatric
patients with Pott's disease of the spine, operated between 2002 and 2010 in the
Department of Neurosurgery, Sanjay Gandhi Post Graduate Institute of Medical
Sciences (SGPGIMS), Lucknow, were analyzed. The study population consisted of 40
male and 24 female pediatric patients. Clinical findings included back pain,
paraparesis, kyphosis, fever, sensory disturbance, and bowel and bladder
dysfunction. RESULTS: The most common region of Pott's disease was the thoracic
region [21/64 (33%)] followed by craniovertebral junction (CVJ) [17/64 (27%)]. At
presentation, all of the patients had neurological features of spinal cord
compression. All patients were treated with antituberculous chemotherapy which
was continued after the surgical intervention also. Transthoracic surgical
approach [18/64 (28%)] was the most frequently required surgery followed by
transoral decompression with posterior fusion [12/64 (19%)] depending on the site
of disease and compression of neuraxis. Initially, more than two-third of the
patients were in poor grade of Kumar and Kalra scoring as well as modified Kumar
and Kalra scoring, which were reduced to about one fifth after the surgical
intervention, and the outcome was good as the condition of 46 patients (72%)
improved significantly. CONCLUSION: Currently, treatment of spinal tubercular
infections requires a multidisciplinary team that includes infectious diseases
experts, neuroradiologists, and spine surgeons. The key to successful management
is early detection and timely and judicious surgical intervention, the decision
of which needs to be taken in view of clinicoradiological compression of the
spinal cord and nerve roots, age of the patient and responsiveness of ATT.
PMID- 22069420
TI - Pediatric aneurysms and vein of Galen malformations.
AB - Pediatric aneurysms are different from adult aneurysms - they are more rare, are
giant and in the posterior circulation more frequently than in adults and may be
associated with congenital disorders. Infectious and traumatic aneursyms are also
seen more frequently. Vein of Galen malformations are even rarer entities. They
may be of choroidal or mural type. Based on the degree of AV shunting they may
present with failure to thrive, with hydrocephalus or in severe cases with heart
failure. The only possible treatment is by endovascular techniques - both
transarterial and transvenous routes are employed. Rarely transtorcular approach
is needed. These cases should be managed by an experienced neurointerventionist.
PMID- 22069421
TI - Hydrocephalus Indian scenario - A review.
AB - Hydrocephalus is a common clinical problem seen in pediatric neurosurgical
practice. Hydrocephalus involves dilatation of the cerebral ventricular system
with corresponding, compressive effects on the parenchyma. It can be
communicative or obstructive types. Congenital, acquired, infective, and
secondary hydrocephalus have different clinical features with different modality
of treatments. Ventriculoperitoneal shunt is the gold standard of treatment.
Endoscopic 3(rd) ventriculostomy is rapidly gaining prominence as an alternative.
Various kinds of hydrocephalus, their pathophysiology, treatment and
complications are reviewed.
PMID- 22069422
TI - Outcome of selective motor fasciculotomy in the treatment of upper limb
spasticity.
AB - OBJECTIVE: The objective was to assess the outcome of selective motor
fasciculotomy in relieving upper limb harmful resistant spasticity and thereby to
improve motor functions in persons with cerebral palsy. MATERIALS AND METHODS:
Twenty people having cerebral palsy (12 females and 8 males) with age ranging
from 5 to 35 (mean 12.85) years with upper limb resistant spasticity due to
spastic hemiplegia (n=7), triplegia (n=6), and quadriplegia (n=7) were assessed
using Modified Ashworth Scale, Selective Voluntary Control Grade, Wee FIM Scale
and hand function evaluation. Selective motor fasciculotomy was performed on the
musculocutaneous nerve (n=13) for elbow flexors spasticity, median nerve (n=24)
for pronators and radial wrist flexors spasticity and ulnar nerve (n=3) for ulnar
wrist flexors spasticity. Pre- and post-op therapeutic exercises were performed.
RESULTS: Statistical analysis using the Wilcoxon Signed Ranks test showed
significant reduction in spasticity and improvement in selective voluntary
control, hand functions (grasp to hold a 2 inch rod), and Wee FIM (self-care
domain in particular). There was no recurrence in spasticity and complications
following surgery. CONCLUSIONS: The selective motor fasciculotomy of
musculocutaneous, median, and ulnar nerves significantly reduces spasticity in
the affected muscle groups and thereby improves the self-care (motor) functions
in selected people with cerebral palsy who have harmful resistant spasticity
without any organic shortening of the muscles. The procedure is safe and the
spasticity does not recur.
PMID- 22069423
TI - Pediatric epilepsy: The Indian experience.
AB - Epilepsy is a common clinical entity in neurology clinics. The understanding of
the genetics of epilepsy has undergone a sea change prompting re-classification
by the International league against epilepsy recently. The prevalence rates of
epilepsy in India are similar to those of developed nations. However, the large
treatment gap is a major challenge to our public health system. Perinatal
injuries are a major causative factor in pediatric group. We have discussed a few
common etiologies such as neurocysticercosis and newer genetic epilepsy
syndromes. We have also briefly touched upon the Indian experience in pediatric
epilepsy surgery.
PMID- 22069424
TI - Epilepsy surgery in India.
AB - Modern epilepsy started in India in 1995 at Sri Chitra Tirunal Institute of
Medical Science and Technology, Trivandrum and at All India Institute of Medical
Sciences, New Delhi. At both centres the attempt was to get the program going
with patients having surgically remediable epilepsy syndromes -who could be
evaluated with non invasive investigations. The mainstay of the evaluation was a
good quality epilepsy specific MRI and video EEG coupled with a SPECT study and a
neuropsychological evaluation. Concordance of the focus on all investigations was
critical to a good outcome. There were several problems on the way - but they
were managed keeping in consideration our local needs and requirements.
Intraoperative electocorticography was done and good outcomes attained. The
critical determinants of success were the formation of a team with various
interdisciplinary specialists and a strong will to succeed.
PMID- 22069425
TI - Journal of pediatric neurosciences: 2006-2010.
PMID- 22069426
TI - Craniostenosis.
AB - Craniostenosis is a common problem in the pediatric neurosurgery departments. The
management of this problem is still evolving. Some misconceptions exist regarding
this condition particularly regarding the indications for surgery. The author
started performing this surgery nearly two decades ago. The experience gained
over time as well as the problems encountered are discussed.
PMID- 22069427
TI - Anterior encephalocele - AIIMS experience a series of 133 patients.
AB - BACKGROUND: Anterior encephaloceles are rare conditions. Except for a few places
from South East Asia, no large series has been published in the World literature.
MATERIALS AND METHODS: At AIIMS, we have managed 133 cases over a 40-year-period
from 1971 to 2010. Frontoethmoidal type was the most frequent, noticed in 104
patients, followed by nasopharyngeal nasal in 12 and orbital encephaloceles in 6
patients. OBSERVATION: Ten patients were adults over the age of 18 years and 15
patients were between 5 and 18 years of age. Swelling over the nose was reported
in all 104 patients with frontoethmoid type. In nasopharyngeal type, patients
presented with respiratory problem. Patients with orbital mass had proptosis, on
the side of encephalocele. Computed tomography (CT)/Magnetic resonance imaging
(MRI) was performed in 127 patients, which was able to delineate the bone defect
and associated brain anomalies. All the patients were subjected to repair of
encephalocele. Patients with hypertelorism required orbital osteotomies and
correction of deformity. OUTCOME: There were four deaths, all prior to 2000. No
death was encountered in the last 10 years. CSF leak was the commonest
postoperative complication, noticed in 24 patients. Overall cosmetic outcome was
good.
PMID- 22069429
TI - Development of pediatric neurosurgery in India.
AB - The development of pediatric neurosurgery in this country actually began with
holding of the 17(th) Annual Conference of the International Society for
Pediatric Neurosurgery (ISPN) in 1989 in Mumbai. It subsequently led to the
formation in 1990 of the Indian Society for Pediatric Neurosurgery (IndSPN),
which is responsible for organizing regular annual conferences and CMEs in
cooperation with the ISPN. The first three international CME programs were
arranged in 1992, 1994 and 1995, followed by the next three courses from 1998 to
2000. Subsequently, five more such programs were organized in 2002, 2004, 2007,
2009, and 2010. The official publication, Journal of Pediatric Neurosciences
(JPN), which was started in 2006, has also made good progress in the last 5
years. In the last 20 years, the IndSPN has made striking progress in the
development and growth of pediatric neurosurgery in the country and has
successfully managed to bring this subspeciality to an international level. The
IndSPN now has about 150 active members and quite a few of them practice mainly
pediatric neurosurgery. In large teaching institutions, pediatric neurosurgery
has been accepted as a subspeciality with a designated neurosurgeon taking care
of the pediatric patients. This augers well for the subspeciality as persons with
greater interest and expertise will be tackling its problems. Some of these
institutions have recently started or are in the process of starting the 1-year
fellowship training program in pediatric neurosurgery.
PMID- 22069428
TI - Spinal dysraphism.
AB - To review the clinical features and current understanding of spina bifida with an
emphasis on the Indian Scenario. Selected articles and current English language
texts were reviewed. The authors experience was also reviewed and analysed. Spina
bifida is a common congenital anomaly encompassing a wide spectrum of neural tube
defects.It is broadly classified as spina bifida aperta and occulta. With the
prenatal screening, the incidence of aperta is gradually declining, whereas the
detection of occulta has increased with the advent of magnetic resonance imaging.
Over the years, the understanding of pathophysiology has made a significant
changein the management of these anomalies. Early detection and complete
correction can significantly reduce the neurological disability. This article is
an overview of spina bifida with a special emphasis on Indian scenario.
PMID- 22069430
TI - Split cord malformation - A study of 300 cases at AIIMS 1990-2006.
AB - BACKGROUND: Split cord malformation (SCM) is a rare condition. With decreasing
incidence of neural tube defect (NTD) in the West, the reports of SCM are getting
lesser and lesser. However, in India, spinal dysraphism is still a major problem
encountered by the neurosurgeons. OBJECTIVE: Our aim was to analyze 300 patients
of SCM for their clinical features, radiological findings and outcome of surgery,
which can throw light on the subject to others, who have less scope of finding
these cases frequently. MATERIALS AND METHODS: Over a 16-year period, we
encountered 300 cases of SCM at AIIMS. Over the same period, more than 1500 cases
of NTD were managed. SCM was noticed in 20% of cases with NTD. Skin stigmata were
noted in two-third of the cases, and scoliosis and foot deformity were observed
in 50% and 48% cases, respectively. Motor and sensory deficits were observed in
80% and 70% cases, respectively. Commonest site affected was lumbar or
dorsolumbar (55% and 23%, respectively). In 3% cases, it was cervical in
location. Magnetic resonance imaging (MRI) scan revealed a large number of
anomalies like lipoma, neuroenteric cyst, thick filum and dermoid or epidermoid
cysts. All the patients were surgically treated. In type I, bony spurs were
excised, and in type II, bands tethering the cord were released. Associated
anomalies were managed in the same sitting. Patients were followed up from 3
months to 3 years. RESULTS: Overall improvement was noticed in 50% and
stabilization in 44% cases and deterioration of neurological status was recorded
in 6% cases. However, 50% of those who deteriorated improved to preop status
prior to discharge, 7-10 days following surgery. CONCLUSIONS: SCM is rare and not
many large series are available. We operated 300 cases and noticed a large number
of associated anomalies and also multilevel and multisite splits. Improvement or
stabilization was noted in 94% and deterioration in 6% cases. We recommended
prophylactic surgery for our asymptomatic patients.
PMID- 22069431
TI - Pediatric suprasellar lesions.
AB - Pediatric brain tumors have always been challenging as well as intriguing in
their anatomical, surgical, and postsurgical management-related issues. They are
a heterogeneous set of pathologies involving different age groups in childhood
and also differ widely from their adult counterparts as far as adjuvant therapies
are concerned. Though neurosurgeons across the world are radical in surgery for
most of the pediatric tumors, it can often be at the cost of future quality of
life in suprasellar tumors. As the time has gone by, the pendulum has swung
toward rather conservative and maximal safe surgical resections with adjuvant
therapies coming to the forefront. Hence, the aim is to achieve a good quality of
life for these children along with a control of tumor growth (rather than cure)
and to again tackle the tumors, if required, once these children reach
adolescence or adulthood. We have reviewed the literature for different pediatric
suprasellar tumors and discussed their current management giving our perspective
with illustrative cases.
PMID- 22069432
TI - Tumors of the posterior third ventricular region in pediatric patients: The
Indian perspective and a review of literature.
AB - BACKGROUND: Diverse tumors in the posterior third ventricular region (TPTVR)
frequently occur in children. A decade's experience with pediatric TPTVR is
presented, focusing on the Indian perspective. MATERIALS AND METHODS: 25 children
(age range: 3-18 years; mean age: 13.32 years; presentation range: 7 days-2.5
years) had clinico-radiological assessment with contrast computed tomography (CT)
and magnetic resonance imaging (MRI). The ventricular/lumbar cerebrospinal fluid
(CSF) alpha feto protein (AFP)/beta human chorionic gonadotrophin (HCG)
estimation was done when radiological suspicion of a germ cell tumor was present.
Extent of resection was deemed partial when some tumor mass remained at the end
of surgery, near total when <10% was retained over vital neurovascular
structures, and total when complete resection was attained. RESULTS: Operations
included infratentorial supracerebellar approach (n = 12), occipito
transtentorial approach (n = 2), endoscopic biopsy and third ventriculostomy (n =
1), frontal parasagittal craniotomy, interhemispheric transcallosal subchoroidal
approach (n = 2), middle temporal gyrus transcortical transventricular approach
(n = 1), fronto-temporo-zygomatic combined transylvian and subtemporal approach
(n = 1) and right ventriculoperitoneal shunt and stereotactic biopsy (n = 1).
Only CSF diversion was performed for five patients with a small TPTVR. CSF
diversion was required in 12 (48%) patients. Tumor pathology included
pinealoblastoma (n = 4; one with pineocytic differentiation), nongerminomatous
germ cell tumor (NGGCT; n = 3), germinoma (n = 3), pilocytic astrocytoma (n = 2),
epidermoid (n = 3) and primitive neuroectodermal tumor (PNET), fibrillary
astrocytoma, glioblastoma, teratoma, and meningioma (n = 1, respectively). A
patient with neurocysticercosis was diagnosed solely on MRI (four did not undergo
biopsy). Fractionated radiotherapy was administered in 13 patients with primary
pineal tumors, PNET, NGGCT, fibrillary astrocytoma and glioblastoma. Extent of
excision was total in 10 (40%), near total in 5 (20%), partial in 3 (12%) and a
biopsy in 2 (8%) patients. CONCLUSIONS: Histopathologic characterization of TPTVR
is essential prior to their further management. Benign lesions often have a good
prognosis following gross total surgical resection. Pure germinomas are highly
susceptible to radiotherapy. NGGCTs often have malignant components that require
adjuvant therapy following surgery. The advancements in microsurgical techniques
have led to gratifying perioperative results in these deep-seated lesions.
PMID- 22069433
TI - Management of posterior fossa gliomas in children.
AB - Brain tumours form the most common type of solid tumour in children and more that
50% of these are infratentorial. Cerebellar astrocytomas and brain stem gliomas
are the commonest posterior fossa glial tumours in children. Cerebellar
astrocytomas represent up to 10% of all primary brain tumours and up to 25% of
posterior fossa tumors in children, with Low grade gliomas forming the commonest
of the cerebellar gliomas. They commonly present with symptoms and signs of
raised intracranial pressure due to obstructive hydrocephalus. Radiologically
they may be solid or cystic with or without a mural nodule. Surgical excision is
the mainstay of treatment and forms the most consistent factor influencing
progression free and long term survival. While majority of the tumours are
pilocytic astrocytomas, they may also be fibrillary astrocytomas or even high
grade tumours. Tumour histology does not appear to be an independent factor in
the prognosis of these children, and therefore no palliative treatment after
surgery is advocated. Brain stem gliomas account for approximately 10% of all
pediatric brain tumours. Cranial nerve signs, ataxia and cerebellar signs with or
without symptoms and signs of raised intracranial pressure are classically
described symptoms and signs. Radiographic findings and clinical correlates can
be used to categorize brain stem tumours into four types: diffuse, focal,
exophytic and cervicomedullary. Histologically most brain stem gliomas are
fibrillary astrocytomas. Diffuse brain stem gliomas are the most commonly seen
tumour in the brain stem. These lesions are malignant high grade fibrillary
astrocytomas. Focal tumours of the brain stem are demarcated lesions generally
less than 2 cms in size, without associated edema. Most commonly seen in the
midbrain or medulla, they form a heterogeneous pathological group, showing
indolent growth except when the lesion is a PNET. Dorsally exophytic tumours lie
in the fourth ventricle, while cervicomedullary lesions are similar to spinal
intramedullary tumours. Expanding lesions are the only lesions amenable for
excision while infiltrative and ventral lesions are not.
PMID- 22069435
TI - Intramedullary tumors in children.
AB - Intramedullary tumors of the spinal cord account for 35-40% of intraspinal tumors
in children. The biological behavior of these tumors is of slow progression, and
hence aggressive surgery has been advocated. Surgical adjuncts include use of
intraoperative neurophysiological monitoring, preoperative ultrasound,
microsurgical techniques and ultrasonic suction devices. Osteoplastic
laminoplasty approaches avoid post-laminectomy deformities in younger children.
Postoperative radiotherapy and more recently chemotherapy regimes have been
proposed for incompletely resected tumors.
PMID- 22069434
TI - Medulloblastoma in childhood-King Edward Memorial hospital surgical experience
and review: Comparative analysis of the case series of 365 patients.
AB - AIM: Medulloblastoma is one of the most common posterior fossa tumors in
childhood. The treatment-related side effects as well as predictive outcome still
remain as a major challenge. The improved understanding of the disease and
advances in molecular biology is changing the treatment paradigms from Chang's
staging system to molecular risk stratification. However, surgery still remains
as an important mainstay of therapy and is formidable. The role of radical
surgery has always been a crucial factor in the outcome of these patients, the
best survival being reported in patients who had total excision of the tumor and
with no metastasis. PATIENT AND METHODS: An analysis of 365 patients (age<18
years) of medulloblastoma who underwent treatment at the Seth G.S. Medical
College and King Edward VII Memorial hospital (KEM), Mumbai over a 25- year
period (1985-2000 and 2001-2010) is presented. The clinical profile, radiological
features, pathology and surgical nuances are discussed. RESULTS: The most common
age group affected was between 3 and 12 years. 75.3% presented with headaches,
vomiting and 63.2% with papilledema. Sitting position was used in majority of
cases. A total of 8 patients underwent shunting; all of them were in the
postoperative period (5.19%). 92.2% (142 cases) had classical medulloblastoma,
5.1% (8 cases) had desmoplastic variant, 1.9% (3 cases) had anaplastic changes
and 0.6% (1 case) had glial differentiation. The 5-year and 10-year progression
free survival rate was 73 and 41% for average risk disease while for high risk
disease rate it was 34%. The mortality rate was 2%. The quality of life was
enhanced in patients who survived 5-10 years after treatment. CONCLUSION: Surgery
for medulloblastoma is formidable. The option of sitting position for
medulloblastoma surgery is still viable. A vigilant neuroanesthesiologist and a
safe surgery are necessary to achieve a good postoperative result. Radiological
characteristics are helpful adjuncts for determining effective surgical strategy.
Permanent CSF drainage can be avoided in majority of patients and can be
definitively considered in progressive symptomatic hydrocephalus. A safe maximal
resection and a good Karnofsky score are paramount to ensure compliance with
adjuvant therapy and contribute to an overall survival advantage.
PMID- 22069436
TI - Pediatric bony craniovertebral junction abnormalities: Institutional experience
of 10 years.
AB - OBJECTIVE: To study the clinical features and treatment outcome of pediatric
patients with bony craniovertebral abnormalities. MATERIALS AND METHODS: The
authors studied 189 consecutive cases of pediatric bony craniovertebral junction
abnormalities operated between 2001 and March, 2010. RESULTS: The pathologies
were developmental (n = 162), traumatic (n = 18) and tuberculous (n = 9).
Surgical procedures included transoral decompression (n = 118), occipitocervical
fusion (OCF, n = 139), C 1 -C 2 fusion (n = 45), and posterior fossa
decompression (n = 5). Methods for OCF included contoured stainless steel rods (n
= 86), titanium lateral mass screws and plates (n = 47) and steel wires (n = 6).
Constructs of all patients of posterior fixation with contoured rods and wires or
lateral mass screw and rod who could be followed up were either stable/fused or
were fused and stable. No implant failure was noticed among these two surgical
procedures. However, 6 patients with C 1-C 2 fusion had broken wires on follow-up
requiring repeat posterior fixation. Good neurological outcome was observed even
in poor-grade patients. No significant effect on the curvature or growth of the
spine was observed at follow-up. CONCLUSIONS: Pediatric craniovertebral junction
anomalies can be managed successfully with good outcomes using a low cost
contoured rod and wires.
PMID- 22069437
TI - Brain tuberculomas, tubercular meningitis, and post-tubercular hydrocephalus in
children.
AB - Central nervous system tuberculosis in children presents commonly as tubercular
meningitis, post-tubercular meningitis hydrocephalus, and much more rarely as
space-occupying lesions known as tuberculomas. The occurrence of this condition,
though previously reported only in the developing world, is now frequently
reported in human immunodeficiency virus positive migrants in the western world.
The exact pathogenesis of this condition is still incompletely understood, and
the mainstay of treatment is chemotherapeutic regimes. Neurosurgical intervention
is rarely necessary, and is confined to cases of hydrocephalus after tubercular
meningitis and to large tubeculomas with space-occupying effects.
PMID- 22069438
TI - Lexical knowledge without a lexicon?
AB - Although for many years a sharp distinction has been made in language research
between rules and words - with primary interest on rules - this distinction is
now blurred in many theories. If anything, the focus of attention has shifted in
recent years in favor of words. Results from many different areas of language
research suggest that the lexicon is representationally rich, that it is the
source of much productive behavior, and that lexically specific information plays
a critical and early role in the interpretation of grammatical structure. But how
much information can or should be placed in the lexicon? This is the question I
address here. I review a set of studies whose results indicate that event
knowledge plays a significant role in early stages of sentence processing and
structural analysis. This poses a conundrum for traditional views of the lexicon.
Either the lexicon must be expanded to include factors that do not plausibly seem
to belong there; or else virtually all information about word meaning is removed,
leaving the lexicon impoverished. I suggest a third alternative, which provides a
way to account for lexical knowledge without a mental lexicon.
PMID- 22069444
TI - Efficiency of peptide nucleic acid-directed PCR clamping and its application in
the investigation of natural diets of the Japanese eel leptocephali.
AB - Polymerase chain reaction (PCR)-clamping using blocking primer and DNA-analogs,
such as peptide nucleotide acid (PNA), may be used to selectively amplify target
DNA for molecular diet analysis. We investigated PCR-clamping efficiency by
studying PNA position and mismatch with complementary DNA by designing PNAs at
five different positions on the nuclear rDNA internal transcribed spacer 1 of the
Japanese eel Anguilla japonica in association with intra-specific nucleotide
substitutions. All five PNAs were observed to efficiently inhibit amplification
of a fully complementary DNA template. One mismatch between PNA and template DNA
inhibited amplification of the template DNA, while two or more mismatches did
not. DNA samples extracted from dorsal muscle and intestine of eight wild-caught
leptochephalus larvae were subjected to this analysis, followed by cloning,
nucleotide sequence analysis, and database homology search. Among 12 sequence
types obtained from the intestine sample, six were identified as fungi. No
sequence similarities were found in the database for the remaining six types,
which were not related to one another. These results, in conjunction with our
laboratory observations on larval feeding, suggest that eel leptocephali may not
be dependent upon living plankton for their food source.
PMID- 22069443
TI - Putting into practice domain-linear motif interaction predictions for exploration
of protein networks.
AB - PDZ domains recognise short sequence motifs at the extreme C-termini of proteins.
A model based on microarray data has been recently published for predicting the
binding preferences of PDZ domains to five residue long C-terminal sequences.
Here we investigated the potential of this predictor for discovering novel
protein interactions that involve PDZ domains. When tested on real negative data
assembled from published literature, the predictor displayed a high false
positive rate (FPR). We predicted and experimentally validated interactions
between four PDZ domains derived from the human proteins MAGI1 and SCRIB and 19
peptides derived from human and viral C-termini of proteins. Measured binding
intensities did not correlate with prediction scores, and the high FPR of the
predictor was confirmed. Results indicate that limitations of the predictor may
arise from an incomplete model definition and improper training of the model.
Taking into account these limitations, we identified several novel putative
interactions between PDZ domains of MAGI1 and SCRIB and the C-termini of the
proteins FZD4, ARHGAP6, NET1, TANC1, GLUT7, MARCH3, MAS, ABC1, DLL1, TMEM215 and
CYSLTR2. These proteins are localised to the membrane or suggested to act close
to it and are often involved in G protein signalling. Furthermore, we showed
that, while extension of minimal interacting domains or peptides toward tandem
constructs or longer peptides never suppressed their ability to interact, the
measured affinities and inferred specificity patterns often changed
significantly. This suggests that if protein fragments interact, the full length
proteins are also likely to interact, albeit possibly with altered affinities and
specificities. Therefore, predictors dealing with protein fragments are promising
tools for discovering protein interaction networks but their application to
predict binding preferences within networks may be limited.
PMID- 22069445
TI - Reduction of plasma gelsolin levels correlates with development of multiple organ
dysfunction syndrome and fatal outcome in burn patients.
AB - BACKGROUND: Depletion of the circulating actin-binding protein, plasma gelsolin
(pGSN) has been described in critically ill surgical patients. We hypothesized
that the extent of pGSN reduction might correlate with different outcome of burn
patients. The study was performed to evaluate the prognostic implications of pGSN
levels on the development of multiple organ dysfunction syndrome (MODS) and fatal
outcome in a group of severely burn patients. METHODS AND FINDINGS: 95 patients
were included, and they were divided into three groups with different burn area:
group I (n = 33), group II (n = 32) and group III (n = 30). According to whether
there was development of MODS or not, patients were divided into MODS group (n =
28) and none-MODS group (n = 67); then the patients with MODS were further
divided into non-survivor group (n = 17) and survivor group (n = 11). The
peripheral blood samples were collected on postburn days (PBD) 1, 3, 7, 14, and
21. The levels of pGSN were determined and T cells were procured from the blood.
The contents of cytokines (IL-2, IL-4 and IFN-gamma) released by T cells were
also measured. The related factors of prognosis were analyzed by using
multivariate logistic regression analysis. The results showed that pGSN
concentrations, as well as the levels of IL-2 and IFN-gamma, decreased markedly
on PBD 1-21, whereas, the levels of IL-4 increased markedly in all burn groups as
compared with normal controls (P<0.05 or P<0.01), and there were obviously
differences between group I and group III (P<0.05 or P<0.01). The similar results
were found in MODS patients and the non-survivor group as compared with those
without MODS and the survival group on days 3-21 postburn (P<0.05 or P<0.01).
Moreover, as the pGSN levels decreased, the incidence of septic complication as
well as MODS remarkably increased. CONCLUSIONS: pGSN levels appear to be an early
prognostic marker in patients suffering from major burns.
PMID- 22069446
TI - Bayesian analysis of high-throughput quantitative measurement of protein-DNA
interactions.
AB - Transcriptional regulation depends upon the binding of transcription factor (TF)
proteins to DNA in a sequence-dependent manner. Although many experimental
methods address the interaction between DNA and proteins, they generally do not
comprehensively and accurately assess the full binding repertoire (the complete
set of sequences that might be bound with at least moderate strength). Here, we
develop and evaluate through simulation an experimental approach that allows
simultaneous high-throughput quantitative analysis of TF binding affinity to
thousands of potential DNA ligands. Tens of thousands of putative binding targets
can be mixed with a TF, and both the pre-bound and bound target pools sequenced.
A hierarchical Bayesian Markov chain Monte Carlo approach determines posterior
estimates for the dissociation constants, sequence-specific binding energies, and
free TF concentrations. A unique feature of our approach is that dissociation
constants are jointly estimated from their inferred degree of binding and from a
model of binding energetics, depending on how many sequence reads are available
and the explanatory power of the energy model. Careful experimental design is
necessary to obtain accurate results over a wide range of dissociation constants.
This approach, which we call Simultaneous Ultra high-throughput Ligand
Dissociation EXperiment (SULDEX), is theoretically capable of rapid and accurate
elucidation of an entire TF-binding repertoire.
PMID- 22069447
TI - An evaluation of different target enrichment methods in pooled sequencing designs
for complex disease association studies.
AB - Pooled sequencing can be a cost-effective approach to disease variant discovery,
but its applicability in association studies remains unclear. We compare sequence
enrichment methods coupled to next-generation sequencing in non-indexed pools of
1, 2, 10, 20 and 50 individuals and assess their ability to discover variants and
to estimate their allele frequencies. We find that pooled resequencing is most
usefully applied as a variant discovery tool due to limitations in estimating
allele frequency with high enough accuracy for association studies, and that in
solution hybrid-capture performs best among the enrichment methods examined
regardless of pool size.
PMID- 22069448
TI - A peptide of SPARC interferes with the interaction between caspase8 and Bcl2 to
resensitize chemoresistant tumors and enhance their regression in vivo.
AB - SPARC, a matricellular protein with tumor suppressor properties in certain human
cancers, was initially identified in a genome-wide analysis of differentially
expressed genes in chemotherapy resistance. Its exciting new role as a potential
chemosensitizer arises from its ability to augment the apoptotic cascade,
although the exact mechanisms are unclear. This study further examines the
mechanism by which SPARC may be promoting apoptosis and identifies a smaller
peptide analogue with greater chemosensitizing and tumor-regressing properties
than the native protein. We examined the possibility that the apoptosis-enhancing
activity of SPARC could reside within one of its three biological domains (N
terminus (NT), the follistatin-like (FS), or extracellular (EC) domains), and
identified the N-terminus as the region with its chemosensitizing properties.
These results were not only confirmed by studies utilizing stable cell lines
overexpressing the different domains of SPARC, but as well, with a synthetic 51
aa peptide spanning the NT-domain. It revealed that the NT-domain induced a
significantly greater reduction in cell viability than SPARC, and that it
enhanced the apoptotic cascade via its activation of caspase 8. Moreover, in
chemotherapy resistant human colon, breast and pancreatic cancer cells, its
chemosensitizing properties also depended on its ability to dissociate Bcl2 from
caspase 8. These observations translated to clinically significant findings in
that, in-vivo, mouse tumor xenografts overexpressing the NT-domain of SPARC had
significantly greater sensitivity to chemotherapy and tumor regression, even when
compared to the highly-sensitive SPARC-overexpressing tumors. Our results
identified an interplay between the NT-domain, Bcl2 and caspase 8 that helps
augment apoptosis and as a consequence, a tumor's response to therapy. This NT
domain of SPARC and its 51-aa peptide are highly efficacious in modulating and
enhancing apoptosis, thereby conferring greater chemosensitivity to resistant
tumors. Our findings provide additional insight into mechanisms involved in
chemotherapy resistance and a potential novel therapeutic that specifically
targets this devastating phenomenon.
PMID- 22069449
TI - Pattern of amino acid substitutions in transmembrane domains of beta-barrel
membrane proteins for detecting remote homologs in bacteria and mitochondria.
AB - beta-barrel membrane proteins play an important role in controlling the exchange
and transport of ions and organic molecules across bacterial and mitochondrial
outer membranes. They are also major regulators of apoptosis and are important
determinants of bacterial virulence. In contrast to beta-helical membrane
proteins, their evolutionary pattern of residue substitutions has not been
quantified, and there are no scoring matrices appropriate for their detection
through sequence alignment. Using a Bayesian Monte Carlo estimator, we have
calculated the instantaneous substitution rates of transmembrane domains of
bacterial beta-barrel membrane proteins. The scoring matrices constructed from
the estimated rates, called bbTM for beta-barrel Transmembrane Matrices, improve
significantly the sensitivity in detecting homologs of beta-barrel membrane
proteins, while avoiding erroneous selection of both soluble proteins and other
membrane proteins of similar composition. The estimated evolutionary patterns are
general and can detect beta-barrel membrane proteins very remote from those used
for substitution rate estimation. Furthermore, despite the separation of 2-3
billion years since the proto-mitochondrion entered the proto-eukaryotic cell,
mitochondria outer membrane proteins in eukaryotes can also be detected
accurately using these scoring matrices derived from bacteria. This is consistent
with the suggestion that there is no eukaryote-specific signals for
translocation. With these matrices, remote homologs of beta-barrel membrane
proteins with known structures can be reliably detected at genome scale, allowing
construction of high quality structural models of their transmembrane domains, at
the rate of 131 structures per template protein. The scoring matrices will be
useful for identification, classification, and functional inference of membrane
proteins from genome and metagenome sequencing projects. The estimated
substitution pattern will also help to identify key elements important for the
structural and functional integrity of beta-barrel membrane proteins, and will
aid in the design of mutagenesis studies.
PMID- 22069450
TI - Oral vaccination of baculovirus-expressed VP28 displays enhanced protection
against White Spot Syndrome Virus in Penaeus monodon.
AB - White Spot Syndrome Virus (WSSV) is an infectious pathogen of shrimp and other
crustaceans, and neither effective vaccines nor adequate treatments are currently
available. WSSV is an enveloped dsDNA virus, and one of its major envelope
proteins, VP28, plays a pivotal role in WSSV infection. In an attempt to develop
a vaccine against WSSV, we inserted the VP28 gene into a baculovirus vector
tailored to express VP28 on the baculovirus surface under the WSSV ie1 promoter
(Bac-VP28). The Bac-VP28 incorporated abundant quantity (65.3 ug/ml) of VP28.
Shrimp were treated by oral and immersion vaccination with either Bac-VP28 or
wild-type baculovirus (Bac-wt). The treatment was followed by challenge with WSSV
after 3 and 15 days. Bac-VP28 vaccinated shrimp showed significantly higher
survival rates (oral: 81.7% and 76.7%; immersion: 75% and 68.4%) than Bac-wt or
non-treated shrimp (100% mortality). To verify the protective effects of Bac
VP28, we examined in vivo expression of VP28 by immunohistochemistry and
quantified the WSSV copy number by qPCR. In addition to that, we quantified the
expression levels shrimp genes LGBP and STAT by real-time RT-PCR from the samples
obtained from Bac-VP28 vaccinated shrimp at different duration of vaccine regime.
Our findings indicate that oral vaccination of shrimp with Bac-VP28 is an
attractive preventative measure against WSSV infection that can be used in the
field.
PMID- 22069451
TI - Lack of adherence to isoniazid chemoprophylaxis in children in contact with
adults with tuberculosis in Southern Ethiopia.
AB - SETTING: Hawassa, Southern Region of Ethiopia. OBJECTIVES: To determine
compliance to isoniazid (INH) preventive therapy (IPT) and its effectiveness in
preventing (TB) disease in children in contact with adults with pulmonary TB
(PTB). DESIGN: This was a prospective cohort study of children <15 years old in
contact with adults with smear-positive PTB. Asymptomatic children <=5 years were
provided IPT independently of their Tuberculin Skin Test (TST) status and
children >5 years old were given advice but did not receive IPT, as recommended
by the National TB control programme. Compliance to IPT and incidence of clinical
TB were determined monthly for six months and then quarterly for up to 30 months.
RESULTS: One hundred and eighty four children in contact with 83 smear-positive
PTB cases were identified. Eighty two were <=5 and 102>5 years old. Only 27 (33%)
of 82 children given IPT took it for >4 months and 10 (12%) completed the 6-month
course. The main reason for non-compliance was the perception that drugs were not
necessary when the child was healthy. Eleven children (all except one >5 years
old) developed symptoms of TB disease and initiated treatment, resulting in an
incidence of 28.6 cases for all and 53.5 for children >5 years old per 1000
children-year. CONCLUSION: Compliance to IPT in children is poor in Southern
Region of Ethiopia and this was associated with the parents' perception of the
low importance of chemoprophylaxis in asymptomatic children. Poor compliance
might be an important barrier for the wider implementation of IPT. TRIAL
REGISTRATION: Clinicaltrials.gov NCT00456469.
PMID- 22069452
TI - Bilateral fronto-parietal integrity in young chronic cigarette smokers: a
diffusion tensor imaging study.
AB - BACKGROUND: Cigarette smoking continues to be the leading cause of preventable
morbidity and mortality in China and other countries. Previous studies have
demonstrated gray matter loss in chronic smokers. However, only a few studies
assessed the changes of white matter integrity in this group. Based on those
previous reports of alterations in white matter integrity in smokers, the aim of
this study was to examine the alteration of white matter integrity in a large,
well-matched sample of chronic smokers and non-smokers. METHODOLOGY/PRINCIPAL
FINDINGS: Using in vivo diffusion tensor imaging (DTI) to measure the differences
of whole-brain white matter integrity between 44 chronic smoking subjects (mean
age, 28.0+/-5.6 years) and 44 healthy age- and sex-matched comparison non-smoking
volunteers (mean age, 26.3+/-5.8 years). DTI was performed on a 3-Tesla Siemens
scanner (Allegra; Siemens Medical System). The data revealed that smokers had
higher fractional anisotropy (FA) than healthy non-smokers in almost
symmetrically bilateral fronto-parietal tracts consisting of a major white matter
pathway, the superior longitudinal fasciculus (SLF). CONCLUSION/SIGNIFICANCE: We
found the almost symmetrically bilateral fronto-parietal whiter matter changes in
a relatively large sample of chronic smokers. These findings support the
hypothesis that chronic cigarette smoking involves alterations of bilateral
fronto-parietal connectivity.
PMID- 22069453
TI - The birth-death-mutation process: a new paradigm for fat tailed distributions.
AB - Fat tailed statistics and power-laws are ubiquitous in many complex systems.
Usually the appearance of of a few anomalously successful individuals (bio
species, investors, websites) is interpreted as reflecting some inherent
"quality" (fitness, talent, giftedness) as in Darwin's theory of natural
selection. Here we adopt the opposite, "neutral", outlook, suggesting that the
main factor explaining success is merely luck. The statistics emerging from the
neutral birth-death-mutation (BDM) process is shown to fit marvelously many
empirical distributions. While previous neutral theories have focused on the
power-law tail, our theory economically and accurately explains the entire
distribution. We thus suggest the BDM distribution as a standard neutral model:
effects of fitness and selection are to be identified by substantial deviations
from it.
PMID- 22069454
TI - Factors associated with elevated ALT in an international HIV/HBV co-infected
cohort on long-term HAART.
AB - BACKGROUND: Previous studies have demonstrated that hepatitis B virus (HBV)
infection increases the risk for ALT elevations in HIV-HBV co-infected patients
during the first year of HAART; however, there is limited data on the prevalence
of ALT elevations with prolonged HAART in this patient group. METHODS/PRINCIPAL
FINDINGS: To identify factors associated with ALT elevations in an HIV-HBV co
infected cohort receiving prolonged HAART, data from 143 co-infected patients on
HAART enrolled in an international HIV-HBV co-infected cohort where ALT
measurements were obtained every 6 months was analysed. A person-visit analysis
was used to determine frequency of ALT elevation (>= 2.5*ULN) at each visit.
Factors associated with ALT elevation were determined using multivariate logistic
regression with generalized estimating equations to account for correlated data.
The median time on HAART at the end of follow-up was 5.6 years (range 0.4-13.3)
years. During follow-up, median ALT was 36 U/L with 10.6% of person-visits
classified as having ALT elevation. Most ALT elevations were grade 2 (86.5%),
with only 13.5% of all ALT elevations grade 3 or higher. Univariate associations
with ALT elevation (p<0.05) included history of AIDS, HBV DNA >= 2,000 IU/ml,
HBeAg positive, study visit CD4 <200 cells/ml and nadir CD4 <200 cells/ml. In the
multivariate analysis, only study visit CD4 <200 cells/ml (OR 2.07, 95%CI 1.04
4.11, p = 0.04) and HBeAg positive status (OR 2.22, 95%CI 1.03-4.79, p = 0.04)
were independently associated with ALT elevation. CONCLUSIONS: In this HIV-HBV co
infected cohort, elevated ALT after >1 year of HAART was uncommon, and severe ALT
elevations were rare. HIV-HBV co-infected patients on long-term HAART who are
either HBeAg positive or have a CD4 count of <200 cells/ml are at increased risk
for ALT elevations.
PMID- 22069455
TI - Distribution of extracellular glutamate in the neuropil of hippocampus.
AB - Reported values of extracellular glutamate concentrations in the resting state
depend on the method of measurement and vary ~1000-fold. As glutamate levels in
the micromolar range can cause receptor desensitization and excitotoxicity, and
thus affect neuronal excitability, an accurate determination of ambient glutamate
is important. Part of the variability of previous measurements may have resulted
from the sampling of glutamate in different extracellular compartments, e.g.,
synaptic versus extrasynaptic volumes. A steep concentration gradient of
glutamate between these two compartments could be maintained, for example, by
high densities of glutamate transporters arrayed at the edges of synapses. We
have used two photon laser scanning microscopy and electrophysiology to
investigate whether extracellular glutamate is compartmentalized in acute
hippocampal slices. Pharmacological blockade of NMDARs had no effect on Ca(2+)
transients generated in dendritic shafts or spines of CA1 pyramidal neurons by
depolarization, suggesting that ambient glutamate is too low to activate a
significant number of NMDARs. Furthermore, blockade of transporters did not flood
the synapse with glutamate, indicating that synaptic NMDARs are not protected
from high concentrations of extrasynaptic glutamate. We suggest that, in the CA1
region of hippocampus, glutamate transporters do not create a privileged space
within the synapse but rather keep ambient glutamate at very low levels
throughout the neuropil.
PMID- 22069456
TI - When tuberculosis comes back: who develops recurrent tuberculosis in california?
AB - BACKGROUND: Recurrent tuberculosis suggests potentially modifiable gaps in
tuberculosis treatment and control activities. The frequency of late recurrences
following treatment completion has not been well-studied. We determined the
frequency of, and risk factors associated with, tuberculosis that recurs at least
one year after completion of anti-tuberculosis therapy in California. METHODS:
The study population included culture-positive, pulmonary tuberculosis patients
reported to the California tuberculosis case registry from 1993 to 2007 who
completed anti-tuberculosis therapy. A person with late recurrent tuberculosis
was defined as an individual that appeared in the registry more than once,
determined by match on name and date-of-birth, with at least one year between
treatment completion of the first episode and treatment initiation of the second
episode. RESULTS: Among 23,517 tuberculosis patients, 148 (0.63%) had a late
recurrence. Independent risk factors for recurrence included: infection with a
pyrazinamide mono-resistant isolate (adjusted hazard ratio, 2.93; p = 0.019);
initiation of an isoniazid- and rifampin-only treatment regimen (adjusted hazard
ratio, 2.55; p = 0.0412); sputum smear-positive disease (adjusted hazard ratio,
1.96; p = 0.0003); human immunodeficiency virus infection (adjusted hazard ratio,
1.81; p = 0.0149); and birth in the United States (adjusted hazard ratio, 1.88; p
= 0.0002). Infection with an isoniazid mono-resistant isolate was protective
(adjusted hazard ratio, 0.25; p = 0.0171). CONCLUSIONS: The low frequency of late
recurrent tuberculosis in California suggests that local TB control programs are
largely successful at preventing this adverse outcome. Nonetheless, we identified
subpopulations at increased risk of late tuberculosis recurrence that may benefit
from additional medical or public health interventions.
PMID- 22069457
TI - Genetic linkage map of a high yielding FELDA deli*yangambi oil palm cross.
AB - Enroute to mapping QTLs for yield components in oil palm, we constructed the
linkage map of a FELDA high yielding oil palm (Elaeis guineensis), hybrid cross.
The parents of the mapping population are a Deli dura and a pisifera of Yangambi
origin. The cross out-yielded the average by 8-21% in four trials all of which
yielded comparably to the best current commercial planting materials. The higher
yield derived from a higher fruit oil content. SSR markers in the public domain -
from CIRAD and MPOB, as well as some developed in FELDA - were used for the
mapping, augmented by locally-designed AFLP markers. The female parent linkage
map comprised 317 marker loci and the male parent map 331 loci, both in 16
linkage groups each. The number of markers per group ranged from 8-47 in the
former and 12-40 in the latter. The integrated map was 2,247.5 cM long and
included 479 markers and 168 anchor points. The number of markers per linkage
group was 15-57, the average being 29, and the average map density 4.7 cM. The
linkage groups ranged in length from 77.5 cM to 223.7 cM, with an average of 137
cM. The map is currently being validated against a closely related population and
also being expanded to include yield related QTLs.
PMID- 22069458
TI - Circuit specific functions of cannabinoid CB1 receptor in the balance of
investigatory drive and exploration.
AB - Well balanced novelty seeking and exploration are fundamental behaviours for
survival and are found to be dysfunctional in several psychiatric disorders.
Recent studies suggest that the endocannabinoid (eCB) system is an important
control system for investigatory drive. Pharmacological treatment of rodents with
cannabinergic drugs results in altered social and object investigation.
Interestingly, contradictory results have been obtained, depending on the
treatment, drug concentration and experimental conditions. The cannabinoid type 1
(CB1) receptor, a central component of the eCB system, is predominantly found at
the synapses of two opposing neuronal populations, i.e. on inhibitory GABAergic
and excitatory glutamatergic neurons. In the present study, using different
transgenic mouse lines, we aimed at investigating the impact of CB1 receptor
inactivation in glutamatergic or GABAergic neurons on investigatory behaviour. We
evaluated animate (interaction partner) and inanimate (object) exploratory
behaviour in three different paradigms. We show that exploration was increased
when CB1 receptor was deleted from cortical and striatal GABAergic neurons. No
effect was observed when CB1 receptor was deleted specifically from dopamine
receptor D1-expressing striatal GABAergic medium spiny neurons. In contrast,
deletion of CB1 receptor from cortical glutamatergic neurons resulted in a
decreased exploration. Thus, our results indicate that exploratory behaviour is
accurately balanced in both, the social and non-social context, by the eCB system
via CB1 receptor activation on cortical glutamatergic and GABAergic neurons. In
addition, the results could explain the contradictory findings of previous
pharmacological studies and could further suggest a possibility to readjust an
imbalance in exploratory behaviour observed in psychiatric disorders.
PMID- 22069459
TI - Adhesive F-actin waves: a novel integrin-mediated adhesion complex coupled to
ventral actin polymerization.
AB - At the leading lamellipodium of migrating cells, protrusion of an Arp2/3
nucleated actin network is coupled to formation of integrin-based adhesions,
suggesting that Arp2/3-mediated actin polymerization and integrin-dependent
adhesion may be mechanistically linked. Arp2/3 also mediates actin polymerization
in structures distinct from the lamellipodium, in "ventral F-actin waves" that
propagate as spots and wavefronts along the ventral plasma membrane. Here we show
that integrins engage the extracellular matrix downstream of ventral F-actin
waves in several mammalian cell lines as well as in primary mouse embryonic
fibroblasts. These "adhesive F-actin waves" require a cycle of integrin
engagement and disengagement to the extracellular matrix for their formation and
propagation, and exhibit morphometry and a hierarchical assembly and disassembly
mechanism distinct from other integrin-containing structures. After Arp2/3
mediated actin polymerization, zyxin and VASP are co-recruited to adhesive F
actin waves, followed by paxillin and vinculin, and finally talin and integrin.
Adhesive F-actin waves thus represent a previously uncharacterized integrin-based
adhesion complex associated with Arp2/3-mediated actin polymerization.
PMID- 22069460
TI - Development of an RNA interference tool, characterization of its target, and an
ecological test of caste differentiation in the eusocial wasp polistes.
AB - Recent advancements in genomics provide new tools for evolutionary ecological
research. The paper wasp genus Polistes is a model for social insect evolution
and behavioral ecology. We developed RNA interference (RNAi)-mediated gene
silencing to explore proposed connections between expression of hexameric storage
proteins and worker vs. gyne (potential future foundress) castes in naturally
founded colonies of P. metricus. We extended four fragments of putative hexamerin
encoding P. metricus transcripts acquired from a previous study and fully
sequenced a gene that encodes Hexamerin 2, one of two proposed hexameric storage
proteins of P. metricus. MALDI-TOF/TOF, LC-MSMS, deglycosylation, and detection
of phosphorylation assays showed that the two putative hexamerins diverge in
peptide sequence and biochemistry. We targeted the hexamerin 2 gene in 5(th)
(last)-instar larvae by feeding RNAi-inducing double-stranded hexamerin 2 RNA
directly to larvae in naturally-founded colonies in the field. Larval development
and adult traits were not significantly altered in hexamerin 2 knockdowns, but
there were suggestive trends toward increased developmental time and less
developed ovaries, which are gyne characteristics. By demonstrating how data
acquisition from 454/Roche pyrosequencing can be combined with biochemical and
proteomics assays and how RNAi can be deployed successfully in field experiments
on Polistes, our results pave the way for functional genomic research that can
contribute significantly to learning the interactions of environment,
development, and the roles they play in paper wasp evolution and behavioral
ecology.
PMID- 22069461
TI - Video game playing is independently associated with blood pressure and lipids in
overweight and obese adolescents.
AB - OBJECTIVE: To examine the association between duration and type of screen time
(TV, video games, computer time) and blood pressure (BP) and lipids in overweight
and obese adolescents. DESIGN: This is a cross-sectional study of 282 overweight
or obese adolescents aged 14-18 years (86 males, 196 females) assessed at
baseline prior to beginning a lifestyle intervention study for weight control.
Sedentary behaviours, defined as hours per day spent watching TV, playing video
games, recreational computer use and total screen time were measured by self
report. We examined the associations between sedentary behaviours and BP and
lipids using multiple linear regression. RESULTS: Seated video gaming was the
only sedentary behaviour associated with elevated BP and lipids before and after
adjustment for age, sex, pubertal stage, parental education, body mass index
(BMI), caloric intake, percent intake in dietary fat, physical activity (PA)
duration, and PA intensity. Specifically, video gaming remained positively
associated with systolic BP (adjusted r = 0.13, beta = 1.1, p<0.05) and total
cholesterol/HDL ratio (adjusted r = 0.12, beta = 0.14, p<0.05). CONCLUSIONS:
Playing video games was the only form of sedentary behaviour that was
independently associated with increased BP and lipids. Our findings provide
support for reducing time spent playing seated video games as a possible means to
promote health and prevent the incidence of cardiovascular disease (CVD) risk
factors in this high risk group of overweight and obese adolescents. Future
research is needed to first replicate these findings and subsequently aim to
elucidate the mechanisms linking seated video gaming and elevated BP and lipids
in this high risk population. TRIAL REGISTRATION: Clinicaltrials.gov NCT00195858.
PMID- 22069462
TI - Analogous mechanisms of resistance to benzothiazinones and dinitrobenzamides in
Mycobacterium smegmatis.
AB - Tuberculosis is still a leading cause of death worldwide. The selection and
spread of Mycobacterium tuberculosis multidrug-resistant (MDR-TB) and extensively
drug-resistant strains (XDR-TB) is a severe public health problem. Recently, two
different classes of chemical series, the benzothiazinones (BTZ) and the
dinitrobenzamide (DNB) derivatives have been found to be highly active against M.
tuberculosis, including XDR-TB strains. The target of BTZs is DprE1 protein which
works in concert with DprE2 to form the heteromeric decaprenylphosphoryl-beta-D
ribose 2'-epimerase, involved in Decaprenyl-Phospho-Arabinose (DPA) biosynthesis.
Interestingly, it has been shown that the DNBs block the same pathway thus
suggesting that both drugs could share the same target. Moreover, in
Mycobacterium smegmatis the overexpression of the NfnB nitroreductase led to the
inactivation of the BTZs by reduction of a critical nitro-group to an amino
group. In this work several spontaneous M. smegmatis mutants resistant to DNBs
were isolated. Sixteen mutants, showing high levels of DNB resistance, exhibited
a mutation in the Cys394 of DprE1. Using fluorescence titration and mass
spectrometry it has been possible to monitor the binding between DprE1 and DNBs,
achieving direct evidence that MSMEG_6382 is the cellular target of DNBs in
mycobacteria. Additionally, M. smegmatis mutants having low levels of resistance
to DNBs harbor various mutations in MSMEG_6503 gene encoding the transcriptional
repressor of the nitroreductase NfnB. By LC/MS analysis it has been demonstrated
that NfnB is responsible for DNB inactivation. Taken together, our data
demonstrate that both DNB and BTZ drugs share common resistance mechanisms in M.
smegmatis.
PMID- 22069463
TI - APOE genotype and cardio-respiratory fitness interact to determine adiposity in 8
year-old children from the Tasmanian Infant Health Survey.
AB - APOE plays a well established role in lipid metabolism. Animal model evidence
suggests APOE may also be associated with adiposity, but this has not been
thoroughly investigated in humans. We measured adiposity (BMI, truncal fat mass,
waist circumference), physical activity (PA), cardiorespiratory fitness and APOE
genotype (E2, E3, E4) in 292 8-year-old children from the Tasmanian Infant Health
Survey (TIHS), an Australian population-based prospective birth cohort. Our aims
were to examine the association of APOE with child adiposity, and to examine the
interplay between this association and other measured factors. We found that APOE
was associated with child lipid profiles. APOE was also associated with child
adiposity measures. The association was E4 allele-specific, with adiposity lower
in the E4-containing group (BMI: Mean difference -0.90 kg/m2; 95% confidence
intervals (CI) -1.51, -0.28; p = 0.004). The association of APOE4 with lower BMI
differed by fitness status (difference in effect p = 0.002), and was more evident
among the less fit (mean difference -1.78 kg/m2; 95% CI -2.74, -0.83; p<0.001).
Additionally, associations between BMI and lipids were only apparent in those of
lower fitness who did not carry APOE4. Similar overall findings were observed
when truncal fat mass and waist circumference were used as alternative adiposity
measures. APOE4 and cardiorespitatory fitness could interact to influence child
adiposity. In studies addressing the genetic determinants of childhood obesity,
the context of child fitness should also be taken into account.
PMID- 22069464
TI - Hypotheses of spatial stock structure in orange roughy Hoplostethus atlanticus
inferred from diet, feeding, condition, and reproductive activity.
AB - We evaluate hypotheses for meso-scale spatial structure in an orange roughy
(Hoplostethus atlanticus) stock using samples collected during research trawl
surveys off the east coast of New Zealand. Distance-based linear models and
generalised additive models were used to identify the most significant
biological, environmental, and temporal predictors of variability in diet,
proportion of stomachs containing prey, standardised weight of prey, fish somatic
weight, fish total weight, and reproductive activity. The diet was similar to
that observed elsewhere, and varied with ontogeny, depth, and surface water
temperature. Smaller sized and female orange roughy in warmer bottom water were
most likely to contain food. Fish condition and reproductive activity were
highest at distances more than 20 km from the summit of the hills. Trawl survey
catches indicated greater orange roughy densities in hill strata, suggesting hill
habitat was favoured. However, analyses of feeding, condition, and reproductive
activity indicated hill fish were not superior, despite fish densities on hills
being reduced by fishing which, in principle, should have reduced intra-specific
competition for food and other resources. Hypotheses for this result include: (1)
fish in relatively poor condition visit hills to feed and regain condition and
then leave, or (2) commercial fishing has disturbed feeding aggregations and/or
caused habitat damage, making fished hills less productive. Mature orange roughy
were observed on both flat and hill habitat during periods outside of spawning,
and if this spatial structure was persistent then a proportion of the total
spawning stock biomass would remain unavailable to fisheries targeting hills.
Orange roughy stock assessments informed only by data from hills may well be
misleading.
PMID- 22069465
TI - Segtor: rapid annotation of genomic coordinates and single nucleotide variations
using segment trees.
AB - Various research projects often involve determining the relative position of
genomic coordinates, intervals, single nucleotide variations (SNVs), insertions,
deletions and translocations with respect to genes and their potential impact on
protein translation. Due to the tremendous increase in throughput brought by the
use of next-generation sequencing, investigators are routinely faced with the
need to annotate very large datasets. We present Segtor, a tool to annotate large
sets of genomic coordinates, intervals, SNVs, indels and translocations. Our tool
uses segment trees built using the start and end coordinates of the genomic
features the user wishes to use instead of storing them in a database management
system. The software also produces annotation statistics to allow users to
visualize how many coordinates were found within various portions of genes. Our
system currently can be made to work with any species available on the UCSC
Genome Browser. Segtor is a suitable tool for groups, especially those with
limited access to programmers or with interest to analyze large amounts of
individual genomes, who wish to determine the relative position of very large
sets of mapped reads and subsequently annotate observed mutations between the
reads and the reference. Segtor (http://lbbc.inca.gov.br/segtor/) is an open
source tool that can be freely downloaded for non-profit use. We also provide a
web interface for testing purposes.
PMID- 22069466
TI - An inducible cell-cell fusion system with integrated ability to measure the
efficiency and specificity of HIV-1 entry inhibitors.
AB - HIV-1 envelope glycoproteins (Envs) mediate virus entry by fusing the viral and
target cell membranes, a multi-step process that represents an attractive target
for inhibition. Entry inhibitors with broad-range activity against diverse
isolates of HIV-1 may be extremely useful as lead compounds for the development
of therapies or prophylactic microbicides. To facilitate the identification of
such inhibitors, we have constructed a cell-cell fusion system capable of
simultaneously monitoring inhibition efficiency and specificity. In this system,
effector cells stably express a tetracycline-controlled transactivator (tTA) that
enables tightly inducible expression of both HIV-1 Env and the Renilla luciferase
(R-Luc) reporter protein. Target cells express the HIV-1 receptors, CD4 and CCR5,
and carry the firefly luciferase (F-Luc) reporter gene under the control of a tTA
responsive promoter. Thus, Env-mediated fusion of these two cell types allows the
tTA to diffuse to the target cell and activate the expression of the F-Luc
protein. The efficiency with which an inhibitor blocks cell-cell fusion is
measured by a decrease in the F-Luc activity, while the specificity of the
inhibitor is evaluated by its effect on the R-Luc activity. The system exhibited
a high dynamic range and high Z'-factor values. The assay was validated with a
reference panel of inhibitors that target different steps in HIV-1 entry,
yielding inhibitory concentrations comparable to published virus inhibition data.
Our system is suitable for large-scale screening of chemical libraries and can
also be used for detailed characterization of inhibitory and cytotoxic properties
of known entry inhibitors.
PMID- 22069467
TI - Genetic and epigenetic modifications of Sox2 contribute to the invasive phenotype
of malignant gliomas.
AB - We undertook this study to understand how the transcription factor Sox2
contributes to the malignant phenotype of glioblastoma multiforme (GBM), the most
aggressive primary brain tumor. We initially looked for unbalanced genomic
rearrangements in the Sox2 locus in 42 GBM samples and found that Sox2 was
amplified in 11.5% and overexpressed in all the samples. These results prompted
us to further investigate the mechanisms involved in Sox2 overexpression in GBM.
We analyzed the methylation status of the Sox2 promoter because high CpG density
promoters are associated with key developmental genes. The Sox2 promoter
presented a CpG island that was hypomethylated in all the patient samples when
compared to normal cell lines. Treatment of Sox2-negative glioma cell lines with
5-azacitidine resulted in the re-expression of Sox2 and in a change in the
methylation status of the Sox2 promoter. We further confirmed these results by
analyzing data from GBM cases generated by The Cancer Genome Atlas project. We
observed Sox2 overexpression (86%; N = 414), Sox2 gene amplification (8.5%; N =
492), and Sox 2 promoter hypomethylation (100%; N = 258), suggesting the
relevance of this factor in the malignant phenotype of GBMs. To further explore
the role of Sox2, we performed in vitro analysis with brain tumor stem cells
(BTSCs) and established glioma cell lines. Downmodulation of Sox2 in BTSCs
resulted in the loss of their self-renewal properties. Surprisingly, ectopic
expression of Sox2 in established glioma cells was not sufficient to support self
renewal, suggesting that additional factors are required. Furthermore, we
observed that ectopic Sox2 expression was sufficient to induce invasion and
migration of glioma cells, and knockdown experiments demonstrated that Sox2 was
essential for maintaining these properties. Altogether, our data underscore the
importance of a pleiotropic role of Sox2 and suggest that it could be used as a
therapeutic target in GBM.
PMID- 22069468
TI - Status of B-vitamins and homocysteine in diabetic retinopathy: association with
vitamin-B12 deficiency and hyperhomocysteinemia.
AB - Diabetic retinopathy (DR) is a common cause of blindness. Although many studies
have indicated an association between homocysteine and DR, the results so far
have been equivocal. Amongst the many determinants of homocysteine, B-vitamin
status was shown to be a major confounding factor, yet very little is known about
its relationship to DR. In the present study, we, therefore, investigated the
status of B-vitamins and homocysteine in DR. A cross-sectional case-control study
was conducted with 100 normal control (CN) subjects and 300 subjects with type-2
diabetes (T2D). Of the 300 subjects with T2D, 200 had retinopathy (DR) and 100
did not (DNR). After a complete ophthalmic examination including fundus
fluorescein angiography, the clinical profile and the blood levels of all B
vitamins and homocysteine were analyzed. While mean plasma homocysteine levels
were found to be higher in T2D patients compared with CN subjects, homocysteine
levels were particularly high in the DR group. There were no group differences in
the blood levels of vitamins B1 and B2. Although the plasma vitamin-B6 and folic
acid levels were significantly lower in the DNR and DR groups compared with the
CN group, there were no significant differences between the diabetes groups.
Interestingly, plasma vitamin-B12 levels were found to be significantly lower in
the diabetes groups compared with the CN group; further, the levels were
significantly lower in the DR group compared with the DNR group. Higher
homocysteine levels were significantly associated with lower vitamin-B12 and
folic acid but not with other B-vitamins. Additionally, hyperhomocysteinemia and
vitamin-B12 deficiency did not seem to be related to subjects' age, body mass
index, or duration of diabetes. These results thus suggest a possible association
between vitamin-B12 deficiency and hyperhomocysteinemia in DR. Further, the data
indicate that vitamin-B12 deficiency could be an independent risk factor for DR.
PMID- 22069469
TI - Platelets retain high levels of active plasminogen activator inhibitor 1.
AB - The vascular fibrinolytic system is crucial for spontaneous lysis of blood clots.
Plasminogen activator inhibitor 1 (PAI-1), the principal inhibitor of the key
fibrinolytic enzyme tissue-type plasminogen activator (tPA), is present in
platelets at high concentrations. However, the majority of PAI-1 stored in
platelets has been considered to be inactive. Our recent finding (Brogren H, et
al. Blood 2004) that PAI-1 de novo synthesized in platelets remained active for
over 24 h, suggested that PAI-1 stored in the alpha-granules might be active to a
larger extent than previously reported. To re-evaluate this issue, we performed
experiments where the fraction of active PAI-1 was estimated by analyzing the tPA
PAI-1 complex formation. In these experiments platelets were lysed with Triton X
100 in the presence of serial dilutions of tPA and subsequently the tPA-PAI-1
complex was evaluated by Western blot. Also, using a non-immunologic assay, tPA
was labeled with (125)I, and (125)I-tPA and (125)I-tPA-PAI-1 was quantified by
scintigraphy. Interestingly, both methods demonstrated that the majority (>50%)
of platelet PAI-1 is active. Further analyses suggested that pre-analytical
procedures used in previous studies (sonication or freezing/thawing) may have
substantially reduced the activity of platelet PAI-1, which has lead to an
underestimation of the proportion of active PAI-1. Our in vitro results are more
compatible with the role of PAI-1 in clot stabilization as demonstrated in
physiological and pathophysiological studies.
PMID- 22069470
TI - The molecular basis for the broad substrate specificity of human sulfotransferase
1A1.
AB - Cytosolic sulfotransferases (SULTs) are mammalian enzymes that detoxify a wide
variety of chemicals through the addition of a sulfate group. Despite extensive
research, the molecular basis for the broad specificity of SULTs is still not
understood. Here, structural, protein engineering and kinetic approaches were
employed to obtain deep understanding of the molecular basis for the broad
specificity, catalytic activity and substrate inhibition of SULT1A1. We have
determined five new structures of SULT1A1 in complex with different acceptors,
and utilized a directed evolution approach to generate SULT1A1 mutants with
enhanced thermostability and increased catalytic activity. We found that active
site plasticity enables binding of different acceptors and identified dramatic
structural changes in the SULT1A1 active site leading to the binding of a second
acceptor molecule in a conserved yet non-productive manner. Our combined approach
highlights the dominant role of SULT1A1 structural flexibility in controlling the
specificity and activity of this enzyme.
PMID- 22069472
TI - Interferon-gamma release assays for the diagnosis of active tuberculosis in HIV
infected patients: a systematic review and meta-analysis.
AB - BACKGROUND: Interferon-gamma release assays (IGRAs) have provided a new method
for the diagnosis of Mycobacterium tuberculosis infection. However, the role of
IGRAs for the diagnosis of active tuberculosis (TB), especially in HIV-infected
patients remains unclear. METHODS: We searched PubMed, EMBASE and Cochrane
databases to identify studies published in January 2001-July 2011 that evaluated
the evidence of using QuantiFERON-TB Gold in-tube (QFT-GIT) and T-SPOT.TB (T
SPOT) on blood for the diagnosis of active TB in HIV-infected patients. RESULTS:
The search identified 16 eligible studies that included 2801 HIV-infected
individuals (637 culture confirmed TB cases). The pooled sensitivity for the
diagnosis of active TB was 76.7% (95%CI, 71.6-80.5%) and 77.4% (95%CI, 71.4
82.6%) for QFT-GIT and T-SPOT, respectively, while the specificity was 76.1%
(95%CI, 74.0-78.0%) and 63.1% (95%CI, 57.6-68.3%) after excluding the
indeterminate results. Studies conducted in low/middle income countries showed
slightly lower sensitivity and specificity when compared to that in high-income
countries. The proportion of indeterminate results was as high as 10% (95%CI, 8.8
11.3%) and 13.2% (95%CI, 10.6-16.0%) for QFT-GIT and T-SPOT, respectively.
CONCLUSION: IGRAs in their current formulations have limited accuracy in
diagnosing active TB in HIV-infected patients, and should not be used alone to
rule out or rule in active TB cases in HIV-infected patients. Further
modification is needed to improve their accuracy.
PMID- 22069471
TI - Multiple doublesex-related genes specify critical cell fates in a C. elegans male
neural circuit.
AB - BACKGROUND: In most animal species, males and females exhibit differences in
behavior and morphology that relate to their respective roles in reproduction. DM
(Doublesex/MAB-3) domain transcription factors are phylogenetically conserved
regulators of sexual development. They are thought to establish sexual traits by
sex-specifically modifying the activity of general developmental programs.
However, there are few examples where the details of these interactions are
known, particularly in the nervous system. METHODOLOGY/PRINCIPAL FINDINGS: In
this study, we show that two C. elegans DM domain genes, dmd-3 and mab-23,
regulate sensory and muscle cell development in a male neural circuit required
for mating. Using genetic approaches, we show that in the circuit sensory
neurons, dmd-3 and mab-23 establish the correct pattern of dopaminergic (DA) and
cholinergic (ACh) fate. We find that the ETS-domain transcription factor gene ast
1, a non-sex-specific, phylogenetically conserved activator of dopamine
biosynthesis gene transcription, is broadly expressed in the circuit sensory
neuron population. However, dmd-3 and mab-23 repress its activity in most cells,
promoting ACh fate instead. A subset of neurons, preferentially exposed to a TGF
beta ligand, escape this repression because signal transduction pathway activity
in these cells blocks dmd-3/mab-23 function, allowing DA fate to be established.
Through optogenetic and pharmacological approaches, we show that the sensory and
muscle cell characteristics controlled by dmd-3 and mab-23 are crucial for
circuit function. CONCLUSIONS/SIGNIFICANCE: In the C. elegans male, DM domain
genes dmd-3 and mab-23 regulate expression of cell sub-type characteristics that
are critical for mating success. In particular, these factors limit the number of
DA neurons in the male nervous system by sex-specifically regulating a
phylogenetically conserved dopamine biosynthesis gene transcription factor.
Homologous interactions between vertebrate counterparts could regulate sex
differences in neuron sub-type populations in the brain.
PMID- 22069473
TI - Complement and the alternative pathway play an important role in LPS/D-GalN
induced fulminant hepatic failure.
AB - Fulminant hepatic failure (FHF) is a clinically severe type of liver injury with
an extremely high mortality rate. Although the pathological mechanisms of FHF are
not well understood, evidence suggests that the complement system is involved in
the pathogenesis of a variety of liver disorders. In the present study, to
investigate the role of complement in FHF, we examined groups of mice following
intraperitoneal injection of LPS/D-GalN: wild-type C57BL/6 mice, wild-type mice
treated with a C3aR antagonist, C5aR monoclonal antibody (C5aRmAb) or CR2-Factor
H (CR2-fH, an inhibitor of the alternative pathway), and C3 deficient mice (C3-/-
mice). The animals were euthanized and samples analyzed at specific times after
LPS/D-GalN injection. The results show that intraperitoneal administration of
LPS/D-GalN activated the complement pathway, as evidenced by the hepatic
deposition of C3 and C5b-9 and elevated serum levels of the complement activation
product C3a, the level of which was associated with the severity of the liver
damage. C3a receptor (C3aR) and C5a receptor (C5aR) expression was also
upregulated. Compared with wild-type mice, C3-/- mice survived significantly
longer and displayed reduced liver inflammation and attenuated pathological
damage following LPS/D-GalN injection. Similar levels of protection were seen in
mice treated with C3aR antagonist,C5aRmAb or CR2-fH. These data indicate an
important role for the C3a and C5a generated by the alternative pathway in LPS/D
GalN-induced FHF. The data further suggest that complement inhibition may be an
effective strategy for the adjunctive treatment of fulminant hepatic failure.
PMID- 22069474
TI - Pulmonary embolism incidence and fatality trends in chinese hospitals from 1997
to 2008: a multicenter registration study.
AB - BACKGROUND: There has not been sufficient evidence to support the Asians being
less susceptible to pulmonary embolism (PE) than other ethnicities, because the
prevalence of PE/deep venous thrombosis (DVT) in different racial and ethnic
groups has not been carefully studied until recently except in Caucasians. To
test the hypothesis that the Chinese population has a lower risk for PE, this
study comprehensively assessed the hospital-based incidence and case fatality
rates for PE during the 1997-2008 in China. METHODS: A registration study of
patients with suspected PE syndromes admitted to 60 level-3 hospitals involved in
the National Cooperative Project for the Prevention and Treatment of Venous
Thromboembolism (NCPPT) was conducted from January 1997 to December 2008. The
only exclusion criterion was an age of less than 18 years. Helical computed
tomography scan, ventilation-perfusion lung scintigraphy or pulmonary angiography
was carried out before or after hospitalization. All images were reviewed and
evaluated independently by two specialists. RESULTS: A total of 18,206 patients
were confirmed with PE from 16,972,182 hospital admissions. The annual incidence
was 0.1% (95% CI: 0.1% to 0.2%). The overall incidence of PE in male patients
(0.2%, 95% CI: 0.1% to 0.3%) was higher than that in female patients (0.1% and
95% CI: 0.0% to 0.1%). An increasing incidence gradient for PE was noticed from
Southern to Northern China. In addition, the case fatality rate was apparently
decreasing: 25.1% (95% CI: 16.2% to 36.9%) in 1997 to 8.7% (95% CI: 3.5% to
15.8%) in 2008. CONCLUSIONS: Our findings suggest the relatively stable PE
incidence and decreasing fatality trends in Chinese hospitals may be partially
attributable to the implementation of the NCCPT and suggest the government should
reevaluate the severity of PE so that health resources for the prevention,
diagnosis and treatment of PE could be used to their fullest.
PMID- 22069475
TI - Does speciation between Arabidopsis halleri and Arabidopsis lyrata coincide with
major changes in a molecular target of adaptation?
AB - Ever since Darwin proposed natural selection as the driving force for the origin
of species, the role of adaptive processes in speciation has remained
controversial. In particular, a largely unsolved issue is whether key divergent
ecological adaptations are associated with speciation events or evolve
secondarily within sister species after the split. The plant Arabidopsis halleri
is one of the few species able to colonize soils highly enriched in zinc and
cadmium. Recent advances in the molecular genetics of adaptation show that the
physiology of this derived ecological trait involves copy number expansions of
the AhHMA4 gene, for which orthologs are found in single copy in the closely
related A. lyrata and the outgroup A. thaliana. To gain insight into the
speciation process, we ask whether adaptive molecular changes at this candidate
gene were contemporary with important stages of the speciation process. We first
inferred the scenario and timescale of speciation by comparing patterns of
variation across the genomic backgrounds of A. halleri and A. lyrata. Then, we
estimated the timing of the first duplication of AhHMA4 in A. halleri. Our
analysis suggests that the historical split between the two species closely
coincides with major changes in this molecular target of adaptation in the A.
halleri lineage. These results clearly indicate that these changes evolved in A.
halleri well before industrial activities fostered the spread of Zn- and Cd
polluted areas, and suggest that adaptive processes related to heavy-metal
homeostasis played a major role in the speciation process.
PMID- 22069476
TI - Fast and cost-effective mining of microsatellite markers using NGS technology: an
example of a Korean water deer Hydropotes inermis argyropus.
AB - BACKGROUND: Microsatellites, a special class of repetitive DNA sequence, have
become one of the most popular genetic markers for population/conservation
genetic studies. However, its application to endangered species has been impeded
by high development costs, a lack of available sequences, and technical
difficulties. The water deer Hydropotes inermis is the sole existing endangered
species of the subfamily Capreolinae. Although population genetics studies are
urgently required for conservation management, no species-specific microsatellite
marker has been reported. METHODS: We adopted next-generation sequencing (NGS) to
elucidate the microsatellite markers of Korean water deer and overcome these
impediments on marker developments. We performed genotyping to determine the
efficiency of this method as applied to population genetics. RESULTS: We obtained
98 Mbp of nucleotide information from 260,467 sequence reads. A total of 20,101
di-/tri-nucleotide repeat motifs were identified; di-repeats were 5.9-fold more
common than tri-repeats. [CA](n) and [AAC](n)/[AAT](n) repeats were the most
frequent di- and tri-repeats, respectively. Of the 17,206 di-repeats, 12,471
microsatellite primer pairs were derived. PCR amplification of 400 primer pairs
yielded 106 amplicons and 79 polymorphic markers from 20 individual Korean water
deer. Polymorphic rates of the 79 new microsatellites varied from 2 to 11 alleles
per locus (H(e): 0.050-0.880; H(o): 0.000-1.000), while those of known
microsatellite markers transferred from cattle to Chinese water deer ranged from
4 to 6 alleles per locus (H(e): 0.279-0.714; H(o): 0.300-0.400). CONCLUSIONS:
Polymorphic microsatellite markers from Korean water deer were successfully
identified using NGS without any prior sequence information and deposited into
the public database. Thus, the methods described herein represent a rapid and low
cost way to investigate the population genetics of endangered/non-model species.
PMID- 22069477
TI - Adjuvant chemotherapy, with or without taxanes, in early or operable breast
cancer: a meta-analysis of 19 randomized trials with 30698 patients.
AB - BACKGROUND: Taxanes have been extensively used as adjuvant chemotherapy for the
treatment of early or operable breast cancer, particularly in high risk, node
negative breast cancer. Previous studies, however, have reported inconsistent
findings regarding their clinical efficacy and safety. We investigated disease
free survival (DFS), overall survival (OS), and drug-related toxicities of
taxanes by a systematic review and meta-analysis. METHODOLOGY AND PRINCIPAL
FINDINGS: We systematically searched PubMed, EMBASE, the Cochrane Center Register
of Controlled Trials, proceedings of major meetings, and reference lists of
articles for studies conducted between January 1980 and April 2011. Randomized
controlled trials (RCTs) comparing chemotherapy with and without taxanes in the
treatment of patients with early-stage or operable breast cancer were eligible
for inclusion in our analysis. The primary endpoint was DFS. Nineteen RCTs
including 30698 patients were identified, including 8426 recurrence events and
3803 deaths. Taxanes administration yielded a 17% reduction of hazard ratio (HR)
for DFS (HR = 0.83, 95% CI 0.79-0.88, p<0.001) and a 17% reduction of HR for OS
(HR = 0.83, 95% CI 0.77-0.90, p<0.001). For high risk, node-negative breast
cancer, the pooled HR also favoured the taxane-based treatment arm over the
taxane-free treatment arm (HR = 0.82, 95% CI 0.77-0.87, p = 0.022). A
significantly increased rate of neutropenia, febrile neutropenia, fatigue,
diarrhea, stomatitis, and oedema was observed in the taxane-based treatment arm.
CONCLUSIONS/SIGNIFICANCE: Adjuvant chemotherapy with taxanes could reduce the
risk of cancer recurrence and death in patients with early or operable breast
cancer, although the drug-related toxicities should be balanced. Furthermore, we
also demonstrated that patients with high risk, node-negative breast cancer also
benefited from taxanes therapy, a result that was not observed in previous
studies.
PMID- 22069478
TI - TOPAZ1, a novel germ cell-specific expressed gene conserved during evolution
across vertebrates.
AB - BACKGROUND: We had previously reported that the Suppression Subtractive
Hybridization (SSH) approach was relevant for the isolation of new mammalian
genes involved in oogenesis and early follicle development. Some of these
transcripts might be potential new oocyte and granulosa cell markers. We have now
characterized one of them, named TOPAZ1 for the Testis and Ovary-specific PAZ
domain gene. PRINCIPAL FINDINGS: Sheep and mouse TOPAZ1 mRNA have 4,803 bp and
4,962 bp open reading frames (20 exons), respectively, and encode putative TOPAZ1
proteins containing 1,600 and 1653 amino acids. They possess PAZ and CCCH
domains. In sheep, TOPAZ1 mRNA is preferentially expressed in females during
fetal life with a peak during prophase I of meiosis, and in males during
adulthood. In the mouse, Topaz1 is a germ cell-specific gene. TOPAZ1 protein is
highly conserved in vertebrates and specifically expressed in mouse and sheep
gonads. It is localized in the cytoplasm of germ cells from the sheep fetal ovary
and mouse adult testis. CONCLUSIONS: We have identified a novel PAZ-domain
protein that is abundantly expressed in the gonads during germ cell meiosis. The
expression pattern of TOPAZ1, and its high degree of conservation, suggests that
it may play an important role in germ cell development. Further characterization
of TOPAZ1 may elucidate the mechanisms involved in gametogenesis, and
particularly in the RNA silencing process in the germ line.
PMID- 22069479
TI - Evaluation of the sublingual route for administration of influenza H5N1 virosomes
in combination with the bacterial second messenger c-di-GMP.
AB - Avian influenza A H5N1 is a virus with pandemic potential. Mucosal vaccines are
attractive as they have the potential to block viruses at the site of entry,
thereby preventing both disease and further transmission. The intranasal route is
safe for the administration of seasonal live-attenuated influenza vaccines, but
may be less suitable for administration of pandemic vaccines. Research into novel
mucosal routes is therefore needed. In this study, a murine model was used to
compare sublingual administration with intranasal and intramuscular
administration of influenza H5N1 virosomes (2 ug haemagglutinin; HA) in
combination with the mucosal adjuvant (3',5')-cyclic dimeric guanylic acid (c-di
GMP). We found that sublingual immunisation effectively induced local and
systemic H5N1-specific humoral and cellular immune responses but that the
magnitude of response was lower than after intranasal administration. However,
both the mucosal routes were superior to intramuscular immunisation for induction
of local humoral and systemic cellular immune responses including high
frequencies of splenic H5N1-specific multifunctional (IL-2+TNF-alpha+) CD4+ T
cells. The c-di-GMP adjuvanted vaccine elicited systemic haemagglutination
inhibition (HI) antibody responses (geometric mean titres >= 40) both when
administered sublingually, intranasally and inramuscularly. In addition, salivary
HI antibodies were elicited by mucosal, but not intramuscular vaccination. We
conclude that the sublingual route is an attractive alternative for
administration of pandemic influenza vaccines.
PMID- 22069480
TI - A suppressor/enhancer screen in Drosophila reveals a role for wnt-mediated lipid
metabolism in primordial germ cell migration.
AB - Wnt proteins comprise a large family of secreted ligands implicated in a wide
variety of biological roles. WntD has previously been shown to inhibit the
nuclear accumulation of Dorsal/NF-kappaB protein during embryonic dorsal/ventral
patterning and the adult innate immune response, independent of the well-studied
Armadillo/beta-catenin pathway. In this paper, we present a novel phenotype for
WntD mutant embryos, suggesting that this gene is involved in migration of
primordial germ cells (PGC) to the embryonic gonad. Additionally, we describe a
genetic suppressor/enhancer screen aimed at identifying genes required for WntD
signal transduction, based on the previous observation that maternal
overexpression of WntD results in lethally dorsalized embryos. Using an algorithm
to narrow down our hits from the screen, we found two novel WntD signaling
components: Fz4, a member of the Frizzled family, and the Drosophila Ceramide
Kinase homolog, Dcerk. We show here that Dcerk and Dmulk (Drosophila Multi
substrate lipid kinase) redundantly mediate PGC migration. Our data are
consistent with a model in which the activity of lipid phosphate phosphatases
shapes a concentration gradient of ceramide-1-phosphate (C1P), the product of
Dcerk, allowing proper PGC migration.
PMID- 22069481
TI - The role of host traits, season and group size on parasite burdens in a
cooperative mammal.
AB - The distribution of parasites among hosts is often characterised by a high degree
of heterogeneity with a small number of hosts harbouring the majority of
parasites. Such patterns of aggregation have been linked to variation in host
exposure and susceptibility as well as parasite traits and environmental factors.
Host exposure and susceptibility may differ with sexes, reproductive effort and
group size. Furthermore, environmental factors may affect both the host and
parasite directly and contribute to temporal heterogeneities in parasite loads.
We investigated the contributions of host and parasite traits as well as season
on parasite loads in highveld mole-rats (Cryptomys hottentotus pretoriae). This
cooperative breeder exhibits a reproductive division of labour and animals live
in colonies of varying sizes that procreate seasonally. Mole-rats were
parasitised by lice, mites, cestodes and nematodes with mites (Androlaelaps sp.)
and cestodes (Mathevotaenia sp.) being the dominant ecto- and endoparasites,
respectively. Sex and reproductive status contributed little to the observed
parasite prevalence and abundances possibly as a result of the shared burrow
system. Clear seasonal patterns of parasite prevalence and abundance emerged with
peaks in summer for mites and in winter for cestodes. Group size correlated
negatively with mite abundance while it had no effect on cestode burdens and
group membership affected infestation with both parasites. We propose that the
mode of transmission as well as social factors constrain parasite propagation
generating parasite patterns deviating from those commonly predicted.
PMID- 22069482
TI - Anesthetic propofol attenuates the isoflurane-induced caspase-3 activation and
Abeta oligomerization.
AB - Accumulation and deposition of beta-amyloid protein (Abeta) are the hallmark
features of Alzheimer's disease. The inhalation anesthetic isoflurane has been
shown to induce caspase activation and increase Abeta accumulation. In addition,
recent studies suggest that isoflurane may directly promote the formation of
cytotoxic soluble Abeta oligomers, which are thought to be the key pathological
species in AD. In contrast, propofol, the most commonly used intravenous
anesthetic, has been reported to have neuroprotective effects. We therefore set
out to compare the effects of isoflurane and propofol alone and in combination on
caspase-3 activation and Abeta oligomerization in vitro and in vivo. Naive and
stably-transfected H4 human neuroglioma cells that express human amyloid
precursor protein, the precursor for Abeta; neonatal mice; and conditioned cell
culture media containing secreted human Abeta40 or Abeta42 were treated with
isoflurane and/or propofol. Here we show for the first time that propofol can
attenuate isoflurane-induced caspase-3 activation in cultured cells and in the
brain tissues of neonatal mice. Furthermore, propofol-mediated caspase inhibition
occurred when there were elevated levels of Abeta. Finally, isoflurane alone
induces Abeta42, but not Abeta40, oligomerization, and propofol can inhibit the
isoflurane-mediated oligomerization of Abeta42. These data suggest that propofol
may mitigate the caspase-3 activation by attenuating the isoflurane-induced
Abeta42 oligomerization. Our findings provide novel insights into the possible
mechanisms of isoflurane-induced neurotoxicity that may aid in the development of
strategies to minimize potential adverse effects associated with the
administration of anesthetics to patients.
PMID- 22069483
TI - Quantification of optic disc edema during exposure to high altitude shows no
correlation to acute mountain sickness.
AB - BACKGROUND: The study aimed to quantify changes of the optic nerve head (ONH)
during exposure to high altitude and to assess a correlation with acute mountain
sickness (AMS). This work is related to the Tuebingen High Altitude Ophthalmology
(THAO) study. METHODOLOGY/PRINCIPAL FINDINGS: A confocal scanning laser
ophthalmoscope (cSLO, Heidelberg Retina Tomograph, HRT3(r)) was used to quantify
changes at the ONH in 18 healthy participants before, during and after rapid
ascent to high altitude (4559 m). Slitlamp biomicroscopy was used for clinical
optic disc evaluation; AMS was assessed with Lake Louise (LL) and AMS-cerebral
(AMS-c) scores; oxygen saturation (SpO2) and heart rate (HR) were monitored.
These parameters were used to correlate with changes at the ONH. After the first
night spent at high altitude, incidence of AMS was 55% and presence of clinical
optic disc edema (ODE) 79%. Key stereometric parameters of the HRT3(r) used to
describe ODE (mean retinal nerve fiber layer [RNFL] thickness, RNFL cross
sectional area, optic disc rim volume and maximum contour elevation) changed
significantly at high altitude compared to baseline (p<0.05) and were consistent
with clinically described ODE. All changes were reversible in all participants
after descent. There was no significant correlation between parameters of ODE and
AMS, SpO2 or HR. CONCLUSIONS/SIGNIFICANCE: Exposure to high altitude leads to
reversible ODE in the majority of healthy subjects. However, these changes did
not correlate with AMS or basic physiologic parameters such as SpO2 and HR. For
the first time, a quantitative approach has been used to assess these changes
during acute, non-acclimatized high altitude exposure. In conclusion, ODE
presents a reaction of the body to high altitude exposure unrelated to AMS.
PMID- 22069484
TI - Bacillus subtilis MreB orthologs self-organize into filamentous structures
underneath the cell membrane in a heterologous cell system.
AB - Actin-like bacterial cytoskeletal element MreB has been shown to be essential for
the maintenance of rod cell shape in many bacteria. MreB forms rapidly
remodelling helical filaments underneath the cell membrane in Bacillus subtilis
and in other bacterial cells, and co-localizes with its two paralogs, Mbl and
MreBH. We show that MreB localizes as dynamic bundles of filaments underneath the
cell membrane in Drosophila S2 Schneider cells, which become highly stable when
the ATPase motif in MreB is modified. In agreement with ATP-dependent filament
formation, the depletion of ATP in the cells lead to rapid dissociation of MreB
filaments. Extended induction of MreB resulted in the formation of membrane
protrusions, showing that like actin, MreB can exert force against the cell
membrane. Mbl also formed membrane associated filaments, while MreBH formed
filaments within the cytosol. When co-expressed, MreB, Mbl and MreBH built up
mixed filaments underneath the cell membrane. Membrane protein RodZ localized to
endosomes in S2 cells, but localized to the cell membrane when co-expressed with
Mbl, showing that bacterial MreB/Mbl structures can recruit a protein to the cell
membrane. Thus, MreB paralogs form a self-organizing and dynamic filamentous
scaffold underneath the membrane that is able to recruit other proteins to the
cell surface.
PMID- 22069485
TI - Biophysical analysis of apolipoprotein E3 variants linked with development of
type III hyperlipoproteinemia.
AB - BACKGROUND: Apolipoprotein E (apoE) is a major protein of the lipoprotein
transport system that plays important roles in lipid homeostasis and protection
from atherosclerosis. ApoE is characterized by structural plasticity and
thermodynamic instability and can undergo significant structural rearrangements
as part of its biological function. Mutations in the 136-150 region of the N
terminal domain of apoE, reduce its low density lipoprotein (LDL) receptor
binding capacity and have been linked with lipoprotein disorders, such as type
III hyperlipoproteinemia (HLP) in humans. However, the LDL-receptor binding
defects for these apoE variants do not correlate well with the severity of
dyslipidemia, indicating that these variants may carry additional properties that
contribute to their pathogenic potential. METHODOLOGY/PRINCIPAL FINDINGS: In this
study we examined whether three type III HLP predisposing apoE3 variants, namely
R136S, R145C and K146E affect the biophysical properties of the protein. Circular
dichroism (CD) spectroscopy revealed that these mutations do not significantly
alter the secondary structure of the protein. Thermal and chemical unfolding
analysis revealed small thermodynamic alterations in each variant compared to
wild-type apoE3, as well as effects in the reversibility of the unfolding
transition. All variants were able to remodel multillamelar 1,2-Dimyristoyl-sn
glycero-3-phosphocholine (DMPC) vesicles, but R136S and R145C had reduced
kinetics. Dynamic light scattering analysis indicated that the variant R136S
exists in a higher-order oligomerization state in solution. Finally, 1
anilinonaphthalene-8-sulfonic acid (ANS) binding suggested that the variant R145C
exposes a larger amount of hydrophobic surface to the solvent.
CONCLUSIONS/SIGNIFICANCE: Overall, our findings suggest that single amino acid
changes in the functionally important region 136-150 of apoE3 can affect the
molecule's stability and conformation in solution and may underlie functional
consequences. However, the magnitude and the non-concerted nature of these
changes, make it unlikely that they constitute a distinct unifying mechanism
leading to type III HLP pathogenesis.
PMID- 22069486
TI - Identification of hepatic niche harboring human acute lymphoblastic leukemic
cells via the SDF-1/CXCR4 axis.
AB - In acute lymphoblastic leukemia (ALL) patients, the bone marrow niche is widely
known to be an important element of treatment response and relapse. Furthermore,
a characteristic liver pathology observed in ALL patients implies that the
hepatic microenvironment provides an extramedullary niche for leukemic cells.
However, it remains unclear whether the liver actually provides a specific niche.
The mechanism underlying this pathology is also poorly understood. Here, to
answer these questions, we reconstituted the histopathology of leukemic liver by
using patients-derived primary ALL cells into NOD/SCID/Yc (null) mice. The liver
pathology in this model was similar to that observed in the patients. By using
this model, we clearly demonstrated that bile duct epithelial cells form a
hepatic niche that supports infiltration and proliferation of ALL cells in the
liver. Furthermore, we showed that functions of the niche are maintained by the
SDF-1/CXCR4 axis, proposing a novel therapeutic approach targeting the
extramedullary niche by inhibition of the SDF-1/CXCR4 axis. In conclusion, we
demonstrated that the liver dissemination of leukemia is not due to nonselective
infiltration, but rather systematic invasion and proliferation of leukemic cells
in hepatic niche. Although the contribution of SDF-1/CXCR4 axis is reported in
some cancer cells or leukemic niches such as bone marrow, we demonstrated that
this axis works even in the extramedullary niche of leukemic cells. Our findings
form the basis for therapeutic approaches that target the extramedullary niche by
inhibiting the SDF-1/CXCR4 axis.
PMID- 22069487
TI - CD44 upregulation in E-cadherin-negative esophageal cancers results in cell
invasion.
AB - E-cadherin is frequently lost during epithelial-mesenchymal transition and the
progression of epithelial tumorigenesis. We found a marker of epithelial
mesenchymal transition, CD44, upregulated in response to functional loss of E
cadherin in esophageal cell lines and cancer. Loss of E-cadherin expression
correlates with increased expression of CD44 standard isoform. Using an
organotypic reconstruct model, we show increased CD44 expression in areas of cell
invasion is associated with MMP-9 at the leading edge. Moreover, Activin A
increases cell invasion through CD44 upregulation after E-cadherin loss. Taken
together, our results provide functional evidence of CD44 upregulation in
esophageal cancer invasion.
PMID- 22069488
TI - Structural, stability, dynamic and binding properties of the ALS-causing T46I
mutant of the hVAPB MSP domain as revealed by NMR and MD simulations.
AB - T46I is the second mutation on the hVAPB MSP domain which was recently identified
from non-Brazilian kindred to cause a familial amyotrophic lateral sclerosis
(ALS). Here using CD, NMR and molecular dynamics (MD) simulations, we
characterized the structure, stability, dynamics and binding capacity of the T46I
MSP domain. The results reveal: 1) unlike P56S which we previously showed to
completely eliminate the native MSP structure, T46I leads to no significant
disruption of the native secondary and tertiary structures, as evidenced from its
far-UV CD spectrum, as well as Calpha and Cbeta NMR chemical shifts. 2)
Nevertheless, T46I does result in a reduced thermodynamic stability and loss of
the cooperative urea-unfolding transition. As such, the T46I-MSP domain is more
prone to aggregation than WT at high protein concentrations and temperatures in
vitro, which may become more severe in the crowded cellular environments. 3) T46I
only causes a 3-fold affinity reduction to the Nir2 peptide, but a significant
elimination of its binding to EphA4. 4) EphA4 and Nir2 peptide appear to have
overlapped binding interfaces on the MSP domain, which strongly implies that two
signaling networks may have a functional interplay in vivo. 5) As explored by
both H/D exchange and MD simulations, the MSP domain is very dynamic, with most
loop residues and many residues on secondary structures highly fluctuated or/and
exposed to bulk solvent. Although T46I does not alter overall dynamics, it does
trigger increased dynamics of several local regions of the MSP domain which are
implicated in binding to EphA4 and Nir2 peptide. Our study provides the
structural and dynamic understanding of the T46I-causing ALS; and strongly
highlights the possibility that the interplay of two signaling networks mediated
by the FFAT-containing proteins and Eph receptors may play a key role in ALS
pathogenesis.
PMID- 22069489
TI - Activation of Sirt1 by resveratrol inhibits TNF-alpha induced inflammation in
fibroblasts.
AB - Inflammation is one of main mechanisms of autoimmune disorders and a common
feature of most diseases. Appropriate suppression of inflammation is a key
resolution to treat the diseases. Sirtuin1 (Sirt1) has been shown to play a role
in regulation of inflammation. Resveratrol, a potent Sirt1 activator, has anti
inflammation property. However, the detailed mechanism is not fully understood.
In this study, we investigated the anti-inflammation role of Sirt1 in NIH/3T3
fibroblast cell line. Upregulation of matrix metalloproteinases 9 (MMP-9),
interleukin-1beta (IL-1beta), IL-6 and inducible nitric oxide synthase (iNOS)
were induced by tumor necrosis factor alpha (TNF-alpha) in 3T3 cells and
resveratrol suppressed overexpression of these pro-inflammatory molecules in a
dose-dependent manner. Knockdown of Sirt1 by RNA interference caused 3T3 cells
susceptible to TNF-alpha stimulation and diminished anti-inflammatory effect of
resveratrol. We also explored potential anti-inflammatory mechanisms of
resveratrol. Resveratrol reduced NF-kappaB subunit RelA/p65 acetylation, which is
notably Sirt1 dependent. Resveratrol also attenuated phosphorylation of mammalian
target of rapamycin (mTOR) and S6 ribosomal protein (S6RP) while ameliorating
inflammation. Our data demonstrate that resveratrol inhibits TNF-alpha-induced
inflammation via Sirt1. It suggests that Sirt1 is an efficient target for
regulation of inflammation. This study provides insight on treatment of
inflammation-related diseases.
PMID- 22069490
TI - A single nucleotide in stem loop II of 5'-untranslated region contributes to
virulence of enterovirus 71 in mice.
AB - BACKGROUND: Enterovirus 71 (EV71) has emerged as a neuroinvasive virus
responsible for several large outbreaks in the Asia-Pacific region while
virulence determinant remains unexplored. PRINCIPAL FINDINGS: In this report, we
investigated increased virulence of unadapted EV71 clinical isolate 237 as
compared with isolate 4643 in mice. A fragment 12 nucleotides in length in stem
loop (SL) II of 237 5'-untranslated region (UTR) visibly reduced survival time
and rate in mice was identified by constructing a series of infectious clones
harboring chimeric 5'-UTR. In cells transfected with bicistronic plasmids, and
replicon RNAs, the 12-nt fragment of isolate 237 enhanced translational
activities and accelerated replication of subgenomic EV71. Finally, single
nucleotide change from cytosine to uridine at base 158 in this short fragment of
5'-UTR was proven to reduce viral translation and EV71 virulence in mice. Results
collectively indicated a pivotal role of novel virulence determinant C158 on
virus translation in vitro and EV71 virulence in vivo. CONCLUSIONS: These results
presented the first reported virulence determinant in EV71 5'-UTR and first
position discovered from unadapted isolates.
PMID- 22069491
TI - Pseudomonas aeruginosa elastase provides an escape from phagocytosis by degrading
the pulmonary surfactant protein-A.
AB - Pseudomonas aeruginosa is an opportunistic pathogen that causes both acute
pneumonitis in immunocompromised patients and chronic lung infections in
individuals with cystic fibrosis and other bronchiectasis. Over 75% of clinical
isolates of P. aeruginosa secrete elastase B (LasB), an elastolytic
metalloproteinase that is encoded by the lasB gene. Previously, in vitro studies
have demonstrated that LasB degrades a number of components in both the innate
and adaptive immune systems. These include surfactant proteins, antibacterial
peptides, cytokines, chemokines and immunoglobulins. However, the contribution of
LasB to lung infection by P. aeruginosa and to inactivation of pulmonary innate
immunity in vivo needs more clarification. In this study, we examined the
mechanisms underlying enhanced clearance of the DeltalasB mutant in mouse lungs.
The DeltalasB mutant was attenuated in virulence when compared to the wild-type
strain PAO1 during lung infection in SP-A+/+ mice. However, the DeltalasB mutant
was as virulent as PAO1 in the lungs of SP-A-/- mice. Detailed analysis showed
that the DeltalasB mutant was more susceptible to SP-A-mediated opsonization but
not membrane permeabilization. In vitro and in vivo phagocytosis experiments
revealed that SP-A augmented the phagocytosis of DeltalasB mutant bacteria more
efficiently than the isogenic wild-type PAO1. The DeltalasB mutant was found to
have a severely reduced ability to degrade SP-A, consequently making it unable to
evade opsonization by the collectin during phagocytosis. These results suggest
that P. aeruginosa LasB protects against SP-A-mediated opsonization by degrading
the collectin.
PMID- 22069493
TI - Recent loss of vitamin C biosynthesis ability in bats.
AB - The traditional assumption that bats cannot synthesize vitamin C (Vc) has been
challenged recently. We have previously shown that two Old World bat species
(Rousettus leschenaultii and Hipposideros armiger) have functional L
gulonolactone oxidase (GULO), an enzyme that catalyzes the last step of Vc
biosynthesis de novo. Given the uncertainties surrounding when and how bats lost
GULO function, exploration of gene evolutionary patterns is needed. We therefore
sequenced GULO genes from 16 bat species in 5 families, aiming to establish their
evolutionary histories. In five cases we identified pseudogenes for the first
time, including two cases in the genus Pteropus (P. pumilus and P.
conspicillatus) and three in family Hipposideridae (Coelops frithi, Hipposideros
speoris, and H. bicolor). Evolutionary analysis shows that the Pteropus clade has
the highest omega ratio and has been subjected to relaxed selection for less than
3 million years. Purifying selection acting on the pseudogenized GULO genes of
roundleaf bats (family Hipposideridae) suggests they have lost the ability to
synthesize Vc recently. Limited mutations in the reconstructed GULO sequence of
the ancestor of all bats contrasts with the many mutations in the ancestral
sequence of recently emerged Pteropus bats. We identified at least five
mutational steps that were then related to clade origination times. Together, our
results suggest that bats lost the ability to biosynthesize vitamin C recently by
exhibiting stepwise mutation patterns during GULO evolution that can ultimately
lead to pseudogenization.
PMID- 22069492
TI - Stanniocalcin-1 regulates re-epithelialization in human keratinocytes.
AB - Stanniocalcin-1 (STC1), a glycoprotein hormone, is believed to be involved in
various biological processes such as inflammation, oxidative responses and cell
migration. Riding on these emerging evidences, we hypothesized that STC1 may
participate in the re-epithelialization during wound healing. Re
epithelialization is a critical step that involves keratinocyte lamellipodia (e
lam) formation, followed by cell migration. In this study, staurosporine (STS)
treatment induced human keratinocyte (HaCaT) e-lam formation on fibronectin
matrix and migration via the activation of focal adhesion kinase (FAK), the surge
of intracellular calcium level [Ca2+]i and the inactivation of Akt. In
accompanied with these migratory features, a time- and dose-dependent increase in
STC1 expression was detected. STC1 gene expression was found not the downstream
target of FAK-signaling as illustrated by FAK inhibition using PF573228. The
reduction of [Ca2+]i by BAPTA/AM blocked the STS-mediated keratinocyte migration
and STC1 gene expression. Alternatively the increase of [Ca2+]i by ionomycin
exerted promotional effect on STS-induced STC1 gene expression. The inhibition of
Akt by SH6 and GSK3beta by lithium chloride (LiCl) could respectively induce and
inhibit the STS-mediated e-lam formation, cell migration and STC1 gene
expression. The STS-mediated e-lam formation and cell migration were notably
hindered or induced respectively by STC1 knockdown or overexpression. This notion
was further supported by the scratched wound assay. Collectively the findings
provide the first evidence that STC1 promotes re-epithelialization in wound
healing.
PMID- 22069494
TI - Characterization of a truncated metabotropic glutamate receptor in a primitive
metazoan, the parasitic flatworm Schistosoma mansoni.
AB - A novel glutamate-binding protein was identified in Schistosoma mansoni. The
protein (SmGBP) is related to metabotropic glutamate receptors from other species
and has a predicted glutamate binding site located within a Venus Flytrap module
but it lacks the heptahelical transmembrane segment that normally characterizes
these receptors. The SmGBP cDNA was cloned, verified by 5' and 3' Rapid
Amplification of cDNA Ends (RACE) and shown to be polyadenylated at the 3'end,
suggesting the transcript is full-length. The cloned cDNA was subsequently
expressed in bacteria and shown to encode a functional glutamate-binding protein.
Other studies, using a specific peptide antibody, determined that SmGBP exists in
two forms, a monomer of the expected size and a stable but non-covalent dimer.
The monomer and dimer are both present in the membrane fraction of S. mansoni and
are resistant to extraction with high-salt, alkaline pH and urea, suggesting
SmGBP is either an integral membrane protein or a peripheral protein that is
tightly associated with the membrane. Surface biotinylation experiments combined
with western blot analyses and confocal immunolocalization revealed that SmGBP
localized to the surface membranes of adult male schistosomes, especially the
dorsal tubercles. In contrast, we detected little or no expression of SmGBP
either in the females or larval stages. A comparative quantitative PCR analysis
confirmed that the level of SmGBP expression is several-fold higher in male worms
than cercariae, and it is barely detectable in adult females. Together, the
results identify SmGBP as a new type of schistosome glutamate receptor that is
both gender- and stage-specific. The high-level expression of this protein in the
male tubercles suggests a possible role in host-parasite interaction.
PMID- 22069496
TI - Mll5 is required for normal spermatogenesis.
AB - BACKGROUND: Mll5 is currently a member of the Mll family of SET domain histone
methyltransferase proteins but studies have also showed that it could be part of
the SET3 branch of proteins. Recently, constitutive knock out animal studies have
shown that Mll5 is required for proper haematopoietic stem cell differentiation,
and loss of Mll5 results in synthetic lethality for genome de-methylation. Mll5
deficient male mice are infertile and here we analyse the consequences of Mll5
deficiency for spermatogenesis. METHODOLOGY/PRINCIPAL FINDINGS: Mll5 deficient
male mice, but not female mice, are infertile. Here we show using RNA in-situ
hybridization that Mll5 is expressed in the germ cells of the testes of wild type
mice. Consistent with the expression of Mll5, we demonstrate by electron
microscopy, video microscopy and in vitro fertilisation techniques that Mll5
deficient mice have defects in terminal maturation and packaging of sperm. The
defects seen include detachment of the acrosomal cap and impaired excess
cytoplasm removal. Functional tests of sperm motility show a lack of progressive
motility of spermatozoa from Mll5 deficient animals. None of these defects could
be rescued by in vitro fertilization. Using microarray analysis we show that
transcripts implicated in spermatogenesis are dysregulated.
CONCLUSIONS/SIGNIFICANCE: Our data demonstrate a clear role of Mll5 in mammalian
spermatogenesis at the level of terminal differentiation providing further
support for its classification in the SET3 branch of proteins. Moreover, this
study identifies Tlk2, Utx, Gpr64, Sult4a1, Rap2ip, Vstm2 and HoxA10 as possible
Mll5 targets that together may account for the observed spermatozoa maturation
defects.
PMID- 22069495
TI - Comparative transcriptional analysis reveals differential gene expression between
asymmetric and symmetric zygotic divisions in tobacco.
AB - Asymmetric cell divisions occur widely during many developmental processes in
plants. In most angiosperms, the first zygotic cell division is asymmetric
resulting in two daughter cells of unequal size and with distinct fates. However,
the critical molecular mechanisms regulating this division remain unknown.
Previously we showed that treatment of tobacco zygotes with beta-glucosyl Yariv
(betaGlcY) could dramatically alter the first zygotic asymmetric division to
produce symmetric two-celled proembryos. In the present study, we isolated
zygotes and two-celled asymmetric proembryos in vivo by micromanipulation, and
obtained symmetric, two-celled proembryos by in vitro cell cultures. Using
suppression-subtractive hybridization (SSH) and macroarray analysis differential
gene expression between the zygote and the asymmetric and symmetric two-celled
proembryos was investigated. After sequencing of the differentially expressed
clones, a total of 1610 EST clones representing 685 non-redundant transcripts
were obtained. Gene ontology (GO) term analysis revealed that these transcripts
include those involved in physiological processes such as response to stimulus,
regulation of gene expression, and localization and formation of anatomical
structures. A homology search against known genes from Arabidopsis indicated that
some of the above transcripts are involved in asymmetric cell division and
embryogenesis. Quantitative real-time PCR confirmed the up- or down-regulation of
the selected candidate transcripts during zygotic division. A few of these
transcripts were expressed exclusively in the zygote, or in either type of the
two-celled proembryos. Expression analyses of select genes in different tissues
and organs also revealed potential roles of these transcripts in fertilization,
seed maturation and organ development. The putative roles of few of the
identified transcripts in the regulation of zygotic division are discussed.
Further functional work on these candidate transcripts will provide important
information for understanding asymmetric zygotic division, generation of apical
basal polarity and cell fate decisions during early embryogenesis.
PMID- 22069497
TI - The temporal order of genetic and pathway alterations in tumorigenesis.
AB - Cancer evolves through the accumulation of mutations, but the order in which
mutations occur is poorly understood. Inference of a temporal ordering on the
level of genes is challenging because clinically and histologically identical
tumors often have few mutated genes in common. This heterogeneity may at least in
part be due to mutations in different genes having similar phenotypic effects by
acting in the same functional pathway. We estimate the constraints on the order
in which alterations accumulate during cancer progression from cross-sectional
mutation data using a probabilistic graphical model termed Hidden Conjunctive
Bayesian Network (H-CBN). The possible orders are analyzed on the level of genes
and, after mapping genes to functional pathways, also on the pathway level. We
find stronger evidence for pathway order constraints than for gene order
constraints, indicating that temporal ordering results from selective pressure
acting at the pathway level. The accumulation of changes in core pathways differs
among cancer types, yet a common feature is that progression appears to begin
with mutations in genes that regulate apoptosis pathways and to conclude with
mutations in genes involved in invasion pathways. H-CBN models provide a
quantitative and intuitive model of tumorigenesis showing that the genetic events
can be linked to the phenotypic progression on the level of pathways.
PMID- 22069498
TI - Capsomer vaccines protect mice from vaginal challenge with human papillomavirus.
AB - Capsomers were produced in bacteria as glutathione-S-transferase (GST) fusion
proteins with human papillomavirus type 16 L1 lacking the first nine and final 29
residues (GST-HPV16L1Delta) alone or linked with residues 13-47 of HPV18, HPV31
and HPV45 L2 in tandem (GST-HPV16L1Delta-L2x3). Subcutaneous immunization of mice
with GST-HPV16L1Delta or GST-HPV16L1Delta-L2x3 in alum and monophosphoryl lipid A
induced similarly high titers of HPV16 neutralizing antibodies. GST-HPV16L1Delta
L2x3 also elicited moderate L2-specific antibody titers. Intravaginal challenge
studies showed that immunization of mice with GST-HPV16 L1Delta or GST
HPV16L1Delta-L2x3 capsomers, like Cervarix(r), provided complete protection
against HPV16. Conversely, vaccination with GST-HPV16 L1Delta capsomers failed to
protect against HPV18 challenge, whereas mice immunized with either GST
HPV16L1Delta-L2x3 capsomers or Cervarix(r) were each completely protected. Thus,
while the L2-specific response was moderate, it did not interfere with immunity
to L1 in the context of GST-HPV16L1Delta-L2x3 and is sufficient to mediate L2
dependent protection against an experimental vaginal challenge with HPV18.
PMID- 22069499
TI - A molecular phylogeny of bivalve mollusks: ancient radiations and divergences as
revealed by mitochondrial genes.
AB - BACKGROUND: Bivalves are very ancient and successful conchiferan mollusks (both
in terms of species number and geographical distribution). Despite their
importance in marine biota, their deep phylogenetic relationships were scarcely
investigated from a molecular perspective, whereas much valuable work has been
done on taxonomy, as well as phylogeny, of lower taxa. METHODOLOGY/PRINCIPAL
FINDINGS: Here we present a class-level bivalve phylogeny with a broad sample of
122 ingroup taxa, using four mitochondrial markers (MT-RNR1, MT-RNR2, MT-CO1, MT
CYB). Rigorous techniques have been exploited to set up the dataset, analyze
phylogenetic signal, and infer a single final tree. In this study, we show the
basal position of Opponobranchia to all Autobranchia, as well as of
Palaeoheterodonta to the remaining Autobranchia, which we here propose to call
Amarsipobranchia. Anomalodesmata were retrieved as monophyletic and basal to
(Heterodonta + Pteriomorphia). CONCLUSIONS/SIGNIFICANCE: Bivalve morphological
characters were traced onto the phylogenetic trees obtained from the molecular
analysis; our analysis suggests that eulamellibranch gills and heterodont hinge
are ancestral characters for all Autobranchia. This conclusion would entail a re
evaluation of bivalve symplesiomorphies.
PMID- 22069500
TI - Substance P is a mechanoresponsive, autocrine regulator of human tenocyte
proliferation.
AB - It has been hypothesised that substance P (SP) may be produced by primary
fibroblastic tendon cells (tenocytes), and that this production, together with
the widespread distribution of the neurokinin-1 receptor (NK-1 R) in tendon
tissue, could play an important role in the development of tendinopathy, a
condition of chronic tendon pain and thickening. The aim of this study was to
examine the possibility of endogenous SP production and the expression of NK-1 R
by human tenocytes. Because tendinopathy is related to overload, and because the
predominant tissue pathology (tendinosis) underlying early tendinopathy is
characterized by tenocyte hypercellularity, the production of SP in response to
loading/strain and the effects of exogenously administered SP on tenocyte
proliferation were also studied. A cell culture model of primary human tendon
cells was used. The vast majority of tendon cells were immunopositive for the
tenocyte/fibroblast markers tenomodulin and vimentin, and immunocytochemical
counterstaining revealed that positive immunoreactions for SP and NK-1 R were
seen in a majority of these cells. Gene expression analyses showed that
mechanical loading (strain) of tendon cell cultures using the FlexCell(c)
technique significantly increased the mRNA levels of SP, whereas the expression
of NK-1 R mRNA decreased in loaded as compared to unloaded tendon cells. Reduced
NK-1 R protein was also observed, using Western blot, after exogenously
administered SP at a concentration of 10-7 M. SP exposure furthermore resulted in
increased cell metabolism, increased cell viability, and increased cell
proliferation, all of which were found to be specifically mediated via the NK-1
R; this in turn involving a common mitogenic cell signalling pathway, namely
phosphorylation of ERK1/2. This study indicates that SP, produced by tenocytes in
response to mechanical loading, may regulate proliferation through an autocrine
loop involving the NK-1 R.
PMID- 22069501
TI - Modulation of synaptic plasticity by stress hormone associates with plastic
alteration of synaptic NMDA receptor in the adult hippocampus.
AB - Stress exerts a profound impact on learning and memory, in part, through the
actions of adrenal corticosterone (CORT) on synaptic plasticity, a cellular model
of learning and memory. Increasing findings suggest that CORT exerts its impact
on synaptic plasticity by altering the functional properties of glutamate
receptors, which include changes in the motility and function of alpha-amino-3
hydroxy-5-methylisoxazole-4-propionic acid subtype of glutamate receptor (AMPAR)
that are responsible for the expression of synaptic plasticity. Here we provide
evidence that CORT could also regulate synaptic plasticity by modulating the
function of synaptic N-methyl-D-aspartate receptors (NMDARs), which mediate the
induction of synaptic plasticity. We found that stress level CORT applied to
adult rat hippocampal slices potentiated evoked NMDAR-mediated synaptic responses
within 30 min. Surprisingly, following this fast-onset change, we observed a slow
onset (>1 hour after termination of CORT exposure) increase in synaptic
expression of GluN2A-containing NMDARs. To investigate the consequences of the
distinct fast- and slow-onset modulation of NMDARs for synaptic plasticity, we
examined the formation of long-term potentiation (LTP) and long-term depression
(LTD) within relevant time windows. Paralleling the increased NMDAR function,
both LTP and LTD were facilitated during CORT treatment. However, 1-2 hours after
CORT treatment when synaptic expression of GluN2A-containing NMDARs is increased,
bidirectional plasticity was no longer facilitated. Our findings reveal the
remarkable plasticity of NMDARs in the adult hippocampus in response to CORT.
CORT-mediated slow-onset increase in GluN2A in hippocampal synapses could be a
homeostatic mechanism to normalize synaptic plasticity following fast-onset
stress-induced facilitation.
PMID- 22069502
TI - The JAK-STAT pathway controls Plasmodium vivax load in early stages of Anopheles
aquasalis infection.
AB - Malaria affects 300 million people worldwide every year and 450,000 in Brazil. In
coastal areas of Brazil, the main malaria vector is Anopheles aquasalis, and
Plasmodium vivax is responsible for the majority of malaria cases in the
Americas. Insects possess a powerful immune system to combat infections. Three
pathways control the insect immune response: Toll, IMD, and JAK-STAT. Here we
analyze the immune role of the A. aquasalis JAK-STAT pathway after P. vivax
infection. Three genes, the transcription factor Signal Transducers and
Activators of Transcription (STAT), the regulatory Protein Inhibitors of
Activated STAT (PIAS) and the Nitric Oxide Synthase enzyme (NOS) were
characterized. Expression of STAT and PIAS was higher in males than females and
in eggs and first instar larvae when compared to larvae and pupae. RNA levels for
STAT and PIAS increased 24 and 36 hours (h) after P. vivax challenge. NOS
transcription increased 36 h post infection (hpi) while this protein was already
detected in some midgut epithelial cells 24 hpi. Imunocytochemistry experiments
using specific antibodies showed that in non-infected insects STAT and PIAS were
found mostly in the fat body, while in infected mosquitoes the proteins were
found in other body tissues. The knockdown of STAT by RNAi increased the number
of oocysts in the midgut of A. aquasalis. This is the first clear evidence for
the involvement of a specific immune pathway in the interaction of the Brazilian
malaria vector A. aquasalis with P. vivax, delineating a potential target for the
future development of disease controlling strategies.
PMID- 22069503
TI - Sleeping sickness in travelers - do they really sleep?
AB - The number of imported Human African Trypanosomiasis (HAT) cases in non-endemic
countries has increased over the last years. The objective of this analysis is to
describe the clinical presentation of HAT in Caucasian travelers. Literature was
screened (MEDLINE, Pubmed) using the terms "Human African Trypanosomiasis",
"travelers" and "expatriates"; all European languages except Slavic ones were
included. Publications without clinical description of patients were only
included in the epidemiological analysis. Forty-five reports on Caucasians with
T.b. rhodesiense and 15 with T.b. gambiense infections were included in the
analysis of the clinical parameters. Both species have presented with fever (T.b.
rhodesiense 97.8% and T.b. gambiense 93.3%), headache (50% each) and a
trypanosomal chancre (T.b. rhodesiense 84.4%, T.b. gambiense 46.7%). While
sleeping disorders dominate the clinical presentation of HAT in endemic regions,
there have been only rare reports in travelers: insomnia (T.b. rhodesiense 7.1%,
T.b. gambiense 21.4%), diurnal somnolence (T.b. rhodesiense 4.8%, T.b. gambiense
none). Surprisingly, jaundice has been seen in 24.2% of the Caucasian T.b.
rhodesiense patients, but has never been described in HAT patients in endemic
regions. These results contrast to the clinical presentation of T.b. gambiense
and T.b. rhodesiense HAT in Africans in endemic regions, where the presentation
of chronic T.b. gambiense and acute T.b. rhodesiense HAT is different. The
analysis of 14 reports on T.b. gambiense HAT in Africans living in a non-endemic
country shows that neurological symptoms such as somnolence (46.2%), motor
deficit (64.3%) and reflex anomalies (14.3%) as well as psychiatric symptoms such
as hallucinations (21.4%) or depression (21.4%) may dominate the clinical
picture. Often, the diagnosis has been missed initially: some patients have even
been hospitalized in psychiatric clinics. In travelers T.b. rhodesiense and
gambiense present as acute illnesses and chancres are frequently seen. The
diagnosis of HAT in Africans living outside the endemic region is often missed or
delayed, leading to presentation with advanced stages of the disease.
PMID- 22069504
TI - Cell-associated flagella enhance the protection conferred by mucosally
administered attenuated Salmonella Paratyphi A vaccines.
AB - BACKGROUND: Antibiotic-resistant Salmonella enterica serovar Paratyphi A, the
agent of paratyphoid A fever, poses an emerging public health dilemma in endemic
areas of Asia and among travelers, as there is no licensed vaccine. Integral to
our efforts to develop a S. Paratyphi A vaccine, we addressed the role of
flagella as a potential protective antigen by comparing cell-associated flagella
with exported flagellin subunits expressed by attenuated strains. METHODOLOGY: S.
Paratyphi A strain ATCC 9150 was first deleted for the chromosomal guaBA locus,
creating CVD 1901. Further chromosomal deletions in fliD (CVD 1901D) or flgK (CVD
1901K) were then engineered, resulting in the export of unpolymerized FliC,
without impairing its overall expression. The virulence of the resulting isogenic
strains was examined using a novel mouse LD(50) model to accommodate the human
host restricted S. Paratyphi A. The immunogenicity of the attenuated strains was
then tested using a mouse intranasal model, followed by intraperitoneal challenge
with wildtype ATCC 9150. RESULTS: Mucosal (intranasal) immunization of mice with
strain CVD 1901 expressing cell-associated flagella conferred superior protection
(vaccine efficacy [VE], 90%) against a lethal intraperitoneal challenge, compared
with the flagellin monomer-exporting mutants CVD 1901K (30% VE) or CVD 1901D (47%
VE). The superior protection induced by CVD 1901 with its cell-attached flagella
was associated with an increased IgG2a:IgG1 ratio of FliC-specific antibodies
with enhanced opsonophagocytic capacity. CONCLUSIONS: Our results clearly suggest
that enhanced anti-FliC antibody-mediated clearance of S. Paratyphi A by
phagocytic cells, induced by vaccines expressing cell-associated rather than
exported FliC, might be contributing to the vaccine-induced protection from S.
Paratyphi A challenge in vivo. We speculate that an excess of IgG1 anti-FliC
antibodies induced by the exported FliC may compete with the IgG2a subtype and
block binding to specific phagocyte Fc receptors that are critical for clearing
an S. Paratyphi A infection.
PMID- 22069505
TI - Crystal structure of the complex mAb 17.2 and the C-terminal region of
Trypanosoma cruzi P2beta protein: implications in cross-reactivity.
AB - Patients with Chronic Chagas' Heart Disease possess high levels of antibodies
against the carboxyl-terminal end of the ribosomal P2beta protein of Trypanosoma
cruzi (TcP2beta). These antibodies, as well as the murine monoclonal antibody
(mAb) 17.2, recognize the last 13 amino acids of TcP2beta (called the R13
epitope: EEEDDDMGFGLFD) and are able to cross-react with, and stimulate, the
beta1 adrenergic receptor (beta1-AR). Indeed, the mAb 17.2 was able to
specifically detect human beta1-AR, stably transfected into HEK cells, by flow
cytometry and to induce repolarisation abnormalities and first degree
atrioventricular conduction block after passive transfer to naive mice. To study
the structural basis of this cross-reactivity, we determined the crystal
structure of the Fab region of the mAb 17.2 alone at 2.31 A resolution and in
complex with the R13 peptide at 1.89 A resolution. We identified as key contact
residues on R13 peptide Glu3, Asp6 and Phe9 as was previously shown by alanine
scanning. Additionally, we generated a model of human beta1-AR to elucidate the
interaction with anti-R13 antibodies. These data provide an understanding of the
molecular basis of cross-reactive antibodies induced by chronic infection with
Trypanosoma cruzi.
PMID- 22069506
TI - Challenges in estimating insecticide selection pressures from mosquito field
data.
AB - Insecticide resistance has the potential to compromise the enormous effort put
into the control of dengue and malaria vector populations. It is therefore
important to quantify the amount of selection acting on resistance alleles, their
contributions to fitness in heterozygotes (dominance) and their initial
frequencies, as a means to predict the rate of spread of resistance in natural
populations. We investigate practical problems of obtaining such estimates, with
particular emphasis on Mexican populations of the dengue vector Aedes aegypti.
Selection and dominance coefficients can be estimated by fitting genetic models
to field data using maximum likelihood (ML) methodology. This methodology,
although widely used, makes many assumptions so we investigated how well such
models perform when data are sparse or when spatial and temporal heterogeneity
occur. As expected, ML methodologies reliably estimated selection and dominance
coefficients under idealised conditions but it was difficult to recover the true
values when datasets were sparse during the time that resistance alleles
increased in frequency, or when spatial and temporal heterogeneity occurred. We
analysed published data on pyrethroid resistance in Mexico that consists of the
frequency of a Ile1,016 mutation. The estimates for selection coefficient and
initial allele frequency on the field dataset were in the expected range,
dominance coefficient points to incomplete dominance as observed in the
laboratory, although these estimates are accompanied by strong caveats about
possible impact of spatial and temporal heterogeneity in selection.
PMID- 22069508
TI - Cortical actin dynamics: Generating randomness by formin(g) and moving.
AB - The actin cytoskeleton plays essential roles in cell polarization and cell
morphogenesis of the budding yeast Saccharomyces cerevisiae. Yeast cells utilize
formin-generated actin cables as tracks for polarized transport, which forms the
basis for a positive feedback loop driving Cdc42-dependent cell polarization.
Previous studies on cable organization mostly focused on polarized actin cables
in budded cells and their role as relatively static tracks for myosin-dependent
organelle transport. Using quantitative live cell imaging, we have recently
characterized the dynamics of cortical actin cables throughout the yeast cell
cycle. Surprisingly, randomly oriented actin cables in G(1) cells exhibited the
highest level of dynamics, while cable dynamics was markedly slowed down upon
cell polarization. We further demonstrated that the rapid dynamics of randomly
oriented cables were driven by the formin Bni1 and Myosin V. Our data suggested a
precise spatio-temporal regulation of the two yeast formins, as well as an
unexpected mechanism of actin cable rearrangement through myosins. Here we
discuss the immediate significance of these findings, which illustrates the
importance of generating randomness for cellular organization.
PMID- 22069507
TI - Tropomyosin isoforms and reagents.
AB - Tropomyosins are rod-like dimers which form head-to-tail polymers along the
length of actin filaments and regulate the access of actin binding proteins to
the filaments.1 The diversity of tropomyosin isoforms, over 40 in mammals, and
their role in an increasing number of biological processes presents a challenge
both to experienced researchers and those new to this field. The increased
appreciation that the role of these isoforms expands beyond that of simply
stabilizing actin filaments has lead to a surge of reagents and techniques to
study their function and mechanisms of action. This report is designed to provide
a basic guide to the genes and proteins and the availability of reagents which
allow effective study of this family of proteins. We highlight the value of
combining multiple techniques to better evaluate the function of different tm
isoforms and discuss the limitations of selected reagents. Brief background
material is included to demystify some of the unfortunate complexity regarding
this multi-gene family of proteins including the unconventional nomenclature of
the isoforms and the evolutionary relationships of isoforms between species.
Additionally, we present step-by-step detailed experimental protocols used in our
laboratory to assist new comers to the field and experts alike.
PMID- 22069509
TI - Coupling of the mechanotransduction machinery and F-actin polymerization in the
cochlear hair bundles.
AB - Mechanoelectrical transduction (MET), the conversion of mechanical stimuli into
electrical signals operated by the sensory cells of the inner ear, enables
hearing and balance perception. Crucial to this process are the tip-links,
oblique fibrous filaments that interconnect the actin-filled stereocilia of
different rows within the hair bundle, and mechanically gate MET channels. In a
recent study, we observed a complete regression of stereocilia from the short and
medium but not the tall row upon the disappearance of the tip-links caused by the
loss of one of their components, cadherin-23, or of one of their anchoring
proteins, sans, in the auditory organs of engineered mutant mice. This indicates
the existence of a coupling between the MET and F-actin polymerization
machineries at the tips of the short and medium stereocilia rows in cochlear hair
bundles. Here, we first present our findings in the mutant mice, and then discuss
the possible effects of the tip-link tension on stereocilia F-actin
polymerization, acting either directly or through Ca(2+)-dependent mechanisms
that involve the gating of MET channels.
PMID- 22069510
TI - The sarcoplasmic reticulum: Actin and tropomodulin hit the links.
AB - Skeletal muscle exhibits strikingly regular intracellular sorting of actin and
tropomodulin (Tmod) isoforms, which are essential for efficient muscle
contraction. A recent study from our laboratory demonstrates that the skeletal
muscle sarcoplasmic reticulum (SR) is associated with cytoplasmic gamma-actin
(gamma(cyto)-actin) filaments, which are predominantly capped by Tmod3. When
Tmod3 is experimentally induced to vacate its SR compartment, the cytoskeletal
organization of SR-associated gamma(cyto)-actin is perturbed, leading to SR
swelling, depressed SR Ca(2+) release and myofibril misalignment. Based on these
findings, Tmod3-capped gamma(cyto)-actin filaments mechanically stabilize SR
structure and regulate SR function via a novel lateral linkage. Furthermore, by
placing these findings in the context of studies in nonmuscle cells, we conclude
that Tmodcapped actin filaments are emerging as critical regulators of membrane
stability and physiology in a broad assortment of cell types.
PMID- 22069511
TI - Lymphocyte polarity, the immunological synapse and the scope of biological
analogy.
AB - Lymphocytes such as T cells, B cells and natural killer (NK) cells form
specialized contacts, called immunological synapses, with other cells in order to
engage in specific intercellular communication and killing. Synapse formation is
associated with the polarization of the microtubule-organizing center (MTOC)
toward the contact site, which enables the directional secretion of cytokines and
lytic factors. Although MTOC reorientation to the synapse is crucial for
lymphocyte function, it has been difficult to study because of technical
constraints. We have developed a photoactivation and imaging strategy that
enables high-resolution analysis of cytoskeletal dynamics in individual T cells.
Using this approach, we have demonstrated that the lipid second messenger
diacylglycerol plays a crucial role in promoting MTOC reorientation by recruiting
three members of the protein kinase C family to the synapse. Here, I will discuss
these results along with studies from other labs, which have explored the role of
polarity-inducing protein complexes after synapse formation. I will also propose
a two-step model for MTOC reorientation in lymphocytes that reflects what we now
know about the subject. Finally, I will consider the extent to which lymphocyte
polarity resembles analogous cell polarity systems in other cell types.
PMID- 22069512
TI - Spectrin-adducin membrane skeleton: A missing link between epithelial junctions
and the actin cytoskeletion?
AB - Adherens junctions (AJs) and tight junctions (TJs) represent key adhesive
structures that regulate the apico-basal polarity and barrier properties of
epithelial layers. AJs and TJs readily undergo disassembly and reassembly during
normal tissue remodeling and disruption of epithelial barriers in diseases. Such
junctional plasticity depends on the orchestrated dynamics of the plasma membrane
with its underlying F-actin cytoskeleton, however the interplay between these
cellular structures remains poorly understood. Recent studies highlighted the
spectrin-adducin-based membrane skeleton as an emerging regulator of AJ and TJ
integrity and remodeling. Here we discuss new evidences implicating adducin,
spectrin and other membrane skeleton proteins in stabilization of epithelial
junctions and regulation of junctional dynamics. Based on the known ability of
the membrane skeleton to link cortical actin filaments to the plasma membrane, we
hypothesize that the spectrin-adducin network serves as a critical signal and
force transducer from the actomyosin cytoskeleton to junctions during remodeling
of AJs and TJs.
PMID- 22069513
TI - Nuclear actin-related proteins take shape.
AB - The function of nuclear actin is poorly understood. It is known to be a discrete
component of several chromatin-modifying complexes. Nevertheless, filamentous
forms of actin are important for various nuclear processes as well. Nuclear actin
is often associated with nuclear actin-related protein Arp4 and other actin
related proteins like Arp8 in the INO80 chromatin remodeler. We recently
determined the crystal structure of S. cerevisiae Arp4 that explains why Arp4 is
unable to form actin like filaments and shows that it is constitutively bound to
an ATP nucleotide. More interestingly, in vitro activities of Arp4 and Arp8 seem
to be directed towards stabilizing monomeric actin and to integrate it
stoichiometrically into the INO80 complex. Based on this activity, we discuss
possible roles of nuclear Arps in chromatin modifying complexes and in regulating
more general aspects of nuclear actin dynamics.
PMID- 22069514
TI - New insights into eyespot placement and assembly in Chlamydomonas.
AB - Aspects of cellular architecture, such as cytoskeletal asymmetry cues, play
critical roles in directing the placement of organelles and establishing the
sites of their formation. In the model green alga Chlamydomonas, the photosensory
eyespot occupies a defined position in relation to the flagella and microtubule
cytoskeleton. Investigations into the cellular mechanisms of eyespot placement
and assembly have aided our understanding of the interplay between cytoskeletal
and plastid components of the cell. The eyespot, which must be assembled anew
after each cell division, is a multi-layered organelle consisting of stacks of
carotenoid-filled pigment granules in the chloroplast and rhodopsin
photoreceptors in the plasma membrane. Placement of the eyespot is determined on
both the latitudinal and longitudinal axes of the cell by the daughter four
membered (D4) microtubule rootlet. Recent findings have contributed to the
hypothesis that the eyespot photoreceptor molecules are directed from the Golgi
to the daughter hemisphere of the cell and trafficked along the D4 microtubule
rootlet. EYE2, a chloroplast-envelope protein, forms an elliptical patch together
with the photoreceptors and establishes the site for assembly of the pigment
granule arrays in the chloroplast, connecting the positioning information of the
cytoskeleton to assembly of the pigment granule arrays in the chloroplast.
PMID- 22069515
TI - Ras and Rho GTPases on the move: The RasGRF connection.
AB - Metastasis involves tumor cells moving through tissues and crossing tissue
boundaries, which requires cell migration, remodeling of cell-to-cell contacts
and interactions with the extracellular matrix. Individual tumor cells move in
three-dimensional environments with either a rounded "ameboid" or an elongated
"mesenchymal" morphology. These two modes of movement are tightly regulated by
Rho family GTPases: elongated movement requires activation of Rac1, whereas
rounded movement engages specific Cdc42 and Rho signaling pathways. It has been
known for some time that events unfolding downstream of Ras GTPases are also
involved in regulating multiple aspects of cell migration and invasion. More
recently, RasGRF2-a Ras activator-has been identified as a suppressor of rounded
movement, by inhibiting the activation of Cdc42, independently of its capacity to
activate Ras. Here, we discuss how Rho and Ras signals can either cooperate or
oppose each other in the regulation of cell migration and invasion.
PMID- 22069516
TI - Next-generation dengue vaccines: novel strategies currently under development.
AB - Dengue has become the most important arboviral infection worldwide with more than
30 million cases of dengue fever estimated to occur each year. The need for a
dengue vaccine is great and several live attenuated dengue candidate vaccines are
proceeding through clinical evaluation. The need to induce a balanced immune
response against all four DENV serotypes with a single vaccine has been a
challenge for dengue vaccine developers. A live attenuated DENV chimeric vaccine
produced by Sanofi Pasteur has recently entered Phase III evaluation in numerous
dengue-endemic regions of the world. Viral interference between serotypes
contained in live vaccines has required up to three doses of the vaccine be given
over a 12-month period of time. For this reason, novel DENV candidate vaccines
are being developed with the goal of achieving a protective immune response with
an immunization schedule that can be given over the course of a few months. These
next-generation candidates include DNA vaccines, recombinant adenovirus vectored
vaccines, alphavirus replicons, and sub-unit protein vaccines. Several of these
novel candidates will be discussed.
PMID- 22069517
TI - Inhibition of geranylgeranyl transferase-I decreases cell viability of HTLV-1
transformed cells.
AB - Human T-cell leukemia virus type-1 (HTLV-1) is the etiological agent of adult T
cell leukemia (ATL), an aggressive and highly chemoresistant malignancy. Rho
family GTPases regulate multiple signaling pathways in tumorigenesis:
cytoskeletal organization, transcription, cell cycle progression, and cell
proliferation. Geranylgeranylation of Rho family GTPases is essential for cell
membrane localization and activation of these proteins. It is currently unknown
whether HTLV-1-transformed cells are preferentially sensitive to
geranylgeranylation inhibitors, such as GGTI-298. In this report, we demonstrate
that GGTI-298 decreased cell viability and induced G(2)/M phase accumulation of
HTLV-1-transformed cells, independent of p53 reactivation. HTLV-1-LTR
transcriptional activity was inhibited and Tax protein levels decreased following
treatment with GGTI-298. Furthermore, GGTI-298 decreased activation of NF-kappaB,
a downstream target of Rho family GTPases. These studies suggest that protein
geranylgeranylation contributes to dysregulation of cell survival pathways in
HTLV-1-transformed cells.
PMID- 22069518
TI - Non-retroviral fossils in vertebrate genomes.
AB - Although no physical fossils of viruses have been found, retroviruses are known
to leave their molecular fossils in the genomes of their hosts, the so-called
endogenous retroviral elements. These have provided us with important information
about retroviruses in the past and their co-evolution with their hosts. On the
other hand, because non-retroviral viruses were considered not to leave such
fossils, even the existence of prehistoric non-retroviral viruses has been
enigmatic. Recently, we discovered that elements derived from ancient
bornaviruses, non-segmented, negative strand RNA viruses, are found in the
genomes of several mammalian species, including humans. In addition, at
approximately the same time, several endogenous elements of RNA viruses, DNA
viruses and reverse-transcribing DNA viruses have been independently reported,
which revealed that non-retroviral viruses have played significant roles in the
evolution of their hosts and provided novel insights into virology and cell
biology. Here we review non-retroviral virus-like elements in vertebrate genomes,
non-retroviral integration and the knowledge obtained from these endogenous non
retroviral virus-like elements.
PMID- 22069519
TI - Next generation sequencing technologies for insect virus discovery.
AB - Insects are commonly infected with multiple viruses including those that cause
sublethal, asymptomatic, and latent infections. Traditional methods for virus
isolation typically lack the sensitivity required for detection of such viruses
that are present at low abundance. In this respect, next generation sequencing
technologies have revolutionized methods for the discovery and identification of
new viruses from insects. Here we review both traditional and modern methods for
virus discovery, and outline analysis of transcriptome and small RNA data for
identification of viral sequences. We will introduce methods for de novo assembly
of viral sequences, identification of potential viral sequences from BLAST data,
and bioinformatics for generating full-length or near full-length viral genome
sequences. We will also discuss implications of the ubiquity of viruses in
insects and in insect cell lines. All of the methods described in this article
can also apply to the discovery of viruses in other organisms.
PMID- 22069520
TI - Feline immunodeficiency virus (FIV) neutralization: a review.
AB - One of the major obstacles that must be overcome in the design of effective
lentiviral vaccines is the ability of lentiviruses to evolve in order to escape
from neutralizing antibodies. The primary target for neutralizing antibodies is
the highly variable viral envelope glycoprotein (Env), a glycoprotein that is
essential for viral entry and comprises both variable and conserved regions. As a
result of the complex trimeric nature of Env, there is steric hindrance of
conserved epitopes required for receptor binding so that these are not accessible
to antibodies. Instead, the humoral response is targeted towards decoy
immunodominant epitopes on variable domains such as the third hypervariable loop
(V3) of Env. For feline immunodeficiency virus (FIV), as well as the related
human immunodeficiency virus-1 (HIV-1), little is known about the factors that
lead to the development of broadly neutralizing antibodies. In cats infected with
FIV and patients infected with HIV-1, only rarely are plasma samples found that
contain antibodies capable of neutralizing isolates from other clades. In this
review we examine the neutralizing response to FIV, comparing and contrasting
with the response to HIV. We ask whether broadly neutralizing antibodies are
induced by FIV infection and discuss the comparative value of studies of
neutralizing antibodies in FIV infection for the development of more effective
vaccine strategies against lentiviral infections in general, including HIV-1.
PMID- 22069521
TI - Prior virus exposure alters the long-term landscape of viral replication during
feline lentiviral infection.
AB - We developed a feline model of lentiviral cross-species transmission using a puma
lentivirus (PLV or FIV(Pco)) which infects domestic cats but does not cause
disease. Infection with PLV protects cats from CD4+ T-cell decline caused by
subsequent infection with virulent feline immunodeficiency virus (FIV). Previous
studies implicate innate immune and/or cellular restriction mechanisms for FIV
disease attenuation in PLV-infected cats. In this study, we evaluated viral
infection and cytokine mRNA transcription in 12 different tissue reservoirs
approximately five months post infection. We quantitated tissue proviral load,
viral mRNA load and relative transcription of IL-10, IL-12p40 and IFNgamma from
tissues of cats exposed to FIV, PLV or both viruses and analyzed these parameters
using a multivariate statistical approach. The distribution and intensity of FIV
infection and IFNgamma transcription differed between single and co-infected
cats, characterized by higher FIV proviral loads and IFNgamma expression in co
infected cat tissues. Variability in FIV mRNA load and IFNgamma was significantly
more constrained in co-infected versus singly infected cat tissues. Single
infected:co-infected ratios of FIV mRNA load compared to FIV proviral load
indicated that active viral transcription was apparently inhibited during co
infection. These results indicate that previous PLV infection increases
activation of tissue innate immunity and constrains the ability of FIV to
productively infect tissue reservoirs of infection for months, independent of FIV
proviral load, supporting a model in which innate immunity and/or modulation of
target cell susceptibility play a key role in PLV-induced protection from FIV
disease.
PMID- 22069522
TI - The hepatitis C virus glycan shield and evasion of the humoral immune response.
AB - Despite the induction of effective immune responses, 80% of hepatitis C virus
(HCV)-infected individuals progress from acute to chronic hepatitis. In contrast
to the cellular immune response, the role of the humoral immune response in HCV
clearance is still subject to debate. Indeed, HCV escapes neutralizing antibodies
in chronically infected patients and reinfection has been described in human and
chimpanzee. Studies of antibody-mediated HCV neutralization have long been
hampered by the lack of cell-culture-derived virus and the absence of a small
animal model. However, the development of surrogate models and recent progress in
HCV propagation in vitro now enable robust neutralization assays to be performed.
These advances are beginning to shed some light on the mechanisms of HCV
neutralization. This review summarizes the current state of knowledge of the
viral targets of anti-HCV-neutralizing antibodies and the mechanisms that enable
HCV to evade the humoral immune response. The recent description of the HCV
glycan shield that reduces the immunogenicity of envelope proteins and masks
conserved neutralizing epitopes at their surface constitutes the major focus of
this review.
PMID- 22069523
TI - Viral ancestors of antiviral systems.
AB - All life must survive their corresponding viruses. Thus antiviral systems are
essential in all living organisms. Remnants of virus derived information are also
found in all life forms but have historically been considered mostly as junk DNA.
However, such virus derived information can strongly affect host susceptibility
to viruses. In this review, I evaluate the role viruses have had in the origin
and evolution of host antiviral systems. From Archaea through bacteria and from
simple to complex eukaryotes I trace the viral components that became essential
elements of antiviral immunity. I conclude with a reexamination of the 'Big Bang'
theory for the emergence of the adaptive immune system in vertebrates by
horizontal transfer and note how viruses could have and did provide crucial and
coordinated features.
PMID- 22069524
TI - The molecular biology of frog virus 3 and other iridoviruses infecting cold
blooded vertebrates.
AB - Frog virus 3 (FV3) is the best characterized member of the family Iridoviridae.
FV3 study has provided insights into the replication of other family members, and
has served as a model of viral transcription, genome replication, and virus
mediated host-shutoff. Although the broad outlines of FV3 replication have been
elucidated, the precise roles of most viral proteins remain unknown. Current
studies using knock down (KD) mediated by antisense morpholino oligonucleotides
(asMO) and small, interfering RNAs (siRNA), knock out (KO) following replacement
of the targeted gene with a selectable marker by homologous recombination,
ectopic viral gene expression, and recombinant viral proteins have enabled
researchers to systematically ascertain replicative- and virulence-related gene
functions. In addition, the application of molecular tools to ecological studies
is providing novel ways for field biologists to identify potential pathogens,
quantify infections, and trace the evolution of ecologically important viral
species. In this review, we summarize current studies using not only FV3, but
also other iridoviruses infecting ectotherms. As described below, general
principles ascertained using FV3 served as a model for the family, and studies
utilizing other ranaviruses and megalocytiviruses have confirmed and extended our
understanding of iridovirus replication. Collectively, these and future efforts
will elucidate molecular events in viral replication, intrinsic and extrinsic
factors that contribute to disease outbreaks, and the role of the host immune
system in protection from disease.
PMID- 22069527
TI - A novel platform for research on toxins.
PMID- 22069526
TI - Recombination in hepatitis C virus.
AB - Hepatitis C virus (HCV) is a Flavivirus with a positive-sense, single-stranded
RNA genome of about 9,600 nucleotides. It is a major cause of liver disease,
infecting almost 200 million people all over the world. Similarly to most RNA
viruses, HCV displays very high levels of genetic diversity which have been used
to differentiate six major genotypes and about 80 subtypes. Although the
different genotypes and subtypes share basic biological and pathogenic features
they differ in clinical outcomes, response to treatment and epidemiology. The
first HCV recombinant strain, in which different genome segments derived from
parentals of different genotypes, was described in St. Petersburg (Russia) in
2002. Since then, there have been only a few more than a dozen reports including
descriptions of HCV recombinants at all levels: between genotypes, between
subtypes of the same genotype and even between strains of the same subtype. Here,
we review the literature considering the reasons underlying the difficulties for
unequivocally establishing recombination in this virus along with the analytical
methods necessary to do it. Finally, we analyze the potential consequences,
especially in clinical practice, of HCV recombination in light of the coming new
therapeutic approaches against this virus.
PMID- 22069525
TI - Cellular restriction factors of feline immunodeficiency virus.
AB - Lentiviruses are known for their narrow cell- and species-tropisms, which are
determined by cellular proteins whose absence or presence either support viral
replication (dependency factors, cofactors) or inhibit viral replication
(restriction factors). Similar to Human immunodeficiency virus type 1 (HIV-1),
the cat lentivirus Feline immunodeficiency virus (FIV) is sensitive to recently
discovered cellular restriction factors from non-host species that are able to
stop viruses from replicating. Of particular importance are the cellular proteins
APOBEC3, TRIM5alpha and tetherin/BST-2. In general, lentiviruses counteract or
escape their species' own variant of the restriction factor, but are targeted by
the orthologous proteins of distantly related species. Most of the knowledge
regarding lentiviral restriction factors has been obtained in the HIV-1 system;
however, much less is known about their effects on other lentiviruses. We
describe here the molecular mechanisms that explain how FIV maintains its
replication in feline cells, but is largely prevented from cross-species
infections by cellular restriction factors.
PMID- 22069528
TI - Effect of neem leaf extract and neem oil on Penicillium growth, sporulation,
morphology and ochratoxin A production.
AB - In vitro trials were conducted to evaluate the effect of Azadirachtaindica (neem)
extracts on mycelial growth, sporulation, morphology and ochratoxin A production
by P. verrucosum and P. brevicompactum. The effect of neem oil extract from seeds
and leaf was evaluated at 0.125; 0.25 and 0.5% and 6.25 and 12.5 mg/mL,
respectively, in Yeast Extract Sucrose (YES) medium. Ochratoxin A production was
evaluated by a thin-layer chromatography technique. Oil extracts exhibited
significant (p <= 0.05) reduction of growth and sporulation of the fungi. No
inhibition of ochratoxin A production was observed. Given its accessibility and
low cost, neem oil could be implemented as part of a sustainable integrated pest
management strategy for plant disease, as it has been shown to be fungitoxic by
inhibition of growth and sporulation.
PMID- 22069529
TI - Intersex tilapia (Oreochromis spp.) from a contaminated river in Taiwan: A case
study.
AB - River pollution in Taiwan is rather serious, but so far there have been no
reports of fish intersex problems. This report reveals that 50% male tilapia in
the Era-Jiin River of southern Taiwan were found to be feminized in an October 8,
1994 collection from station EJ-2 of this river. After discounting all other
possible causative factors, and correlating with endocrine disrupting chemicals
found in this river, we suggest that there is a great possibility that the
occurrence of intersex tilapia was caused by these chemicals. The above finding
suggests that greater attention needs to be given to endocrine disrupting
chemicals problems.
PMID- 22069530
TI - Oxazolone-induced delayed type hypersensitivity reaction in the adult yucatan
pigs. A useful model for drug development and validation.
AB - The purpose of this study was to establish a model of delayed type
hypersensitivity (DTH) reaction in the ear skin of large animals such as adult
Yucatan pigs, which may aid in evaluating the efficacy of therapeutic modalities
of newly developed anti-inflammatory drugs. The pigs were sensitized with
oxazolone, re-challenged with the same irritant six days later, and dosed with
either vehicle or with cyclosporine A (CsA) before and after challenge. CsA
reduced the redness, inhibited the accumulation of ear fluid and inflammatory
cells, as well as the release of the inflammatory mediators. Further, CsA
inhibited the proliferation of T cells collected from the spleens or PBMCs of CsA
treated pigs when these cells were stimulated in vitro with PMA plus Ionomycin.
These results indicate that pig skin can be used to evaluate modalities for the
purpose of developing drugs that may be used to treat DTH in humans.
PMID- 22069531
TI - Predicted roles of the uncharacterized clustered genes in aflatoxin biosynthesis.
AB - Biosynthesis of the toxic and carcinogenic aflatoxins (AFs) requires the activity
of more than 27 enzymes. The roles in biosynthesis of newly described enzymes are
discussed in this review. We suggest that HypC catalyzes the oxidation of
norsolorinic acid anthrone; AvfA (AflI), the ring-closure step in formation of
hydroxyversicolorone; HypB, the second oxidation step in conversion of O
methylsterigmatocystin to AF; and HypE and NorA (AflE), the final two steps in
AFB(1) formation. HypD, an integral membrane protein, affects fungal development
and lowers AF production while AflJ (AflS), has a partial methyltransferase
domain that may be important in its function as a transcriptional co-activator.
PMID- 22069532
TI - Immune response to Chlamydophila abortus POMP91B protein in the context of
different Pathogen Associated Molecular Patterns (PAMP); role of antigen in the
orientation of immune response.
AB - In a previous study, we used bacterial flagellin to deliver antigens such as p27
of Mycobacterium tuberculosis to a host immune system and obtained a potent Th1
response compared to those obtained with Freund's adjuvant and DNA immunization.
In the current study, using a POMP91B antigen of Chlamydophila abortus, a human
and animal pathogen, as a model, we found that this antigen is unable to promote
Th1 response. However, this antigen, unlike others, was able to induce a good Th2
response and IL-4 production after immunization by recombinant protein in
Freund's adjuvant or in phosphate buffered saline. Our results suggest that
immune response is not only dependent on the immunization adjuvant, but also
dependent on the nature of antigen used.
PMID- 22069533
TI - Cyclopiazonic acid biosynthesis of Aspergillus flavus and Aspergillus oryzae.
AB - Cyclopiazonic acid (CPA) is an indole-tetramic acid neurotoxin produced by some
of the same strains of A. flavus that produce aflatoxins and by some Aspergillus
oryzae strains. Despite its discovery 40 years ago, few reviews of its toxicity
and biosynthesis have been reported. This review examines what is currently known
about the toxicity of CPA to animals and humans, both by itself or in combination
with other mycotoxins. The review also discusses CPA biosynthesis and the genetic
diversity of CPA production in A. flavus/oryzae populations.
PMID- 22069534
TI - Asp Viper (Vipera aspis) envenomation: experience of the Marseille Poison Centre
from 1996 to 2008.
AB - A retrospective case review study of viper envenomations collected by the
Marseille's Poison Centre between 1996 and 2008 was performed. RESULTS: 174 cases
were studied (52 grade 1 = G1, 90 G2 and 32 G3). G1 patients received symptomatic
treatments (average hospital stay 0.96 day). One hundred and six (106) of the
G2/G3 patients were treated with the antivenom Viperfav* (2.1+/-0.9 days in
hospital), while 15 of them received symptomatic treatments only (plus one
immediate death) (8.1+/-4 days in hospital, 2 of them died). The hospital stay
was significantly reduced in the antivenom treated group (p < 0.001), and none of
the 106 antivenom treated patients had immediate (anaphylaxis) or delayed (serum
sickness) allergic reactions. CONCLUSION: Viperfav* antivenom was safe and
effective for treating asp viper venom-induced toxicity.
PMID- 22069535
TI - CyanoHAB occurrence and water irrigation cyanotoxin contamination: ecological
impacts and potential health risks.
AB - The world-wide occurrence of harmful cyanobacteria blooms "CyanoHAB" in fresh and
brackish waters creates problems for all life forms. During CyanoHAB events,
toxic cyanobacteria produce cyanotoxins at high levels that can cause chronic and
sub-chronic toxicities to animals, plants and humans. Cyanotoxicity in eukaryotes
has been mainly focused on animals, but during these last years, data, related to
cyanotoxin (mainly microcystins, MCs) impact on both aquatic and terrestrials
crop plants irrigated by water containing these toxins, have become more and more
available. This last cited fact is gaining importance since plants could in a
direct or indirect manner contribute to cyanotoxin transfer through the food
chain, and thus constitute a potent health risk source. The use of this
contaminated irrigation water can also have an economical impact which appears by
a reduction of the germination rate of seeds, and alteration of the quality and
the productivity of crop plants. The main objective of this work was to discuss
the eventual phytotoxicity of cyanotoxins (microcystins) as the major
agricultural impacts induced by the use of contaminated water for plant
irrigation. These investigations confirm the harmful effects (ecological, eco
physiological, socio-economical and sanitary risk) of dissolved MCs on
agricultural plants. Thus, cyanotoxin phytotoxicity strongly suggests a need for
the surveillance of CyanoHAB and the monitoring of water irrigation quality as
well as for drinking water.
PMID- 22069536
TI - Determination of the biological activity and structure activity relationships of
drugs based on the highly cytotoxic duocarmycins and CC-1065.
AB - The natural antibiotics CC-1065 and the duocarmycins are highly cytotoxic
compounds which however are not suitable for cancer therapy due to their general
toxicity. We have developed glycosidic prodrugs of seco-analogues of these
antibiotics for a selective cancer therapy using conjugates of glycohydrolases
and tumour-selective monoclonal antibodies for the liberation of the drugs from
the prodrugs predominantly at the tumour site. For the determination of structure
activity relationships of the different seco-drugs, experiments addressing their
interaction with synthetic DNA were performed. Using electro-spray mass
spectrometry and high performance liquid chromatography, the experiments revealed
a correlation of the stability of these drugs with their cytotoxicity in cell
culture investigations. Furthermore, it was shown that the drugs bind to AT-rich
regions of double-stranded DNA and the more cytotoxic drugs induce DNA
fragmentation at room temperature in several of the selected DNA double-strands.
Finally, an explanation for the very high cytotoxicity of CC-1065, the
duocarmycins and analogous drugs is given.
PMID- 22069537
TI - Comparison of sea snake (Hydrophiidae) neurotoxin to cobra (Naja) neurotoxin.
AB - Both sea snakes and cobras have venoms containing postsynaptic neurotoxins.
Comparison of the primary structures indicates many similarities, especially the
positions of the four disulfide bonds. However, detailed examination reveals
differences in several amino acid residues. Amino acid sequences of sea snake
neurotoxins were determined, and then compared to cobra neurotoxins by computer
modeling. This allowed for easy comparison of the similarities and differences
between the two types of postsynaptic neurotoxins. Comparison of computer models
for the toxins of sea snakes and cobra will reveal the three dimensional
difference of the toxins much clearer than the amino acid sequence alone.
PMID- 22069538
TI - Isolation and chemical characterization of a toxin isolated from the venom of the
sea snake, Hydrophis torquatus aagardi.
AB - Sea snakes (family: Hydrophiidae) are serpents found in the coastal areas of the
Indian and Pacific Oceans. There are two subfamilies in Hydrophiidae:
Hydrophiinae and Laticaudinae. A toxin, aagardi toxin, was isolated from the
venom of the Hydrophiinae snake, Hydrophis torquatus aagardi and its chemical
properties such as molecular weight, isoelectric point, importance of disulfide
bonds, lack of enzymatic activity and amino acid sequence were determined. The
amino acid sequence indicated a close relationship to the primary structure of
other Hydrophiinae toxins and a significant difference from Laticaudinae toxins,
confirming that primary toxin structure is closely related to sea snake
phylogenecity.
PMID- 22069539
TI - Functional analysis of a putative Dothistromin toxin MFS transporter gene.
AB - Dothistromin is a non-host selective toxin produced by the pine needle pathogen
Dothistroma septosporum. Dothistromin is not required for pathogenicity, but may
have a role in competition and niche protection. To determine how D. septosporum
tolerates its own toxin, a putative dothistromin transporter, dotC, was
investigated. Studies with mutants lacking a functional dotC gene, overproducing
dotC, or with a dotC-GFP fusion gene, did not provide conclusive evidence of a
role in dothistromin efflux. The mutants revealed a major effect of dotC on
dothistromin biosynthesis but were resistant to exogenous dothistromin.
Intracellular localization studies suggest that compartmentalization may be
important for dothistromin tolerance.
PMID- 22069540
TI - Preparation of an in-house reference material containing fumonisins in Thai rice
and matrix extension of the analytical method for Japanese rice.
AB - Mycotoxin contamination in rice is less reported, compared to that in wheat or
maize, however, some Fusarium fungi occasionally infect rice in the paddy field.
Fumonisins are mycotoxins mainly produced by Fusarium verticillioides, which
often ruins maize. Rice adherent fungus Gibberella fujikuroi is taxonomically
near to F. verticillioides, and there are sporadic reports of fumonisin
contamination in rice from Asia, Europe and the United States. Therefore, there
exists the potential risk of fumonisin contamination in rice as well as the need
for the validated analytical method for fumonisins in rice. Although both natural
and spiked reference materials are available for some Fusarium mycotoxins in
matrices of wheat and maize, there are no reference materials for Fusarium
mycotoxins in rice. In this study, we have developed a method for the preparation
of a reference material containing fumonisins in Thai rice. A ShakeMaster
grinding machine was used for the preparation of a mixed material of blank Thai
rice and F. verticillioides-infected Thai rice. The homogeneity of the mixed
material was confirmed by one-way analysis of variance, which led this material
to serve as an in-house reference material. Using this reference material,
several procedures to extract fumonisins from Thai rice were compared.
Accordingly, we proved the applicability of an effective extraction procedure for
the determination of fumonisins in Japanese rice.
PMID- 22069541
TI - Fluorescence polarization immunoassay of mycotoxins: a review.
AB - Immunoassays are routinely used in the screening of commodities and foods for
fungal toxins (mycotoxins). Demands to increase speed and lower costs have lead
to continued improvements in such assays. Because many reported mycotoxins are
low molecular weight (below 1 kDa), immunoassays for their detection have
generally been constructed in competitive heterogeneous formats. An exception is
fluorescence polarization immunoassay (FPIA), a homogeneous format that does not
require the separation of bound and free labels (tracer). The potential for
rapid, solution phase, immunoassays has been realized in the development of FPIA
for many of the major groups of mycotoxins, including aflatoxins, fumonisins,
group B trichothecenes (primarily deoxynivalenol), ochratoxin A, and zearalenone.
This review describes the basic principles of FPIA and summarizes recent research
in this area with regard to mycotoxins.
PMID- 22069542
TI - Clostridium perfringens iota-toxin: structure and function.
AB - Clostridium perfringens iota-toxin is composed of the enzyme component (Ia) and
the binding component (Ib). Ib binds to receptor on targeted cells and
translocates Ia into the cytosol of the cells. Ia ADP-ribosylates actin,
resulting in cell rounding and death. Comparisons of the deduced amino acid
sequence from the gene and three-dimensional structure of Ia with those of ADP
ribosylating toxins (ARTs) suggests that there is striking structural similarity
among these toxins. Our objectives are to review the recent advances in the
character, structure-function, and the mode of action of iota-toxin by
consideration of the findings about ARTs.
PMID- 22069543
TI - Protein Domain Analysis of C. botulinum Type A Neurotoxin and Its Relationship
with Other Botulinum Serotypes.
AB - Botulinum neurotoxins (BoNTs) are highly potent poisons produced by seven
serotypes of Clostridium botulinum. The mechanism of neurotoxin action is a
multistep process which leads to the cleavage of one of three different SNARE
proteins essential for synaptic vesicle fusion and transmission of the nerve
signals to muscles: synaptobrevin, syntaxin, or SNAP-25. In order to understand
the precise mechanism of neurotoxin in a host, the domain structure of the
neurotoxin was analyzed among different serotypes of C. botulinum. The results
indicate that neurotoxins type A, C, D, E and F contain a coiled-coil domain
while types B and type G neurotoxin do not. Interestingly, phylogenetic analysis
based on neurotoxin sequences has further confirmed that serotypes B and G are
closely related. These results suggest that neurotoxin has multi-domain
structure, and coiled-coil domain plays an important role in oligomerisation of
the neurotoxin. Domain analysis may help to identify effective antibodies to
treat Botulinum toxin intoxication.
PMID- 22069544
TI - Structure and function of snake venom proteins affecting platelet plug formation.
AB - Many snake venom proteins have been isolated that affect platelet plug formation
by interacting either with platelet integrins, membrane glycoprotein Ib (GPIb),
or plasma von Willebrand factor (VWF). Among them, disintegrins purified from
various snake venoms are strong inhibitors of platelet aggregation. Botrocetin
and bitiscetin derived from Bothrops jararaca and Bitis arietans venom,
respectively, induce VWF-dependent platelet agglutination in vitro. Several GPIb
binding proteins have also been isolated from snake venoms. In this review, we
focus on the structure and function of those snake venom proteins that influence
platelet plug formation. These proteins are potentially useful as reagents for
the sub-diagnosis of platelet disorder or von Willebrand disease, as well as for
clinical and basic research of thrombosis and hemostasis.
PMID- 22069545
TI - Sensing the deadliest toxin: technologies for botulinum neurotoxin detection.
AB - Sensitive and rapid detection of botulinum neurotoxins (BoNTs), the most
poisonous substances known to date, is essential for studies of medical
applications of BoNTs and detection of poisoned food, as well as for response to
potential bioterrorist threats. Currently, the most common method of BoNT
detection is the mouse bioassay. While this assay is sensitive, it is slow, quite
expensive, has limited throughput and requires sacrificing animals. Herein, we
discuss and compare recently developed alternative in vitro detection methods and
assess their ability to supplement or replace the mouse bioassay in the analysis
of complex matrix samples.
PMID- 22069546
TI - Reciprocal interactions between lactoferrin and bacterial endotoxins and their
role in the regulation of the immune response.
AB - Lactoferrin (Lf), an iron-binding glycoprotein expressed in most biological
fluids, represents a major component of the mammalian innate immune system. Lf's
multiple activities rely not only on its capacity to bind iron, but also to
interact with molecular and cellular components of both host and pathogens. Lf
can bind and sequester lipopolysaccharide (LPS), thus preventing pro-inflammatory
pathway activation, sepsis and tissue damage. However, Lf-bound LPS may retain
the capacity to induce cell activation via Toll-like receptor 4-dependent and
independent mechanisms. This review discusses the complex interplay between Lf
and LPS and its relevance in the regulation of the immune response.
PMID- 22069549
TI - Calprotectin (S100A8/S100A9) and myeloperoxidase: co-regulators of formation of
reactive oxygen species.
AB - INFLAMMATORY MEDIATORS TRIGGER POLYMORPHONUCLEAR NEUTROPHILS (PMN) TO PRODUCE
REACTIVE OXYGEN SPECIES (ROS: O(2) (-), H(2)O(2), ?OH). Mediated by
myeloperoxidase in PMN, HOCl is formed, detectable in a chemiluminescence (CL)
assay. We have shown that the abundant cytosolic PMN protein calprotectin
(S100A8/A9) similarly elicits CL in response to H(2)O(2) in a cell-free system.
Myeloperoxidase and calprotectin worked synergistically. Calprotectin-induced CL
increased, whereas myeloperoxidase-triggered CL decreased with pH > 7.5.
Myeloperoxidase needed NaCl for CL, calprotectin did not. 4-hydroxybenzoic acid,
binding ?OH, almost abrogated calprotectin CL, but moderately increased
myeloperoxidase activity. The combination of native calprotectin, or recombinant
S100A8/A9 proteins, with NaOCl markedly enhanced CL. NaOCl may be the synergistic
link between myeloperoxidase and calprotectin. Surprisingly- and unexplained- at
higher concentration of S100A9 the stimulation vanished, suggesting a switch from
pro-oxidant to anti-oxidant function. We propose that the ?OH is predominant in
ROS production by calprotectin, a function not described before.
PMID- 22069547
TI - the versatility of the Helicobacter pylori vacuolating cytotoxin vacA in signal
transduction and molecular crosstalk.
AB - By modulating important properties of eukaryotic cells, many bacterial protein
toxins highjack host signalling pathways to create a suitable niche for the
pathogen to colonize and persist. Helicobacter pylori VacA is paradigm of pore
forming toxins which contributes to the pathogenesis of peptic ulceration.
Several cellular receptors have been described for VacA, which exert different
effects on epithelial and immune cells. The crystal structure of VacA p55 subunit
might be important for elucidating details of receptor interaction and pore
formation. Here we discuss the multiple signalling activities of this important
toxin and the molecular crosstalk between VacA and other virulence factors.
PMID- 22069550
TI - Cytotoxic Necrotizing Factors (CNFs)-A Growing Toxin Family.
AB - The Escherichia coli Cytotoxic Necrotizing Factors, CNF1, CNF2, CNF3 and CNFY
from Yersinia pseudotuberculosis belong to a family of deamidating toxins. CNFs
deamidate glutamine 63/61 in the switch II region of Rho GTPases that is
essential for GTP hydrolysing activity. Deamidation leads to constitutive
activation of Rho GTPases. However, cellular mechanisms like proteasomal
degradation of the activated Rho proteins restrict the action of the GTPases.
This review describes the differences between the toxin family members concerning
expression, cellular entry and substrate specificity.
PMID- 22069552
TI - Bothrops lanceolatus bites: guidelines for severity assessment and emergent
management.
AB - Approximately 20-30 declared snakebite cases occurin Martinique each year.
Bothrops lanceolatus, a member of the Crotalidae family, is considered to be the
only involved snake. B. lanceolatus, commonly named "Fer-de-Lance", is endemic
and only found on this Caribbean island. Envenomation local features include the
presence of fang marks, swelling, pain, bleeding from punctures, and ecchymosis.
Severe envenomation is associated with multiple systemic thromboses appearing
within 48 h of the bite and resulting in cerebral, myocardial or pulmonary
infarctions. Diagnosis requires first of all identification of the snake.
Coagulation tests are helpful to identify thrombocytopenia or disseminated
intravascular coagulation. A clinical score based on 4 grades is helpful to
assess envonimation severity. A specific monovalent equine anti-venom
(Bothrofav((r)), Sanofi-Pasteur, France) to neutralize B. lanceolatus venom is
available. Its early administration within 6h from the biting in case of
progressive local injures, general signs or coagulation disturbances is effective
to prevent severe thrombosis and coagulopathy. Its tolerance is considered to be
good. Despite an increasing incidence of bites, no deaths have been recently
attributed to B. lanceolatus in Martinique, probably due to the currently
recommended strategy of early antivenom administration when required.
PMID- 22069554
TI - PP2A inhibition assay using recombinant enzyme for rapid detection of okadaic
acid and its analogs in shellfish.
AB - Okadaic acid and its analogs (OAs) responsible for diarrhetic shellfish poisoning
(DSP) strongly inhibit protein phosphatase 2A (PP2A) and thus are quantifiable by
measuring the extent of the enzyme inhibition. In this study, we evaluated the
suitability of the catalytic subunit of recombinant human PP2A (rhPP2Ac) for use
in a microplate OA assay. OA, dinophysistoxin-1(DTX1), and hydrolyzate of 7-O
palmitoyl-OA strongly inhibited rhPP2Ac activity with IC(50) values of 0.095,
0.104, and 0.135 nM, respectively. The limits of detection and quantitation for
OA in the digestive gland of scallops and mussels were 0.0348 MUg/g and 0.0611
MUg/g respectively, and, when converted to the whole meat basis, are well below
the regulation level proposed by EU (0.16 MUg/g whole meat). A good correlation
with LC-MS data was demonstrated, the correlation coefficient being 0.996 with
the regression slope of 1.097.
PMID- 22069553
TI - The protein kinase C agonist PEP005 (ingenol 3-angelate) in the treatment of
human cancer: a balance between efficacy and toxicity.
AB - The diterpene ester ingenol-3-angelate (referred to as PEP005) is derived from
the plant Euphorbia peplus. Crude euphorbia extract causes local toxicity and
transient inflammation when applied topically and has been used in the treatment
of warts, skin keratoses and skin cancer. PEP005 is a broad range activator of
the classical (alpha, beta, gamma) and novel (delta, epsilon, eta, theta) protein
kinase C isoenzymes. Direct pro-apoptotic effects of this drug have been
demonstrated in several malignant cells, including melanoma cell lines and
primary human acute myelogenous leukemia cells. At micromolar concentrations
required to kill melanoma cells this agent causes PKC-independent secondary
necrosis. In contrast, the killing of leukemic cells occurs in the nanomolar
range, requires activation of protein kinase C delta (PKCdelta) and is
specifically associated with translocation of PKCdelta from the cytoplasm to the
nuclear membrane. However, in addition to this pro-apoptotic effect the agent
seems to have immunostimulatory effects, including: (i) increased chemokine
release by malignant cells; (ii) a general increase in proliferation and cytokine
release by activated T cells, including T cells derived from patients with
chemotherapy-induced lymphopenia; (iii) local infiltration of neutrophils after
topical application with increased antibody-dependent cytotoxicity; and (iv)
development of specific anti-cancer immune responses by CD8(+) T cells in animal
models. Published studies mainly describe effects from in vitro investigations or
after topical application of the agent, and careful evaluation of the toxicity
after systemic administration is required before the possible use of this agent
in the treatment of malignancies other than skin cancers.
PMID- 22069551
TI - Curcumin-the paradigm of a multi-target natural compound with applications in
cancer prevention and treatment.
AB - As cancer is a multifactor disease, it may require treatment with compounds able
to target multiple intracellular components. We summarize here how curcumin is
able to modulate many components of intracellular signaling pathways implicated
in inflammation, cell proliferation and invasion and to induce genetic
modulations eventually leading to tumor cell death. Clinical applications of this
natural compound were initially limited by its low solubility and bioavailability
in both plasma and tissues but combination with adjuvant and delivery vehicles
was reported to largely improve bio-availability of curcumin. Moreover, curcumin
was reported to act in synergism with several natural compounds or synthetic
agents commonly used in chemotherapy. Based on this, curcumin could thus be
considered as a good candidate for cancer prevention and treatment when used
alone or in combination with other conventional treatments.
PMID- 22069555
TI - Comments on "Ochratoxin A: In utero Exposure in Mice Induces Adducts in
Testicular DNA. Toxins 2010, 2, 1428-1444"-Mis-Citation of Rat Literature to
Justify a Hypothetical Role for Ochratoxin A in Testicular Cancer.
AB - A manuscript in the journal recently cited experimental rat data from two
manuscripts to support plausibility of a thesis that ochratoxin A might be a
cause of human testicular cancer. I believe that there is no experimental
evidence that ochratoxin A produces testicular cancer in rats or mice.
PMID- 22069557
TI - Genomic damage in endstage renal disease-contribution of uremic toxins.
AB - Patients with end-stage renal disease (ESRD), whether on conservative, peritoneal
or hemodialysis therapy, have elevated genomic damage in peripheral blood
lymphocytes and an increased cancer incidence, especially of the kidney. The
damage is possibly due to accumulation of uremic toxins like advanced glycation
endproducts or homocysteine. However, other endogenous substances with genotoxic
properties, which are increased in ESRD, could be involved, such as the blood
pressure regulating hormones angiotensin II and aldosterone or the inflammatory
cytokine TNF-alpha. This review provides an overview of genomic damage observed
in ESRD patients, focuses on possible underlying causes and shows modulations of
the damage by modern dialysis strategies and vitamin supplementation.
PMID- 22069558
TI - Diversity and impact of prokaryotic toxins on aquatic environments: a review.
AB - Microorganisms are ubiquitous in all habitats and are recognized by their
metabolic versatility and ability to produce many bioactive compounds, including
toxins. Some of the most common toxins present in water are produced by several
cyanobacterial species. As a result, their blooms create major threats to animal
and human health, tourism, recreation and aquaculture. Quite a few cyanobacterial
toxins have been described, including hepatotoxins, neurotoxins, cytotoxins and
dermatotoxins. These toxins are secondary metabolites, presenting a vast
diversity of structures and variants. Most of cyanobacterial secondary
metabolites are peptides or have peptidic substructures and are assumed to be
synthesized by non-ribosomal peptide synthesis (NRPS), involving peptide
synthetases, or NRPS/PKS, involving peptide synthetases and polyketide synthases
hybrid pathways. Besides cyanobacteria, other bacteria associated with aquatic
environments are recognized as significant toxin producers, representing
important issues in food safety, public health, and human and animal well being.
Vibrio species are one of the most representative groups of aquatic toxin
producers, commonly associated with seafood-born infections. Some enterotoxins
and hemolysins have been identified as fundamental for V. cholerae and V.
vulnificus pathogenesis, but there is evidence for the existence of other
potential toxins. Campylobacter spp. and Escherichia coli are also water
contaminants and are able to produce important toxins after infecting their
hosts. Other bacteria associated with aquatic environments are emerging as toxin
producers, namely Legionella pneumophila and Aeromonas hydrophila, described as
responsible for the synthesis of several exotoxins, enterotoxins and cytotoxins.
Furthermore, several Clostridium species can produce potent neurotoxins. Although
not considered aquatic microorganisms, they are ubiquitous in the environment and
can easily contaminate drinking and irrigation water. Clostridium members are
also spore-forming bacteria and can persist in hostile environmental conditions
for long periods of time, contributing to their hazard grade. Similarly,
Pseudomonas species are widespread in the environment. Since P. aeruginosa is an
emergent opportunistic pathogen, its toxins may represent new hazards for humans
and animals. This review presents an overview of the diversity of toxins produced
by prokaryotic microorganisms associated with aquatic habitats and their impact
on environment, life and health of humans and other animals. Moreover, important
issues like the availability of these toxins in the environment, contamination
sources and pathways, genes involved in their biosynthesis and molecular
mechanisms of some representative toxins are also discussed.
PMID- 22069559
TI - ADAM-15 disintegrin-like domain structure and function.
AB - The ADAM (a disintegrin-like and metalloproteinase) proteins are a family of
transmembrane cell-surface proteins with important functions in adhesion and
proteolytic processing in all animals. Human ADAM-15 is the only member of the
ADAM family with the integrin binding motif Arg-Gly-Asp (RGD) in its disintegrin
like domain. This motif is also found in most snake venom disintegrins and other
disintegrin-like proteins. This unique RGD motif within ADAM-15 serves as an
integrin ligand binding site, through which it plays a pivotal role in
interacting with integrin receptors, a large family of heterodimeric
transmembrane glycoproteins. This manuscript will present a review of the RGD
containing disintegrin-like domain structures and the structural features
responsible for their activity as antagonists of integrin function in relation to
the canonical RGD template.
PMID- 22069561
TI - Verotoxin-1 treatment or manipulation of its receptor globotriaosylceramide (gb3)
for reversal of multidrug resistance to cancer chemotherapy.
AB - A major problem with anti-cancer drug treatment is the development of acquired
multidrug resistance (MDR) of the tumor cells. Verotoxin-1 (VT-1) exerts its
cytotoxicity by targeting the globotriaosylceramide membrane receptor (Gb3), a
glycolipid associated with multidrug resistance. Gb3 is overexpressed in many
human tumors and tumor cell lines with inherent or acquired MDR. Gb3 is co
expressed and interplays with the membrane efflux transporter P-gp encoded by the
MDR1 gene. P-gp could act as a lipid flippase and stimulate Gb3 induction when
tumor cells are exposed to cancer chemotherapy. Recent work has shown that
apoptosis and inherent or acquired multidrug resistance in Gb3-expressing tumors
could be affected by VT-1 holotoxin, a sub-toxic concentration of the holotoxin
concomitant with chemotherapy or its Gb3-binding B-subunit coupled to cytotoxic
or immunomodulatory drug, as well as chemical manipulation of Gb3 expression. The
interplay between Gb3 and P-gp thus gives a possible physiological approach to
augment the chemotherapeutic effect in multidrug resistant tumors.
PMID- 22069562
TI - Biological and pathological studies of rosmarinic acid as an inhibitor of
hemorrhagic Trimeresurus flavoviridis (habu) venom.
AB - In our previous report, rosmarinic acid (RA) was revealed to be an antidote
active compound in Argusia argentea (family: Boraginaceae). The plant is locally
used in Okinawa in Japan as an antidote for poisoning from snake venom,
Trimeresurus flavoviridis (habu). This article presents mechanistic evidence of
RA's neutralization of the hemorrhagic effects of snake venom. Anti-hemorrhagic
activity was assayed by using several kinds of snake venom. Inhibition against
fibrinogen hydrolytic and collagen hydrolytic activities of T. flavoviridis venom
were examined by SDS-PAGE. A histopathological study was done by microscopy after
administration of venom in the presence or absence of RA. RA was found to
markedly neutralize venom-induced hemorrhage, fibrinogenolysis, cytotoxicity and
digestion of type IV collagen activity. Moreover, RA inhibited both hemorrhage
and neutrophil infiltrations caused by T. flavoviridis venom in pathology
sections. These results demonstrate that RA inhibited most of the hemorrhage
effects of venom. These findings indicate that rosmarinic acid can be expected to
provide therapeutic benefits in neutralization of snake venom accompanied by heat
stability.
PMID- 22069560
TI - Targeting inflammatory pathways by triterpenoids for prevention and treatment of
cancer.
AB - Traditional medicine and diet has served mankind through the ages for prevention
and treatment of most chronic diseases. Mounting evidence suggests that chronic
inflammation mediates most chronic diseases, including cancer. More than other
transcription factors, nuclear factor-kappaB (NF-kappaB) and STAT3 have emerged
as major regulators of inflammation, cellular transformation, and tumor cell
survival, proliferation, invasion, angiogenesis, and metastasis. Thus, agents
that can inhibit NF-kappaB and STAT3 activation pathways have the potential to
both prevent and treat cancer. In this review, we examine the potential of one
group of compounds called triterpenes, derived from traditional medicine and diet
for their ability to suppress inflammatory pathways linked to tumorigenesis.
These triterpenes include avicins, betulinic acid, boswellic acid, celastrol,
diosgenin, madecassic acid, maslinic acid, momordin, saikosaponins, platycodon,
pristimerin, ursolic acid, and withanolide. This review thus supports the famous
adage of Hippocrates, "Let food be thy medicine and medicine be thy food".
PMID- 22069565
TI - Warfarin toxicity and individual variability-clinical case.
AB - Warfarin is a widely used anticoagulant in the treatment and prevention of
thrombosis, in the treatment for chronic atrial fibrillation, mechanical valves,
pulmonary embolism, and dilated cardiomyopathy. It is tasteless and colorless,
was used as a poison, and is still marketed as a pesticide against rats and mice.
Several long-acting warfarin derivatives-superwarfarin anticoagulants-such as
brodifacoum, diphenadione, chlorophacinone, bromadiolone, are used as pesticides
and can produce profound and prolonged anticoagulation. Several factors increase
the risk of warfarin toxicity. However, polymorphisms in cytochrome P450 genes
and drug interactions account for most of the risk for toxicity complications.
Each person is unique in their degree of susceptibility to toxic agents. The
toxicity interpretation and the health risk of most toxic substances are a
subject of uncertainty. Genetically determined low metabolic capacity in an
individual can dramatically alter the toxin and metabolite levels from those
normally expected, which is crucial for drugs with a narrow therapeutic index,
like warfarin. Personalized approaches in interpretation have the potential to
remove some of the scientific uncertainties in toxicity cases.
PMID- 22069563
TI - Mechanisms of Cisplatin nephrotoxicity.
AB - Cisplatin is a widely used and highly effective cancer chemotherapeutic agent.
One of the limiting side effects of cisplatin use is nephrotoxicity. Research
over the past 10 years has uncovered many of the cellular mechanisms which
underlie cisplatin-induced renal cell death. It has also become apparent that
inflammation provoked by injury to renal epithelial cells serves to amplify
kidney injury and dysfunction in vivo. This review summarizes recent advances in
our understanding of cisplatin nephrotoxicity and discusses how these advances
might lead to more effective prevention.
PMID- 22069566
TI - Ecophysiology of Aspergillus section nigri species potential ochratoxin a
producers.
AB - After aflatoxins, ochratoxin A (OTA) is the most studied mycotoxin due to the
toxicological significance in human and animal diets. OTA presence has been
extensively reported worldwide in the last decade in several agricultural
products. The main OTA producer in tropical and temperate climates is Aspergillus
carbonarius followed by species belonging to A. niger aggregate. Currently, many
scientists worldwide have studied the influence of water activity and temperature
for growth and biosynthesis of OTA by these species on synthetic media. This
article reviews ecophysiological studies of Aspergillus section Nigri strains on
synthetic media and natural substrates. The results of these investigations
suggest that significant amounts of OTA can be produced in only five days and
that the use of different storage practices, such as a(W) and temperature levels
below 0.930 and 15 degrees C, respectively, allow controlling fungal
contamination and minimizing the OTA production in several products as peanuts,
corn, dried grapes and derived products for human consumption.
PMID- 22069567
TI - Snake venom disintegrins and cell migration.
AB - Cell migration is a key process for the defense of pluricellular organisms
against pathogens, and it involves a set of surface receptors acting in an
ordered fashion to contribute directionality to the movement. Among these
receptors are the integrins, which connect the cell cytoskeleton to the
extracellular matrix components, thus playing a central role in cell migration.
Integrin clustering at focal adhesions drives actin polymerization along the cell
leading edge, resulting in polarity of cell movement. Therefore, small integrin
binding proteins such as the snake venom disintegrins that inhibit integrin
mediated cell adhesion are expected to inhibit cell migration. Here we review the
current knowledge on disintegrin and disintegrin-like protein effects on cell
migration and their potential use as pharmacological tools in anti-inflammatory
therapy as well as in inhibition of metastatic invasion.
PMID- 22069568
TI - Tetanus toxin C-fragment: the courier and the cure?
AB - In many neurological disorders strategies for a specific delivery of a biological
activity from the periphery to the central nervous system (CNS) remains a
considerable challenge for successful therapy. Reporter assays have established
that the non-toxic C-fragment of tetanus toxin (TTC), provided either as protein
or encoded by non-viral naked DNA plasmid, binds pre-synaptic motor neuron
terminals and can facilitate the retrograde axonal transport of desired
therapeutic molecules to the CNS. Alleviated symptoms in animal models of
neurological diseases upon delivery of therapeutic molecules offer a hopeful
prospect for TTC therapy. This review focuses on what has been learned on TTC
mediated neuronal targeting, and discusses the recent discovery that, instead of
being merely a carrier molecule, TTC itself may well harbor neuroprotective
properties.
PMID- 22069569
TI - Targeted toxins in brain tumor therapy.
AB - Targeted toxins, also known as immunotoxins or cytotoxins, are recombinant
molecules that specifically bind to cell surface receptors that are overexpressed
in cancer and the toxin component kills the cell. These recombinant proteins
consist of a specific antibody or ligand coupled to a protein toxin. The targeted
toxins bind to a surface antigen or receptor overexpressed in tumors, such as the
epidermal growth factor receptor or interleukin-13 receptor. The toxin part of
the molecule in all clinically used toxins is modified from bacterial or plant
toxins, fused to an antibody or carrier ligand. Targeted toxins are very
effective against cancer cells resistant to radiation and chemotherapy. They are
far more potent than any known chemotherapy drug. Targeted toxins have shown an
acceptable profile of toxicity and safety in early clinical studies and have
demonstrated evidence of a tumor response. Currently, clinical trials with some
targeted toxins are complete and the final results are pending. This review
summarizes the characteristics of targeted toxins and the key findings of the
important clinical studies with targeted toxins in malignant brain tumor
patients. Obstacles to successful treatment of malignant brain tumors include
poor penetration into tumor masses, the immune response to the toxin component
and cancer heterogeneity. Strategies to overcome these limitations are being
pursued in the current generation of targeted toxins.
PMID- 22069570
TI - beta-N-methylamino-L-alanine induces neurological deficits and shortened life
span in Drosophila.
AB - The neurotoxic non-protein amino acid, beta-N-methylamino-L-alanine (BMAA), was
first associated with the high incidence of Amyotrophic Lateral
Sclerosis/Parkinsonism Dementia Complex (ALS/PDC) in Guam. Recently, BMAA has
been implicated as a fierce environmental factor that contributes to the etiology
of Alzheimer's and Parkinson's diseases, in addition to ALS. However, the
toxicity of BMAA in vivo has not been clearly demonstrated. Here we report our
investigation of the neurotoxicity of BMAA in Drosophila. We found that dietary
intake of BMAA reduced life span, locomotor functions, and learning and memory
abilities in flies. The severity of the alterations in phenotype is correlated
with the concentration of BMAA detected in flies. Interestingly, developmental
exposure to BMAA had limited impact on survival rate, but reduced fertility in
females, and caused delayed neurological impairment in aged adults. Our studies
indicate that BMAA exposure causes chronic neurotoxicity, and that Drosophila
serves as a useful model in dissecting the pathogenesis of ALS/PDC.
PMID- 22069564
TI - Toxin-based therapeutic approaches.
AB - Protein toxins confer a defense against predation/grazing or a superior
pathogenic competence upon the producing organism. Such toxins have been
perfected through evolution in poisonous animals/plants and pathogenic bacteria.
Over the past five decades, a lot of effort has been invested in studying their
mechanism of action, the way they contribute to pathogenicity and in the
development of antidotes that neutralize their action. In parallel, many research
groups turned to explore the pharmaceutical potential of such toxins when they
are used to efficiently impair essential cellular processes and/or damage the
integrity of their target cells. The following review summarizes major advances
in the field of toxin based therapeutics and offers a comprehensive description
of the mode of action of each applied toxin.
PMID- 22069571
TI - Genetics of dothistromin biosynthesis of Dothistroma septosporum: an update.
AB - Dothistroma needle blight is one of the most devastating fungal pine diseases
worldwide. The disease is characterized by accumulation in pine needles of a red
toxin, dothistromin, that is chemically related to aflatoxin (AF) and
sterigmatocystin (ST). This review updates current knowledge of the genetics of
dothistromin biosynthesis by the Dothistroma septosporum pathogen and highlights
differences in gene organization and regulation that have been discovered between
the dothistromin and AF/ST systems. Some previously reported genes are promoted
or demoted as 'dothistromin genes' based on recent research. A new dothistromin
gene, norB, is reported, and evidence of dothistromin gene homologs in other
Dothideomycete fungi is presented. A hypothesis for the biological role of
dothistromin is outlined. Finally, the impact that the availability of the D.
septosporum genome sequence will have on dothistromin research is discussed.
PMID- 22069573
TI - Genetics of dothistromin biosynthesis in the peanut pathogen Passalora
arachidicola.
AB - The peanut leaf spot pathogen Passalora arachidicola (Mycosphaerella arachidis)
is known to produce dothistromin, a mycotoxin related to aflatoxin. This is a
feature shared with the pine needle pathogen Dothistroma septosporum
(Mycosphaerella pini). Dothistromin biosynthesis in D. septosporum commences at
an unusually early stage of growth in culture compared to most other fungal
secondary metabolites, and the biosynthetic genes are arranged in fragmented
groups, in contrast to aflatoxin gene clusters. Dothistromin biosynthetic genes
were identified and studied in P. arachidicola to determine if the attributes
described in D. septosporum are shared by another dothistromin-producing species
within the Class Dothideomycetes. It was shown that dothistromin biosynthesis is
very similar in the two species with regard to gene sequence and gene synteny.
Functional complementation of D. septosporum mutants with P. arachidicola
dothistromin genes was also possible. These similarities support a vertical mode
of dothistromin gene transmission. P. arachidicola also produced dothistromin at
an early growth stage in culture, suggesting that this type of regulation pattern
may be relevant to the biological role of dothistromin.
PMID- 22069572
TI - Ribosome-inactivating proteins: from plant defense to tumor attack.
AB - Ribosome-inactivating proteins (RIPs) are EC3.2.32.22 N-glycosidases that
recognize a universally conserved stem-loop structure in 23S/25S/28S rRNA,
depurinating a single adenine (A4324 in rat) and irreversibly blocking protein
translation, leading finally to cell death of intoxicated mammalian cells. Ricin,
the plant RIP prototype that comprises a catalytic A subunit linked to a
galactose-binding lectin B subunit to allow cell surface binding and toxin entry
in most mammalian cells, shows a potency in the picomolar range. The most
promising way to exploit plant RIPs as weapons against cancer cells is either by
designing molecules in which the toxic domains are linked to selective tumor
targeting domains or directly delivered as suicide genes for cancer gene therapy.
Here, we will provide a comprehensive picture of plant RIPs and discuss
successful designs and features of chimeric molecules having therapeutic
potential.
PMID- 22069574
TI - Novel cytotoxic vectors based on adeno-associated virus.
AB - Vectors based on adeno-associated virus (AAV) are promising tools for gene
therapy. The production of strongly toxic vectors, for example for cancer
directed gene transfer, is often unfeasible due to uncontrolled expression of
toxic genes in vector-producing cells. Using an approach based on transcriptional
repression, we have created novel AAV vectors carrying the genes coding for
diphtheria toxin A (DTA) and the pro-apoptotic PUMA protein. The DTA vector had a
significant toxic effect on a panel of tumor cell lines, and abrogation of
protein synthesis could be shown. The PUMA vector had a toxic effect on HeLa and
RPMI 8226 cells, and sensitized transduced cells to doxorubicin. To permit
targeted gene transfer, we incorporated the DTA gene into a genetically modified
AAV-2 capsid previously developed by our group that mediates enhanced
transduction of murine breast cancer cells in vitro. This vector had a stronger
cytotoxic effect on breast cancer cells than DTA vectors with wildtype AAV capsid
or vectors with a random capsid modification. The vector production and
application system presented here allows for easy exchange of promotors,
transgenes and capsid specificity for certain target cells. It will therefore be
of great possible value in a broad range of applications in cytotoxic gene
therapy and significantly broadens the spectrum of available tools for AAV-based
gene therapy.
PMID- 22069576
TI - Occurrence of Fusarium spp. and fumonisins in stored wheat grains marketed in
Iran.
AB - Wheat grains are well known to be invaded by Fusarium spp. under field and
storage conditions and contaminated with fumonisins. Therefore, determining
Fusarium spp. and fumonisins in wheat grains is of prime importance to develop
suitable management strategies and to minimize risk. Eighty-two stored wheat
samples produced in Iran were collected from various supermarkets and tested for
the presence of Fusarium spp. by agar plate assay and fumonisins by HPLC. A total
of 386 Fusarium strains were isolated and identified through morphological
characteristics. All these strains belonged to F. culmorum, F. graminearum, F.
proliferatum and F.verticillioides. Of the Fusarium species, F. graminearum was
the most prevalent species, followed by F. verticillioides, F. proliferatum and
then F. culmorum. Natural occurrence of fumonisin B1 (FB1) could be detected in
56 (68.2%) samples ranging from 15-155 MUg/kg, fumonisin B2 (FB2) in 35 (42.6%)
samples ranging from 12-86 MUg/kg and fumonisin B3 (FB3) in 26 (31.7%) samples
ranging from 13-64 MUg/kg. The highest FB1 levels were detected in samples from
Eilam (up to 155 MUg/kg) and FB2 and FB3 in samples from Gilan Gharb (up to 86
MUg/kg and 64 MUg/kg).
PMID- 22069575
TI - Targeted secretion inhibitors-innovative protein therapeutics.
AB - Botulinum neurotoxins are highly effective therapeutic products. Their
therapeutic success results from highly specific and potent inhibition of
neurotransmitter release with a duration of action measured in months. These same
properties, however, make the botulinum neurotoxins the most potent acute lethal
toxins known. Their toxicity and restricted target cell activity severely limits
their clinical utility. Understanding the structure-function relationship of the
neurotoxins has enabled the development of recombinant proteins selectively
incorporating specific aspects of their pharmacology. The resulting proteins are
not neurotoxins, but a new class of biopharmaceuticals, Targeted Secretion
Inhibitors (TSI), suitable for the treatment of a wide range of diseases where
secretion plays a major role. TSI proteins inhibit secretion for a prolonged
period following a single application, making them particularly suited to the
treatment of chronic diseases. A TSI for the treatment of chronic pain is in
clinical development.
PMID- 22069577
TI - Gangliosides block Aggregatibacter Actinomycetemcomitans leukotoxin (LtxA)
mediated hemolysis.
AB - Aggregatibacter actinomycetemcomitans is an oral pathogen and etiologic agent of
localized aggressive periodontitis. The bacterium is also a cardiovascular
pathogen causing infective endocarditis. A. actinomycetemcomitans produces
leukotoxin (LtxA), an important virulence factor that targets white blood cells
(WBCs) and plays a role in immune evasion during disease. The functional receptor
for LtxA on WBCs is leukocyte function antigen-1 (LFA-1), a beta-2 integrin that
is modified with N-linked carbohydrates. Interaction between toxin and receptor
leads to cell death. We recently discovered that LtxA can also lyse red blood
cells (RBCs) and hemolysis may be important for pathogenesis of A.
actinomycetemcomitans. In this study, we further investigated how LtxA might
recognize and lyse RBCs. We found that, in contrast to a related toxin, E. coli
alpha-hemolysin, LtxA does not recognize glycophorin on RBCs. However,
gangliosides were able to completely block LtxA-mediated hemolysis. Furthermore,
LtxA did not show a preference for any individual ganglioside. LtxA also bound to
ganglioside-rich C6 rat glioma cells, but did not kill them. Interaction between
LtxA and C6 cells could be blocked by gangliosides with no apparent specificity.
Gangliosides were only partially effective at preventing LtxA-mediated
cytotoxicity of WBCs, and the effect was only observed when a high ratio of
ganglioside:LtxA was used over a short incubation period. Based on the results
presented here, we suggest that because of the similarity between N-linked sugars
on LFA-1 and the structures of gangliosides, LtxA may have acquired the ability
to lyse RBCs.
PMID- 22069578
TI - The cyanobacteria derived toxin Beta-N-methylamino-L-alanine and amyotrophic
lateral sclerosis.
AB - There is mounting evidence to suggest that environmental factors play a major
role in the development of neurodegenerative diseases like ALS (Amyotrophic
Lateral Sclerosis). The non-protein amino acid beta-N-methylamino-L-alanine
(BMAA) was first associated with the high incidence of Amyotrophic Lateral
Sclerosis/Parkinsonism Dementia Complex (ALS/PDC) in Guam, and has been
implicated as a potential environmental factor in ALS, Alzheimer's disease, and
other neurodegenerative diseases. BMAA has a number of toxic effects on motor
neurons including direct agonist action on NMDA and AMPA receptors, induction of
oxidative stress, and depletion of glutathione. As a non-protein amino acid,
there is also the strong possibility that BMAA could cause intraneuronal protein
misfolding, the hallmark of neurodegeneration. While an animal model for BMAA
induced ALS is lacking, there is substantial evidence to support a link between
this toxin and ALS. The ramifications of discovering an environmental trigger for
ALS are enormous. In this article, we discuss the history, ecology, pharmacology
and clinical ramifications of this ubiquitous, cyanobacteria-derived toxin.
PMID- 22069579
TI - Spider-venom peptides as therapeutics.
AB - Spiders are the most successful venomous animals and the most abundant
terrestrial predators. Their remarkable success is due in large part to their
ingenious exploitation of silk and the evolution of pharmacologically complex
venoms that ensure rapid subjugation of prey. Most spider venoms are dominated by
disulfide-rich peptides that typically have high affinity and specificity for
particular subtypes of ion channels and receptors. Spider venoms are
conservatively predicted to contain more than 10 million bioactive peptides,
making them a valuable resource for drug discovery. Here we review the structure
and pharmacology of spider-venom peptides that are being used as leads for the
development of therapeutics against a wide range of pathophysiological conditions
including cardiovascular disorders, chronic pain, inflammation, and erectile
dysfunction.
PMID- 22069580
TI - Strategy for treating motor neuron diseases using a fusion protein of botulinum
toxin binding domain and streptavidin for viral vector access: work in progress.
AB - Although advances in understanding of the pathogenesis of amyotrophic lateral
sclerosis (ALS) and spinal muscular atrophy (SMA) have suggested attractive
treatment strategies, delivery of agents to motor neurons embedded within the
spinal cord is problematic. We have designed a strategy based on the specificity
of botulinum toxin, to direct entry of viral vectors carrying candidate
therapeutic genes into motor neurons. We have engineered and expressed fusion
proteins consisting of the binding domain of botulinum toxin type A fused to
streptavidin (SAv). This fusion protein will direct biotinylated viral vectors
carrying therapeutic genes into motor nerve terminals where they can enter the
acidified endosomal compartments, be released and undergo retrograde transport,
to deliver the genes to motor neurons. Both ends of the fusion proteins are shown
to be functionally intact. The binding domain end binds to mammalian nerve
terminals at neuromuscular junctions, ganglioside GT1b (a target of botulinum
toxin), and a variety of neuronal cells including primary chick embryo motor
neurons, N2A neuroblastoma cells, NG108-15 cells, but not to NG CR72 cells, which
lack complex gangliosides. The streptavidin end binds to biotin, and to a
biotinylated Alexa 488 fluorescent tag. Further studies are in progress to
evaluate the delivery of genes to motor neurons in vivo, by the use of
biotinylated viral vectors.
PMID- 22069582
TI - Pasteurella multocida toxin activates various heterotrimeric G proteins by
deamidation.
AB - Pasteurella multocida produces a 146-kDa protein toxin (Pasteurella multocida
toxin, PMT), which stimulates diverse cellular signal transduction pathways by
activating heterotrimeric G proteins. PMT deamidates a conserved glutamine
residue of the alpha-subunit of heterotrimeric G proteins that is essential for
GTP-hydrolysis, thereby arresting the G protein in the active state. The toxin
substrates are Galpha(q) Galpha(13) and the Galpha(i)-family proteins. Activation
of these alpha-subunits causes stimulation of phospholipase Cbeta, Rho-guanine
nucleotide exchange factors or inhibition of adenylyl cyclase. This article
provides the current knowledge on PMT concerning the structure-function analysis
based on the crystal structure and recently elucidated molecular mode of action.
Furthermore, the impact of PMT on cellular signaling is discussed.
PMID- 22069581
TI - Botulinum neurotoxin for pain management: insights from animal models.
AB - The action of botulinum neurotoxins (BoNTs) at the neuromuscular junction has
been extensively investigated and knowledge gained in this field laid the
foundation for the use of BoNTs in human pathologies characterized by excessive
muscle contractions. Although much more is known about the action of BoNTs on the
peripheral system, growing evidence has demonstrated several effects also at the
central level. Pain conditions, with special regard to neuropathic and
intractable pain, are some of the pathological states that have been recently
treated with BoNTs with beneficial effects. The knowledge of the action and
potentiality of BoNTs utilization against pain, with emphasis for its possible
use in modulation and alleviation of chronic pain, still represents an
outstanding challenge for experimental research. This review highlights recent
findings on the effects of BoNTs in animal pain models.
PMID- 22069583
TI - Animal Toxins: How is Complexity Represented in Databases?
AB - Peptide toxins synthesized by venomous animals have been extensively studied in
the last decades. To be useful to the scientific community, this knowledge has
been stored, annotated and made easy to retrieve by several databases. The aim of
this article is to present what type of information users can access from each
database. ArachnoServer and ConoServer focus on spider toxins and cone snail
toxins, respectively. UniProtKB, a generalist protein knowledgebase, has an
animal toxin-dedicated annotation program that includes toxins from all venomous
animals. Finally, the ATDB metadatabase compiles data and annotations from other
databases and provides toxin ontology.
PMID- 22069584
TI - Escherichia coli cytotoxic necrotizing factor 1 (CNF1): toxin biology, in vivo
applications and therapeutic potential.
AB - CNF1 is a protein toxin produced by certain pathogenic strains of Escherichia
coli. It permanently activates the regulatory Rho, Rac, and Cdc42 GTPases in
eukaryotic cells, by deamidation of a glutamine residue. This modification
promotes new activities in cells, such as gene transcription, cell proliferation
and survival. Since the Rho GTPases play a pivotal role also in several processes
in vivo, the potentiality of CNF1 to act as a new pharmacological tool has been
explored in experimental animals and in diverse pathological contexts. In this
review, we give an update overview on the potential in vivo applications of CNF1.
PMID- 22069585
TI - Detection of fumonisin b1 and ochratoxin a in grain products using microsphere
based fluid array immunoassays.
AB - Grain products are a staple of diets worldwide and therefore, the ability to
accurately and efficiently detect foodborne contaminants such as mycotoxins is of
importance to everyone. Here we describe an indirect competitive fluid array
fluoroimmunoassay to quantify the mycotoxins, fumonisin B1 and ochratoxin A. Both
toxins were immobilized to the surface of microspheres using a variety of
intermediate molecules and binding of biotinylated "tracer" antibody tracers
determined through flow cytometry using streptavidin-phycoerythrin conjugates and
the Luminex100 flow cytometer. Competitive assays were developed where the
binding of biotinylated monoclonal antibodies to fumonisin B and ochratoxin A was
competitively inhibited by different concentrations of those toxins in solution.
Concentrations of fumonisin giving 50% inhibition were 300 pg/mL in buffer, 100
ng/g in spiked oats, and 1 MUg/g in spiked cornmeal; analogous concentrations for
ochratoxin A were 30 ng/mL in buffer, 30 ng/g in spiked oats, and 10 ng/g in
spiked corn. The future challenge will be to expand the number of mycotoxins
tested both individually and in multiplexed format using this platform.
PMID- 22069586
TI - Cholera toxin: an intracellular journey into the cytosol by way of the
endoplasmic reticulum.
AB - Cholera toxin (CT), an AB(5)-subunit toxin, enters host cells by binding the
ganglioside GM1 at the plasma membrane (PM) and travels retrograde through the
trans-Golgi Network into the endoplasmic reticulum (ER). In the ER, a portion of
CT, the enzymatic A1-chain, is unfolded by protein disulfide isomerase and retro
translocated to the cytosol by hijacking components of the ER associated
degradation pathway for misfolded proteins. After crossing the ER membrane, the
A1-chain refolds in the cytosol and escapes rapid degradation by the proteasome
to induce disease by ADP-ribosylating the large G-protein Gs and activating
adenylyl cyclase. Here, we review the mechanisms of toxin trafficking by GM1 and
retro-translocation of the A1-chain to the cytosol.
PMID- 22069587
TI - A pilot study of nuclear instability in archived renal and upper urinary tract
tumours with putative ochratoxin aetiology.
AB - DNA ploidy measurement has been applied uniquely to wax-embedded tissue of
primary renal cell and metastatic tumours of a key experimental researcher on
porcine ochratoxicosis, a control, and four transitional cell carcinomas from
cases of Balkan endemic nephropathy. Primary renal tumour was diploid, and
hyperdiploid metastasis was within the lower ploidy range for typical renal cell
carcinoma. Three Balkan primary tumours showed extensive aneuploidy indicating
marked nuclear instability, similar to model rat renal carcinoma caused by
ochratoxin A. In contrast, much less nuclear instability in the putative
occupational ochratoxicosis case fitted poorly with the ochratoxin A model.
PMID- 22069588
TI - Porphyromonas gingivalis gingipains trigger a proinflammatory response in human
monocyte-derived macrophages through the p38alpha mitogen-activated protein
kinase signal transduction pathway.
AB - Porphyromonas gingivalis, the major etiologic agent of chronic periodontitis,
produces a broad spectrum of virulence factors, including Arg- and Lys-gingipain
cysteine proteinases. In this study, we investigated the capacity of P.
gingivalis gingipains to trigger a proinflammatory response in human monocyte
derived macrophages. Both Arg- and Lys-gingipain preparations induced the
secretion of TNF-alpha and IL-8 by macrophages. Stimulation of macrophages with
Arg-gingipain A/B preparation at the highest concentration was associated with
lower amounts of cytokines detected, a phenomenon likely related to proteolytic
degradation. The inflammatory response induced by gingipains was not dependent of
their catalytic activity since heat-inactivated preparations were still
effective. Stimulating macrophages with gingipain preparations was associated
with increased levels of phosphorylated p38alpha MAPK suggesting its involvement
in cell activation. In conclusion, our study brought clear evidence that P.
gingivalis Arg- and Lys-gingipains may contribute to the host inflammatory
response, a critical factor in periodontitis-associated tissue destruction.
PMID- 22069589
TI - Environmental factors and interactions with mycobiota of grain and grapes:
effects on growth, deoxynivalenol and ochratoxin production by Fusarium culmorum
and Aspergillus carbonarius.
AB - Mycotoxigenic fungi colonizing food matrices are inevitably competing with a wide
range of other resident fungi. The outcomes of these interactions are influenced
by the prevailing environmental conditions and the competing species. We have
evaluated the competitiveness of F. culmorum and A. carbonarius in the grain and
grape food chain for their in vitro and in situ dominance in the presence of
other fungi, and the effect that such interactions have on colony interactions,
growth and deoxynivalenol (DON) and ochratoxin A (OTA) production. The Index of
Dominance shows that changes in water activity (a(w)) and temperature affect the
competitiveness of F. culmorum and A. carbonarius against up to nine different
fungi. Growth of both mycotoxigenic species was sometimes inhibited by the
presence of other competing fungi. For example, A. niger uniseriate and biseriate
species decreased growth of A. carbonarius, while Aureobasidium pullulans and
Cladosporium species stimulated growth. Similar changes were observed when F.
graminearum was interacting with other grain fungi such as Alternaria alternata,
Cladopsorium herbarum and Epicoccum nigrum. The impact on DON and OTA production
was very different. For F. culmorum, the presence of other species often
inhibited DON production over a range of environmental conditions. For A.
carbonarius, on a grape-based medium, the presence of certain species resulted in
a significant stimulation of OTA production. However, this was influenced by both
temperature and a(w) level. This suggests that the final mycotoxin concentrations
observed in food matrices may be due to complex interactions between species and
the environmental history of the samples analyzed.
PMID- 22069590
TI - Role of the osmotic stress regulatory pathway in morphogenesis and secondary
metabolism in filamentous fungi.
AB - Environmental stimuli trigger an adaptative cellular response to optimize the
probability of survival and proliferation. In eukaryotic organisms from mammals
to fungi osmotic stress, mainly through the action of the high osmolarity
glycerol (HOG) pathway, leads to a response necessary for adapting and surviving
hyperosmotic environments. In this review we show that the osmoadaptative
response is conserved but not identical in different fungi. The osmoadaptative
response system is also intimately linked to morphogenesis in filamentous fungi,
including mycotoxin producers. Previous studies indicate that the response to
osmotic stress is also coupled to the biosynthesis of natural products, including
mycotoxins.
PMID- 22069591
TI - Development of an electrochemical immunosensor for fumonisins detection in foods.
AB - An electrochemical affinity sensor for the determination of fumonisins mycotoxins
(Fms) using monoclonal antibody modified screen-printed gold electrode with
carbon counter and silver-silver chloride pseudo-reference electrode is reported
in this work. A direct competitive enzyme-linked immunosorbent assay (ELISA) was
initially developed, exhibiting a detection limit of 100 ug.L(-1 )for fumonisins.
This was then transferred to the surface of a bare gold screen-printed electrode
(SPGE) and detection was performed by chronoamperometry, monitoring the reaction
of 3,3',5,5'-Tetramethylbenzidine dihydrochloride (TMB) and hydrogen peroxide
(H(2)O(2)) catalysed by HRP at -100 mV potential vs. onboard Ag-AgCl pseudo
reference electrode. The immunosensor exhibited detection limit of 5 ug.L(-1)
fumonisins with a dynamic range from 1 ug.L(-1)-1000 ug.L(-1). The sensor also
performed well in extracted corn samples.
PMID- 22069592
TI - The black Aspergillus species of maize and peanuts and their potential for
mycotoxin production.
AB - The black spored fungi of the subgenera Circumdata, the section Nigri
(=Aspergillus niger group) is reviewed relative to their production of mycotoxins
and their effects on plants as pathogens. Molecular methods have revealed more
than 18 cryptic species, of which several have been characterized as potential
mycotoxin producers. Others are defined as benign relative to their ability to
produce mycotoxins. However, these characterizations are based on in vitro
culture and toxins production. Several can produce the ochratoxins that are toxic
to livestock, poultry, and humans. The black aspergilli produce rots of grapes,
maize, and numerous other fruits and grain and they are generally viewed as post
harvest pathogens. Data are review to suggest that black aspergilli, as so many
others, are symptomless endophytes. These fungi and their mycotoxins contaminate
several major grains, foodstuffs, and products made from them such as wine, and
coffee. Evidence is presented that the black aspergilli are producers of other
classes of mycotoxins such as the fumonisins, which are known carcinogenic and
known prior investigations as being produced by the Fusarium species. Three
species are identified in U.S. maize and peanuts as symptomless endophytes, which
suggests the potential for concern as pathogens and as food safety hazards.
PMID- 22069593
TI - Inhibition of hemorragic snake venom components: old and new approaches.
AB - Snake venoms are complex toxin mixtures. Viperidae and Crotalidae venoms, which
are hemotoxic, are responsible for most of the envenomations around the world.
Administration of antivenins aimed at the neutralization of toxins in humans is
prone to potential risks. Neutralization of snake venom toxins has been achieved
through different approaches: plant extracts have been utilized in etnomedicine.
Direct electric current from low voltage showed neutralizing properties against
venom phospholipase A2 and metalloproteases. This mini-review summarizes new
achievements in venom key component inhibition. A deeper knowledge of alternative
ways to inhibit venom toxins may provide supplemental treatments to serum
therapy.
PMID- 22069595
TI - Effects of aflatoxin B(1) and fumonisin B(1) on blood biochemical parameters in
broilers.
AB - The individual and combined effects of dietary aflatoxin B(1 )(AFB(1)) and
fumonisin B(1) (FB(1)) on liver pathology, serum levels of aspartate amino
transferase (AST) and plasma total protein (TP) of broilers were evaluated from 8
to 41 days of age. Dietary treatments included a 3 * 3 factorial arrangement with
three levels of AFB(1 )(0, 50 and 200 MUg AFB(1)/kg), and three levels of FB(1
)(0, 50 and 200 mg FB(1)/kg). At 33 days post feeding, with the exception of
birds fed 50 mg FB(1 )only, concentrations of AST were higher (p < 0.05) in all
other treatment groups when compared with controls. Plasma TP was lower (p <
0.05) at six days post feeding in groups fed 200 MUg AFB(1)/kg alone or in
combination with FB(1). At day 33 days post feeding, with the exception of birds
fed the highest combination of AFB(1 )and FB(1 )which had higher plasma TP than
control birds(, )plasma TP of birds fed other dietary treatments were similar to
controls. Broilers receiving the highest levels of AFB(1) and FB(1) had bile duct
proliferation and trabecular disorder in liver samples. AFB(1) singly or in
combination with FB at the levels studied, caused liver damage and an increase in
serum levels of AST.
PMID- 22069594
TI - Toxins-useful biochemical tools for leukocyte research.
AB - Leukocytes are a heterogeneous group of cells that display differences in
anatomic localization, cell surface phenotype, and function. The different
subtypes include e.g., granulocytes, monocytes, dendritic cells, T cells, B cells
and NK cells. These different cell types represent the cellular component of
innate and adaptive immunity. Using certain toxins such as pertussis toxin,
cholera toxin or clostridium difficile toxin, the regulatory functions of
Galpha(i), Galphas and small GTPases of the Rho family in leukocytes have been
reported. A summary of these reports is discussed in this review.
PMID- 22069596
TI - Ochratoxin a: general overview and actual molecular status.
AB - Ochratoxin A (OTA) is a mycotoxin produced by several species of Aspergillus and
Penicillium fungi that structurally consists of a para-chlorophenolic group
containing a dihydroisocoumarin moiety that is amide-linked to L-phenylalanine.
OTA is detected worldwide in various food and feed sources. Studies show that
this molecule can have several toxicological effects such as nephrotoxic,
hepatotoxic, neurotoxic, teratogenic and immunotoxic. A role in the etiology of
Balkan endemic nephropathy and its association to urinary tract tumors has been
also proved. In this review, we will explore the general aspect of OTA: physico
chemical properties, toxicological profile, OTA producing fungi, contaminated
food, regulation, legislation and analytical methods. Due to lack of sufficient
information related to the molecular background, this paper will discuss in
detail the recent advances in molecular biology of OTA biosynthesis, based on
information and on new data about identification and characterization of
ochratoxin biosynthetic genes in both Penicillium and Aspergillus species. This
review will also cover the development of the molecular methods for the detection
and quantification of OTA producing fungi in various foodstuffs.
PMID- 22069597
TI - Venom proteins of the parasitoid wasp Nasonia vitripennis: recent discovery of an
untapped pharmacopee.
AB - Adult females of Nasonia vitripennis inject a venomous mixture into its host
flies prior to oviposition. Recently, the entire genome of this ectoparasitoid
wasp was sequenced, enabling the identification of 79 venom proteins. The next
challenge will be to unravel their specific functions, but based on homolog
studies, some predictions already can be made. Parasitization has an enormous
impact on hosts physiology of which five major effects are discussed in this
review: the impact on immune responses, induction of developmental arrest,
increases in lipid levels, apoptosis and nutrient releases. The value of
deciphering this venom is also discussed.
PMID- 22069599
TI - Oncological outcomes in rats given nephrocarcinogenic exposure to dietary
ochratoxin a, followed by the tumour promoter sodium barbital for life: a pilot
study.
AB - The potent experimental renal carcinogenesis of ochratoxin A (OTA) in male rats
makes the dietary contaminant a potential factor in human oncology. We explored
whether the tumour promoter sodium barbitate could shorten the otherwise long
latency between exposure to toxin and tumourigenesis. Young rats, of a hybrid in
which mononuclear leukaemia was rare, were given feed contaminated (5 ppm) with
OTA for 36 weeks to initiate renal tumourigenesis. Some individuals were
thereafter given sodium barbitate (500 ppm in drinking water) for life.
Pathological outcomes were studied at or near the end of natural life. Renal
tumours in males given barbitate became evident after latency of one year, but
only slightly before those without barbitate. In contrast, female mammary
tumourigenesis was advanced by at least 6 months synchronously in all rats given
the OTA-barbitate regimen compared to tumourigenesis in controls. Diagnosis of
malignant mammary angiosarcoma in a female given the OTA-barbitate regimen is a
new finding in the rat. The long latency of OTA-induced renal tumourigenesis was
not notably susceptible to accelerated promotion by barbitate, contrasting with
an apparently marked effect of barbitate on development of mammary tumours.
PMID- 22069598
TI - Phytochemicals in cancer prevention and therapy: truth or dare?
AB - A voluminous literature suggests that an increase in consumption of fruit and
vegetables is a relatively easy and practical strategy to reduce significantly
the incidence of cancer. The beneficial effect is mostly associated with the
presence of phytochemicals in the diet. This review focuses on a group of them,
namely isothiocyanate, curcumin, genistein, epigallocatechin gallate, lycopene
and resveratrol, largely studied as chemopreventive agents and with potential
clinical applications. Cellular and animal studies suggest that these molecules
induce apoptosis and arrest cell growth by pleiotropic mechanisms. The anticancer
efficacy of these compounds may result from their use in monotherapy or in
association with chemotherapeutic drugs. This latter approach may represent a new
pharmacological strategy against several types of cancers. However, despite the
promising results from experimental studies, only a limited number of clinical
trials are ongoing to assess the therapeutic efficacy of these molecules.
Nevertheless, the preliminary results are promising and raise solid foundations
for future investigations.
PMID- 22069600
TI - Real and perceived risks for mycotoxin contamination in foods and feeds:
challenges for food safety control.
AB - Mycotoxins are toxic compounds, produced by the secondary metabolism of toxigenic
moulds in the Aspergillus, Alternaria, Claviceps, Fusarium, Penicillium and
Stachybotrys genera occurring in food and feed commodities both pre- and post
harvest. Adverse human health effects from the consumption of mycotoxins have
occurred for many centuries. When ingested, mycotoxins may cause a mycotoxicosis
which can result in an acute or chronic disease episode. Chronic conditions have
a much greater impact, numerically, on human health in general, and induce
diverse and powerful toxic effects in test systems: some are carcinogenic,
mutagenic, teratogenic, estrogenic, hemorrhagic, immunotoxic, nephrotoxic,
hepatotoxic, dermotoxic and neurotoxic. Although mycotoxin contamination of
agricultural products still occurs in the developed world, the application of
modern agricultural practices and the presence of a legislatively regulated food
processing and marketing system have greatly reduced mycotoxin exposure in these
populations. However, in developing countries, where climatic and crop storage
conditions are frequently conducive to fungal growth and mycotoxin production,
much of the population relies on subsistence farming or on unregulated local
markets. Therefore both producers and governmental control authorities are
directing their efforts toward the implementation of a correct and reliable
evaluation of the real status of contamination of a lot of food commodity and,
consequently, of the impact of mycotoxins on human and animal health.
PMID- 22069601
TI - Biological profile of erucin: a new promising anticancer agent from cruciferous
vegetables.
AB - Consumption of cruciferous vegetables has been associated with a reduced risk in
the development of various types of cancer. This has been attributed to the
bioactive hydrolysis products that are derived from these vegetables, namely
isothiocyanates. Erucin is one such product derived from rocket salads, which is
structurally related to sulforaphane, a well-studied broccoli-derived
isothiocyanate. In this review, we present current knowledge on mechanisms of
action of erucin in chemoprevention obtained from cell and animal models and
relate it to other isothiocyanates. These mechanisms include modulation of phase
I, II and III detoxification, regulation of cell growth by induction of apoptosis
and cell cycle arrest, induction of ROS-mechanisms and regulation androgen
receptor pathways.
PMID- 22069603
TI - The effects of cholera toxin on cellular energy metabolism.
AB - Multianalyte microphysiometry, a real-time instrument for simultaneous
measurement of metabolic analytes in a microfluidic environment, was used to
explore the effects of cholera toxin (CTx). Upon exposure of CTx to PC-12 cells,
anaerobic respiration was triggered, measured as increases in acid and lactate
production and a decrease in the oxygen uptake. We believe the responses observed
are due to a CTx-induced activation of adenylate cyclase, increasing cAMP
production and resulting in a switch to anaerobic respiration. Inhibitors (H-89,
brefeldin A) and stimulators (forskolin) of cAMP were employed to modulate the
CTx-induced cAMP responses. The results of this study show the utility of
multianalyte microphysiometry to quantitatively determine the dynamic metabolic
effects of toxins and affected pathways.
PMID- 22069602
TI - Biosynthesis and toxicological effects of patulin.
AB - Patulin is a toxic chemical contaminant produced by several species of mold,
especially within Aspergillus, Penicillium and Byssochlamys. It is the most
common mycotoxin found in apples and apple-derived products such as juice, cider,
compotes and other food intended for young children. Exposure to this mycotoxin
is associated with immunological, neurological and gastrointestinal outcomes.
Assessment of the health risks due to patulin consumption by humans has led many
countries to regulate the quantity in food. A full understanding of the molecular
genetics of patulin biosynthesis is incomplete, unlike other regulated mycotoxins
(aflatoxins, trichothecenes and fumonisins), although the chemical structures of
patulin precursors are now known. The biosynthetic pathway consists of
approximately 10 steps, as suggested by biochemical studies. Recently, a cluster
of 15 genes involved in patulin biosynthesis was reported, containing
characterized enzymes, a regulation factor and transporter genes. This review
includes information on the current understanding of the mechanisms of patulin
toxinogenesis and summarizes its toxicological effects.
PMID- 22069604
TI - Studies on carcinogenic and toxic effects of ochratoxin A in chicks.
AB - Carcinogenic/toxic effects of ochratoxin A (OTA) in various internal organs of
Plymouth Rock chicks were determined. The number of OTA-induced neoplasms was
similar in chicks given 25 ppm L-beta-phenylalanine (PHE) in addition to 5 ppm
OTA compared to chicks given only 5 ppm OTA, which showed that PHE cannot be used
as a real protector against the carcinogenic or toxic effects of OTA in chicks.
OTA was found to provoke strong degenerative changes in liver and kidneys,
degenerative changes and depletion of cells in lymphoid organs, oedematous and
degenerative changes in the brain, muscular haemorrhages and fatty changes in the
bone marrow. The target organs for carcinogenic effect of OTA in chicks were
found to be kidneys and liver.
PMID- 22069605
TI - Clostridial neurotoxins: mechanism of SNARE cleavage and outlook on potential
substrate specificity reengineering.
AB - The clostridial neurotoxin family consists of tetanus neurotoxin and seven
distinct botulinum neurotoxins which cause the diseases tetanus and botulism. The
extreme potency of these toxins primarily relies not only on their ability to
specifically enter motoneurons but also on the activity their catalytic domains
display inside presynaptic motoneuronal terminals. Subsequent to neurotoxin
binding and endocytosis the catalytic domains become translocated across
endosomal membranes and proteolyze unique peptide bonds of one of three soluble N
ethylmaleimide-sensitive fusion protein attachment receptors (SNAREs), vesicle
associated membrane protein/synaptobrevin, synaptosome associated protein of 25
kDa, or syntaxin. As these substrate proteins are core components of the
vesicular membrane fusion apparatus, cleavage of any of the substrate molecules
results in the blockade of neurotransmitter release. This review summarizes the
present knowledge about the molecular basis of the specific substrate recognition
and cleavage mechanism and assesses the feasibility of reengineering catalytic
domains to hydrolyze non-substrate members of the three SNARE families in order
to expand the therapeutic application of botulinum neurotoxins.
PMID- 22069607
TI - Antigenotoxic studies of different substances to reduce the DNA damage induced by
aflatoxin B(1) and ochratoxin A.
AB - Mycotoxins are produced mainly by the mycelial structure of filamentous fungi, or
more specifically, molds. These secondary metabolites are synthesized during the
end of the exponential growth phase and appear to have no biochemical
significance in fungal growth and development. The contamination of foods and
feeds with mycotoxins is a significant problem for the adverse effects on humans,
animals, and crops that result in illnesses and economic losses. The toxic effect
of the ingestion of mycotoxins in humans and animals depends on a number of
factors including intake levels, duration of exposure, toxin species, mechanisms
of action, metabolism, and defense mechanisms. In general, the consumption of
contaminated food and feed with mycotoxin induces to neurotoxic,
immunosuppressive, teratogenic, mutagenic, and carcinogenic effect in humans
and/or animals. The most significant mycotoxins in terms of public health and
agronomic perspective include the aflatoxins, ochratoxin A (OTA), trichothecenes,
fumonisins, patulin, and the ergot alkaloids. Due to the detrimental effects of
these mycotoxins, several strategies have been developed in order to reduce the
risk of exposure. These include the degradation, destruction, inactivation or
removal of mycotoxins through chemical, physical and biological methods. However,
the results obtained with these methods have not been optimal, because they may
change the organoleptic characteristics and nutritional values of food. Another
alternative strategy to prevent or reduce the toxic effects of mycotoxins is by
applying antimutagenic agents. These substances act according to several extra-
or intracellular mechanisms, their main goal being to avoid the interaction of
mycotoxins with DNA; as a consequence of their action, these agents would inhibit
mutagenesis and carcinogenesis. This article reviews the main strategies used to
control AFB(1) and ochratoxin A and contains an analysis of some antigenotoxic
substances that reduce the DNA damage caused by these mycotoxins.
PMID- 22069608
TI - Ochratoxin A and aflatoxins in liquorice products.
AB - The occurrence of ochratoxin A (OTA) and aflatoxins (AFs) in liquorice products
made in Italy was surveyed. Twenty-eight samples of dried liquorice extract and
fifty-four of liquorice confectionery (liquorice content between 2 and 10%) were
collected from retail outlets located in northern Italy. After extraction and
purification through an immunoaffinity column, OTA and AFs were analysed using
both HPLC-FLD and HPLC-MS/MS. OTA occurred in all samples of dried liquorice
extract and in 61% of samples of liquorice confectionery, showing very high
values for the former (mean 89.6 ug kg(-1), maximum value 990.1 ug kg(-1)), and
relatively low levels for the latter (mean 0.96 ug kg (-1), maximum value 8.3 ug
kg (-1)). The contribution of dried liquorice extract to OTA intake appears to be
non-negligible for children, who are potentially high consumers. AF contamination
resulted very low: AFB (1) was detected only in 15.8% of samples (maximum value
7.7 ug kg (-1), mean 0.38 and 0.41 ug kg (-1) for dried liquorice extract and
liquorice confectionery, respectively); the other AFs were not detected. To our
knowledge, it is the first time that AFB (1) has been detected in liquorice
extract samples.
PMID- 22069609
TI - Ochratoxins-food contaminants: impact on human health.
AB - Ochratoxins are secondary metabolites of Aspergillus and Penicillium, that are
hazardous to health through contamination of dietary foods. Ochratoxin A (OTA)
remains the single most potent member of this group of mycotoxins. OTA has a long
half-life in humans and is thus easily detected in serum. Dietary intake studies
have confirmed link between endemic nephrotoxicity in humans to their daily
household intake of OTA. OTA has been reported to contribute to endemic
nephrotoxicity and carcinogenicity in humans and animals. OTA produces renal
tumours, DNA adducts and chromosomal aberrations in kidneys. OTA may be
embryotoxic, teratogenic, and immunotoxic only at doses higher than those causing
nephrotoxicity. The incidence of endemic nephrotoxicity has been mostly reported
in northeast Europe since the early fifties. Recent studies however have warned
that OTA and other toxins, such as aristolochic acid, show very similar renal
pathology. There is thus the need for thorough co-occurrence studies on toxin
incidence.
PMID- 22069610
TI - Ochratoxin A and beta2-microglobulin in BEN patients and controls.
AB - Ochratoxin A (OTA) is a mycotoxin naturally occurring in different foods. OTA is
arguably a risk factor for Balkan endemic nephropathy (BEN). The aims of this
study are to (1) test the OTA-BEN association in BEN-groups and controls and (2)
determine whether urine beta2-microglobulin, a marker of impaired ability of the
kidneys to re-absorb, is related to OTA. BEN patients had significantly higher
OTA serum levels. Within the offspring, OTA was significantly related to higher
beta2-microglobulin excretion. OTA (2005/2006) was related to a higher incidence
of BEN after 2008, providing further evidence that OTA is a risk factor for BEN.
PMID- 22069606
TI - Bacterial toxins and the nervous system: neurotoxins and multipotential toxins
interacting with neuronal cells.
AB - Toxins are potent molecules used by various bacteria to interact with a host
organism. Some of them specifically act on neuronal cells (clostridial
neurotoxins) leading to characteristics neurological affections. But many other
toxins are multifunctional and recognize a wider range of cell types including
neuronal cells. Various enterotoxins interact with the enteric nervous system,
for example by stimulating afferent neurons or inducing neurotransmitter release
from enterochromaffin cells which result either in vomiting, in amplification of
the diarrhea, or in intestinal inflammation process. Other toxins can pass the
blood brain barrier and directly act on specific neurons.
PMID- 22069611
TI - Fibrolase: trials and tribulations.
AB - Fibrolase is the fibrinolytic enzyme isolated from Agkistrodon contortrix
contortrix (southern copperhead snake) venom. The enzyme was purified by a three
step HPLC procedure and was shown to be homogeneous by standard criteria
including reverse phase HPLC, molecular sieve chromatography and SDS-PAGE. The
purified enzyme is a zinc metalloproteinase containing one mole of zinc. It is
composed of 203 amino acids with a blocked amino-terminus due to cyclization of
the terminal Gln residue. Fibrolase shares a significant degree of homology with
enzymes of the reprolysin sub-family of metalloproteinases including an active
site homology of close to 100%; it is rapidly inhibited by chelating agents such
as EDTA, and by alpha2-macroglobulin (alpha2MU). The enzyme is a direct-acting
thrombolytic agent and does not rely on plasminogen for clot dissolution.
Fibrolase rapidly cleaves the A(alpha)-chain of fibrinogen and the B(beta)-chain
at a slower rate; it has no activity on the gamma-chain. The enzyme exhibits the
same specificity with fibrin, cleaving the alpha-chain more rapidly than the beta
chain. Fibrolase was shown to have very effective thrombolytic activity in a
reoccluding carotid arterial thrombosis model in the canine. A recombinant
version of the enzyme was made in yeast by Amgen, Inc. (Thousand Oaks, CA, USA)
and called alfimeprase. Alfimeprase is identical to fibrolase except for a two
amino acid truncation at the amino-terminus and the insertion of a new amino
terminal amino acid in the truncated protein; these changes lead to a more stable
enzyme for prolonged storage. Alfimeprase was taken into clinical trials by
Nuvelo, Inc. (San Carlos, CA), which licensed the enzyme from Amgen. Alfimeprase
was successful in Phase I and II clinical trials for peripheral arterial
occlusion (PAO) and central venous access device (CVAD) occlusion. However, in
Phase III trials alfimeprase did not meet the expected end points in either PAO
or CVAD occlusion and in a Phaase II stroke trial, and Nuvelo dropped further
development in 2008.
PMID- 22069612
TI - Ochratoxin A in ruminants-A review on its degradation by gut microbes and effects
on animals.
AB - Ruminants are much less sensitive to ochratoxin A (OTA) than non-ruminants. The
ruminal microbes, with protozoa being a central group, degrade the mycotoxin
extensively, with disappearance half lives of 0.6-3.8 h. However, in some studies
OTA was detected systemically when using sensitive analytical methods, probably
due to some rumen bypass at proportions of estimated 2-6.5% of dosage (maximum
10%). High concentrate proportions and high feeding levels are dietary factors
promoting the likeliness of systemic occurrence due to factors like shifts in
microbial population and higher contamination potential. Among risk scenarios for
ruminants, chronic intoxication represents the most relevant.
PMID- 22069613
TI - Occurrence of black aspergilli and ochratoxin A on grapes in Italy.
AB - Ochratoxin A (OTA) in wine is linked to contamination by several Aspergillus
species. In 2003-2007, grape samples collected in Italy were surveyed for the
presence of OTA and OTA-producing fungi. A. niger aggregate was the prevalent
species. A. carbonarius, which is considered the main source of OTA in grapes,
was mostly found in Southern Italy. The year and the environment had an important
influence on the development of the black Aspergillus populations. Testing with
ELISA showed OTA to be present in about 30% of the samples. Samples from Southern
Italy showed the highest occurrence (45%) and also the highest OTA concentration,
sometimes higher than 2 MUg/L. The values decreased progressively the further
North the samples were taken.
PMID- 22069614
TI - The double-edged sword of autoimmunity: lessons from multiple sclerosis.
AB - The relationship between immune responses to self-antigens and autoimmune disease
is unclear. In contrast to its animal model experimental autoimmune
encephalomyelitis (EAE), which is driven by T cell responses to myelin antigens,
the target antigen of the intrathecal immune response in multiple sclerosis (MS)
has not been identified. Although the immune response in MS contributes
significantly to tissue destruction, the action of immunocompetent cells within
the central nervous system (CNS) may also hold therapeutic potential. Thus,
treatment of MS patients with glatiramer acetate triggers a protective immune
response. Here we review the immunopathogenesis of MS and some recent findings on
the mechanism of glatiramer acetate (GA).
PMID- 22069615
TI - Marine toxins: chemistry, toxicity, occurrence and detection, with special
reference to the Dutch situation.
AB - Various species of algae can produce marine toxins under certain circumstances.
These toxins can then accumulate in shellfish such as mussels, oysters and
scallops. When these contaminated shellfish species are consumed severe
intoxication can occur. The different types of syndromes that can occur after
consumption of contaminated shellfish, the corresponding toxins and relevant
legislation are discussed in this review. Amnesic Shellfish Poisoning (ASP),
Paralytic Shellfish Poisoning (PSP), Diarrheic Shellfish Poisoning (DSP) and
Azaspiracid Shellfish Poisoning (AZP) occur worldwide, Neurologic Shellfish
Poisoning (NSP) is mainly limited to the USA and New Zealand while the toxins
causing DSP and AZP occur most frequently in Europe. The latter two toxin groups
are fat-soluble and can therefore also be classified as lipophilic marine toxins.
A detailed overview of the official analytical methods used in the EU (mouse or
rat bioassay) and the recently developed alternative methods for the lipophilic
marine toxins is given. These alternative methods are based on functional assays,
biochemical assays and chemical methods. From the literature it is clear that
chemical methods offer the best potential to replace the animal tests that are
still legislated worldwide. Finally, an overview is given of the situation of
marine toxins in The Netherlands. The rat bioassay has been used for monitoring
DSP and AZP toxins in The Netherlands since the 1970s. Nowadays, a combination of
a chemical method and the rat bioassay is often used. In The Netherlands toxic
events are mainly caused by DSP toxins, which have been found in Dutch shellfish
for the first time in 1961, and have reoccurred at irregular intervals and in
varying concentrations. From this review it is clear that considerable effort is
being undertaken by various research groups to phase out the animal tests that
are still used for the official routine monitoring programs.
PMID- 22069616
TI - AIP56: a novel bacterial apoptogenic toxin.
AB - Photobacterium damselae subsp. piscicida (Phdp) is a Gram-negative pathogen agent
of an important fish septicemia. The key virulence factor of Phdp is the plasmid
encoded exotoxin AIP56, which is secreted by exponentially growing pathogenic
strains. AIP56 has 520 amino acids including an N-terminal cleavable signal
peptide of 23 amino acid residues, two cysteine residues and a zinc-binding
region signature HEXXH that is typical of most zinc metallopeptidases. AIP56
induces in vitro and in vivo selective apoptosis of fish macrophages and
neutrophils through a caspase-3 dependent mechanism that also involves caspase-8
and -9. In vivo, the AIP56-induced phagocyte apoptosis progresses to secondary
necrosis with release of cytotoxic phagocyte molecules including neutrophil
elastase. Fish injected with recombinant AIP56 die with a pathology similar to
that seen in the natural infection.
PMID- 22069617
TI - Discovery and characterization of proteins associated with aflatoxin-resistance:
evaluating their potential as breeding markers.
AB - Host resistance has become a viable approach to eliminating aflatoxin
contamination of maize since the discovery of several maize lines with natural
resistance. However, to derive commercial benefit from this resistance and
develop lines that can aid growers, markers need to be identified to facilitate
the transfer of resistance into commercially useful genetic backgrounds without
transfer of unwanted traits. To accomplish this, research efforts have focused on
the identification of kernel resistance-associated proteins (RAPs) including the
employment of comparative proteomics to investigate closely-related maize lines
that vary in aflatoxin accumulation. RAPs have been identified and several
further characterized through physiological and biochemical investigations to
determine their causal role in resistance and, therefore, their suitability as
breeding markers. Three RAPs, a 14 kDa trypsin inhibitor, pathogenesis-related
protein 10 and glyoxalase I are being investigated using RNAi gene silencing and
plant transformation. Several resistant lines have been subjected to QTL mapping
to identify loci associated with the aflatoxin-resistance phenotype. Results of
proteome and characterization studies are discussed.
PMID- 22069618
TI - Proteases as insecticidal agents.
AB - Proteases from a variety of sources (viruses, bacteria, fungi, plants, and
insects) have toxicity towards insects. Some of these insecticidal proteases
evolved as venom components, herbivore resistance factors, or microbial
pathogenicity factors, while other proteases play roles in insect development or
digestion, but exert an insecticidal effect when over-expressed from genetically
engineered plants or microbial pathogens. Many of these proteases are cysteine
proteases, although insect-toxic metalloproteases and serine proteases have also
been examined. The sites of protease toxic activity range from the insect midgut
to the hemocoel (body cavity) to the cuticle. This review discusses these
insecticidal proteases along with their evaluation and use as potential
pesticides.
PMID- 22069619
TI - The role of lymphostatin/EHEC factor for adherence-1 in the pathogenesis of gram
negative infection.
AB - Lymphostatin/EHEC factor for adherence-1 is a novel large toxin represented in
various Gram negative bacteria, highly associated with the development of
infectious diarrhea and hemolytic uremic syndrome. In vitro and in vivo
experiments identified lymphostatin/EFA-1 as a toxin with a central role in the
pathogenesis of Gram negative bacteria, responsible for bacterial adhesion,
intestinal colonization, immunosuppression, and disruption of gut epithelial
barrier function.
PMID- 22069620
TI - Autoproteolytic activation of bacterial toxins.
AB - Protease domains within toxins typically act as the primary effector domain
within target cells. By contrast, the primary function of the cysteine protease
domain (CPD) in Multifunctional Autoprocessing RTX-like (MARTX) and Clostridium
sp. glucosylating toxin families is to proteolytically cleave the toxin and
release its cognate effector domains. The CPD becomes activated upon binding to
the eukaryotic-specific small molecule, inositol hexakisphosphate (InsP(6)),
which is found abundantly in the eukaryotic cytosol. This property allows the CPD
to spatially and temporally regulate toxin activation, making it a prime
candidate for developing anti-toxin therapeutics. In this review, we summarize
recent findings related to defining the regulation of toxin function by the CPD
and the development of inhibitors to prevent CPD-mediated activation of bacterial
toxins.
PMID- 22069621
TI - The zinc-dependent protease activity of the botulinum neurotoxins.
AB - The botulinum neurotoxins (BoNT, serotypes A-G) are some of the most toxic
proteins known and are the causative agents of botulism. Following exposure, the
neurotoxin binds and enters peripheral cholinergic nerve endings and specifically
and selectively cleaves one or more SNARE proteins to produce flaccid paralysis.
This review centers on the kinetics of the Zn-dependent proteolytic activities of
these neurotoxins, and briefly describes inhibitors, activators and factors
underlying persistence of toxin action. Some of the structural, enzymatic and
inhibitor data that are discussed here are available at the botulinum neurotoxin
resource, BotDB (http://botdb.abcc.ncifcrf.gov).
PMID- 22069622
TI - Toxin-specific antibodies for the treatment of Clostridium difficile: current
status and future perspectives.
AB - Therapeutic agents targeting bacterial virulence factors are gaining interest as
non-antibiotic alternatives for the treatment of infectious diseases. Clostridium
difficile is a Gram-positive pathogen that produces two primary virulence
factors, enterotoxins A and B (TcdA and TcdB), which are responsible for
Clostridium difficile-associated disease (CDAD) and are targets for CDAD therapy.
Antibodies specific for TcdA and TcdB have been shown to effectively treat CDAD
and prevent disease relapse in animal models and in humans. This review
summarizes the various toxin-specific antibody formats and strategies under
development, and discusses future directions for CDAD immunotherapy, including
the use of engineered antibody fragments with robust biophysical properties for
systemic and oral delivery.
PMID- 22069623
TI - A review on toxic and harmful algae in Greek coastal waters (E. Mediterranean
Sea).
AB - The Greek coastal waters are subjected to harmful algal bloom (HAB) phenomena due
to the occurrence of species characterized as toxic (TX), potentially toxic (PT),
and non-toxic, high biomass (HB) producers causing harm at multiple levels. The
total number of (TX), (PT) and (HB) algae reported in this work are 61, but only
16 species have been associated with the occurrence of important HABs causing
damage in the marine biota and the water quality. These phenomena are sporadic in
time, space and recurrence of the causative species, and are related to the
anthropogenically-induced eutrophication conditions prevailing in the
investigated areas.
PMID- 22069624
TI - Consequences and utility of the zinc-dependent metalloprotease activity of
anthrax lethal toxin.
AB - Anthrax is caused by the gram-positive bacterium Bacillus anthracis. The
pathogenesis of this disease is dependent on the presence of two binary toxins,
edema toxin (EdTx) and lethal toxin (LeTx). LeTx, the major virulence factor
contributing to anthrax, contains the effector moiety lethal factor (LF), a zinc
dependent metalloprotease specific for targeting mitogen-activated protein kinase
kinases. This review will focus on the protease-specific activity and function of
LF, and will include a discussion on the implications and consequences of this
activity, both in terms of anthrax disease, and how this activity can be
exploited to gain insight into other pathologic conditions.
PMID- 22069625
TI - Occurrence of ochratoxin A in Southern Spanish generous wines under the
denomination of Origin "Jerez-Xeres-Sherry and 'manzanilla' Sanlucar de
Barrameda".
AB - The mycotoxin ochratoxin A (OTA) has toxic effects in animals; the most relevant
of them is nephrotoxicity. OTA has also been classified as a possible carcinogen
for humans (group 2B) by the International Agency for Research on Cancer (IARC).
Therefore, exposure to OTA through contaminated food can represent health
impairment to humans. The maximum permitted level for this mycotoxin in wine is
2.0 MUg/L. The presence of OTA in Spanish wines produced using the traditional
methods under the Denomination of Origin "Jerez-Xeres-Sherry andmanzanilla
Sanlucar de Barrameda" was evaluated by a High performance Liquid Chromatography
method with fluorescence detection and immunoaffinity column purification. A
recovery of 95.4% and a limit of detection and quantification of 0.009 MUg/L and
0.02 MUg/L respectively, were achieved. In manzanilla, fino, amontillado and
oloroso wine, the mean OTA values were 0.042, 0.044, 0.144, and 0.319 MUg/L,
respectively. These levels are not different from other data given in the
reference literature on white wines, although fino and manzanilla wines have very
low OTA levels.
PMID- 22069626
TI - Ochratoxins in feed, a risk for animal and human health: control strategies.
AB - Ochratoxin A (OTA) has been shown to be a potent nephrotoxic, hepatotoxic, and
teratogenic compound. In farm animals, the intake of feed contaminated with OTA
affects animal health and productivity, and may result in the presence of OTA in
the animal products. Strategies for the control of OTA in food products require
early identification and elimination of contaminated commodities from the food
chain. However, current analytical protocols may fail to identify contaminated
products, especially in animal feed. The present paper discusses the impact of
OTA on human and animal health, with special emphasis on the potential risks of
OTA residue in animal products, and control strategies applied in the feed
industry.
PMID- 22069628
TI - Pathological outcomes in kidney and brain in male Fischer rats given dietary
ochratoxin A, commencing at one year of age.
AB - Malignant renal carcinoma, manifest in morbid ageing rats, is the striking
component of an otherwise silent response after about nine months of exposure to
ochratoxin A in the first year of life (daily intake ~100-250 ug/kg body weight).
Reasons for the long latency are unclear, as is whether there would be a similar
carcinogenic response if toxin exposure started at one year of age. Therefore, 24
male Fischer rats were given 100 ug ochratoxin A as a daily dietary contaminant
for 35 weeks from age 50 weeks. Plasma ochratoxin A concentration reached a
maximum value of ~8 ug/mL within one month of starting the toxin regimen. No
renal carcinomas occurred. Four renal adenomas, two of which were only
microscopic, were found among the six rats surviving for 110 weeks. The findings
raise new questions about a difference between young adults and mature adults in
sensitivity of male rats to the ochratoxin A-induced DNA damage necessary for
renal carcinogenesis. A pilot histological study of perfuse-fixed brains of the
toxin-treated rats showed no gross abnormalities, correlating with the consistent
absence of behavioral or neurological disorders from chronic ochratoxin A
exposure regimens in the range 100-250 ug/kg/day during the second half of life.
Reasoned questioning concerning ochratoxin A as a neurotoxic mycotoxin is made.
PMID- 22069627
TI - Biodegradation of ochratoxin a for food and feed decontamination.
AB - Ochratoxin A (OTA) is one of the most important mycotoxins that is found in food
and feed products. It has proven toxic properties, being primarily known for its
nephrotoxicity and carcinogenicity to certain animal species. OTA is produced by
several species of Aspergillus and Penicillium that can be found in a wide
variety of agricultural products, which makes the presence of OTA in these
products common. Many countries have statutory limits for OTA, and concentrations
need to be reduced to as low as technologically possible in food and feed. The
most important measures to be taken to control OTA are preventive in order to
avoid fungal growth and OTA production. However, these measures are difficult to
implement in all cases with the consequence of OTA remaining in agricultural
commodities. Remediation processes are often used to eliminate, reduce or avoid
the toxic effects of OTA. Biological methods have been considered increasingly as
an alternative to physical and chemical treatments. However, examples of
practical applications are infrequent. This review will focus on the (i) known
microorganisms and enzymes that are able to biodegrade OTA; (ii) mode of action
of biodegradation and (iii) current applications. A critical discussion about the
technical applicability of these strategies is presented.
PMID- 22069629
TI - Ochratoxin A producing species in the genus Penicillium.
AB - Ochratoxin A (OTA) producing fungi are members of the genera Aspergillus and
Penicillium. Nowadays, there are about 20 species accepted as OTA producers,
which are distributed in three phylogenetically related but distinct groups of
aspergilli of the subgenus Circumdati and only in two species of the subgenus
Penicillium. At the moment, P. verrucosum and P. nordicum are the only OTA
producing species accepted in the genus Penicillium. However, during the last
century, OTA producers in this genus were classified as P. viridicatum for many
years. At present, only some OTA producing species are known to be a potential
source of OTA contamination of cereals and certain common foods and beverages
such as bread, beer, coffee, dried fruits, grape juice and wine among others.
Penicillium verrucosum is the major producer of OTA in cereals such as wheat and
barley in temperate and cold climates. Penicillium verrucosum and P. nordicum can
be recovered from some dry-cured meat products and some cheeses.
PMID- 22069630
TI - Ochratoxin A in Moroccan foods: occurrence and legislation.
AB - Ochratoxin A (OTA) is secondary metabolite naturally produced in food and feed by
toxigenic fungi, especially some Aspergillus species and Penicillium verucosum.
OTA is one of the most studied mycotoxins and is of great interest due to its
toxic effects on human and animals. OTA is produced in different food and feed
matrices and contaminates a large range of base foods including cereals and
derivatives, spices, dried fruits, wine and coffee, etc. Morocco, a North African
country, has a climate characterized by high humidity and temperature, which
probably favors the growth of molds. This contribution gives an overview of
principal investigations about the presence of OTA in foods available in Morocco.
Due to its toxicity, OTA presence is increasingly regulated worldwide, especially
in countries of the European Union. However, up until now, no regulation limits
were in force in Morocco, probably due to the ignorance of the health and
economic problems resulting from OTA contamination. Finally, recommendations and
future research directions are given required to assess the situation completely.
PMID- 22069632
TI - Comparative study of various immune parameters in three bivalve species during a
natural bloom of Dinophysis acuminata in Santa Catarina Island, Brazil.
AB - This study aimed to verify if Dinophysis acuminata natural blooms affected the
immune system of three bivalves: the oyster, Crassostrea gigas, the mussel, Perna
perna, and the clam, Anomalocardia brasiliana. Animals were obtained from a
renowned mariculture farm in the southern bay of Santa Catarina Island during,
and 30 days after (controls), an algal bloom. Various immunological parameters
were assessed in the hemolymph of the animals: total and differential hemocyte
counts, percentage of apoptotic hemocytes, protein concentration,
hemagglutinating titer and phenoloxidase activity. The results showed that the
mussel was the most affected species, with several altered immune parameters,
whereas the immunological profile of clams and oysters was partially and
completely unaffected, respectively.
PMID- 22069631
TI - Exfoliative toxins of Staphylococcus aureus.
AB - Staphylococcus aureus is an important pathogen of humans and livestock. It causes
a diverse array of diseases, ranging from relatively harmless localized skin
infections to life-threatening systemic conditions. Among multiple virulence
factors, staphylococci secrete several exotoxins directly associated with
particular disease symptoms. These include toxic shock syndrome toxin 1 (TSST-1),
enterotoxins, and exfoliative toxins (ETs). The latter are particularly
interesting as the sole agents responsible for staphylococcal scalded skin
syndrome (SSSS), a disease predominantly affecting infants and characterized by
the loss of superficial skin layers, dehydration, and secondary infections. The
molecular basis of the clinical symptoms of SSSS is well understood. ETs are
serine proteases with high substrate specificity, which selectively recognize and
hydrolyze desmosomal proteins in the skin. The fascinating road leading to the
discovery of ETs as the agents responsible for SSSS and the characterization of
the molecular mechanism of their action, including recent advances in the field,
are reviewed in this article.
PMID- 22069633
TI - Serine protease autotransporters of enterobacteriaceae (SPATEs): biogenesis and
function.
AB - Serine Protease Autotransporters of Enterobacteriaceae (SPATEs) constitute a
large family of proteases secreted by Escherichia coli and Shigella. SPATEs
exhibit two distinct proteolytic activities. First, a C-terminal catalytic site
triggers an intra-molecular cleavage that releases the N-terminal portion of
these proteins in the extracellular medium. Second, the secreted N-terminal
domains of SPATEs are themselves proteases; each contains a canonical serine
protease catalytic site. Some of these secreted proteases are toxins, eliciting
various effects on mammalian cells. Here, we discuss the biogenesis of SPATEs and
their function as toxins.
PMID- 22069634
TI - Overcoming multidrug resistance in human cancer cells by natural compounds.
AB - Multidrug resistance is a phenomenon whereby tumors become resistant to
structurally unrelated anticancer drugs. P-glycoprotein belongs to the large ATP
binding cassette (ABC) transporter superfamily of membrane transport proteins. P
glycoprotein mediates resistance to various classes of anticancer drugs including
vinblastine, daunorubicin, and paclitaxel, by actively extruding the drugs from
the cells. The quest for inhibitors of anticancer drug efflux transporters has
uncovered natural compounds, including (-)-epigallocatechin gallate, curcumin,
capsaicin, and guggulsterone, as promising candidates. In this review, studies on
the effects of natural compounds on P-glycoprotein and anticancer drug efflux
transporters are summarized.
PMID- 22069635
TI - Ochratoxin a in Portugal: a review to assess human exposure.
AB - In Portugal, the climate, dietary habits, and food contamination levels present
the characteristics for higher population susceptibility to ochratoxin A (OTA),
one of the known mycotoxins with the greatest public health and agro-economic
importance. In this review, following a brief historical insight on OTA research,
a summary of the available data on OTA occurrence in food (cereals, bread, wine,
meat) and biological fluids (blood, urine) is made. With this data, an estimation
of intake is made to ascertain and update the risk exposure estimation of the
Portuguese population, in comparison to previous studies and other populations.
PMID- 22069637
TI - Alpha-tocopherol counteracts the cytotoxicity induced by ochratoxin a in primary
porcine fibroblasts.
AB - The aims of the current study were to determine the half-lethal concentration of
ochratoxin A (OTA) as well as the levels of lactate dehydrogenase release and DNA
fragmentation induced by OTA in primary porcine fibroblasts, and to examine the
role of alpha-tocopherol in counteracting its toxicity. Cells showed a dose-,
time- and origin-dependent (ear vs. embryo) sensitivity to ochratoxin A. Pre
incubation for 3 h with 1 nM alpha-tocopherol significantly (P < 0.01) reduced
OTA cytotoxicity, lactate dehydrogenase release and DNA damage in both fibroblast
cultures. These findings indicate that alpha-tocopherol supplementation may
counteract short-term OTA toxicity, supporting its defensive role in the cell
membrane.
PMID- 22069636
TI - Toxins and secretion systems of Photorhabdus luminescens.
AB - Photorhabdus luminescens is a nematode-symbiotic, gram negative, bioluminescent
bacterium, belonging to the family of Enterobacteriaceae. Recent studies show the
importance of this bacterium as an alternative source of insecticides, as well as
an emerging human pathogen. Various toxins have been identified and characterized
in this bacterium. These toxins are classified into four major groups: the toxin
complexes (Tcs), the Photorhabdus insect related (Pir) proteins, the "makes
caterpillars floppy" (Mcf) toxins and the Photorhabdus virulence cassettes (PVC);
the mechanisms however of toxin secretion are not fully elucidated. Using
bioinformatics analysis and comparison against the components of known secretion
systems, multiple copies of components of all known secretion systems, except the
ones composing a type IV secretion system, were identified throughout the entire
genome of the bacterium. This indicates that Photorhabdus luminescens has all the
necessary means for the secretion of virulence factors, thus it is capable of
establishing a microbial infection.
PMID- 22069638
TI - Differential cell sensitivity between OTA and LPS upon releasing TNF-alpha.
AB - The release of tumor necrosis factor alpha (TNF-alpha) by ochratoxin A (OTA) was
studied in various macrophage and non-macrophage cell lines and compared with E.
coli lipopolysaccharide (LPS) as a standard TNF-alpha release agent. Cells were
exposed either to 0, 2.5 or 12.5 umol/L OTA, or to 0.1 ug/mL LPS, for up to 24 h.
OTA at 2.5 umol/L and LPS at 0.1 ug/mL were not toxic to the tested cells as
indicated by viability markers. TNF-alpha was detected in the incubated cell
medium of rat Kupffer cells, peritoneal rat macrophages, and the mouse monocyte
macrophage cell line J774A.1: TNF-alpha concentrations were 1,000 pg/mL, 1,560
pg/mL, and 650 pg/mL, respectively, for 2.5 umol/L OTA exposure and 3,000 pg/mL,
2,600 pg/mL, and 2,115 pg/mL, respectively, for LPS exposure. Rat liver
sinusoidal endothelial cells, rat hepatocytes, human HepG2 cells, and mouse L929
cells lacked any cytokine response to OTA, but showed a significant release of
TNF-alpha after LPS exposure, with the exception of HepG2 cells. In non
responsive cell lines, OTA lacked both any activation of NF-kappaB or the
translocation of activated NF-kappaB to the cell nucleus, i.e., in mouse L929
cells. In J774A.1 cells, OTA mediated TNF-alpha release via the pRaf/MEK 1/2-NF
kappaB and p38-NF-kappaB pathways, whereas LPS used pRaf/MEK 1/2-NF-kappaB, but
not p38-NF-kappaB pathways. In contrast, in L929 cells, LPS used other pathways
to activate NF-kappaB. Our data indicate that only macrophages and macrophage
derived cells respond to OTA and are considered as sources for TNF-alpha release
upon OTA exposure.
PMID- 22069639
TI - Deoxynivalenol-induced proinflammatory gene expression: mechanisms and
pathological sequelae.
AB - The trichothecene mycotoxin deoxynivalenol (DON) is commonly encountered in human
cereal foods throughout the world as a result of infestation of grains in the
field and in storage by the fungus Fusarium. Significant questions remain
regarding the risks posed to humans from acute and chronic DON ingestion, and how
to manage these risks without imperiling access to nutritionally important food
commodities. Modulation of the innate immune system appears particularly critical
to DON's toxic effects. Specifically, DON induces activation of mitogen-activated
protein kinases (MAPKs) in macrophages and monocytes, which mediate robust
induction of proinflammatory gene expression-effects that can be recapitulated in
intact animals. The initiating mechanisms for DON-induced ribotoxic stress
response appear to involve the (1) activation of constitutive protein kinases on
the damaged ribosome and (2) autophagy of the chaperone GRP78 with consequent
activation of the ER stress response. Pathological sequelae resulting from
chronic low dose exposure include anorexia, impaired weight gain, growth hormone
dysregulation and aberrant IgA production whereas acute high dose exposure evokes
gastroenteritis, emesis and a shock-like syndrome. Taken together, the capacity
of DON to evoke ribotoxic stress in mononuclear phagocytes contributes
significantly to its acute and chronic toxic effects in vivo. It is anticipated
that these investigations will enable the identification of robust biomarkers of
effect that will be applicable to epidemiological studies of the human health
effects of this common mycotoxin.
PMID- 22069640
TI - Shiga toxin is transported into the nucleoli of intestinal epithelial cells via a
carrier-dependent process.
AB - Shiga toxin (Stx) produced by the invasive Shigella dysenteriae serotype 1 (S.
dysenteriae1) causes gastrointestinal and kidney complications. It has been
assumed that Stx is released intracellularly after enterocyte invasion by S.
dysenteriae1. However, there is little information about Stx distribution inside
S. dysenteriae1-infected enterocytes. Here, we use intestinal epithelial T84
cells to characterize the trafficking of Stx delivered into the cytosol, in ways
that mimic aspects of S. dysenteriae1 infection. We find that cytoplasmic Stx is
transported into nucleoli. Stx nucleolar movement is carrier- and energy
dependent. Stx binding to the nucleoli of normal human enterocytes in vitro
supports possible roles for nucleolar trafficking in toxin-induced intestinal
pathology.
PMID- 22069641
TI - On the interaction of Clostridium perfringens enterotoxin with claudins.
AB - Clostridium perfringens causes one of the most common foodborne illnesses, which
is largely mediated by the Clostridium perfringens enterotoxin (CPE). The toxin
consists of two functional domains. The N-terminal region mediates the cytotoxic
effect through pore formation in the plasma membrane of the mammalian host cell.
The C-terminal region (cCPE) binds to the second extracellular loop of a subset
of claudins. Claudin-3 and claudin-4 have been shown to be receptors for CPE with
very high affinity. The toxin binds with weak affinity to claudin-1 and -2 but
contribution of these weak binding claudins to CPE-mediated disease is
questionable. cCPE is not cytotoxic, however, it is a potent modulator of tight
junctions. This review describes recent progress in the molecular
characterization of the cCPE-claudin interaction using mutagenesis, in vitro
binding assays and permeation studies. The results promote the development of
recombinant cCPE-proteins and CPE-based peptidomimetics to modulate tight
junctions for improved drug delivery or to treat tumors overexpressing claudins.
PMID- 22069643
TI - Molecular mechanism of ochratoxin a transport in the kidney.
AB - The mycotoxin, ochratoxin A (OTA), is thought to be responsible for Balkan
endemic nephropathy. OTA accumulates in several tissues, especially in the
kidneys and liver. The excretion of OTA into urine is thought to be mainly by
tubular secretion, presumably via the organic anion transport system. Recently,
several families of multispecific organic anion transporters have been
identified: organic anion transporters (OATs), organic anion-transporting
polypeptides (OATPs), oligopeptide transporters (PEPTs), and ATP-binding cassette
(ABC) transporters, such as MRP2 and BCRP. These renal transporters mediate the
transmembrane transport of OTA and play a pivotal role in the development of OTA
induced nephrotoxicity.
PMID- 22069644
TI - Effect of antioxidant mixtures on growth and ochratoxin a production of
Aspergillus section Nigri species under different water activity conditions on
peanut meal extract agar.
AB - The effect of mixtures of antioxidants butylated hydroxyanisol (BHA) and propyl
paraben (PP) on lag phase, growth rate and ochratoxin A (OTA) production by four
Aspergillus section Nigri strains was evaluated on peanut meal extract agar
(PMEA) under different water activities (a(w)). The antioxidant mixtures used
were: BHA + PP (mM), M1 (0.5 + 0.5), M2 (1.0 + 0.5), M3 (2.5 + 0.5), M4 (0.5 +
1.0), M5 (1.0 + 1.0), M6 (2.5 + 1.0), M7 (5.0 + 2.5) and M8 (10 + 2.5). The
mixture M8 completely suppressed mycelial growth for all strains. A significant
stimulation in OTA production was observed with mixtures M1 to M5 mainly at the
highest a(w); whereas M6, M7 and M8 completely inhibited OTA production in all
strains assayed; except M6 in A. carbonarius strain (RCP G). These results could
enable a future intervention strategy to minimize OTA contamination.
PMID- 22069642
TI - The glucocorticoid receptor: a revisited target for toxins.
AB - The hypothalamic-pituitary-adrenal (HPA) axis activation and glucocorticoid
responses are critical for survival from a number of bacterial, viral and toxic
insults, demonstrated by the fact that removal of the HPA axis or GR blockade
enhances mortality rates. Replacement with synthetic glucocorticoids reverses
these effects by providing protection against lethal effects. Glucocorticoid
resistance/insensitivity is a common problem in the treatment of many diseases.
Much research has focused on the molecular mechanism behind this resistance, but
an area that has been neglected is the role of infectious agents and toxins. We
have recently shown that the anthrax lethal toxin is able to repress
glucocorticoid receptor function. Data suggesting that the glucocorticoid
receptor may be a target for a variety of toxins is reviewed here. These studies
have important implications for glucocorticoid therapy.
PMID- 22069645
TI - "Suspects" in etiology of endemic nephropathy: aristolochic acid versus
mycotoxins.
AB - Despite many hypotheses that have been challenged, the etiology of endemic
nephropathy (EN) is still unknown. At present, the implications of aristolochic
acid (AA) and mycotoxins (ochratoxin A-OTA and citrinin-CIT) are under debate. AA
theory is based on renal pathohistological similarities between Chinese herbs
nephropathy (CHN) and EN, findings of AA-DNA adducts in EN and in patients with
urinary tract tumors (UTT), as well as the domination of A:T(r)T:A transversions
in the p53 mutational spectrum of UTT patients, which corresponds with findings
of such mutations in AA-treated rats. However, exposure pathways of EN residents
to AA are unclear. Experimental studies attempting to deduce whether nephrotoxins
OTA and CIT appear at higher frequencies or levels (or both) in the food and
blood or urine of EN residents support the mycotoxin theory. Also, some molecular
studies revealed the presence of OTA-DNA adducts in the renal tissue of EN and
UTT patients. In this review, data supporting or arguing against AA and mycotoxin
theory are presented and discussed.
PMID- 22069646
TI - Heat-labile enterotoxin: beyond G(m1) binding.
AB - Enterotoxigenic Escherichia coli (ETEC) is a significant source of morbidity and
mortality worldwide. One major virulence factor released by ETEC is the heat
labile enterotoxin LT, which is structurally and functionally similar to cholera
toxin. LT consists of five B subunits carrying a single catalytically active A
subunit. LTB binds the monosialoganglioside G(M1), the toxin's host receptor, but
interactions with A-type blood sugars and E. coli lipopolysaccharide have also
been identified within the past decade. Here, we review the regulation, assembly,
and binding properties of the LT B-subunit pentamer and discuss the possible
roles of its numerous molecular interactions.
PMID- 22069647
TI - Synthetic alpha-conotoxin mutants as probes for studying nicotinic acetylcholine
receptors and in the development of novel drug leads.
AB - alpha-Conotoxins are peptide neurotoxins isolated from venomous marine cone
snails that are potent and selective antagonists for different subtypes of
nicotinic acetylcholine receptors (nAChRs). As such, they are valuable probes for
dissecting the role that nAChRs play in nervous system function. In recent years,
extensive insight into the binding mechanisms of alpha-conotoxins with nAChRs at
the molecular level has aided in the design of synthetic analogs with improved
pharmacological properties. This review examines the structure-activity
relationship studies involving alpha-conotoxins as research tools for studying
nAChRs in the central and peripheral nervous systems and their use towards the
development of novel therapeutics.
PMID- 22069649
TI - Molecularly imprinted polymers for ochratoxin a extraction and analysis.
AB - Molecularly imprinted polymers (MIPs) are considered as polymeric materials that
mimic the functionality of antibodies. MIPs have been utilized for a wide variety
of applications in chromatography, solid phase extraction, immunoassays, and
sensor recognition. In this article, recent advances of MIPs for the extraction
and analysis of ochratoxins are discussed. Selection of functional monomers to
bind ochratoxin A (OTA) with high affinities, optimization of extraction
procedures, and limitations of MIPs are compared from different reports. The most
relevant examples in the literature are described to clearly show how useful
these materials are. Strategies on MIP preparation and schemes of analytical
methods are also reviewed in order to suggest the next step that would make
better use of MIPs in the field of ochratoxin research. The review ends by
outlining the remaining issues and impediments.
PMID- 22069650
TI - Arsenic in cancer treatment: challenges for application of realgar nanoparticles
(a minireview).
AB - While intensive efforts have been made for the treatment of cancer, this disease
is still the second leading cause of death in many countries. Metastatic breast
cancer, late-stage colon cancer, malignant melanoma, multiple myeloma, and other
forms of cancer are still essentially incurable in most cases. Recent advances in
genomic technologies have permitted the simultaneous evaluation of DNA sequence
based alterations together with copy number gains and losses. The requirement for
a multi-targeting approach is the common theme that emerges from these studies.
Therefore, the combination of new targeted biological and cytotoxic agents is
currently under investigation in multimodal treatment regimens. Similarly, a
combinational principle is applied in traditional Chinese medicine, as formulas
consist of several types of medicinal herbs or minerals, in which one represents
the principal component, and the others serve as adjuvant ones that assist the
effects, or facilitate the delivery, of the principal component. In Western
medicine, approximately 60 different arsenic preparations have been developed and
used in pharmacological history. In traditional Chinese medicines, different
forms of mineral arsenicals (orpiment-As(2)S(3), realgar-As(4)S(4), and
arsenolite-arsenic trioxide, As(2)O(3)) are used, and realgar alone is included
in 22 oral remedies that are recognized by the Chinese Pharmacopeia Committee
(2005). It is known that a significant portion of some forms of mineral
arsenicals is poorly absorbed into the body, and would be unavailable to cause
systemic damage. This review primary focuses on the application of arsenic
sulfide (realgar) for treatment of various forms of cancer in vitro and in vivo.
PMID- 22069648
TI - Shiga toxins: intracellular trafficking to the ER leading to activation of host
cell stress responses.
AB - Despite efforts to improve hygenic conditions and regulate food and drinking
water safety, the enteric pathogens, Shiga toxin-producing Escherichia coli
(STEC) and Shigella dysenteriae serotype 1 remain major public health concerns
due to widespread outbreaks and the severity of extra-intestinal diseases they
cause, including acute renal failure and central nervous system complications.
Shiga toxins are the key virulence factors expressed by these pathogens mediating
extra-intestinal disease. Delivery of the toxins to the endoplasmic reticulum
(ER) results in host cell protein synthesis inhibition, activation of the
ribotoxic stress response, the ER stress response, and in some cases, the
induction of apoptosis. Intrinsic and/or extrinsic apoptosis inducing pathways
are involved in executing cell death following intoxication. In this review we
provide an overview of the current understanding Shiga toxin intracellular
trafficking, host cellular responses to the toxin and ER stress-induced apoptosis
with an emphasis on recent findings.
PMID- 22069651
TI - Development and characterization of a monoclonal antibody against Ochratoxin B
and its application in ELISA.
AB - A monoclonal antibody specific to ochratoxin B (OTB) was employed for the
development of an indirect competitive OTB-ELISA. The optimized OTB-ELISA
resulted in a limit of detection (LOD) for OTB of 3 ug/L (8 nM), a limit of
quantification (LOQ) of 3.7 ug/L (10 nM), and a 50% inhibitory concentration
(IC(50)) of 150 nM. Due to very low cross-reactivity to OTA (2.7%) and
structurally related molecules (0%), this OTB-ELISA was found to be suitable to
detect OTB with excellent precision in different matrices, i.e., beer, coffee and
wine. Therefore, this OTB-ELISA will allow screening of OTB in food and feed
products.
PMID- 22069652
TI - Specificity of interaction between clostridium perfringens enterotoxin and
claudin-family tight junction proteins.
AB - Clostridium perfringens enterotoxin (CPE), a major cause of food poisoning, forms
physical pores in the plasma membrane of intestinal epithelial cells. The ability
of CPE to recognize the epithelium is due to the C-terminal binding domain, which
binds to a specific motif on the second extracellular loop of tight junction
proteins known as claudins. The interaction between claudins and CPE plays a key
role in mediating CPE toxicity by facilitating pore formation and by promoting
tight junction disassembly. Recently, the ability of CPE to distinguish between
specific claudins has been used to develop tools for studying roles for claudins
in epithelial barrier function. Moreover, the high affinity of CPE to selected
claudins makes CPE a useful platform for targeted drug delivery to tumors
expressing these claudins.
PMID- 22069655
TI - Plant Natural compounds with antibacterial activity towards common pathogens of
pond-cultured channel catfish (Ictalurus punctatus).
AB - The bacteria Edwardsiella ictaluri and Flavobacterium columnare cause enteric
septicemia and columnaris disease, respectively, in channel catfish (Ictalurus
punctatus). Natural therapeutants may provide an alternative to current
management approaches used by producers. In this study, a rapid bioassay
identified plant compounds as potential therapeutants. Chelerythrine chloride and
ellagic acid were the most toxic toward E. ictaluri, with 24-h IC50 of 7.3 mg/L
and 15.1 mg/L, respectively, and MIC of 2.1 mg/L and 6.5 mg/L, respectively.
Chelerythrine chloride, ellagic acid, beta-glycyrrhetinic acid, sorgoleone, and
wogonin were the most toxic towards two genomovars of F. columnare, and wogonin
had the strongest antibacterial activity (MIC = 0.3 mg/L).
PMID- 22069653
TI - AB toxins: a paradigm switch from deadly to desirable.
AB - To ensure their survival, a number of bacterial and plant species have evolved a
common strategy to capture energy from other biological systems. Being imperfect
pathogens, organisms synthesizing multi-subunit AB toxins are responsible for the
mortality of millions of people and animals annually. Vaccination against these
organisms and their toxins has proved rather ineffective in providing long-term
protection from disease. In response to the debilitating effects of AB toxins on
epithelial cells of the digestive mucosa, mechanisms underlying toxin
immunomodulation of immune responses have become the focus of increasing
experimentation. The results of these studies reveal that AB toxins may have a
beneficial application as adjuvants for the enhancement of immune protection
against infection and autoimmunity. Here, we examine similarities and differences
in the structure and function of bacterial and plant AB toxins that underlie
their toxicity and their exceptional properties as immunomodulators for
stimulating immune responses against infectious disease and for immune
suppression of organ-specific autoimmunity.
PMID- 22069654
TI - Neurological disease rises from ocean to bring model for human epilepsy to life.
AB - Domoic acid of macroalgal origin was used for traditional and medicinal purposes
in Japan and largely forgotten until its rediscovery in diatoms that poisoned 107
people after consumption of contaminated mussels. The more severely poisoned
victims had seizures and/or amnesia and four died; however, one survivor
unexpectedly developed temporal lobe epilepsy (TLE) a year after the event.
Nearly a decade later, several thousand sea lions have stranded on California
beaches with neurological symptoms. Analysis of the animals stranded over an
eight year period indicated five clusters of acute neurological poisoning;
however, nearly a quarter have stranded individually outside these events with
clinical signs of a chronic neurological syndrome similar to TLE. These
poisonings are not limited to sea lions, which serve as readily observed
sentinels for other marine animals that strand during domoic acid poisoning
events, including several species of dolphin and whales. Acute domoic acid
poisoning is five-times more prominent in adult female sea lions as a result of
the proximity of their year-round breeding grounds to major domoic acid bloom
events. The chronic neurological syndrome, on the other hand, is more prevalent
in young animals, with many potentially poisoned in utero. The sea lion rookeries
of the Channel Islands are at the crossroads of domoic acid producing harmful
algal blooms and a huge industrial discharge site for
dichlorodiphenyltrichloroethane (DDTs). Studies in experimental animals suggest
that chronic poisoning observed in immature sea lions may result from a spatial
and temporal coincidence of DDTs and domoic acid during early life stages.
Emergence of an epilepsy syndrome from the ocean brings a human epilepsy model to
life and provides unexpected insights into interaction with legacy contaminants
and expression of disease at different life stages.
PMID- 22069656
TI - Production, secretion and biological activity of Bacillus cereus enterotoxins.
AB - Bacillus cereus behaves as an opportunistic pathogen frequently causing
gastrointestinal diseases, and it is increasingly recognized to be responsible
for severe local or systemic infections. Pathogenicity of B. cereus mainly relies
on the secretion of a wide array of toxins and enzymes and also on the ability to
undergo swarming differentiation in response to surface-sensing. In this report,
the pathogenicity exerted by B. cereus toxins is described with particular
attention to the regulatory mechanisms of production and secretion of HBL, Nhe
and CytK enterotoxins.
PMID- 22069657
TI - Uncoupling of T cell receptor zeta chain function during the induction of anergy
by the superantigen, staphylococcal enterotoxin A.
AB - Staphylococcus aureus enterotoxins have immunomodulatory properties. In this
study, we show that Staphylococcal enterotoxin A (SEA) induces a strong
proliferative response in a murine T cell clone independent of MHC class II
bearing cells. SEA stimulation also induces a state of hypo-responsiveness
(anergy). We characterized the components of the T cell receptor (TCR) during
induction of anergy by SEA. Most interestingly, TCR zeta chain phosphorylation
was absent under SEA anergizing conditions, which suggests an uncoupling of zeta
chain function. We characterize here a model system for studying anergy in the
absence of confounding costimulatory signals.
PMID- 22069658
TI - Chemical, physical and biological approaches to prevent ochratoxin induced
toxicoses in humans and animals.
AB - Ochratoxins are polyketide derived fungal secondary metabolites with nephrotoxic,
immunosuppressive, teratogenic, and carcinogenic properties. Ochratoxin-producing
fungi may contaminate agricultural products in the field (preharvest spoilage),
during storage (postharvest spoilage), or during processing. Ochratoxin
contamination of foods and feeds poses a serious health hazard to animals and
humans. Several strategies have been investigated for lowering the ochratoxin
content in agricultural products. These strategies can be classified into three
main categories: prevention of ochratoxin contamination, decontamination or
detoxification of foods contaminated with ochratoxins, and inhibition of the
absorption of consumed ochratoxins in the gastrointestinal tract. This paper
gives an overview of the strategies that are promising with regard to lowering
the ochratoxin burden of animals and humans.
PMID- 22069659
TI - Food poisoning and Staphylococcus aureus enterotoxins.
AB - Staphylococcus aureus produces a wide variety of toxins including staphylococcal
enterotoxins (SEs; SEA to SEE, SEG to SEI, SER to SET) with demonstrated emetic
activity, and staphylococcal-like (SEl) proteins, which are not emetic in a
primate model (SElL and SElQ) or have yet to be tested (SElJ, SElK, SElM to SElP,
SElU, SElU2 and SElV). SEs and SEls have been traditionally subdivided into
classical (SEA to SEE) and new (SEG to SElU2) types. All possess superantigenic
activity and are encoded by accessory genetic elements, including plasmids,
prophages, pathogenicity islands, vSa genomic islands, or by genes located next
to the staphylococcal cassette chromosome (SCC) implicated in methicillin
resistance. SEs are a major cause of food poisoning, which typically occurs after
ingestion of different foods, particularly processed meat and dairy products,
contaminated with S. aureus by improper handling and subsequent storage at
elevated temperatures. Symptoms are of rapid onset and include nausea and violent
vomiting, with or without diarrhea. The illness is usually self-limiting and only
occasionally it is severe enough to warrant hospitalization. SEA is the most
common cause of staphylococcal food poisoning worldwide, but the involvement of
other classical SEs has been also demonstrated. Of the new SE/SEls, only SEH have
clearly been associated with food poisoning. However, genes encoding novel SEs as
well as SEls with untested emetic activity are widely represented in S. aureus,
and their role in pathogenesis may be underestimated.
PMID- 22069660
TI - Cholera-like enterotoxins and Regulatory T cells.
AB - Cholera toxin (CT) and the heat-labile enterotoxin of E. coli (LT), as well as
their non toxic mutants, are potent mucosal adjuvants of immunization eliciting
mucosal and systemic responses against unrelated co-administered antigens in
experimental models and in humans (non toxic mutants). These enterotoxins are
composed of two subunits, the A subunit, responsible for an ADP-ribosyl
transferase activity and the B subunit, responsible for cell binding.
Paradoxically, whereas the whole toxins have adjuvant properties, the B subunits
of CT (CTB) and of LT (LTB) have been shown to induce antigen specific tolerance
when administered mucosally with antigens in experimental models as well as,
recently, in humans, making them an attractive strategy to prevent or treat
autoimmune or allergic disorders. Immunomodulation is a complex process involving
many cell types notably antigen presenting cells and regulatory T cells (Tregs).
In this review, we focus on Treg cells and cholera-like enterotoxins and their
non toxic derivates, with regard to subtype, in vivo/in vitro effects and
possible role in the modulation of immune responses to coadministered antigens.
PMID- 22069661
TI - Effects of ochratoxin a on livestock production.
AB - Ochratoxin A (OTA) contamination often causes large economic losses on livestock
production. The intake of feed contaminated by OTA also represents a potential
risk for animal health and a food safety issue due to the transfer of the toxin
through the food chain to humans. The aim of this paper is to review the
available literature on: (1) the frequency and degree of occurrence of OTA in
different feedstuffs; (2) the toxicological effects of OTA intake on the
performance of the main livestock (i.e., poultry, swine, cattle, goats and
sheep); and (3) the transfer of OTA, or its metabolites, from animal feed into
animal products such as milk, meat and eggs.
PMID- 22069663
TI - Emergence of anthrax edema toxin as a master manipulator of macrophage and B cell
functions.
AB - Anthrax edema toxin (ET), a powerful adenylyl cyclase, is an important virulence
factor of Bacillus anthracis. Until recently, only a modest amount of research
was performed to understand the role this toxin plays in the organism's immune
evasion strategy. A new wave of studies have begun to elucidate the effects this
toxin has on a variety of host cells. While efforts have been made to illuminate
the effect ET has on cells of the adaptive immune system, such as T cells, the
greatest focus has been on cells of the innate immune system, particularly the
macrophage. Here we discuss the immunoevasive activities that ET exerts on
macrophages, as well as new research on the effects of this toxin on B cells.
PMID- 22069662
TI - The enterotoxicity of Clostridium difficile toxins.
AB - The major virulence factors of Clostridium difficile infection (CDI) are two
large exotoxins A (TcdA) and B (TcdB). However, our understanding of the specific
roles of these toxins in CDI is still evolving. It is now accepted that both
toxins are enterotoxic and proinflammatory in the human intestine. Both purified
TcdA and TcdB are capable of inducing the pathophysiology of CDI, although most
studies have focused on TcdA. C. difficile toxins exert a wide array of
biological activities by acting directly on intestinal epithelial cells.
Alternatively, the toxins may target immune cells and neurons once the intestinal
epithelial barrier is disrupted. The toxins may also act indirectly by
stimulating cells to produce chemokines, proinflammatory cytokines, neuropeptides
and other neuroimmune signals. This review considers the mechanisms of TcdA- and
TcdB-induced enterotoxicity, and recent developments in this field.
PMID- 22069664
TI - The systemic and pulmonary immune response to staphylococcal enterotoxins.
AB - In response to environmental cues the human pathogen Staphylococcus aureus
synthesizes and releases proteinaceous enterotoxins. These enterotoxins are
natural etiologic entities of severe food poisoning, toxic shock syndrome, and
acute diseases. Staphylococcal enterotoxins are currently listed as Category B
Bioterrorism Agents by the Center for Disease Control and Prevention. They are
associated with respiratory illnesses, and may contribute to exacerbation of
pulmonary disease. This likely stems from the ability of Staphylococcal
enterotoxins to elicit powerful episodes of T cell stimulation resulting in
release of pro-inflammatory cytokines. Here, we discuss the role of the immune
system and potential mechanisms of disease initiation and progression.
PMID- 22069665
TI - NetB, a pore-forming toxin from necrotic enteritis strains of Clostridium
perfringens.
AB - The Clostridium perfringens necrotic enteritis B-like toxin (NetB) is a recently
discovered member of the beta-barrel pore-forming toxin family and is produced by
a subset of avian C. perfringens type A strains. NetB is cytotoxic for avian
cells and is associated with avian necrotic enteritis. This review examines the
current state of knowledge of NetB: its role in pathogenesis, its distribution
and expression in C. perfringens and its vaccine potential.
PMID- 22069666
TI - Ochratoxin A in roasted coffee from French supermarkets and transfer in coffee
beverages: comparison of analysis methods.
AB - The OTA content of 30 roasted coffees purchased in French supermarkets was
evaluated by two validated different methods: one using immunoaffinity column
(IAC) clean-up after alkaline extraction; the second using toluene extraction
under acidic conditions. OTA recoveries (0.5 to 5 ug/kg) ranged from 16-49% with
the alkaline extraction method and 55-60% with the acidic method. OTA recoveries
from prepared beverages were similar with all methods (75-80%). All samples
containing OTA ranged from trace (1 mg) in
a 100 g sample.
PMID- 22069714
TI - The use of convection-enhanced delivery with liposomal toxins in neurooncology.
AB - Liposomes have long been effective delivery vehicles for transport of toxins to
peripheral cancers. The combination of convection-enhanced delivery (CED) with
liposomal toxins was originally proposed to circumvent the limited delivery of
intravascular liposomes to the central nervous system (CNS) due to the blood
brain-barrier (BBB). CED offers markedly improved distribution of infused
therapeutics within the CNS compared to direct injection or via drug eluting
polymers, both of which depend on diffusion for parenchymal distribution. This
review examines the basis for improved delivery of liposomal toxins via CED
within the CNS, and discusses preclinical and clinical experience with these
therapeutic techniques. How CED and liposomal technologies may influence future
neurooncologic treatments are also considered.
PMID- 22069716
TI - A comparison of the anti-tumor effects of a chimeric versus murine anti-CD19
immunotoxins on human B cell lymphoma and Pre-B acute lymphoblastic leukemia cell
lines.
AB - Precursor B cell acute lymphoblastic leukemia (pre-B ALL) affects five to six
thousand adults and almost three thousand children every year. Approximately 25%
of the children and 60% of the adults die from their disease, highlighting the
need for new therapies that complement rather than overlap chemotherapy and bone
marrow transplantation. Immunotherapy is a class of therapies where toxicities
and mechanisms of action do not overlap with those of chemotherapy. Because CD19
is a B cell- restricted membrane antigen that is expressed on the majority of pre
B tumor cells, a CD19-based immunotherapy is being developed for ALL. In this
study, the anti-tumor activities of immunotoxins (ITs) constructed by conjugating
a murine monoclonal antibody (MAb), HD37, or its chimeric (c) construct to
recombinant ricin toxin A chain (rRTA) were compared both in vitro using human
pre-B ALL and Burkitt's lymphoma cell lines and in vivo using a disseminated
human pre-B ALL tumor cell xenograft model. The murine and chimeric HD37 IT
constructs were equally cytotoxic to pre-B ALL and Burkitt's lymphoma cells in
vitro and their use in vivo resulted in equivalent increases in survival of SCID
mice with human pre-B ALL tumors when compared with control mice.
PMID- 22069718
TI - Accumulation kinetics of three scirpentriol-based toxins in oats inoculated in
Vitro with isolates of Fusarium sporotrichioides and Fusarium poae.
AB - Autoclaved oats were inoculated with a strain of Fusarium sporotrichioides or
Fusarium poae. Moisture content of oats after inoculation was at 38%, incubation
took place in standing culture at 28 degrees C. The A-type trichothecenes, 4,15
diacetoxyscirpenol (4,15-DAS), 15-monoacetoxyscirpenol (15-MAS), and scirpentriol
(SCIRP) were analyzed by GC/MS. For each strain, three culture flasks were
harvested at 2-3 day intervals starting immediately after inoculation. Total
incubation time was 42 days (F. poae) and 56 days (F. sporotrichioides).
Following peak accumulation, 4,15-DAS decreased below the detection limit for
both strains, 15-MAS decreased below this limit for the isolate of F.
sporotrichioides, for the isolate of F. poae it decreased to a level markedly
below the peak value. SCIRP, after having peaked, decreased to some extent for
the strain F. sporotrichioides, with a significant (P = 0.0029) negative linear
regression of toxin content against culture age during this period. The content
of 15-MAS, and in part also of 4,15-DAS, decreased along with an increase of
SCIRP. This sequential accumulation pattern suggests the successive induction of
esterases deacetylating 4,15-DAS and 15-MAS, as well as of enzymes involved in
the metabolization of the parent alcohol, SCIRP. The results may explain, at
least in part, the somewhat higher incidence in naturally contaminated compounds
reported in the literature for SCIRP compared to 4,15-DAS and 15-MAS.
PMID- 22069717
TI - Use of ribosome-inactivating proteins from Sambucus for the construction of
immunotoxins and conjugates for cancer therapy.
AB - The type 2 ribosome-inactivating proteins (RIPs) isolated from some species
belonging to the Sambucus genus, have the characteristic that although being even
more active than ricin inhibiting protein synthesis in cell-free extracts, they
lack the high toxicity of ricin and related type 2 RIPs to intact cells and
animals. This is due to the fact that after internalization, they follow a
different intracellular pathway that does not allow them to reach the cytosolic
ribosomes. The lack of toxicity of type 2 RIPs from Sambucus make them good
candidates as toxic moieties in the construction of immunotoxins and conjugates
directed against specific targets. Up to now they have been conjugated with
either transferrin or anti-CD105 to target either transferrin receptor- or
endoglin-overexpressing cells, respectively.
PMID- 22069719
TI - Inhibition of the unfolded protein response by ricin a-chain enhances its
cytotoxicity in mammalian cells.
AB - Ricin is a highly toxic type II ribosome-inactivating protein that has potential
as a biochemical weapon and as the toxic component of immunotoxins. The unfolded
protein response (UPR) is a survival response that helps cells to recover from
endoplasmic reticulum (ER) stress. Failure to recover from ER stress leads to
apoptosis. In yeast, ricin-A-chain (RTA), the enzymatic component of ricin,
inhibits UPR. Our goals were to determine if RTA inhibits UPR in two epithelial
cell lines and if this affects RTA cytotoxicity. RTA alone did not induce UPR.
However, RTA inhibited both phosphorylation of inositol-requiring enzyme 1 (IRE1)
and splicing of X-box binding protein1 mRNA by the UPR-inducing agent tunicamycin
(Tm). The ability of dithiothreitol (DTT) to activate eukaryotic translation
initiation factor 2 alpha (eIF2alpha), a component of the PERK pathway, was also
inhibited by RTA. Treatment with RTA in combination with Tm or DTT inhibited
protein synthesis more than either agent did alone in one cell line, while
caspase cleavage was enhanced by the treatment combination in both cell lines.
These data indicate that RTA is more cytotoxic when UPR is inhibited. This
ability to inhibit UPR may enhance the potential of RTA as a therapeutic
immunotoxin in solid tumors.
PMID- 22069721
TI - Alpha-latrotoxin rescues SNAP-25 from BoNT/A-mediated proteolysis in embryonic
stem cell-derived neurons.
AB - The botulinum neurotoxins (BoNTs) exhibit zinc-dependent proteolytic activity
against members of the core synaptic membrane fusion complex, preventing
neurotransmitter release and resulting in neuromuscular paralysis. No
pharmacologic therapies have been identified that clinically relieve botulinum
poisoning. The black widow spider venom alpha-latrotoxin (LTX) has the potential
to attenuate the severity or duration of BoNT-induced paralysis in neurons via
the induction of synaptic degeneration and remodeling. The potential for LTX to
antagonize botulinum poisoning was evaluated in embryonic stem cell-derived
neurons (ESNs), using a novel screening assay designed around the kinetics of
BoNT/A activation. Exposure of ESNs to 400 pM LTX for 6.5 or 13 min resulted in
the nearly complete restoration of uncleaved SNAP-25 within 48 h, whereas
treatment with 60 mM K(+) had no effect. Time-lapse imaging demonstrated that LTX
treatment caused a profound increase in Ca(2+) influx and evidence of
excitotoxicity, though ESNs remained viable 48 h after LTX treatment. This is the
first instance of a cell-based treatment that has shown the ability to eliminate
BoNT activity. These data suggest that LTX treatment may provide the basis for a
new class of therapeutic approach to BoNT intoxication and may contribute to an
improved understanding of long-term mechanisms of BoNT intoxication and recovery.
They further demonstrate that ESNs are a novel, responsive and biologically
relevant model for LTX research and BoNT therapeutic drug discovery.
PMID- 22069720
TI - Botulinum neurotoxins and botulism: a novel therapeutic approach.
AB - Specific treatment is not available for human botulism. Current remedial mainstay
is the passive administration of polyclonal antibody to botulinum neurotoxin
(BoNT) derived from heterologous species (immunized animal or mouse hybridoma)
together with supportive and symptomatic management. The antibody works
extracellularly, probably by blocking the binding of receptor binding (R) domain
to the neuronal receptors; thus inhibiting cellular entry of the holo-BoNT. The
antibody cannot neutralize the intracellular toxin. Moreover, a conventional
antibody with relatively large molecular size (150 kDa) is not accessible to the
enzymatic groove and, thus, cannot directly inhibit the BoNT zinc metalloprotease
activity. Recently, a 15-20 kDa single domain antibody (V(H)H) that binds
specifically to light chain of BoNT serotype A was produced from a humanized
camel VH/V(H)H phage display library. The V(H)H has high sequence homology (>80%)
to the human VH and could block the enzymatic activity of the BoNT. Molecular
docking revealed not only the interface binding between the V(H)H and the toxin
but also an insertion of the V(H)H CDR3 into the toxin enzymatic pocket. It is
envisaged that, by molecular linking the V(H)H to a cell penetrating peptide
(CPP), the CPP-V(H)H fusion protein would be able to traverse the hydrophobic
cell membrane into the cytoplasm and inhibit the intracellular BoNT. This
presents a novel and safe immunotherapeutic strategy for botulism by using a cell
penetrating, humanized-single domain antibody that inhibits the BoNT by means of
a direct blockade of the groove of the menace enzyme.
PMID- 22069722
TI - H NMR spectroscopy-based metabolomic assessment of uremic toxicity, with
toxicological outcomes, in male rats following an acute, mid-life insult from
ochratoxin a.
AB - Overt response to a single 6.25 mg dose of ochratoxin A (OTA) by oral gavage to
15 months male rats was progressive loss of weight during the following four
days. Lost weight was restored within one month and animals had a normal life
span without OTA-related terminal disease. Decline in plasma OTA concentration
only commenced four days after dosing, while urinary excretion of OTA and
ochratoxin alpha was ongoing. During a temporary period of acute polyuria, a
linear relationship between urine output and creatinine concentration persisted.
Elimination of other common urinary solutes relative to creatinine was generally
maintained during the polyuria phase, except that phosphate excretion increased
temporarily. (1)H NMR metabolomic analysis of urine revealed a progressive cyclic
shift in the group principal components data cluster from before dosing,
throughout the acute insult phase, and returning almost completely to normality
when tested six months later. Renal insult by OTA was detected by (1)H NMR within
a day of dosing, as the most sensitive early indicator. Notable biomarkers were
trimethylamine N-oxide and an aromatic urinary profile dominated by
phenylacetylglycine. Tolerance of such a large acute insult by OTA, assessed by
rat natural lifetime outcomes, adds a new dimension to toxicology of this
xenobiotic.
PMID- 22069723
TI - 4-Pyridone-3-carboxamide-1-beta-D-ribonucleoside triphosphate (4PyTP), a novel
NAD metabolite accumulating in erythrocytes of uremic children: a biomarker for a
toxic NAD analogue in other tissues?
AB - We have identified a novel nucleotide, 4-pyridone 3/5-carboxamide ribonucleoside
triphosphate (4PyTP), which accumulates in human erythrocytes during renal
failure. Using plasma and erythrocyte extracts obtained from children with
chronic renal failure we show that the concentration of 4PyTP is increased, as
well as other soluble NAD(+) metabolites (nicotinamide, N(1)-methylnicotinamide
and 4Py-riboside) and the major nicotinamide metabolite N(1)-methyl-2-pyridone-5
carboxamide (2PY), with increasing degrees of renal failure. We noted that 2PY
concentration was highest in the plasma of haemodialysis patients, while 4PyTP
was highest in erythrocytes of children undergoing peritoneal dialysis: its
concentration correlated closely with 4Py-riboside, an authentic precursor of
4PyTP, in the plasma. In the dialysis patients, GTP concentration was elevated:
similar accumulation was noted previously, as a paradoxical effect in
erythrocytes during treatment with immunosuppressants such as ribavirin and
mycophenolate mofetil, which deplete GTP through inhibition of IMP dehydrogenase
in nucleated cells such as lymphocytes. We predict that 4Py-riboside and 4Py
nucleotides bind to this enzyme and alter its activity. The enzymes that
regenerate NAD(+) from nicotinamide riboside also convert the drugs tiazofurin
and benzamide riboside into NAD(+) analogues that inhibit IMP dehydrogenase more
effectively than the related ribosides: we therefore propose that the
accumulation of 4PyTP in erythrocytes during renal failure is a marker for the
accumulation of a related toxic NAD(+) analogue that inhibits IMP dehydrogenase
in other cells.
PMID- 22069724
TI - Expression analysis of stress-related genes in kernels of different maize (Zea
mays L.) inbred lines with different resistance to aflatoxin contamination.
AB - This research examined the expression patterns of 94 stress-related genes in
seven maize inbred lines with differential expressions of resistance to aflatoxin
contamination. The objective was to develop a set of genes/probes associated with
resistance to A. flavus and/or aflatoxin contamination. Ninety four genes were
selected from previous gene expression studies with abiotic stress to test the
differential expression in maize lines, A638, B73, Lo964, Lo1016, Mo17, Mp313E,
and Tex6, using real-time RT-PCR. Based on the relative-expression levels, the
seven maize inbred lines clustered into two different groups. One group included
B73, Lo1016 and Mo17, which had higher levels of aflatoxin contamination and
lower levels of overall gene expression. The second group which included Tex6,
Mp313E, Lo964 and A638 had lower levels of aflatoxin contamination and higher
overall levels of gene expressions. A total of six "cross-talking" genes were
identified between the two groups, which are highly expressed in the resistant
Group 2 but down-regulated in susceptible Group 1. When further subjected to
drought stress, Tex6 expressed more genes up-regulated and B73 has fewer genes up
regulated. The transcript patterns and interactions measured in these experiments
indicate that the resistant mechanism is an interconnected process involving many
gene products and transcriptional regulators, as well as various host
interactions with environmental factors, particularly, drought and high
temperature.
PMID- 22069725
TI - Aflatoxin toxicity reduction in feed by enhanced binding to surface-modified clay
additives.
AB - Animal feeding studies have demonstrated that clay additives, such as bentonites,
can bind aflatoxins in ingested feed and reduce or eliminate the toxicity.
Bentonite deposits are found throughout the world and mostly consist of
expandable smectite minerals, such as montmorillonite. The surfaces of smectite
minerals can be treated with organic compounds to create surface-modified clays
that more readily bind some contaminants than the untreated clay.
Montmorillonites treated with organic cations, such as hexadecyltrimethylammonium
(HDTMA) and phenyltrimethylammonium (PTMA), more effectively remove organic
contaminants, such as benzene and toluene, from water than untreated clay.
Similarly, montmorillonite treated with PTMA (K(d) = 24,100) retained more
aflatoxin B1 (AfB1) from aqueous corn flour than untreated montmorillonite (K(d)
= 944). Feed additives that reduced aflatoxin toxicity in animal feeding studies
adsorbed more AfB1 from aqueous corn flour than feed additives that were less
effective. The organic cations HDTMA and PTMA are considered toxic and would not
be suitable for clay additives used in feed or food, but other non-toxic or
nutrient compounds can be used to prepare surface-modified clays. Montmorillonite
(SWy) treated with choline (K(d) = 13,800) and carnitine (K(d) = 3960) adsorbed
much more AfB1 from aqueous corn flour than the untreated clay (K(d) = 944). A
choline-treated clay prepared from a reduced-charge, high-charge montmorillonite
(K(d) = 20,100) adsorbed more AfB1 than the choline-treated high-charge
montmorillonite (K(d) = 1340) or the untreated montmorillonite (K(d) = 293).
Surface-modified clay additives prepared using low-charge smectites and nutrient
or non-toxic organic compounds might be used to more effectively bind aflatoxins
in contaminated feed or food and prevent toxicity.
PMID- 22069726
TI - Aflatoxin B(1) in affecting broiler's performance, immunity, and gastrointestinal
tract: a review of history and contemporary issues.
AB - Aflatoxin B(1) is a common contaminant of poultry feeds in tropical and
subtropical climates. Research during the last five decades has well established
the negative effects of the mycotoxin on health of poultry. However, the last ten
years of relevant data have accentuated the potential of low levels of aflatoxin
B(1) to deteriorate broiler performance. In this regard, any attempt to establish
a dose-effect relationship between aflatoxin B(1) level and broiler performance
is also complicated due to differences in types of broilers and length of
exposure to the mycotoxin in different studies. Contrary to the prevalent notion
regarding literature saturation with respect to aflatoxicosis of chicken, many
areas of aflatoxicosis still need to be explored. Literature regarding effects of
the mycotoxin on the gastrointestinal tract in this regard is particular scanty
and non-conclusive. In addition to these issues, the metabolism of aflatoxin B(1)
and recently proposed hypotheses regarding biphasic effects of the mycotoxin in
broilers are briefly discussed.
PMID- 22069727
TI - The effects of anthrax lethal toxin on host barrier function.
AB - The pathological actions of anthrax toxin require the activities of its edema
factor (EF) and lethal factor (LF) enzyme components, which gain intracellular
access via its receptor-binding component, protective antigen (PA). LF is a
metalloproteinase with specificity for selected mitogen-activated protein kinase
kinases (MKKs), but its activity is not directly lethal to many types of primary
and transformed cells in vitro. Nevertheless, in vivo treatment of several animal
species with the combination of LF and PA (termed lethal toxin or LT) leads to
morbidity and mortality, suggesting that LT-dependent toxicity is mediated by
cellular interactions between host cells. Decades of research have revealed that
a central hallmark of this toxicity is the disruption of key cellular barriers
required to maintain homeostasis. This review will focus on the current
understanding of the effects of LT on barrier function, highlighting recent
progress in establishing the molecular mechanisms underlying these effects.
PMID- 22069728
TI - Shiga toxin: expression, distribution, and its role in the environment.
AB - In this review, we highlight recent work that has increased our understanding of
the production and distribution of Shiga toxin in the environment. Specifically,
we review studies that offer an expanded view of environmental reservoirs for
Shiga toxin producing microbes in terrestrial and aquatic ecosystems. We then
relate the abundance of Shiga toxin in the environment to work that demonstrates
that the genetic mechanisms underlying the production of Shiga toxin genes are
modified and embellished beyond the classical microbial gene regulatory paradigms
in a manner that apparently "fine tunes" the trigger to modulate the amount of
toxin produced. Last, we highlight several recent studies examining
microbe/protist interactions that postulate an answer to the outstanding question
of why microbes might harbor and express Shiga toxin genes in the environment.
PMID- 22069729
TI - Shiga toxin interaction with human intestinal epithelium.
AB - After ingestion via contaminated food or water, enterohaemorrhagic E. coli
colonises the intestinal mucosa and produces Shiga toxins (Stx). No Stx-specific
secretion system has been described so far, and it is assumed that Stx are
released into the gut lumen after bacterial lysis. Human intestinal epithelium
does not express the Stx receptor Gb3 or other Stx binding sites, and it remains
unknown how Stx cross the intestinal epithelial barrier and gain access to the
systemic circulation. This review summarises current knowledge about the
influence of the intestinal environment on Stx production and release, Stx
interaction with intestinal epithelial cells and intracellular uptake, and toxin
translocation into underlying tissues. Furthermore, it highlights gaps in
understanding that need to be addressed by future research.
PMID- 22069730
TI - Detection of stx and stx genes in Pennsylvanian white-tailed deer.
AB - Shiga toxin-producing E. coli carrying the stx(1) and/or stx(2) genes can cause
multi-symptomatic illness in humans. A variety of terrestrial and aquatic
environmental reservoirs of stx have been described. Culture based detection of
microbes in deer species have found a low percentage of samples that have tested
positive for Stx-producing microbes, suggesting that while deer may contain these
microbes, their overall abundance in deer is low. In this study, quantitative PCR
(qPCR) was utilized to test for the presence of stx genes in white-tailed deer
fecal matter in western Pennsylvania. In this culture independent screening,
nearly half of the samples tested positive for the stx(2) gene, with a bias
towards samples that were concentrated with stx(2). This study, while limited in
scope, suggests that deer may be a greater reservoir for stx than was previously
thought.
PMID- 22069731
TI - Control of aflatoxin production of Aspergillus flavus and Aspergillus parasiticus
using RNA silencing technology by targeting aflD (nor-1) gene.
AB - Aspergillus flavus and Aspergillus parasiticus are important pathogens of cotton,
corn, peanuts and other oil-seed crops, producing toxins both in the field and
during storage. We have designed three siRNA sequences (Nor-Ia, Nor-Ib, Nor-Ic)
to target the mRNA sequence of the aflD gene to examine the potential for using
RNA silencing technology to control aflatoxin production. Thus, the effect of
siRNAs targeting of two key genes in the aflatoxin biosynthetic pathway, aflD
(structural) and aflR (regulatory gene) and on aflatoxin B(1 )(AFB(1)), and
aflatoxin G(1) (AFG(1)) production was examined. The study showed that Nor-Ib
gave a significant decrease in aflD mRNA, aflR mRNA abundance, and AFB(1)
production (98, 97 and 97% when compared to the controls) in A. flavus NRRL3357,
respectively. Reduction in aflD and aflR mRNA abundance and AFB(1 )production
increased with concentration of siRNA tested. There was a significant inhibition
in aflD and AFB(1) production by A. flavus EGP9 and AFG(1 )production by A.
parasiticus NRRL 13005. However, there was no significant decrease in AFG(1)
production by A. parasiticus SSWT 2999. Changes in AFB(1) production in relation
to mRNA levels of aflD showed a good correlation (R = 0.88; P = 0.00001); changes
in aflR mRNA level in relation to mRNA level of aflD also showed good correlation
(R = 0.82; P = 0.0001). The correlations between changes in aflR and aflD gene
expression suggests a strong relationship between these structural and regulatory
genes, and that aflD could be used as a target gene to develop efficient means
for aflatoxin control using RNA silencing technology.
PMID- 22069733
TI - Loss of vtx genes after the first subcultivation step of verocytotoxigenic
Escherichia coli O157 and Non-O157 during isolation from naturally contaminated
fecal samples.
AB - Verocytotoxins VT1 and VT2,produced by Verocytotoxigenic Escherichia coli (VTEC),
are encoded on temperate bacteriophages. Several studies reported the loss of the
vtx genes after multiple subcultivation steps or long preservation. The objective
of this study was to determine if the loss of the verocytotoxin genes can already
occur during the first subcultivation step. Consequently, the stability of the
vtx genes were tested in 40 isolates originating from 40 vtx-positive fecal
samples after the first subcultivation step following the isolation procedure.
The loss occurred in 12 out of 40 strains tested and was rather rare among the
O157 strains compared to the non-O157 strains. This is the first study
demonstrating that the loss of the verocytotoxin genes can already occur after
the first subcultivation step. This may lead to an underestimation of VTEC
positive samples.
PMID- 22069732
TI - T cell targeting by anthrax toxins: two faces of the same coin.
AB - Bacillus anthracis, similar to other bacterial pathogens, has evolved effective
immune evasion strategies to prolong its survival in the host, thus ensuring the
unchecked spread of the infection. This function is subserved by lethal (LT) and
edema (ET) toxins, two exotoxins produced by vegetative anthrax bacilli following
germination of the spores. The structure of these toxins and the mechanism of
cell intoxication are topics covered by other reviews in this issue. Here we
shall discuss how B. anthracis uses LT and ET to suppress the immune defenses of
the host, focusing on T lymphocytes, the key players in adaptive immunity. We
shall also summarize recent findings showing that, depending on its
concentration, ET has the ability not only to suppress T cell activation but also
to promote the polarization of CD4(+) T cells to the Th2 and Th17 subsets,
highlighting the potential use of this toxin as an immunomodulator.
PMID- 22069734
TI - Developing resistance to aflatoxin in maize and cottonseed.
AB - At this time, no "magic bullet" for solving the aflatoxin contamination problem
in maize and cottonseed has been identified, so several strategies must be
utilized simultaneously to ensure a healthy crop, free of aflatoxins. The most
widely explored strategy for the control of aflatoxin contamination is the
development of preharvest host resistance. This is because A. flavus infects and
produces aflatoxins in susceptible crops prior to harvest. In maize production,
the host resistance strategy has gained prominence because of advances in the
identification of natural resistance traits. However, native resistance in maize
to aflatoxin contamination is polygenic and complex and, therefore, markers need
to be identified to facilitate the transfer of resistance traits into
agronomically viable genetic backgrounds while limiting the transfer of
undesirable traits. Unlike maize, there are no known cotton varieties that
demonstrate enhanced resistance to A. flavus infection and aflatoxin
contamination. For this reason, transgenic approaches are being undertaken in
cotton that utilize genes encoding antifungal/anti-aflatoxin factors from maize
and other sources to counter fungal infection and toxin production. This review
will present information on preharvest control strategies that utilize both
breeding and native resistance identification approaches in maize as well as
transgenic approaches in cotton.
PMID- 22069735
TI - Immunotoxins and other conjugates containing saporin-s6 for cancer therapy.
AB - Ribosome-inactivating proteins (RIPs) are a family of plant toxins that
permanently damage ribosomes and possibly other cellular substrates, thus causing
cell death. RIPs are mostly divided in two types: Type 1 RIPs that are single
chain enzymatic proteins, and type 2 RIPs that consist of an active A chain
(similar to a type 1 RIP) linked to a B chain with lectin properties. RIP
containing conjugates have been used in many experimental strategies against
cancer cells, often showing great efficacy in clinical trials. Saporin-S6, a type
1 RIP extracted from Saponaria officinalis L. seeds, has been extensively
utilized to construct anti-cancer conjugates because of its high enzymatic
activity, stability and resistance to conjugation procedures, resulting in the
efficient killing of target cells. This review summarizes saporin-S6-containing
conjugates and their application in cancer therapy, considering in-vitro and in
vivo studies both in animal models and in clinical trials. The review is
structured on the basis of the targeting of hematological versus solid tumors and
on the antigen recognized on the cell surface.
PMID- 22069736
TI - Hemodynamic effects of anthrax toxins in the rabbit model and the cardiac
pathology induced by lethal toxin.
AB - Anthrax lethal toxin (LeTx) and edema toxin (EdTx) have been shown to alter
hemodynamics in the rodent model, while LeTx primarily is reported to induce
extensive tissue pathology. However, the rodent model has limitations when used
for comparison to higher organisms such as humans. The rabbit model, on the other
hand, has gained recognition as a useful model for studying anthrax infection and
its pathophysiological effects. In this study, we assessed the hemodynamic
effects of lethal toxin (LeTx) and edema toxin (EdTx) in the rabbit model using
physiologically relevant amounts of the toxins. Moreover, we further examine the
pathological effects of LeTx on cardiac tissue. We intravenously injected Dutch
belted rabbits with either low-dose and high-dose recombinant LeTx or a single
dose of EdTx. The animals' heart rate and mean arterial pressure were
continuously monitored via telemetry until either 48 or 72 h post-challenge.
Additional animals challenged with LeTx were used for cardiac troponin I (cTnI)
quantitation, cardiac histopathology, and echocardiography. LeTx depressed heart
rate at the lower dose and mean arterial pressure (MAP) at the higher dose. EdTx,
on the other hand, temporarily intensified heart rate while lowering MAP. Both
doses of LeTx caused cardiac pathology with the higher dose having a more
profound effect. Lastly, left-ventricular dilation due to LeTx was not apparent
at the given time-points. Our study demonstrates the hemodynamic effects of
anthrax toxins, as well as the pathological effects of LeTx on the heart in the
rabbit model, and it provides further evidence for the toxins' direct impact on
the heart.
PMID- 22069737
TI - Gene expression profiling and identification of resistance genes to Aspergillus
flavus infection in peanut through EST and microarray strategies.
AB - Aspergillus flavus and A. parasiticus infect peanut seeds and produce aflatoxins,
which are associated with various diseases in domestic animals and humans
throughout the world. The most cost-effective strategy to minimize aflatoxin
contamination involves the development of peanut cultivars that are resistant to
fungal infection and/or aflatoxin production. To identify peanut Aspergillus
interactive and peanut Aspergillus-resistance genes, we carried out a large scale
peanut Expressed Sequence Tag (EST) project which we used to construct a peanut
glass slide oligonucleotide microarray. The fabricated microarray represents over
40% of the protein coding genes in the peanut genome. For expression profiling,
resistant and susceptible peanut cultivars were infected with a mixture of
Aspergillusflavus and parasiticus spores. The subsequent microarray analysis
identified 62 genes in resistant cultivars that were up-expressed in response to
Aspergillus infection. In addition, we identified 22 putative Aspergillus
resistance genes that were constitutively up-expressed in the resistant cultivar
in comparison to the susceptible cultivar. Some of these genes were homologous to
peanut, corn, and soybean genes that were previously shown to confer resistance
to fungal infection. This study is a first step towards a comprehensive genome
scale platform for developing Aspergillus-resistant peanut cultivars through
targeted marker-assisted breeding and genetic engineering.
PMID- 22069738
TI - A public platform for the verification of the phenotypic effect of candidate
genes for resistance to aflatoxin accumulation and Aspergillus flavus infection
in maize.
AB - A public candidate gene testing pipeline for resistance to aflatoxin accumulation
or Aspergillus flavus infection in maize is presented here. The pipeline consists
of steps for identifying, testing, and verifying the association of selected
maize gene sequences with resistance under field conditions. Resources include a
database of genetic and protein sequences associated with the reduction in
aflatoxin contamination from previous studies; eight diverse inbred maize lines
for polymorphism identification within any maize gene sequence; four Quantitative
Trait Loci (QTL) mapping populations and one association mapping panel, all
phenotyped for aflatoxin accumulation resistance and associated phenotypes; and
capacity for Insertion/Deletion (InDel) and SNP genotyping in the population(s)
for mapping. To date, ten genes have been identified as possible candidate genes
and put through the candidate gene testing pipeline, and results are presented
here to demonstrate the utility of the pipeline.
PMID- 22069739
TI - Transcriptional profiles uncover Aspergillus flavus-induced resistance in maize
kernels.
AB - Aflatoxin contamination caused by the opportunistic pathogen A. flavus is a major
concern in maize production prior to harvest and through storage. Previous
studies have highlighted the constitutive production of proteins involved in
maize kernel resistance against A. flavus' infection. However, little is known
about induced resistance nor about defense gene expression and regulation in
kernels. In this study, maize oligonucleotide arrays and a pair of closely
related maize lines varying in aflatoxin accumulation were used to reveal the
gene expression network in imbibed mature kernels in response to A. flavus'
challenge. Inoculated kernels were incubated 72 h via the laboratory-based Kernel
Screening Assay (KSA), which highlights kernel responses to fungal challenge.
Gene expression profiling detected 6955 genes in resistant and 6565 genes in
susceptible controls; 214 genes induced in resistant and 2159 genes induced in
susceptible inoculated kernels. Defense related and regulation related genes were
identified in both treatments. Comparisons between the resistant and susceptible
lines indicate differences in the gene expression network which may enhance our
understanding of the maize-A. flavus interaction.
PMID- 22069740
TI - Ricin trafficking in plant and mammalian cells.
AB - Ricin is a heterodimeric plant protein that is potently toxic to mammalian and
many other eukaryotic cells. It is synthesized and stored in the endosperm cells
of maturing Ricinus communis seeds (castor beans). The ricin family has two major
members, both, lectins, collectively known as Ricinus communis agglutinin ll
(ricin) and Ricinus communis agglutinin l (RCA). These proteins are stored in
vacuoles within the endosperm cells of mature Ricinus seeds and they are rapidly
broken down by hydrolysis during the early stages of post-germinative growth.
Both ricin and RCA traffic within the plant cell from their site of synthesis to
the storage vacuoles, and when they intoxicate mammalian cells they traffic from
outside the cell to their site of action. In this review we will consider both of
these trafficking routes.
PMID- 22069741
TI - Trichothecenes: from simple to complex mycotoxins.
AB - As the world's population grows, access to a safe food supply will continue to be
a global priority. In recent years, the world has experienced an increase in
mycotoxin contamination of grains due to climatic and agronomic changes that
encourage fungal growth during cultivation. A number of the molds that are plant
pathogens produce trichothecene mycotoxins, which are known to cause serious
human and animal toxicoses. This review covers the types of trichothecenes, their
complexity, and proposed biosynthetic pathways of trichothecenes.
PMID- 22069742
TI - Comparative (1)H NMR metabolomic urinalysis of people diagnosed with Balkan
endemic nephropathy, and healthy subjects, in Romania and Bulgaria: a pilot
study.
AB - (1)H NMR spectroscopy of urine has been applied to exploring metabolomic
differences between people diagnosed with Balkan endemic nephropathy (BEN), and
treated by haemodialysis, and those without overt renal disease in Romania and
Bulgaria. Convenience sampling was made from patients receiving haemodialysis in
hospital and healthy controls in their village. Principal component analysis
clustered healthy controls from both countries together. Bulgarian BEN patients
clustered separately from controls, though in the same space. However, Romanian
BEN patients not only also clustered away from controls but also clustered
separately from the BEN patients in Bulgaria. Notably, the urinary metabolomic
data of two people sampled as Romanian controls clustered within the Romanian BEN
group. One of these had been suspected of incipient symptoms of BEN at the time
of selection as a 'healthy' control. This implies, at first sight, that
metabolomic analysis can be predictive of impending morbidity before conventional
criteria can diagnose BEN. Separate clustering of BEN patients from Romania and
Bulgaria could indicate difference in aetiology of this particular silent renal
atrophy in different geographic foci across the Balkans.
PMID- 22069743
TI - Adapting yeast as model to study ricin toxin a uptake and trafficking.
AB - The plant A/B toxin ricin represents a heterodimeric glycoprotein belonging to
the family of ribosome inactivating proteins, RIPs. Its toxicity towards
eukaryotic cells results from the depurination of 28S rRNA due to the N
glycosidic activity of ricin toxin A chain, RTA. Since the extention of RTA by a
mammalian-specific endoplasmic reticulum (ER) retention signal (KDEL)
significantly increases RTA in vivo toxicity against mammalian cells, we here
analyzed the phenotypic effect of RTA carrying the yeast-specific ER retention
motif HDEL. Interestingly, such a toxin (RTA(HDEL)) showed a similar cytotoxic
effect on yeast as a corresponding RTA(KDEL) variant on HeLa cells. Furthermore,
we established a powerful yeast bioassay for RTA in vivo uptake and trafficking
which is based on the measurement of dissolved oxygen in toxin-treated
spheroplast cultures of S. cerevisiae. We show that yeast spheroplasts are highly
sensitive against external applied RTA and further demonstrate that its toxicity
is greatly enhanced by replacing the C-terminal KDEL motif by HDEL. Based on the
RTA resistant phenotype seen in yeast knock-out mutants defective in early steps
of endocytosis (?end3) and/or in RTA depurination activity on 28S rRNA (?rpl12B)
we feel that the yeast-based bioassay described in this study is a powerful tool
to dissect intracellular A/B toxin transport from the plasma membrane through the
endosomal compartment to the ER.
PMID- 22069745
TI - G(i/o) protein-dependent and -independent actions of Pertussis Toxin (PTX).
AB - Pertussis toxin (PTX) is a typical A-B toxin. The A-protomer (S1 subunit)
exhibits ADP-ribosyltransferase activity. The B-oligomer consists of four
subunits (S2 to S5) and binds extracellular molecules that allow the toxin to
enter the cells. The A-protomer ADP-ribosylates the alpha subunits of
heterotrimeric G(i/o) proteins, resulting in the receptors being uncoupled from
the G(i/o) proteins. The B-oligomer binds proteins expressed on the cell surface,
such as Toll-like receptor 4, and activates an intracellular signal transduction
cascade. Thus, PTX modifies cellular responses by at least two different
signaling pathways; ADP-ribosylation of the Galpha(i/o) proteins by the A
protomer (G(i/o) protein-dependent action) and the interaction of the B-oligomer
with cell surface proteins (G(i/o) protein-independent action).
PMID- 22069744
TI - Immunotoxins and anticancer drug conjugate assemblies: the role of the linkage
between components.
AB - Immunotoxins and antibody-drug conjugates are protein-based drugs combining a
target-specific binding domain with a cytotoxic domain. Such compounds are
potentially therapeutic against diseases including cancer, and several clinical
trials have shown encouraging results. Although the targeted elimination of
malignant cells is an elegant concept, there are numerous practical challenges
that limit conjugates' therapeutic use, including inefficient cellular uptake,
low cytotoxicity, and off-target effects. During the preparation of
immunoconjugates by chemical synthesis, the choice of the hinge component joining
the two building blocks is of paramount importance: the conjugate must remain
stable in vivo but must afford efficient release of the toxic moiety when the
target is reached. Vast efforts have been made, and the present article reviews
strategies employed in developing immunoconjugates, focusing on the evolution of
chemical linkers.
PMID- 22069746
TI - Isolation and biochemical characterization of rubelase, a non-hemorrhagic
elastase from Crotalus ruber ruber (Red Rattlesnake) venom.
AB - A novel non-hemorrhagic basic metalloprotease, rubelase, was isolated from the
venom of Crotalus ruber ruber. Rubelase hydrolyzes succinyl-L-alanyl-L-alanyl-L
alanyl p-nitroanilide (STANA), a specific substrate for elastase, and the
hydrolytic activity was inhibited by chelating agents. It also hydrolyzes
collagen and fibrinogen. However, hemorrhagic activity was not observed. By ESI/Q
TOF and MALDI/TOF mass spectrometry combined with Edman sequencing procedure, the
molecular mass of rubelase was determined to be 23,266 Da. Although its primary
structure was similar to rubelysin (HT-2), a hemorrhagic metalloprotease isolated
from the same snake venom, the circumstances surrounding putative zinc binding
domain HEXXHXXGXXH were found to be different when the three-dimensional computer
models of both metalloproteases were compared. The cytotoxic effects of rubelase
and rubelysin on cultured endothelial and smooth muscle cells were also
different, indicating that the substitution of several amino acid residues causes
the changes of active-site conformation and cell preference.
PMID- 22069747
TI - Protein-bound uremic toxins: new insight from clinical studies.
AB - The uremic syndrome is attributed to the progressive retention of a large number
of compounds which, under normal conditions, are excreted by healthy kidneys. The
compounds are called uremic toxins when they interact negatively with biological
functions. The present review focuses on a specific class of molecules, namely
the family of protein-bound uremic toxins. Recent experimental studies have shown
that protein-bound toxins are involved not only in the progression of chronic
kidney disease (CKD), but also in the generation and aggravation of
cardiovascular disease. Two protein-bound uremic retention solutes, namely
indoxyl sulfate and p-cresyl sulfate, have been shown to play a prominent role.
However, although these two molecules belong to the same class of molecules,
exert toxic effects on the cardiovascular system in experimental animals, and
accumulate in the serum of patients with CKD they may have different clinical
impacts in terms of cardiovascular disease and other complications. The principal
aim of this review is to evaluate the effect of p-cresyl sulfate and indoxyl
sulfate retention on CKD patient outcomes, based on recent clinical studies.
PMID- 22069748
TI - Spatial patterns of aflatoxin levels in relation to ear-feeding insect damage in
pre-harvest corn.
AB - Key impediments to increased corn yield and quality in the southeastern US
coastal plain region are damage by ear-feeding insects and aflatoxin
contamination caused by infection of Aspergillus flavus. Key ear-feeding insects
are corn earworm, Helicoverpa zea, fall armyworm, Spodoptera frugiperda, maize
weevil, Sitophilus zeamais, and brown stink bug, Euschistus servus. In 2006 and
2007, aflatoxin contamination and insect damage were sampled before harvest in
three 0.4-hectare corn fields using a grid sampling method. The feeding damage by
each of ear/kernel-feeding insects (i.e., corn earworm/fall armyworm damage on
the silk/cob, and discoloration of corn kernels by stink bugs), and maize weevil
population were assessed at each grid point with five ears. The spatial
distribution pattern of aflatoxin contamination was also assessed using the corn
samples collected at each sampling point. Aflatoxin level was correlated to the
number of maize weevils and stink bug-discolored kernels, but not closely
correlated to either husk coverage or corn earworm damage. Contour maps of the
maize weevil populations, stink bug-damaged kernels, and aflatoxin levels
exhibited an aggregated distribution pattern with a strong edge effect on all
three parameters. The separation of silk- and cob-feeding insects from kernel
feeding insects, as well as chewing (i.e., the corn earworm and maize weevil) and
piercing-sucking insects (i.e., the stink bugs) and their damage in relation to
aflatoxin accumulation is economically important. Both theoretic and applied
ramifications of this study were discussed by proposing a hypothesis on the
underlying mechanisms of the aggregated distribution patterns and strong edge
effect of insect damage and aflatoxin contamination, and by discussing possible
management tactics for aflatoxin reduction by proper management of kernel-feeding
insects. Future directions on basic and applied research related to aflatoxin
contamination are also discussed.
PMID- 22069749
TI - Expression profiling of non-aflatoxigenic Aspergillus parasiticus mutants
obtained by 5-azacytosine treatment or serial mycelial transfer.
AB - Aflatoxins are carcinogenic secondary metabolites produced by the fungi
Aspergillus flavus and Aspergillus parasiticus. Previous studies found that
repeated serial mycelial transfer or treatment of A. parasiticus with 5
azacytidine produced colonies with a fluffy phenotype and inability to produce
aflatoxins. To understand how these treatments affect expression of genes
involved in aflatoxin production and development, we carried out expressed
sequence tag (EST)-based microarray assays to identify genes in treated clones
that are differentially expressed compared to the wild-type. Expression of 183
genes was significantly dysregulated. Of these, 38 had at least two-fold or lower
expression compared to the untreated control and only two had two-fold or higher
expression. The most frequent change was downregulation of genes predicted to
encode membrane-bound proteins. Based on this result we hypothesize that the
treatments cause changes in the structure of cellular and organelle membranes
that prevent normal development and aflatoxin biosynthesis.
PMID- 22069750
TI - Incidence of Fusarium species and mycotoxins in silage maize.
AB - Maize is frequently infected by the Fusarium species producing mycotoxins.
Numerous investigations have focused on grain maize, but little is known about
the Fusarium species in the entire plant used for silage. Furthermore, mycotoxins
persist during the ensiling process and thus endanger feed safety. In the current
study, we analyzed 20 Swiss silage maize samples from growers' fields for the
incidence of Fusarium species and mycotoxins. The species spectrum was analyzed
morphologically and mycotoxins were measured by LC-MS/MS. A pre-harvest visual
disease rating showed few disease symptoms. In contrast, the infection rate of
two-thirds of the harvest samples ranged from 25 to 75% and twelve different
Fusarium species were isolated. The prevailing species were F. sporotrichioides,
F. verticillioides and F. graminearum. No infection specificity for certain plant
parts was observed. The trichothecene deoxynivalenol (DON) was found in each
sample (ranging from 780 to 2990 ug kg(-1)). Other toxins detected in descending
order were zearalenone, further trichothecenes (nivalenol, HT-2 and T-2 toxin,
acetylated DON) and fumonisins. A generalized linear regression model containing
the three cropping factors harvest date, pre-precrop and seed treatment was
established, to explain DON contamination of silage maize. Based on these
findings, we suggest a European-wide survey on silage maize.
PMID- 22069751
TI - Enzyme-linked immunosorbent-assay for Deoxynivalenol (DON).
AB - Deoxynivalenol (DON), one of the trichothecene mycotoxins, is a worldwide
contaminant of wheat and barley, especially when infected by Fusarium
graminearum, the causative agent of an epidemic wheat disease called Fusarium
Head Blight. Because of the high risk of DON ingestion and the possibility of
frequent exposure, it is important to develop a rapid and highly sensitive method
for easy identification and quantification of DON in grain samples. In this
study, we have developed an indirect competitive enzyme-linked immunosorbent
assay (ELISA) to detect DON in wheat. We conjugated 3-O-Hemisuccinyl-DON (3HS
DON) to Bovine serum albumin (BSA) and Ovalbumin (OVA), and obtained DON-specific
mice antisera. The indirect competitive ELISA revealed that the optimal
concentration of mice serum and the coated antigen was 1/1600 and 1/1500,
respectively. The antiserum cross-reacted with the trichothecenes 3-acetyl-DON
and T-2 toxin, reaching about 55.2% and 6.3%, respectively, as compared with DON.
Results showed that the assay could be performed satisfactorily using an
extraction buffer containing less than 15% methanol. Recovery from DON was 82-93%
in grains. The linear detection range of DON in grains was between 0.01 and 100
MUg/mL.
PMID- 22069752
TI - Mechanism of lethal toxin neutralization by a human monoclonal antibody specific
for the PA(20) region of Bacillus anthracis protective antigen.
AB - The primary immunogenic component of the currently approved anthrax vaccine is
the protective antigen (PA) unit of the binary toxin system. PA-specific
antibodies neutralize anthrax toxins and protect against infection. Recent
research has determined that in humans, only antibodies specific for particular
determinants are capable of effecting toxin neutralization, and that the
neutralizing epitopes recognized by these antibodies are distributed throughout
the PA monomer. The mechanisms by which the majority of these epitopes effect
neutralization remain unknown. In this report we investigate the process by which
a human monoclonal antibody specific for the amino-terminal domain of PA
neutralizes lethal toxin in an in vitro assay of cytotoxicity, and find that it
neutralizes LT by blocking the requisite cleavage of the amino-terminal 20 kD
portion of the molecule (PA(20)) from the remainder of the PA monomer. We also
demonstrate that the epitope recognized by this human monoclonal does not
encompass the (166)RKKR(169) furin recognition sequence in domain 1 of PA.
PMID- 22069753
TI - Molecular analysis of the interaction of the snake venom rhodocytin with the
platelet receptor CLEC-2.
AB - The Malayan pit viper, Calloselasma rhodostoma, produces a potent venom toxin,
rhodocytin (aggretin) which causes platelet aggregation. Rhodocytin is a ligand
for the receptor CLEC-2 on the surface of platelets. The interaction of these two
molecules initiates a signaling pathway which results in platelet activation and
aggregation. We have previously solved the crystal structures of CLEC-2 and of
rhodocytin, and have proposed models by which tetrameric rhodocytin may interact
with either two monomers of CLEC-2, or with one or two copies of dimeric CLEC-2.
In the current study we use a range of approaches to analyze the molecular
interfaces and dynamics involved in the models of the interaction of rhodocytin
with either one or two copies of dimeric CLEC-2, and their implications for
clustering of CLEC-2 on the platelet surface.
PMID- 22069754
TI - Monoclonal antibody therapies against anthrax.
AB - Anthrax is a highly lethal infectious disease caused by the spore-forming
bacterium Bacillus anthracis. It not only causes natural infection in humans but
also poses a great threat as an emerging bioterror agent. The lethality of
anthrax is primarily attributed to the two major virulence factors: toxins and
capsule. An extensive effort has been made to generate therapeutically useful
monoclonal antibodies to each of the virulence components: protective antigen
(PA), lethal factor (LF) and edema factor (EF), and the capsule of B. anthracis.
This review summarizes the current status of anti-anthrax mAb development and
argues for the potential therapeutic advantage of a cocktail of mAbs that
recognize different epitopes or different virulence factors.
PMID- 22069755
TI - Population structure and genetic diversity of the Fusarium graminearum species
complex.
AB - The Fusarium graminearum species complex (Fg complex) consists of
phylogenetically distinct species some of which cannot be discriminated based on
their morphology. Their chemotypes and geographic distributions are dramatically
different, and these highlight the challenges that Fusarium head blight (FHB)
poses to plant disease specialists and plant breeders, thereby requiring that
quarantine officials employ molecular diagnostic tools in their active
surveillance programs. Molecular marker technologies play essential roles in
species identification of the Fg complex, and they are being used widely to
assess the genetic diversity of the clade. The utility, applicability and
limitations of molecular methods for assessing the population structure and
genetic diversity within the Fg complex are discussed with suitable examples.
Knowledge gained from these studies will provide a baseline for monitoring
changes in FHB pathogen diversity and mycotoxin potential over time, both of
which are critical to the ultimate control and elimination of this economically
devastating disease.
PMID- 22069756
TI - Modes of action of microbially-produced phytotoxins.
AB - Some of the most potent phytotoxins are synthesized by microbes. A few of these
share molecular target sites with some synthetic herbicides, but many microbial
toxins have unique target sites with potential for exploitation by the herbicide
industry. Compounds from both non-pathogenic and pathogenic microbes are
discussed. Microbial phytotoxins with modes of action the same as those of
commercial herbicides and those with novel modes of action of action are covered.
Examples of the compounds discussed are tentoxin, AAL-toxin, auscaulitoxin
aglycone, hydantocidin, thaxtomin, and tabtoxin.
PMID- 22069757
TI - Impact of the nature and size of the polymeric backbone on the ability of
heterobifunctional ligands to mediate shiga toxin and serum amyloid p component
ternary complex formation.
AB - Inhibition of AB(5)-type bacterial toxins can be achieved by heterobifunctional
ligands (BAITs) that mediate assembly of supramolecular complexes involving the
toxin's pentameric cell membrane-binding subunit and an endogenous protein, serum
amyloid P component, of the innate immune system. Effective in vivo protection
from Shiga toxin Type 1 (Stx1) is achieved by polymer-bound, heterobifunctional
inhibitors-adaptors (PolyBAITs), which exhibit prolonged half-life in circulation
and by mediating formation of face-to-face SAP-AB(5) complexes, block receptor
recognition sites and redirect toxins to the spleen and liver for degradation.
Direct correlation between solid-phase activity and protective dose of PolyBAITs
both in the cytotoxicity assay and in vivo indicate that the mechanism of
protection from intoxication is inhibition of toxin binding to the host cell
membrane. The polymeric scaffold influences the activity not only by clustering
active binding fragments but also by sterically interfering with the
supramolecular complex assembly. Thus, inhibitors based on N-(2-hydroxypropyl)
methacrylamide (HPMA) show significantly lower activity than polyacrylamide-based
analogs. The detrimental steric effect can partially be alleviated by extending
the length of the spacer, which separates pendant ligand from the backbone, as
well as extending the spacer, which spans the distance between binding moieties
within each heterobifunctional ligand. Herein we report that polymer size and
payload of the active ligand had moderate effects on the inhibitor's activity.
PMID- 22069758
TI - Chemical and metabolic aspects of antimetabolite toxins produced by Pseudomonas
syringae pathovars.
AB - Pseudomonas syringae is a phytopathogenic bacterium present in a wide variety of
host plants where it causes diseases with economic impact. The symptoms produced
by Pseudomonas syringae include chlorosis and necrosis of plant tissues, which
are caused, in part, by antimetabolite toxins. This category of toxins, which
includes tabtoxin, phaseolotoxin and mangotoxin, is produced by different
pathovars of Pseudomonas syringae. These toxins are small peptidic molecules that
target enzymes of amino acids' biosynthetic pathways, inhibiting their activity
and interfering in the general nitrogen metabolism. A general overview of the
toxins' chemistry, biosynthesis, activity, virulence and potential applications
will be reviewed in this work.
PMID- 22069759
TI - Role of the mannose receptor (CD206) in innate immunity to ricin toxin.
AB - The entry of ricin toxin into macrophages and certain other cell types in the
spleen and liver results in toxin-induced inflammation, tissue damage and organ
failure. It has been proposed that uptake of ricin into macrophages is
facilitated by the mannose receptor (MR; CD206), a C-type lectin known to
recognize the oligosaccharide side chains on ricin's A (RTA) and B (RTB)
subunits. In this study, we confirmed that the MR does indeed promote ricin
binding, uptake and killing of monocytes in vitro. To assess the role of MR in
the pathogenesis of ricin in vivo, MR knockout (MR(-/-)) mice were challenged
with the equivalent of 2.5* or 5* LD(50) of ricin by intraperitoneal injection.
We found that MR(-/-) mice were significantly more susceptible to toxin-induced
death than their age-matched, wild-type control counterparts. These data are
consistent with a role for the MR in scavenging and degradation of ricin, not
facilitating its uptake and toxicity in vivo.
PMID- 22069760
TI - Modified heat-stable toxins (hSTa) of enterotoxigenic Escherichia coli lose
toxicity but display antigenicity after being genetically fused to heat-labile
toxoid LT(R192G).
AB - Enterotoxigenic Escherichia coli (ETEC) strains are a major cause of diarrhea in
humans and animals. Heat-stable (STa) and heat-labile (LT) enterotoxins produced
by ETEC disrupt fluid homeostasis in host small intestinal epithelial cells and
cause fluid and electrolyte hyper-secretion that leads to diarrhea. ETEC strains
producing STa or LT are sufficiently virulent to cause diarrhea, therefore STa
and LT antigens must be included in ETEC vaccines. However, potent toxicity and
poor immunogenicity (of STa) prevent them from being directly applied as vaccine
components. While LT toxoids, especially LT(R192G), being used in vaccine
development, STa toxoids have not been included. A recent study (IAI, 78:316-325)
demonstrated porcine-type STa toxoids [pSTa(P12F) and pSTa(A13Q)] elicited
protective anti-STa antibodies after being fused to a porcine-type LT toxoid
[pLT(R192G)]. In this study, we substituted the 8th, 9th, 16th, or the 17th amino
acid of a human-type STa (hSTa) and generated 28 modified STa peptides. We tested
each STa peptide for toxicity and structure integrity, and found nearly all
modified STa proteins showed structure alteration and toxicity reduction. Based
on structure similarity and toxic activity, three modified STa peptides:
STa(E8A), STa(T16Q) and STa(G17S), were selected to construct LT(192)-STa(
toxoid) fusions. Constructed fusions were used to immunize mice, and immunized
mice developed anti-STa antibodies. Results from this study provide useful
information in developing toxoid vaccines against ETEC diarrhea.
PMID- 22069762
TI - The potential contributions of lethal and edema toxins to the pathogenesis of
anthrax associated shock.
AB - Outbreaks of Bacillus anthracis in the US and Europe over the past 10 years have
emphasized the health threat this lethal bacteria poses even for developed parts
of the world. In contrast to cutaneous anthrax, inhalational disease in the US
during the 2001 outbreaks and the newly identified injectional drug use form of
disease in the UK and Germany have been associated with relatively high mortality
rates. One notable aspect of these cases has been the difficulty in supporting
patients once shock has developed. Anthrax bacilli produce several different
components which likely contribute to this shock. Growing evidence indicates that
both major anthrax toxins may produce substantial cardiovascular dysfunction.
Lethal toxin (LT) can alter peripheral vascular function; it also has direct
myocardial depressant effects. Edema toxin (ET) may have even more pronounced
peripheral vascular effects than LT, including the ability to interfere with the
actions of conventional vasopressors. Additionally, ET also appears capable of
interfering with renal sodium and water retention. Importantly, the two toxins
exert their actions via quite different mechanisms and therefore have the
potential to worsen shock and outcome in an additive fashion. Finally, both
toxins have the ability to inhibit host defense and microbial clearance, possibly
contributing to the very high bacterial loads noted in patients dying with
anthrax. This last point is clinically relevant since emerging data has begun to
implicate other bacterial components such as anthrax cell wall in the shock and
organ injury observed with infection. Taken together, accumulating evidence
regarding the potential contribution of LT and ET to anthrax-associated shock
supports efforts to develop adjunctive therapies that target both toxins in
patients with progressive shock.
PMID- 22069761
TI - Passive and active vaccination strategies to prevent ricin poisoning.
AB - Ricin toxin (RT) is derived from castor beans, produced by the plant Ricinus
communis. RT and its toxic A chain (RTA) have been used therapeutically to arm
ligands that target disease-causing cells. In most cases these ligands are cell
binding monoclonal antibodies (MAbs). These ligand-toxin conjugates or
immunotoxins (ITs) have shown success in clinical trials [1]. Ricin is also of
concern in biodefense and has been classified by the CDC as a Class B biothreat.
Virtually all reports of RT poisoning have been due to ingestion of castor beans,
since they grow abundantly throughout the world and are readily available. RT is
easily purified and stable, and is not difficult to weaponize. RT must be
considered during any "white powder" incident and there have been documented
cases of its use in espionage [2,3]. The clinical syndrome resulting from ricin
intoxication is dependent upon the route of exposure. Countermeasures to prevent
ricin poisoning are being developed and their use will depend upon whether
military or civilian populations are at risk of exposure. In this review we will
discuss ricin toxin, its cellular mode of action, the clinical syndromes that
occur following exposure and the development of pre- and post-exposure approaches
to prevent of intoxication.
PMID- 22069763
TI - Role of phospholipase A(2) in retrograde transport of ricin.
AB - Ricin is a protein toxin classified as a bioterror agent, for which there are no
known treatment options available after intoxication. It is composed of an
enzymatically active A-chain connected by a disulfide bond to a cell binding B
chain. After internalization by endocytosis, ricin is transported retrogradely to
the Golgi and ER, from where the ricin A-chain is translocated to the cytosol
where it inhibits protein synthesis and thus induces cell death. We have
identified cytoplasmic phospholipase A(2) (PLA(2)) as an important factor in
ricin retrograde transport. Inhibition of PLA(2) protects against ricin
challenge, however the toxin can still be endocytosed and transported to the
Golgi. Interestingly, ricin transport from the Golgi to the ER is strongly
impaired in response to PLA(2) inhibition. Confocal microscopy analysis shows
that ricin is still colocalized with the trans-Golgi marker TGN46 in the presence
of PLA(2) inhibitor, but less is colocalized with the cis-Golgi marker GM130. We
propose that PLA(2) inhibition results in impaired ricin transport through the
Golgi stack, thus preventing it from reaching the ER. Consequently, ricin cannot
be translocated to the cytosol to exert its toxic action.
PMID- 22069764
TI - Overexpression of the Trichoderma brevicompactum tri5 gene: effect on the
expression of the trichodermin biosynthetic genes and on tomato seedlings.
AB - Trichoderma brevicompactum IBT 40841 produces trichodermin, a trichothecene-type
toxin that shares most of the steps of its biosynthesis with harzianum A, another
trichothecene produced by several Trichoderma species. The first specific step in
the trichothecene biosynthesis is carried out by a terpene cylcase, trichodiene
synthase, that catalyzes the conversion of farnesyl pyrophosphate to trichodiene
and that is encoded by the tri5 gene. Overexpression of tri5 resulted in
increased levels of trichodermin production, but also in an increase in tyrosol
and hydroxytyrosol production, two antioxidant compounds that may play a
regulatory role in trichothecene biosynthesis, and also in a higher expression of
three trichothecene genes, tri4, tri6 and tri10, and of the erg1 gene, which
participates in the synthesis of triterpenes. The effect of tri5 overexpression
on tomato seedling disease response was also studied.
PMID- 22069765
TI - Tacit consent: the Church and birth control in northern Italy.
AB - This article employs novel documentation to examine ways in which the Church's
moral rules on contraception were (or were not) communicated to parishioners in a
predominantly Catholic context in a period of rapid fertility decline: the
diocese of Padua, in the northeastern Italian region of Veneto, during the first
half of the twentieth century. The account is based on documents that have until
now been overlooked: the moral cases discussed during the periodic meetings among
Padua priests in the years 1916-58, and the written answers provided by priests
in response to a question asked of them concerning their efforts to combat the
limiting of births. This documentation reveals the limited effect on the
reproductive behavior of the position of the Catholic Church against birth
control.
PMID- 22069766
TI - Human smuggling in Austria: a comparative analysis of data on smuggled migrants
from former Yugoslavia and the Russian Federation.
AB - This article provides a summary of the author's research on human smuggling in
Austria comparing migrants from Former Yugoslavia and the Russian Federation. The
project's primary intent was to collect more detailed information on migrants
seeking asylum in Austria and their use of smuggling services to leave their home
countries, including detailed information on demographics, force or threat of
force by smugglers, routes and methods of transportation, costs of smuggling,
payment methods, and deeper perceptual questions regarding the flight. Another
central premise of the article discusses how current distinctions between human
smuggling and human trafficking are arbitrary in many regards.
PMID- 22069767
TI - "Foreign brides" meet ethnic politics in Taiwan.
AB - A great number of women from China, Vietnam, and Indonesia recently arrived in
Taiwan to marry men of lower social strata. Such an unusual pattern of migration
has stimulated debates about the status and the citizenship of the new arrivals.
This study analyzes Taiwanese responses toward these marriage migrants by using a
national survey conducted in 2004. Three aspects of restrictive attitudes were
tapped concerning these newcomers: (1) rights to work; (2) access to public
health insurance; and (3) full citizenship. Immigrants from China were most
opposed, compared to women with other origins (Southeast Asia, Japan, Europe, and
the US). The seemingly unrelated regression estimation regression results do not
support the split labor market hypotheses, as marriage migrants do not appear to
be economic threats toward members of the lower classes. In contrast, ethnic
nationalism plays a key role in determining the natives' restrictive attitudes.
The case of Taiwan represents a special genre, where ethnic politics selectively
arouses the social rejection of women immigrants of certain origins.
PMID- 22069768
TI - Partner selection and divorce in ethnic minorities: distinguishing between two
types of ethnic homogamous marriages.
AB - This article compares divorce risks according to marriage type. The common
dichotomy between ethnic homogamous and ethnic heterogamous marriages is further
elaborated by differentiating a third marriage type; ethnic homogamous marriages
between individuals from an ethnic minority group and a partner from the country
of origin. Based on the analysis of data concerning the Turkish and Moroccan
minorities in Belgium, it has been confirmed that the divorce risk associated
with these marriages is higher than that of other ethnic homogamous marriages.
However, specific divorce patterns according to marriage type also indicate the
importance of differences between the minority groups.
PMID- 22069769
TI - Cultural dissimilarity and intermarriage. a longitudinal study of immigrants in
Sweden, 1990-2005.
AB - Intermarriage with natives is a key indicator of immigrant integration. This
article studies intermarriage for 138 immigrant groups in Sweden, using
longitudinal individual level data. It shows great variation in marriage patterns
across immigrant populations, ranging from over 70 percent endogamy in some
immigrants groups to below 5 percent in other groups. Although part of this
variation is explained by human capital and the structure of the marriage market,
cultural factors (values, religion, and language) play an important role as well.
Immigrants from culturally more dissimilar countries are less likely to
intermarry with natives, and instead more prone to endogamy.
PMID- 22069770
TI - Decoupling migration effects from income effects on reproduction in Central
American migrant-sending households.
AB - Contemporary data for three Central American countries (Costa Rica, Guatemala,
and Nicaragua) surveyed by the Latin American Migration Project were analyzed to
determine if migration length and remittance transfers had an influence on
fertility. The analysis was structured to separate societal influences on
fertility attributable to migration from the income effects associated with
remittance transfers. At the couple level, the odds that a birth would occur were
negatively associated with an increase in U.S. remittance receipts and an
increase in a wife's migration duration. However, no correlation was found
between length of male migration and couple fertility.
PMID- 22069772
TI - Contextualizing ethnic educational inequality: the role of stability and quality
of neighborhoods and ethnic density in second-generation attainment.
AB - This study examines the joint impact of neighborhood structure and ethnic density
on the educational attainment of the second generation. Using second-generation
samples and a majority reference sample from the Belgian Census, multi-level
analysis yields the expected positive effects of neighborhood stability and
quality and ethnic density on second-generation school completion. Reflecting the
ethnic stratification of the Belgian housing market, majority residential
concentration tends to coincide with high neighborhood stability and quality and
high completion rates, whereas Moroccan concentrations overlap with low
neighborhood quality, and low completion rates. For the Turkish and Italian
second generation, neighborhood structure moderates ethnic density effects on
school completion, in line with segmented assimilation. Our findings suggest
distinct Moroccan, Turkish and Italian incorporation modes which reflect
differential access to, and investments in ethnic versus mainstream social
networks.
PMID- 22069771
TI - The educational legacy of unauthorized migration: comparisons across U.S.
immigrant groups in how parents' status affects their offspring.
AB - This research compares several national-origin groups in terms of how parents'
entry, legalization and naturalization (i.e., membership) statuses relate to
their children's educational attainment. In the case of Asian groups, the members
of which predominantly come to the United States as permanent legal migrants, we
hypothesize (1) that father's and mother's statuses will be relatively homogenous
and few in number and (2) that these will exert minimal net effects on second
generation attainment. For Mexicans, many of whom initially come as temporary
unauthorized migrants, we hypothesize (1) that parental status combinations will
be heterogeneous and greater in number and (2) that marginal membership statuses
will exert negative net effects on education in the second generation. To assess
these ideas, we analyze unique intergenerational data from Los Angeles on the
young adult members of second-generation national-origin groups and their
parents. The findings show that Asian immigrant groups almost universally exhibit
similar father-mother migration statuses and high educational attainment among
children. By contrast, Mexicans manifest more numerous discrepant father-mother
combinations, with those in which the mother remains unauthorized carrying
negative implications for children's schooling. The paper discusses the
theoretical and policy implications of the delays in incorporation that result
from Mexican Americans needing extra time and resources compared to the members
of other groups to overcome their handicap of marginal membership status (i.e.,
being more likely to enter and remain unauthorized).
PMID- 22069773
TI - Cognitive and language skills of Turkish children in Germany: a comparison of the
second and third generation and mixed generational groups.
AB - The paper compares several generational groups of Turkish children in Germany
with respect to cognitive skills and German language skills at an early age.
Empirically, children of inter-marriages outperform the other groups of Turkish
children in both tests while children with a first generation mother and a second
generation father score worse than all others. All group differences regarding
children's cognitive skills can be explained by the families' socio-economic
status and educational resources. In contrast, with respect to children's
language skills also parents' endowment with receiving country specific resources
(e.g., parental German language proficiency) needs to be taken into account.
PMID- 22069774
TI - Acquisition of cross-ethnic friends by recent immigrants in Canada: a
longitudinal approach.
AB - This paper examines the development of inter-ethnic friendships between
immigrants and Canadians. It uses longitudinal data from three waves of the
Canadian LSIC survey, in which newly arrived immigrants were followed during the
first 4 years of settlement. It is found that pre-migration characteristics play
an important role in the development of inter-ethnic friendships: immigrants who
arrive at a younger age and for economic reasons, as well as those who are highly
educated and have a cross-ethnic partner at the moment of arrival, establish more
inter-ethnic friendships over time. In addition, post-migration characteristics
affect the formation of inter-ethnic friendships. Such friendships are more
common among immigrants who embrace Canadian traditions and acquire the host
country language, as well as among those who work in international settings and
inhabit ethnically mixed neighborhoods. The effects of pre-migration
characteristics are partially mediated by post-migration characteristics. Our
findings point out that economic, cultural, and spatial integration are all
conducive to inter-ethnic friendships.
PMID- 22069775
TI - Early influences on mammary gland development, with Suzanne Fenton. Interview by
Ashley Ahearn.
PMID- 22069776
TI - Planting healthier indoor air.
PMID- 22069777
TI - Fukushima health study launched.
PMID- 22069779
TI - Advising parents in the face of scientific uncertainty: an environmental health
dilemma.
PMID- 22069778
TI - Stress-pollution interactions: an emerging issue in children's health research.
PMID- 22069780
TI - Indoor PM pollution and elevated blood pressure: cardiovascular impact of indoor
biomass burning.
PMID- 22069781
TI - Children's exposure to PBDEs: binational comparison highlights dramatic
differences.
PMID- 22069782
TI - Hard ride: traffic-related pollution may alter heart function in urban cyclists.
PMID- 22069783
TI - Pregnancy pause: extreme heat linked to shortened gestation.
PMID- 22069784
TI - Abstracts of the 136th Annual Meeting of the American Neurological Association.
September 25-27, 2011. San Diego, California, USA.
PMID- 22069785
TI - [In discussion today: Prof. Klaus Hergan of the University Institute for
Radiology in Salzburg (interview by Dr. Eva Maria Thiel)].
PMID- 22069786
TI - Abstracts of the 21st ECCMID (European Society of Clinical Microbiology and
Infectious Diseases)/27th ICC. Milan, Italy. May 7-10, 2011.
PMID- 22069787
TI - [A broad portfolio of different topics. Prof. Hermann Helmberger, President of
the 93rd German Roentgen Congress (interview by Florian Schneider)].
PMID- 22069788
TI - [Cooperation between radiologists and hospitals - legal requirements in forming
cooperation contracts - contract physician, consultant physician, attending
physician and cooperating physician].
PMID- 22069789
TI - Walter Hess-Nobel Prize for work on the brain.
PMID- 22069790
TI - Abstracts of the 16th Congress of the Asian Pacific Society of Respirology.
November 3-6, 2011. Shanghai, China.
PMID- 22069791
TI - Abstracts of the 5th Joint Triennial Congress of the European and Americas
Committees for Treatment and Research in Multiple Sclerosis. October 19-22, 2011.
Amsterdam, The Netherlands.
PMID- 22069793
TI - Policy entrepreneurship in the development of public sector strategy: the case of
London health reform.
AB - The development of health policy is recognized as complex; however, there has
been little development of the role of agency in this process. Kingdon developed
the concept of policy entrepreneur (PE) within his 'windows' model. He argued
inter-related 'policy streams' must coincide for important issues to become
addressed. The conjoining of these streams may be aided by a policy entrepreneur.
We contribute by clarifying the role of the policy entrepreneur and highlighting
the translational processes of key actors in creating and aligning policy
windows. We analyse the work in London of Professor Sir Ara Darzi as a policy
entrepreneur. An important aspect of Darzi's approach was to align a number of
important institutional networks to conjoin related problems. Our findings
highlight how a policy entrepreneur not only opens policy windows but also yokes
together a network to make policy agendas happen. Our contribution reveals the
role of clinical leadership in health reform.
PMID- 22069792
TI - Arbuscular mycorrhizal fungi alter the response of growth and nutrient uptake of
snap bean (Phaseolus vulgaris L.) to O3.
AB - The effects of arbuscular mycorrhizal fungi (AMF) Glomus mosseae on the responses
to elevated O3 in growth and nutrition of snap bean (Phaseolus vulgaris L. cv
Guangzhouyuan) were investigated. Exposure was conducted in growth chambers by
using three O3 concentrations (20 (CF), 80 (CFO1) and 120 nL/L (CFO2); 8 hr/day
for 75 days). Results showed that elevated O3 slightly impacted overall
mycorrhizal colonization, but significantly decreased the proportional frequency
of hypha and increased the proportional frequency of spores and vesicles,
suggesting that O3 had significant effects on mycorrhizal structure. Elevated O3
significantly decreased yield, dry mass and nutrient contents (N, P, K, Ca and
Mg) in both non-mycorrhizal and mycorrhizal plants. However, significant
interactive effects were found in most variables due to that the reduction by O3
in the mycorrhizal plants was less than that in the non-mycorrhizal plants.
Additionally, AMF increased thoe concentrations of N, P, Ca, and Mg in shoot and
root. It can be concluded that AMF alleviated detrimental effects of increasing
O3 on host plant through improving plant nutrition and growth.
PMID- 22069794
TI - Coordination processes and outcomes in the public service: the challenge of inter
organizational food safety coordination in Norway.
AB - In 2004 Norway implemented a food safety reform programme aimed at enhancing
inter-organizational coordination processes and outcomes. Has this programme
affected inter-organizational coordination processes and outcomes, both
vertically and horizontally - and if so how? This article employs the concept of
inter-organizational coordination as an analytical tool, examining it in the
light of two theoretical perspectives and coupling it with the empirical
findings. The argument presented is that the chances of strong coordination
outcomes may increase if inter-organizational processes feature a clear division
of labour, arenas for coordination, active leadership, a lack of major
conflicting goals, and shared obligations.
PMID- 22069796
TI - The National Right to Life Committee: its founding, its history, and the
emergence of the pro-life movement prior to Roe v. Wade.
AB - During the mid-1960s a few Catholic journals and individuals advised that a more
active role should be taken in defeating abortion reform. In 1967 the National
Conference of Catholic Bishops selected James Thomas McHugh, administrator of the
United States Catholic Conference's Family Life Bureau, to guide its National
Right to Life Committee (NRLC). Several pro-life organizations, including
Minnesota Citizens Concerned for Life, emerged and affiliated with the NRLC
national office. To appeal to a more broad-based, nonsectarian movement, key
Minnesota leaders proposed an organizational model that would separate the NRLC
from its founder. In early 1973 McHugh and his executive assistant, Michael
Taylor, proposed a different plan, facilitating the NRLC's move to independence.
PMID- 22069795
TI - Body, gender, and disease: the female breast in late imperial Chinese medicine.
AB - This paper examines the diverse ways in which Chinese medical experts
historically gendered breast disease as a female ailment. By comparing
representations of the female breast from the "Imperially-Compiled Golden Mirror
of Medical Learning (Yuzuan yizong jinjian, 1742)" to those from earlier and
contemporary texts, this paper analyzes how breast disease was alternately
categorized as an ailment of childbearing and as a disease rooted in pathological
female emotion. Medical awareness of breast disease in men did somewhat challenge
these connections between womanhood and disease. Nevertheless, medical
illustrations of women helped to reinforce the idea that breast disease was a
characteristically female problem.
PMID- 22069797
TI - "Living monuments": Union veteran amputees and the embodied memory of the Civil
War.
PMID- 22069798
TI - D'Eichthal and Urbain's "Lettres sur la race noire et la race blanche": race,
gender, and reconciliation after slave emancipation.
AB - This article is a close reading of Gustave D'Eichthal and Ishmayl Urbain's
Lettres sur la race noire et la race blanche (1839), written during the decade
prior to the "second" French emancipation in 1848. The article argues that the
hierarchical gendering of race described in the letters is reflective of
metropolitan concerns about potential for social disorder accompanying slave
emancipation in the French colonies. In arguing for social reconciliation through
interracial marriage and its offspring, the symbolically charged figure of the
mulatto, the authors deployed gendered and familial language to describe a stable
post-emancipation society.
PMID- 22069799
TI - Physiological fictions and the fin-de-siecle female brain.
AB - An important area of French medical research in the first half of the nineteenth
century was the supposedly anomalous, sensation-based functioning of the female
brain (Drs. Voisin, Virey, Brachet, Briquet). This paper explores the late
century resurgence of such theory around the question of whether women could
support an intense advanced education. It examines the conflicted attitudes of
four females, the novelists Rachilde, Georges de Peyrebrune and Daniel Lesuer,
and a medical doctor, Georgette Dega, as they tried to resist or rationalize the
dogma that saw the female as a mentally diminished male. The juxtaposition of
medical and fictional texts demonstrates that the so-called "automatic"
functioning of the female brain led to her being embodied, in the male mind, as a
symbol of the dreaded unconscious.
PMID- 22069800
TI - Industrious peasants in east and west: markets, technology, and family structure
in Japanese and Western European agriculture.
AB - Jan de Vries engages with Osamu Saito's discussion of Tokugawa Japan, in
particular, his exploration of de Vries's concept of an industrious revolution
for East Asia, which was published in this journal in 2010. The discussion bears
on the ongoing debate over the timing and character of the Great Divergence, when
advanced parts of Europe pulled ahead of Asia. de Vries argues that the
constraint on the Japanese rural household to acquire and shed labour delayed the
shift from supply-side industriousness to demand-motivated industriousness, which
in turn meant that the Great Divergence was already in place before 1800.
PMID- 22069801
TI - Climate change, conflict and development in Sudan: global neo-Malthusian
narratives and local power struggles.
AB - Dystopian accounts of climate change posit that it will lead to more conflict,
causing state failure and mass population movements. Yet these narratives are
both theoretically and empirically problematic: the conflict-environment
hypothesis merges a global securitization agenda with local manipulations of
Northern fears about the state of planetary ecology. Sudan has experienced how
damaging this fusion of wishful thinking, power politics and top-down development
can be. In the 1970s, global resource scarcity concerns were used locally to
impose the fata morgana of Sudan as an Arab-African breadbasket: in the name of
development, violent evictions of local communities contributed to Sudan's second
civil war and associated famines. Today, Darfur has been labelled 'the world's
first climate change conflict', masking the long-term political-economic dynamics
and Sudanese agency underpinning the crisis. Simultaneously, the global food
crisis is instrumentalized to launch a dam programme and agricultural revival
that claim to be African answers to resource scarcity. The winners, however, are
Sudan's globalized Islamist elites and foreign investors, whilst the livelihoods
of local communities are undermined. Important links exist between climatic
developments and security, but global Malthusian narratives about state failure
and conflict are dangerously susceptible to manipulations by national elites; the
practical outcomes decrease rather than increase human security. In the climate
change era, the breakdown of institutions and associated violence is often not an
unfortunate failure of the old system due to environmental shock, but a strategy
of elites in wider processes of power and wealth accumulation and contestation.
PMID- 22069802
TI - Navigating the AIDS industry: being poor and positive in Tanzania.
AB - This article shows how poor people living with HIV/AIDS in Tanzania navigate a
myriad of actors, agencies and organizations to obtain the aid they need to
survive. It focuses on community-based organizations which establish networks of
care through which people obtain care, treatment and financial support. A case
study of a roadside town in Tanzania illustrates that these community-based
networks of care - essential to the survival of many - are partly the product of
the AIDS industry, which encourages the establishment of community-based
organizations and voluntary service delivery rather than more formalized systems
of care. Community-based organizations, however, are so poorly supported that
they often deploy self-destructive strategies. The need to strategically navigate
the AIDS industry creates tension and even conflict among HIV-positive activists,
the people they represent and the wider community, which undermines rather than
strengthens community-based interventions. Whilst the AIDS industry promises
inclusion of HIV-positive people in the response to HIV/AIDS, it succeeds only
partially, with the result that it may potentially do more harm than good.
PMID- 22069803
TI - Abortion law reforms in Colombia and Nicaragua: issue networks and opportunity
contexts.
AB - This article analyses two instances of abortion law reform in Latin America. In
2006, after a decades-long impasse, the highly controversial issue of abortion
came to dominate the political agenda when Colombia liberalized its abortion law
and Nicaragua adopted a total ban on abortion. The article analyses the central
actors in the reform processes, their strategies and the opportunity contexts.
Drawing on Htun's (2003) framework, it examines why these processes concluded
with opposing legislative outcomes. The authors argue for the need to understand
the state as a non-unitary site of politics and policy, and for judicial
processes to be seen as a key variable in facilitating gender policy reforms in
Latin America. In addition, they argue that 'windows of opportunity' such as the
timing of elections can be critically important in legislative change processes.
PMID- 22069804
TI - The spatial pattern of suicide in the US in relation to deprivation,
fragmentation and rurality.
AB - Analysis of geographical patterns of suicide and psychiatric morbidity has
demonstrated the impact of latent ecological variables (such as deprivation,
rurality). Such latent variables may be derived by conventional multivariate
techniques from sets of observed indices (for example, by principal components),
by composite variable methods or by methods which explicitly consider the spatial
framework of areas and, in particular, the spatial clustering of latent risks and
outcomes. This article considers a latent random variable approach to explaining
geographical contrasts in suicide in the US; and it develops a spatial structural
equation model incorporating deprivation, social fragmentation and rurality. The
approach allows for such latent spatial constructs to be correlated both within
and between areas. Potential effects of area ethnic mix are also included. The
model is applied to male and female suicide deaths over 2002-06 in 3142 US
counties.
PMID- 22069805
TI - The veterinary medicine industry in Britain in the twentieth century.
AB - Economic historians have focused research effort on accounting for the growth and
significance of Britain's pharmaceutical industry, but little effort has so far
been directed at the veterinary medicine industry, which formed an important part
of the wider sector. This article addresses that gap. Factors responsible for
that sector's relative insignificance until the 1950s included a general tendency
to slaughter rather than to treat sick animals, the absence of advanced medicines
until the innovation of sulpha drugs and antibiotics, and difficult relations
with the wider pharmaceutical industry. Thereafter output of veterinary medicines
increased dramatically, arising from an exponential growth in the demand for
intensively farmed poultry meat. Since the 1980s a decline in the use of drugs in
agriculture has caused the industry to concentrate on the health needs of
domestic animals rather than those of livestock.
PMID- 22069806
TI - Infant mortality and the health of survivors: Britain, 1910-50.
AB - The first half of the twentieth century saw rapid improvements in the health and
height of British children. Average height and health can be related to infant
mortality through a positive selection effect and a negative scarring effect.
Examining town-level panel data on the heights of school children, no evidence is
found for the selection effect, but there is some support for the scarring
effect. The results suggest that the improvement in the disease environment, as
reflected by the decline in infant mortality, increased average height by about
half a centimetre per decade in the first half of the twentieth century.
PMID- 22069807
TI - Becoming farmers: opening spaces for women's resource control in Calakmul,
Mexico.
AB - Despite empirical findings on women's varied and often extensive participation in
smallholder agriculture in Latin America, their participation continues to be
largely invisible. In this article, I argue that the intransigency of farming
women's invisibility reflects, in part, a discursive construction of farmers as
men. Through a mixture of quantitative and qualitative methods, including
interviews with one hundred women in Calakmul, Mexico, I demonstrate the material
implications of gendered farmer identities for women's control of resources,
including land and conservation and development project resources. In particular,
I relate the activities of one women's agricultural community-based organization
and the members' collective adoption of transgressive identities as farmers. For
these women, the process of becoming farmers resulted in increased access to and
control over resources. This empirical case study illustrates the possibility of
women's collective action to challenge and transform women's continued local
invisibility as agricultural actors in rural Latin American spaces.
PMID- 22069808
TI - Putting the market in its place: food security in three Mapuche communities in
southern Chile.
AB - This article analyzes the impact of state policies since the 1970s on household
food security in several Mapuche communities in the Araucania region of Chile
(Region IX). The author highlights key transformations in the national economy
and food system and endeavors to link those to local phenomena, in particular the
absorption of the local livelihood strategies and food systems into capitalist
markets and the high incidences of food insecurity. The article concludes that a
reconceptualization of macroeconomic and indigenous policies are required to
rebuild the material and social foundations of rural Mapuche communities that
provide the bases from which their inhabitants can reconstruct a mutually
beneficial relationship with the broader Chilean society and avert the continued
acceleration of tension and violence.
PMID- 22069809
TI - Research notes from the underworld: the entry logs of the Rio de Janeiro Casa de
Detencao, 1860-1969.
AB - The Rio de Janeiro state archive's collection of entry logs for the city's
central detention center, going back to the mid-nineteenth century, provides a
rare glimpse into the lives of Rio's-and Brazil's-poor and working classes who
otherwise left few written records behind. During the time when the institution
maintained the entry logs, police exercised broad power to make arrests. Although
relatively few detainees were ever prosecuted or even formally charged, the
detention center kept detailed records of detainees' physical appearance, attire,
home address, nationality, sex, affiliation, and so on, as well as information
about any criminal charges. This article explores the wealth of empirical data
that the entry logs provide. It also suggests how scrutinizing this type of
document across time shows how record keeping itself changed, in turn affording
researchers rare insight into the inner workings of modern Latin American
society.
PMID- 22069810
TI - One of the boys: Ammie Wilson's challenge to postwar ideals of femininity on the
stock show circuit.
PMID- 22069811
TI - Age of pension eligibility, gains in life expectancy, and social policy.
AB - Canadians are living longer and retiring younger. When combined with the aging of
the baby-boom generation, that means that the "inactive" portion of the
population is increasing and there are concerns about possibly large increases in
the burden of support on those who are younger. We model the impact of continued
future gains in life expectancy on the size of the population that receives
public pension benefits. We pay special attention to possible increases in the
age of eligibility and the pension contribution rate that would maintain the
publicly financed component of the retirement income security system.
PMID- 22069812
TI - The redistributional impact of Canada's Employment Insurance Program, 1992-2002.
AB - For a decade or so starting in the early 1990s, Canada's major income support
programs underwent substantial reform. Meanwhile, the economy first lingered in a
deep recession and then recovered with a period of strong growth. This paper
focuses on how the distributional impact of Employment Insurance (EI) evolved
during this period. We find that EI was strongly redistributive throughout the
whole period with respect to the earnings of individuals, and somewhat less so
for family income. But we also show that the distribution of benefits and
contributions changed substantially over time, becoming less redistributive.
Somewhat counter-intuitively, both the benefit and contribution sides of the
program are shown to be redistributive, even though the contribution structure is
regressive. These findings are relevant in the current context, as the economy
struggles with a combination of high unemployment and fiscal pressures on
government spending.
PMID- 22069814
TI - Hopi Indian witchcraft and healing: on good, evil, and gossip.
PMID- 22069813
TI - Governance and sustainability at a municipal scale: the challenge of water
conservation.
AB - Municipal water conservation is increasingly promoted as a key dimension of
environmental sustainability at the municipal scale. Progress toward municipal
water conservation in Canada has, however, been poor. This paper examines the
governance dimension of water conservation, and presents evidence in support of
the argument that conservation efforts on the part of water utilities (and
sometimes municipalities) are often constrained by factors external to their
jurisdiction. To explore these issues, this paper presents a case study of
municipal water conservation in Canada. The analysis identifies governance
related barriers to water conservation and explores the relationship between
these barriers and broader issues stemming from the multi-scalar, fragmented
nature of environmental governance in Canada.
PMID- 22069815
TI - Cherokee adaptation to the landscape of the west and overcoming the loss of
culturally significant plants.
PMID- 22069816
TI - Blood vessel disease linked to dementia. Strategies that protect blood vessels
also fight aging-related memory loss.
PMID- 22069818
TI - Angioplasty via wrist artery safe, effective. U.S. doctors are turning to the
radial artery for angioplasty.
PMID- 22069817
TI - Ask the doctor. My 69-year-old husband has had cardiomyopathy and diabetes for
several years. Lately his ankles are always swollen. At his last doctor's visit,
my husband's cardiologist said his heart has leaky valves and his ejection
fraction is 10%. What would be the best plan for him?
PMID- 22069819
TI - The smartphone will see you now. "Apps" and devices are turning cell phones into
tools for health.
PMID- 22069820
TI - More to the story than alcohol=heart protection. Studies showing that alcohol
protects the heart raise questions about drinking.
PMID- 22069821
TI - Nature trumps nurture for heart disease.
PMID- 22069823
TI - Repeat "zaps" often needed to stop atrial fibrillation.
PMID- 22069822
TI - Water exercise safe for troubled hearts.
PMID- 22069824
TI - Ask the doctor. I had a pacemaker implanted a few months ago. I am planning to
join a gym to get back some strength in my arms and upper body, but I am afraid
of damaging the wires with some of the presses and pull down movements I would
have to do to work out on the gym's machines. Are there any exercises or
movements I should avoid?
PMID- 22069825
TI - Ask the doctor. My 61-year-old mother plans to take a long plane trip. Her legs
usually become swollen when she flies a long distance. Should she wear elastic
stockings or take any other precautions so she doesn't develop a blood clot in
her legs?
PMID- 22069826
TI - Ask the doctor. My blood pressure has wide swings each day. It can go as high as
210/110 with even minor stress like grocery shopping, then fall to 100/50, which
makes me tired and needing rest. I take 15 mg of isosorbide dinitrate and a slow
release nitrate for angina. I also take 10 mg of atenolol (Tenormin) to slow my
heart rate. My doctor says I'm just a "reactive person." My diet is excellent,
and I try to keep active. Could my adrenal glands have anything to do with this?
PMID- 22069827
TI - [Reduced (bioavailability of) nitric oxide as a cause of adverse prognosis in
anaemic patients].
PMID- 22069828
TI - [Comment on the article "ECG in childhood: what the cardiologist for adults
should know" by Bronzetti et al].
PMID- 22069830
TI - [Remembering William B. Kannel: pioneer in cardiovascular epidemiology].
PMID- 22069831
TI - Introduction--the First IEEE Conference on Healthcare Informatics, Imaging, and
Systems Biology HISB'11.
PMID- 22069832
TI - The role of Reviews. Preface.
PMID- 22069833
TI - "Ideal" practice helps this FP thrive.
PMID- 22069834
TI - Colon cleansing: not dangerous if done right.
PMID- 22069835
TI - [Progress of the clinical laboratory as applied to gynecology and obstetrics.
1956].
PMID- 22069836
TI - Louise Andreas-Salome: at the high noon of culture, in the shadows of
psychoanalysis.
PMID- 22069837
TI - [Contributions to the progress in Mexico of gynecological pathology. Summary of
10 years (1946-1956). 1956].
PMID- 22069838
TI - [Clinical utility of the dosage of ovarian hormones. 1956].
PMID- 22069839
TI - The 800-pound gorilla: state lawmakers are trying to cut costs so the program
will survive, even when millions more are added to the rolls.
PMID- 22069840
TI - The technology promise: widespread use of electronic medical records promises to
transform health care. But can we afford it?
PMID- 22069841
TI - Got milk? Awareness of the health benefits and cost savings of breastfeeding
continues to spread.
PMID- 22069842
TI - The reach of free speech: recent rulings make it tough to nail down the
boundaries of protected speech and other thorny state-federal issues.
PMID- 22069843
TI - Evaluation techniques for paretic vertical strabismus.
AB - Vertical strabismus can be associated with paretic or restrictive causes. Paretic
causes may be due to a weak muscle or paresis, or to a total lack of muscle
function caused by a third, fourth or sixth cranial nerve palsy. When examining a
patient with vertical strabismus, it is paramount that we differentiate between a
restricted cause and paretic cause. This paper discusses the nonsurgical
techniques used in evaluating paretic vertical strabismus. There are no easy
methods, and evaluation techniques can vary depending on the cooperation of the
patient. It is essential to look at versions and ductions, pattern strabismus,
measure in all positions of gaze and evaluate torsion. We know that measuring
strabismus, especially in children can be challenging. Afew different tests and
techniques are discussed. A review of the literature regarding the different
evaluation techniques for vertical strabismus were collated and analyzed.
PMID- 22069844
TI - Management of paretic vertical deviations.
AB - Paretic vertical deviations are characterized by complex patterns of incomitance
that make them some of the most challenging strabismus problems to treat. Optimum
results are obtained by performing surgery on those muscles, selected from among
the eight cyclovertical muscles in the two eyes, that minimize the incomitance.
In superior oblique paresis the additional factors of torticollis and torsion
need to be addressed and aberrant regeneration can alter the surgical plan in
third nerve paresis.
PMID- 22069845
TI - Situational restriction: using your physical exam to differentiate pulley
abnormalities from other vertical deviations secondary to restrictive conditions.
AB - The description of the orbital pulley system has changed the way we understand
eye movements and ocular motility disorders. The presence of abnormalities in the
orbital pulley system can complicate the assessment of vertical restrictive
conditions. The standard tests for restriction are reviewed. These include
version and duction testing, assessing saccades, differential intraocular
pressure measurements, forced duction testing, and forced generation testing. We
introduce the concept of situational restriction and how to look for it during
your physical exam. Situational restriction is a limitation of ocular rotation
that is dependent on the path that is taken to try to arrive at a tertiary
position of ocular rotation. Specifically, it shows noncommutative movement that
breaks Listing's Law. The presence of situational restriction denotes that a
pulley abnormality is present.
PMID- 22069846
TI - Management of vertical ocular deviations secondary to restrictive conditions.
AB - BACKGROUND AND PURPOSE: Management of vertical strabismus secondary to
restrictive conditions can be challenging for both the patient and practitioner.
The purpose of this paper is to identify both common and uncommon causes of
vertical restrictive strabismus, to discuss the evaluation of these conditions,
and to briefly review the etiology and recommendations for surgical management.
METHOD: Current literature was reviewed as well as the surgical techniques that
have been found to be most useful from experience over the past twenty years of
practice. CONCLUSION: Surgical realignment and elimination of diplopia is both
challenging and problematic. Surgery should be designed to relieve restriction,
with attention to identifying and managing incomitance. Furthermore, it is
important to establish realistic goals with patients.
PMID- 22069847
TI - Vertical Deviations Secondary to Supranuclear Origins.
AB - A vertical deviation is a relatively common finding in a pediatric ophthalmology
and adult strabismus practice. Fortunately, vertical deviations secondary to
supranuclear entities occur somewhat less frequently, given the neuro- logical
implications associated with many of them. Because of the lower incidence of
supranuclear vertical deviations, they can easily be overlooked or misdiagnosed
if special care is not taken in its evaluation. The purpose of this paper is to
assist the clinician in recognizing vertical deviations specific to supranuclear
disorders, the associated findings, and the diagnostic tools geared toward them.
PMID- 22069848
TI - Surgical treatment of vertical ocular motility disorders of supranuclear origin.
AB - Vertical ocular motility disorders of supranuclear origin can be congenital or
acquired later in life. They produce complex forms of strabismus that require
specialized surgical management to restore ocular alignment, eliminate
torticollis, treat diplopia, and restore normal lid position. For each condition,
surgical management must be individualized to address multiple impediments to
fusion. This article outlines the surgical management of three common types of
supranuclear vertical strabismus.
PMID- 22069849
TI - Evaluation of vertical deviations secondary to anatomical abnormalities.
AB - Vertical strabismus secondary to anatomical abnormalities includes orbital
anomalies leading to rotation of the extraocular muscle cone, converting the
horizontal rectus muscles from adductors and abductors to elevators and
depressors. Craniofacial syndromes also may include anomalous or absent muscles,
simulating paretic strabismus. Abnormal musculature is also a cause of vertical
strabismus. Included in this category is Brown syndrome and Duane retraction
syndrome. Unusual strabismus that does not fit known patterns may be caused by
anatomical anomalies. High axial myopia can lead to the "heavy eye syndrome" in
which elevation is progressively more limited. Anti-elevation syndrome is caused
by previous strabismus surgery, causing a Brown syndrome-like clinical picture
with additional pseudo-overaction of the contralateral inferior oblique. Imaging
studies are useful in determining the etiology of atypical strabismus and
developing a treatment plan.
PMID- 22069850
TI - Management of vertical deviations secondary to other anatomical abnormalities.
AB - BACKGROUND AND PURPOSE: To review the surgical management of five vertical
strabismus syndromes secondary to anatomical abnormalities. These syndromes are:
1) craniosynostosis; 2) "heavy eye syndrome" of high myopia; 3) Brown syndrome;
4) upshoot-downshoot in Duane retraction syndrome (DRS); and 5) antielevation
syndrome after inferior oblique anteriorization. METHODS: The syndromes are
presented from evolving to well-accepted surgical management practices based on
review of the current literature. RESULTS: Surgical management techniques
discussed include: 1) excyclotorsion of the muscle cones inducing elevation in
adduction craniosynostosis, and surgery to stabilize the globe vertically in
adduction in this situation; 2) loop myopexy to prevent prolapse of the
elongated, highly myopic eye posteriorly between the superior and lateral recti;
3) superior oblique tenotomy and lengthening procedures to address inability to
elevate the adducted eye in Brown syndrome; 4)Y-splitting of the lateral rectus
to stabilize the adducted globe vertically in Duane retraction syndrome; and 5)
re-recession of the anteriorized inferior oblique to a position posterior to the
inferior rectus insertion in anti-elevation syndrome. CONCLUSIONS: The surgeon
can, through careful surgical management techniques, alter the form of anatomy in
these conditions and thereby provide more normally functioning binocular systems.
PMID- 22069851
TI - Evaluation of vertical deviations secondary to other causes.
AB - Distinguishing the cause of a vertical deviation can be important for management.
When surgery is being considered, it is also critical to distinguish a true
hypertropia from a dissociated vertical deviation (DVD). This paper reviews the
clinical manifestations of DVD, true hypertropia, and primary oblique muscle
dysfunction.
PMID- 22069852
TI - Treatment of vertical deviations secondary to other causes.
AB - INTRODUCTION AND PURPOSE: Surgical treatment of vertical deviations secondary to
other causes can be difficult and treatment approaches may vary. The purpose of
this discussion is to present surgical treatment options for the management of
vertical deviations secondary to other causes including dissociated vertical
deviation, primary inferior oblique overaction, superior oblique overaction, and
nonspecific eccentric gaze hypertropia with diplopia. RESULTS: Surgical treatment
of vertical deviations secondary to other causes is beneficial to patients.
Treatment approaches may vary depending upon patient circumstances and surgeon
preference. Side effects and complications from surgery to manage these
conditions can occur but are usually of minor significance and not vision
threatening. CONCLUSIONS: Treatment of vertical deviations secondary to other
causes is effective and results usually satisfactory.
PMID- 22069853
TI - Symposium: vertical strabismus: evaluating the highs and lows.
PMID- 22069854
TI - A false start in the race against doping in sport: concerns with cycling's
biological passport.
AB - Professional cycling has suffered from a number of doping scandals. The sport's
governing bodies have responded by implementing an aggressive new antidoping
program known as the biological passport. Cycling's biological passport marks a
departure from traditional antidoping efforts, which have focused on directly
detecting prohibited substances in a cyclist's system. Instead, the biological
passport tracks biological variables in a cyclist's blood and urine over time,
monitoring for fluctuations that are thought to indirectly reveal the effects of
doping. Although this method of indirect detection is promising, it also raises
serious legal and scientific concerns. Since its introduction, the cycling
community has debated the reliability of indirect biological-passport evidence
and the clarity, consistency, and transparency of its use in proving doping
violations. Such uncertainty undermines the legitimacy of finding cyclists guilty
of doping based on this indirect evidence alone. Antidoping authorities should
address these important concerns before continuing to pursue doping sanctions
against cyclists solely on the basis of their biological passports.
PMID- 22069856
TI - [Guidelines, homeopathy, placebo].
PMID- 22069855
TI - [About the article: diagonal earlobe crease, clinical curiosity or ischemic
cardiopathy marker?].
PMID- 22069857
TI - [Jerusalem syndrome].
PMID- 22069858
TI - Imaging vignette: scimitar syndrome.
PMID- 22069859
TI - Securing today's campus.
PMID- 22069860
TI - Five reasons why your people are in danger.
PMID- 22069861
TI - Can sports kill you?
PMID- 22069862
TI - Improving personal risk assessments.
PMID- 22069863
TI - Making sense of electrical PPE.
PMID- 22069864
TI - Engage to go from good to great.
PMID- 22069866
TI - Banking on bipartisanship.
PMID- 22069865
TI - Optimizing programs under OSHA's new initiatives.
PMID- 22069867
TI - Intelligent hearing protection: a primer.
PMID- 22069868
TI - Words of wisdom.
PMID- 22069869
TI - Triple play.
PMID- 22069870
TI - Putting real-time information to good use.
PMID- 22069871
TI - Gas detection programs of the future.
PMID- 22069872
TI - Little changes solve big pain problems.
PMID- 22069873
TI - How to manage ergonomics champions at the grassroots level.
PMID- 22069874
TI - No business like snow business.
PMID- 22069875
TI - Water filtration snuffs dust hazards.
PMID- 22069876
TI - Lockout/tagout today, tomorrow, and beyond.
PMID- 22069877
TI - Higher leadership math.
PMID- 22069878
TI - A salute to the nation's first hospice.
AB - There is great honor in being the first hospice in America. This distinction
belongs to The Connecticut Hospice (TCH) of Branford, Connecticut. This
commendable organization was born in 1974, only two years after Elisabeth Kubler
Ross, M.D. testified before the U.S. Senate Committee on Aging at the first
Congressional hearings on the previously taboo subject of death and dying in
America. I had the honor of helping to produce those hearings.
PMID- 22069879
TI - Hospice payment reform: a look into the future.
AB - This article incorporates findings from the first phase of a Hospice U-shaped
Modeling Project conducted by Simione Consultants that were presented on July 14
at the 17th Annual NAHC Financial Management Conference & Exposition in San
Diego, CA, by Robert Simione and Theresa M. Forster. SPECIAL NOTE: The National
Association for Home Care & Hospice (NAHC) and its affiliated Hospice Association
of America (HAA) extend special thanks to the agencies that volunteered to
participate in the hospice payment modeling study referenced in this article, and
particularly to Robert Simione, Jared Shure Michael Simione, and Kaitlyn Quada of
Simione Consultants, LLC, for their significant contributions to advancing
knowledge of the potential impact of hospice payment reform.
PMID- 22069880
TI - Modification to hospice CAP computation: opportunities and considerations.
PMID- 22069881
TI - Hospice: an evolution in end-of-life care.
PMID- 22069882
TI - High tech to high touch: my journey to becoming a hospice volunteer.
PMID- 22069883
TI - Nurses lead the way: bringing home the benefits of hospice.
PMID- 22069884
TI - Living well at the end of life: a national conversation.
PMID- 22069885
TI - Private duty's role in hospice care.
PMID- 22069886
TI - Challenges intensify pressures on not-for-profit agencies.
PMID- 22069887
TI - Pain: the hidden culprit behind challenging behaviors of those with Alzheimer's.
PMID- 22069888
TI - Mad as hell.
PMID- 22069889
TI - A tribute to Elisabeth Kubler-Ross.
PMID- 22069890
TI - [Atopic thumb. Fungus? No, gameboy!].
PMID- 22069891
TI - [Consciousness disorder of unknown origin. These signs point to the diagnosis].
PMID- 22069892
TI - [Septic arthritis. Only rapid therapy saves the cartilage].
PMID- 22069893
TI - [Naturopathy consultation. Sinusitis].
PMID- 22069894
TI - [Recipe for success against warts. Keratolysis, antiviral therapy and especially
motivation (interview by Dr. Judith Neumaier)].
PMID- 22069895
TI - [COPD: smoker's cough? No, life-threatening!].
PMID- 22069896
TI - [Epidemiology and diagnosis of COPD].
PMID- 22069897
TI - [Pharmacotherapy of COPD].
PMID- 22069898
TI - [Supportive measures in the management of COPD].
PMID- 22069899
TI - [Emergency checklist: Priapism].
PMID- 22069900
TI - [Methotrexate-related deaths in patients with rheumatoid arthritis].
PMID- 22069901
TI - Leading the last great civil rights battle ...
PMID- 22069902
TI - Riding the age wave: how America can stay afloat and enjoy the ride.
PMID- 22069904
TI - Signs of great service.
PMID- 22069903
TI - Home care: a nursing adventure in caring and love.
PMID- 22069905
TI - Limited restrictions equal unlimited care.
PMID- 22069906
TI - Your patient with Alzheimer's is being hospitalized: how can you help?
PMID- 22069907
TI - A crisis of debts and confidence: how home care can help.
PMID- 22069908
TI - [Sixty years already...always a bright future before us, with you!].
PMID- 22069909
TI - [I want the process of cooperation to be simplified, better structured and more
legible].
PMID- 22069910
TI - [The emancipation of nursing care over the years].
AB - The emancipation of nursing care over the years. The definition of an autonomous
nursing role in 1978, professional regulations in 1993, the 2002 nursing acts
decree, prescribing rights in 2007, etc., these are the significant dates in the
evolution of nursing practice. At the same time, training has moved from a two
year course in 1951 to a three-year university degree in 2009.
PMID- 22069911
TI - [A century in which a common identity and professional recognition emerged].
AB - A century in which a common identity and professional recognition emerged. The
professional history of nurses has evolved significantly, from the nun to the
medical assistant with expertise in nursing care. This evolution has resulted in
the recognition of an autonomous professional identity. Over the course of the
last century, nursing moved from a vocation to a profession. The story continues.
PMID- 22069912
TI - [The transformation of equipment for nursing care].
AB - The transformation of equipment is shaping the evolution of nursing care. The
provision of nursing care and the administration of treatment prescribed by the
doctor require the use of a range of medical devices and equipment. Skilled in
their use, the nurse must practise medical devices vigilance. Different memories
and perspectives on the recent history of equipment which has strongly influenced
the evolution of nursing care.
PMID- 22069913
TI - [From the disorganization of care to the support of nursing sciences].
AB - From the disorganisation of care to the support of nursing sciences. The early
communal wards providing shelter for the poor led to the development of mass
care. The emergence of concepts regarding the provision of care, combined with
the increasing demands of patients in terms of quality and safety inspired a more
individualised approach to nursing care. The evolution of determining healthcare
factors will lead to new organisations within which nursing sciences will have a
role to play.
PMID- 22069914
TI - [Nurses' notes, a tool which reflects quality of care].
AB - Nurses' notes, a tool which reflects the quality of care. Originally, nurses
passed on knowledge and actions verbally. Theorists then developed care models
which emerging nursing schools taught their students. Tools for writing down and
passing on information were created, refined and adapted to meet the evolutions
of society. As the main thread of nursing notes, clinical reasoning guides the
implementation of the care given.
PMID- 22069915
TI - [Half a century history of nurses and working women].
AB - Half a century of history of nurses and working women. A historian's perspective
of a professional group considers firstly the context, to understand what
distinguishes it from other groups. The remarkable aspect of the history of
nurses over the last half century is that they are predominantly women. It is
therefore interesting to determine if the evolution of this profession and its
practitioners, as noted in research, has any correlation with the history of the
country's female population in general.
PMID- 22069916
TI - [The evolution of initial training over the last sixty years].
AB - The evolution of initial training over the last sixty years. Nursing training,
over the last sixty years, has followed the social evolutions of our country.
Professionals express a strong need for recognition. The 2009 training reference
framework could be expected to radically change the nursing profile. An
assessment of this programme should enable us to consolidate or modify training
to meet users' needs.
PMID- 22069917
TI - [Nurses and nursing care research].
AB - Nurses and nursing care research. Nursing practice is fundamentally a work of
creation. Unique, singular, appropriate and helpful to the patient, every act of
care aims to take root in the subtle fertile soil of the nursing art. A base
which, in all humility, invites caregivers to demonstrate curiosity and a
critical mind with regard to their practices. At Toulouse university hospital,
research into nursing care has been consolidating practices for more than fifteen
years.
PMID- 22069918
TI - [The different perspectives of young professionals].
AB - The different perspectives of young professionals. Young graduate nurses or
nursing students, they are the future of the nursing profession. They will take
up the baton in order to meet the nursing needs of today and tomorrow. They will
write the next chapter in the history of their profession. Even if some are
unable to project themselves into the future, having to focus on the present
demands of their daily lives as caregivers, they are all clear on their
motivation to provide care.
PMID- 22069919
TI - [Handling a claim or complaint].
AB - Handling a claim or a complaint. Any user of a healthcare institute can lodge a
complaint with the Commission for Relations with Users and Quality of Care. This
organisation's mission is to inform patients or their family of their rights, to
seek conciliation between different parties and to improve care within the
institute.
PMID- 22069920
TI - [Psychologist-nurse, a rewarding collaboration].
AB - Psychologist-nurse, a rewarding collaboration. The collaboration between nurses
and psychologists is relatively recent within healthcare institutes. Gaining
maximum value from such a collaboration requires solid knowledge of the roles and
the limits of each profession as well as a real desire to work together, for the
benefit of the greater well-being of the patient and, indirectly, of the teams.
PMID- 22069921
TI - [Nurse home visits in France].
AB - Nurse home visits in France. More and more nurses carry out home visits, either
as freelance nurses or employees of a nurse home visits service, a home hospital
care structure or a nursing care centre. These home visits are both demanded by
patients and encouraged by the health authorities. As a consequence, the service
is expanding every year.
PMID- 22069922
TI - A day at the emergency department.
PMID- 22069923
TI - [Nursing care of the heart arrest patient].
PMID- 22069924
TI - [Psychosomatic disorders].
PMID- 22069925
TI - [Training for blood transfusions].
PMID- 22069927
TI - Defining critical safety behaviors in a point-of-view video observation study of
tree fallers at work.
AB - This study aimed to characterize the use of five critical safety behaviors by
tree fallers at different skill levels, and to test the feasibility of using a
helmet camera to observe work activities directly in a remote environment. Small
cameras were mounted on standard hard-hats. Video data were obtained for pairs of
fallers at four different skill levels, from beginning students to professional
fallers. Critical action steps during tree episodes were coded and compared.
Critical action steps for fallers were successfully distinguished during work
activities. Notable differences were observed among fallers in different skill
categories and between individual fallers. This study found that the helmet
camera worked well for observing faller work behavior, and that point-of-view
video observation may be used to evaluate student loggers and training programs.
We also found that professional fallers may have been exposed to increased
hazard; care should be observed with helmet cameras designed for professionals.
PMID- 22069926
TI - A quantitative method for estimating dermal benzene absorption from benzene
containing hydrocarbon liquids.
AB - This study examines a method for estimating the dermal absorption of benzene
contained in hydrocarbon liquids that contact the skin. This method applies to
crude oil, gasoline, organic solvents, penetrants, and oils. The flux of benzene
through occluded skin as a function of the percent vol/vol benzene in the liquid
is derived by fitting a curve to experimental data; the function is supralinear
at benzene concentrations < or = 5% vol/vol. When a liquid other than pure
benzene is on nonoccluded skin, benzene may preferentially evaporate from the
liquid, which thereby decreases the benzene flux. We present a time-averaging
method here for estimating the reduced dermal flux during evaporation. Example
calculations are presented for benzene at 2% vol/vol in gasoline, and for benzene
at 0.1% vol/vol in a less volatile liquid. We also discuss other factors
affecting dermal absorption.
PMID- 22069928
TI - Association between biofuel exposure and adverse birth outcomes at high altitudes
in Peru: a matched case-control study.
AB - Nearly one-third of the Peruvian population burns biofuels for cooking.
Similarly, approximately one-third of this population lives at high altitudes.
Cooking with biofuels and living at high altitudes have been implicated in
adverse perinatal outcomes. This study attempted to determine the risk of low
birth weight (LBW) and/or preterm delivery in relation to biofuel use in
inhabitants at high altitudes in Peru. A matched-case study was performed in two
high-altitude cities. All subjects (n=190) were identified from public-hospital
records. Cases were matched by hospital by week of birth and area of residence.
Cases were defined as: women with an at-term but LBW newborn; or women with a
preterm birth. Adjusted conditional logistic regression analyses were used to
determine odds ratio. Fifty-two percent of the cases used biofuel whereas only
30% of the controls used biofuel. The use of biofuel for cooking was found to be
strongly associated with increased risks of LBW, but was not significantly linked
to preterm births.
PMID- 22069929
TI - Exposure to lead from a storage site associated with intellectual impairment in
Chilean children living nearby.
AB - This study assesses the degree of impairment of children's IQ scores due to
exposure to lead from a storage site. In 2005, we studied 192 children in
Antofagasta, Chile, age 7-16 years who had been exposed to a lead storage site
from birth until its removal in 1998. We used past (1998) and current (2005)
blood lead levels as explanatory variables for IQ, which was measured once in
2005 using the WISC-r test. Multilevel mixed-effects linear regression models
were constructed, adjusting for potential confounders. Current blood lead level
(BPb, 2005) was associated with a significant decrease in full-scale IQ (P value
= 0.03), whereas blood lead level measured in 1998 (BPb, 1998) showed an inverse
but not significant association with full-scale IQ (P value = 0.35). The findings
provide evidence that exposure to an open source of environmental lead can exert
an effect on IQ. Policy efforts should be targeted to prevent lead exposure to
avoid children's intellectual impairment.
PMID- 22069930
TI - The effectiveness of using interferon-gamma release assays in screening
immigration employees for latent tuberculosis infection.
AB - We assessed the prevalence of latent tuberculosis infection in immigration
employees and compared two of the screening methods. Using a cross-sectional
study design, we administered questionnaires regarding demographics, work,
medical history, and tuberculosis risk factors to employees at two immigration
facilities. Participants underwent tuberculin skin test (TST) placement and blood
collection for the QuantiFERON-TB Gold in-Tube (QFT-GIT) assay. Fifty-four
employees underwent QFT-GIT and TST placement. All QFT-GIT results were negative,
and three employees tested TST positive. Twenty-three (49%) of 47 employees
requiring two-step TST testing underwent second TST placement. Return rates for
first and second TST reading were 76% and 74%, respectively. The QFT-GIT
completion rate was higher than that for TST (100% vs. 39%, P < 0.001). Agreement
between TST and QFT-GIT was 94%. Immigration employees had low return rates for
their TST reading and second TST placement. Performing the one-visit QFT-GIT has
administrative and logistical advantages in this occupational group.
PMID- 22069931
TI - Worker-on-worker violence among hospital employees.
AB - Violence toward hospital workers is an internationally recognized occupational
hazard. While patients are frequently perpetrators of physical violence, other
employees are often responsible for acts of nonphysical violence. However, few
hospitals have systems for documenting and monitoring worker-on-worker violence.
This study encompassed all incidents of worker-on-worker violence recorded by
employees in a hospital system database over a six-year period. Incidence rates
per 100 full-time equivalents (FTEs) and rate ratios (RR) were calculated by
year, hospital, and job category. The majority (87%) of worker-on-worker
incidents involved nonphysical conflict. The overall incidence rate was 1.65/100
FTEs, ranging among the six hospitals from 0.54 to 3.42/100 FTEs. Based on
multivariate analysis, no single professional group was at increased risk for
worker-on-worker violence. Co-worker violence threatens the well-being of
hospital employees and should be regularly tracked with other forms of workplace
violence so that suitable intervention programs can be implemented and assessed.
PMID- 22069932
TI - Violence prevention in a small-scale psychiatric unit: program planning and
evaluation.
AB - Aggression against nurses and ancillary personnel is a major--overall under
reported--occupational problem in sociomedical facilities for psychiatric and
demented patients. The frequency of violent incidents against workers in a
residential rehabilitation unit was assessed during medical examinations in the
workplace between 1996 and 2009. The majority of the workers had been subjected
to physical aggression over time. A violence prevention program that included
educational, organizational, and medical measures was implemented in 2002.
Interrupted time series analysis showed that the aggression trend prior to
intervention was flat (beta3 = -0.004; SD = 0.003; P = 0.241), while there was a
significant drop in aggressions after the intervention (beta3, = -0.149; SD =
0.018; P < 0.0001). No late increase in trend was observed in the post
intervention period (beta3, = -0.006; SD = 0.004; P = 0.175). The program
contributed to reducing violence in the workplace.
PMID- 22069934
TI - Employability and HIV infection: can the military claim to be an exception?
AB - To determine whether policies that exclude HIV-positive recruits from military
service are scientifically and ethically justified, we reviewed the literature
for evidence regarding their capacity to undertake rigorous exercise, the risks
and benefits of exercise regimes, their risks from opportunistic infections and
the extra burden of care, within military contexts. The literature suggests that
HIV-infected persons with CD4 counts within the normal range have the exercise
capacity and training benefits similar to uninfected persons. Subjects with
modest CD4 depression are capable of moderate to strenuous exercise, without
adverse consequences. Risks from opportunistic infections are mitigated with
normal CD4 counts. Neurobehavioral impairment in asymptomatic HNV-positive
patients is unlikely to reduce work capacity for most military occupations and
can be easily identified by appropriate neurobehavioral testing. HIV testing
alone is poorly predictive of competencies required for military employment.
Testing should be used to promote access to care. Use for exclusionary purposes
is discriminatory.
PMID- 22069933
TI - A retrospective cohort study of lung cancer incidence in nylon flock workers,
1998-2008.
AB - During an investigation of a novel interstitial lung disease in a cohort of nylon
flock workers, a former worker was found to have developed bilateral synchronous
pulmonary adenocarcinomas three decades after he quit smoking, suggesting that
exposures in this industry might pose excessive risk of lung cancer. We conducted
a retrospective cohort study of lung cancer incidence in the original study
cohort (n=162) from August 15, 1998, to August 14, 2008. The Rhode Island Cancer
Registry identified cohort members with lung cancer and provided age-gender-era
specific rates of lung cancer in Rhode Island. Five cases of lung cancer occurred
among cohort members versus 1.61 cases expected for a standardized incidence
ratio of 3.1 (95% CI, 1.01-7.23). The observed threefold increase in lung cancer
incidence could not be readily ascribed to chance, study bias, or uncontrolled
confounding. Workers in this industry should be notified of their potentially
increased risk of lung cancer.
PMID- 22069936
TI - Great aesthetic expectations.
PMID- 22069935
TI - Gets AIDS and survive? The "perverse" effects of aid: addressing the social and
environmental determinants of health, promoting sustainable primary care, and
rethinking global health aid.
PMID- 22069937
TI - Like an axe, tools of the trade need sharpening.
PMID- 22069938
TI - Management of fluorosis macro- and microabrasion.
PMID- 22069939
TI - Dentistry is challenging: cosmetic dentistry even more!
PMID- 22069940
TI - Composites in a three-dimensional world.
PMID- 22069941
TI - Eight steps all dentists need to know about refining their scrap.
PMID- 22069942
TI - Lithium disilicate: masking discolored teeth.
PMID- 22069943
TI - Quick technique for evaluation of interocclusal space.
PMID- 22069944
TI - Case of the elusive MB2 canal.
PMID- 22069945
TI - Technique for the temporization of an anterior implant.
PMID- 22069946
TI - The "first implant": protocol for the GP part 2, utilizing CT guides.
PMID- 22069948
TI - Managing temporomandibular dysfunction requires accuracy.
PMID- 22069947
TI - Dental cements: an overview.
PMID- 22069949
TI - The diode laser--the diode laser for gingivectomies in orthodontics.
PMID- 22069950
TI - Severe combined immunodeficiency (SCID): from molecular basis to clinical
management.
AB - Primary immune deficiency diseases (PID) comprise a genetically heterogeneous
group of disorders that affect distinct components of the innate and adaptive
immune system, such as neutrophils, macrophages, dendritic cells, complement
proteins, natural killer cells, as well as T and B lymphocytes. Severe combined
immunodeficiency (SCID) is a group of disorders characterized by increased
susceptibility to severe infections and early death. The diagnosis of SCID is
supported by the demonstration of low absolute lymphocyte count and T cell
lymphopenia (variably associated with numerical defects of B and NK cells). In
the last two decades, advances in the characterization of the molecular
pathophysiology of SCID, have permitted the development of novel diagnostic
assays based on analysis of the expression of the disease-associated proteins and
mutation analysis. More recently, pilot newborn screening programs for the
identification of infants with SCID have been initiated in the United States.
Prompt and aggressive treatment of infections, antimicrobial prophylaxis (in
particular against Pneumocystis jiroveci) and regular administration of
immunoglobulins are essential to reduce the risk of early death. However,
survival ultimately depends on reconstitution of immune function, that is usually
achieved by means of hematopoietic cell transplantation (HCT). Gene therapy and
enzyme replacement therapy have also been used successfully is selected forms of
SCID. Here we review the molecular and cellular pathophysiology and the mainstay
of treatment of SCID.
PMID- 22069951
TI - Prophylactic central neck dissection for papillary thyroid carcinoma: the terms
of the debate.
AB - An increasing interest in the management of central neck lymph nodes in the
surgical treatment of papillary thyroid cancer is observed. While is widely
accepted that patients with clinically apparent lymph nodes metastases should
undergo compartment-oriented neck dissection, controversy exists about the need
for prophylactic central neck dissection. The main issues that have been raised
are the following ones: the definition of the anatomic boundaries and terminology
of central neck dissection, the value of the recommendations expressed by the
most authoritative association, the arguments in favour and against the
appropriateness of prophylactic neck dissection, the laterality of central neck
dissection. This article aims at reviewing the literature on prophylactic central
neck dissection for papillary thyroid cancer in order to clarify some issues and
to offer the reader a clear and concise overview of this complex debate.
PMID- 22069952
TI - Care to relieve pain-stress in preterm newborns.
AB - BACKGROUND AND AIM OF THE WORK: A variety of non-pharmacological pain-prevention
and relief techniques have been studied to evaluate the pain reduction in
neonates. The aim of our study was to compare the analgesic effect of sucking a
pacifier with the use of eutectic mixture of local anaesthetics (EMLA) during
venipuncture in preterm newborns, using physiological and behavioural parameters
as indicators of pain. METHODS: We analysed the reaction to invasive procedures
in 17 preterm newborns. Our patients underwent repeated vein draws without pain
relief, sucking a pacifier, after the application of EMLA; we also evaluated a
group of patients approached for care without pricking. For each infant we
recorded the average values of the physiological parameters at rest and after
pain stimuli, behavioural conditions (crying or grimaces), number and time
required for blood draw. RESULTS: The maximum heart rate values, respiratory
rate, and the maximum respiratory rate values presented a statistically
difference only between subjects that underwent vein draws compared to subjects
without pricking (p < 0.01). Moreover, the SpO2 parameter presented a significant
increase in the control group compared to the others (p = 0.024). Analysis
ofbehavioural parameters shows that crying seems significantly related to the
duration and number ofvenipunctures (p = 0.000). CONCLUSIONS: It is clear that
pain stress is more closely related to the duration and number of venipuncture
than pain relief methods. Our results suggest that limiting the number and
duration of vein draws could help to reduce pain stresss in preterm newborns.
PMID- 22069953
TI - Certolizumab: efficacy and safety profile of a novel pegylated TNF-alpha blocking
agent.
AB - The treatment of Rheumatoid Arthritis (RA) has changed since the introduction of
biological agents. In particular, the anti Tumor Necrosis Factor (TNF)-alpha
molecules have been the first group of drugs showing a good efficacy and safety
profile. Among these, a new anti TNF-alpha antibody has been recently indicated
for the treatment of RA: certolizumab pegol (CZP). In the main clinical trials
this new pegylated anti TNF-alpha has shown to be efficacious on clinical,
functional and prevention of structural damage in patients with active RA and
with inadequate response to traditional disease modifying drugs, including
methotrexate. Moreover CZP showed to be well tolerated and most adverse events
occurred were mild or moderate. Therefore, results obtained showed that this new
molecule can play a role in the treatment of RA.
PMID- 22069954
TI - Clinical effectiveness of a highly standardized and bioavailable mixture of
flavonoids and triterpenes in the management of acute hemorroidal crisis.
AB - BACKGROUND AND AIM OF THE WORK: Patients with acute haemorrhoidal crisis often
need of an immediate and effective pharmacological approach to alleviate their
pain, bleeding and swelling or have to be referred by the general practitioner to
the surgeon for a definitive treatment. Effective and not invasive treatment
control of the acute crisis could be of practical use in order to avoid or to
delay invasive procedures to a time more convenient for the patient and/or for
the surgeon. METHODS: After enrolling, according to the group treatment, every
patient starts taking 1 tablet every 8 hours for 7 days of Emospid or 2 tablets
every 8 hours for 7 days of MMDH tablets. According to a simplified PATE 2000
classification, the following parameters were evaluated: haemorrhoidal grade,
internal and external haemorrhoids, internal and external oedema, internal and
external thrombosis, bleeding, bleeding intensity, pain, itching, defecation
problems and urgency, tenesm, mucus in stools and sphinterial tone. RESULTS: In
the Emospid group, within the considered period, 35 patients out 40 shift
downwards of 1 grade of the haemorrhoidal scale (from III to II and from II to
I); 22 out of 29 stop bleeding; bleeding intensity drops by about 90%; pain
ceased in 33 out of38; pain intensity drops by about 75%; itching ceased in 25
out 35; tenesm ceased in 32 out of 33; sphinterial tone reduced from hypertonic
to normal in 19 out 24; mucus in the stools was found in 3 out of 12; 12 out 35
still presented defecation disorders; defecation urgency was found in 2 out of
14; need to defecate in 2 times was found in 1 out of 17; acute events (external
and/or internal oedema, external and/or internal thrombosis) was found in 10 out
of 36. In the MDHH group results were, in terms of global evaluation, inferior of
about 25-50% according to the considered parameter when compared with the one got
by the Emospid treatment. CONCLUSIONS: Patients with acute haemorrhoidal crisis
may be successfully treated with Emospid in order to avoid or to delay, if acute
crisis relapsed, invasive procedures. Moreover, the treatment with Emospid shows
to be more effective, if compared with MMDH, in counteracting acute haemorrhoidal
crisis. (www.actabiomedica.it)
PMID- 22069955
TI - Visceral ischaemia and organ dysfunction after hybrid repair of complex thoraco
abdominal aneurysms.
AB - BACKGROUND: The visceral hybrid repair of thoracoabdominal aneurysms (TAAAs) is a
feasible and relatively safe alternative to traditional open repair in a cohort
of patients at high surgical risk, averting the need for thoracotomy and supra
coeliac aortic cross clamping. The visceral ischaemia-reperfusion syndrome and
organ dysfunction following visceral debranching is still unkown. This study
investigates the relationship between visceral ischemia and multi system organ
dysfunction. PATIENTS AND METHODS: 18 consecutive patients undergoing elective,
urgent and emergent hybrid repair of TAAAs between February 2005 and October 2007
were prospectively analyzed. Preoperative organ dysfunction and intraoperative
risk factors (operating time, extent of the aneurysm, number of visceral vessels
by passed) were assessed and compared with postoperative organ dysfunction
(pulmonary, hepatic, renal, pancreatic and haematological disorders). Blood
sampling for neutrophil CD 11b quantification was performed at baseline, on
postoperative days 1, 3, 7 and before discharge. RESULTS: Perioperative Multi
System Organ Dysfunction (MSOD) was diagnosed in 22.2% of patients (n = 4/18).
Three of these patients died within 30 days (16.7%, n = 3/18). No relationship
between preoperative organ dysfunction, blood loss, or operative time and
postoperative organ dysfunction was observed. A significant correlation between
the visceral retrograde revascularization and postoperative neutrophil expression
in MSOD patients regardless of preoperative neutrophil baseline, TAAA extent and
number of vessels by passed was present. CONCLUSIONS: Upregulation of neutrophils
may be responsible for the higher incidence of MSOD and it may be an important
marker predicting a severe multiple organ failure following visceral debranching
in hybrid procedures.
PMID- 22069956
TI - Lichen sclerosus: a review of literature and a case of an atypic surgical
treatment.
AB - Lichen sclerosus is a chronic immuno-mediated skin disease of the genital region
in men and women. The treatment may be pharmacological or surgical, the choice
depending on the extension of the involved area, the histological pattern and the
level of functional disease complained by the patient. If the biopsy is negative
for neoplastic degeneration the treatment may be pharmacological only. In our
paper, we describe the case of a patient with vulvar disease and labial fusion,
burial of the clitoris and severe introital stenosis. In this case, the treatment
was surgical.
PMID- 22069957
TI - Chronic thromboembolic pulmonary hypertension: take care to a "favourable"
apparently evolution. A case report.
AB - Chronic thromboembolic pulmonary hypertension (CTEPH) caused by intraluminal
thrombus organization and fibrous stenosis or complete obliteration of pulmonary
arteries, is a not rare but life-threatening complication of acute pulmonary
embolism. The prognosis of medically treated patients with CTEPH is poor and
worsens as pulmonary hypertension exacerbates. We describe the case of a 43-years
old with a history of progressive shortness of breath, hemoptysis, chest
discomfort and syncope. Echocardiographic and imaging studies showed changes
consistent with chronic thromboembolic pulmonary hypertension. Further work-up
showed only moderate increase of homocysteine level with negative features for
lupus and others primary thrombophilic disease. The patient was managed
adequately with thrombolytic and inotropic therapy; oral anticoagulation was
started with improvement of his clinical status and was screened for pulmonary
thrombo endarterectomy, but he refused. The case presented despite its evolution
'temporarily' positive perhaps related to the reduction of hemodynamic overload
through bronchial arteries, reiterates the importance of early surgical
intervention, before it establishes the hypertensive vasculopathy. Abnormal
pulmonary function at rest and after exercise stress test associated to non
invasive echocardiographic measurements are an excellent tool to identify the bad
prognosis patients in CTEPH. We discuss the pathophysiology and conclude that in
selected cases, pulmonary thromboendarterectomy is the best therapy, but only if
executed early.
PMID- 22069958
TI - Use of a diode laser in an excisional biopsy of two spoonlike neoformations on
the tongue tip.
AB - The 810 nm diode laser, due to its high affinity with haemoglobin (elective
chromatophore) revealed, by itself, as elective instrument for surgical excision
of the tongue, an organ highly vascularized and sensitive to the laser cutting
action. The advantages for its use, with respect to the traditional cold blade
surgery, are its haemostatic effect (which avoids to close wounds by stitches)
and, not less important, the decontaminant effect of the laser ray, due to either
the thermal raising created on cutting line and/or the specific selective action
exerted on the bacterial membrane by its wavelength. Finally, the analgesic
effect of the diode laser contributes to consider this kind of procedure more
comfortable for the patient who often roundly requires its use.
PMID- 22069959
TI - Desmoplastic fibroma of the mandible.
AB - We report the imaging findings of a desmoplastic fibroma (DF) of the mandible in
a 3 years old girl. DF of bone is a rare, no-metastasizing but locally aggressive
tumor. Hypercellularity, nuclear pleomorphism, mitotic activity, and traces of
odontogenic epithelium and bony tissue are absent. US exam showed a highly
vascular and well delimited mass, with no necrotic/hemorrhagic areas. It appeared
as a well-defined osteolytic region in RX and a multiloculated, hypodense mass,
with no periosteal reaction signs, in CT scans. MRI showed hypointensity in T1w
TSE sequence and hyperintensity both in T1w TSE SPIR and T2w ones with no
restriction of the "apparent diffusion coefficient" (ADC). In conclusion,
remaining histology the gold standard for the DF diagnosis, imaging features may
strongly suggest it.
PMID- 22069960
TI - A rare location of Crohn's disease: the nasal mucosa.
AB - Crohn's disease (CD) is a chronic inflammatory disease that affects both the
small and large intestine in approximately 40% of cases, solely the ileum or the
colon in 30% and 25%, respectively. The remaining locations of the
gastrointestinal (GI) tract are involved in percentages ranging between 0.5 and
5%. The appearance of the disease outside the GI tract is an exceptional event.
In the present case, the authors report the history of a male patient suffering
from CD involvement of almost the entire digestive system plus the nasal mucosa.
This latter event emerged after repeated episodes of epistaxis, the
demonstrations of histologic nasal features similar to those of intestinal CD,
and the remission after treatment with beclomethasone. Since in literature less
than a decade of cases of nasal location of CD was described, it is of prime
importance to highlight that in CD patients, the occurrence of repeated episodes
of epistaxis should prompt a consideration in the differential diagnosis of nasal
location of the disease.
PMID- 22069961
TI - Report of two cases of Castleman's Disease: a case of benign localized disease
and a case of fast progressive multicentric disease.
AB - Castleman's Disease is a rare tumour involving lymph node tissues; a case of
benign localized disease and a case of rapid progressive multicentric disease are
reported. Case report 1: A 19-year-old man presented with four months of
hypogastric and left iliac pain. Castleman's Disease was suspected after CT-scan.
A CT-guided fine-needle biopsy of the lesion was performed revealing hyaline
vascular type Castleman's Disease. The patient underwent open surgery with
radical excision of the lesion. No adjuvant therapy was performed after surgery.
The patient is alive and disease-free after 24 months. Case report 2: A 58-year
old woman presented with a right axillary palpable lymph node and vague abdominal
discomfort. Abdomen CT demonstrated hepatosplenomegaly associated with adenopathy
at the hepatic hilus and splenic hilus; dilatation of intra-hepatic biliary ducts
was present. The axillary node was excised, the mass at hepatic hilus was
biopsied. The diagnosis was Castleman's Disease in both sites. In course of
steroid therapy retroperitoneal multiple nodes appeared associated with fast
progressive mechanic jaundice and liver failure. Progressive multi-organ failure
arose within 1 week, with irreversible clinical worsening to death.
PMID- 22069962
TI - Hepatoprotective activity of a phytotherapeutic formula on thioacetamide--induced
liver fibrosis model.
AB - Hepatic fibrosis is a widespread alteration in the liver that primarily consists
of increased collagen deposition in the tissue. The aim of the present study was
to evaluate the protective effects of poly-phytocompound EH-1501 containing small
amounts of silymarin but also other potentially effective substances on
thioacetamide (TTA)-induced liver fibrosis and to elucidate the mechanisms
underlying these protective effects in rats. Forty rats were randomly divided
into four groups. Liver fibrosis was induced by intraperitoneal injection of 200
mg/kg body weight. TAA dissolved in saline was administered thrice a week, for 8
weeks. Groups 1 (normal healthy control) and 2 (liver injury model) received
water for 8 weeks or silymarin (50 mg/kg p.o. daily) for 8 weeks (group 3) or a
poly-phytocompound EH-1501 (containing grape leaf, wild strawberry, dandelion and
milk thistle, EuroHealth, Italy) (200 mg/kg, daily respectively) for 8 weeks
(group 4). Biochemistry and serum fibrosis markers were AST, ALT, GGT, bilirubin,
thiobarbituric acid reactive substances (TBARs), hyaluronic acid and type IV
collagen 7s. Liver tissue was used to assay glutathione peroxidase (GPx),
catalase (CAT), superoxide dismutase (SOD), TBARs, hydroxyproline and gene
expression of collagen alpha1 (col alpha1) and transforming growth factor-beta1
(TGF-beta1). Silymarine and EH-1501 were equally effective in reducing serum
markers of liver damage and fibrosis as well as oxidative stress. However, as
compared to silymarine, EH-1501 was significantly more effective in improving
tissue level of GPx while decreasing TBARs and hydroproline content (p < 0.05).
When looking at gene expression of col alpha1 and TGF-beta1, EH-1501 showed a
significantly higher degree of gene down-regulation as compared to silymarine (p
< 0.05). Taken altogether, these data suggest that a natural antioxidant
containing phytocompound EH-1501 exerts an effective hepatoprotective property in
experimental chronic fibrotizing liver injury to a significantly higher degree
than silymarin.
PMID- 22069963
TI - [Preliminary clinical results of endoscopic discectomy followed by interbody
fusion using B-Twin expandable spinal spacer].
AB - OBJECTIVE: To investigate the effectiveness and significance of percutaneous
endoscopic discectomy followed by interbody fusion using B-Twin expandable spinal
spacer for degenerative lumbosacral disc METHODS: Between January 2007 and August
2008, 21 patients with degenerative lumbosacral disc disease were treated with
endoscopic discectomy followed by interbody fusion using B-Twin expandable spinal
spacer. Among them, there were 13 males and 8 females with an average age of 52
years (range, 28-79 years). And the disease duration ranged from 3 months to 40
years (median, 9 months). The affected segments included T11, 12, T12-L1, L1.2,
and L2, 3 in 1 case respectively, L4, 5 in 4 cases, and L5, S1 in 13 cases. All
patients had intractable low back pain or lower extremity radicular symptoms. The
placement methods of B-Twin expandable spinal spacer were double sides in 15
cases and single side in 6 cases. Oswestry Disability Index (ODI) and Macnab
grading were used to determine the function recovery after operation. And Suk's
standard was used to determine the fusion effects by X-ray. RESULTS: All 21
patients were followed up 18 months to 3 years (mean, 23.8 months). Sciatica
symptoms disappeared after operation in 19 cases, no significant improvement
occurred in 2 cases of thoracic disease. The ODI scores were 79% +/- 16% at
preoperation, 30% +/- 9% at 1 month, 26% +/- 10% at 3 months, 21% +/- 12% at 6
months, and 20% +/- 10% at 18 months after operation, showing significant
differences between pre- and postoperation (P < 0.05). According to Macnab
grading at 6 months postoperatively, the results were excellent in 14 cases, good
in 5 cases, and fair in 2 cases with an excellent and good rate of 90.5%.
According to Suk et al. standard, the results were excellent in 1 case, good in
19 cases, and poor in 1 case with an excellent and good rate of 95.2%. The muscle
strength of the lower extremities had no improvement in 1 case of T11, 12 disc
protrusion; pedicle screws fixation and decompression laminectomy were given
after 6 months, but no improvement was achieved during follow-up. Protrusion
recurred after 4 months in 1 case of L4, 5 disc protrusion, then was cured by
laminectomy discectomy. The remaining patients achieved postoperative relief.
CONCLUSION: Endoscope combined with interbody fusion is a good combination to
solve lumbar instability. B-Twin expandable spinal spacer is a minimally invasive
fusion choice of 4, 5 and L5, S1.
PMID- 22069964
TI - [Microendoscopic decompression via unilateral approach for lumbar spinal
stenosis].
AB - OBJECTIVE: To investigate the effectiveness and safety of microendoscopic
decompression via unilateral approach for lumbar spinal stenosis. METHODS:
Between May 2006 and June 2009, 79 patients with lumbar stenosis were treated and
divided into 2 groups: posterior lamina fenestration decompression (group A, n =
37), endoscopic decompression via unilateral approach (group B, n = 42). There
was no significant difference in age, sex, segment level, and disease duration
between 2 groups (P > 0.05). The clinical outcomes were assessed by using the
visual analogue scale (VAS) score and Oswestry Disability Index (ODI). The
operation time, blood loss, complications were compared between 2 groups.
RESULTS: Operations were successfully performed in all cases. The operation time,
blood loss, and drainage volume were (75.0 +/- 25.7) minutes, (140.3 +/- 54.8)
mL, and (46.5 +/- 19.7) mL in group A, were (50.4 +/- 18.2) minutes, (80.2 +/-
35.7) mL, and (12.7 +/- 5.3) mL in group B; there were significant differences
between 2 groups (P < 0.05). All the wounds healed by first intention. All
patients were followed up 12-39 months (mean, 16 months). In group A, 1 patient
suffered from intervertebral space infection after operation and recovered after
conservative treatment; 4 patients had lumbar instability after operation and
recovered after lumbar interbody fusion combined with spine system internal
fixation. In group B, 2 patients suffered from spinal dural rupture during
operation and recovered after corresponding treatment, and no lumbar instability
was found. There was no significant difference in VAS score and ODI between 2
groups at preoperation (P > 0.05). Both VAS score and ODI were significantly
improved at early stage after operation and last follow-up when compared with
preoperation in each group (P < 0.05). Comparing with group A, there was
significant improvement in VAS score at 24 hours postoperatively and in ODI at 1
month postoperatively in group B (P < 0.05), but no significant difference was
observed at last follow-up (P > 0.05). According to clinical evaluation of ODI
improvement rate, the excellent and good rate was 89.2% in group A and 92.9% in
group B, showing no significant difference (chi2 = 0.896, P = 0.827). CONCLUSION:
Comparing with posterior decompression surgery, microendoscopic decompression via
unilateral approach is one of effective method to treat lumbar stenosis, with
less trauma of fenestration yield and good early outcomes.
PMID- 22069965
TI - [Analysis of effectiveness of interrupt percutaneous endoscopic lumbar discectomy
through interlaminar approach for L5, S1 disc protrusion].
AB - OBJECTIVE: To evaluate the effectiveness of interrupt percutaneous endoscopy
lumbar discectomy (PELD) through interlaminar approach for L5, S1 disc
protrusion. METHODS: Between November 2006 and August 2010, 115 patients with L5,
S1 disc protrusion were treated, including 79 males and 36 females with an
average age of 38 years (range, 14-79 years). All patients showed the dominated
symptom of the S1 nerve root. The working channel was established by puncturing
through interlaminar approach under the local anesthesia. After the needle was
used to make sure no nerve root or dural sac on working face, the disc tissue was
excised directly by blind sight. Then the nerve root decompression was observed
through the endoscope. In patients with free type, fragment compression was
observed through the endoscope, and the disc tissue around the nerve roots was
removed, then the free disc tissue around intervertebral space was excised.
RESULTS: One patient who failed to puncture changed to miniopen discectomy; 3
patients who failed changed to post lateral approach; and the others underwent
interrupt PELD through interlaminar approach. Eighty patients were followed up 18
months on average (range, 12-36 months). The average Oswestry Disability Index
(ODI) was reduced to 13% +/- 5% at 12 months after operation and to 12% +/- 8% at
last follow-up from 73% +/- 12% at preoperation, showing significant differences
(P < 0.01). According to modified Macnab's criterion, the results were excellent
in 59 cases, good in 15 cases, fair in 3 cases, and poor in 3 cases at last
follow-up, and the excellent and good rate was 92.5%. CONCLUSION: For the
treatment of disc protrusion at the L5, S1 level, interrupt PELD through
interlaminar approach should be ideal with short operation time, small trauma,
and quick recovery.
PMID- 22069966
TI - [Clinical observation of anterior bone graft fusion and internal fixation to
treat adjacent multivertebral tuberculosis in one-stage].
AB - OBJECTIVE: To evaluate the method and effectiveness of anterior focus clearance
with autograft bone fusion and internal fixation in treating of adjacent
multivertebral tuberculosis in one-stage. METHODS: Between March 2007 and
September 2009, 8 cases of thoracic vertebra tuberculosis were treated. Of 8
cases, 6 were male and 2 were female, aged 32 years on average (range, 20-42
years). The disease duration ranged from 8 to 14 months (mean, 10.2 months).
Affected vertebrae included thoracic vertebrae in 35 cases and lumbar vertebrae
in 11 cases; 5 vertebrae were involved in 4 cases, 6 vertebrae in 3 cases, and 8
vertebrae in 1 case. According to Frankel classification, there were 2 cases of
grade C, 4 cases of grade D, and 2 cases of grade E. All patients had different
kyphosis with the Cobb angle of (25.1 +/- 6.6) degrees. All patients received
antituberculous therapy 4-6 weeks preoperatively; after complete clearance
lesions, autograft bone fusion and internal fixation were performed, and then
antituberculous therapy was given for 18 months. RESULTS: All incisions healed by
first intention. Eight patients were followed up 18-48 months (mean, 29 months).
According to JIN Dadi et al. criterion, 7 cases recovered after first operation,
1 case of relapsed tuberculosis with sious was cured after re-focus clearance.
The Cobb angle was (19.5 +/- 4.2) degrees at 7 days after operation and was (22.3
+/- 3.6) degrees at last follow-up, showing significant differences when compared
with the preoperative value (P < 0.05). The nerve function of all cases were
classified as Frankel grade E. CT scan showed bone graft fusion at 6-8 months
after operation. No loosening or displacement of grafted bone and internal
fixation occurred during follow-up. CONCLUSION: The treatment of adjacent
multivertebral tuberculosis by anterior focus clearance, intervertebral
autograft, and internal fixation in one-stage is effective. Anterior bone fusion
and internal fixation in one-stage can correct kyphosis effectively and rebuild
spinal stability, so it is a good choice for surgical treatment of adjacent
multivertebral tuberculosis.
PMID- 22069967
TI - [One-stage posterior debridement, bone graft, and internal fixation for thoracic
tuberculosis].
AB - OBJECTIVE: To evaluate the clinical effectiveness and advantages of one-stage
posterior debridement, bone graft, and internal fixation for thoracic
tuberculosis. METHODS: The data were retrospectively analysed, from 21 cases of
thoracic tuberculosis undergoing one-stage posterior debridement, bone graft, and
internal fixation between June 2007 and November 2009. There were 16 males and 5
females with an average age of 42.2 years (range, 22-73 years). The average
disease duration was 13.2 months (range, 7-21 months). The lesions were located
at the level of T5,6 (1 case), T6,7 (1 case), T8,9 (4 cases), T9,10 (3 cases),
T10,11 (5 cases), T11,12 (6 cases), and T9-11 (1 case). According to the Frankel
grading criterion, the neurological function was rated as grade B in 2 cases,
grade C in 6 cases, grade D in 10 cases, and grade E in 3 cases. The preoperative
Cobb angle was (26.3 +/- 9.2) degrees. The erythrocyte sedimentation rate (ESR)
was (35.9 +/- 11.2) mm/1 hour. RESULTS: Thoracic tuberculosis was confirmed in
postoperative pathological examination in all 21 cases. All incisions healed
primarily without fistulae formation. The average follow-up time for 21 patients
was 16.2 months (range, 1-3 years). Bony fusion was achieved within 7-12 months
(mean, 9 months) without pseudoarthrosis. No loosening and breakage of internal
fixation were found, and no local recurrence occurred. The ESR decreased to (25.1
+/- 8.9) mm/1 hour at 1 week postoperatively, showing significant difference when
compared with preoperative value (t = 5.935, P < 0.01); it decreased to (14.1 +/-
4.6) mm/1 hour at 3 months postoperatively. According to Frankel grade, the
neurological function was significantly improved at 1 year after operation (chi2
= 13.689, P = 0.003). The average Cobb angle was (17.1 +/- 4.5) degrees at 1
years postoperatively, showing significant difference when compared with
preoperative value (t = 7.476, P < 0.01). CONCLUSION: One-stage posterior
debridement, bone graft, and internal fixation has a good clinical effectiveness
for thoracic tuberculosis with less injury and complete focal cleaning, as well
as a good effectiveness of spinal canal decompression and kyphosis deformity
correction.
PMID- 22069968
TI - [Debridement and allograft with internal fixation via combined anterior and
posterior approach for treatment of lumbosacral tuberculosis].
AB - OBJECTIVE: To investigate the effectiveness of radical debridement,
reconstruction with bone allograft, and pedicle screw-rod internal fixation via
combined anterior and posterior approach in the treatment of lumbosacral
tuberculosis. METHODS: Between January 2005 and May 2010, 16 patients with
lumbosacral tuberculosis were treated. Radical debridement was performed via
extraperitoneal approach, then tricortical iliac bone allograft was placed and
pedicle screw-rod internal fixation was used to reconstruct the spinal column.
There were 12 males and 4 females aged 38-65 years (mean, 48 years). The disease
duration ranged from 6 to 24 months (mean, 10 months). The main clinical symptom
was persistent pain in lumbosacral area. The involved segments included L4,5 (3
cases), L5, S1 (8 cases), and l-S1 (5 cases). The lumbosacral angle was 18-32
degrees (mean, 22 degrees). The erythrocyte sedimentation rate (ESR) was 15-55
mm/1 hour (mean, 25 mm/1 hour). All the patients were given antituberculosis
chemotherapy for 12 months after operation. RESULTS: The operation time was 120
240 minutes (mean, 180 minutes). The amount of bleeding was 300-600 mL (mean, 420
mL). All wounds healed by first intention, and no relative complication occurred.
All 16 cases were followed up 12-24 months (mean, 16 months). No recurrence
occurred and ESR recovered to normal. Persistent pain in lumbosacral area and
radicular pain in lower extremities disappeared. The X-ray films demonstrated
that bony fusion was obtained in all patients at 8-12 months postoperatively. The
lumbosacral angle was 16-31 degrees (mean, 21 degrees) at last follow-up.
CONCLUSION: The extraperitoneal approach can provide direct and safe access to
the lesion. The structural iliac bone allograft and posterior instrumentation
could reconstruct effectively the stability of the lumbosacral junction.
PMID- 22069969
TI - [Correlative factors of secondary fracture after percutaneous kyphoplasty for
osteoporotic vertebral compression fracture].
AB - OBJECTIVE: To analyse the correlative factors of secondary vertebral fracture
after percutaneous kyphoplasty (PKP) in treatment of osteoporotic vertebral
compression fracture (OVCF) at different levels (adjacent and/or nonadjacent
levels). METHODS: Between December 2002 and May 2008, 84 patients with OVCF were
treated with PKP, and the clinical data were analysed retrospectively. There were
11 males and 73 females with an average age of 70.1 years (range, 55-90 years).
All patients were followed up 24-96 months (mean, 38 months). Secondary vertebral
fracture occurred in 12 cases at 3-52 months after PKP (secondary fracture
group), no secondary fracture in 72 cases (control group) at over 24 months. The
preoperative bone mineral density, postoperative vertebral height compression
rate, postoperative Cobb angle, amount of injected bone cement per vertebra,
puncture pathway (uni- or bilateral puncture), age, gender, number of fracture
segment, and cement intradiscal leakage were compared between 2 groups to find
correlative factors of secondary vertebral fractures. RESULTS: There was no
significant difference in preoperative bone mineral density, postoperative
vertebral height compression rate, postoperative Cobb angle, amount of injected
bone cement per vertebra, puncture pathway, age, gender, and number of fracture
segment between 2 groups (P > 0.05). But the incidence of cement intradiscal
leakage was much higher in secondary fracture group than in control group (chi2 =
5.294, P = 0.032). CONCLUSION: Cement intradiscal leakage may be the correlative
factor of secondary vertebral fracture after PKP in OVCF.
PMID- 22069970
TI - [Treatment of type IV Pipkin fracture through transtrochanteric approach with
trochanteric osteotomy].
AB - OBJECTIVE: To summarize the short-term effectiveness of type IV Pipkin fracture
through transtrochanteric approach with trochanteric osteotomy. METHODS: Between
January 2007 and January 2010, 15 cases of type IV Pipkin fracture were treated
through transtrochanteric approach with trochanteric osteotomy. There were 9
males and 6 females with an average age of 42.5 years (range, 27-55 years). The
causes of fractures included traffic accident (12 cases), falling from height (2
cases), and heavy pound injury (1 case). The time from injury to hospitalization
was 4 hours to 7 days (mean, 2.3 days). All patients had limitation of activity
in the injured hips. The X-ray films and CT three-dimensional reconstruction
indicated posterior dislocation of the hip joints and fractures of the femoral
head and acetabulum, with no fracture of femoral neck. The locations of the
femoral head fractures were under the round ligament in 9 cases and above the
round ligament in 6 cases. Complications were treated firstly in all patients.
The time from hospitalization to operation ranged from 2 to 10 days (mean, 4.5
days). RESULTS: All patients got primary wound healing with no early
complication. All the patients were followed up 12-48 months with an average of
26 months. All osteotomies and acetabular fractures healed within 6 to 8 weeks.
All patients achieved healing of femoral head fracture after 6-10 months. Mild
heterotopic ossification occurred in 2 cases at 3 months after operation which
were left untreated; and necrosis of the femoral head occurred in 1 case at 8
months after operation, was treated by total hip arthroplasty. At last follow-up,
the flexion of the injuried hips ranged from 60 to 120 degrees (mean, 92.5
degrees). Based on Thompson et al. scoring scales, the results were excellent in
5 cases, good in 7 cases, fair in 2 cases, and poor in 1 case; the excellent and
good rate was 80%. CONCLUSION: Treatment of type IV Pipkin fracture through
transtrochanteric approach with trochanteric osteotomy can provide good
visualization and protection of the blood supply of the femoral head.
PMID- 22069971
TI - [Femoral reconstruction in patients with proximal femoral deformity in total hip
arthroplasty].
AB - OBJECTIVE: To evaluate the clinical results of the femoral reconstruction
technique in patients with proximal femoral deformity in total hip arthroplasty.
METHODS: Between March 2004 and June 2009, total hip arthroplasty procedures were
performed on 25 patients (26 hips) with hip joint disease and proximal femoral
deformity, including primary osteoarthritis of the hip joint (2 hips),
developmental dysplasia of the hip (8 hips), traumatic arthritis of the hip (14
hips), and tuberculosus arthritis of the hip (2 hips). There were 10 males (10
hips) and 15 females (16 hips), with an average age of 64 years (range, 42-82
years). The disease duration was 10 months to 25 years (mean, 10.6 years). The
Harris score was 44.2 +/- 5.1, the Western Ontario and McMaster Universities
Osteoarthritis Index (WOMAC) score was 45.0 +/- 2.7 before operation. According
to Berry's classification system of primary disease, 8 hips were classified as
developmental dysplasia of hip, 7 hips as malunion of fracture, 2 hips as chronic
tuberculosis, 2 hips as slipped femoral epiphysis, 1 hip as previous
subtrochanteric osteotomy, 1 hip as previous shelf operation of the acetabulum,
and 5 hips as previous internal fixation; according to the anatomic site of the
deformity, there were 5 hips of greater trochanter, 10 hips of femoral neck
level, 10 hips of metaphyseal level, and 1 hip of diaphysis. RESULTS: All wounds
healed by first intention. Deep venous thrombosis occurred in 3 patients within 1
week, and were cured with braking and anticoagulant therapy. Bursal synovitis of
great trochanter occurred in 1 patient after 6 weeks, and was eased after taking
drugs for pain relief. All patients were followed up 1 year and 6 months to 6
years, with an average of 3 years and 3 months. The Harris score and WOMAC score
at last follow-up were 88.4 +/- 3.6 and 82.0 +/- 5.2 respectively, showing
significant differences when compared with preoperative scores (P < 0.05). The X
ray films at last follow-up showed good location of prosthesis and no loosening
expect 1 patient who had aseptic loosening and was given revision at 8 months
postoperatively. CONCLUSION: Proximal femoral deformity classification will
contribute to the choice of prosthesis and surgical strategy, thus the good
results of femoral reconstruction and clinical results would be obtained.
PMID- 22069972
TI - [Therapeutic effectiveness of intra-knee-articular injection of platelet-rich
plasma on knee articular cartilage degeneration].
AB - OBJECTIVE: Platelet-rich plasma (PRP) can enhance the chondrocyte proliferation
and repair of cartilage defects. To explore the safety and efficacy of intra-knee
articular injection of PRP to treat knee articular cartilage degeneration by
comparing with injecting sodium hyaluronate (SH). METHODS: Thirty consecutive
patients (30 knees) with knee articular cartilage degeneration were selected
between January 2010 and June 2010. According to different injections, 30
patients were randomly divided into PRP group (test group, n = 15) and SH group
(control group, n = 15). There was no significant difference in gender, age, body
mass index, and Kellgren-Lawrence grade between 2 groups (P > 0.05). Test group
received 3.5 mL of PRP intra-knee-articular injections while control group
received 2 mL of SH during the same time period. Both treatments were
administered in series of 3 intra-knee-articular injections at 3-week intervals.
Then, adverse reactions were recorded. International Knee Documentation Committee
(IKDC) score, Western Ontario and McMaster Universities Osteoarthritis Index
(WOMAC) score, and Lequesne index were used for evaluation of treatment results.
RESULTS: The patients of 2 groups were followed up 6 months. There were
significant differences in IKDC score, WOMAC score, and Lequesne index between
pre- and post-injection in 2 groups (P < 0.05); no significant difference was
found between different time points (3, 4, and 6 months) in test group (P >
0.05), while significant differences were found between the postoperative 6th
month and the postoperative 3rd and 4th months in control group (P < 0.05). There
was no significant difference in IKDC score, WOMAC score, and Lequesne index
between 2 groups within 4 months (P > 0.05), but the effectiveness of test group
was significantly better than that of control group at 6 months after injection
(P < 0.05). Adverse reactions occurred in 12 patients (31 injections) of test
group and in 12 patients (30 injections) of control group. No significant
difference in onset time, termination time, and duration of adverse reactions
were found between 2 groups (P > 0.05). CONCLUSION: Intra-knee-articular
injection of PRP to treat knee articular cartilage degeneration is safe, which
can alleviate symptoms of pain and swelling and improve the quality of life of
patients; however, further data of large samples and long-term follow-up are
needed to confirm the safety and effectiveness.
PMID- 22069973
TI - [Multi-spot suture fixation under arthroscope for treating tibial intercondylar
anterior eminence fracture].
AB - OBJECTIVE: To explore the surgical method and effectiveness of multi-spot suture
fixation under arthroscope in treating tibial intercondylar anterior eminence
fracture. METHODS: Between February 2006 and January 2010, 15 patients with
tibial intercondylar anterior eminence fracture were treated with multi-spot
suture fixation under arthroscope after fracture reduction. There were 14 males
and 1 female with a median age of 33 years (range, 7-55 years). The injury causes
were traffic accident in 9 cases, sport in 2 cases, and falling in 4 cases. The
anterior drawer test, Lachman test, and pivot shift test in all the cases turned
out to be positive. The Lysholm score was 64.7 +/- 1.3. According to Meyers
McKeever classification, 5 cases were classified as type II, 7 cases as type III,
and 3 cases as type IV. Of them, 13 cases had fresh fracture and 2 cases had old
fracture. Assisted with anterior cruciate ligament-aimer, bone tunnels (2 mm in
diameter) were built through the fracture fragments after fracture reduction,
through which Ethibond 2 polyester sutures were guided into the joint by No.18
spine needles; after knot of 2 sutures or knot of only 1 suture was made, the
other ends of sutures were fastened together outside the joint. RESULTS: The
incisions of all the cases healed by first intention with no complication of
infection or deep venous thrombosis. Fifteen patients were followed up 14-48
months with an average of 24.2 months. The X-ray examination indicated fractures
healed in all the cases at 2-4 months (mean, 3 months). At 6 months after
operation, 14 cases showed negative results of Lachman test and anterior drawer
test but 1 case positive, while all the cases showed negative results in pivot
shift test. The extension and flexion spheres of knee activity were normal in 13
cases and were limited in 2 cases. The Lysholm score was 95.1 +/- 2.1, showing
significant difference when compared with the preoperative score (t = 43.020, P =
0.000). CONCLUSION: Multi-spot suture fixation under arthroscope is an effective
method with small invasion, reliable fixation, and simple operation for treating
tibial intercondylar anterior eminence fracture.
PMID- 22069974
TI - [Comparison study on effectiveness between arthroscopy assisted percutaneous
internal fixation and open reduction and internal fixation for Schatzker types II
and III tibial plateau fractures].
AB - OBJECTIVE: To compare the effectiveness of arthroscopy assisted percutaneous
internal fixation and open reduction and internal fixation for Schatzker types II
and III tibial plateau fractures. METHODS: Between August 2006 and April 2010, 58
patients with tibial plateau fractures of Schatzker types II and III were treated
with arthroscopy assisted percutaneous internal fixation (arthroscopy group, n =
38), and with open reduction and internal fixation (control group, n = 20). There
was no significant difference in gender, age, disease duration, fracture type,
and complication between 2 groups (P > 0.05). The operation time, incision
length, fracture healing time, and complications were compared between 2 groups.
Knee function score and the range of motion were measured according to American
Hospital for Special Surgery (HSS) score standard. RESULTS: All patients achieved
primary incision healing. The arthroscopy group had smaller incision length and
longer operation time than the control group, showing significant differences (P
< 0.05). The patients of 2 groups were followed up 12 to 14 months. At 6 months,
the HSS score and the range of motion of the arthroscopy group were significantly
greater than those of the control group (P < 0.05). The X-ray films showed bony
union in 2 groups. The fracture healing time of the arthroscopy group was shorter
than that of the control group, but no significant difference was found (t =
2.14, P = 0.41). Morning stiffness occurred in 2 cases (5.3%) of the arthroscopy
group, joint pain in 6 cases (30.0%) of the control group (3 cases had joint
stiffness) at 1 week, which were cured after symptomatic treatment. There was
significant difference in the incidence of complications between 2 groups (chi2 =
6.743, P = 0.016). CONCLUSION: The arthroscopy assisted percutaneous internal
fixation is better than open reduction and internal fixation in the treatment of
tibial plateau fractures of Schatzker types II and III, because it has smaller
incision length and shorter fracture healing time.
PMID- 22069976
TI - [Effectiveness of microsurgical repair of flexor tendon rupture by non-knot
Kessler suture method in anastomotic stoma].
AB - OBJECTIVE: To analyze the effectiveness and advantages of the microsurgical
repair of flexor tendon rupture with non-knot Kessler suture method in
anastomotic stoma by comparing with the method of traditional Kessler suture.
METHODS; Between February 2005 and February 2010, 122 patients (163 fingers with
243 flexor digital tendons) with flexor tendon rupture, were treated with
microsurgical repair by non-knot Kessler suture method (treatment group); flexor
tendon was sutured, and sodium hyaluronate was used to repair tendon membrane,
tendon sheaths, and the tissue surrounding tendons. The clinical data were
analysed, and were compared with ones from 96 patients (130 fingers with 186
flexor digital tendons) with flexor tendon rupture treated with traditional
Kessler suture between February 2001 and February 2005 (control group). There was
no significant difference in gender, age, cause of injury, injury site, duration,
and other general information between 2 groups (P > 0.05). Kleinert elastic
traction therapy (dynamic-protection) was performed at 3 weeks after surgery, and
the finger function exercise was done after 24 hours. RESULTS: Infection of
incision occurred in 2 cases of the treatment group and in 5 cases of the control
group, and were cured after 2 weeks of dressing change; the other incisions
healed by first intention. The patients were followed up 6 to 14 months (mean, 9
months). In the treatment group, the total active movement (TAM) was (192.0 +/-
13.1) degrees; the results were excellent in 54 cases, good in 58 cases, moderate
in 8 cases, and poor in 2 cases with an excellent and good rate of 92%. In the
control group, TAM was (170.0 +/- 15.2) degrees; the results were excellent in 23
cases, good in 30 cases, moderate in 22 cases, and poor in 21 cases with an
excellent and good rate of 55%. Significant difference in TAM was found between 2
groups (P < 0.01). CONCLUSION: The microsurgical repair of flexor tendon with non
knot Kessler suture method in anastomotic stoma with repair of tendon membrane,
tendon sheaths, and the tissue surrounding tendons is more effective than the
traditional Kessler suture, but long-term effectiveness still needs further
observation.
PMID- 22069975
TI - [Effectiveness of in situ subtalar arthrodesis with bone graft for subtalar
traumatic arthritis and gait analysis].
AB - OBJECTIVE: To evaluate the effects of in situ subtalar arthrodesis with bone
graft for subtalar traumatic arthritis, and to analyse the plantar pressure
distribution so as to provide the evidence for effectiveness evaluation. METHODS:
Between March 2004 and December 2008, 26 patients with unilateral subtalar
arthrodesis undergoing bone graft fusion were enrolled (test group). After
operation, the imageology diversity and the effect of subtalar arthrodesis on
adjacent joint were observed. American Orthopaedic Foot and Ankel Society (AOFAS)
ankle and hindfoot score and radiographs were used to assess the foot function
before and after operation. Twenty-six normal subjects served as controls. Foot
scan system was used to test the distribution of maximum plantar pressure and the
change of gravity center curve. No significant difference was found in gender,
age, height, and weight between 2 groups (P > 0.05). RESULTS: All patients were
followed up 18.2 months on average (range, 14-71 months). The mean subtalar
arthrodesis time was 5.6 months (range, 4 months and 15 days to 11 months). The
mean AOFAS ankle and hindfoot score improved from 35.18 +/- 8.16 preoperatively
to 76.36 +/- 6.90 postoperatively (t = -13.910, P = 0.000). Nine (34.6%) patients
had satisfactory functional effects, and 13 (50.0%) patients basically
satisfactory. The talocalcaneal height, talocalcaneal angle, talar declination
angle, and calcaneus patch angle were 87.04% +/- 6.17%, 76.73% +/- 5.13%, 65.86%
+/- 7.01%, and 70.19% +/- 8.33% of the contralateral side, respectively.
Osteoarthritis of the adjacent joints occurred in 7 cases. The maximum plantar
pressure increased in the third to fifth metatarsal bones and decreased in the
first to second metatarsal bones, showing significant differences when compared
with normal controls (P < 0.05). No significant difference was found in the
plantar pressure between arthrodesis foot and contralateral foot of the test
group (P > 0.05). The plantar pressure was well distributed in patient who was
satisfied with the effect, but it was still different from normal controls. In
patients who had high plantar pressure in middle foot, mild heel inversion
occurred. The gravity center curve of the contralateral foot in the test group
was almost the same as that of normal controls; curve medially shifted when
forefoot touched down. The curve irregularly and laterally shifted in the
subtalar arthrodesis foot; the curve did not medially shift when forefoot touched
down. CONCLUSION: In situ subtalar arthrodesis with bone graft has good clinical
results for subtalar traumatic arthritis. Gait analysis can be applied to assess
the therapeutic effectiveness, and contribute to make a surgical plan. For the
adaptive alteration of contralateral side after subtalar arthrodesis, a cohort of
normal subjects should be used for comparison in gait analysis.
PMID- 22069977
TI - [Effectiveness of a double-tsuge suture method in repairing Achilles tendon
ruptures].
AB - OBJECTIVE: To investigate the effectiveness of a double-tsuge suture method with
absorbable polydioxanone-cord (PDS-II) in repair of Achilles tendon ruptures.
METHODS: Between January 2005 and December 2008, 36 patients suffering from
Achilles tendon ruptures were treated operatively. Of 36 patients, there were 29
males and 7 females with a mean age of 36 years (range, 21-50 years), including
22 cases of acute closed injuries, 6 cases of fresh open injuries (the time
between injury and hospitalization was 1-10 days, mean 6 days), and 8 cases of
old closed injuries (the time between injury and hospitalization was 43-63 days,
mean 51 days). The injury reasons were sport injury (25 cases), incised injury (6
cases), falling injury (4 cases), and other (1 case). The results of "heel test"
and the Thompson sign were positive in all patients. Operation was performed by
using a double-tsuge suture method with a No. 0 PDS-II. After the ankle joint was
fixed with short leg plaster cast at 30 degrees plantar flexion position for 6
weeks, the cast was removed and then functional exercises were done. RESULTS:
Poor healing of incision occurred in 2 cases of old Achilles tendon ruptures and
was cured after symptomatic treatment; healing of incision by first intention was
achieved in the others. The patients were followed up 12 to 24 months (mean, 15
months). No rerupture, deep venous thromboembolism, or reflex sympathetic
dystrophy occurred during follow-up. When compared with the range of motion of
ankle joint of normal side, 7 cases had no change, 16 cases had a loss of 1-10
degrees, 12 cases had a loss of 10-20 degrees, and 1 case had a loss of 25
degrees. The average score was 90 (range, 74-96) according to Termann clinical
evaluation criterion; the results were excellent in 24 cases, good in 11 cases,
and fair in 1 case, and the excellent and good rate was 97.2%. CONCLUSION: The
double-tsuge suture method is easy-to-operate, which has the smallest
interference to the blood supply of Achilles tendon because of no crossing or
transversal intratendon suture. PDS-II can provide highly strong stability,
furthermore, it can be degenerated completely with tiny foreign body reaction, so
there is no tendency to develope local adhesion. This technique achieves good
results and is associated with a low morbidity of complications.
PMID- 22069978
TI - [Computer-aided precise resection of pelvic tumor and function reconstruction].
AB - OBJECTIVE: To investigate the value of computer-aided design (CAD) in defining
the resection boundary, reconstructing the pelvis and hip in patients with pelvis
tumors. METHODS: Between November 2006 and April 2009, 5 cases of pelvis tumors
were treated surgically using CAD technology. There were 3 males and 2 females
with an average age of 36.4 years (range, 24-62 years). The cause was
osteosarcoma, giant cell tumor of bone, and angiosarcoma in 1 case, respectively,
and chondrosarcoma in 2 cases. According to the Enneking system for staging
benign and malignant musculoskeletal tumors, regions I, I + II, III, IV, and I +
IV is in 1 case, respectively. According to the principle of reverse engineering,
5 patients with pelvis tumors were checked with lamellar CT/MRI scanning, whose
two-dimensional data were obtained in disease area. The three-dimensional
reconstruction of pelvic anatomical model, precise resection boundary of tumor,
individual surgical template, individual prosthesis, and surgical simulation were
precisely made by computer with CAD software. Based on the proposal of CAD, the
bone tumor was resected accurately, and allograft ilium with internal fixation
instrument or allogeneic ilium with personalized prosthetic replacement were used
to reconstruct the bone defect after tumor was resected. RESULTS: The operation
was successfully performed in 5 cases. The average operation time was 7.9 hours,
and the average blood loss was 3 125 mL. Hemorrhage and cerebrospinal fluid
leakage occurred in 1 case, respectively, and were cured after debridement. Five
patients were followed up from 24 to 50 months (mean, 34.5 months). All patients
began non-weight bearing walk with double crutches at 4-6 weeks after operation,
and began walk at 3-6 months after operation. Local recurrence developed in 2
patients at 18 months after operation, and resection and radiotherapy were
performed. According to International Society of Limb Salvage criteria for
curative effectiveness of bone tumor limb salvage, the results were excellent in
2 and good in 3. CONCLUSION: The individual surgical template, individual
prosthesis, and surgical simulation by CAD ensure the precision and reliability
of pelvis tumors resection. The CAD technology promotes pelvis tumor resection
and the reconstruction of pelvis to individual treatment stage, and good curative
effectiveness can be obtained.
PMID- 22069979
TI - [Application of titanium plate and Teflon patch in chest wall reconstruction
after sternal. tumor resection].
AB - OBJECTIVE: To study the reconstruction method and effectiveness of titanium plate
and Teflon patch for the chest wall after resection of sternal tumors. METHODS:
Between October 2006 and November 2009, 4 patients with sternal tumors were
treated and the thoracic cages were reconstructed. There were 2 males and 2
females, aged 30-55 years. The patients were admitted because of chest lump or
pain. The sizes of palpable lump ranged from 4 cm x 3 cm to 10 cm x 8 cm. CT
examination showed bone destruction. After sternal tumor resection, defect size
ranged from 10 cm x 8 cm to 18 cm x 14 cm, and titanium plate and Teflon patch
were used to repair and reconstruct the chest wall defect. RESULTS: The
operations of the tumor resection and reconstruction of chest wall defect were
successfully performed in 4 cases. Incisions healed by first intention with no
abnormal breath, subcutaneous emphysema, pneumothorax, and infection. One case
failed to be followed up after 6 months; 1 case died of intracranial hemorrhage;
and 2 cases were followed up 1 and 4 years respectively without tumor recurrence.
The chest wall had good remodeling. No loosening and exposure of titanium plate,
difficulty in breathing, chest distress, and chest pain were observed during
follow-up. CONCLUSION: Surgical resection of sternal tumors will cause large
chest wall defect which can be repaired by titanium plate and Teflon patch
because it had the advantages of easy operation, satisfactory remodeling, and
less complication.
PMID- 22069981
TI - [Comparative study on different pedicles based sural neurofasciocutaneous flaps].
AB - OBJECTIVE: To investigate a best method of obtaining the sural
neurofasciocutaneous flap by observing the models of different pedicles based
sural neurofasciocutaneous flaps in rabbits and the effect of different pedicles
on the survival of the flaps. METHODS: Forty adult New Zealand rabbits (male or
female, weighing 2.5-3.0 kg) were randomly divided into 4 groups (10 rabbits in
each). The flaps of 7 cm x 1 cm were designed at the lateral hind legs, and the
pedicle was 0.5 cm in length. In group A, the flaps were elevated based on a
single perforator pedicle; in group B, the flaps were elevated based on fascia
pedicle; in group C, the flaps were elevated based on perforator-plus fascia
pedicle; and in group D, the flaps were elevated and sutured in situ. At 7 days
after operation, the flap survival rate was recorded, and the blood flow in the
center of the flap was monitored by laser Doppler flowmetry. The perfusion unit
(PU) was measured. RESULTS: After operation, the flaps had no obvious swelling,
and the flaps had good color at the proximal end, but pale at the distal end in
groups A and B. Obvious swelling was observed with pale color at the distal flaps
in group C, but swelling decreased gradually. However, the skin color became dark
gradually in group D after operation. The flap survival rates were 74.0% +/-
2.7%, 60.0% +/- 2.5%, 75.0% +/- 3.5%, and 0 in groups A, B, C, and D respectively
after 7 days of operation. The PU values were 83.39 +/- 4.25, 28.96 +/- 13.49,
81.85 +/- 5.93, and 8.10 +/- 3.36 in groups A, B, C, and D respectively. There
were significant differences in flap survival rates and PU values between groups
A, B, C and group D (P < 0.05). Significant differences were found between groups
A, C and group B (P < 0.05), but no significant difference between group A and
group C (P > 0.05). CONCLUSION: The sural neurofasciocutaneous flap based on a
single perforator pedicle has a reliable blood supply and enough venous drainage,
which is one of the best methods to obtain the sural neurofasciocutaneous flap.
PMID- 22069980
TI - [Reconstruction of severe contracture of the first web space and wrist by
incorporating pedicled retrograde flap of forearm transplantation].
AB - OBJECTIVE: To summarize the therapeutic effectiveness of incorporating pedicled
retrograde flap of forearm transplantation for reconstructing severe contracture
of the first web space and wrist. METHODS: Between November 2005 and February
2010, 26 patients with severe contracture of the first web and wrist were
treated. There were 18 males and 8 females with an average age of 27 years
(range, 12-45 years). The locations were the right sides in 15 cases and the left
sides in 11 cases. The injury reason included hot water scald in 7 cases,
explosion hurt in 5 cases, traffic accident in 3 cases, hot pressing in 5 cases,
and flame burns in 6 cases. The duration of scar contracture ranged from 6 to 26
months with an average of 11 months. According to the evaluation standard by GU
Yudong et al., all had severe contracture of the first web space, and concomitant
injuries included adduction deformity thumb, limitation of the thumb extension
and opposition function, and carpometacarpal flexion joint deformity. After scar
contracture was released, the defect size ranged from 5.8 cm x 4.5 cm to 11.3 cm
x 7.2 cm, which were repaired by the incorporating pedicled retrograde flap of
forearm of 6.5 cm x 5.0 cm to 12.5 cm x 8.0 cm at size. The donor sites were
directly sutured or repaired with skin graft. RESULTS: Blister and partial
necrosis occurred at the distal end of the flaps in 2 cases, which were cured
after dressing change. The other flaps survived and wounds healed by first
intention. Incisions at donor sites healed by first intention. Twenty-six
patients were followed up 6 to 24 months (mean, 15 months). The patients had
functional recovery in thumb adduction and opposition at different degrees. At 6
months after operation, according to the Swanson et al. AMA system for total
thumb activity, the total thumb function was improved significantly, and
according to Jensen et al. measurement, the width and angle of the first web
space were significantly increased, all showing significant differences (P <
0.05). CONCLUSION: Incorporating pedicled retrograde flap of forearm
transplantation for repairing severe contracture of the first web space and wrist
could augment the first web space and improve the wrist flexible function.
PMID- 22069982
TI - [An experimental study on effect of chitosan/polyvinyl alcohol nerve conduits on
peripheral nerve regeneration in macaques].
AB - OBJECTIVE: To investigate the effects of chitosan/polyvinyl alcohol (PVA) nerve
conduits for repairing radial nerve defect in Macaques. METHODS: Twelve adult
Macaques weighing 3.26-5.35 kg were made the models of radial nerve defect (2 cm
in length) and were randomly divided into 3 groups according to nerve grafting,
with 4 Macaques in each group. Chitosan/PVA nerve conduit, non-graft, and
autografts were implanted in the defects in groups A, B, and C, respectively. And
the right radial nerves were used as normal control. At 8 months postoperatively,
the general observation, electrophysiological methods, and histological
examination were performed. RESULTS: At 8 months postoperatively, the regenerated
nerve bridged the radial nerve defect in group A, but no obvious adhesion was
observed between the tube and the peripheral tissue. The regenerated nerve had
not bridged the sciatic nerve defect in group B. The adhesions between the
implanted nerve and the peripheral tissue were significant in group C. Compound
muscle action potentials (CMAP) were detected in group A and group C, and no CMAP
in group B. Peak amplitude showed a significantly higher value in normal control
than in groups A and C (P < 0.05), but there was no significant difference
between groups A and C (P > 0.05). Nerve conduction velocity and latency were
better in normal control than in groups A and C, and in group C than in group A,
all showing significant differences (P < 0.05). The density of myelinated fibers
in groups A and C was significantly lower than that in normal control (P < 0.05),
but there was no significant difference between groups A and C (P > 0.05). The
diameter and the myelin sheath thickness of the myelinated fibers in normal
control were significantly higher than those in groups A and C, and in group C
than in group A, all showing significant differences (P < 0.05). CONCLUSION: The
chitosan/PVA nerve conduits can promote the peripheral nerve regeneration, and
may promise alternative to nerve autograft for repairing peripheral nerve
defects.
PMID- 22069983
TI - [A experimental study on transfecting human stromal cell-derived factor 1alpha
and human vascular endothelial growth factor 165 genes into myoblasts in vitro].
AB - OBJECTIVE: To explore the human stromal cell-derived factor 1alpha (hSDF-1alpha)
and human vascular endothelial growth factor 165 (hVEGF165) mRNA expressions of
the transfected cells after hSDF-1alpha gene and hVEGF165 gene were transfected
into rat myoblasts in vitro so as to lay a foundation for further study on the
synergistic effects of 2 genes on tissue engineered skeletal muscle
vascularization. METHODS: The myoblasts of 1-day-old Sprague Dawley rats were
cultured and purified by trypsin digestion assay in vitro and were identified by
immunohistochemistry staining of Desmin. Approximately 70%-80% of confluent
myoblasts were transfected with enhanced green fluorescent protein (EGFP)-hSDF
1alpha and EGFP-hVEGF165 genes in vitro (transfected group) and were not
transfected (control group). The expressions of hSDF-1alpha and hVEGF165 mRNA and
protein in the transfected cells were detected by RT-PCR, ELISA, and Western blot
respectively. RESULTS: The cultured cells were identified as myoblasts by
immunohistochemistry staining of Desmin. The expression of green fluorescent
protein was observed in transfected cells, indicating that hSDF-1alpha and
hVEGF165 genes were transfected into myoblasts successfully. The mRNA and protein
expressions of the 2 genes were positive in the transfected group by RT-PCR and
Western bolt assay at 2, 4, 6, and 8 days after transfection, and were negative
in the control group. The expressions of hSDF-1alpha and hVEGF165 showed a stable
low level in the control group, but the expressions of the proteins increased at
2 days and then showed gradual downtrend with time in the transfected group by
ELISA assay. There were significant differences in the expressions of hSDF-1alpha
and hVEGF165 proteins between different time points in the transfected group, and
between 2 groups (P < 0.05). CONCLUSION: hSDF-1alpha and hVEGF165 genes are
successfully transfected into myoblasts in vitro, and mRNA and proteins of hSDF
1alpha and hVEGF165 can be expressed in the transfected myoblasts, which may
provide the experimental evidence for the expressions of hSDF-1alpha and hVEGF165
mRNA and proteins in vivo successfully.
PMID- 22069984
TI - [Effect of different number of bone marrow mesenchymal stem cells on growth of
rat dorsal root ganglia in vitro].
AB - OBJECTIVE: Bone marrow mesenchymal stem cells (BMSCs), as replacement cells of
Schwann cells, can increase the effect of peripheral nerve repair. However, it
has not yet reached any agreement to add the appropriate number of seeded cells
in nerve scaffold. To investigate the effect of different number of BMSCs on the
growth of rat dorsal root ganglia (DRG). METHODS: Three 4-week-old Sprague Dawley
(SD) rats (weighing 80-100 g) were selected to isolate BMSCs, which were cultured
in vitro. Three 1- to 2-day-old SD rats (weighing 4-6 g) were selected to prepare
DRG. BMSCs at passage 3 were used to prepare BMSCs-fibrin glue complex. According
to different number of BMSCs at passage 3 in fibrin glue, experiment was divided
into group A (1 x 10(3)), group B (1 x 10(4)), group C (1 x 10(5)), and group D
(0, blank control), and BMSCs were co-cultured with rat DRG. The axon length of
DRG, Schwann cell migration distance, and axon area index were quantitatively
evaluated by morphology, neurofilament 200, and Schwann cells S-100
immunofluorescence staining after cultured for 48 hours. RESULTS: Some long cell
processes formed in BMSCs at 48 hours; migration of Schwann cells and axons
growth from the DRG were observed, growing in every direction. BMSCs in fibrin
glue had the biological activity and could effect DRG growth. The axon length of
DRG and Schwann cell migration distance in groups A, B, and C were significantly
greater than those in group D (P < 0.05). The axon length of DRG and Schwann cell
migration distance in group C were significantly less than those in group B (P <
0.05), but there was no significant difference between group A and group C, and
between group A and group B (P > 0.05). The axon area index in groups A and B was
significantly greater than that in group D (P < 0.05), but there was no
significant difference between group C and group D (P > 0.05); there was no
significant difference in groups A, B, and C (P > 0.05). CONCLUSION: In vitro
study on DRG culture experiments is an ideal objective neural model of nerve
regeneration. The effect of different number of BMSCs in fibrin glue on the
growth of DRG has dose-effect relationship. It can provide a theoretical basis
for the appropriate choice of the BMSCs number for tissue engineered nerve.
PMID- 22069985
TI - [Effect of platelet lysate on chondrogenic differentiation of human umbilical
cord derived mesenchymal stem cells in vitro].
AB - OBJECTIVE: To study the effect of platelet lysate (PL) on chondrogenic
differentiation of human umbilical cord derived mesenchymal stem cells (hUCMSCs)
in vitro. METHODS: Umbilical cords were voluntarily donated by healthy mothers.
The hUCMSCs were isolated by collagenase digestion and cultured in vitro. The
surface markers of the cells were detected by flow cytometer. According to
different components of inductive medium, the cultured hUCMSCs were divided into
3 groups: group A [H-DMEM medium, 10% fetal bovine serum (FBS), and 10%PL]; group
B [H-DMEM medium, 10%FBS, 10 ng/mL transforming growth factor beta1 (TGF-beta1),
1 x 10(-7) mol/L dexamethasone, 50 microg/mL Vitamin C, and 1% insulin
transferrin-selenium (ITS)]; and group C (H-DMEM medium, 10%FBS, 10 ng/mL TGF
beta1, 1 x 10(-7) mol/L dexamethasone, 50 microg/mL vitamin C, 1%ITS, and 10%PL).
The hUCMSCs were induced in the mediums for 2 weeks. Toluidine blue staining was
used to detect the secretion of chondrocyte matrix. Immunofluorescence method was
used to identify the existence of collagen type II. The expressions of Aggrecan
and collagen type II were detected by semiquantitative RT-PCR. RESULTS: Flow
cytometer results showed that the hUCMSCs did not express the surface markers of
hematopoietic cell CD34, CD45, and human leukocyte antigen DR, but expressed the
surface markers of adhesion molecule and mesenchymal stem cells CD44, CD105, and
CD146. Toluidine blue staining and immunofluorescence showed positive results in
group C, weak positive results in group B, and negative results in group A.
Semiquantitative RT-PCR showed the expressions of Aggrecan and collagen type II
at mRNA level in groups B and C, but no expression in group A. The mRNA
expressions of Aggrecan and collagen type II were higher in group C than in group
B (P < 0.05). CONCLUSION: Only 10%PL can not induce differentiation of hUCMSCs
into chondrocytes, but it can be a supplement to the induced mediums. PL can
improve hUCMSCs differentiating into chondrocytes obviously in vitro. This study
provides new available conditions for constructing tissue engineered cartilage.
PMID- 22069986
TI - [A prospective clinical study on autologous periosteum wrapping tendon allograft
for anterior cruciate ligament reconstruction].
AB - OBJECTIVE: To study the effectiveness of anterior cruciate ligament (ACL)
reconstruction using autologous periosteum wrapping tendon allograft by comparing
with using simple tendon allograft. METHODS: Between March 2008 and November
2008, 68 patients with ACL injury were treated, who were in accordance with the
inclusion criteria. They were divided into 2 groups randomly according to
different treatment methods: ACL was reconstructed with autologous periosteum
wrapping tendon allograft in 31 patients (test group) and with simple tendon
allograft (control group) in 37 patients. There was no significant difference in
gender, age, disease duration, the cause of injury, and functional score
preoperatively between 2 groups (P > 0.05). Anatomic single-bundle ACL
reconstruction was performed in 2 groups. RESULTS: Little exudation at tibial
tunnel incision was found in 1 case respectively in both groups at 2 weeks after
operation and was cured by dressing change and antibiotics. The other incisions
healed by first intention. The patients were followed up 24-29 months (mean, 26
months) in the test group and 24-32 months (mean, 27 months) in the control
group. CT showed bone tunnel enlargement in both groups at 2 years after
operation, but the rate of the tunnel enlargement was less in the test group
(5/31, 16.1%) than in the control group (14/37, 37.8%), showing significant
difference (chi2 = 3.948, P = 0.047). At 2 years after operation, the results of
Lachman test and pivot shift test were negative in 23 cases (74.2%) and 25 cases
(80.6%) of the test group, and in 26 cases (70.3%) and 30 cases (81.1%) of the
control group, respectively. KT-1000 examination showed the displacement of the
test group [(1.74 +/- 0.88) mm] was less than that of the control group [(2.36 +/
0.83) mm], showing significant difference (t = -2.979, P = 0.004). There was no
significant difference in Lysholm score, Hospital for Special Surgery (HSS)
score, Tegner score, and International Knee Documentation Committee (IKDC) score
between 2 groups at 2 years after operation (P > 0.05). CONCLUSION: Compared with
simple tendon allograft, ACL reconstruction with autologous periosteum wrapping
tendon allograft can improve tendon-bone healing, and decrease the rate of bone
tunnel enlargement, so it has good short-term outcome.
PMID- 22069987
TI - [Research progress in immune of composite tissue allotransplantation].
AB - OBJECTIVE: To introduce the research progress in the immune of composite tissue
allotransplantation. METHODS: The related articles were reviewed to summarize the
immune characteristics, experimental developments, and clinical experiences of
composite tissue allotransplantation. Results Composite allogeneic tissue is on
the body surface, including the composition of the complex with high
antigenicity. There are a lot of differences in the immune responses between
composite tissue allotransplantation and organ transplantation, such as
immunosuppressant protocol, rejection diagnosis, and chronic rejection.
CONCLUSION: In the next study, it is urgently needed to learn these experiences
and to establish the special standard of composite tissue allotransplantation in
induction of immune tolerance, local medication, and rejection diagnosis.
PMID- 22069988
TI - [Research progress in repair and reconstruction of isolated traumatic radial head
dislocation with annular ligament injury in children].
AB - OBJECTIVE: To review the research progress in the repair and reconstruction of
isolated traumatic radial head dislocation with annular ligament injury in
children. METHODS: In recent years, the related literature concerning isolated
traumatic radial head dislocation with annular ligament injury in children was
reviewed. RESULTS: For isolated traumatic radial head dislocation with annular
ligament injury in children, the surgery should be chosen as the main treatment,
including open reduction and annular ligament reconstruction surgery. Triceps
aponeurosis is usually used as reconstruction materials of annular ligament,
mainly because the position of taking material of annular ligament is at the
operative incision with less surgery trauma and short operative time; aponeurosis
is tough and thick with rigid fixation and low risk of re-dislocation. Artificial
materials are paid attention to increasingly because they are easy to get, have
rigid fixation, and can avoid operative injury caused by taking material of
annular ligament. CONCLUSION: Currently active annular ligament reconstruction
surgery should be taken; triceps aponeurosis is widely adopted as reconstruction
materials of annular ligament and artificial materials have come to be a new
research trend.
PMID- 22069990
TI - Water uptake and solubility of Acroseal sealer in comparison with Apexit and AH
Plus sealers in Hank's solution.
AB - INTRODUCTION: The function of root canal fillings is to seal the root canal
system. The important physical property necessary for sealers to achieve this is
low solubility. However, any therapeutic effect of calcium hydroxide-based
sealers is dependent on the calcium hydroxide being in ionized form, which
implies that the material must be at least partly soluble. OBJECTIVE: The
objective of our study was to compare weight changes of Acroseal and Apexit,
conventional calcium hydroxide-based sealer and AH Plus, epoxy-amine resin sealer
in Hank's solution at different exposure times. METHODS: The standardized samples
of each material were weighed and immersed in the Hank's solution for 1 h, 24 h,
96 h, 14 days and 28 days. After these exposure times, they were removed, dried,
and weighed again. Mean weight changes were determined and the differences
between sealers were-analysed statistically using a one-way ANOVA. RESULTS: The
highest differences in mass were observed in Apexit, 1.52%, and were
significantly different from Acroseal, 0.93% (p<0.05) and AH Plus, 0.45%
(p<0.05). There were no significant differences between Acroseal and AH Plus,
except for 96 h period. CONCLUSION: Under the conditions of our study, it may be
concluded that the Acroseal sealer presented the behaviour more like epoxy-based
material, AH Plus, than calcium hydroxide sealer, Apexit.
PMID- 22069989
TI - Correlation between ocular pulse amplitude measured by dynamic contour tonometer
and colour Doppler flow imaging of the arteric retrobulbar vessels.
AB - INTRODUCTION: An altered perfusion of the optic nerve head has been proposed as a
pathogenic factor in glaucoma. OBJECTIVE: The aim of this study was to evaluate
the correlation between ocular pulse amplitude (OPA), measured by Dynamic contour
tonometer (DCT) and colour Doppler imaging (CDI) of the arteric retrobulbar
vessels. METHODS: Twenty patients older than 50 years were examined, and divided
into two equal groups. The first group comprised of patients with normal tension
glaucoma treated with topical antiglaucomatous therapy, and the second group
included patients with ocular hypertension and glaucoma suspicious without any
antiglaucomatous therapy. Ocular pulse amplitude (OPA) was measured with DCT. CDI
was also performed. We measured haemodynamic parameters of the internal carotid
artery (ICA), ophthalmic artery (OA), central retinal artery (CRA), and posterior
ciliary arteries (PCA). Peak systolic (PSV), end-diastolic (EDV) velocities were
measured, and resistance index (RI) and pulsatility index (PI) were calculated.
RESULTS: Correlation with OPA showed indirect servitude in the RI of the ICA, RI
and PI of the CRA, in the first group; and in the PSV and EDV of the ICA, in the
RI and PI of the OA, EDV and RI of the CRA, and RI of the PCA, in the second
group CONCLUSION: Increase of OPA was mostly followed by the increase of the
parameters (PSV, EDV, RI, and PI) of the arteric retrobulbar vessels in the first
group; in the second group, increase of OPA was in almost 50% of parameters
followed by their decrease.
PMID- 22069992
TI - [Long-term follow-up after catheter-ablation of atrioventricular junction and
pacemaker implantation in patients with uncontrolled atrial fibrillation and
heart failure].
AB - INTRODUCTION: Atrioventricular (AV) junction ablation coupled with pacemaker
implantation is an effective therapeutic option for rate control in atrial
fibrillation (AF) and heart failure (HF). However, there is controversy regarding
the long-term outcome of the procedure, since right ventricular stimulation can
lead to left ventricular remodelling and HF. OBJECTIVE: The aim of the study was
to determine a 5-year outcome of the procedure on survival, HF control and
myocardial function in patients with HF and uncontrolled AF. METHODS: All
patients with AF and HF who underwent AV-junction ablation with pacemaker
implantation in our institution were followed after the procedure. HF diagnosis
was established if > or = 2 of the following criteria were present: 1) ejection
fraction (EF) < or = 45%; 2) previous episode of congestive HF (CHF); 3) NYHA
class > or = 2; and 4) use of drug-therapy for HF. RESULTS: Study included 32
patients (25 males; 53.4 +/- 9.6 years). The mean heart rate was 121 +/- 25 bpm
before and 75 +/- 10 bpm after ablation (p=0.001). Over the follow-up of 5.0 +/-
4.0 years nine patients (28.1%) died (five died suddenly, three of terminal CHF
and one of stroke). After the procedure, CHF occurrence was reduced (p=0.001), as
well as the annual number of hospitalizations (p=0.001) and the number of drugs
for CHF (p=0.028). In addition, NYHA-class and EF were improved, from 3.3 +/- 0.7
to 1.6 +/- 0.8 (p<0.001) and from 39 +/- 11% to 51 +/- 10% (p<0.001),
respectively. CONCLUSION: In HF patients with uncontrolled AF, 5-year mortality
after AV-junction ablation and pacemaker implantation was 28%. In the majority of
these patients good rate of AF and HF control were achieved, as well as the
improvement of functional status and myocardial contractility.
PMID- 22069991
TI - [Correlation between retention force of experimental plates and viscosity of
experimental fluids].
AB - INTRODUCTION: Saliva viscosity plays a significant role in the biophysical
segment of the total retention potential of total dentures. OBJECTIVE: The aim of
the paper was to establish the dependence of dynamic retention force of
experimental plates on experimental fluid viscosity and especially time
dependence of these parameters, following at the same time relative changes of
the distance between the experimental plate and dentures support established by
the dislocation of the experimental plate in both directions. METHODS: For
experimental verification we used an original device with the aim to enable in
vivo simulation on the phantom made of the upper total denture prosthesis support
and experimental plate. The experiment consisted of two parts. In the first part
we determined the value of the dynamic retention force with plates without and
with achieved ventilation effect. In the second part we determined time
dependence of the dynamic retention force of experimental plates on the viscosity
of experimental fluids that had been priorly determined on identical samples (8
ml of experimental fluid samples) using a rotational viscometer (Haake RV-12)
with a sensor (MV, Germany). RESULTS: Under the conditions of variable viscosity
rates of seven experimental fluids (from 0.02 to 1309.04 mPa s), we registered
the time dependence of dynamic retention force of the experimental plate related
to fluid viscosity during the action of the continual dislocating force of the
separating directions. In addition, the maximal height of the dislocation of the
experimental plate was registered. The dynamic retention force, manifested by the
separating direction of the experimental plate dislocation, was increased
concurrently with increased viscosity. CONCLUSION: The increase of dynamic
retention force depends directly on medium viscosity. Close border values of
fluid viscosity above the investigated ones, the impossibility of experimental
layer thinning and the decrease of distance height probably influence the onset
of separating dislocation.
PMID- 22069993
TI - Asymptomatic cardiovascular manifestations in diabetes mellitus: left ventricular
diastolic dysfunction and silent myocardial ischemia.
AB - INTRODUCTION: Several cardiovascular manifestations in patients with diabetes may
be asymptomatic. Left ventricular diastolic dysfunction (LVDD) is considered to
be the earliest metabolic myocardial lesion in these patients, and can be
diagnosed with tissue Doppler echocardiography. Silent myocardial ischemia (SMI)
is a characteristic and frequently described form of ischemic heart disease in
patients with diabetes. Objective The aim of the study was to assess the
prevalence of LVDD and SMI in patients with type 2 diabetes, as well as to
compare demographic, clinical, and metabolic data among defined groups (patients
with LVDD, patients with SMI and patients with type 2 diabetes, without LVDD and
SMI). METHODS: We investigated 104 type 2 diabetic patients (mean age 55.4 +/-
9.1 years, 64.4% males) with normal blood pressure, prehypertension and arterial
hypertension stage I. Study design included basic laboratory assessment and
cardiological workup (transthoracic echocardiography and tissue Doppler, as well
as the exercise stress echocardiography). RESULTS: LVDD was diagnosed in twelve
patients (11.5%), while SMI was revealed in six patients (5.8%). Less patients
with LVDD were using metformin, in comparison to other two groups (chi2 =12.152;
p=0.002). Values of HDL cholesterol (F=4.515; p=0.013) and apolipoprotein A1
(F=5.128; p= 0.008) were significantly higher in patients with LVDD. CONCLUSION:
The study confirmed asymptomatic cardiovascular complications in 17.3% patients
with type 2 diabetes.
PMID- 22069994
TI - [Elements of metabolic control in children with type 1 diabetes before and after
introduction to insulin analogues].
AB - INTRODUCTION: Diabetes mellitus type 1 (T1DM) in children is characterized by
unstable course. A significant number of studies shows that introduction to
insulin analogues treatment aims towards better control of the disease.
OBJECTIVE: The assessment of metabolic control in children with T1DM that were
introduced to insulin analogue treatment after many years of treatment with
classic (human) insulin. METHODS: The study included 59 patients 2-19 years old
(12.9 +/- 3.8) with T1DM, transferred from treatment with human insulin to
insulin analogues treatment. Data were obtained directly from patients and their
parents, as well as from medical records. RESULTS: The introduction to insulin
analogues treatment, leads to a decrease in the value of glycolized haemoglobin
(HbA1c) after 6 months (9.27 +/- 1.68% vs 8.63 +/- 1:26%, p=0.06). Average daily
dose of insulin expressed per IU/kg of classic and insulin analogue (1.04 +/-
0.38 vs 1.03 +/- 0.30; p>0.05), remained almost the same. In 39 examinees
(66.1%), 6 months before the introduction to insulin analogue treatment, severe
hypoglicemia was registered and 6 months after the introduction to insulin
analogue treatment it appeared in only two examinees (3.4%) (p<0.001).
Ketoacidosis, 6 months before introduction to insulin analogues treatment,
appeared in 16 examinees (27.1%), while 6 months after it was not registered
(p<0.001). CONCLUSION: The use of insulin analogue treatment in childhood
provides adequate metabolic control and substantially reduces the risk of acute
complications (severe hypoglicemia, ketoacidosis).
PMID- 22069995
TI - [Effect of chromium enriched fermentation product of barley and brewer's yeast
and its combination with rosiglitazone on experimentally induced hyperglycaemia
in mice].
AB - INTRODUCTION: In the recent years, herbal preparations have been more used to
treat diabetes. Dietetic supplement based on barley and beer yeast enriched with
chromium (BBCr) is registered in Serbia as a supplement in the treatment of type
2 diabetes. OBJECTIVE: To investigate the effect of the preparation based on
barley and brewer's yeast with chromium (BBCr), rosiglitazone (R) and their
combination (BBCr+R) on fasting glycaemia and glycaemia in mice after glucose,
adrenalin and alloxan application. METHODS: The animals were divided into three
groups: glucose 500 mg/kg (I); adrenalin 0.2 mg/kg (II); and alloxan 100 mg/kg
(III) and into subgroups according to the substance they received (BBCr: 750
mg/kg, R: 0.75 mg/kg and BBCr+R). Each animal was its own control in respect of
glycaemia before and after the treatment with test substances, except for group
III which contained a placebo subgroup. RESULTS: BBCr caused a significant
decrease of fasting glycaemia and significant reduction of glycaemia after
glucose load compared to the values before treatment (7.4 +/- 0.6 mmol/l vs 9.2
+/- 0.6 mmol/l; p=0.01). R and BBCr+R significantly decreased glycaemia after
adrenalin load (R: 8.6 +/- 1.8 mmol/l vs 15.4 +/- 3.2 mmol/l; p=0.004; BBCr+R:
9.6 +/- 2.4 mmol/l vs 15.0 +/- 4.4 mmol/l; p=0.04). After alloxan application the
glycaemia was significantly lower in the subgroups treated with BBCr, R and
BBCr+R compared to placebo subgroup (10.1 +/- 8.0 mmol/l vs 6.8 +/- 2.7 mmol/l vs
13.5 +/- 9.7 mmol/l vs 24.5 +/- 4.7 mmol/l; p=0.001). CONCLUSION: Pretreatment
with BBCr caused a significant reduction of fasting glycaemia and glycaemia after
glucose load. Rosiglitazone and BBCr+R caused a significant reduction of
glycaemia after adrenalin load. Pretreatment with BBCr, R and BBCr+R prevented
the onset of experimental diabetes caused by alloxan, which was confirmed by
histological analysis of pancreas tissue.
PMID- 22069996
TI - Histological types and age distribution of lung cancer operated patients over a
20-year period: a pathohistological based study.
AB - INTRODUCTION: Lung cancer is the leading cause of death from malignancy in
Serbia. OBJECTIVE: This is a retrospective analysis of lung cancer
epidemiological changes regarding to its histological type and patients' age of
both genders. Data were based on surgically treated lung cancer patients from
1985 to 2005. METHODS: Data were collected from 972 pathohistological reports of
operated patients of both genders divided into age groups. Histological types of
lung cancer were distributed in four major groups: squamous cell cancer (SCC),
adenocarcinoma (AC), small cell cancer (SCLC) and other rare histological types.
Both genders together and separately were analysed. Chi-square with the level of
significance p<0.05 and chi-square test for trends were used as statistical
methods. RESULTS: SCC predominated in both genders; in 44.7% females and 68.0%
males. AC was less frequently diagnosed (21.8%) than SCC (64.0%) in both genders
and all age groups. The most frequently operated patients were aged between 51
and 60 years (36.6%) with SCC and AC predominance. Three patients with SCLC were
operated in 61-70 age-group. In age-group up to 30 years, three (0.5%) patients
were operated on for SCC and other rare lung tumours, respectively. Predominance
of other rare lung tumours was established in 51-60 age-group, 25% of patients of
both genders. CONCLUSION: SCC is the most frequent histological type of lung
cancer found in all age groups and in both genders of surgically treated
patients.
PMID- 22069997
TI - [Well differentiated endocrine carcinomas of the pancreas].
AB - INTRODUCTION: For the difference from poorly differentiated, well differentiated
endocrine carcinomas of the pancreas are the tumours in whom with aggressive
surgery and chemotherapy fair results can be achieved. OBJECTIVE: The aim of the
study was to point out the importance of such treatment. METHODS: Over a 6-year
period eight patients (seven female and one male) of average age 51 years
(ranging from 23 to 71 years) were operated on for well differentiated endocrine
carcinoma: six of the head and two of the tail of the pancreas. There were two
functional and six nonfunctional tumours. Pain in the upper part of the abdomen
in seven, mild loss in weight in two, strong heartburn in two, obstructive
jaundice in three, diarrhoea in one, sudden massive bleeding from gastric
varicosities due to prehepatic portal hypertension caused by pancreatic head
tumour in one, and bruise in one patient were registered preoperatively. US and
CT in all, angiography in one, octreoscan in two and PET scan in one patient were
performed. Whipple's procedure was performed in six and distal pancreatectomy in
two patients, as well as systemic lymphadenectomy in all and excision of liver
secondary tumours in two patients. In the patient with massive gastric bleeding a
total gastrectomy was performed first, followed by Whipple's procedure a month
later. RESULTS: R0 resection was achieved in all patients. Lymph nodes metastases
were found in six patients. Six patients were given chemotherapy. One patient
died 3 years after surgery, seven are still alive, on average 2.5 years. A local
recurrence after distal pancreatectomy that occurred 5 years after surgery was
successfully reresected and the patient is on peptide-receptor radiotherapy. In
other six patients there were no local recurence or distant metastases.
CONCLUSION: With aggressive surgery and chemotherapy fair results can be achieved
in well differentiated endocrine carcinomas of the pancreas.
PMID- 22069998
TI - [Urethral reconstruction in severe hypospadias using buccal mucosa graft and
penile skin flap].
AB - INTRODUCTION: Hypospadias represents the most frequent penile anomaly. The most
challenging part of hypospadias surgery is urethral reconstruction. Many various
tissues are used (local skin flaps, bladder mucosa grafts, buccal mucosa grafts
etc.) for the reconstruction of the neourethra. OBJECTIVE: Our aim was to
evaluate advantages and disadvantages of combined buccal mucosa graft and penile
skin flap in urethral reconstruction in severe hypospadias repair. METHODS:
Between December 2005 and August 2009, 48 patients with severe hypospadias, aged
from nine months to 12 years (mean age 23 months) underwent surgery. Thirty-four
(71%) had penoscrotal and 14 (29%) scrotal hypospadias. Ventral penile curvature
was present in all cases, and was corrected by incision of the short urethral
plate. Urethroplasty was performed a buccal mucosa graft combined with dorsal
penile skin flap. RESULTS: Mean follow-up was 22 months (range from 9 months to 3
years). Satisfactory functional and aesthetic results were achieved in 40 (83%)
patients. Fistula was noted in six cases and was solved by minor revision.
Urethral stenosis in two cases was resolved by simple dilatation. CONCLUSION:
Urethral reconstruction using the buccal mucosa graft and dorsal penile skin flap
in severe hypospadias repair could be the method of choice. Using this technique,
single stage urethral reconstruction is possible with satisfactory esthetical and
functional results.
PMID- 22069999
TI - [Physical therapy in the treatment of stress urinary incontinence].
AB - INTRODUCTION: Stress urinary incontinence (SUI) is an involuntary release of
urine through the urethra during the increase of abdominal pressure in the
absence of m. detrusor contraction. The exercise of pelvic floor muscles is
recommended as the first line of cure. It is the least invasive and the only
method without any undesirable side effects, which leads to either improvement or
complete cure of SUI in 80-85% of cases. OBJECTIVE: The aim of this study was to
establish whether the strengthening of pelvic floor muscles using proprioceptive
neural facilitation (PNF) spiral dynamic technique was more efficient in
comparison to classical Kegel exercise. METHODS: The research was carried out at
the Centre for Physical Medicine and Rehabilitation, Clinical Centre Kragujevac.
Sixty-six female patients with the symptoms of SUI were monitored in the period
of two years. Thirty-four patients did pelvic floor muscle exercises twice a day,
in the morning and in the evening, with 15-20 contractions. Thirty-two patients
used PNF spiral dynamic technique for strengthening pelvic floor muscles. The
patients who used the spiral dynamic technique also did some exercises from the
program; they exercised twice a day, in the morning and in the evening, following
the prescribed schedule. Treatment outcome was assessed by measuring the pelvic
floor muscles by a vaginal dynamometer. RESULTS: The values of the pelvic floor
muscle force that were measured using the vaginal dynamometer in both examined
groups (PNF spiral dynamic technique or Kegel exercise) were statistically
significantly higher after the implemented exercise program (t-test; p=0.000). No
statistically significant difference in pelvic floor muscle values was found
between the patients who applied PNF spiral dynamic technique and those who did
Kegel exercise either before or after the exercise (two-factor analysis of
variance with repeated measurements, factor of exercise type; p=0.899).
CONCLUSION: Strengthening of pelvic floor muscles by exercises results in a
significant increase of pelvic floor muscle strength and reduction of SUI
symptoms, regardless of the used exercise program, PNF spiral dynamic technique
or Kegel exercise program.
PMID- 22070000
TI - [Influence of depression on the quality of life in patients with chronic
hepatitis C].
AB - INTRODUCTION: Chronic hepatitis C reduces the quality of life in patients causing
fatigue, loss of self-confidence, reduced working capacity, development of
depression, emotional problems, and cognitive dysfunction. OBJECTIVE: The aim of
the study was to identify the presence of depression in patients with chronic
hepatitis C, predicting factors for its expression, and the impact of depression
on the quality of life in these patients. METHODS: During the prospective study,
we used the Hamilton depression scale to investigate the presence of depression,
generic 36-Item Short Form Health Survey (SF-36) and Chronic Liver Diseases
Questionnaire (CLDQ) to examine the quality of life in 100 patients with chronic
hepatitis C, 30 patients with chronic hepatitis B, 30 patients with chronic liver
disease nonviral aetiology and 50 healthy persons. RESULTS: A significantly
higher presence of depression, and cognitive dysfunction in patients with chronic
hepatitis C were noted as compared to the healthy individuals (p=0.00). In
relation to non-viral patients with chronic liver disease, depression was
significantly less present (p=0.004). Depression was rare in younger patients.
The largest number of patients with chronic hepatitis C was without depression.
The presence of depression caused deterioration of the physical and mental
components of the quality of life. Multivariate analysis showed that the most
significant positive predictive factor for the presence of depression was married
life (B=0.278; SE=0.094; p=0.004). CONCLUSION: The presence of depression was
more often in patients with chronic hepatitis C viral infection compared to
healthy population and was correlated with decline in the quality of life.
Depression is more pronounced in the elderly and intravenous drug addicts. The
lowest depression is expected in patients who are not married.
PMID- 22070001
TI - [Influence of air pollution on birth weight].
AB - INTRODUCTION: Epidemiological studies point out that exposure to air pollution
during pregnancy is a risk for low birth weight. OBJECTIVE: The aim of this study
was to evaluate the effects of outdoor and indoor air pollution on the occurrence
of low birth weight. METHODS: The measurement of outdoor air pollutants, sulphur
dioxide and black smoke was carried out daily at the Institute for Public
Healthcare of Nis at two measuring locations, in Nis and Niska Banja during 2003.
Subjects were 367 pregnant women, nonsmokers and who were not profesionally
exposed to air pollution. Data on exposure to source of indoor air pollution
(passive smoking and mode of heating) was determined on the basis of a
questionnaire. Data on the characterisitics of newborns were taken from the
register of Obstetrics and Gyanecology Clinic of Nis. RESULTS: We determined that
exposure of pregnant women to outdoor air pollution and wood heating systems had
influence on the occurence of low birth weight. Exposure to passive smoking had
no influence on neonatal low birth weight. CONCLUSION: Exposure of pregnant women
to outdoor and indoor air pollutants can have negative influence on the
occurrence of low birth weight.
PMID- 22070002
TI - [Syringomyelia in demyelinating disease of the central nervous system: report of
two cases].
AB - INTRODUCTION: Syringomyelia is a cavitary extension inside the spinal cord which
can be either symptomatic or congenitally-idiopathic. Syringomyelia during the
course of the disease in patients presenting with clinically definite multiple
sclerosis was described earlier. Syringomyelia in patients presenting with a
clinically isolated syndrome suggestive of multiple sclerosis is unusual. CASE
OUTLINE: We present two patients presenting with demyelinating disease of the
central nervous system with syringomyelia in the cervical and thoracic spinal
cord. We did not find classical clinical signs of syringomyelia in our patients,
but we disclosed syringomyelia incidentally during magnetic resonance
exploration. Magnetic resonance exploration using the gadolinium contrast
revealed the signs of active demyelinating lesions in the spinal cord in one
patient but not in the other. CONCLUSION: Syringomyelia in demyelinating disease
of the central nervous system opens the question whether it is a coincidental
finding or a part of clinical features of the disease. Differentiation of the
significance of syringomyelia finding in these patients plays a role in the
choice of treatment concept in such patients.
PMID- 22070003
TI - Identical twins with "mirror image" anisometropia and esotropia.
AB - INTRODUCTION: Identical twins account for 0.2% of the world population and 8% of
all twins. A "mirror image" variation can be found in 25% of identical twins.
Studies of twins assume a special place in human genetics due to the possibility
of comparing genetic and other factors. We present two pairs of identical male
twins with mirror-image astigmatism and esotropia. CASE OUTLINE: The first was a
pair of twelve-year old identical twins with "mirror image" myopic astigmatism.
The Twin 1 had myopic astigmatism in the right eye, while the Twin 2 was affected
by the left eye myopic astigmatism. The second was a pair of six-year old
identical twins with esotropia and hypermetropic astigmatism. The Twin 1 had
esotropia in the left eye, while the right eye was affected in the Twin 2.
Esotropia was surgically corrected. CONCLUSION: In this study we pointed to the
role of genetic factors in the development of refractive error, as well as the
type of strabismus. Refraction anomalies (myopia, hypermetropia and astigmatism)
are complex heterogeneous disorders and ideal for genetic investigation. The
knowledge of genetic mechanisms involved in refractive error susceptibility may
allow treatment to prevent progression or to further examine gene-environment
interactions. We hope that this paper will initiate further investigation of
refraction anomalies in twins and future multicentre studies, which, to our
knowledge, have not been conducted in our country so far.
PMID- 22070004
TI - [Right-sided aortic arch with anomalous origin of the left subclavian artery:
case report].
AB - INTRODUCTION: A right-sided aortic arch is a rare congenital defect of the aorta
with incidence of 0.05% to 0.1% reported in published series. Usually it is
associated with congenital heart anomalies and esophageal and tracheal
compression symptoms. We present a case of a right-sided aortic arch of anomalous
left subclavian artery origin, accidentally revealed during multislice CT (MSCT)
supraaortic branches angiography. CASE OUTLINE: A 53-year-old female patient was
examined at the Outpatients' Unit of the Vascular Surgery University Clinic for
vertigo, occasional dizziness and difficulty with swallowing. Physical
examination revealed a murmur of the left supraclavicular space, with 15 mmHg
lower rate of arterial tension on the left arm. Ultrasound of carotid arteries
revealed 60% stenosis of the left subclavian artery and bilateral internal
carotid artery elongation. MSCT angiography revealed a right-sided aortic arch
with aberrant separation of the left subclavian artery that was narrowed 50%,
while internal carotid arteries were marginally elongated. There was no need for
surgical treatment or percutaneous interventions, so that conservative treatment
was indicated. CONCLUSION: A right-sided aortic arch is a very rare anomaly of
the location and branching of the aorta. Multislice CT angiography is of great
importance in the diagnostics of this rare disease.
PMID- 22070005
TI - Successful retrieval of an unexpanded coronary stent from the left main coronary
artery during primary percutaneous coronary intervention.
AB - INTRODUCTION: Dislodgement and embolization of the new generation of coronary
stents before their deployment are rare but could constitute a very serious
complication. CASE OUTLINE: We report a case of a stent dislodgement into the
left main coronary artery during the primary coronary intervention of infarct
related left circumflex artery in a patient with acute myocardial infarction. The
dislodged and unexpanded bare-metal stent FlexMaster 3.0x19 mm (Abbot Vascular)
was stranded and bended in the left main coronary artery (LMCA), probably by the
tip of the guiding catheter, but stayed over the guidewire. It was successfully
retrieved using a low-profile Ryujin 1.25x15 balloon catheter (Terumo) that was
passed through the stent, inflated and then pulled back into the guiding
catheter. After that, the whole system was withdrawn through the 6 F arterial
sheath via the transfemoral approach. After repeated cannulation via the 6F
arterial sheath, additional BMW and ATW guidewires were introduced into the
posterolateral and obtuse marginal branches and a bare-metal stent Driver
(Medtronic Cardiovascular Inc) 3.0x18 mm was implanted in the target lesion.
CONCLUSION: Stent dislodgement is a rare but potentially life-threatening
complication of the percutaneous coronary intervention. This incident occurring
in the LMCA in particular during an acute myocardial infarction requires to be
urgently resolved. The avoidance of rough manipulation with the guiding catheter
and delivery system may help in preventing this kind of complications.
PMID- 22070006
TI - Ileocolic intussusception as a presenting sign of primary lymphoma of the colon.
AB - INTRODUCTION: Intussusception is a rare phenomenon in adults. It is caused mainly
by malignant neoplasm. Primary lymphoma of the colon is a rare malignancy of the
large intestine. The association of intussusception in adult and primary
colorectal lymphoma is a diagnostic challenge, since they occur with a variety of
atypical symptoms. CASE OUTLINE: We report a case of ileocolic intussusception in
a 26-year-old man induced by primary lymphoma of the cecum. He was admitted to
our hospital for incomplete intestinal obstruction. After thorough diagnostic
work-up (plain abdominal radiography, abdominal ultrasonography, multi-slice
computerized tomography, colonoscopy with biopsy), the patient underwent surgery.
Intraoperative findings confirmed lymphoma as the cause of intussusception. The
right hemicolectomy was carried out with end-to-side ileo-transverse anastomosis.
CONCLUSION: Primary colorectal lymphomas should be considered in differential
diagnosis of intussusceptions in adults. The treatment of choice is a radical
resection where all oncological standards must be fulfilled.
PMID- 22070007
TI - Pseudo-Bartter syndrome in an infant with congenital chloride diarrhoea.
AB - INTRODUCTION: Pseudo-Bartter syndrome encompasses a heterogenous group of
disorders similar to Bartter syndrome. We are presenting an infant with pseudo
Bartter syndrome caused by congenital chloride diarrhoea. CASE OUTLINE: A male
newborn born in the 37th gestational week (GW) to young healthy and non
consanguineous parents. In the 35th GW a polyhydramnios with bowel dilatation was
verified by ultrasonography. After birth he manifested several episodes of
hyponatremic dehydration with hypochloraemia, hypokalaemia and metabolic
alkalosis, so as Bartter syndrome was suspected treatment with indomethacin,
spironolactone and additional intake of NaCl was initiated. However, this therapy
gave no results, so that at age six months he was rehospitalized under the
features of persistent watery diarrhoea, vomiting, dehydration and acute renal
failure (serum creatinine 123 micromol/L). The laboratory results showed
hyponatraemia (123 mmol/L), hypokalaemia (3.1 mmol/L), severe hypochloraemia (43
mmol/L), alcalosis (blood pH 7.64, bicarbonate 50.6 mmol/L), high plasma renin
(20.6 ng/ml) and aldosterone (232.9 ng/ml), but a low urinary chloride
concentration (2.1 mmol/L). Based on these findings, as well as the stool
chloride concentration of 110 mmol/L, the patient was diagnosed congenital
chloride diarrhoea. In further course, the patient was treated by intensive
fluid, sodium and potassium supplementation which resulted in the normalization
of serum electrolytes, renal function, as well as his mental and physical
development during 10 months of follow-up. CONCLUSION: Persistent watery
diarrhoea with a high concentration of chloride in stool is the key finding in
the differentiation of congenital chloride diarrhoea from Bartter syndrome. The
treatment of congenital chloride diarrhoea consists primarily of adequate water
and electrolytes replacement.
PMID- 22070008
TI - [DiGeorge syndrome: a case report].
AB - INTRODUCTION: DiGeorge syndrome is a genetic disorder caused by deletion of
chromosome 22. The main features are congenital heart disease, absence or
hypoplasia ofthymus (with consecutive immunodeficiency and infections),
hypoparathyroidism with consecutive hypocalcaemia, gastrointestinal problems,
Delayed psychomotor development, abnormalities of head and face, tendency to
develop seizures and psychiatric disorders. Syndrome can be detected prenatally,
or during early development, which is of great importance for preventive and
therapeutic measures. Death rate is high during the first year of life, mostly
because of congenital heart disease. With prompt diagnosis and treatment most of
the children can survive to adulthood, but they are children with special needs
requiring continual care and supervision (because of metal retardation, seizures,
neurological and psychiatric disorders). CASE OUTLINE: A seven-year-old boy
underwent surgical correction of congenital heart disease soon after the birth.
Since the age of four years he developed seizures, partially controlled by
antiepileptic therapy. Entering the seventh year of age he displayed severe auto
and heteroaggressive behaviour. His condition has improved by the introduction of
intensive psychiatric and defectological treatment, and daily counselling with
his mother the child improved in the sense of calming down, better social
communication and acquiring some self-help specific skills. CONCLUSION: Symptoms
of DiGeorge syndrome can be detected soon afterthe birth, especially that
concerning congenital hearth disease. A prompt diagnosis and surgical
intervention can save the child's life. Because of many other symptoms, many
diagnostic procedures focused on this syndrome are to be performed, followed by
long lasting stimulative treatment and treatment of seizures and psychiatric
disorders.
PMID- 22070009
TI - [Complications of mechanical ventilation].
AB - Mechanical ventilation of the lungs, as an important therapeutic measure, cannot
be avoided in critically ill patients. However, when machines take over some of
vital functions there is always a risk of complications and accidents.
Complications associated with mechanical ventilation can be divided into:1)
airway-associated complications; 2) complications in the response of patients to
mechanical ventilation; and 3) complications related to the patient's response to
the device for mechanical ventilation. Complications of artificial airway may be
related to intubation and extubation or the endotracheal tube. Complications of
mechanical ventilation, which arise because of the patient's response to
mechanical ventilation, may primarily cause significant side effects to the
lungs. During the last two decades it was concluded that mechanical ventilation
can worsen or cause acute lung injury. Mechanical ventilation may increase the
alveolar/capillary permeability by overdistension of the lungs (volutrauma), it
can exacerbate lung damage due to the recruitment/derecruitment of collapsed
alveoli (atelectrauma) and may cause subtle damages due to the activation of
inflammatory processes (biotrauma). Complications caused by mechanical
ventilation, beside those involving the lungs, can also have significant effects
on other organs and organic systems, and can be a significant factor contributing
to the increase of morbidity and mortality in critically ill of mechanically
ventilated patients. Complications are fortunately rare and do not occur in every
patient, but due to their seriousness and severity they require extensive
knowledge, experience and responsibility by healthcare workers.
PMID- 22070010
TI - Histopathology of urinary bladder carcinoma: less common variants.
AB - Bladder cancer is a common form of neoplasia which most often presents
histologically as urothelial (transitional cell) carcinoma. In this article we
review recent publications dealing with the less common variants of urothelial
carcinoma such as tumours that show unusual forms of differentiation or the well
know squamous, glandular, or sarcomatoid differentiation. Urothelial tumours may
also show several distinct growth variants characterized by a nested,
micropapillary, lymphoepithelioma-like, or plasmacytoid and giant cell growth
pattern.The clinical course of bladder cancer varies depending on the
histological type of neoplasia, grade and stage of the tumour. High-grade muscle
invasive urothelial cancers and tumours showing variant microscopic morphology
have in general high mortality and poor prognosis.
PMID- 22070011
TI - [The history of the first pharmacy of Leskovac region].
AB - This paper explains the social and healthcare related conditions in the Leskovac
region during 18th and 19th century, and the foundation of the first pharmacy in
Serbia. Based on the available historical facts and private documents, the
history of the origin and activities of the first pharmacy in the Leskovac region
during the Turkish reign in 1862 is presented.The paper also mentions the
founder, Dr. Alexiadis (Greek by origin), who was a doctor working in
pharmaceutical industry, as well as his son Mgr.Ph. Djordje Aleksic, born in 1847
in Ioannina (Greece) and graduated from the Pharamacy Faculty in Constantinople
in 1875, who later became the owner. After graduation, Mgr.Ph. Djordje Aleksic
came in Leskovac and took over the "Hipokrat" pharmacy from his father, and
started pharmacy business in Leskovac in the true meaning of that word.
PMID- 22070012
TI - United States life tables, 2007.
AB - OBJECTIVES: This report presents complete period life tables by race, Hispanic
origin, and sex for the United States based on age-specific death rates in 2007.
METHODS: Data used to prepare the 2007 life tables are 2007 final mortality
statistics, July 1, 2007, population estimates based on the 2000 decennial
census, and 2007 Medicare data for ages 66-100. The methods used to estimate the
life tables for the total, white, and black populations were first used in annual
life tables in 2005 and have been in use since that time (1). The methods used to
estimate the life tables for the Hispanic, non-Hispanic white, and non-Hispanic
black populations were first used to estimate U.S. life tables by Hispanic origin
for data year 2006 (2). RESULTS: In 2007, the overall expectation of life at
birth was 77.9 years, representing an increase of 0.2 years from life expectancy
in 2006. From 2006 to 2007, life expectancy at birth increased for all groups
considered. It increased for males (from 75.1 to 75.4) and females (from 80.2 to
80.4), the white (from 78.2 to 78.4) and black (from 73.2 to 73.6) populations,
the Hispanic population (from 80.6 to 80.9), the non-Hispanic white population
(from 78.1 to 78.2), and the non-Hispanic black population (from 72.9 to 73.2).
PMID- 22070013
TI - [Hsp90--a target for anticancer therapy].
AB - Molecular chaperones help other proteins to achieve and maintain their proper
conformation. Chaperones bind to newly synthesized or unfolded polypeptide
chains, actively modify their conformation and participate on their transport or
degradation. Chaperones play an important role in cancer cell, where their
increased activity enables stabilization of many mutant proteins and overcoming
the stress generated by genetic instability. Hsp90 represents a key chaperone in
cancer cells. Growth factor receptors, steroid hormone receptors and signal
proctor teins are among its substrates, so-called client proteins; many of them
being targets for anti-cancer therapy. Adverse conditions of the tumor
microenvironment, such as hypoxia and nutrient deficiency, contribute to
destabilization of proteins and further escalate dependence on chaperones. This
is why molecular chaperones, in particular Hsp90, may represent a promising
target for anticancer therapy. Importantly also, tumour-based Hsp90 has a
significantly higher sensitivity to inhibitors than that in normal cells, and
Hsp90 activity inhibition in tumours leads to a suppression of cellular signaling
in many different oncogenic pathways. Several inhibitors of Hsp90 are currently
undergoing clinical evaluation and new agents with different mechanisms of action
are continually being identified.
PMID- 22070014
TI - [Neoadjuvant chemoradiotherapy of rectal carcinoma with bevacizumab].
AB - Concomitant chemoradiotherapy and radical surgery are standard methods of
treatment in patients with locally advanced rectal carcinoma. Neoadjuvant
chemoradiotherapy with 5-fluorouracil or capecitabine is considered to be an
optimal treatment approach. Clinical studies attempt to facilitate treatment
response to neoadjuvant chemoradiotherapy by adding targeted biological therapy.
Anti-angiogenic effect of bevacizumab may potentiate radiosenzitivity. We present
an overview of standard methods of treatment of rectal carcinoma and a summary of
published results of neoadjuvant chemoradiotherapy with bevacizumab in the
treatment of rectal carcinoma. At present, this combination is not considered to
be a standard procedure of neoadjuvant treatment in the Czech Republic. However,
based on the results of forthcoming clinical studies, wider use of this
concomitant chemobioradiotherapy in clinical practice can be expected, especially
in the treatment of locally advanced stages of rectal carcinoma.
PMID- 22070015
TI - [What patients need to know before their breast cancer surgery].
AB - BACKGROUNDS: The quality of health care depends not only on physicians' medical
skills but also on proper patient education. The aim of the study was to
ascertain the types of information required by women before they start their
breast cancer treatment. PATIENTS AND METHODS: Before a surgery, 254 patients
with early breast cancer treated primarily by surgery obtained an originally
developed questionnaire containing information topics assumed to be important.
The patients were asked to indicate the information they had been provided and
the information they required. RESULTS: The majority of patients (more than 70%)
knew that their disease was malignant, that they needed the surgery and what
would be the extent of the resection of their breast. Markedly less patients
(47.1%) knew about the planned extent of their regional lymph nodes surgery. More
than 80% patients required information about their long-term expectations, such
as the overall length of cancer treatment, length and extent of their anticipated
physical impairment and their chances for cure. The majority of younger women
(76.2%) recommended for total mastectomy required information about breast
reconstruction options. A substantial proportion of older women (about 60%) were
interested to learn about an anticipated cosmetic outcome and possibilities for
correction of their potential defect. CONCLUSION: Multimodal breast cancer
treatment is generally managed by clinical oncologists. However, a surgeon should
be enabled to discuss with the patient their cancer treatment, what it involves
and how it will affect the patient's future life. It is advisable to clarify the
extent of the surgery on regional lymph nodes and to engage in discussions on
cosmetic outcomes of the surgery. With respect to a proper patient education,
breast cancer patients should be treated by surgeons specialized in the treatment
of this disease.
PMID- 22070016
TI - [Neuroendoscopic biopsy of a brain tumor].
AB - BACKGROUNDS: Neuroendoscopic biopsy is one of the techniques that can be used for
histological verification of a suspected brain tumor. The use of neuroendoscopy
is particularly useful for cystic tumors and para- and intraventricular brain
tumors that are risky for stereotactic biopsy. The technique of navigated
neuroendoscopy enables biopsy sampling under visual control, haemostasis of
biopsy site and treatment of cerebrospinal fluid pathways obstruction. PATIENTS
AND METHODS: Neuroendoscopic technique was used for biopsy in one patient with a
solid brain tumor. 23 patients (12 males, mean age 49.7 years, range 21-75 years
and 11 females, mean age 59.1 years, range 22-76 years) with a suspected cystic
brain tumor underwent neuroendoscopic biopsy. Suspected intra- or paraventricular
brain tumor presented indication for neuroendoscopic biopsy in 36 patients (20
males, mean age 43.9 years, range 6-80 years and 16 females, mean age 46.2 years,
range 11-78 years). RESULTS: High grade glioma was most frequently diagnosed in
patients with cystic brain tumors, followed by low grade gliomas and metastatic
tumors. Diagnostic sample was obtained from all patients. Tumor resection was
performed in 7 patients with a cystic tumor after neuroendoscopic biopsy and
histological findings were identical in 70.1% of them. Similarly, high grade
glioma was most frequently diagnosed in patients with intra or paraventricular
tumors, followed by tumors originating from pineal region tissues. Diagnostic
sample was obtained from 94.3% of patients. Tumor resection was performed in 5
patients after neuroendoscopic biopsy and histological findings of the resected
tissue was identical with neuroendoscopic biopsy in 4 of them (80%). CONCLUSIONS:
Neuroendoscopy is a safe biopsy technique for a subset of patients who are high
risk for the use of stereotactic biopsy, with comparable results. Neuroendoscopy
also provides for cerebrospinal fluid circulation obstruction treatment. The use
of neuronavigation or stereotactic planning is particularly useful for the
planning of an optimal surgical approach, helps to maintain anatomical
orientation in distorted anatomy and facilitates haemostasis in case of
intraoperative bleeding.
PMID- 22070018
TI - [Adaptive IG-IMRT for prostate cancer].
AB - BACKGROUNDS: Adaptive image-guided intensity-modulated radiation therapy (IG
IMRT) is a perspective method for the treatment of localized prostate cancer.
Validate optimal protocols for IG-IMRT using kilovoltage cone-beam CT (CBCT) are
required. PATIENTS AND METHODS: Seventy-six patients with prostate cancer were
treated using adaptive IG-IMRT. Based on the CBCT performed during the first 10
fractions of radiotherapy, an average prostate position in relation to the pelvic
bones was determined in antero-posterior AP, supero-inferior SI, and right-left
axes. An adapted treatment plan for the second phase of the treatment included an
isocenter shift into its average position (correction of the systematic error
sigma). A margin between a clinical and planned target volume (CTV-PTV) was
adjusted according to the magnitude of random error sigma. During the second
phase of radiotherapy, set-up of patients was performed daily on pelvic bones
using kilovoltage skiagraphic imaging in two projections (kV-kV). Follow-up CBCTs
were repeated once a week. RESULTS: An average isocenter position differed from
the position of a reference planning CT isocenter in at least one axis in 63
patients (83%). Major changes were recorded in AP axis - shift > or = 2 mm in 33
patients (43%), shift > or = 5 mm in 7 patients (9%). PTV for the second phase of
radiotherapy was in the range of 6-10 mm in AP axis, 6-8 mm in SI axis, and 6 mm
in RL axis. Mean sigma value in the AP axis was smaller in patients with a
specific diet compared to patients without the diet (2.2 mm vs. 2.7 mm, p =
0.05). We evaluated 446 follow-up CBCT images from the second phase of
radiotherapy (66 patients had 6 CBCT, 10 patients had 5 CBCT). Set-up error
exceeding CTV-PTV margin occurred in 4 cases with no more than once per patient.
Safety margin was sufficient in 72/76 patients (95%). CONCLUSION: IG-IMRT
protocol integrating CBCT and kV-kV imaging provided adequate coverage of the
target volume and proved to be compatible with departmental workflow. Margin
reduction around the CTV is a prerequisite for dose escalation aimed at a
intraprostatic lesion.
PMID- 22070017
TI - Cetuximab enhances the anti-proliferative effect of trastuzumab in ERBB2 over
expressing breast cancer cells--preliminary study.
AB - BACKGROUND: The tyrosine kinase receptor comprises a subclass of cell surface
growth factor receptors. Inhibition of certain members of the Epidermal Growth
Factor Receptor (EGFR) family is an effective treatment approach in some cancers.
The anti-tumor effects are greater when this approach is combined with inhibition
of the ERBB2 receptors. These studies provide novel experimental data
demonstrating a significant augmentation of the anti-proliferative effects of
monoclonal antibodies (cetuximab and trastuzumab) on human breast carcinoma cell
lines with different level of ERBB receptor expression. MATERIALS AND METHODS:
Three breast cancer cell lines, MCF-7, BT-474, and SK-BR-3 were used. These are
characterised by different levels of EGFR and/or other ERBB family members.
Inhibition of cell growth in response to cetuximab, trastuzumab or their
combination was assessed by MTT assay. RESULTS: The breast cancer cell lines
differed in their sensitivity toTZ, CTX and their combination. The SK-BR-3 cancer
cell line was sensitive to TZ. On the other hand, CTX had no effect on BT-474 or
on SK-BR-3 that expressed low levels of EGFR and high levels of ERBB2.
CONCLUSION: Our new experimental data show that the combination of anti-EGF
receptor and anti-ERBB2 mAb may inhibit cancer cells expressing both EGF and
ERBB2 receptors.
PMID- 22070019
TI - [Partial regression of CNS lesions of Erdheim-Chester disease after treatment
with 2-chlorodeoxadenosine and their full remission following treatment with
lenalidomide].
AB - INTRODUCTION: Erdheim-Chester disease is a very rare syndrome affecting adult
population. It typically causes hyperostosis of long bones, retroperitoneal
fibrosis and widening of the aortic wall. Patients frequently suffer from disease
associated fevers and pain in the lower limbs. No guidelines are available for
the treatment of this rare ailment. Therefore, we describe our experience with
lenalidomide in a patient with poor treatment response to 2-chlorodeoxyadenosine.
CASE: Diabetes insipidus and neurological problems developing over 4 years were
the first signs of the disease. The disease was diagnosed from histology of the
bone marrow extracted from the ilium. At diagnosis, the patient had multiple
infiltrates in the brain, widened wall of the thoracic and abdominal aorta,
fibrotic changes to retroperitoneum and typical hyperostosis of the long bones of
lower limbs with high accumulation of technetium pyrophosphate as well as
fluorodeoxyglucose. First line treatment involved 2-chlorodeoxyadenosine 5 mg/m2
s.c. for 5 consecutive days every 28 days. There was no clear treatment response
identifiable on the MR scan of the brain following the third cycle and thus 4th
6th cycle consisted of 2-chlorodexyadenosine 5 mg/m2 + cyclophosphamide 150 mg/m2
+ dexamethasone 24 mg day 1-5 every 28 days. After the 6th cycle, MR showed
partial regression of the brain lesions. PET-CT showed an increased accumulation
of fluorodeoxyglucose in bone lesions. Second line treatment involved
lenalidomide 25 mg/day days 1-21 every 28 days. Lenalidomide tolerance was
excellent; the number of neutrophils and thrombocytes was within the
physiological range throughout the treatment period. Follow-up MR showed complete
remission of the brain lesions, while follow-up PET-CT showed further increase in
fluorodeoxyglucose accumulation in the bones of lower limbs. CONCLUSION:
Treatment with 2-chlorodeoxyadenosine-based regimen provided partial remission of
Erdheim-Chester disease lesions in the brain, while treatment with lenalidomide
resulted in complete remission of these lesions. Fluorodeoxyglucose continues to
accumulate in the long bones of lower limbs. We are unable to elucidate the
reasons for complete remission of the disease in the brain as per the MR and its
progression in the long bones according to PET-CT. Further testing of
lenalidomide in the treatment of this disease is required to support further use
of this perspective treatment option.
PMID- 22070020
TI - [Secondary angiosarcomas after conservation treatment for breast cancers].
AB - BACKGROUNDS: The cancerogenic effect of ionizing radiation was documented just
several years after it started to be used as a treatment option. Ionizing
radiation produces a small but detectable risk of carcinoma as well as bone and
soft tissue sarcomas. Over the past 20 years angiosarcomas arising from the area
of the irradiated breast have been reported with increasing frequency as the
number of women undergoing the breast conserving surgery with consecutive
radiotherapy has increased also. Angiosarcomas can originate from either
lymphatic or capillary endothelium, namely lymphangiosarcomas and
haemangiosarcomas. The most of haemangiosarcomas arising from the breast skin
developed in the irradiated area after breast conserving procedure--secondary
angiosarcomas. Lymphangiosarcoma is typically associated with longstanding
extremity lymphedema--Stewart-Treves syndrome. CASES: We report three cases of
angiosarcomas which occured in this region after breast conserving treatment and
we also review the literature. CONCLUSION: Paradoxically, the decrease in the use
of radiotherapy to the post-mastectomy chest wall and the axillary area is
expected to reduce the incidence of angiosarcomas, while the increase in the use
of breast conserving procedure plus radiotherapy could lead to increased
incidence of angiosarcomas in the residual breast tissue. Special attention
should be paid to skin leasions and changes occuring after breast conserving
treatment and especially to the ones with the skinthickening. The early detection
and diagnosis has the crucial prognostic value.
PMID- 22070021
TI - [Metastatic renal cell carcinoma treated with everolimus--data from the RENIS
Clinical Registry].
AB - Everolimus is an oral mTOR kinase inhibitor approved for the treatment of
patients with metastatic renal cell carcinoma (mRCC) progressing during or after
treatment with vascular endothelial growth factor (VEGF)-targeted agents. Using
the national RENIS clinical registry, we have retrospectively analysed outcomes
of patients treated for mRCC with everolimus. A total of 78 patients were
evaluable. Median progression-free survival from the start of everolimus therapy
was 7 months (95% confidence interval 2-12 months). Partial response or stable
disease was achieved in 69% of patients. Treatment toxicity was predictable and
serious adverse events occurred in only 6% of patients the most common being
respiratory toxicity. Everolimus therapy provides significant clinical benefit
for heavily pretreated mRCC patients after failure of VEGF-targeted therapy.
PMID- 22070022
TI - The biomechanics of plate fixation of periprosthetic femoral fractures near the
tip of a total hip implant: cables, screws, or both?
AB - Femoral shaft fractures after total hip arthroplasty (THA) remain a serious
problem, since there is no optimal surgical repair method. Virtually all studies
that examined surgical repair methods have done so clinically or experimentally.
The present study assessed injury patterns computationally by developing three
dimensional (3D) finite element (FE) models that were validated experimentally.
The investigation evaluated three different constructs for the fixation of
Vancouver B1 periprosthetic femoral shaft fractures following THA.
Experimentally, three bone plate repair methods were applied to a synthetic femur
with a 5 mm fracture gap near the tip of a total hip implant. Repair methods were
identical distal to the fracture gap, but used cables only (construct A), screws
only (construct B), or cables plus screws (construct C) proximal to the fracture
gap. Specimens were oriented in 15 degrees adduction to simulate the single
legged stance phase of walking, subjected to 1000 N of axial force, and
instrumented with strain gauges. Computationally, a linearly elastic and
isotropic 3D FE model was developed to mimic experiments. Results showed
excellent agreement between experimental and FE strains, yielding a Pearson
linearity coefficient, R2, of 0.92 and a slope for the line of best data fit of
1.06. FE-computed axial stiffnesses were 768 N/mm (construct A), 1023 N/mm
(construct B), and 1102 N/mm (construct C). FE surfaces stress maps for cortical
bone showed Von Mises stresses, excluding peaks, of 0-8 MPa (construct A), 0-15
MPa (construct B), and 0-20 MPa (construct C). Cables absorbed the majority of
load, followed by the plates and then the screws. Construct A yielded peak stress
at one of the empty holes in the plate. Constructs B and C had similar bone
stress patterns, and can achieve optimal fixation.
PMID- 22070023
TI - The biomechanics of plate repair of periprosthetic femur fractures near the tip
of a total hip implant: the effect of cable-screw position.
AB - Optimal surgical positioning of cable-screw pairs in repairing periprosthetic
femur fractures near the tip of a total hip implant still remains unclear. No
studies in the literature to date have developed a fully three-dimensional finite
element (FE) model that has been validated experimentally to assess these injury
patterns. The aim of the present study was to evaluate the biomechanical
performance of three different implant-bone constructs for the fixation of
periprosthetic femoral shaft fractures following total hip arthroplasty.
Experimentally, three bone-plate repair configurations were applied to the
periprosthetic synthetic femur fractured with a 5 mm gap near the tip of a total
hip implant. Constructs A, B, and C, respectively, had successively larger
distances between the most proximal and the most distal cable-screw pairs used to
affix the plate. Specimens were oriented in 15 degrees adduction, subjected to
1000 N of axial force to simulate the single-legged stance phase of walking, and
instrumented with strain gauges. Computationally, a linearly elastic and
isotropic three-dimensional FE model was developed to mimic the experimental
setup. Results showed excellent agreement between experimental versus FE analysis
strains, yielding a Pearson linearity coefficient, R2, of 0.90 and a slope for
the line of best data fit of 0.96. FE axial stiffnesses were 601 N/mm (Construct
A), 849 N/mm (Construct B), and 1359 N/mm (Construct C). FE surface stress maps
for cortical bone showed maximum von Mises values of 74 MPa (Construct A), 102
MPa (Construct B), and 57 MPa (Construct C). FE stress maps for the metallic
components showed minimum von Mises values for Construct C, namely screw
(716MPa), cable (445MPa), plate (548MPa), and hip implant (154MPa). In the case
of good bone stock, as modelled by the present synthetic femur model, optimal
fixation can be achieved with Construct C.
PMID- 22070024
TI - Pre-clinical evaluation of ceramic femoral head resurfacing prostheses using
computational models and mechanical testing.
AB - Ceramic-on-ceramic hip resurfacing can potentially offer the bone-conserving
advantages of resurfacing while eliminating metal ion release. Thin-walled
ceramic resurfacing heads are conceivable following developments in the strength
and reliability of ceramic materials, but verification of new designs is
required. The present study aimed to develop a mechanical pre-clinical analysis
verification process for ceramic resurfacing heads, using the DeltaSurf
prosthesis design as a case study. Finite element analysis of a range of in vivo
scenarios was used to design a series of physiologically representative
mechanical tests, which were conducted to verify the strength of the prosthesis.
Tests were designed to simulate ideal and worst-case in vivo loading and support,
or to allow comparison with a clinically successful metallic device. In tests
simulating ideal loading and support, the prosthesis sustained a minimum load of
39 kN before fracture, and survived 10 000 000 fatigue cycles of 0.534 kN to 5.34
kN. In worst-case tests representing a complete lack of superior femoral head
bone support or pure cantilever loading of the prosthesis stem, the design
demonstrated strength comparable to that of the equivalent metal device. The
developed mechanical verification test programme represents an improvement in the
state of the art where international test standards refer largely to total hip
replacement prostheses. The case study's novel prosthesis design performed with
considerable safety margins compared with extreme in vivo loads, providing
evidence that the proposed ceramic resurfacing heads should have sufficient
strength to perform safely in vivo. Similar verification tests should be designed
and conducted for novel ceramic prosthesis designs in the future, leading the way
to clinical evaluation.
PMID- 22070025
TI - A hip simulator study of metal-on-metal hip joint device using acetabular cups
with different fixation surface conditions.
AB - In vitro wear data for hip joint devices reported in the literature vary in a
wide range from one simulator study to another sometimes for the same type of
device tested under identical physiological testing conditions. We hypothesized
that non-bearing surface condition of the testing components could be an
important factor affecting the simulator wear results. To confirm this
hypothesis, fifteen 50 mm metal-on-metal hip resurfacing devices with identical
bearing specifications were tested in a ProSim hip wear simulator for 5 million
cycles. The heads were standard Birmingham Hip Resurfacing (BHR) heads; whilst
the pairing acetabular cups were identical to the standard BHR cup except their
different back surface conditions, including: (a) off-the-shelf products after
removing the hydroxyapatite (HA) coating; (b) semi-finished products without HA
coating; and (c) purposely-made cups without cast-in beads and HA coating.
Results showed that the different back surfaces of the cups used indeed caused
significantly large variations in the gravimetrically measured wear loss. We
postulated that materials loss from the non-bearing surface of the testing
components could contribute to the gravimetrically measured wear loss during a
wear simulator test both directly and indirectly. The results presented in this
paper pertain to In vitro wear simulator study and have little clinical relevance
to the performance of any implant in vivo.
PMID- 22070026
TI - Reconsideration on the use of elastic models to predict the instantaneous load
response of the knee joint.
AB - Fluid pressurization in articular cartilages and menisci plays an important role
in the mechanical function of the knee joint. However, fluid pressure has not
been incorporated in previous finite element modelling of the knee, instead
elastic models of the knee are widely used. It is believed that an elastic model
can be used to predict the instantaneous load response of the knee as long as
large effective moduli for the cartilaginous tissues are used. In the present
study, the instantaneous response of the knee was obtained from a proposed model
including fluid pressure and fibril reinforcement in the cartilaginous tissues.
The results were then compared with those obtained from an elastic model using
the effective modulus method. It was found that the deformations and contact
pressures predicted by the two models were substantially different. An unconfined
compression of a tissue disc was used to help understand the issue. It was clear
that a full equivalence between the instantaneous and elastic responses could not
be established even for this simple case. A partial equivalence in stress could
be conditionally established for a given unconfined compression, but it was not
valid for a different magnitude of compression. The instantaneous deformation of
the intact tissues in the joint was even more difficult to determine using the
effective modulus method. The results thus obtained were further compromised
because of the uncertainty over the choice of effective modulus. The tissue non
linearity was one of the factors that made it difficult to establish the
equivalence in stress. The pressurized tissue behaved differently from a solid
material when non-linear fibril reinforcement was presented. The direct
prediction of the instantaneous response using the proposed poromechanical model
had the advantage of determining the fluid pressure and incompressible
deformation.
PMID- 22070027
TI - Numerical simulation of bone remodelling around dental implants.
AB - Crestal bone loss can result in the failure of dental implants and can be caused,
by among other factors, the development of non-physiological mechanical
conditions. Bone remodelling (BR) is the physiological process through which bone
adapts itself to the mechanical environment. A previously published mathematical
model of BR is used in this work to study the homogenized structural evolution of
peri-implant bone. This model is used to study the influence of the diameter and
length of a dental implant of pure titanium on its long-term stability. The
temporal evolution of porosity and microstructural damage of the peri-implant
bone are the variables analysed in this study. The results show that damage and
porosity increase as the implant length decreases and, more pronouncedly, as its
diameter decreases. The increase in damage and porosity levels is localized, as
many other studies confirm, at the implant neck due to the stress concentration
that is created in that area. The main conclusion of this study is that in
implants with a diameter equal to or greater than 3 mm the damage is under
control and there is no mechanical failure of the peri-implant bone in the long
term.
PMID- 22070028
TI - Reduced stress shielding with limited micromotions using a carbon fibre composite
biomimetic hip stem: a finite element model.
AB - Total hip arthroplasty (THA) enjoys excellent rates of success in older patients,
but younger patients are still at risk of aseptic loosening and bone resorption
from stress shielding. One solution to the stress shielding problem is to use a
hip stem with mechanical properties matching those of cortical bone. The
objective of the present study was to investigate numerically the biomechanical
performance of such a biomimetic hip stem based on a hydroxyapatite (HA)-coated
carbon fibre composite. A finite element model (FEM) of the biomimetic stem was
constructed. Contact elements were studied to model the bone-implant interface in
a non-osseointegrated and osseointegrated state in the best way. Three static
load cases representing slow walking, stair climbing, and gait in a healthy
individual were considered. Stress shielding and bone-implant interface
micromotions were evaluated and compared with the results of a similar FEM based
on titanium alloy (Ti-6Al-4V). The composite stems allowed for reduced stress
shielding when compared with a traditional Ti-6Al-4V stem. Micromotions were
slightly higher with the composite stem, but remained below 40 microm on most of
the HA-coated surface. It is concluded that a biomimetic composite stem might
offer a better compromise between stress shielding and micromotions than the Ti
6Al-4V stem with the same external geometry.
PMID- 22070029
TI - Correlation between intravesical pressure and prostatic obstruction grade using
computational fluid dynamics in benign prostatic hyperplasia.
AB - An urodynamic test which measures various physiologic variables during voiding is
generally used for accurate diagnosis of a bladder outlet obstruction (BOO)
resulting from benign prostatic hyperplasia (BHP). However, this method is
difficult to directly apply to all patients because it is an invasive test and
many patients suffer from anxiety and embarrassment during the test. Thus, other
diagnosis methods such as uroflowmetry and prostatic symptom score are performed
to measure the degree of BOO prior to the urodynamic test, and it is necessary to
construct a quantitative relationship among the obstruction level, the
intravesical pressure, and the uroflow rate. The aim of this paper is to analyse
the variation of intravesical pressure as a function of the extent of the
obstruction and the uroflow rate from given information on the size of the
bladder and the urodynamic test using a computational fluid dynamics approach. In
order to analyse the intravesical pressure, a two-dimensional axisymmetric model
of the bladder including a narrowed region, i.e. the prostatic obstruction, is
created. Then the variation of the intravesical pressure is quantitatively
obtained as a function of the magnitude of the uroflow rate and the extent of the
obstruction. It is shown that the intravesical pressure significantly increases
even for small obstructions and that at large obstructions it can reach values
higher than 100 cm H2O, which is a dangerous value. It is shown that the
intravesical pressure decreases as the uroflow rate decreases. This study can
form the basis of a non-invasive test for the diagnosis of BHP.
PMID- 22070030
TI - Application of finite element analysis in pre-operative planning for deformity
correction of abnormal hip joints--a case series.
AB - In experimental and clinical research, it is difficult to directly measure
responses in the human body, such as contact pressure and stress in a joint, but
finite element analysis (FEA) enables the examination of in vivo responses by
contact analysis. Hence, FEA is useful for pre-operative planning prior to
orthopaedic surgeries, in order to gain insight into which surgical options will
result in the best outcome. The present study develops a numerical simulation
technique based on FEA to predict the surgical outcomes of osteotomy methods for
the treatment of slipped capital femoral epiphyses. The correlation of
biomechanical parameters including contact pressure and stress, for moderate and
severe cases, is investigated. For severe slips, a base-of-neck osteotomy is
thought to be the most reliable and effective surgical treatment, while any
osteotomy may produce dramatic improvement for moderate slips. This technology of
pre-operative planning using FEA can provide information regarding biomechanical
parameters that might facilitate the selection of optimal osteotomy methods and
corresponding surgical options.
PMID- 22070031
TI - Environmental issues and management strategies for waste electronic and
electrical equipment.
PMID- 22070032
TI - Estimated validity and reliability of on-board diagnostics for older vehicles:
comparison with remote sensing observations.
AB - Based on requirements under the Clean Air Act Amendments of 1990, most state
vehicle inspection and maintenance (I/M) programs have, since 2002, replaced the
tailpipe emission testing with the on-board diagnostic (OBD) II testing for 1996
model and newer vehicles. This test relies on the OBD II system to give the pass
or fail result, depending on certain conditions that might cause the vehicle to
emit pollution 1.5 times higher than the regulated standard. The OBD II system is
a computer and sensors installed in the vehicle to monitor the emission control
units and signal if there is any malfunction. As a vehicle ages, its engine,
pollution control units, and OBD II system deteriorate. Because the OBD II
system's durability directly influences the test outcome, it is important to
examine the fleetwide trend in the OBD II test results in comparison with an
alternative measure of identifying high emitting vehicles. This study
investigates whether the validity and reliability of the OBD II test is related
to the age of the OBD II system installed in the fleet. Using Atlanta's I/M
testing records and remote sensing device (RSD) data collected during 2002-2005,
this research establishes the convergent validity and interobserver reliability
criteria for the OBD II test based on on-road emissions measured by RSDs. The
study results show that older vehicles exhibit significantly lower RSD-OBD II
outcome agreement than newer vehicles. This suggests that the validity and
reliability of the OBD II test may decline in the older vehicle fleets.
Explanations and possible confounding factors for these findings are discussed.
PMID- 22070033
TI - Analysis of chemical and physical effects of ultraviolet bulbs on cooking
emissions.
AB - There is a growing recognition of the risks to health, fire hazard, and air
quality from cooking emissions. Recent research has identified what is emitted
when foods are cooked. Some of the emitted mass is captured in the exhaust
system. The balance is expelled into the atmosphere. The outlet of the exhaust
system is a demarcation point-upstream the captured mass is the operator or
building owner's concern, whereas downstream into the atmosphere, it affects air
quality. Building codes have long required operators to deal with the upstream
section. More recently, regulations are being placed on what kitchens can emit to
the atmosphere. The industry is responding to this challenge with product
innovations. Recently gained understanding of cooking emissions supports much of
the innovation-but not all. This paper evaluates the purported benefit of adding
better filtration and ultraviolet C (UVC) bulbs in kitchen hoods. A "UV hood"
claims a two-step process to reduce emissions: better filters capture more
emitted mass, and UVC photons and ozone drive photo-decomposition and oxidation
reactions of some of the remaining greasy constituents. Adding UV to a hood at
least doubles the cost compared to an equivalent non-UV hood. There is evidence
that UV hoods do reduce some emissions. The essential question is whether
improved performance is due to UV or relatively inexpensive, improved filters.
Experimentation exposed an oleic acid aerosol, representative of cooking
emissions, to UVC energy and ozone at higher concentrations and for longer
exposure times than can occur in a UV hood. Particle-size and chemical changes
were measured on samples collected with UV bulbs off and on. Results strongly
indicate little change is happening and most emission reductions are caused by
better filtration and not UV. The conclusion is that UV hoods fall short of
claimed performance, and unreacted ozone may increase air pollution.
PMID- 22070035
TI - Concentrations of particulate matter emitted from large cattle feedlots in
Kansas.
AB - Particulate matter (PM) emitted from cattle feedlots are thought to affect air
quality in rural communities, yet little is known about factors controlling their
emissions. The concentrations of PM (i.e., PM2.5, PM10, and total suspended
particulates or TSP) upwind and downwind at two large cattle feedlots (KS1, KS2)
in Kansas were measured with gravimetric samplers from May 2006 to October 2009
(at KS1) and from September 2007 to April 2008 (at KS2). The mean downwind and
net (i.e., downwind - upwind) mass concentrations of PM2.5, PM10, and TSP varied
seasonally, indicating the need for multiple-day, seasonal sampling. The downwind
and net concentrations were closely related to the moisture content of the pen
surface. The PM2.5/PM10 and PM2.5/TSP ratios at the downwind sampling location
were also related to the moisture content of the pen surface, humidity, and
temperature. Measurement of the particle size distribution downwind of the
feedlot with a cascade impactor showed geometric mean diameter ranging from 7 to
18 microm, indicating that particles that were emitted from the feedlots were
generally large in size.
PMID- 22070034
TI - Characterization of spatial impact of particles emitted from a cement material
production facility on outdoor particle deposition in the surrounding community.
AB - The objective of this study was to estimate the contribution of a facility that
processes steel production slag into raw material for cement production to local
outdoor particle deposition in Camden, NJ. A dry deposition sampler that can
house four 37-mm quartz fiber filters was developed and used for the collection
of atmospheric particle deposits. Two rounds of particle collection (3-4 weeks
each) were conducted in 8-11 locations 200-800 m downwind of the facility.
Background samples were concurrently collected in a remote area located -2 km
upwind from the facility. In addition, duplicate surface wipe samples were
collected side-by-side from each of the 13 locations within the same sampling
area during the first deposition sampling period. One composite source material
sample was also collected from a pile stored in the facility. Both the bulk of
the source material and the < 38 microm fraction subsample were analyzed to
obtain the elemental source profile. The particle deposition flux in the study
area was higher (24-83 mg/m2 x day) than at the background sites (13-17
mg/m2day). The concentration of Ca, a major element in the cement source
production material, was found to exponentially decrease with increasing downwind
distance from the facility (P < 0.05). The ratio of Ca/Al, an indicator of Ca
enrichment due to anthropogenic sources in a given sample, showed a similar
trend. These observations suggest a significant contribution of the facility to
the local particle deposition. The contribution of the facility to outdoor
deposited particle mass was further estimated by three independent models using
the measurements obtained from this study. The estimated contributions to
particle deposition in the study area were 1.8-7.4% from the regression analysis
of the Ca concentration in particle deposition samples against the distance from
the facility, 0-11% from the U.S. Environmental Protection Agency (EPA) Chemical
Mass Balance (CMB) source-receptor model, and 7.6-13% from the EPA Industrial
Source Complex Short Term (ISCST3) dispersion model using the particle-size
adjusted permit-based emissions estimates.
PMID- 22070036
TI - Application of a combined measurement and modeling method to quantify windblown
dust emissions from the exposed playa at Mono Lake, California.
AB - Particulate matter < or =10 microm (PM10) emissions due to wind erosion can vary
dramatically with changing surface conditions. Crust formation, mechanical
disturbance, soil texture, moisture, and chemical content of the soil can affect
the amount of dust emitted during a wind event. A refined method of quantifying
windblown dust emissions was applied at Mono Lake, CA, to account for changing
surface conditions. This method used a combination of real-time sand flux
monitoring, ambient PM10 monitoring, and dispersion modeling to estimate dust
emissions and their downwind impact. The method identified periods with high
emissions and periods when the surface was stable (no sand flux), even though
winds may have been high. A network of 25 Cox sand catchers (CSCs) was used to
measure the mass of saltating particles to estimate sand flux rates across a 2
km2 area. Two electronic sensors (Sensits) were used to time-resolve the CSC sand
mass to estimate hourly sand flux rates, and a perimeter tapered element
oscillating microbalance (TEOM) monitor measured hourly PM10 concentrations.
Hourly sand flux rates were related by dispersion modeling to hourly PM10
concentrations to back-calculate the ratio of vertical PM10 flux to horizontal
sand flux (K-factors). Geometric mean K-factor values (K(f)) were found to change
seasonally, ranging from 1.3 x 10(-5) to 5.1 x 10(-5) for sand flux measured at
15 cm above the surface (q15). Hourly PM10 emissions, F, were calculated by
applying seasonal K-factors to sand flux measurements (F = K(f) x q15). The
maximum hourly PM10 emission rate from the study area was 76 g/m2 x hr (10-m wind
speed = 23.5 m/sec). Maximum daily PM10 emissions were estimated at 450 g/m2 x
day, and annual emissions at 1095 g/m2 x yr. Hourly PM10 emissions were used by
the U.S. Environmental Protection Agency (EPA) guideline AERMOD dispersion model
to estimate downwind ambient impacts. Model predictions compared well with
monitor concentrations, with hourly PM10 ranging from 16 to over 60,000 microg/m3
(slope = 0.89, R2 = 0.77).
PMID- 22070037
TI - Emission factors for high-emitting vehicles based on on-road measurements of
individual vehicle exhaust with a mobile measurement platform.
AB - Fuel-based emission factors for 143 light-duty gasoline vehicles (LDGVs) and 93
heavy-duty diesel trucks (HDDTs) were measured in Wilmington, CA using a zero
emission mobile measurement platform (MMP). The frequency distributions of
emission factors of carbon monoxide (CO), nitrogen oxides (NO(x)), and particle
mass with aerodynamic diameter below 2.5 microm (PM2.5) varied widely, whereas
the average of the individual vehicle emission factors were comparable to those
reported in previous tunnel and remote sensing studies as well as the predictions
by Emission Factors (EMFAC) 2007 mobile source emission model for Los Angeles
County. Variation in emissions due to different driving modes (idle, low- and
high-speed acceleration, low- and high-speed cruise) was found to be relatively
small in comparison to intervehicle variability and did not appear to interfere
with the identification of high emitters, defined as the vehicles whose emissions
were more than 5 times the fleet-average values. Using this definition,
approximately 5% of the LDGVs and HDDTs measured were high emitters. Among the
143 LDGVs, the average emission factors of NO(x), black carbon (BC), PM2.5, and
ultrafine particle (UFP) would be reduced by 34%, 39%, 44%, and 31%,
respectively, by removing the highest 5% of emitting vehicles, whereas CO
emission factor would be reduced by 50%. The emission distributions of the 93
HDDTs measured were even more skewed: approximately half of the NO(x) and CO
fleet-average emission factors and more than 60% of PM2.5, UFP, and BC fleet
average emission factors would be reduced by eliminating the highest-emitting 5%
HDDTs. Furthermore, high emissions of BC, PM2.5, and NO(x) tended to cluster
among the same vehicles.
PMID- 22070038
TI - Stabilization and solidification of elemental mercury for safe disposal and/or
long-term storage.
AB - A simple and highly effective stabilization/solidification (S/S) technology of
elemental mercury using only sulfur with paraffin is introduced. First, elemental
mercury is mixed with an excess of sulfur powder and heated to 60 degrees C for
30 min until elemental mercury is converted into mercuric sulfide (HgS black,
metacinnabar) (Step 1). Then, metacinnabar with additional sulfur is poured into
liquid paraffin (Step 2). Finally, the mixture is melted at 140 degrees C and
settles to the bottom of the vessel where it cools and solidifies under the layer
of liquid paraffin (Step 3). The proposed S/S method with sodium sulfide
nonahydrate (Na2S x 9H2O) as an additive is also tested for comparison. The
average toxicity characteristic leaching procedure test values are 6.72 microg/L
(no additive) and 3.18 microg/L (with additive). Theses concentrations are well
below the Universal Treatment Standard (25 microg/L). Effective diffusion
coefficient evaluated from accelerated leach test and average headspace
concentration of Hg vapor after 18 hr are 3.62 x 10(-15) cm2/sec, 0.55 mg/m3 (no
additive) and 5.86 x 10(-13) cm2/sec, 0.25 mg/m3 (with additive).
PMID- 22070039
TI - Particulate emissions from a stationary engine fueled with ultra-low-sulfur
diesel and waste-cooking-oil-derived biodiesel.
AB - Stationary diesel engines, especially diesel generators, are increasingly being
used in both developing countries and developed countries because of increased
power demand. Emissions from such engines can have adverse effects on the
environment and public health. In this study, particulate emissions from a
domestic stationary diesel generator running on ultra-low-sulfur diesel (ULSD)
and biodiesel derived from waste cooking oil were characterized for different
load conditions. Results indicated a reduction in particulate matter (PM) mass
and number emissions while switching diesel to biodiesel. With increase in engine
load, it was observed that particle mass increased, although total particle
counts decreased for all the fuels. The reduction in total number concentration
at higher loads was, however, dependent on percentage of biodiesel in the diesel
biodiesel blend. For pure biodiesel (B100), the reduction in PM emissions for
full load compared to idle mode was around 9%, whereas for ULSD the reduction was
26%. A large fraction of ultrafine particles (UFPs) was found in the emissions
from biodiesel compared to ULSD. Nearly 90% of total particle concentration in
biodiesel emissions comprised ultrafine particles. Particle peak diameter shifted
from a smaller to a lower diameter with increase in biodiesel percentage in the
fuel mixture.
PMID- 22070040
TI - Rural southeast Texas air quality measurements during the 2006 Texas Air Quality
Study.
AB - The authors conducted air quality measurements of the criteria pollutants carbon
monoxide, nitrogen oxides, and ozone together with meteorological measurements at
a park site southeast of College Station, TX, during the 2006 Texas Air Quality
Study II (TexAQS). Ozone, a primary focus of the measurements, was above 80 ppb
during 3 days and above 75 ppb during additional 8 days in summer 2006,
suggestive of possible violations of the ozone National Ambient Air Quality
Standard (NAAQS) in this area. In concordance with other air quality measurements
during the TexAQS II, elevated ozone mixing ratios coincided with northerly flows
during days after cold front passages. Ozone background during these days was as
high as 80 ppb, whereas southerly air flows generally provided for an ozone
background lower than 40 ppb. Back trajectory analysis shows that local ozone
mixing ratios can also be strongly affected by the Houston urban pollution plume,
leading to late afternoon ozone increases of as high as 50 ppb above background
under favorable transport conditions. The trajectory analysis also shows that
ozone background increases steadily the longer a southern air mass resides over
Texas after entering from the Gulf of Mexico. In light of these and other TexAQS
findings, it appears that ozone air quality is affected throughout east Texas by
both long-range and regional ozone transport, and that improvements therefore
will require at least a regionally oriented instead of the current locally
oriented ozone precursor reduction policies.
PMID- 22070041
TI - Perceptual pressures on lenition.
AB - The phonological processes known as 'lenition' have traditionally been explained
as articulatory effort reduction. However, such a motivation for lenition has
never been directly demonstrated; in addition, there are reasons to doubt the
articulatory explanation. This paper focuses on a particular type of lenition
(intervocalic spirantization of voiced stops) and presents two experiments that
investigate what role, if any, perceptual considerations might play in lenition.
Experiment I shows that spirantization of intervocalic voiced stops is a less
perceptually salient change than devoicing of intervocalic voiced stops (an
unattested process). Using the line of reasoning of Steriade's P-map hypothesis,
perceptual facts offer an alternative to the articulatory account: lenition of
intervocalic voiced stops yields spirants rather than voiceless stops because the
latter change is perceptually highly salient. The results of Experiment 2 show
that the perceptual facts differ by place of articulation, such that the
difference between stops and spirants is greater for labials than for dorsals.
These results do not match the attested typology; if anything, languages are more
likely to spirantize labials than they are to spirantize dorsals. Thus,
perceptual facts have the potential to explain some, but not all, of the typology
of lenition.
PMID- 22070042
TI - The emergence of an unmarkedness effect in Mandarin speech errors: nasals in a
coda position.
AB - This study aims to explore how the markedness effect shapes Mandarin slips of the
tongue with respect to nasals in syllable-final positions. Data were collected
via natural speech and elicitation tasks from 35 participants' reading of 346
test items. Three hundred and eight slips in Mandarin from natural data and 360
slips from elicited data were investigated. This study shows that there exists a
strong preference for unmarked coronal nasals over marked dorsal nasals in the
syllable-final position in both spontaneous and elicited Mandarin slips. This
tendency toward the unmarked [n] reveals that the influence of the unmarkedness
effect is present in the coda position in Mandarin slips. Interestingly, this
tendency is inconsistent with that found in a previous study by Wan of Mandarin
slips in the onset position, which shows a tendency for coronals to be replaced
by other sounds. This suggests an onset-coda distinction occurring in Mandarin
speech errors, which implies that the markedness effect works differently in
distinct syllable positions. More precisely, the unmarkedness effect emerges in
the coda position in Mandarin slips, leading to the occurrence of unmarked
segments, whereas it submerges in the onset position, resulting in marked
segments.
PMID- 22070043
TI - Congruent and incongruent semantic context influence vowel recognition.
AB - The influence of sentence context on the recognition of naturally spoken vowels
degraded by reverberation and Gaussian noise was investigated. Target words were
paired to have similar consonant sounds but different vowels (e.g., map/mop) and
were embedded early in sentences which provided three types of semantic context.
Fifty-eight normal-hearing, young adults were presented with sentences in which
acoustic and semantic cues agreed either weakly (neutral) or strongly (congruent)
or the cues strongly disagreed (incongruent). One vowel pair (/epsilon/-/ae/) was
selected to be easier to recognize than the other (/a/-/ae/). Changes induced in
the spectra of the vowels by degradation showed that the impact of reverberation
combined with noise was quite different from either condition alone. The
recognition performance of participants (n=26) for isolated word stimuli matched
the predictions of the frequency analysis. In sentences the recognition of the
vowel was strongly influenced by the subsequent context; performance was best
with congruent context and worst with incongruent context. The deleterious impact
of incongruent context was larger than the helpful impact of congruent context.
Incongruent context effects were greatest in noise but were also found in quiet
and in reverberation.
PMID- 22070044
TI - Acquisition of initial /s/-stop and stop-/s/sequences in Greek.
AB - Previous work on children's acquisition of complex sequences points to a tendency
for affricates to be acquired before clusters, but there is no clear evidence of
a difference in order of acquisition between clusters with /s/ that violate the
Sonority Sequencing Principle (SSP), such as /s/ followed by stop in onset
position, and other clusters that obey the SSP. One problem with studies that
have compared the acquisition of SSP-obeying and SSP-violating clusters is that
the component sounds in the two types of sequences were different.This paper
examines the acquisition of initial /s/-stop and stop-/s/ sequences by sixty
Greek children aged 2 through 5 years. Results showed greater accuracy for the
/s/-stop relative to the stop-/s/ sequences, but no difference in accuracy
between /ts/, which is usually analyzed as an affricate in Greek, and the other
stop-/s/ sequences. Moreover, errors for the /s/-stop sequences and /ts/
primarily involved stop substitutions, whereas errors for /ps/ and /ks/ were more
variable and often involved fricative substitutions, a pattern which may have a
perceptual explanation. Finally, /ts/ showed a distinct temporal pattern relative
to the stop-/s/ clusters /ps/ and /ks/, similar to what has been reported for
productions of Greek adults.
PMID- 22070045
TI - The effect of age on the acquisition of second language prosody.
AB - This study reports an exploratory analysis of the age of arrival (AoA) effect on
the production of second language (L2) prosody. Three groups of Mandarin-speaking
immigrants (N=10 in each group) with varying AoA in the United States and ten
native speakers of English as controls participated in the study. All
participants read a paragraph of English, and their speech samples were subjected
to three prosodic analyses: speech and articulation rates, native speakers'
judgment of the prosody based on segment-filtered speech, and analyses of tones
and prosodic groupings using the Mainstream American English Tones and Break
Indices (MAE_ToBI) transcription conventions. The L2 groups also filled out a
survey providing information about their demographic background, English input,
and socio-psychological aspects of language learning. The results revealed that
the AoA factor impacted different aspects of prosody to varying degrees. Group
differences were statistically significant for speech rate, degree of foreign
prosody, the frequency of pitch accents, and the frequency of high boundary tones
(H-H%). However,group differences were not significant for articulation rate,
prosodic groupings, and the rest of the ToBI-labeled phonological categories.
Multiple regression analyses further confirmed the AoA effect on degree of
foreign prosody, the frequency of pitch accents, and high boundary tones (H-H%);
AoA remained a significant predictor controlling for the effects of other
variables. However, speech rate was predicted by English media exposure and
motivation variable but not by AoA.
PMID- 22070046
TI - The perception of pathologically-disordered phonation by Gujarati, English, and
Spanish listeners.
AB - This study investigates the influence of linguistic experience on the perception
of pathologically-disordered voices using 18 listeners of American English, which
has allophonic breathiness, 12 listeners of Gujarati, which contrasts breathy and
modal vowels, and 18 listeners of Spanish, which has neither allophonic nor
phonemic breathiness. Listeners rated the similarity of pairs of pathologically
disordered voices. Multidimensional scaling was used to determine the properties
that were most correlated with perception for each listener group. Results showed
that Gujaratis' perception was correlated with the difference between the
amplitude of the first (HI*) and second (H2*) harmonic (HI*-H2*), which is
associated with the production of phonation in Gujarati. English listeners'
judgments were correlated with the measure HI*-H2* and cepstral peak prominence,
and Spanish listeners' judgments were correlated with HI*-H2 and HI*-AI* (the
amplitude of the principal harmonic near the first formant). When compared to
Esposito (2006), which asked the same listeners to rate the similarity of breathy
and modal vowels from Mazatec, results showed that Gujarati listeners classified
the pathologically-disordered stimuli in the same way that they classified the
Mazatec stimuli, while English and Spanish listeners perceived the pathologically
disordered stimuli and the Mazatec stimuli in slightly different ways.
PMID- 22070047
TI - Clinical experience of adnexal torsion: evaluation of 143 cases.
AB - We conducted this retrospective study to evaluate the outcomes, indications and
post-operative findings of adnexal torsion cases and compared the features of
benign cystic teratoma with the other cases. We analyzed a series of 143 cases of
adnexal torsion from 2000 to 2009 at current clinic, retrospectively. The data
were collected from the patient's specific files and hospital records
descriptively. The demographic characteristics, sonographic findings and post
operative invention were evaluated. Statistical analyses were carried out by
using the statistical packages for SPSS 15.0 for Windows (SPSS Inc., Chicago, IL,
USA). A total of 143 cases were operated for adnexal torsion during the study
period at our clinic. The clinical and demographic characteristics of the cases
are shown in table 1. Of all patients, forty of them were diagnosed as benign
cystic teratoma and this group of the adnexal torsion cases had statistically
different gravidy, mass size and torsion number from the non-benign cystic
teratoma group. Age was not a statistically different between two groups.
According to this study, benign cystic teratoma increases risk of adnexal
torsion. When detecting benign cystic teratoma the patients should be informed
about the risk of torsion and explained the signs of this situation. The early
diagnosis and appropriate surgical management of adnexal torsion is the only way
to prevent complications and to preserve future fertility. Being aware of the
different sonographic findings of torsionated adnexa may assist in the correct
diagnosis of these patients.
PMID- 22070049
TI - Cost analysis of intra-arterial versus intra-venous delivery of bevacizumab for
the treatment of recurrent glioblastoma multiforme.
AB - Intra-arterial bevacizumab (IA BV) has been recently identified to be safe in the
treatment of recurrent GBM. In this study, we sought to perform a cost analysis
of IA BV versus IV BV especially also taking account of patient progression free
survival (PFS) and overall survival (OS). We show that IA BV is significantly
more cost effective than conventional IV therapy.
PMID- 22070048
TI - Zinc is a potential therapeutic for chemoresistant ovarian cancer.
AB - Ovarian cancer is the leading cause of death from gynecological cancer. The high
mortality rate reflets the lack of early diagnosis and limited treatment
alternatives. We have observed a number of properties of zinc cytotoxicity that
make it attractive from a therapeutic standpoint. Using SKOV3 and ES2 cells,
ovarian cancer cell lines that demonstrate varied degrees of resistance to known
therapeutics, we show that zinc killing is time and concentration dependent.
Death is preceded by distinct changes in cell shape and size. The effects of zinc
are additive with cisplatin or doxorubicin, whose morphological effects are
distinct from those of zinc. Cytotoxicity of paclitaxel is minimal, making it
difficult to determine additivity with zinc. Paclitaxel results in changes in
cell shape and size similar to those of zinc but has different effects on cell
cycle progression and cyclin expression. The data indicate that the means by
which zinc kills ovarian cancer cells is distinct from currently used
chemotherapeutics. Based on the properties reported here, zinc has the potential
to be developed as either a primary treatment or as a second line of defense
against cancers that have developed resistance to currently used
chemotherapeutics.
PMID- 22070050
TI - Expressions of CK-19, NF-kappaB, E-cadherin, beta-catenin and EGFR as diagnostic
and prognostic markers by immunohistochemical analysis in thyroid carcinoma.
AB - Immunohistochemical markers have been proposed for thyroid cancer diagnosis and
prognostic studies. Immunohistochemical analysis of CK-19, NF-kappaB, beta
catenin, E-cadherin and EGFR were done to evaluate their diagnostic and
prognostic efficiencies in eighty eight cancer specimen (PTC-52, FTC-16, benign
nodule-12 and MNG-8). CK-19 was positive in 91% (62/68) DTC, 98% (51/52) PTC, 69%
(11/16) FTC and 15% (3/20) benign thyroid nodules. NF-kappaB was expressed 93%
(63/68) DTC, in 96% (50/52) PTC, 81% (11/16) FTC and 15% (3/20) benign thyroid
nodules. Both CK-19 and NF-kappaB were significantly differentiated DTC, PTC and
FTC from benign thyroid nodule (p < 0.0001) with diagnostic accuracy of 89.74%,
94.4% and 77.4% for CK-19 and 91.0%, 90.5% and 83.5% respectively for NF-kappaB.
Though CK-19 and NF-kappaB were equally sensitive but CK-19 was most specific in
the diagnosis of DTC and PTC. The diagnostic accuracy of beta-catenin was 96% and
94% and accuracy of E-cadherin was 90.1% and 93.9% for the diagnosis of
metastatic PTC and FTC respectively. EGFR showed 90% (18/20) of metastatic PTC (p
< 0.0001) and sensitivity, specificity and accuracy were 90%, 71.8% and 78.85%
respectively. CK-19 and NF-kappaB were accurately diagnosed in DTC, PTC and FTC
whereas, NF-kappaB, E-cadherin, beta-catenin and EGFR were strongly expressed in
invasive papillary thyroid cancers and FTC, thus can be important diagnostic and
prognostic marker for FTC and metastatic PTC. This may be concluded that
immunohistochemical expression of panel of markers CK-19, NF-kappaB, E-cadherin,
beta-catenin and EGFR can be useful in diagnosis and prognosis of DTC.
PMID- 22070051
TI - Shattering the underpinnings of neoplastic architecture in LNCap: synergistic
potential of nutraceuticals in dampening PDGFR/EGFR signaling and cellular
proliferation.
AB - OBJECTIVE: Prostate cancer is a polyfactorial molecular anomaly that is offering
refractoriness against a broad range of therapeutic drugs. Growth factor
receptors are actively implicated in oncogenesis. PDGFR/EGFR mediated exacerbated
signaling has a central participation and is contributory in fueling the signal
transductions that gear up prostate cancer progression. MATERIALS AND METHODS: In
this particular study, androgen sensitive, Prostate cancer cell line (LNCaP) was
used. Pretreatment of cell line with PDGF resulted in an enhanced proliferation
of cells which was evaluated by MTT assay. Treatment of cell line with either
alone Curcumin, EGCG, sulforaphane or in combination was evaluated. PDGFR/EGFR
activation (phosphorylation) was studied using western blot. RESULTS: Results
indicated that phosphorylation was gradually downregulated after treatment with
individual compound. However there was a remarkable decrease in cellular
proliferation after a combinatorial approach which is indicative of the fact that
PDGFR phosphorylation was decreased outstandingly as evaluated by MTT assay. That
also gave a prominent decline in the expression and subsequent decrease in
proliferation pattern of cells. CONCLUSION: Despite the fact that little is still
known regarding the mechanistic insights by which phytonutrients act as barrier
to cancer, and attempts to translate the studies from benchtop to bedside are in
progress. A detailed analysis of nutraceuticals will help a lot in identifying
the stumbling blocks in the standardization of therapeutic interventions.
PMID- 22070052
TI - Phellinus rimosus (Berk.) pilat attenuates 7,12-dimethylbenz[a] anthracene
induced and croton oil promoted skin papilloma formation in mice.
AB - The roles of 7,12-dimethylbenz[a]anthracene (DMBA), a polycyclic aromatic
hydrocarbon (PAH), and 12-O-tetradecanoylphorbol-13-acetate (TPA) a skin tumor
promoter present in croton oil, are clearly implicated in the formation of skin
papilloma. The effect of ethyl acetate extract of Phellinus rimosus, a polypore
macro fungus, against croton oil-induced skin inflammation, lipid peroxidation
and tumor promotion was studied. The antiinflammatory and lipid peroxidation
inhibiting activities were determined by topical application of extract of P.
rimosus (10 and 20 mg) prior to the application of 0.1 ml of 50% croton oil in
acetone. The tumor promotion inhibiting effect of P. rimosus was evaluated
against DMBA-initiated, croton oil promoted two-stage carcinogenesis model in
mouse skin. The results showed that topical application of the extract (10 and 20
mg) significantly (p < 0.01) and dose dependently attenuate the inflammatory
edema as well as lipid peroxidation induced by croton oil. Similarly, topical
application of extract (1 and 5 mg) effectively ameliorated the croton oil
promoted skin papilloma formation. The results of this study concluded that ethyl
acetate extract of P. rimosus showed antitumor activity against DMBA initiated,
croton oil promoted skin papilloma formation which can be partially ascribed to
the antiperoxidative and anti-inflammatory effects of the extract.
PMID- 22070053
TI - The impact of uterine re-curettage, pre-evacuation and week-one level of hCG on
the number of chemotherapy courses in treatment of post molar GTN.
AB - BACKGROUND: Post molar GTN was reported to occur in 7.5-20% of patients following
evacuation of complete hydatidiform moles and in 2.5-7.5% following evacuation of
partial moles. The role of uterine re-curettage in post molar GTN is not clear.
OBJECTIVES: Study of the correlation of pre-evacuation and week- one level of
hCG, and uterine re-curettage to the number of chemotherapy courses in treatment
of post molar GTN. PATIENTS AND METHODS: This retrospective study included 29
cases of post molar GTN through reviewing their medical records. RESULTS: There
were 25 cases (86.21) of low risk, and 4 cases of high risk score (13.79%). The 3
year survival was 96.6%. There were non-significant correlation of age, parity,
pre-evacuation level and hCG in week-1 to number of chemotherapy courses, while
uterine re-curettage was significantly correlated to number of chemotherapy
courses (p = 0.04). CONCLUSION: Uterine re-curettage was significantly correlated
to less number of chemotherapy courses in patients with post molar GTN (p =
0.04). Pre-evacuation and week-1 hCG were not correlated to number of
chemotherapy cycles. A large prospective randomized trial to clarify the
beneficial effect of uterine re-curettage is recommended.
PMID- 22070054
TI - Avocado fruit (Persea americana Mill) exhibits chemo-protective potentiality
against cyclophosphamide induced genotoxicity in human lymphocyte culture.
AB - Diets rich in fruits and vegetables have been associated with reduced risks for
many types of cancers. Avocado (Persea americana Mill.) is a widely consumed
fruit containing many cancer preventing nutrients, vitamins and phytochemicals.
Studies have shown that phytochemicals extracted from the avocado fruit
selectively induce cell cycle arrest, inhibit growth, and induce apoptosis in
precancerous and cancer cell lines. Our recent studies indicate that
phytochemicals extracted with 50% Methanol from avocado fruits help in
proliferation of human lymphocyte cells and decrease chromosomal aberrations
induced by cyclophosphamide. Among three concentrations (100 mg, 150 mg and 200
mg per Kg Body Weight), the most effective conc. of extract was 200 mg/Kg Body
Wt. It decreased significant level of numerical and structural aberrations
(breaks, premature centromeric division etc. up to 88%, p < 0.0001)), and
accrocentric associtation within D & G group (up to 78%, p = 0.0008). These
studies suggest that phytochemicals from the avocado fruit can be utilized for
making active chemoprotective ingredient for lowering the side effect of
chemotherapy like cyclophosphamide in cancer therapy.
PMID- 22070055
TI - Characterization of a murine lung adenocarcinoma (LAC1), a useful experimental
model to study progression of lung cancer.
AB - Lung cancer is one of the most important avoidable causes of death around the
world, the most widespread carcinoma, with a very poor prognosis, and is the
leading cause of cancer death in both developed and developing countries. We
report morphological and biological behavior characteristics of a tumor that
arose in only one BALB/c mouse of an experimental group treated with urethane, a
chemical lung-tumorigenic agent. Morphological and immunochemical analysis
indicated phenotypic compatibility with a lung adenocarcinoma. The tumor was
named LAC1 (lung adenocarcinoma 1). Implant success in eight LAC1-bearing mice
generations was 100%, with a fast evolution (58 survival days) and good
metastatic capacity (41% of animals with metastases). The tumor induced a
paraneoplastic syndrome characterized by anemia, neutrophilia, cachexia,
splenomegaly and thymic atrophy. The lymphoproliferation to Con A was altered in
tumor-bearing mice. This lung adenocarcinoma may be a useful experimental model
for studying tumor progression, paraneoplastic syndromes and immunology in
carcinogenic studies.
PMID- 22070056
TI - CD22 as a target for cancer therapy.
AB - Targeted therapies with monoclonal antibodies have been increasingly incorporated
into the treatment for both lymphoid and myeloid hematological malignancies.
Rituximab, the first approved monoclonal antibody for the treatment of cancer,
has revolutionized our approach to the management of chronic lymphocytic leukemia
and non-Hodgkin's lymphoma. However, there is still an unmet medical need for
novel therapeutic approaches, especially for patients in the relapsed/refractory
setting. Therapeutic agents with specificity against different surface antigens
on malignant B cells hold promise for improving clinical outcome in these
patients. Throughout the last decade, CD22, a B-cell-restricted
phosphoglycoprotein of the immunoglobulin superfamily, has gained considerable
interest as a therapeutic target for B-cell-directed therapies. Several novel
therapeutic agents that selectively target CD22 are being developed as an
alternative approach for cancer treatment. This review summarizes the current
knowledge of CD22 and discusses the rationale for targeting CD22 in B-cell
malignancies with immunotherapeutic agents. This review also describes some of
the most promising investigational anti-CD22 agents for the treatment of B-cell
malignancies.
PMID- 22070057
TI - In vitro studies on Bothrops venoms cytotoxic effect on tumor cells.
AB - BACKGROUND: Animal venoms are complex mixtures of proteins and non proteins
components with several biological activities. Snake venoms represent an
essentially unexplored source of bioactive compounds that may cure disease
conditions which do not respond to currently available therapies. These venoms
possess many pharmacological activities, as cytotoxic and/or lytic effects on
tumor cells in vitro. Herein, were investigated the in vitro cytotoxicity of
three Bothrops venoms in tumor cell lines. METHODS: Cytotoxic effect was
evaluated in HCT-8 (colon - human), SF-295 (nervous system - human), HL-60 (human
leukemia) and MDAMB-435 (breast - human). Cell density and membrane integrity
were determined by the exclusion of propidium iodide. To determine whether
Bothrops venoms treated cells were undergoing an apoptotic and/ or necrosis
death, phosphatidylserine (PS) externalization was measured after the incubation
with the venom. RESULTS: Botrhops venons showed significant cytotoxcity against
all cell lines in study. Cell density and membrane integrity were determined by
the exclusion of propidium iodide. The Bothrops venoms reduced the cell number
and revealed the presence of a necrotic population when the cells was exposed to
the B. pauloensis B. diporus and B. pirajai venoms. To determine whether Bothrops
venoms treated cells were undergoing an apoptotic and/or necrosis death, PS
externalization was measured after the incubation with the venom and it was
observed necrotic and apoptotic cells. CONCLUSIONS: All Bothrops venoms tested
showed cytotoxicity against tumor cell lines through inducing of necrosis and
apoptosis.
PMID- 22070058
TI - Assessing the current status of tobacco dependence education curricula in U.S.
physician assistant programs.
AB - PURPOSE: Tobacco use continues to be the single most preventable cause of death
and disease in the United States. A paradigm shift is needed in physician
assistant (PA) education to address tobacco dependence as a chronic, relapsing
disease requiring patient education, counseling, treatment, and follow-up.
METHODS: A national study was conducted to assess the existing tobacco dependence
education currently offered in U.S. PA programs. An established tobacco
dependence curriculum survey was revised and mailed to the 141 accredited PA
programs in the United States during the 2008-2009 academic year. The survey
asked respondents to report the following with regard to tobacco dependence
education content in their PA program; (1) Perceived self-efficacy and barriers;
(2) Medical topics covered and minutes spent; (3) Evaluation of students'
competency level; (4) Tobacco courses, topics, and resources used; and (5) Level
of tobacco-cessation competency expected upon graduation. RESULTS: A total of 79
surveys were returned (56% response rate). Though, on average, over 827 minutes
(14 hours) were devoted to tobacco dependence education curriculum, most minutes
(223 minutes) were spent on the health effects of tobacco use, with only 42
minutes spent on cessation counseling, 55 minutes on medications, and 13 minutes
on integrating tobacco-cessation into clinical practice. CONCLUSIONS: PA
educators have the unique opportunity to affect tobacco-related morbidity and
mortality. The present study demonstrates that PA instructors are more than
adequately teaching students about tobacco use. However, it is not clear if
students are being adequately taught how to assist patients to quit using
tobacco.
PMID- 22070059
TI - Screening applicants for risk of poor academic performance: a novel scoring
system using preadmission grade point averages and graduate record examination
scores.
AB - PURPOSE: The purpose of this study was to develop an effective screening tool for
identifying physician assistant (PA) program applicants at highest risk for poor
academic performance. METHODS: Prior to reviewing applications for the class of
2009, a retrospective analysis of preadmission data took place for the classes of
2006, 2007, and 2008. A single composite score was calculated for each student
who matriculated (number of subjects, N=228) incorporating the total
undergraduate grade point average (UGPA), the science GPA (SGPA), and the three
component Graduate Record Examination (GRE) scores: verbal (GRE-V), quantitative
(GRE-Q), analytical (GRE-A). Individual applicant scores for each of the five
parameters were ranked in descending quintiles. Each applicant's five quintile
scores were then added, yielding a total quintile score ranging from 25, which
indicated an excellent performance, to 5, which indicated poorer performance.
RESULTS: Thirteen of the 228 students had academic difficulty (dismissal,
suspension, or one-quarter on academic warning or probation). Twelve of the 13
students having academic difficulty had a preadmission total quintile score 12
(range, 6-14). In response to this descriptive analysis, when selecting
applicants for the class of 2009, the admissions committee used the total
quintile score for screening applicants for interviews. Analysis of correlations
in preadmission, graduate, and postgraduate performance data for the classes of
2009-2013 will continue and may help identify those applicants at risk for
academic difficulty. CONCLUSION: Establishing a threshold total quintile score of
applicant GPA and GRE scores may significantly decrease the number of entering PA
students at risk for poor academic performance.
PMID- 22070060
TI - Assessing the value of dual physician assistant/public health degrees.
AB - The popularity of dual physician assistant/master of public health degree
programs continues to increase within US physician assistant (PA) education. The
advantages and disadvantages of pursuing dual degree training have not been fully
explored in the PA literature. Potential advantages of dual training include
broadening of the student's perspective on health and health care beyond the "one
provider, one patient" medical model, increased training in evaluation and use of
the medical literature, increased skill in assessing community factors that
affect the health of patients, enhanced expertise in health care administration
or policy, and improved prospects for future roles as PA faculty members.
Potential drawbacks include increased duration and expense of PA/MPH education,
student burnout due to prolonged training, and the lack of jobs that explicitly
use both halves of the PA/MPH training.
PMID- 22070061
TI - A study of predictive validity of physician assistant students' reported practice
site intent.
AB - PURPOSE: With the downward trend in numbers of primary care physicians, it is
anticipated that mid-level providers will increasingly fill the resulting void in
rural health care. Recruitment of health care providers into rural areas has been
historically difficult, and West Virginia has been at the forefront of developing
programs to address rural recruitment. One of these programs is the West Virginia
Rural Health Education Partnership, which provides rural clinical rotation
opportunities for students from multiple disciplines and schools in West
Virginia. This study assessed the predictive validity of physician assistant (PA)
students' prediction, after completion of rural rotations, of a rural or an urban
practice site and also assessed for factors associated with subsequent rural
practice. METHODS: The Institutional Review Board of West Virginia University
approved this study. The West Virginia Rural Health Education Partnership's
electronic database, which has collected students' postrotation evaluation
information since 2001, was coupled with West Virginia licensing information that
included information on PA practice site(s). Data on 168 practicing West Virginia
PAs who had completed rural rotation questionnaires during their clinical
education were examined. Designation of a rural or an urban practice site was
done using rural-urban commuting area codes. RESULTS: Student prediction of rural
practice was significantly associated with subsequent rural practice (P < 0.04).
Variables most predictive of rural practice were student reporting of high school
hometown as rural and of West Virginia practice intent. CONCLUSIONS: This study
suggests moderate predictive validity of PA student reporting on rural practice
and on West Virginia practice intent; such methods may have potential in
prediction of the future rural PA workforce.
PMID- 22070062
TI - Essentials of corporate governance.
PMID- 22070063
TI - The evidence-based medicine write-up: a tool for EBM skill development and
patient-centered evidence application.
PMID- 22070064
TI - Une Lecon Clinique a la Salpetriere (A clinical lesson at the Salpetriere), Andre
Brouillet (1887).
PMID- 22070065
TI - Strategies for workplace learning used by entry-level physician assistants.
AB - PURPOSE: Physician assistants (PAs) play an increasingly important role in
medicine. While PAs have strong basic science and clinical training in school,
typically they don't participate in postgraduate training and are expected to
expand their generalists' competencies through continuing professional education.
The purpose of this study was to investigate the methods PAs use during their
initial phase of workplace learning and determine which methods are deemed most
effective by PAs. METHODS: This large, cross-sectional study of PAs within the
first 2 years of practice consisted of a survey of PAs investigating the methods
used for assessment of educational needs, instruction, and assessment of
learning. RESULTS: The results revealed that PAs generally found that employers
are well aware of their learning needs and their supervising physicians play an
essential role in their learning. PAs themselves often recognized their learning
needs based on perceived gaps in competencies noted with patient encounters.
Though many PAs reported having an orientation period, most PAs said that this
orientation was not well adapted to their unique learning needs. A variety of
methods were used during workplace learning, with those related to real patient
problems deemed most helpful by PAs. Although PAs reported that their learning
was assessed, review of patient outcome data was uncommon. CONCLUSIONS:
Consistent with principles of adult learning theory, these findings suggest that
PAs find active forms of learning most valuable. Employers and supervising
physicians should consider these findings and the available literature on adult
learning in developing an environment that is supportive of continuing
professional development.
PMID- 22070066
TI - The use of multimedia clinical case scenario software in a problem-based learning
course: impact on faculty workload and student learning outcomes.
AB - PURPOSE: The purpose of this study was to assess the effect of using multimedia
clinical case scenario software in lieu of text-based problem-based learning
(PBL) cases. The specific interests in this study were student learning outcomes
and facilitator utilization. METHODS: The study was conducted with one cohort of
39 physician assistant (PA) students using the seven text-based PBL cases and
adding one DxR Clinician software case. Faculty members facilitated each case. A
second cohort of 41 PA students completed a blended curriculum of four PBL text
cases and four DxR Clinician cases, including the same case used by the first
cohort. The students' clinical reasoning case scores for each cohort were
compared using a two-tailed unpaired t-test. During the second cohort's use of
the DxR clinician cases, facilitators were available to the students upon
request. Facilitator hours for each case in both cohorts were tabulated. A
quantitative analysis of faculty time commitment was performed comparing the
cohorts using a paired t-test. RESULTS: The clinical reasoning score between
cohorts increased 12% with the increased use of multimedia clinical case scenario
software. The savings in faculty facilitator time was 41% using the blended
curriculum of text-based cases and multimedia cases. This time savings could
potentially rise to 92% using multimedia cases exclusively. CONCLUSIONS: The use
of multimedia clinical case scenario software offers an exciting opportunity to
encourage clinical reasoning skills using an updated format for PBL.
Additionally, the faculty time savings due to decreased PBL facilitation would
allow more programs to incorporate PBL into their curriculum.
PMID- 22070067
TI - [The significance of the expression of Col IV and LN in nasal and paranasal sinus
malignant tumor].
AB - OBJECTIVE: To study the pathological relationship between the expression of Col
IV and LN in nasal and paranasal sinus malignant tumor (NPMT). METHOD: The
immunohistochemical technique was used to detected the expression of Col IV and
LN in NPMT, para-cancer tissues and non-cancer tissues. RESULT: There was a
significance on the expression of the Col IV and LN in NPMT, para-cancer tissues
and non-cancer tissues (P<0.01), and no significance in endepidermis and soft
connective tissue of the NPMT (P>0.05). CONCLUSION: The Col IV and LN perhaps
participate in tumorigenesis of NPMT, and may play the homoioplastic role in
different pathological types of the NPMT.
PMID- 22070068
TI - [Aeroallergen spectrum of patients with child allergic rhinitis in Changsha area
of China].
AB - OBJECTIVE: To investigate aeroallergen spectrum and allergy positive rates of
patients with child allergic rhinitis and analyze its related factors. METHOD:
Skin prick test was carried out in 562 cases with child allergic rhinitis using
13 inhaled allergens, and detailed history was collected in all cases. RESULT:
Four hundred and fifty-seven (81.3%) of 562 cases showed positive reaction to at
least one allergen out of 13 allergens. The most common allergens found in
patients was Dermatophagoides farinae and Dermatophagoides pteronyssinus, and
positive reaction was 93.1% in child allergic rhinitis, followed by tropical
mite, Periplaneta americana, Blattella germanica and dog hair. The pollen
allergen, most common in American and European, was pretty rare in this study.
The prevalence of child allergic rhinitis was significantly higher in urban than
in rural (P<0.01). There was no adverse effect appeared in children with allergic
rhinitis underwent skin prick test. CONCLUSION: The major allergen of allergic
rhinitis is mite for child allergic rhinitis, and relates to housing enviroment.
Most of patients with child allergic rhinitis can be treated by the mite specific
immunotherapy. The skin prick test is a safe technique for diagnosis of children
with allergic rhinitis.
PMID- 22070069
TI - [Application of image guidance system in endoscopic optic nerve decompression of
traumatic occlusion optic neuropathy affiliated with cerebrospinal rhinorrhea].
AB - OBJECTIVE: To investigate the advantages of image guidance system in endoscopic
optic nerve decompression of traumatic occlusion optic neuropathy affiliated with
cerebrospinal rhinorrhea. METHOD: Retrospective review of 15 traumatic occlusion
optic neuropathy affiliated with cerebrospinal rhinorrhea at our department
between June 2006 and June 2010. Witch were performed endoscopic optic nerve
decompression and cerebrospinal rhinorrhea euplastic by image guidance system.
RESULT: After 3 months to 1 year follow-up, All the cases with cerebrospinal
rhinorrhea euplastic were successful. Two cases recovered to 0.3-0.6 in visual
activity. Two cases to 0.1-0.3. One case was less than 0.1. Two cases could see
hand movement and 2 cases had light perception. Total effective rate was 60
percent (9/15). CONCLUSION: Image guidance system combined with endoscopy
provides accurate localization and identifies the operative borders and critical
anatomical structure of skull base, optic nerve and internal carotid artery,and
also clearly indicate their adjacent relations,also decreases surgical invasions
and complications. Image guidance system can improve the accuracy and safety,
particularly in local anatomic structure due to the trauma caused by changes in
the relationship. It is a safety and effective therapy method.
PMID- 22070070
TI - [Study of acoustic rhinometry and rhinomanometry for severe obstructive sleep
apnea-hypopnea syndrome].
AB - OBJECTIVE: To study the relationship between nasal airway function and severe
obstructive sleep apnea-hypopnea syndrome (sOSAHS). METHOD: One hundred and four
cases were divided into 3 groups: group A was 39 normal adult men, group B was 25
nonobese patients with sOSAHS (BMI<28), groups C was 40 obese patients with
sOSAHS (BMI > or = 28). Acoustic rhinometry and rhinomanometer was used to
acquire unilateral area of first constriction, unilateral area of second of
constriction, unilateral minimum cross-sectional area,unilateral nasal volume 0-5
cm, 2-5 cm, the effective unilateral and total nasal resistances in inspiration,
expiration at 150 Pa (URins, URexp, TRins, TRexp). RESULT: Nasal resistance were
significantly higher in the group B compared to the group A and group C (P<0.05).
Among the group B, but not the group A and group B, we found significant
correlations between TRins,TRexp and apnea hypopnea index (r=0.402, 0.401,
P<0.05). CONCLUSION: Nasal resistance is an important factor for nonobese
patients with sOSAHS.
PMID- 22070071
TI - [The clinical characters and acoustic rhinometry analyses of 98 cases fugal ball
sinusitis].
AB - OBJECTIVE: To improve the cognition of fungal ball sinusitis (FB) for diagnosing
and treatting it rightly, we retrospectively analyzed the hospitalization cases.
METHOD: We analyzed 98 cases FB patients' first symptom, acoustic rhinometry, CT
manifestation, operation fashion. RESULT: The first symptoms of FB include: 47.2%
nosebleed, 38.8% snuffle, 28.6% headache and face-ache, 4.0% nasal peculiar
smell, 2.0% excessive tear, 4.0% no symptom. Anterior nasoscope inspection
include: 43.9% no significant abnormity, 13.3% nasoseptal deviation. 41.8% nasal
cavity neoplasm (nasal polyp, nasoturbinal polyp, nasal meatus fugal ball etc),
25.5% secretion in nasal cavity. The parameters that conclude the nasal airway
resistance (NAR), nasal cavity volume (NCV) and nasal minimal cross-section area
(NMCA) have extremely difference (P<0.01) between FB and normal volunteers (NV):
the NAR increase, but NCV and NMCA decrease; the Distance of the Minimal cross
sectional area from the nostril (DCAN) appear moving backword compared with NV.
The CT manifestation: almost unilateral lesions, involved paranasal sinuses
present asymmetric or symmetrical opacification: 60.2% with calcified area, 25.5%
with local bone erosion, 74.5% with sinus wall sclerosis. 65.3% of the CT
diagnoses as FB are accord with pathology diagnoses. The incidence of FB involve
maxillary sinus most (54.1%), then sphenoid sinus (11.2%) and ethmoid sinus
(5.1%), frontal sinus (2.0%), multi-sinus (32.7%). All cases operated functional
endoscopy surgery: 13 cases with nasoseptal diorthosis, 4 cases with inferior
meatus opening, 2 case with canine fossa opening; we clean up the fungal pathogen
with different degree endoscope through different path. CONCLUSION: The clinical
symptom of FB is not representative; the acoustic rhinometry show that NAR
increasing but NCV and NMCA decreasing maybe the reason of fungal infection; the
CT examine is helpful for FB diagnosis; the functional endoscopy surgery is
efficient therapy for FB.
PMID- 22070072
TI - [To investigate the relationship of airborne fungi and allergic disease of
respiration system in the city of Wuhan region].
AB - OBJECTIVE: To investigate the relationship between the prevalent species and the
seasonal drift law of airborne fungi and respiratory allergic disease in the city
of Wuhan. METHOD: Airborne fungi was investigated by exposed sides from 2007 to
2008, and 1674 patients with respiratory allergic disease that were used to do
allergens skin test simultaneously, in order to analyze the simultaneous. RESULT:
Airborne fungi could be detected in air all over the year. In 2007, the exposed
films collected 26 734 fungi spores, and 686 other fungi (hypha and not well
known fungi), to the sum of 27420. Otherwise, in 2008, the exposed films
collected 26 531 fungi spores, and 730 other fungi, to the sum of 27 261. In the
survey, 17 types of species of the collected fungi spores were identified, and
the predominant species were alternaria sp, ustilaginales, deightoniella sp,
uredinales, and the quantity of Fungi alternaria was most of all . And the peak
period mainly concentrated from April to June, and from September to October.
Otherwise, the positive rate of fungi skin test in patients with respiratory
allergic disease was 10.48% in 2007, but 10.07% in 2008. The main period of onset
of respiratory allergic disease was from April to June, and from September to
October, similar as the seasonal drift law of airborne fungi. CONCLUSION: The
period of onset of respiratory allergic disease was consistent with the seasonal
drift law of airborne fungi.
PMID- 22070073
TI - [The analysis on the allergen test of the allergic rhinitis with 1564 cases in
Changji district].
AB - OBJECTIVE: To investigate the allergen distribution of subjects suffered from the
allergic rhinitis in Changji district. METHOD: Skin prick test was employed on
all the 1 564 sufferers by 17 sorts of allergic stock solution, with the
physiological saline to be the negative control and the histamine to be the
positive control. RESULT: The positive rate of allergen citanest was 85.17%.
Furthermore, Chenopodium and Lupulus, as the main allergen substances, were found
out to be the highest positive rate among 1332 subjects who were detected to be
positive, with pollen of trees following. Whereas, the positive rate of allergic
rhinitis caused by dust mite, fungus and canine epidermis was relatively low.
CONCLUSION: The main allergens of the allergic rhinitis in Changji district are
Chenopodium and Lupulus, similar as the dust mite. The allergen prick test, which
is characterized as accuracy, sensitiveness and fastness, is an essential way to
seek and screen those allergens. Thus, it can provide scientific instruction to
the prevention of the allergic rhinitis in Changji district.
PMID- 22070074
TI - [Kinetic expression of T-bet and GATA-3 genes in rat allergic rhinitis models].
AB - OBJECTIVE: To investigate kinetic expression of genes T-bet and GATA-3 in blood
mononuclear cells (BMCs) in allergic rhinitis rats model at different stages of
development of allergic rhinitis. METHOD: Twenty SD rats (including 10 male and
10 female) were divided into 2 groups, experimental group and control group,
randomly, 10 rats for each group. Ten rats in experimental group were sensitized
and intranasally challenged by OVA, aluminium hydroxide hydrate gel and
Bordelella pertussis inactive microorganism suspension (B. pertussis) adjuvants,
as allergic rhinitis models, Ten rats in control group were investigated using
physiological saline only. BMCs were separated from 2 ml blood which was
extracted from rat heart at the end of sensitization, 10- hour after the first
challenge and 10-hour after the final challenge, respectively. RT-PCR was
utilized to detect the expression of T-bet and GATA-3. RESULT: At the end of
sensitization, 10-hour after the first challenge and 10-hour after the final
challenge, in experimental group, Relative quantitation of expression of T-bet
was 0.404 +/- 0.187, 1.676 +/- 0.708, 0.503 +/- 0.514 and that of GATA-3 was
0.434 +/- 0.147, 0.600 +/- 0.480, 1.029 +/- 0.690, respectively. While, In
control group, Relative quantitation of expression of T-bet was 0.487 +/- 0.212,
0.486 +/- 0.148, 0.495 +/- 0.103 and and its of GATA-3 was 0.596 +/- 0.249, 0.474
+/- 0.101, 0.550 +/- 0.119, respectively. At 10-hour after the first challenge,
relative quantitation of expression of T-bet in experimental group was increased
markedly and there was significant difference compared with contol group (t=4.18,
P<0.01). In experimental group, The amount of expression of T-bet at 10-hour
after first challenge was higher than it at 10-hour after the final challenge and
there was markedly difference (t=5.14, P<0.01). The amount of expression of T-bet
at 10-hour after first challenge was increased significantly compared with it at
the end of sensitization (t= 5.27, P<0.01). while, the expression of GATA-3 at 10
hour after final challenge was markedly increased compared with it at the end of
sensitization (t= 3.51, P<0.05) and was higher than it at 10-hour after first
challenge (t=2.53, P<0.05). At 10-hour after final challenge, The amount of
expression of GATA-3 in experimental group was significantly higher than it in
control group (t=2.71, P<0.05). However, both the expression of GATA-3 and T-bet
had not markedly changed in control group. CONCLUSION: It is thought that the
development of allergic rhinitis is a successive and sequencing kinetic course,
and imbalance of expression of GATA-3 and T-bet may be genetic base on allergic
rhinitis, both GATA-3 and T-bet were involved in allergic rhinitis only in
different phase of development of allergic rhinitis.
PMID- 22070076
TI - [Individual management of Meniere's disease and evaluation of functional
outcome].
AB - OBJECTIVE: To investigate individual management for Meniere's disease and analyze
outcomes of nonsurgical and surgical management of Meniere's disease. METHOD:
Patients with Meniere's disease were staged according to hearing and quality of
life. The individual management according to the staging was established,
including outpatient treatment (lifestyle change, medical management and
intratympanic steroids), endolymphatic sac decompression or drainage, vestibular
neurectomy and labyrinthectomy. The characteristics of patients who underwent
surgical management were analyzed. The functional outcomes of surgery in
dizziness, hearing loss and quality of life were evaluated for 12-month
postoperative follow-up. RESULT: Twenty patients underwent intratympanic
injection of dexamethasone. Dizziness improved in 70% (14/20), tinnitus improved
in 41% (7/17), and aural fullness improved in 36% (4/11). There were 55 patients
who underwent surgical managements for 57 times. Endolymphatic sac decompression
or drainage was carried out in 27 patients for 28 times, vestibular neurectomy in
26 patients and labyrinthectomy in 3 patients. Vertigo control rate was 75% in
patients with endolymphatic sac decompression or drainage, 100% in vestibular
neurectomy and 100% in labyrinthectomy at 12-month postoperative follow-up.
CONCLUSION: The non-surgical management and endolymphatic sac decompression or
drainage can improve vertigo and ameliorate quality of life. Vestibular
neurectomy and labyrinthectomy are effective surgical managements to eliminate
vertigo. The management of Meniere's disease depends on several factors: stages
of vertigo and hearing, quality of life, surgical contraindications and
subjective desire. Therefore, the management for Menieres disease must be
individualized for each patient.
PMID- 22070075
TI - [Comparative study of three primary culture methods of human epithelial cells of
nasal polyps in vitro].
AB - OBJECTIVE: To compare three primary culture methods of human epithelial cells of
nasal polyps in vitro, and explore a suitable method to be used in further study.
METHOD: Shape and appearance of human epithelial cells of nasal polyps were
observed, and success ratio and growth curve of primarily cultural were achieved
by enzymatic dissociation, isolated cell ABC culture and tissue piece culture
method respectively. RESULT: By comparing achievement ratios, the isolated cell
ABC culture method (87.5%) was shown to be superior to enzymatic dissociation
(78.13%) and tissue piece culture methods (83.33%), but no statistical
significance was found (P>0.05). The growth curves of two isolated cell culture
methods were higher than that of tissue piece culture. CONCLUSION: The isolated
cell ABC culture model is more suitable for primary culture due to its faster
proliferation, less promiscuity, and more stable and reliable cell supply for
nasal polyps research.
PMID- 22070077
TI - [Study of hearing loss in 200 patients with subjective tinnitus].
AB - OBJECTIVE: To analyze the hearing loss profiles in patients with tinnitus, and
then provide clinical foundation for further studying the etiology and
examination methods of tinnitus. METHOD: Ear specialist examination, acoustic
impedance test,normal frequency pure tone audiometry and extended high frequency
audiometry were applied to 200 patients with chief complaint of subjective
tinnitus. RESULT: Among the 200 tinnitus cases, 123 (61.5%) patients were
diagnosed with unilateral tinnitus, 77 (38.5%) patients with bilateral tinnitus
and 46 (23.0%) cases with normal hearing. In those patients with unilateral
tinnitus, by comparing the hearing threshold of affected side and contralateral
side (0.125-8 kHz), the difference was statistically significant (P < 0.05), but
in extended high frequency (> 10 kHz), the difference between two groups was not
statistically significant (P > 0.05). There was significant difference in hearing
threshold between tinnitus patients with normal and abnormal hearing in normal
frequency (P < 0.05), meantime the detection rate in abnormal hearing group was
lower than the normal group. CONCLUSION: Tinnitus can occur in people with normal
hearing. Early in tinnitus,further study need be undertaken on whether the
audiometry extended high frequency can offer the early evidence of hearing loss
for tinnitus patients or not.
PMID- 22070078
TI - [A pre- and post-treatment study of quality of life in patients with benign
paroxysmal positional vertigo].
AB - OBJECTIVE: To explore the effect of canalith repositioning procedure (CRP) on the
quality of life (QOL) in patients with benign paroxysmal positional
vertigo(BPPV). METHOD: The clinical data of 86 patients with BPPV (treatment
group) and 120 normal ones (control group) were reviewed through the medical
outcomes study short form (SF-36)and the dizziness handicap inventory (DHI), and
the results of two groups were analyzed. RESULT: With SF-36 scales for evaluation
of QOL, the results showed that the scores of treatment group before CRP were
significantly lower than that of the control group (P < 0.05). While using of DHI
scales in evaluation of the treatment group patients before CRP, the results were
significantly higher than that of the control group (P < 0.05). After CRP for 3
months, not only with SF-36 scales but also with DHI scales, there were no
significant difference between the two groups (P > 0.05). CONCLUSION: CRP may
obviously improve the clinical symptom of BPPV patients. The SF-36 and DHI scales
could reflect the change of BPPV patient's QOL.
PMID- 22070079
TI - [Preliminary investigation of psychologic factors in 76 tinnitus patients].
AB - OBJECTIVE: To study the psychological aspects of tinnitus patients, to analyze
the distribution of psychologic obstacle in tinnitus patients, and then to
provide information for diagnosing and treating tinnitus clinically. METHOD: All
patients were detected their frequency and loudness of tinnitus. Then they were
evaluated by symptom checklist 90 (SCL-90), life satisfaction scale, Pittsburgh
sleep quality index (PSQI) and tinnitus handicap inventory (THI). All data were
analyzed with statistical software SPSS11.0. RESULT: (1)There was no straight
line correlation between frequency, loudness of tinnitus and the patient's scores
from SCL-90, life satisfaction rating scale (LSR), life satisfaction index A
(LSIA), LSIB, PSQI, THI. (2) To 76 tinnitus patients, some factors of SCL-90 were
higher than internal nom. Compared with internal nom, tinnitus patients' score of
LSR, LSIA and LSIB were all lower than it. Many of tinnitus patients had sleep
disorder, the ratio was higher than internal nom. (3) Grouping these patients,
based on the score of THI. To THI four grade group and THI five grade group,
their satisfaction of lives were lower, some factors of SCL-90 were higher than
internal nom. To THI five grade group, the ratio about sleep disorder was higher
than internal nom. CONCLUSION: There is no straight line correlation between
frequency, loudness of tinnitus and the patient's scores from SCL-90, LSR, LSIA,
LSIB, PSQ1, THI. Grouping based on the score of THI, the groups of THI four grade
and THI five grade are approved that they have psychologic obstacle obviously,
they should be paid close attention.
PMID- 22070080
TI - [Clinical effectiveness of REZ-I cochlear implants in post-lingual deafened
Chinese dialect speakers].
AB - OBJECTIVE: To investigate the improvement of severe-to-profound hearing-impaired
adults received REZ-I cochlear implant and to give an analysis to some Chinese
dialect speakers. METHOD: With standard assessment table and standard testing
program, 48 post-lingual hearing-impaired adults were divided into Mandarin
language group (41 cases) and dialect group (7 cases). All of them were tested
and scored for their hearing and speech perception abilities after 90 days
rehabilitation. Sound field audio-metrics were performed at the same time.
Clinical effectiveness of each subject in the two groups were assessed according
to criteria, and efficacy index were statistically analyzed. RESULT: The total
effective rates were 100% in both groups after 90 days hearing and speech
perception rehabilitation. The clinical effectiveness were equal and there was no
statistic significant differences between the groups. CONCLUSION: REZ-I cochlear
implantation has similar effect for Chinese dialect spoken adults compared to
mandarin spoken adults with post-lingual severe-to-profound hearing loss via
appropriate postoperative rehabilitation.
PMID- 22070081
TI - [Clinical evaluation of acute low-tone sensorineural hearing loss].
AB - OBJECTIVE: To assess the effect of treatment on acute low-tone sensorineural
hearing loss and the related factors on the prognosis. METHOD: A total of 398
inpatients with sudden deafness were retrospectively analyzed. Among them, 41
cases were diagnosed as acute low-tone hearing loss and then subjected to
combined treatment with glucocorticoids. The relativity between patients' gender,
disease duration and age of onset and curative effect were analyzed. RESULT: The
acute low-tone sensorineural hearing loss accounted for 10.30% of sudden
deafness. Among which, female cases were significantly more than men, accounting
for 70.73% of the total. At the first diagnosis, the average hearing threshold of
three low tones was (48.43 +/- 11.67) dB against (18.86 +/- 9.40) dB of three
high tones. After treatment, those two values were (27.07 +/- 11. 52) dB and
(17.60 +/- 9.15) dB, respectively. There was statistical difference between three
low tones and three high tones before treatment (t = 15.42, P < 0.01). There was
statistical difference for three low tones before and after treatment (t = 10.69,
P < 0.01) while there was no difference for the high ones before and after
treatment(t = 1.93, P > 0.05). No obvious difference of the cure rate and
effectiveness was found between male and female patients (P > 0.05). The
incidence of acute low-tone sensorineural hearing loss was high in the age range
of 21-40 years, accounting for 68.29% of the total. The cure rates were
statistically different between patients less than 40 years old and 41-60 years
old (chi2 = 6.662, P < 0.05), but the effectiveness had no difference (P > 0.05).
There was no difference of cure rate and effectiveness between those with
duration less than or equal to 7 days and those with more than 7 days (P > 0.05).
CONCLUSION: The combined therapy with glucocorticoids shows good effects on
treating acute low-tone sensorineural hearing loss. Neither gender nor duration
affect the prognosis. Age of onset is related to the prognosis.
PMID- 22070082
TI - [Meta-analysis of the effects of radiotherapy and surgery on carcinoma of the
middle ear].
AB - OBJECTIVE: To evaluate the effects of radiotherapy and surgery on carcinoma of
the middle ear. METHOD: A review of five published literatures was conducted
according to defined selection criteria by the Review Manager 5.0 statistical
software. RESULT: There were no systematic reviews or large-scale RCTs between
radiotherapy and symptomatic treatment containing surgery and radiotherapy for
carcinoma of the middle ear. CONCLUSION: Radiotherapy and symptomatic treatment
for carcinoma of the middle ear have no obvious differences. The radiotherapy is
the first choice for the treatment of squamous cell carcinoma of the middle ear.
PMID- 22070083
TI - [Tympanoplasty with soft-wall reconstruction of ear canal].
AB - OBJECTIVE: To observe the therapeutic effect of tympanoplasty with soft-wall
reconstruction of ear canal for chronic otitis media with cholesteatoma. METHOD:
Seventy-three patients (76 ears) suffering from chronic otitis media with
cholesteatoma were treated with canal wall down mastoidectomy with tympanoplasty.
Postauricular myo-periosteal flap was used to the soft-wall reconstruction of ear
canal, and the cavityplasty of auricular concha was not performed. The auricular
bone prosthesis was made of the autogeneic mastoid cortical bone or residual
incus. The postoperative modality and the function of external auditory canal and
the postoperative hearing and the postoperative complications were observed.
RESULT: The mean dry ear time was (21.1 +/- 3. 1) days after surgery in this
study. The postoperative modality of external auditory canal was normal on the
whole. The patients were followed up between 6 months and 24 months after
surgery. The postoperative average air conduction hearing was improved by (14.5
+/- 6.1) dB HL. CONCLUSION: Tympanoplasty with soft-wall reconstruction of ear
canal using the postauricular myo-periosteal flap can recover the modality and
function of external auditory canal on the whole, and the cavityplasty of
auricular concha is not needed. The postoperative hearing can be improved by this
technique satisfactorily.
PMID- 22070085
TI - [The cloning and sequencing of SD rat Atoh1 gene CDS region].
AB - OBJECTIVE: To clone Atoh1 gene coding sequence of SD rat and construct the
Eukaryotic expression plasmid pAtoh1-IRES2-EGFP,and to study its expression in
293T cells. METHOD: Total RNA was extracted from colon of SD rat. Atoh1 cDNA was
obtained by RT-PCR amplification and subcloned into PMD-19T vector. The purified
digested fragment was connected into Eukaryotic expression vector pIRES2-EGFP to
construct the recombinant plasmid. The recombinant expression plasmid was
identified by enzyme digestion and sequence analysis and then transfected into
293T cells with Lipofectamine. The expression of green fluorescent protein was
detected through fluorescence microscope. RESULT: Compared cloned DNA sequence of
Atoh1 gene CDS area with the reference sequences published in GeneBank, there
were two base nonsense mutation in the sequence, deduced amino acid of cloning
sequences as the same as reference sequences. Two bases should be single
nucleotide polymorphism. Results of enzyme digestion and sequencing confirmed the
successful construction of the recombinant plasmid. The expression of the green
fluorescent protein was observed in the transfected 293T cells 24 h after
transfection by fluorescence microscope. CONCLUSION: pIRES2-EGFP-Atoh1 can be
constructed and expressed successfully in the 293T cells, which will guide
further research on gene therapy for sensorineural hearing loss.
PMID- 22070084
TI - [Aberrant promoter hypermethylation of CHFR in nasopharyngeal carcinoma].
AB - OBJECTIVE: To discover the relationship of transcriptional levels and promoter
methylation status of CHFR gene in human nasopharyngeal carcinoma,to discuss the
significance and epigenetic mechanism of CHFR inactivation in NPC, and to
evaluate the feasibility of detecting methylated CHFR in nasopharyngeal swab as a
means for diagnosis of NPC. METHOD: Transcriptional levels of CHFR was evaluated
by RT-PCR. Methylation specific PCR was used to detect the methylation status of
CHFR in NPC cells, normal nasopharyngeal epithelia, primary tumors and their
paired nasopharyngeal swabs. Detailed methylation status was confirmed by
bisulfite sequencing. NPC cells were treated by the methyltransferase inhibitor 5
aza-dC and the reactivation of CHFR was evaluated by RT-PCR. RESULT: CHFR
transcription was inactivated in NPC. The methylation frequency in NPC primary
tumors and their paired swabs were 65.5% and 63.8%, respectively, with a 86.2%
concordance. Bisulfite sequencing revealed a dense methylation in NPC cells and
primary tumors, but all the normal nasopharyngeal epithelia were unmethylated.
CHFR expression were restored after 5-aza-dC treatment. CONCLUSION: CHFR is
epigenetically inactivated by promoter methylation in NPC. Detecting methylated
CHFR can be served as a useful non-invasive means for diagnosis of NPC.
PMID- 22070086
TI - Using trace elements in particulate matter to identify the sources of
semivolatile organic contaminants in air at an alpine site.
AB - An approach using trace elements in particulate matter (PM) to identify the
geographic sources of atmospherically transported semivolatile organic
contaminants (SOCs) was investigated. Daily samples of PM and SOCs were collected
with high-volume air samplers from 16 January to 16 February 2009 at Temple
Basin, a remote alpine site in New Zealand's Southern Alps. The most commonly
detected pesticides were dieldrin, trans-chlordane, endosulfan I, and
chlorpyrifos. Polycyclic aromatic hydrocarbons and polychlorinated biphenyls were
also detected. For each sampling day, the relative contribution of PM from
regional New Zealand versus long-range Australian sources was determined using
trace element profiles and a binary mixing model. The PM approach indicated that
endosulfan I, indeno[1,2,3-c,d]pyrene, and benzo[g,h,i]perylene found at Temple
Basin were largely of Australian origin. Local wind observations indicated that
the chlorpyrifos found at Temple Basin primarily came from the Canterbury Plains
in New Zealand.
PMID- 22070087
TI - A native disulfide stabilizes non-native helical structures in partially folded
states of equine beta-lactoglobulin.
AB - Equine beta-lactoglobulin (ELG) assumes non-native helices during refolding and
in partially folded states. Previously, circular dichroism (CD) combined with
site-directed mutagenesis identified helical regions in the acid- and cold
denatured states of ELG. It is also known that a fragment of ELG, CHIBL (residues
88-142), has a structure similar to that of the cold-denatured state. For the
study reported herein, the structure of a shorter fragment, CHIBLDeltaF (residues
97-142), was investigated by CD and nuclear magnetic resonance spectroscopy. The
secondary chemical shifts clearly showed that non-native alpha-helices are
present in two different regions, residues 98-107 and 114-135, and are connected
by a native disulfide bond. The CD spectra of two peptides that correspond to the
helical regions are characterized by weak helical signatures, and the sum of
their CD spectra is nearly the same as the spectrum of disulfide-reduced
CHIBLDeltaF. Therefore, the non-native helices are stabilized by the disulfide,
and non-native helix formation may occur only during the refolding of the
disulfide-intact protein. Supporting this conclusion is the observation that tear
lipocalin, a homologue of ELG that lacks the disulfide, does not form non-native
helices during folding.
PMID- 22070088
TI - Analysis of nonextractable phenolic compounds in foods: the current state of the
art.
AB - More than 500 phenolic compounds have been reported as present in foodstuffs, and
their intake has been related to the prevention of several chronic diseases. Most
of the literature on phenolic compounds focuses on those present in the
supernatant of aqueous-organic extractions: extractable phenolics. Nevertheless,
significant amounts of phenolic compounds remain in the solid residues after such
extractions. These nonextractable phenolics are mostly proanthocyanidins,
phenolic acids, and hydrolyzable tannins that are closely associated with the
food matrix. Studies of this fraction of dietary phenolic compounds are scarce,
and the few there are usually refer to particular types of phenolics rather than
to the fraction as a whole. The present review reports the state-of-the-art
methods that currently exist for analyzing nonextractable phenolic compounds in
foods.
PMID- 22070089
TI - Small molecular therapies for rheumatoid arthritis: where do we stand?
AB - INTRODUCTION: Early aggressive treatment and utilization of targeted biological
therapies for rheumatoid arthritis (RA) has dramatically improved patient
outcomes. Even with this approach up to 50% of patients fail to achieve a
significant clinical response and these therapies require subcutaneous injection
or intravenous administration and are costly. Development of small molecules that
can be administered orally has progressed and several are under investigation as
potential treatments for RA. This manuscript will update the status of the small
molecules under development. AREAS COVERED: This review summarizes the newer
molecular protein kinase targets involved in signal transduction of inflammatory
mediators and the development of inhibitors to these kinases. Additionally, the
results of clinical trials evaluating these therapies will be reviewed. EXPERT
OPINION: Based on the results of randomized clinical trials there is significant
likelihood that a small molecular therapy to kinases involved in proinflammatory
cytokine production will soon be available in the clinic. The benefit/risk
profile is presently being determined in multiple late phase clinical trials.
PMID- 22070090
TI - European veterinary dissertations.
PMID- 22070091
TI - Theatrical entertainments and kind words: nursing the insane in Western North
Carolina, 1882-1907.
AB - This paper argues that at the turn of the 19th century, nurses at the State
Hospital in Morganton, North Carolina (now called Broughton Hospital) played
critical roles in successfully implementing the best-known therapeutic methods of
the time. They were also instrumental in developing the hospital's visibility and
acceptance in rural western North Carolina. When the Hospital established its
first nurse training school in 1895, this corps of first-generation western North
Carolinians practising institutional nursing was highly esteemed in their field.
Their skills not only served the community outside of the Hospital's walls, but
were also sought out by other private and state asylums.
PMID- 22070094
TI - Appendiceal goblet cell metaplasia and benign obstructive mucus retention in
children: report of eight cases and review of the literature.
AB - We report the clinical-pathologic study of 8 cases of pediatric benign,
postobstructive, appendiceal mucus retention in patients 3-15 years of age. The
appendices showed very limited acute inflammation. Their most significant change
consisted of minimal to mild dilatation of the distal lumen, which was filled
with mucus and lined by an epithelium showing often dramatic increase in mucus
cells devoid of atypia and without any of the villous proliferation reported in
instances of "mucosal hyperplasia" observed in adult patients. In all 8 cases,
there was seepage of mucus through the appendiceal wall into the surrounding
tissue, eliciting a macrophagic reaction. Proximal to the zones of mucus
retention, the lumen of 2 of the appendices was obstructed by a fecalith, and 2
exhibited fibrous obliteration of the lumen at that site. We believe the
condition results from obstruction and focal inflammation, which isolate the
distal portion of the appendix and elicit goblet cell metaplasia, the secretion
of which is followed by luminal distention, eventual rupture, and seepage of
mucus within the wall and the mesoappendix. The clinical and radiologic picture
of this condition may be mistaken for that of an acute appendicitis complicated
by rupture and abscess formation. The report provides an opportunity to describe
and characterize "appendiceal mucosal goblet cell metaplasia," a seldom mentioned
entity associated with benign appendiceal obstructive mucus retention in
children.
PMID- 22070093
TI - Overexpression of GCN2-type protein kinase in wheat has profound effects on free
amino acid concentration and gene expression.
AB - A key point of regulation of protein synthesis and amino acid homoeostasis in
eukaryotes is the phosphorylation of the alpha subunit of eukaryotic translation
initiation factor 2 (eIF2alpha) by protein kinase general control
nonderepressible (GCN)-2. In this study, a GCN2-type PCR product (TaGCN2) was
amplified from wheat (Triticum aestivum) RNA, while a wheat eIF2alpha homologue
was identified in wheat genome data and found to contain a conserved target site
for phosphorylation by GCN2. TaGCN2 overexpression in transgenic wheat resulted
in significant decreases in total free amino acid concentration in the grain,
with free asparagine concentration in particular being much lower than in
controls. There were significant increases in the expression of eIF2alpha and
protein phosphatase PP2A, as well as a nitrate reductase gene and genes encoding
phosphoserine phosphatase and dihydrodipicolinate synthase, while the expression
of an asparagine synthetase (AS1) gene and genes encoding cystathionine gamma
synthase and sulphur-deficiency-induced-1 all decreased significantly. Sulphur
deficiency-induced activation of these genes occurred in wild-type plants but not
in TaGCN2 overexpressing lines. Under sulphur deprivation, the expression of
genes encoding aspartate kinase/homoserine dehydrogenase and 3-deoxy-D-arabino
heptulosonate-7-phosphate synthase was also lower than in controls. The study
demonstrates that TaGCN2 plays an important role in the regulation of genes
encoding enzymes of amino acid biosynthesis in wheat and is the first to
implicate GCN2-type protein kinases so clearly in sulphur signalling in any
organism. It shows that manipulation of TaGCN2 gene expression could be used to
reduce free asparagine accumulation in wheat grain and the risk of acrylamide
formation in wheat products.
PMID- 22070095
TI - Impact of alcohol habits and smoking on the risk of new-onset atrial fibrillation
in hypertensive patients with ECG left ventricular hypertrophy: the LIFE study.
AB - BACKGROUND: The incidence of new-onset atrial fibrillation (AF) is increased by
uncontrolled hypertension, and antihypertensive treatment reduces new-onset AF.
However, it is unclear whether alcohol intake and smoking influence the risk of
new-onset AF during antihypertensive treatment. METHODS: In the Losartan
Intervention For Endpoint reduction in Hypertension (LIFE) study, a double
blinded, randomized, parallel-group study, 9193 hypertensive patients with
electrocardiogram (ECG)-documented left ventricular hypertrophy (LVH), randomized
to once-daily losartan- or atenolol-based antihypertensive therapy were followed
for a mean of 4.8 years. At baseline, 8831 patients (54% women, mean age 67
years, mean blood pressure 174/98 mmHg after placebo run-in) had neither a
history of AF nor AF on ECG, and they were thus at risk of developing this
condition during the study. RESULTS: New-onset AF occurred in 353 (4%) patients.
Univariate Cox analyses showed that intake of alcohol > 10 units/week compared
with less or no alcohol intake predicted new-onset AF (Hazard ratio, HR = 1.60
[95% CI 1.02-2.51], p = 0.043). Multivariate Cox regression analysis showed that
intake of alcohol > 10 units/week predicted new-onset AF (p = 0.010)
independently of most other univariate predictors, except when also baseline
serum cholesterol, serum potassium and urinary albumin/creatinine ratio were
included in the model (HR = 1.60 [95% CI 0.94-2.72], p = 0.081). Impact of
smoking was not significant in Cox univariate or multivariate analyses, and there
were no significant interactions between high alcohol intake and either smoking
or gender on the risk of getting AF. CONCLUSIONS: Up to 10 drinks of alcohol per
week appears to be safe with respect to the risk for AF in hypertensive patients
with LVH. Our data suggest that alcohol intake above this level may be marginally
deleterious, while no effect of smoking on risk of AF was detected in
hypertensive patients with LVH.
PMID- 22070097
TI - Consensus on equine tendon disease: building on the 2007 Havemeyer symposium.
PMID- 22070096
TI - Intercepting Wacker intermediates with arenes: C-H functionalization and
dearomatization.
AB - An intramolecular cyclization cascade reaction has been developed utilizing a
high valent palladium intermediate that generates a carbon-carbon and carbon
oxygen bond in a single transformation. This method provides rapid access to
highly functionalized tricyclic scaffolds, including spirocyclic
cyclohexadienones. Good yields and mild conditions are reported with high
tolerance toward oxygen and water.
PMID- 22070098
TI - Contribution of "modified genetic sonography" to the combined test as a screening
method for chromosomal abnormalities.
AB - OBJECTIVES: We propose to assess the contribution of "modified genetic
sonography" (MGS) to the combined test (CT) as a method of stepwise sequential
screening (1st step: CT, 2nd step: MGS) for chromosome abnormalities in the
general population of pregnant women. METHODS: Prospective study. During a 4 year
study period (July 2005-June 2009) 16,548 pregnancies underwent a CT combined
with MGS (major malformation and nuchal fold) as a screening method for
chromosome abnormalities. We assessed sensitivity and false positive rate (FPR)
(95% CI). RESULTS: We offered a chromosome abnormalities screening test to 96.6%
of pregnancies (15,995 cases). 14,160 cases are analyzed (1st step: CT, 2nd step:
MGS) including 49 chromosome abnormalities and 35 Down's syndrome (DS). The
sensitivity of CT for DS was 77.1% [95% CI, 63.2-91] (27/35) and 77.5% for all
chromosome abnormalities [95% CI, 65.8-89.2] (38/49) with a FPR of 4.4% [95% CI,
4.1-4.7]. If MGS was combined with CT, the sensitivity for DS was 91.4% [95% CI,
82.1-99] (32/35) and 93.8% for all chromosome abnormalities [95% CI, 87-99]
(46/49) for a FPR of 5.1% [95% CI, 4.7-5.5]. CONCLUSIONS: The addition of an MGS
to combined first-trimester screening test for aneuploidy improved sensitivity by
14.3% while only increasing the FPR by 0.7%.
PMID- 22070099
TI - Cytochrome c-mediated formation of S-nitrosothiol in cells.
AB - S-nitrosothiols are products of nitric oxide (NO) metabolism that have been
implicated in a plethora of signalling processes. However, mechanisms of S
nitrosothiol formation in biological systems are uncertain, and no efficient
protein-mediated process has been identified. Recently, we observed that ferric
cytochrome c can promote S-nitrosoglutathione formation from NO and glutathione
by acting as an electron acceptor under anaerobic conditions. In the present
study, we show that this mechanism is also robust under oxygenated conditions,
that cytochrome c can promote protein S-nitrosation via a transnitrosation
reaction and that cell lysate depleted of cytochrome c exhibits a lower capacity
to synthesize S-nitrosothiols. Importantly, we also demonstrate that this
mechanism is functional in living cells. Lower S-nitrosothiol synthesis activity,
from donor and nitric oxide synthase-generated NO, was found in cytochrome c
deficient mouse embryonic cells as compared with wild-type controls. Taken
together, these data point to cytochrome c as a biological mediator of protein S
nitrosation in cells. This is the most efficient and concerted mechanism of S
nitrosothiol formation reported so far.
PMID- 22070100
TI - Cigarette smoke increases TLR4 and TLR9 expression and induces cytokine
production from CD8(+) T cells in chronic obstructive pulmonary disease.
AB - BACKGROUND: Cigarette smoke is a major risk factor for chronic obstructive
pulmonary disease (COPD), an inflammatory lung disorder. COPD is characterized by
an increase in CD8(+) T cells within the central and peripheral airways. We
hypothesized that the CD8(+) T cells in COPD patients have increased Toll-like
receptor (TLR) expression compared to control subjects due to the exposure of
cigarette smoke in the airways. METHODS: Endobronchial biopsies and peripheral
blood were obtained from COPD patients and control subjects. TLR4 and TLR9
expression was assessed by immunostaining of lung tissue and flow cytometry of
the peripheral blood. CD8(+) T cells isolated from peripheral blood were treated
with or without cigarette smoke condensate (CSC) as well as TLR4 and TLR9
inhibitors. PCR and western blotting were used to determine TLR4 and TLR9
expression, while cytokine secretion from these cells was detected using
electrochemiluminescence technology. RESULTS: No difference was observed in the
overall expression of TLR4 and TLR9 in the lung tissue and peripheral blood of
COPD patients compared to control subjects. However, COPD patients had increased
TLR4 and TLR9 expression on lung CD8(+) T cells. Exposure of CD8(+) T cells to
CSC resulted in an increase of TLR4 and TLR9 protein expression. CSC exposure
also caused the activation of CD8(+) T cells, resulting in the production of IL
1beta, IL-6, IL-10, IL-12p70, TNFalpha and IFNgamma. Furthermore, inhibition of
TLR4 or TLR9 significantly attenuated the production of TNFalpha and IL-10.
CONCLUSIONS: Our results demonstrate increased expression of TLR4 and TLR9 on
lung CD8(+) T cells in COPD. CD8(+) T cells exposed to CSC increased TLR4 and
TLR9 levels and increased cytokine production. These results provide a new
perspective on the role of CD8(+) T cells in COPD.
PMID- 22070101
TI - In-house information about and contact with self-help groups in breast cancer
patients: associated with patient and hospital characteristics?
AB - The number of breast cancer patients who are informed about and have contact with
patient self-help groups (SHGs) during their hospital stay varies across
hospitals. The aim of this study is to investigate which patient and hospital
characteristics contribute to these differences. Multilevel regression analysis
was applied, using data on hospital characteristics and data from a patient
survey, which catalogued the disease and socio-demographic characteristics of
newly diagnosed breast cancer patients, and recorded if they were informed about
and had contact with SHGs during their hospital stay. Data from 2639 patients
from 82 hospitals were analysed. The odds of being informed about SHGs were
significantly lower if patients were treated at a teaching hospital. Patients
aged 40 to 59 years significantly more often reported that they were informed
about SHGs than patients aged 60 to 69 years. Patients with the highest education
certificates significantly more often reported that they both were informed about
and have had contact with SHGs. These results suggest that in teaching hospitals,
information provided to patients about SHGs is reduced. Furthermore, patients are
differentially given information about SHGs and have different levels of contact
with SHGs, based on their age and education.
PMID- 22070103
TI - Errata.
PMID- 22070104
TI - Preface.
PMID- 22070102
TI - Clients with intellectual disabilities on psychiatric units: care coordination
for positive outcomes.
AB - The transition from residential facilities to and from the psychiatric hospital
setting is difficult for individuals with intellectual disabilities (ID). In the
U.S.A., specialized psychiatric units for individuals with ID are uncommon and
this population is usually served in generalized services. Nevertheless,
providers of mental health services in the U.S.A. receive little training in
their specific needs. Best practices call for coordination of plans between
psychiatric units and community agencies, multidisciplinary care plans, staff
education and comprehensive discharge planning in order to improve outcomes of
psychiatric hospitalization. An inner city psychiatric unit in a major academic
medical centre and a community agency providing residential care for individuals
with ID cooperated to provide a plan of care for a client with ID both for
hospitalization and for discharge leading to improved outcomes.
PMID- 22070105
TI - Population policy: Personal notes.
AB - Abstract These are the population years: throughout the world, in both
developing and developed countries, there has been a growing debate on population
policy. In this paper population policy refers to governmental actions that are
designed to alter population events, or that do alter them. The concern with
policy seems to center in the relationships between four demographic variables
(size, rates, distribution, composition) and four 'quality of life' categories as
both determinants and consequences (comprehended here as economic, political,
ecological/environmental, social). As to policy means, they can be seen as being
five in number (information, voluntary programmes, change in social institutions,
incentives and disincentives, and coercion) with the potential of affecting the
three factors of fertility, mortality, and migration. The relationships and
effects of these conceptual cross tabulations are illustrated.
PMID- 22070106
TI - Latin American population prospects in the next fifteen years.
AB - Abstract This paper discusses some of the results of population projections by
age and sex for the period 1965-85 which were prepared some years ago for Latin
American countries. Despite the limitation of the data there are several short
range implications which deserve attention. The area which had the highest rate
of growth among all the major areas of the world during the last 50 years, is
expected to maintain a stable rate during the next 15 years. Though some
fertility decline is anticipated, it is bound to be slower, in general, than
expected in East and South Asia where cultural factors are not as strong. The
gain in mortality is also expected to be relatively slow, but this is only
because mortality has already reached fairly low levels compared to Asia and
Africa. However, because of the young age distribution, the area is expected to
have in the near future crude death rates which are so low that they have
probably not been experienced before in normal human populations. A simple
grouping of the population into pertinent age-sex groups gives information which
undoubtedly raises serious questions concerning the adequacy of the measures
which are now being taken or planned to meet the various needs of different
population categories. For instance, in addition to existing problems, the
economies will have to face the problem of absorbing within the next 15 years the
anticipated increases of about 84 million in the labour force, the educational
systems will have to provide for the schooling of about 28 million additional
children of school age, and the planners for social and economic development will
have to consider the measures necessary to face an increase of about 56% in the
number of females of reproductive ages. The figures show clearly that the
problems have different dimensions in different regions, with those of Central
America being perhaps particularly serious.
PMID- 22070107
TI - Age patterns of marriage.
AB - Abstract In different populations there is a common curve describing first
marriage frequency (first marriages per woman) as a function of age for each
cohort. To fit the variety of patterns of human nuptiality it suffices to choose
the age that serves as origin for a standard curve of first-marriage frequency,
and to choose appropriate horizontal and vertical scales for the curve. The
prevalence of a standard form for first-marriage frequency implies that the
proportion ever-married in any cohort also rises along a standard curve, subject
to choice of origin (the earliest age of first marriage), vertical scale (the
proportion ever-marrying by the end of life), and horizontal scale (the pace at
which the proportion ever-married increases with age). A mathematical expression
(a double exponential) is found to fit the risk offirst marriage (among those who
ever marry), and some of the implications of uniform features of nuptiality in
different populations are discussed.
PMID- 22070108
TI - Explaining cross-cultural variations in age at marriage and proportions never
marrying.
AB - Abstract According to censuses taken around 1960, the distinction between the
'European' pattern of late marriage and high proportions never married and the
'traditional' pattern of early and universal marriage remains generally valid for
female populations in spite of trends toward convergence in the past few decades.
Among male populations, however, the regional overlap is great. Variations in the
timing and quantity of nuptiality in 57 countries in Europe, the Middle East,
Asia and the English-speaking nations overseas are explained on the basis of
variables measuring the desirability and feasibility of marriage. The conclusion
is that marriage is becoming more feasible in the wealthier nations of the West
and less feasible in many developing non-Western nations. Social and economic
penalties of non-marriage are stronger in non-Western societies than in the West,
and stronger for women than for men.
PMID- 22070109
TI - Stable, semi-stable populations and growth potential.
AB - Abstract Starting from the definition of a Malthusian population given by
Alfred J. Lotka, the author recalls how the concept of stable population is
introduced in demography, first as a particular case of stable populations, and
secondly as a limit of a demographic evolutionary process in which female age
specific fertility rates and age-specific mortality rates remain constant. Then
he defines a new concept: the semi-stable population which is a population with a
constant age distribution. He shows that such a population coincides at any point
of time with the stable population corresponding to the mortality and the
fertility at this point of time. In the remaining part of the paper it is shown
how the concept of a stable population can be used for defining a coefficient of
inertia which measures the resistance of a population to modification of its
course as a consequence of changing fertility and mortality. Some formulae are
established to calculate this coefficient first for an arbitrary population, and
secondly for a semistable population. In this second case the formula is
particularly simple. It appears as a product of three terms: the expectation of
life at birth in years, the crude birth rate, and a coefficient depending on the
rate of growth and for which a numerical table is easy to establish.
PMID- 22070110
TI - The economic explanation of fertility changes in the United States.
AB - Abstract It is widely assumed that fertility varies positively with economic
conditions. Actually this assumption receives little support from the historical
record. For a century before 1930 fertility declined while the economy expanded
and real incomes rose. Then for nearly three decades fertility and incomes fell
and rose together. Since 1960 they have again moved in opposite directions.
Clearly, no simple generalization about their relation will hold water. More
sophisticated explanations are based on relative rather than absolute incomes.
Banks suggested that the downturn in English fertility in the 1870's might have
occurred because standards of middle-class consumption rose faster than middle
class incomes, but he found the evidence inconclusive. To reconcile the post
war baby boom in the United States with earlier experience, Easterlin has argued
that fertility is determined by the relationship between the income of couples in
their twenties and the income of their parents ten to fifteen years earlier.
Among the weaknesses of this theory as applied to U.S. experience are its failure
to explain the sharp drop in fertility, including that of native white urban
women, in the 1920's; the fact that fertility rose most in the baby boom at the
higher socio-economic levels where incomes rose least; and the sharp decline of
fertility after 1962 in spite of the favourable trend of incomes, including those
of younger people. The broad conclusion is that while couples no doubt do
consider income, employment opportunities, etc. in deciding how many children to
have, such considerations have had a relatively minor influence on changes in
fertility, which for the most part have been the result of changes in attitudes.
Even the post-war baby boom was a result not only of higher incomes and full
employment but also of a shift in attitudes toward family size, particularly
among the better-educated, economically better-off sections of society.
PMID- 22070111
TI - A comparison between current Indian fertility and late nineteenth-century Swedish
and Finnish fertility.
AB - Abstract The paper aims to probe causes of the current high level of the crude
birth rate in India. This is accomplished by comparing the current Indian
fertility level with that of Sweden and Finland during the last quarter of the
nineteenth century. By utilizing marital distribution, age distribution and age
specific marital fertility rates of the three countries, the basic demographic
causes of high fertility in India can be established. In the second part, the
factors causing age-specific marital fertility rates in India to be lower than
nineteenth-century Sweden and Finland are discussed. This is done by comparing
the levels of the followingsix variables, operating within marriage, between
Indian and Scandinavian cultures: (1) Abstinence - voluntary and involuntary, (2)
Frequency of coitus, (3) Incidence of sterility - primary and secondary, (4)
Contraception and sterilization, (5) Incidence of foetal mortality and (6)
Incidence of induced abortions.
PMID- 22070112
TI - The structure of mortality during catastrophic years in a pre-industrial society.
AB - Abstract The aim of the study is to examine the way in which the pattern of
mortality in a pre-industrial society differed in catastrophic years from that in
'normal' years. Five Finnish parishes with a total population of 21,000 in 1850
were examined. The deaths were classified by season, age and cause. The results
support the theory that epidemics not directly connected with starvation were the
main causes of mortality peaks.
PMID- 22070113
TI - An experiment with census-type age enumeration in Nigeria.
AB - Abstract Censuses were held and data subsequently published in Nigeria in
1952/3 and 1963. Age data and other aspects of the enumerations gave rise to
considerable doubts. In 1969 the Demographic Training and Research Unit of the
University of Ife carried out a census of ages amongst 10,000 persons in the
Western State of Nigeria employing in succession orthodox methods, supporting
historical records, and two approaches involving the identification of single
year cohorts of contemporaries. Certain other data were also collected for
explanatory purposes. The project confirmedthe tendency for age misstatement to
exaggerate the number of females aged 20-44 and the number of older males, and to
understate the number of persons aged 0-9 and females aged 50-59. It was shown
that amongst females, aged 15-24, there is a significant tendency for the ages of
the unmarried to be understated and those of the married, expecially those of
higher parity, to be overstated. Such tendencies may be reduced by any type of
enumeration if more time and care is allowed but anomalously this may increase
the underenumeration of the very young. The more elaborate methods of enumeration
are examinedto see whether they yield more accurate data and whether their use
would be possible in a national census. Age statement was examined in some detail
and it was shown that the majority of data originate neither with respondents nor
enumerators but with third parties, who may wellbe continuing and untrainable
sources of biassed error. The project provided more evidence than anticipated on
the validity of the 1963 Census in the area, thus leaving the relative validity
of the 1952/3 and 1963 censuses and hence the likely population of Nigeria still
an open question.
PMID- 22070114
TI - Some problems in determining the number of acceptors needed in a family planning
programme to achieve a specified reduction in the birth rate.
AB - Abstract The paper attempts to revive professional interest in a problem
originally considered by Lee and Isbister and which has assumed added importance
recently because of the increasing number of national family planning programmes
that are being instituted, which require the determination of the number of
acceptors needed in a family planning programme in order to achieve a specified
reduction in the birth rate. The publication of subsequent papers on births
averted by programmes, notably by Potter and Wolfers, each of whom had made some
criticisms of the paper by Lee and Isbister, has tended to obscure the
differences in the nature between the problem raised by Lee and Isbister, and
that discussedby Potter or Wolfers. The paper tries to bring out these
differences and points out one of the limitations of the estimates of births
averted obtained by using either Potter's or Wolfers's methods, viz. the
inability to determine the time period to which the birth reduction refers,
thereby further complicating the issues involved in assessing the social and
economic implications of births averted by a family planning programme. The paper
also points out some of the unrecognizedtechnical considerations which arise in
dealingwith the 'target-setting' problem raised by Lee and Isbister and
suggeststhat stochasticmodel studies might offera clue for evolving practical
methods for tackling this problem.
PMID- 22070115
TI - Fecundability and coital frequency.
AB - Abstract The analysis previouslyreported (Population Studies, 23, 1969) of the
risk of conceptionon different days of the menstrual cycleis extended so as to
take someaccount of the agesof wives. The risks are estimated from data (basal
body temperatures and occurrences of coitus) recorded by 241 married couples not
using any contraceptives, to whom at least one child had previously been born. A
mathematical formula relating natural fecundability to coital frequency is
proposed and considered.
PMID- 22070116
TI - Birth variations in populations which practise family planning.
AB - Abstract In the first section it is demonstrated that permanent periodicities
may appear in populations, in which fertility occurs at two or more ages,
separated by ages, in which there is no fertility at all. It is also shown that
where periodicity does disappear, this may take much longer than is usually
assumed, before the stable age distribution is attained. The last section of
the paper is based on Swedish data relating to female fertility for single-year
cohorts and for periods. It is demonstrated that fertility behaviour of cohorts
from different decades has varied considerably, not least as regards birth
timing. This explains why the period fertility rate has varied in such an
irregular fashion, whereas the rate for cohorts has moved much more regularly;
after the original decline to an all-time low for the cohorts born around 1905,
there has been a slow, and on the whole, regular increase. This increase is
largely explained by a rise in nuptiality. If the total fertility rate required
for reproduction is computed, it is seen that no cohorts born after about 1885
have reproduced themselves, and that for the cohorts born between 1895 and 1920
the gap was considerable.
PMID- 22070120
TI - Conference of the World Society of Arrhythmias Athens, December 2011.
PMID- 22070117
TI - The incidence of illegal abortion.
AB - Abstract Previous estimates of the incidence of illegal abortion in this
country are reviewed. It is suggested that data examined by Goodhart imply that
there were more (perhaps many more) than 60,000 illegal abortions in 1967.
Estimates of the incidence of female sterilizing operations are reviewed. It is
suggested that in this country each female sterilizing operation averts about one
live birth or - where induced abortion is the alternative - one induced abortion.
PMID- 22070122
TI - Pattern of moderate-to-severe symptoms of premenstrual syndrome in a selected
hospital in China.
AB - AIM: Premenstrual syndrome (PMS) is a commonly encountered complaint among women.
It may affect women's quality of life and reduce their occupational productivity.
This study aims to describe the symptoms of moderate-to-severe PMS and to examine
the onset, stability, and severity of PMS among Chinese women. METHODS: A
descriptive study included 142 women with self-reported PMS, aged 18-45 years,
who were recruited by the Outpatient Department of Gynecological Endocrinology,
Peking Union Medical College Hospital. Premenstrual symptoms were retrospectively
assessed by using screening questionnaires modified with the DSM-IV. In total,
126 eligible subjects were asked to record their daily symptoms during two
consecutive menstrual cycles by using a premenstrual syndrome diary (PMSD).
RESULTS: Of 126 eligible subjects, 67 filled in the PMSD for two cycles. The
median of total scores of PMSD peaked on the day before menses and dropped after
the beginning of the menses. Mood swings were the most common moderate-to-severe
symptom prospectively reported by the subjects. The symptoms of PMS were
relatively consistent across the two cycles. CONCLUSIONS: Women with moderate-to
severe PMS were vulnerable to psychological symptoms. Further studies are needed
to understand the correlations between hormonal changes and the experience of
symptoms related to the menstrual cycle.
PMID- 22070123
TI - Long non-coding RNAs in nuclear bodies.
AB - High-throughput analyses of mammalian transcriptomes have revealed that more than
half of the transcripts produced by RNA polymerase II are non-protein-coding. One
class of these non-coding transcripts is the long non-coding RNAs (lncRNAs),
which are more than 200 nucleotides in length and are molecularly
indistinguishable from other protein-coding mRNAs. Although the molecular
functions of these lncRNAs have long remained unknown, emerging evidence
implicates the functional involvement of lncRNAs in the regulation of gene
expression through the modification of chromatin, maintenance of subnuclear
structures, transport of specific mRNAs, and control of pre-mRNA splicing. Here,
we discuss the functions of a distinct group of vertebrate-specific lncRNAs,
NEAT1/MENepsilon/beta/VINC, MALAT1/NEAT2, and Gomafu/RNCR2/MIAT, which accumulate
abundantly within the nucleus as RNA components of specific nuclear bodies.
PMID- 22070124
TI - Decreased methylation of the NK3 receptor coding gene (TACR3) after cocaine
induced place preference in marmoset monkeys.
AB - Epigenetic processes have been implicated in neuronal plasticity following
repeated cocaine application. Here we measured DNA methylation at promoter CpG
sites of the dopamine transporter (DAT1) and serotonin transporter (SERT) and
neurokinin3-receptor (NK3-R)-receptor (TACR3) coding genes in marmoset monkeys
after repeated cocaine injections in a conditioned place preference paradigm. We
found a decrease in DNA methylation at a specific CpG site in TACR3, but not DAT1
or SERT. Thus, TACR3 is a locus for DNA methylation changes in response to
repeated cocaine administration and its establishment as a reinforcer, in support
of other evidence implicating the NK3-R in reinforcement- and addiction-related
processes.
PMID- 22070125
TI - Addressing non-adherence to antipsychotic medication: a harm-reduction approach.
AB - This paper discusses the evidence base for interventions addressing non-adherence
to prescribed antipsychotics. A case study approach is used, and the extent to
which adherence improvement interventions might be used in collaboration with a
specific patient is considered. The principles and application of harm-reduction
philosophy in mental health are presented in a planned non-adherence harm
reduction intervention. This intervention aims to acknowledge the patient's
ability to choose and learn from experience and to reduce the potential harm of
antipsychotic withdrawal. The intervention evaluation method is outlined.
PMID- 22070126
TI - Retrobulbar primitive neuroectodermal tumor in a squirrel monkey (Saimiri
sciureus).
AB - BACKGROUND: A 2.8-year-old female captive-bred common squirrel monkey (Saimiri
sciureus) showed exophthalmos of the right eye, and the eye was surgically
enucleated. A tumor mass was found in the eye. METHODS: Formalin-fixed tumor
samples were examined histopathologically and immunohistochemically for
diagnosis. RESULTS: The retrobulbar tumor mass adhered to the sclera and
infiltrated the choroid. Histopathologically, tumor cells were pleomorphic,
arranged in a sheet pattern, and mimicked primitive neuroectodermal cells. The
tumor cells were strongly positive for precursor neuronal cell markers (beta III
tubulin, neuron-specific enolase, vimentin, nestin, doublecortin, oligo2, and S
100), but negative for mature cell markers (cytokeratin, neurofilament, and glial
fibrillary acidic protein) and a retinoblastoma marker (rhodopsin). CONCLUSIONS:
This is the first reported case for the retrobulbar location of primitive
neuroectodermal tumor in non-human primates.
PMID- 22070127
TI - Positively charged lanthanide complexes with cyclen-based ligands: synthesis,
solid-state and solution structure, and fluoride interaction.
AB - The syntheses of a new cyclen-based ligand L(2) containing four N-[2-(2
hydroxyethoxy)ethyl]acetamide pendant arms and of its lanthanide(III) complexes
[LnL(2)(H(2)O)]Cl(3) (Ln = La, Eu, Tb, Yb, or Lu) are reported, together with a
comparison with some Ln(III) complexes of a previously reported analogue L(1) in
which two opposite amide arms have been replaced by coordinating pyridyl units.
The structure and dynamics of the La(III), Lu(III), and Yb(III) complexes in
solution were studied by using multinuclear NMR investigations and density
functional theory calculations. Luminescence lifetime measurements in H(2)O and
D(2)O solutions of the [Ln(L(2))(H(2)O)](3+) complexes (Ln = Eu or Tb) were used
to investigate the number of H(2)O molecules coordinated to the metal ion,
pointing to the presence of an inner-sphere H(2)O molecule in a buffered aqueous
solution. Fluoride binding to the latter complexes was investigated using a
combination of absorption spectroscopy and steady-state and time-resolved
luminescence spectroscopy, pointing to a surprisingly weak interaction in the
case of L(2) (log K = 1.4 +/- 0.1). In contrast to the results in solution, the X
ray crystal structure of the lanthanide complex showed the ninth coordination
position occupied by a chloride anion. In the case of L(1), the X-ray structure
of the [(EuL(1))(2)F] complex features a bridging fluoride donor with an uncommon
linear Eu-F-Eu entity connecting two almost identical [Eu(L(1))](3+) units.
Encapsulation of the F(-) anion within the two complexes is assisted by pi-pi
stacking between the pyridyl rings of two complexes and C-H...F hydrogen-bonding
interactions involving the anion and the pyridyl units.
PMID- 22070128
TI - Characterization of composite phthalocyanine-fatty acid films from the air/water
interface to solid supports.
AB - A commercial vanadyl 2,9,16,23-tetraphenoxy-29H,31H-phthalocyanine (VOPc) was
dissolved in chloroform and spread on ultrapure water subphase in a Langmuir
trough. The floating film was thoroughly characterized at the air-water interface
by means of the Langmuir isotherm, Brewster angle microscopy, UV-vis reflection
spectroscopy, and infrared measurements carried out directly at the air-water
interface. All the results showed the formation of a non-uniform and aggregated
floating layer, too rigid to be transferred by the Langmuir-Blodgett (LB) method.
For this reason, a mixture of arachidic acid and VOPc was realized,
characterized, and transferred by the LB technique on solid substrates. Interface
measurements and atomic force microscopy analysis suggested the formation of a
uniform arachidic acid film and a superimposed VOPc placed in prone
configuration.
PMID- 22070130
TI - Compression of cross-linked poly(vinylidene fluoride-co-trifluoro ethylene) films
for facile ferroelectric polarization.
AB - In this study, we demonstrated a facile route for enhancing the ferroelectric
polarization of a chemically cross-linked poly(vinylidene fluoride-co-trifluoro
ethylene) (PVDF-TrFE) film. Our method is based on thermally induced cross
linking of a PVDF-TrFE film with a 2,2,4-trimethyl-1,6-hexanediamine (THDA) agent
under compression. The remanent polarization (P(r)) of a
metal/ferroelectric/metal capacitor containing a cross-linked PVDF-TrFE film
increased with pressure up to a certain value, whereas no change in the P(r)
value was observed in the absence of THDA. A film cross-linked with 10 wt % THDA
with respect to PVDF-TrFE under a pressure of 100 kPa exhibited a P(r) of
approximately 5.61 MUC/cm(2), which is 1.6 times higher than that in the absence
of pressure. The enhanced ferroelectric polarization was attributed to highly
ordered 20-nm-thick edge-on crystalline lamellae whose c-axes are aligned
parallel to the substrate. The lamellae were effective for ferroelectric
switching of the PVDF-TrFE when a cross-linked film was recrystallized under
pressure. Furthermore, compression of a PVDF-TrFE film with a topographically
prepatterned poly(dimethyl siloxane) mold gave rise to a chemically cross-linked
micropattern in which edge-on crystalline lamellae were globally oriented over a
very large area.
PMID- 22070129
TI - A pilot study on the effect of short-term consumption of a polyphenol rich drink
on biomarkers of coronary artery disease defined by urinary proteomics.
AB - Polyphenol rich diets have been associated with a reduced risk of cardiovascular
disease. We examined the effect of a polyphenol rich (P-R) drink on biomarkers
assessed by urinary proteomics. Thirty nine middle aged and overweight subjects
were randomized to P-R drink (n = 20) or placebo (n = 19) in addition to their
normal diet. After two weeks urine samples were obtained for assessment of the
urinary proteome using capillary electrophoresis coupled to a mass spectrometer.
A total of 93 polypeptides were found to be candidates for differential
distribution with a nominal p-value <0.05, though these differences did not reach
significance when multiple testing was accounted for. Sequences were determined
in 19 of these demonstrating that they originate from alpha-1 antitrypsin,
collagens, fibrinogen alpha and IgG kappa. Levels of 27 polypeptides were greater
than 4-fold different between the two groups. Of these, 7 were previously found
to be part of a coronary artery disease (CAD) specific urinary biomarker pattern.
Their direction of expression was closer to the healthy state in the P-R drink
group and closer to CAD state in the placebo group. Our data suggest that the P-R
drink may have beneficial effects on urinary biomarkers of CAD. The data
encourage the planning of future prospective studies, aimed at investigating
significant effects of polyphenol rich dietary products.
PMID- 22070132
TI - Erratum.
PMID- 22070131
TI - Cytochrome P450 polymorphisms and drug-induced interstitial lung disease.
AB - INTRODUCTION: With an increasing number of therapeutic drugs available for use,
the list of drugs that are responsible for severe pulmonary disease also grows.
Genetic polymorphism of drug-metabolizing enzymes, particularly of the cytochrome
P450 superfamily of enzymes, influences individual drug efficacy and safety
through the alteration of pharmacokinetics and disposition of drugs. AREAS
COVERED: This review focuses on drug-induced interstitial lung disease, describes
common patterns of pulmonary injury, discusses diagnosis and treatment, and
details the prevalence and clinical significance of cytochrome P450
polymorphisms. EXPERT OPINION: Polymorphisms of cytochrome P450 genes can
influence the metabolic activity of the subsequent enzymes, which in turn may
lead to localized reactions and tissue damage, for example, in lung tissue.
Pharmacogenomic techniques allow efficient analysis of risk factors and
genotyping tests have the potential to optimize drug therapy. In the future,
genotyping should be considered to identify patients who are at high risk of
severe toxic responses in order to guide appropriate individual dosage.
PMID- 22070134
TI - An effective way to biosynthesize alpha-glucosyl eugenol with a high yield by
Xanthomonas maltophilia.
AB - CONTEXT: Eugenol is known for its analgesic, local anesthetic, anti-inflammatory,
antibacterial, and hair growing effects, the application of which, however, is
limited by its low solubility, liability of sublimating, and its pungent smell.
Compared to eugenol, its glycosylated derivate [eugenol alpha-glucoside (alpha
EG)] has more advantages in application. OBJECTIVE: The biosynthesis of alpha-EG
by Xanthomonas maltophilia Hugh (Xanthomonadaceae) BT-112 and the optimum
conditions for alpha-EG production are investigated here. MATERIALS AND METHODS:
The alpha-EG was obtained by fermentation using Xanthomonas maltophilia BT-112
and purified by macroporous absorption resin. The identity of alpha-EG is
confirmed by high performance liquid chromatography (HPLC) and nuclear magnetic
resonance (NMR). RESULTS: The maximum yield of alpha-EG reached 10.62 g/L broth
when the suspension of Xanthomonas maltophilia strain was incubated at 30 degrees
C with 70 mM eugenol and 1.0 M maltose. DISCUSSION AND CONCLUSION: Bio
fermentation was applied in this work to get alpha-EG with a high mole
conversion, which is a potentially efficient and highly promising approach to
modify phenolic compounds into glucosides.
PMID- 22070135
TI - Synthesis and structural characterization of a TCNQ based organic semi-conducting
material with a 2:5 stoichiometry.
AB - The tetrabutylammonium complex with a 2:5 stoichiometry, (n-Bu(4)N)(2)(TCNQ)(5),
has been prepared and structurally characterized by X-ray crystallography.
Diagnostic bands in the Raman spectrum and signature features in the
electrochemistry confirm that the TCNQ moieties are partially charged in the
solid state. EPR, magnetic susceptibility, and electrical conductivity
measurements are all consistent with (n-Bu(4)N)(2)(TCNQ)(5) behaving as a quasi
one-dimensional organic semiconductor.
PMID- 22070137
TI - Evaluation of perceived threat differences posed by filovirus variants.
AB - In the United States, filoviruses (ebolaviruses and marburgviruses) are listed as
National Institute of Allergy and Infectious Diseases (NIAID) Category A Priority
Pathogens, Select Agents, and Centers for Disease Control and Prevention (CDC)
Category A Bioterrorism Agents. In recent months, U.S. biodefense professionals
and policy experts have initiated discussions on how to optimize filovirus
research in regard to medical countermeasure (ie, diagnostics, antiviral, and
vaccine) development. Standardized procedures and reagents could accelerate the
independent verification of research results across government agencies and
establish baselines for the development of animal models acceptable to regulatory
entities, such as the Food and Drug Administration (FDA), while being fiscally
responsible. At the root of standardization lies the question of which filovirus
strains, variants, or isolates ought to be the prototypes for product
development, evaluation, and validation. Here we discuss a rationale for their
selection. We conclude that, based on currently available data, filovirus
biodefense research ought to focus on the classical taxonomic filovirus
prototypes: Marburg virus Musoke in the case of marburgviruses and Ebola virus
Mayinga in the case of Zaire ebolaviruses. Arguments have been made in various
committees in favor of other variants, such as Marburg virus Angola, Ci67 or
Popp, or Ebola virus Kikwit, but these rationales seem to be largely based on
anecdotal or unpublished and unverified data, or they may reflect a lack of
awareness of important facts about the variants' isolation history and genomic
properties.
PMID- 22070138
TI - Catalyst-free preparation of 1,2,4,5-tetrasubstituted imidazoles from a novel
unexpected domino reaction of 2-azido acrylates and nitrones.
AB - A highly efficient and convenient method for the synthesis of 1,2,4,5
tetrasubstituted imidazoles from readily accessible 2-azido acrylates and
nitrones has been developed. This reaction proceeded under mild conditions
without the assistance of any metal, acid, or base.
PMID- 22070139
TI - Preterm birth seasonality in Greece: an epidemiological study.
AB - OBJECTIVE: Seasonality of preterm birth has been noted, although not conclusively
studied. Weather is also thought to play a role. We sought preterm birth
seasonality and additionally studied the effect of weather parameters in the
preterm birth pattern. METHODS: Vital statistics from the Hellenic Statistical
Authority were retrieved, covering the years from 1980 to 2008. Additionally,
weather data were retrieved for the years of the study. Time series analysis was
used to create various statistical models that would be compared to each other
for their accuracy to predict preterm birth. Factors used in the modeling
included month of birth, gender and weather factors. RESULTS: Preterm birth
seasonality was exhibited. Two peaks of higher risk of preterm birth were noted:
One during summer and one during winter. Males were more influenced by
seasonality and exhibited slightly different seasonal patterns than females,
although no higher risk for preterm birth was noted. The best model that
described seasonal pattern of preterm birth was the one that included
meteorological factors. Notably, extreme (hotter or colder) weather was
accompanied by an increase in preterm birth. CONCLUSIONS: Evidence for
seasonality of preterm birth was shown and extreme weather was associated with a
higher incidence of it.
PMID- 22070141
TI - Regional differences in marital fertility in the Netherlands in the second half
of the nineteenth century.
AB - Abstract In the Netherlands, as in other countries, substantial regional
differences in the birth rate have always been the rule rather than the
exception. Of course, differences in crude birth rates may be attributed to a
number of possible reasons, not all of them of primary demographic interest. For
instance, according to the census of 31st December 1899, the number of women per
1,000 men in the province of Drenthe was only 924; in the province of Zuidholland
the corresponding number was 1,073. It is clear that, ceteris paribus, the crude
birth rate in Zuidholland would be about 7% higher than in Drenthe at that time.
In such a case, the difference could reflect differences in economic development
or job opportunities, factors not devoid of demographic significance, but only
indirectly so.
PMID- 22070142
TI - The decline of non-marital fertility in Europe, 1880-1940.
AB - Abstract Between 1880 and 1940, to take approximate dates, illegitimate
fertility rates in Europe dropped precipitously, falling in most countries by 50%
or more. The rates used throughout this paper relate extra-marital births to the
number of unmarried (i.e. single, widowed and divorced) women; we use a
standardized index, I ({ih}) to be discussed later. In Fig. 1 we present most of
the European series of I ( h )'s that can be computed from existing census and
vital registration data. Although there are interesting exceptions the general
picture is clear: a decline in illegitimate fertility commenced in most countries
in the last quarter of the nineteenth century, and was arrested in the 1920's and
1930's. Once it had begun in a country, the downward course was swift and
uninterrupted, until non-marital fertility had been cut in half.
PMID- 22070140
TI - Ornithine decarboxylase mRNA is stabilized in an mTORC1-dependent manner in Ras
transformed cells.
AB - Upon Ras activation, ODC (ornithine decarboxylase) is markedly induced, and
numerous studies suggest that ODC expression is controlled by Ras effector
pathways. ODC is therefore a potential target in the treatment and prevention of
Ras-driven tumours. In the present study we compared ODC mRNA translation
profiles and stability in normal and Ras12V-transformed RIE-1 (rat intestinal
epithelial) cells. While translation initiation of ODC increased modestly in
Ras12V cells, ODC mRNA was stabilized 8-fold. Treatment with the specific mTORC1
[mTOR (mammalian target of rapamycin) complex 1] inhibitor rapamycin or siRNA
(small interfering RNA) knockdown of mTOR destabilized the ODC mRNA, but
rapamycin had only a minor effect on ODC translation initiation. Inhibition of
mTORC1 also reduced the association of the mRNA-binding protein HuR with the ODC
transcript. We have shown previously that HuR binding to the ODC 3'UTR
(untranslated region) results in significant stabilization of the ODC mRNA, which
contains several AU-rich regions within its 3'UTR that may act as regulatory
sequences. Analysis of ODC 3'UTR deletion constructs suggests that cis-acting
elements between base 1969 and base 2141 of the ODC mRNA act to stabilize the ODC
transcript. These experiments thus define a novel mechanism of ODC synthesis
control. Regulation of ODC mRNA decay could be an important means of limiting
polyamine accumulation and subsequent tumour development.
PMID- 22070143
TI - Estimation of demographic measures for India, 1881-1961, based on census age
distributions.
AB - Abstract India is one of the very few developing countries which have a
relatively long history of population censuses. The first census was taken in
1872, the second in 1881 and since then there has been a census every ten years,
the latest in 1971. Yet the registration of births and deaths in India, even at
the present time, is too inadequate to be of much help in estimating fertility
and mortality conditions in the country. From time to time Indian census
actuaries have indirectly constructed life tables by comparing one census age
distribution with the preceding one. Official life tables are available for all
the decades from 1872-1881 to 1951-1961, except for 1911-1921 and 1931-1941.
Kingsley Davis(1) filled in the gap by constructing life tables for the latter
two decades. He also estimated the birth and death rates ofIndia for the decades
from 1881-1891 to 1931-1941. Estimates of these rates for the following two
decades, 1941-1951 and 1951-1961, were made by Indian census actuaries. The birth
rates of Davis and the Indian actuaries were obtained basically by the reverse
survival method from the age distribution and the computed life table of the
population. Coale and Hoover(2), however, estimated the birth and death rates and
the life table of the Indian population in 1951 by applying stable population
theory. The most recent estimates of the birth rate and death rate for 1963-1964
are based on the results of the National Sample Survey. All these estimates are
presented in summary form in Table 1.
PMID- 22070144
TI - Nuptiality and population growth.
AB - Abstract In the European historical experience, nuptiality patterns played a
very significant role in the development of low fertility. Late marriage and
widespread celibacy provided one of the mechanisms by which age-specific
fertility rates were brought to low levels in the populations of Western Europe.
In Eastern and Central Europe on the other hand, where marriage customarily
occurred earlier and was more nearly universal, a somewhat slower fertility
transition was achieved through a reduction in marital fertility - without any
drastic accompanying nuptiality change. Populations of developing countries,
however, commonly exhibit nuptiality patterns characterized by a still higher
incidence and a considerably younger age-pattern of marriage than even the
earliest observed schedule from Eastern Europe. With few exceptions, little work
has been done to date to examine the implications of these very early and
universal marriage schedules for fertility in general and for the growth of these
populations in particular.(1) We have therefore tried to analyse the impact of
nuptiality on the fertility and growth of a series of populations from developing
nations where extra-marital fertility is negligible. Populations in which the
prevalence of cohabitation by age is not well documented by existing marital
status data (mainly those in Latin America and tropical Africa) are excluded from
this analysis; an attempt will be made in later work, however, to extend the
analysis to these populations.
PMID- 22070145
TI - Population growth in colonial America: A study of Ipswich, Massachusetts.
AB - Abstract During the colonial period, the settlements that subsequently became
the United States of America experienced a tremendous growth of population.
Although part of this increase was due to emigration from England and other
European countries, most of the growth must be laid to the natural increase of
the immigrants and their descendants. We are only beginning to probe the
mechanisms ofthis increase. By numerous local studies, using the methods of
historical demography that have largely been developed with work in French and
English sources, we should eventually be able to describe the demographic nature
of New World communities, and to understand how their populations were responding
to a new physical, social and economic environment.
PMID- 22070146
TI - Age at baptism in pre-industrial England.
AB - Abstract The adequacy of English parish registers as demographic sources has
been a subject for much debate.(1) Most attention has been directed to the
problem of how far the population at large continued to use the sacraments ofthe
Established Church in the late eighteenth and early nineteenth centuries,
especially in areas affected by urban growth or Nonconformity. But the more
general problem of how far the ecclesiastical registers of ceremonies are
acceptable substitutes for registers of vital events also deserves some
attention.
PMID- 22070147
TI - The demography of Tristan da Cunha.
AB - Abstract The eruption of the volcano on Tristan da Cunha in 1961 and the
subsequent arrival of the island population in the United Kingdom provided a
unique opportunity for studying the health of this formerly isolated community.
During their stay, while the facilities and services of modern medicine were made
available to them, investigations of many facets of their general biology were
made under the auspices of the Medical Research Council, co-ordinated by Dr. H.
E. Lewis. Analysis of their demographic history was essential to understand many
features of their disease pattern, and the results of this analysis are presented
here. They are of interest in that they not only show some consistent trends in
the common variables of demography, but also demonstrate biological principles,
some perhaps for the first time in man, which may be fundamental in the process
of colonization as a biological phenomenon, e.g. the initial difficulty of
establishment of a population in a new area, the curious demographic structure
that may emerge in its earliest years, the bottleneck effect of population-size
reduction, the founder principle.
PMID- 22070148
TI - Changes in fertility rates and family size in response to changes in age at
marriage, the trend away from arranged marriages, and increasing urbanization.
AB - Abstract Demographers have proposed a long list of factors that help explain
why population growth and fertility rates respond to rising levels of
urbanization, economic growth and national development.(2) Two of the many
suggested influences will be investigated in the present paper with regard to
urban Hong Kong.
PMID- 22070149
TI - Timing and sequence of resuming ovulation and menstruation after childbirth.
AB - Abstract This report presents results from a prospective study of post-partum
physiology conducted by the Obstetric Department of the Catholic University of
Chile during 1965-68. The study was undertaken to gain more detailed knowledge
than is currently available about the relationship between a woman's lactation
experience and the return of her post-partum reproductive function. 200 mothers
were successfully followed and information collected about their intervals from
childbirth to first ovulation and to first bleeding day, together with a detailed
history of breast feeding. In these histories, days of 'full breast feeding'
(infant exclusively breast-fed) are distinguished from days of 'partial breast
feeding' (infant given supplementary nutrition besides breast milk). Elaborate
pains were taken to establish the date of first ovulation, four different
techniques being used concurrently during a series of weekly contacts with each
patient.
PMID- 22070150
TI - Breast feeding, mortality in childhood and fertility in a rural zone of Senegal.
AB - Abstract The data analysed in the present study were collected in the course of
a demographic survey conducted at repeated intervals in the Sine-Saloum region of
Senegal. 1 This study was financed by a grant from the 'Fond d'aide et de
cooperation' of France, from 1963-65, and by the WHO Section for Research on
Human Reproduction from 1966-67. The study was conducted by ORSTOM in
collaboration with the Statistical Service of Senegal. The data used in this
paper were analysed at INED. Only the data concerning the Sine (Niakhar) area are
analysed here.
PMID- 22070151
TI - Contraceptive overlap with post-partum anovularity.
AB - Abstract Contraceptive use in the early post-partum months is, for the majority
of women, without any direct protective value because, whilst not ovulating, they
are not exposed to the risk of pregnancy. This does not, of course, imply that
contraception should be delayed until signs of the resumption of ovulation
appear, as for many women the first sign of such resumption is pregnancy. There
are, besides, logistic and psychological reasons which make early post-partum
contraception advisable. It does, however, demand that any calculation of the
demographic effects of contraceptive use should make due allowance for this null
period of use.
PMID- 22070152
TI - Erratum.
PMID- 22070155
TI - Neutralizing antibodies against rotavirus produced in transgenically labelled
purple tomatoes.
AB - Edible fruits are inexpensive biofactories for human health-promoting molecules
that can be ingested as crude extracts or partially purified formulations. We
show here the production of a model human antibody for passive protection against
the enteric pathogen rotavirus in transgenically labelled tomato fruits.
Transgenic tomato plants expressing a recombinant human immunoglobulin A
(hIgA_2A1) selected against the VP8* peptide of rotavirus SA11 strain were
obtained. The amount of hIgA_2A1 protein reached 3.6 +/- 0.8% of the total
soluble protein in the fruit of the transformed plants. Minimally processed fruit
derived products suitable for oral intake showed anti-VP8* binding activity and
strongly inhibited virus infection in an in vitro virus neutralization assay. In
order to make tomatoes expressing hIgA_2A1 easily distinguishable from wild-type
tomatoes, lines expressing hIgA_2A1 transgenes were sexually crossed with a
transgenic tomato line expressing the genes encoding Antirrhinum majus Rosea1 and
Delila transcription factors, which confer purple colour to the fruit.
Consequently, transgenically labelled purple tomato fruits expressing hIgA_2A1
have been developed. The resulting purple-coloured extracts from these fruits
contain high levels of recombinant anti-rotavirus neutralizing human IgA in
combination with increased amounts of health-promoting anthocyanins.
PMID- 22070156
TI - Feasibility of pedometers for adults with schizophrenia: pilot study.
AB - The purpose of this prospective and observational design study was to assess the
feasibility of using a pedometer and step log to explore level of physical
activity (PA) and to assess motivation to be physically active in adults with
schizophrenia. Descriptive statistics were used to analyse data of 7 male and 5
female subjects. Pedometer data indicated that subjects walked an average of
4731.03 (+/-3318.19) steps and 5002.58 (+/-3041.03) during the first week and
second week of the study, respectively. A minimum 30-min walk was recorded on an
average of 3.67 (+/-1.82) days (week one) and 4.5 (+/-1.88) days (week two). Step
logs, inconsistently maintained by subjects, were not able to be analysed.
Examination of the Motives for Physical Activity Measure-Revised (MPAM-R) at
baseline indicates that exercise fitness and body appearance were subjects'
primary motives for PA participation. Study findings indicate that it is feasible
to use a pedometer to monitor the level of PA. However, maintenance of a
concurrent step log requires further consideration to determine realistic
outcomes. Extrinsically focused motivations for PA in this study sample may
predict low PA adherence.
PMID- 22070157
TI - Medicinal plants and dementia therapy: herbal hopes for brain aging?
AB - An escalating "epidemic" of diseases like Alzheimer's has not yet been met by
effective symptomatic treatments or preventative strategies. Among a few current
prescription drugs are cholinesterase inhibitors including galantamine,
originating from the snowdrop. Research into ethnobotanicals for memory or
cognition has burgeoned in recent years. Based on a multi-faceted review of
medicinal plants or phytochemicals, including traditional uses, relevant
bioactivities, psychological and clinical evidence on efficacy and safety, this
overview focuses on those for which there is promising clinical trial evidence in
people with dementia, together with at least one other of these lines of
supporting evidence. With respect to cognitive function, such plants reviewed
include sage, Ginkgo biloba, and complex mixtures of other traditional remedies.
Behavioral and psychological symptoms of dementia (BPSD) challenge carers and
lead to institutionalization. Symptoms can be alleviated by some plant species
(e.g., lemon balm and lavender alleviate agitation in people with dementia; St
John's wort treats depression in the normal population). The ultimate goal of
disease prevention is considered from the perspective of limited epidemiological
and clinical trial evidence to date. The potential value of numerous plant
extracts or chemicals (e.g., curcumin) with neuroprotective but as yet no
clinical data are reviewed. Given intense clinical need and carer concerns, which
lead to exploration of such alternatives as herbal medicines, the following
research priorities are indicated: investigating botanical agents which enhance
cognition in populations with mild memory impairment or at earliest disease
stages, and those for BPSD in people with dementia at more advanced stages;
establishing an ongoing authoritative database on herbal medicine for dementia;
and further epidemiological and follow up studies of promising
phytopharmaceuticals or related nutraceuticals for disease prevention.
PMID- 22070158
TI - AFLP markers reveal high clonal diversity and extreme longevity in four key
arctic-alpine species.
AB - We investigated clonal diversity, genet size structure and genet longevity in
populations of four arctic-alpine plants (Carex curvula, Dryas octopetala, Salix
herbacea and Vaccinium uliginosum) to evaluate their persistence under past
climatic oscillations and their potential resistance to future climate change.
The size and number of genets were determined by an analysis of amplified
fragment length polymorphisms and a standardized sampling design in several
European arctic-alpine populations, where these species are dominant in the
vegetation. Genet age was estimated by dividing the size by the annual horizontal
size increment from in situ growth measurements. Clonal diversity was generally
high but differed among species, and the frequency distribution of genet size was
strongly left-skewed. The largest C. curvula genet had an estimated minimum age
of c. 4100 years and a maximum age of c. 5000 years, although 84.8% of the genets
in this species were <200 years old. The oldest genets of D. octopetala, S.
herbacea and V. uliginosum were found to be at least 500, 450 and 1400 years old,
respectively. These results indicate that individuals in the studied populations
have survived pronounced climatic oscillations, including the Little Ice Age and
the postindustrial warming. The presence of genets in all size classes and the
dominance of presumably young individuals suggest repeated recruitment over time,
a precondition for adaptation to changing environmental conditions. Together,
persistence and continuous genet turnover may ensure maximum ecosystem
resilience. Thus, our results indicate that long-lived clonal plants in arctic
alpine ecosystems can persist, despite considerable climatic change.
PMID- 22070159
TI - Evolution of gastro-oesophageal reflux disease over 5 years under routine medical
care--the ProGERD study.
AB - BACKGROUND: The evolution of gastro-oesophageal reflux disease (GERD) under
current management options remains uncertain. AIM: To examine whether, depending
on the initial presentation, non-erosive (NERD) and erosive reflux disease (ERD)
without Barrett's oesophagus will progress to more severe disease under current
routine care following the resolution of the initial condition. METHODS: Patients
with the primary symptom of heartburn were included at baseline, and stratified
into non-erosive (NERD) and erosive reflux disease (ERD), LA grades A-D (Los
Angeles classification). After a 2- to 8-week course with esomeprazole therapy to
achieve endoscopic healing in ERD and symptom relief in NERD, patients were
treated routinely at the discretion of their physician. We report oesophagitis
status and the presence of endoscopic and confirmed Barrett's oesophagus after 5
years. RESULTS: A total of 6215 patients were enrolled in the study of whom 2721
patients completed the 5-year follow-up. Progression, regression and stability of
GERD severity were followed from baseline to 5 years. Only a few patients with
NERD and mild/moderate ERD progressed to severe forms of ERD and even Barrett's
oesophagus. Most patients remained stable or showed improvement in their
oesophagitis; 5.9% of the NERD patients, 12.1% of LA grade A/B patients and 19.7%
of LA grade C/D patients in whom no Barrett's oesophagus was recorded at baseline
progressed to endoscopic or confirmed Barrett's oesophagus at 5 years.
CONCLUSION: Most GERD patients remain stable or improve over a 5-year observation
period under current routine clinical care.
PMID- 22070160
TI - Recovery: what mental health nurses and service users say about the concept of
recovery.
AB - This study presents a thematic analysis of focus group talk to examine what
recovery in mental health means to service users and nurses. Data were collected
from two focus groups, one group of service users and one group of nurses. The
service user group (n=6) were adults with previous or recent experience of
inpatient mental health services. The nursing group were registered nurses (n=5)
of various grades and experience currently working in inpatient mental health
services in one region of the U.K. Thematic analysis using Krueger and Casey's
framework led to four themes being developed. These were 'understandings of
recovery', 'semantics', 'therapeutics' and 'a journey'. While the recovery
concept was not new to either group, understandings of recovery were vague and
contradictory.
PMID- 22070161
TI - Medication safety: using incident data analysis and clinical focus groups to
inform educational needs.
AB - RATIONALE, AIMS AND OBJECTIVES: Medication-related safety incidents are a source
of concern to patients, policy makers and clinicians. The role of education in
improving safety-critical practices in health care is poorly appreciated. This
pilot study aimed to initiate collective discussion among professional groups of
clinical staff about a range of medicine-related patient safety issues which were
identified from a local incident reporting system. In engaging staff to
collectively reflect on reported medication incidents we attempted to uncover a
deeper understanding of local contextual issues and potential educational needs.
METHODS: A mixed method study was conducted involving categorical analysis of
1058 medication incident reports (Phase 1) and the use of three mixed focus
groups of clinical staff (Phase 2) in three acute hospitals in one locality in
NHS Scotland. RESULTS: Focus group transcript analysis produced four main themes
(e.g. the medical role) and 12 related sub-themes (e.g. pharmacological education
and skill mix for administration of medicines) concerning medication-related
practices and possible educational interventions. CONCLUSIONS: While it is
necessary to review reported incident data and disseminate the educational
messages for the improvement of quality, this traditional risk management process
is inadequate on its own. Reporting systems can be enhanced by collective
examination of reported information about medicines by local clinical teams. We
identified a strong message from the focus groups for learning about each other
and from each other, and that the method piloted may be an important inter
professional mechanism for improvement.
PMID- 22070162
TI - Lipid metabolism and other metabolic changes in vervet monkeys experimentally
infected with Trypanosoma brucei rhodesiense.
AB - BACKGROUND: Human African trypanosomiasis is associated with metabolic changes
which have not been well characterized. METHODS: Chlorocebus aethiops were
experimentally infected with Trypanosoma brucei rhodesiense and late-stage
disease induced at 28 days post-infection. Ear prick blood for glucose
determination and blood samples were obtained at weekly intervals for 56 days.
Analysis was carried out using dry chemistry analysis. RESULTS: In early
infection, there was a significant increase in creatine kinase, while during
early and transitional stage of infection there was a significant decrease in
glucose and high-density lipoprotein and an increase in triglyceride levels. In
the late stage, there was a significant increase in both total cholesterol and
LDL levels. CONCLUSIONS: Further investigations should focus on levels of total
cholesterol during the follow-up period in curatively treated vervet monkeys.
Apart from their importance in disease staging, the changes in lipids levels may
also affect the pharmacokinetics of some trypanocides.
PMID- 22070163
TI - Steric modifications tune the regioselectivity of the alkane oxidation catalyzed
by non-heme iron complexes.
AB - Iron complexes with the tetradentate N-donor ligand N,N'-di(phenylmethyl)-N,N'
bis(2-pyridinylmethyl)-1,2-cyclohexanediamine (bbpc) are reported. Despite the
benzyl groups present on the amines, the iron compounds catalyze the oxygenation
of cyclohexane to an extent similar to those employing less sterically encumbered
ligands. The catalytic activity is strongly dependent on the counterion, with the
highest activity and the strongest preference for alkane hydroxylation
correlating to the most weakly coordinating anion, SbF(6)(-). The selectivity for
the alcohol product over the ketone is amplified when acetic acid is present as
an additive. When hydrocarbon substrates with both secondary and tertiary carbons
are oxidized by H(2)O(2), the catalyst directs oxidation toward the secondary
carbons to a greater degree than other previously reported iron-containing
homogeneous catalysts.
PMID- 22070164
TI - Arts In-Reach: taking 'bricks off shoulders' in adult mental health inpatient
care.
AB - This article reports upon a research study focusing on a programme of work called
Arts In-Reach. The programme was designed to provide a participatory arts
programme for the adult mental health inpatient wards in a city in the UK. The
aim of the research study was to explore the experiences of people who have
engaged with the Arts In-Reach programme of work. Eleven qualitative interviews
were conducted among participants of the programme. Consistent with other
research, this study reveals how people on the wards often feel powerless and
bored. The feelings of boredom are exacerbated as people recover. Participating
in the arts groups has alleviated some of those feelings. Furthermore,
participation has also increased people's social interactions and given
opportunity for self-expression. The arts activities also provide a distraction
for people and some appreciated being able to talk about matters other than their
'illness'. The arts activities helped people to think about their future and how
they might take their artwork forward. For some people, thinking about the future
helped with restoring a sense of hope, a quality imperative for recovery.
PMID- 22070165
TI - Clinical evaluation of severe anemia in pregnancy with special reference to
macrocytic anemia.
AB - AIMS: Anemia in pregnancy has been almost synonymous with iron-deficiency anemia
but there appears to be a changing trend with emerging evidence of macrocytic
anemia. The aim of this study was to evaluate the clinical profile of patients
having severe anemia in pregnancy. METHODS: This study included all antenatal
patients presenting with severe anemia (hemoglobin<7gm%) over a period of 2years
from January 2008 to December 2009 in the Department of Obstetrics and
Gynaecology, Maulana Azad Medical College and Lok Nayak Hospital, New Delhi.
RESULTS: Although iron-deficiency anemia remains the most important cause of
anemia (48.1%) there is also a high prevalence of macrocytic anemia (40%) amongst
these cases of severe anemia in pregnancy. The maternal and fetal morbidity and
mortality is higher in macrocytic anemia as compared to iron-deficiency anemia.
CONCLUSION: There is an emerging trend of macrocytic anemia among antenatal
patients with severe anemia. Both maternal and fetal morbidity appears to be
higher in these cases. This has important implications in terms of diagnosis and
therapy to optimize both maternal and perinatal outcomes. Once clinicians and
health administrators recognize the magnitude of this problem it may be
considered relevant to start public health interventions by way of requirement of
appropriate food fortification.
PMID- 22070166
TI - The catechol-O-methyltransferase (COMT) Val158Met polymorphism moderates the
effect of antenatal stress on childhood behavioural problems: longitudinal
evidence across multiple ages.
AB - AIM: The functional polymorphism Val158Met in the catechol-O-methyltransferase
(COMT) gene was analysed to determine its association with maternal stress and
childhood total difficulties. METHOD: Data were collected at birth from a group
of infants who were born small for gestational age and a group who were born at
an appropriate size for gestational age and had been enrolled in the Auckland
Birthweight Collaborative Study. Children were followed up at the ages of 1 year,
3 years 6 months, 7 years, and 11 years. At the age of 11 years, DNA samples were
collected from 546 children (270 females, 276 males): 227 children born small for
gestational age and 319 children born at an appropriate size for gestational age.
The main independent variable was perceived maternal stress at birth and at 7 and
11 years of age, assessed using the total difficulties scale of the Strength and
Difficulties Questionnaire. IQ was assessed at the age of 7 years. RESULTS:
Met/Met homozygotes were at a significantly increased risk of behavioural and
emotional problems at the ages of 7 (p=0.002) and 11 years (p=0.003), relative to
either heterozygous or homozygous carriers of the Val158Met polymorphism, but
only when they were exposed to maternal stress in utero. Met/Met homozygotes had,
on average, IQ scores that were four points higher than those of Val/Val
homozygotes (p=0.010). INTERPRETATION: These findings emphasize the potential
long-term consequences of prenatal stress for genetically susceptible individuals
during neurodevelopment in utero. Our findings add to the general understanding
of the aetiology and developmental nature of childhood emotional and behavioural
problems.
PMID- 22070168
TI - Amphiphilic behavior of new cholesteryl cyclodextrins: a molecular study.
AB - Amphiphilic cyclodextrins (CDs) are good candidates to functionalize natural
membranes as well as synthetic vesicles. In this paper, we describe the synthesis
of the amphiphilic permethylated monocholesteryl alpha-CD (TASC). Its interfacial
behavior is compared with that of the permethylated mono- and dicholesteryl beta
CD analogues (TBSC and TBdSC). Langmuir isotherms suggest a reorganization upon
compression for all compounds, which is quantified using neutron as well as X-ray
reflectivity. The in-plane structure is characterized by atomic force microscopy
(AFM) on monolayers deposited on solid substrates. A model involving a
reorientation of the CD with respect to the interface to adjust its conformation
to the available area per molecule is proposed. Although we observe for TBSC a
rearrangement similar to TASC and TBdSC, it is already achieved at lower surface
pressures compared with its disubstituted derivative. This specific behavior is
explained by an increased structural flexibility and compressibility compared
with TBdSC and TASC. The average number of water molecules per CD was determined
using the neutron data and validated from X-ray data, which also allows the
determination of the CD's molecular volume. The permethylated CD molecules are
strongly hydrated in the film, but the alpha-CD analogue is less hydrated than
the beta-CD derivatives, and hydration decreases with compression.
PMID- 22070167
TI - ProPhylo: partial phylogenetic profiling to guide protein family construction and
assignment of biological process.
AB - BACKGROUND: Phylogenetic profiling is a technique of scoring co-occurrence
between a protein family and some other trait, usually another protein family,
across a set of taxonomic groups. In spite of several refinements in recent
years, the technique still invites significant improvement. To be its most
effective, a phylogenetic profiling algorithm must be able to examine co
occurrences among protein families whose boundaries are uncertain within large
homologous protein superfamilies. RESULTS: Partial Phylogenetic Profiling (PPP)
is an iterative algorithm that scores a given taxonomic profile against the
taxonomic distribution of families for all proteins in a genome. The method works
through optimizing the boundary of each protein family, rather than by relying on
prebuilt protein families or fixed sequence similarity thresholds. Double Partial
Phylogenetic Profiling (DPPP) is a related procedure that begins with a single
sequence and searches for optimal granularities for its surrounding protein
family in order to generate the best query profiles for PPP. We present ProPhylo,
a high-performance software package for phylogenetic profiling studies through
creating individually optimized protein family boundaries. ProPhylo provides
precomputed databases for immediate use and tools for manipulating the taxonomic
profiles used as queries. CONCLUSION: ProPhylo results show universal markers of
methanogenesis, a new DNA phosphorothioation-dependent restriction enzyme, and
efficacy in guiding protein family construction. The software and the associated
databases are freely available under the open source Perl Artistic License from
ftp://ftp.jcvi.org/pub/data/ppp/.
PMID- 22070169
TI - Polycaprolactone scaffolds fabricated with an advanced electrohydrodynamic direct
printing method for bone tissue regeneration.
AB - Electrohydrodynamic (EHD) direct writing has been used in diverse
microelectromechanical systems and various supplemental methods for biotechnology
and electronics. In this work, we expanded the use of EHD-induced direct writing
to fabricate 3D biomedical scaffolds designed as porous structures for bone
tissue engineering. To prepare the scaffolds, we modified a grounded target used
in conventional EHD direct printing using a poly(ethylene oxide) solution bath,
elastically cushioning the plotted struts to prevent crumbling. The fabricated
scaffolds were assessed for not only physical properties including surface
roughness and water uptake ability but also biological capabilities by culturing
osteoblast-like cells (MG63) for the EHD-plotted polycaprolactone (PCL) scaffold.
The EHD-scaffolds showed significantly roughened surface and enhanced water
absorption ability (400% increase) compared with the pure rapid-prototyped PCL.
The results of cell viability, alkaline phosphatase activity, and mineralization
analyses showed significantly enhanced biological properties of the scaffold (20
times the cell viability and 6 times the mineralization) compared with the
scaffolds fabricated using RP technology. Because of the results, the modified
EHD direct-writing process can be a promising method for fabricating 3D
biomedical scaffolds in tissue engineering.
PMID- 22070170
TI - Sorption-desorption of indaziflam in selected agricultural soils.
AB - Indaziflam, a new alkylazine herbicide that inhibits cellulose biosynthesis, is
under current development for soil applications in perennial crops and
nonagricultural areas. Sorption and desorption of indaziflam in six soils from
Brazil and three soils from the United States, with different physical chemical
properties, were investigated using the batch equilibration method. Sorption
kinetics demonstrated that soil-solution equilibrium was attained in <24 h. The
Freundlich equation described the sorption behavior of the herbicide for all
soils (R(2) > 0.99). K(f) values of the Brazilian oxisols ranged from 4.66 to
29.3, and 1/n values were >= 0.95. Sorption was positively correlated to %OC and
clay contents. U.S. mollisol K(f) values ranged from 6.62 to 14.3; 1/n values for
sorption were >= 0.92. K(f) values from mollisols were also positively correlated
with %OC. These results suggest that indaziflam potential mobility, based solely
on its sorption coefficients, would range from moderate to low in soil.
Desorption was hysteretic on all soils, further decreasing its potential mobility
for offsite transport.
PMID- 22070172
TI - Status of National Family Planning Programmes of Developing Countries in relation
to demographic targets.
AB - Abstract Having arisen within the context of social and economic development
plans, demographic targets associated with family planning programmes in
developing countries stipulate levels deemed desirable or necessary to implement
economic goals. Since an optimum fertility path for economic development is open
to conjecture, targets tend to be vague or arbitrary, and generally imply a
fertility decline that goes beyond family limitation based on health
considerations. While on the one hand, programme administrators have assumed
responsibility for these targets, on the other hand they have structured their
programmes toward health, not demographic, goals. As a result, they have
minimized rather tham maximized the contribution of the programmes to the
targets. of the 24 countries with programmes designed to reduce birth rates, the
demographic variable is on target in seven; in six, review is premature; five
cannot be evaluated because targets are non-quantifiable or vital data are too
uncertain; and in six, including India and Pakistan, progress has been poor, at
least in relation to targets. The demographic target is only one of many models
and techniques for programme evaluation, although many require data not often
available in developing countries. An estimate of births averted based directly
on programme activities is not only complex but speculative. However, in contrast
to the target criterion, cost benefit analyses involving the estimated return for
an averted birth indicate that the programmes are a highly profitable investment.
PMID- 22070173
TI - The Validation of Brass's Model Life Table System.
AB - Abstract The closeness-of-fit of two-parameter Brass model life-tables to
English life-tables is examined. This is done for various life-table functions
and various criteria of best fit. Reproductions of computer output give full
details of the fits for typical cases from the large selection of cases examined.
It was found that the equations to be solved to find the best fit were
intractable and the paper gives a simple method of finding an approximate
solution to these equations.
PMID- 22070174
TI - Models for the estimation of the probability of dying between birth and exact
ages of early childhood.
AB - Abstract This paper develops two models, each of which is designed to estimate
the probability of surviving from birth to selected exact ages of early
childhood: namely ages two, three and five. The models are designed for use in
areas with deficient registration systems. They require, as input, statistics
which can be derived from retrospective data supplied by census or survey
respondents. The first model, the age model, converts statistics on the
proportion dead of children ever born to women in age groups 20-24, 25-29 and 30
34 into estimates of q2, q3 and q5. The second model, the marriage model,
converts statistics on the proportion dead of children ever born to women of five
year marriage duration intervals into these estimates. The models can be used
independently or simultaneously. These models were developed from data
generated by a large number of empirical fertility and mortality schedules.
Regression analysis was used to determine the parameter values of the
relationships specified, and several sets of equations for estimating values of
qa, for a = 2, 3 and 5 comprise the final product of the paper. It should be
noted that the conceptual basis for the models was first derived by William
Brass. The data generated for the regression analysis provided an opportunity to
test the original Brass estimated model. We are able to report that the model
performed well over the wide range of fertility and mortality conditions included
in the test.
PMID- 22070175
TI - Neo-natal and post-neo-natal mortality in a rural area of Bangladesh.
AB - Abstract An analysis of neo-natal and post-neo-natal mortality in 132 villages
(population of 117,000) of Matlab thana indicates the following: (i) Neo-natal
deaths accounted for 60% of the infant mortality rate of 125. This proportion was
unexpectedly high since previous research had maintained that in countries with
infant mortality rates over 100, neo-natal deaths account for less than one-third
of all infant deaths. Since the present findings on the proportions of neo-natal
deaths correspond exactly with results from an earlier registration system in
East Pakistan, it is suggested that the long-accepted proposition, 'less
developed' areas are characterized by lower proportions of neo-natal deaths than
'more developed' areas, be re-examined. (2) The infant death rate accounts for
36% of all deaths in the population. If the infant death rate were reduced by
half the result would be a decrease in the current crude death rate from 16 to
13. Although this reduction would appear to be small, in the context of a current
high growth rate of 3% (from 1966-67 to 1968-69) it exerts a sizeable impact. For
example, it would take a reduction of eight points in the crude birth rate of 46
just to achieve a growth rate 2.5% under these circumstances. Obviously,
continued efforts in death control without an effective birth control programme
will perpetuate high rates of growth. (3) Neo-natal and post-neo-natal
mortality exhibited the -expected 'U' shaped pattern with parity, and generally
varied as expected with age and family size, except in the oldest age group and
largest family size where the risk was smaller than in the preceding groups. An
explanation for these findings is presented, based on the effect that births to
high-parity women with low child mortality have upon the total neo-natal and post
neo-natal mortality rates. It was found that these births exhibit a much lower
mortality risk than births to women of comparable parities and higher child
mortality, and that their numbers account for the lower risk to the births in the
oldest age group and largest family size. It was concluded that women with a
combination of high parity and low child mortality most probably represent a
group with superior socio-economic and or health conditions which contribute to
the lower risk of neo-natal and post-neo-natal death.
PMID- 22070178
TI - Effects of ArF excimer laser irradiation of dentin on the tensile bonding
strength to composite resin.
AB - OBJECTIVE: The purpose of the study was to evaluate the effects of argon fluoride
(ArF) excimer laser irradiation on the tensile bonding strength (TBS) of dentin
to composite resin. BACKGROUND DATA: Dental lasers use a photothermal process,
which potentially entails risk of tissue damage caused by heat affecting the bond
strength of resins. The ArF excimer laser functions by a photochemical process in
which the energy of photons directly cuts covalent bonds in molecules without
generating heat. METHODS: Twenty extracted human molars were sectioned
perpendicularly to the tooth axis to expose a flat dentin surface. The surfaces
were treated with various combinations of ArF excimer laser irradiation, primer
treatment, and bonding treatment. After composite resin was built up on the
treated dentin surface, specimens with a 1*1 mm bonding interface were prepared
and subjected to TBS tests. Treated dentin surfaces were also observed using
transmission electron microscopy (TEM). RESULTS: Specimens that underwent laser
irradiation followed by bonding treatment had a TBS that did not differ
significantly from that of specimens that received conventional treatment, with
or without priming. TEM observations showed sectioned and dispersed collagen
matrix in the hybrid layer after laser irradiation, priming, and bonding, but no
hybrid layer after laser irradiation and bonding at the treated dentin surface.
CONCLUSIONS: The TBS of conditioning with ArF excimer laser irradiation was
identical to that with conventional treatment when bonding was used. The bonding
mechanism with the ArF irradiation differed from that of conventional bonding
depending upon dentin hybridization.
PMID- 22070179
TI - Contrast enhancement on computed tomography after renal cryoablation: an evidence
of treatment failure?
AB - BACKGROUND AND PURPOSE: Renal cryoablation has gained popularity as a treatment
option for localized renal masses. Treatment success is typically defined by the
absence of contrast enhancement on follow-up imaging. We investigate the
evolution of lesions that demonstrate contrast enhancement on CT after renal
cryoablation. PATIENTS AND METHODS: Retrospective review of records of
laparoscopic and percutaneous cryoablation (LCA and PCA) was performed,
identifying records with postoperative radiographic enhancement. Imaging studies
were reviewed and radiographic (pre- and post-contrast Hounsfield units, pattern
and location of enhancement) and clinical data including follow up were
collected. RESULTS: One hundred and seventy-two cryoablation procedures were
analyzed. Of these, 30 (17.4%) showed enhancement on follow-up CT. In 28 cases,
contrast enhancement was demonstrated on the first postoperative study. Seven
patients underwent salvage treatments. Spontaneous resolution of enhancement was
noted in 17 (56.7%) cases. No association was found between enhancement pattern
(diffuse/nodular/rim) and resolution. Of lesions enhancing >35 HU, only 14.3%
resolved spontaneously. Delayed enhancement (after negative imaging studies)
occurred in two patients; both underwent salvage treatments. CONCLUSIONS: Most of
the time, contrast-enhancements after cryoablation are evident on the first
follow-up imaging study. More than 50% of these resolve spontaneously, and only
one of four patients need salvage treatment. Delayed appearance of enhancement
after previously negative imaging and highly enhancing lesions (>35 HU) are
unlikely to resolve and should be considered suspicious for local recurrence or
incomplete ablation. Further investigation is warranted to reach a consensus on a
radiographic definition of local recurrence after renal cryoablation.
PMID- 22070181
TI - Defining treatment response in pediatric tic disorders: a signal detection
analysis of the Yale Global Tic Severity Scale.
AB - OBJECTIVE: To examine the optimal Yale Global Tic Severity Scale (YGTSS) percent
reduction and raw cutoffs for predicting treatment response among children and
adolescents with tic disorders. METHOD: Youth with a tic disorder (N=108; range=5
17 years) participated in several clinical trials involving varied medications or
psychosocial treatment, or received naturalistic care. Assessments were conducted
before and after treatment and included the YGTSS and response status on the
Clinical Global Impressions-Improvement Scale (CGI-I). RESULTS: A 35% reduction
on the YGTSS total tic severity score or a YGTSS raw total tic severity score
change of 6 or 7 points were the best indicators of clinical treatment response
in youth with tic disorders. CONCLUSIONS: A YGTSS total tic severity score
reduction of 35% or a raw total tic severity score change of 6 or 7 appears
optimal for determining treatment response. A consistent definition of treatment
response on the YGTSS may facilitate cross-study comparability. Practitioners can
use these values for treatment planning decisions (e.g., change medications,
etc.).
PMID- 22070180
TI - Risperidone-related improvement of irritability in children with autism is not
associated with changes in serum of epidermal growth factor and interleukin-13.
AB - Risperidone has been shown to improve serious behavioral problems in children
with autism. Here we asked whether risperidone-associated improvement was related
to changes in concentrations of inflammatory molecules in the serum of these
subjects. Seven molecules were identified as worthy of further assessment by
performing a pilot analysis of 31 inflammatory markers in 21 medication-free
subjects with autism versus 15 healthy controls: epidermal growth factor (EGF),
interferon-gamma (IFN-gamma), interleukin (IL)-13, IL-17, monocyte
chemoattractant protein-1 (MCP-1), IL-1 and IL-1-receptor antagonist. Serum
concentrations of these markers were then established in a different set of
subjects that participated in a double-blind, clinical trial and an expanded
group of healthy subjects. In the first analysis, samples obtained from subjects
with autism at baseline visits were compared to visits after 8-week treatment
with placebo (n=37) or risperidone (n=40). The cytokine concentrations remained
stable over the 8-week period for both risperidone and placebo groups. In the
second analysis, we explored further the differences between medication-free
subjects with autism (n=77) and healthy controls (recruited independently; n=19).
Serum levels of EGF were elevated in subjects with autism (median=103 pg/mL,
n=75) in comparison to healthy controls (75 pg/mL, n=19; p<0.05), and levels of
IL-13 were decreased in autism (median=0.8 pg/mL, n=77) in comparison to controls
(9.8 pg/mL, n=19; p=0.0003). These changes did not correlate with standardized
measures used for a diagnosis of autism. In summary, risperidone-induced clinical
improvement in subjects with autism was not associated with changes in the serum
inflammatory markers measured. Whether altered levels of EGF and IL-13 play a
role in the pathogenesis or phenotype of autism requires further investigation.
PMID- 22070182
TI - Placental magnetic resonance imaging in monochorionic twin pregnancies.
AB - OBJECTIVE: Twin-twin transfusion syndrome (TTTS) is a severe complication of
monochorionic pregnancies. Placental hydrops might be a marker for TTTS. The
purpose of this study was to evaluate whether differences in the placental
parenchyma due to TTTS can be seen with fetal MRI. METHODS: In a retrospective
study, 34 monochorionic pregnancies were investigated on a 1.5 Tesla MR.
Seventeen pregnancies were affected by TTTS, and 17 showed no clinical signs of
TTTS. Placental maturation and vascular pathologies, as well as the extent of the
placental findings and allocation of placental tissue to each twin, were
investigated. Placental findings were reported for origin, size, maturation, and
placental thickness, and were correlated with the presence of TTTS. RESULTS: All
placentas affected by TTTS showed abnormal maturation on MR scans, but only 64.7%
of the non-TTTS group (p = 0.018). Vascular placental pathologies did not differ
significantly between the TTTS and non-TTTS group. CONCLUSIONS: MR-signs of
placental maturity in monochorionic twin pregnancies may indicate a lower risk of
development of TTTS.
PMID- 22070183
TI - Pd(0)-catalyzed oxy- and aminoalkynylation of olefins for the synthesis of
tetrahydrofurans and pyrrolidines.
AB - The first Pd(0)-catalyzed intramolecular oxy- and aminoalkynylation of
nonactivated olefins is reported. The reaction gives access to important
tetrahydrofuran and pyrrolidine heterocycles with high diastereoselectivity. The
unique synthetic potential of acetylenes is further exploited to access key
building blocks for the synthesis of bioactive natural products.
PMID- 22070184
TI - Anticholinergics for overactive bladder therapy: central nervous system effects.
AB - The mainstay of pharmacological treatment of overactive bladder (OAB) is
anticholinergic therapy using muscarinic receptor antagonists (tertiary or
quaternary amines). Muscarinic receptors in the brain play an important role in
cognitive function, and there is growing awareness that antimuscarinic OAB drugs
may have adverse central nervous system (CNS) effects, ranging from headache to
cognitive impairment and episodes of psychosis. This review discusses the
physicochemical and pharmacokinetic properties of OAB antimuscarinics that affect
their propensity to cause adverse CNS effects, as observed in phase III clinical
trials and in specific investigations on cognitive function and sleep
architecture. PubMed/MEDLINE was searched for "OAB" plus "muscarinic antagonists"
or "anticholinergic drug." Additional relevant literature was identified by
examining the reference lists of papers identified through the search.
Preclinical and clinical trials in adults were assessed, focusing on the OAB
antimuscarinics approved in the United States. The blood-brain barrier (BBB)
plays a key role in protecting the CNS, but it is penetrable. The lipophilic
tertiary amines, particularly oxybutynin, are more likely to cross the BBB than
the hydrophilic quaternary amine trospium chloride, for which there are very few
reports of adverse CNS effects. In fact, in 2008 the US product labels for oral
oxybutynin were modified to include the potential for anticholinergic CNS events
and a warning to monitor patients for adverse CNS effects. Even modest cognitive
impairment in the elderly may negatively affect independence; therefore,
selection of an antimuscarinic OAB drug with reduced potential for CNS effects is
advisable.
PMID- 22070185
TI - Iron-catalyzed regioselective direct oxidative aryl-aryl cross-coupling.
AB - Regioselective iron-catalyzed cross-dehydrogenative coupling (CDC) of two
aromatic compounds using tert-BuOOH as oxidant under mild conditions has been
reported. The direct oxidative coupling reaction is selective toward creation of
a carbon-carbon bond at the position ortho to the functional groups of the
substrates, completely preventing the homocoupled products. The C-C bond-forming
reaction makes the method versatile, leading to functionalized 2,2'
disubstituted biaryls.
PMID- 22070186
TI - A synthesis of existing systematic reviews and meta-analyses of school-based
behavioural interventions for controlling and preventing obesity.
AB - Schools are an attractive and popular setting for implementing interventions for
children. There is a growing body of empirical research exploring the efficacy of
school-based obesity prevention programs. While there have been several reviews
on the topic, findings remain mixed. To examine the quality of evidence and
compare the findings from existing systematic reviews and meta-analyses of school
based programs in the prevention and control of childhood obesity. This paper
systematically appraises the methodology and conclusions of literature reviews
examining the effectiveness of school-based obesity interventions published in
English in peer-reviewed journals between January 1990 and October 2010. Eight
reviews were examined, three meta-analyses and five systematic reviews. All of
the reviews recognized that studies were heterogeneous in design, participants,
intervention and outcomes. Intervention components in the school setting
associated with a significant reduction of weight in children included long-term
interventions with combined diet and physical activity and a family component.
Several reviews also found gender differences in response to interventions. Of
the eight reviews, five were deemed of high quality and yet limited evidence was
found on which to base recommendations. As no single intervention will fit all
schools and populations, further high-quality research needs to focus on
identifying specific program characteristics predictive of success.
PMID- 22070187
TI - Nationwide linkage analysis in Scotland to assess mortality following hospital
admission for Crohn's disease: 1998-2000.
AB - BACKGROUND: Although population-based studies of patients with Crohn's disease
(CD) suggest only a modestly increased mortality, recent data have raised
concerns regarding the outcome of CD patients requiring hospitalisation. AIM: To
determine the mortality and contributory factors in 1595 patients hospitalised
for CD in Scotland between 1998 and 2000. METHODS: The Scottish Morbidity Records
database and linked datasets were used to assess longitudinal patient outcome,
and to explore associations between 3-year mortality and age, sex, comorbidity,
admission type and social deprivation. The standardised mortality ratio (SMR) at
3 years from admission was calculated with reference to the Scottish population.
RESULTS: The SMR was 3.31 (95% confidence interval 2.80-3.89). This was increased
in all patients, other than those <30 years at presentation, and was highest in
patients aged 50-64 years (SMR 4.84 [3.44-6.63]). On multivariate analysis, age
>50, admission type, comorbidity, social deprivation and length of admission were
significantly associated with mortality. Other than age, admission type was the
strongest factor predictive of death. Three-year crude mortality was 0.3% for
elective surgical, 8.7% for emergency surgical, 8.3% for elective nonsurgical and
12.7% for emergency nonsurgical admission (P < 0.001). CONCLUSIONS: The study
demonstrates high mortality rates in patients hospitalised during 1998-2000 for
CD, especially in patients over 50. Elective surgery is associated with lower
mortality than emergency surgery or medical therapy. Further study is needed to
determine whether these patterns have changed following the introduction of
biological treatment.
PMID- 22070188
TI - Patients treated for psychosis and their perceptions of care in compulsory
treatment: basis for an action plan.
AB - The purpose of the study was to describe patients' conceptions and experiences of
care in compulsory treatment for acute onset of psychosis. Twelve patients with
experience of compulsory treatment were interviewed in 2008-2009, and
phenomenographic analysis was used to analyse the data. Two descriptive
categories emerged in the results: receiving needed support and perceiving
respectful care. Patients perceived that coercive interventions were positive if
they were given good care, if they were given the shelter they needed, if they
got help with understanding and if the setting was healing. Patients felt
respected if they were treated like human beings, if they were allowed to retain
as much of their autonomy as possible and if they were invited to participate
even though they were under compulsory treatment. The results show that it is
important to prevent patients from being traumatized during compulsory treatment
and to take advantage of patients' inner resources. Patients' experiences of
compulsory treatment can form the basis for preparing an individual action plan
for future compulsory treatment. Individual action plans could empower patients
during compulsory treatment and improve their experience of care.
PMID- 22070189
TI - Non-medical prescribing: audit, practice and views.
AB - Non-medical prescribing is increasingly utilized in clinical care and UK
Standards have been produced for this. This study was undertaken to investigate
compliance with these standards which were adopted by one mental health service
and to review whether any changes were necessary to existing arrangements
monitoring this compliance. A questionnaire was distributed to all 24 non-medical
prescribers from one UK Mental Health Trust. Participants were asked to respond
to questions about demographic data and prescribing practices. We also asked them
to rate their experience on a 5-point scale. In all, 83% of non-medical
prescribers responded. The UK Standards were met even though there was a
shortfall in the uptake of training and supervision. Non-medical prescribers from
the Community Drug Team and Older People's Service prescribed a narrow range of
speciality drugs than any other category of drugs, but prescribed more often. UK
Standards were met by the majority of non-medical prescribers. However, concerns
were noted about a shortfall in training, supervision and experience of some non
medical prescribers. Conflict with psychiatrists was reported but their
availability for support when necessary was valued. Non-medical prescribers
believed that their input with non-medical prescribing had benefited patients.
PMID- 22070190
TI - The pH sensor of the plant K+-uptake channel KAT1 is built from a sensory cloud
rather than from single key amino acids.
AB - The uptake of potassium ions (K+) accompanied by an acidification of the apoplasm
is a prerequisite for stomatal opening. The acidification (approximately 2-2.5 pH
units) is perceived by voltage-gated inward potassium channels (K(in)) that then
can open their pores with lower energy cost. The sensory units for extracellular
pH in stomatal K(in) channels are proposed to be histidines exposed to the
apoplasm. However, in the Arabidopsis thaliana stomatal K(in) channel KAT1,
mutations in the unique histidine exposed to the solvent (His267) do not affect
the pH dependency. We demonstrate in the present study that His267 of the KAT1
channel cannot sense pH changes since the neighbouring residue Phe266 shifts its
pKa to undetectable values through a cation-pi interaction. Instead, we show that
Glu240 placed in the extracellular loop between transmembrane segments S5 and S6
is involved in the extracellular acid activation mechanism. Based on structural
models we propose that this region may serve as a molecular link between the pH-
and the voltage-sensor. Like Glu240, several other titratable residues could
contribute to the pH-sensor of KAT1, interact with each other and even connect
such residues far away from the voltage-sensor with the gating machinery of the
channel.
PMID- 22070191
TI - A meta-analysis of hospital 30-day avoidable readmission rates.
AB - RATIONALE AND OBJECTIVES: Urgent readmission to hospital is commonly used to
measure hospital quality of care. Hospitals that measure the proportion of urgent
readmissions judged avoidable need to know previously published rates for
comparison. In this study, we generated a literature-based estimate for the
proportion of 30-day urgent readmissions deemed avoidable for hospitals to use to
gauge their performance in avoidable readmissions. METHODS: We searched the
Medline and Embase databases to identify published studies that reported the
proportion of 30-day urgent readmissions deemed avoidable. We then modelled the
overall proportion of 30-day urgent readmissions deemed avoidable. RESULTS: We
included 16 studies that used a wide variety of patients and a diverse range of
methods to classify readmissions as avoidable. Studies reported a broad range for
the proportion of urgent 30-day readmissions deemed avoidable. Overall, 848 of
3669 readmissions (23.1%, 95% confidence interval, 21.7-24.5) of 30-day urgent
readmissions were classified as avoidable. This proportion varied significantly
based on hospital teaching status and number of reviewers for each case [teaching
hospitals: with one reviewer, 9.3% (4.2-19.3); with >1 reviewer, 21.6% (13.2
33.3); non-teaching hospital: with one reviewer, 32.2% (11.4-63.9); with >1
reviewer, 39.9% (37.6-42.2)]. Significant heterogeneity remained between studies
even after clustering studies by these covariates. CONCLUSIONS: Less than one in
four readmissions were deemed avoidable. Health system planners need to use
caution in interpreting all cause readmission statistics as they are only
partially influenced by quality of care.
PMID- 22070192
TI - Histamine pharmacology and new CNS drug targets.
AB - During the last decade, the identification of a number of novel drug targets led
to the development of promising new compounds which are currently under
evaluation for their therapeutic prospective in CNS related disorders. Besides
the established pleiotropic regulatory functions in the periphery, the interest
in the potential homeostatic role of histamine in the brain was revived following
the identification of H(3) and H(4) receptors some years ago. Complementing
classical CNS pharmacology, the development of selective histamine receptor
agonists, antagonists, and inverse agonists provides the lead for the potential
exploitation of the histaminergic system in the treatment of brain pathologies.
Although no CNS disease entity has been associated directly to brain histamine
dysfunction until now, the H(3) receptor is recognized as a drug target for
neuropathic pain, sleep-wake disorders, including narcolepsy, and cognitive
impairment associated with attention deficit hyperactivity disorder,
schizophrenia, Alzheimer's, or Parkinson's disease, while the first H(3)
receptor ligands have already entered phase I-III clinical trials. Interestingly,
the localization of the immunomodulatory H(4) receptor in the nervous system
exposes attractive perspectives for the therapeutic exploitation of this new drug
target in neuroimmunopharmacology. This review focuses on a concise presentation
of the current "translational research" approach that exploits the latest
advances in histamine pharmacology for the development of beneficial drug targets
for the treatment of neuronal disorders, such as neuropathic pain, cognitive, and
sleep-wake pathologies. Furthermore, the role of the brain histaminergic
system(s) in neuroprotection and neuroimmunology/inflammation remains a
challenging research area that is currently under consideration.
PMID- 22070193
TI - Local adaptation and matching habitat choice in female barn owls with respect to
melanic coloration.
AB - Local adaptation is a major mechanism underlying the maintenance of phenotypic
variation in spatially heterogeneous environments. In the barn owl (Tyto alba),
dark and pale reddish-pheomelanic individuals are adapted to conditions
prevailing in northern and southern Europe, respectively. Using a long-term
dataset from Central Europe, we report results consistent with the hypothesis
that the different pheomelanic phenotypes are adapted to specific local
conditions in females, but not in males. Compared to whitish females, reddish
females bred in sites surrounded by more arable fields and less forests. Colour
dependent habitat choice was apparently beneficial. First, whitish females
produced more fledglings when breeding in wooded areas, whereas reddish females
when breeding in sites with more arable fields. Second, cross-fostering
experiments showed that female nestlings grew wings more rapidly when both their
foster and biological mothers were of similar colour. The latter result suggests
that mothers should particularly produce daughters in environments that best
match their own coloration. Accordingly, whiter females produced fewer daughters
in territories with more arable fields. In conclusion, females displaying
alternative melanic phenotypes bred in habitats providing them with the highest
fitness benefits. Although small in magnitude, matching habitat selection and
local adaptation may help maintain variation in pheomelanin coloration in the
barn owl.
PMID- 22070194
TI - What near misses tell us about risk and safety in mental health care.
AB - How service providers and service users view near misses in their daily practice
within the rubric of patient safety events is not well understood. Further no
studies were located that explored near misses specifically in mental health
settings in Canada. In this context, a qualitative study was undertaken to gain
insight into how service providers and service users (mental health clients or
their family members) experienced and defined near misses. Eight (8) focus groups
(n= 88) with service providers and 28 semi-structured interviews with service
users were conducted at three mental health care organizations. Content analysis
was employed to the dataset that elucidated that near misses were (1) safety
threats and vulnerabilities associated with experiencing mental illness; and (2)
acts that avert harm and prevent something from happening. Findings are compared
to what is currently known about in safety. Implications of findings for
practice, research and policy are delineated.
PMID- 22070195
TI - PESCADOR, a web-based tool to assist text-mining of biointeractions extracted
from PubMed queries.
AB - BACKGROUND: Biological function is greatly dependent on the interactions of
proteins with other proteins and genes. Abstracts from the biomedical literature
stored in the NCBI's PubMed database can be used for the derivation of
interactions between genes and proteins by identifying the co-occurrences of
their terms. Often, the amount of interactions obtained through such an approach
is large and may mix processes occurring in different contexts. Current tools do
not allow studying these data with a focus on concepts of relevance to a user,
for example, interactions related to a disease or to a biological mechanism such
as protein aggregation. RESULTS: To help the concept-oriented exploration of such
data we developed PESCADOR, a web tool that extracts a network of interactions
from a set of PubMed abstracts given by a user, and allows filtering the
interaction network according to user-defined concepts. We illustrate its use in
exploring protein aggregation in neurodegenerative disease and in the expansion
of pathways associated to colon cancer. CONCLUSIONS: PESCADOR is a platform
independent web resource available at: http://cbdm.mdc-berlin.de/tools/pescador/
PMID- 22070196
TI - Visual performance with simultaneous vision multifocal contact lenses.
AB - PURPOSE: The aim was to assess visual performance after PureVision high
addition multifocal contact lens (PM) fitting through contrast sensitivity
measured under different illumination levels for both distance and near. METHODS:
In a cross-over study, 20 presbyopic patients were randomly assigned to PM or
spectacles. Near contrast sensitivity was measured with the Vistech VCTS 6500
system. Distance contrast sensitivity and distance visual acuity (VA) were
measured with the Functional Vision Analyser under photopic (85 cd/m(2) ) and
mesopic (3.0 cd/m(2) ) conditions, the latter also under two levels of induced
glare: 1.0 lux and 28 lux. Near VA was measured by logMAR charts under
approximately 80 cd/m(2) luminance settings. RESULTS: Average monocular
spectacle corrected and PM VA were -0.02 +/- 0.05 and 0.03 +/- 0.05 logMAR for
distance photopic, 0.16 +/- 0.10 and 0.23 +/- 0.07 logMAR for distance mesopic
and -0.03 +/- 0.05 and 0.05 +/- 0.06 logMAR for near photopic, respectively.
Average binocular spectacle corrected and PM VA were -0.05 +/- 0.07 and -0.01 +/-
0.03 logMAR for distance photopic, 0.10 +/- 0.06 and 0.18 +/- 0.05 logMAR for
distance mesopic and -0.08 +/- 0.06 and 0.02 +/- 0.05 logMAR for near photopic,
respectively. Statistically significant differences were found between the
contrast sensitivity with the two types of correction for both distance and near,
with spectacle correction being better in all cases. CONCLUSION: PureVision
multifocal contact lenses preserve good VA and good visual performance under real
life conditions.
PMID- 22070197
TI - First results from the multicentre study rehabilitation of occupational skin
diseases--optimization and quality assurance of inpatient management (ROQ).
AB - BACKGROUND AND OBJECTIVES. The German stepwise procedure of handling occupational
skin diseases (OSDs) offers interdisciplinary integrated (inpatient/outpatient)
rehabilitation measures [tertiary individual prevention (TIP)] for severe OSD. In
2005, a prospective cohort multicentre study was started in order to evaluate
TIP. METHODS: One thousand seven hundred and eighty-eight patients with severe
OSD were treated and educated in five clinics with follow-up before and 4 weeks
after return to work. RESULTS: During the inpatient phase, there was a
significant improvement in the severity of OSD (Osnabrueck Hand Eczema Severity
Index, p < 0.001) and in the quality of life (Dermatology Life Quality Index, p <
0.001). These effects were largely sustained during the outpatient follow-up
phase and in the 4 weeks after return to work. Among all patients, 89.4% used
topical steroids before TIP, including 52.5% using high-grade topical steroids;
93.2% of the patients were able to refrain from using topical steroids before
returning to work. As a result of TIP, return to work was possible for 1587
patients (88.8%). CONCLUSIONS: The primary objectives of TIP (return to work,
improvement of OSD, enhancement of quality of life, and reduction in the use of
topical steroids) were successfully met. The long-term follow-up (1 and 3 years
after TIP) will examine whether these favourable outcomes can be sustained.
PMID- 22070198
TI - The gas phase structure of coulombically stretched polyethylene glycol ions.
AB - Prior ion-mobility mass-spectrometry (IMS-MS) studies of polyethylene glycol
(PEG) ions have identified only two out of many sharply different observed
structures: Linear shapes with several individually solvated singly charged
cations at high charge states z (beads on a string), and single multiply charged
globules at low z. The present study is devoted to assign all other existing
structures of PEG ions, for the first time reaching masses of 100 kDa and charge
states up to z = 10. There are at most z different structures at charge state z.
All involve a single globule carrying n charges, tied to one or several
appendices bearing z - n separate charges in a beads-on-a-string configuration.
All sharp shape transitions observed at decreasing ion mass involve ejection of
one elementary charge (sometimes two) from the shrinking globule into the growing
linear appendage. This picture is supported by molecular dynamics simulations and
approximate calculations of electrical mobilities for computed structures.
PMID- 22070199
TI - Amyloid peptide regulates calcium homoeostasis and arrhythmogenesis in pulmonary
vein cardiomyocytes.
AB - BACKGROUND: Amyloid peptides modulate cardiac calcium homoeostasis and play an
important role in the pathophysiology of atrial fibrillation. Pulmonary veins
(PVs) are critical in the genesis of atrial fibrillation and contain abundant
amyloid peptides. Therefore, the purpose of this study is to investigate whether
amyloid peptides may change the PV electrical activity through regulating calcium
homoeostasis. METHODS AND RESULTS: The channel and calcium-handling protein
expressions, intracellular calcium and ionic currents were studied in isolated
rabbit PV cardiomyocytes in the presence and absence (control) of beta-amyloid
(Abeta(25-35) ) for 4-6 h, using Western blot analysis, indo-1 fluorimetric ratio
and whole-cell patch clamp techniques. Abeta(25-35) decreased the expressions of
Ca(V) 1.2, total or Ser16-phosphorylated phospholamban (p-PLB), p-PLB/PLB ratio,
sodium/calcium exchanger, but did not change ryanodine receptor, sarcoplasmic
reticulum (SR) ATPase and K(+) channel proteins (Kir2.1, Kir2.3, Kv1.4, Kv1.5 and
Kv4.2). Abeta(25-35) -treated cardiomyocytes had smaller calcium transient, SR
calcium store, L-type calcium current and sodium/calcium exchanger current than
control cardiomyocytes. Moreover, Abeta(25-35) -treated cardiomyocytes (n = 20)
had shorter 90% of the action potential duration (82 +/- 3 vs. 93 +/- 5 ms, P <
0.05) than control cardiomyocytes (n = 16). CONCLUSION: Abeta(25-35) has direct
electrophysiological effects on PV cardiomyocytes.
PMID- 22070200
TI - New mixed-valent Mn clusters from the use of N,N,N',N'-tetrakis(2
hydroxyethyl)ethylenediamine (edteH4): Mn3, Mn4, Mn6, and Mn10.
AB - The syntheses, crystal structures, and magnetochemical characterization are
reported for the new mixed-valent Mn clusters
[Mn(2)(II)Mn(III)(O(2)CMe)(2)(edteH(2))(2)](ClO(4)) (1),
[Mn(II)(2)Mn(III)(2)(edteH(2))(2)(hmp)(2)Cl(2)](Mn(II)Cl(4)) (2),
[Mn(III)(6)O(2)(O(2)CBu(t))(6)(edteH)(2)(N(3))(2)] (3),
[Na(2)Mn(III)(8)Mn(II)(2)O(4)(OMe)(2)(O(2)CEt)(6)(edte)(2)(N(3))(6)] (4), and
(NEt(4))(2)[Mn(8)(III)Mn(2)(II)O(4)(OH)(2)-(O(2)CEt)(6)(edte)(2)(N(3))(6)](5),
where edteH(4) is N,N,N',N'-tetrakis-(2-hydroxyethyl)ethylenediamine and hmpH is
2-(hydroxymethyl)pyridine. 1-5 resulted from a systematic exploration of the
effect of different Mn sources, carboxylates, the presence of azide, and other
conditions, on the Mn/edteH(4) reaction system. The core of 1 consists of a
linear Mn(II)Mn(III)Mn(II) unit, whereas that of 2 is a planar Mn(4) rhombus
within a [Mn(II)(2)Mn(III)(2)(MU(3)-OR)(2)] incomplete-dicubane unit. The core of
3 comprises a central [Mn(III)(4)(OR)(2)] incomplete-dicubane on either side of
which is edge-fused a triangular [Mn(III)(3)(MU(3)-O)] unit. The cores of 4 and 5
are similar and consist of a central [Mn(II)(2)Mn(III)(2)(MU(3)-OR)(2)]
incomplete-dicubane on either side of which is edge-fused a distorted
[Mn(II)Mn(III)(3)(MU(3)-O)(2)(MU(3)-OR)(2)] cubane unit. Variable-temperature,
solid-state direct current (dc) and alternating current (ac) magnetization
studies were carried out on 1-5 in the 5.0-300 K range, and they established the
complexes to have ground state spin values of S = 3 for 1, S = 9 for 2, and S = 4
for 3. The study of 3 provided an interesting caveat of potential pitfalls from
particularly low-lying excited states. For 4 and 5, the ground state is in the S
= 0-4 range, but its identification is precluded by a high density of low-lying
excited states.
PMID- 22070202
TI - Rational synthesis of noncentrosymmetric metal-organic frameworks for second
order nonlinear optics.
PMID- 22070201
TI - Inhibition of hematopoietic protein tyrosine phosphatase augments and prolongs
ERK1/2 and p38 activation.
AB - The hematopoietic protein tyrosine phosphatase (HePTP) is implicated in the
development of blood cancers through its ability to negatively regulate the
mitogen-activated protein kinases (MAPKs) ERK1/2 and p38. Small-molecule
modulators of HePTP activity may become valuable in treating hematopoietic
malignancies such as T cell acute lymphoblastic leukemia (T-ALL) and acute
myelogenous leukemia (AML). Moreover, such compounds will further elucidate the
regulation of MAPKs in hematopoietic cells. Although transient activation of
MAPKs is crucial for growth and proliferation, prolonged activation of these
important signaling molecules induces differentiation, cell cycle arrest, cell
senescence, and apoptosis. Specific HePTP inhibitors may promote the latter and
thereby may halt the growth of cancer cells. Here, we report the development of a
small molecule that augments ERK1/2 and p38 activation in human T cells,
specifically by inhibiting HePTP. Structure-activity relationship analysis, in
silico docking studies, and mutagenesis experiments reveal how the inhibitor
achieves selectivity for HePTP over related phosphatases by interacting with
unique amino acid residues in the periphery of the highly conserved catalytic
pocket. Importantly, we utilize this compound to show that pharmacological
inhibition of HePTP not only augments but also prolongs activation of ERK1/2 and,
especially, p38. Moreover, we present similar effects in leukocytes from mice
intraperitoneally injected with the inhibitor at doses as low as 3 mg/kg. Our
results warrant future studies with this probe compound that may establish HePTP
as a new drug target for acute leukemic conditions.
PMID- 22070204
TI - Population policy in Western Europe.
AB - Abstract Policies concerning procreation and fertility are considered first. In
Western Europe there are countries which fully recognize and implement the
personal rights of the individual to plan and space the number of children, as
well as others denying these rights. The nature and implications of laws and
policies concerning contraception, abortion and family planning in general are
briefly described and their effects discussed. The second topic considered the
welfare and the economy of the family. The incidence of family allowance schemes,
taxation systems, protection of working mothers, educational facilities
(especially for children of pre-school age), and publicly financed housing is
reviewed in an effort to understand whether the various social and legislative
systems favour procreation and the raising of the children. The situation is
contradictory: very mild pro-natalist effects of family allowances are partly
offset by a taxation system that im unfavourable to the family, since husbands'
and wives' incomes are in many instances assessed jointly. Increasing protection
of working women may reconcile economic activity with childbearing, but serious
shortage of institutions to care for very young children makes employment of
mothers difficult. The third topic is mobility, internal and international.
Possibly the most serious demographic problems of Western Europe are the strong
internal streams of migrants, congestion of cities and depopulation of rural
areas. Measures for coping with these problems are generally in adequate. At the
same time, international migration in very strong with many millions of foreign
workers in various countries. The countries of immigration, although themselves
tending towards almost stationary populations, seem not to accept the
implications of this fact - particularly the cessation of growth of the domestic
labour force. Temporary import of manpower through temporary immigration is a
short-term solution which cannot be sustained indefinitely. The paper concludes
with a final consideration. Western European countries could probably lower their
levels of fertility rather easily by giving more support to family planning
programmes, liberalizing abortion, etc. But should fertility consistently and for
a long time fall below replacement, where are the measures for stimulating
recovery? Address delivered before the Population Association of America, New
Orleans, 26 April 1973.
PMID- 22070203
TI - Effects of heat and ultraviolet radiation on the oxidative stability of pine nut
oil supplemented with carnosic acid.
AB - The effects of carnosic acid (CA) of different concentrations (0.05, 0.1, and 0.2
mg/g) and two common antioxidants (butylated hydroxytoluene and alpha-tocopherol)
on oxidative stability in pine nut oil at different accelerated conditions
(heating and ultraviolet radiation) were compared. The investigation focused on
the increase in peroxide and conjugated diene values, as well as free fatty acid
and thiobarbituric acid-reactive substances. The changes in trans fatty acid and
aldehyde compound contents were investigated by Fourier transform infrared
spectroscopy, while the changes in pinolenic acid content were monitored by gas
chromatography-mass spectrometry. The results show that CA was more effective in
restraining pine nut oil oxidation under heating, UV-A and UV-B radiation, in
which a dose-response relationship was observed. The antioxidant activity of CA
was stronger than that of alpha-tocopherol and butylated hydroxytoluene. Pine nut
oil supplemented with 0.2 mg/g CA exhibited favorable antioxidant effects and is
preferable for effectively avoiding oxidation.
PMID- 22070205
TI - Targets versus extension education: the Family Planning Programme in Uttar
Pradesh, India.
AB - Abstract The article examines the impact of target setting on a Family Planning
Programme in the North Indian State of Uttar Pradesh. The author argues that over
concern with target setting has led to a number of negative results including
high percentages of marginal cases brought for vasectomy, and low morale on the
part of family planning personnel. Trained in extensive education techniques,
they have been forced to place quantity above quality once they begin their work
out in the districts. The author provides no easy answer to this tension between
targets and extension educators, but the problem which he has raised needs
consideration in a developing country concerned with implementing an effective
programme of population control.
PMID- 22070206
TI - Fertility and economic and social development in Turkey: a cross-sectional and
time series study.
AB - Abstract The paper explores the impact of modernization on the fertility levels
in Turkey, which started deliberate efforts at economic, social, and political
transformation in the early 1920s. It is a disaggregative study using 'province'
as the unit of observation. A relatively consistent series of data on population
and various economic and social variables was available with quinquennial
censuses starting with the 1940 Census. The technique of reverse projection is
used to estimate provincial crude birth rates. Since 1955 there has been a
consistent decline in the fertility level. A chain-relationship model is
estimated using both cross-sectional and panel data. A major finding of the study
is that in Turkey, continuing modernization and the concomitant spread of female
education will result in a continuing decline in the fertility rate. This
negative influence, stable and substantial over time, is largely due to factors
other than the usual association between education and opportunity cost of female
employment, such as changing attitudes and tastes. Also with the spread of
economic and social development influencing the society's norm for average age at
marriage and the proportion of women married, the marital rate, though not so
significant as education, imparts a direct depressing effect on the aggregate
period fertility rate at any given time.
PMID- 22070207
TI - Prospective study of birth interval dynamics in rural Bangladesh.
AB - Abstract A group of 209 married, fecund women in rural Bangladesh were studied
prospectively for 24 months from 1969 to 1971 to define some of the biological
and sociological factors relating to fertility performance. These women were
selected from a larger study population of 112,000 that had been followed with a
daily house-to-house vital registration programme since 1966. The selected women
were interviewed bi-weekly and were asked questions about menstruation,
pregnancy, lactation, husband's occupational absences, and monthly urine tests
for pregnancy were taken. The results for 193 non-contracepting women revealed
that the seasonal pattern of births previously observed in this population could
be associated with a corresponding seasonal pattern of conceptions and that this
was due to a seasonal trend in fecundability. The highest conception rates were
in the coolest months of the year. Post-partum lactational amenorrhoea was very
prolonged, averaging 17 months for women with a surviving child. The appearance
of the first post-partum menstrual flow (onset of ovulation) also had a seasonal
trend which could not be adequately explained. The median waiting time to
conception, once menstruation had resumed was eight months. This interval was
influenced by seasonal fluctuations, as well as by the age of women and by
husbands' absences. The foetal wastage rate was 15.0 per 100 conceptions, with 62
per cent of the foetal losses occurring during the second month of gestation.
Overall, the average birth interval was 33 months, with the prolonged lactational
amenorrhoea accounting for almost 45 per cent of this interval. From the
Department of Population Dynamics, Johns Hopkins University, School of Hygiene
and Public Health, Baltimore, Maryland 21205.
PMID- 22070208
TI - Residence background and fertility in Greater Bombay.
AB - Abstract The residence background of wives who migrate to metropolitan areas
plays an important role in determining their fertility. From the data collected
during 1966, relating to 7,872 currently married women of Greater Bombay, an
attempt was made to establish differentials in marital fertility by residence
background of the wives. This was categorized into three groups - non-migrants,
urban migrants and rural migrants. It was observed that rural migrant wives
exhibited significantly higher fertility compared with the other two groups, and
this was explained by their lower educational attainment. Between the non-migrant
and the urban migrant wives the latter consistently showed lower fertility for
all age groups up to 40, while there was a reversal in the age group 40 and
above, where non-migrants exhibited lower fertility. The urban migrant wives
showed a somewhat higher level of education, most likely on account of
selectivity, compared to the non-migrants. However, presence of a sizeable number
of Parsee wives, characterized by a distinct urban culture and considerably lower
fertility, was largely responsible for the low fertility of the non-migrant wives
in the age group 40 and above. The variable that has emerged as the most
influential in creating fertility differentials is education of the wife, which
is shown to be negatively associated with the level of fertility. Wife's
education explains to a large extent the observed fertility differentials by
residence background.
PMID- 22070209
TI - Some demographic consequences of changing cohort fertility patterns: An
investigation using the Gompertz function.
AB - Abstract In this paper are formulated some convenient summary measures of
fertility patterns. These measures, which are based on the Gompertz function, are
total lifetime fertility, median age of mothers at childbirth, and inter-quartile
range of age of mothers at childbirth. Estimates of the parameters of Gompertz
function, based on Canadian data, are used to derive, for each of the summary
measures, values which reflect historical fertility experience, and thus give an
impression about the range of realistic values for these measures. A simple model
of demographic activity which includes the Gompertz function is also considered,
and this model is used in computer simulation experiments to determine the macro
demographic effects of changes in each of the three summary measures.
PMID- 22070210
TI - A comment on Schnaiberg's measure of fertility and child dependency.
AB - Abstract This comment discusses some potential difficulties in the construction
and use of a new fertility variable proposed in a recent issue of Population
Studies, and considers one possible remedy.
PMID- 22070213
TI - Prenatal high-dose immunoglobulin treatment for neonatal hemochromatosis: a case
report and review of the literature.
AB - Neonatal hemochromatosis is a difficult disorder to cure, and it has a high rate
of recurrence. High-dose immunoglobulin treatment is very effective as prenatal
treatment for recurrent neonatal hemochromatosis. A 34-year-old pregnant Japanese
woman underwent high-dose immunoglobulin treatment for recurrent neonatal
hemochromatosis. High-dose non-specific intravenous immunoglobulin (1 g/kg
bodyweight) was administered to the mother intravenously every week from 18 until
36 gestational weeks. A male infant was delivered at 37 weeks of gestation, and
his condition was favorable, including hepatic function. The use of gamma
globulin for neonatal hemochromatosis appears adequately validated by experience.
PMID- 22070214
TI - The relevance of accurate comprehensive treatment parameters in
photobiomodulation.
PMID- 22070215
TI - A systematic review of the cost and cost effectiveness of treatment for multidrug
resistant tuberculosis.
AB - BACKGROUND: Around 0.4 million cases of multidrug-resistant tuberculosis (MDR-TB)
occur each year. Only a small fraction of these cases are treated according to
international guidelines. Evidence relevant to decisions about whether to scale
up treatment for MDR-TB includes cost and cost-effectiveness data. Up to 2010, no
systematic review of this evidence has been available. OBJECTIVE: Our objective
was to conduct a systematic review of the cost and cost effectiveness of
treatment for MDR-TB and synthesize the available data. METHODS: We searched for
papers published or prepared for publication in peer-review journals and grey
literature using search terms in five languages: English, French, Portuguese,
Russian and Spanish. From an initial set of 420 studies, four were included, from
Peru, the Philippines, Estonia and Tomsk Oblast in the Russian Federation.
Results on costs, effectiveness and cost effectiveness were extracted. Assessment
of the quality of each economic evaluation was guided by two existing checklists
around which there is broad consensus. Costs were adjusted to a common year of
value (2005) to remove distortions caused by inflation, and calculated in two
common currencies: $US and international dollars (I$), to standardize for
purchasing power parity. Data from the four identified studies were then
synthesized using probabilistic sensitivity analysis, to appraise the likely cost
and cost effectiveness of MDR-TB treatment in other settings, relative to WHO
benchmarks for assessing whether or not an intervention is cost effective. Best
estimates are provided as means, with 5th and 95th percentiles of the
distributions. RESULTS: The cost per patient for MDR-TB treatment in Estonia,
Peru, the Philippines and Tomsk was $US10 880, $US2423, $US3613 and $US14 657,
respectively. Best estimates of the cost per disability-adjusted life-year (DALY)
averted were $US598 (I$960), $US163 (I$291), $US143 (I$255) and $US745 (I$1059),
respectively. The main influences on costs were (i) the model of care chosen (the
extent to which hospitalization or ambulatory care were relied upon) and (ii) the
second-line drugs included in the treatment regimen. When extrapolated to other
settings, the best estimate of the cost of treatment varied from US3401 to US195
078, depending on the region and model of care. The cost per DALY averted was
lower than GDP per capita in all 14 WHO sub-regions considered, with better cost
effectiveness for outpatient versus inpatient models of care. CONCLUSIONS:
Treatment for MDR-TB can be cost effective in low- and middle-income countries.
Evidence about the relative cost effectiveness of outpatient versus inpatient
models of care is limited and more data are needed from Africa and Asia-
especially India and China, which have the largest number of cases. Unless there
is strong evidence that hospitalization is necessary to achieve high rates of
adherence to treatment, patients with MDR-TB should be treated using mainly
ambulatory care.
PMID- 22070216
TI - Bimolecular reaction via the successive introduction of two substrates into the
crystals of networked molecular cages.
AB - Two substrates, 4-hydroxydiphenylamine (3) and ethyl isocyanate (4), were
successively introduced into the crystals of networked M(6)L(4) cages 1. Because
of the encapsulation effect, most of the initially introduced substrate 3
remained within the crystals during immersion in a solution of 4. X-ray analysis
revealed that before the reaction, the nucleophilic NH group of 3 is effectively
protected by tight packing within the cage units while the OH group is exposed to
the incoming second substrate. Successive introduction of 4 into the crystal
results in the chemoselective acylation of 3 at the less nucleophilic OH group.
The observed chemoselectivity is consistent with that exhibited by discrete
M(6)L(4) cage 2 in solution.
PMID- 22070217
TI - Postweaning mulstisystemic wasting syndrome (PMWS) in pigs and porcine circovirus
(PCV). An indexed bibliography.
AB - Summary This bibliography contains the bibliographic data, including the
abstracts (if available), the post addresses and as far as available also the E
mail addresses of the authors, of 177 articles on postweaning multisystemic
wasting syndrome (PMWS) in pigs and porcine circovirus (PCV). The bibliography
concerns the period 1982-2002. The vast majority of the articles (151 of 177
being 85%) were published in the period 1998-2002. All publications except one
are taken from easily accessible journals. The exception concerns reference 52
being the first report on PMWS, which has been published in a congress
proceedings. To improve the accessibility of the bibliography five indices are
given referring to the authors, the subjects, the serials, the years of
publication and the countries.
PMID- 22070219
TI - Muscarinic receptors: their roles in disorders of the central nervous system and
potential as therapeutic targets.
AB - Phylogenetically, acetylcholine is an ancient neurochemical. Therefore, it is not
surprising that cholinergic neurons project extensively throughout the central
nervous system, innervating a wide range of structures within the brain. In fact,
acetylcholine is involved in processes that underpin some of our most basic
central functions. Both muscarinic and nicotinic receptor families, which mediate
cholinergic transmission, have been implicated in the pathophysiology of
psychiatric and neurological disorders. The question that remains to be
definitively answered is whether or not these receptors are viable targets for
the development of future therapeutic agents.
PMID- 22070220
TI - Are the currently used reference intervals for creatine kinase (CK) reflecting
the general population? The Tromso Study.
AB - BACKGROUND: Laboratory reference intervals are not necessarily reflecting the
range in the background population. This study compared creatine kinase (CK)
reference intervals calculated from a large sample from a Norwegian population
with those elaborated by the Nordic Reference Interval Project (NORIP). It also
assessed the pattern of CK-normalization after standardized control analyses.
METHODS: New upper reference limits (URL) CK values were calculated after
exclusion of individuals with risk of hyperCKemia and including individuals with
incidentally detected hyperCKemia after they had completed a standardized control
analysis. After exclusion of 5924 individuals with possible causes of
hyperCKemia, CK samples were analyzed in 6904 individuals participating in the
6th survey of The Tromso Study. URL was defined as the 97.5 percentile. RESULTS:
New URL in women was 207 U/L. In men <50 years it was 395 U/L and in men >=50
years 340 U/L. In individuals with elevated CK, normalization grade after control
analysis was inversely correlated to the CK level (p<0.04). CONCLUSIONS: URL CK
values in women and in men <50 years of age were in accordance with URL CK values
given by the NORIP. In men >=50 years, a higher URL was found and the findings
suggest an upward adjustment of URL in this age group.
PMID- 22070221
TI - Development of control material for hemoglobin analysis.
AB - Background: There is no certified control material for hemoglobin analysis which
has the hemoglobin (Hb)A(2)/E level as high as found in ?-thalassemia trait, HbE
trait, ?-thalassemia/HbE disease and homozygote of HbE, the thalassemia types
found frequently in the Southeast Asian population. The aim of this study was to
prepare the lyophilized hemoglobin control materials for hemoglobin analysis.
Methods: Washed and dialysed erythrocytes of normal individuals and patients with
?-thalassemia trait, HbE trait, ?-thalassemia/HbE disease, homozygous HbE were
lysed in 5% sucrose solution. The lyophilized hemoglobin control materials were
prepared by using a freeze-drying (lyophilization) method. The high performance
liquid chromatography (HPLC) analysis of lyophilized hemoglobin was performed
after storing at ?20?C for 1, 15 and 30?days and for 3?months. Results: The
chromatograms of lyophilized hemoglobin control materials showed similar patterns
and similar levels of HbA, HbA(2)/E and HbF when compared with equivalent fresh
whole blood. Moreover, the lyophilized hemoglobin presented a good correlation
coefficient (r>0.990) of relationships between HPLC, low pressure liquid
chromatography (LPLC) and capillary electrophoresis (CE) methods. Conclusions:
The lyophilized hemoglobin could be developed and used as control materials for
hemoglobin analysis.
PMID- 22070222
TI - The multi-cancer marker, rs6983267, located at region 3 of chromosome 8q24, is
associated with prostate cancer in Greek patients but does not contribute to the
aggressiveness of the disease.
AB - BACKGROUND: Recently, several polymorphisms located on human chromosome 8q24 were
found to be associated with prostate cancer risk with different frequency and
incidence among the investigated populations. The authors conducted a prostate
cancer case-control study in the Greek population to evaluate the association of
the single nucleotide polymorphism (SNP) rs6983267, located at region 3 of
chromosome 8q24, with this type of cancer. METHODS: Samples of total blood from
86 patients with histologically confirmed prostate cancer and 99 healthy
individuals were genotyped using real time polymerase chain reaction (PCR). Tumor
node-metastasis (TNM) stage, Gleason score and levels of prostate-specific
antigen (PSA) at diagnosis were included in the analysis. RESULTS: A highly
significant association (odds ratio=2.84 and p-value=0.002) was found between
rs6983267 and prostate cancer in the Greek population. The sensitivity,
specificity, negative and positive predictive values of the presence of G allele
for the discrimination between patients and controls were 81.40%, 39.4%, 53.9%
and 70.9%, respectively. A lower proportion of homozygotes was found in patients
with PSA level <4 ng/mL compared to those with PSA level more than 4 ng/mL
(p=0.019). None of the other clinical factors nor the aggressiveness of the
disease were found to be significantly associated with rs6983267 genotype.
CONCLUSIONS: The SNP rs6983267 is an established marker for a range of cancers.
In prostate cancer, it indicates an enhanced risk for carriers to develop the
disease in general. In our study it showed no association with aggressive forms
or familial and early-onset prostate cancer families.
PMID- 22070223
TI - Interference of hemoglobin (Hb) Las Palmas with HPLC measurement of HbA(1c) in 87
patients.
PMID- 22070224
TI - Victimization in individuals suffering from psychosis: a Swedish cross-sectional
study.
AB - The aims of the study were to investigate: (1) self-reported adulthood and last
year victimization in male and female outpatients suffering from psychosis; (2)
relationships to perpetrators; (3) whether drugs or alcohol were involved in
victimization situations; (4) places where victimization occurred. Patients were
randomly selected from five outpatient units geared to patients with psychosis;
174 patients participated in a structured face-to-face interview. Experiences of
victimization in adulthood were reported by 67%, 33% in the previous year. During
adulthood 51% had been physically and 32% sexually victimized and 39% threatened.
In the previous year 21% reported threats, 20% physical and 15% sexual
victimization. Women reported greater exposure to physical and sexual
victimization than men during adulthood and in the previous year. Strangers and
acquaintances were mainly reported as perpetrators and half (55%) of those
victimized in the previous year stated no involvement of alcohol or drugs.
Victimization mainly occurred in the patients' own home (59%), outside downtown
(34%), or in others homes (38%). The results of this study give reason to
highlight the importance for research and clinical practices to adopt a broad
frame of interpretation concerning victimization in patients, covering both
individual and environmental factors.
PMID- 22070225
TI - Role of the hypothalamus in the neuroendocrine regulation of body weight and
composition during energy deficit.
AB - Energy deficit in lean or obese animals or humans stimulates appetite, reduces
energy expenditure and possibly also decreases physical activity, thereby
contributing to weight regain. Often overlooked in weight loss trials for
obesity, however, is the effect of energy restriction on neuroendocrine status.
Negative energy balance in lean animals and humans consistently inhibits activity
of the hypothalamo-pituitary-thyroid, -gonadotropic and -somatotropic axes (or
reduces circulating insulin-like growth factor-1 levels), while concomitantly
activating the hypothalamo-pituitary-adrenal axis, with emerging evidence of
similar changes in overweight and obese people during lifestyle interventions for
weight loss. These neuroendocrine changes, which animal studies show may result
in part from hypothalamic actions of orexigenic (e.g. neuropeptide Y, agouti
related peptide) and anorexigenic peptides (e.g. alpha-melanocyte-stimulating
hormone, and cocaine and amphetamine-related transcript), can adversely affect
body composition by promoting the accumulation of adipose tissue (particularly
central adiposity) and stimulating the loss of lean body mass and bone. As such,
current efforts to maximize loss of excess body fat in obese people may
inadvertently be promoting long-term complications such as central obesity and
associated health risks, as well as sarcopenia and osteoporosis. Future weight
loss trials would benefit from assessment of the effects on body composition and
key hormonal regulators of body composition using sensitive techniques.
PMID- 22070226
TI - Weight gain and lipid-glucose profiles among patients taking antipsychotic
medications: comparisons for prescriptions administered using algorithms versus
usual care.
AB - The purpose of this study was to determine if treatment strategy had a
significant effect on the occurrence of physiological changes consistent with
metabolic syndrome and/or type 2 diabetes in patients using atypical
antipsychotic medications. The four variables assessed were: weight gain, fasting
blood sugar, triglycerides and cholesterol. The two strategies compared were (1)
evidence-based practice, derived from the Texas Medication Algorithm Project, and
(2) practitioner preference. A retrospective chart review was performed on
patients diagnosed with a chronic psychiatric disorder being treated with
atypical antipsychotics. The dates of the reviewed records were from 1 January
2008 to 31 December 2008. The sample of patients totaled 113. Statistical
analysis included logistic regression for each of the four variables assessed.
The use of evidence-based practice guidelines was found to have strong positive
effects on two of the four variables assessed. Further studies need to be
conducted to include medications being taken to lower lipids and blood sugar.
Overall, the use of evidence-based practice guidelines has a positive effect on
weight, triglycerides and cholesterol. It is important that patients be closely
monitored and changes made in a timely manner to minimize adverse effects of
atypical antipsychotics.
PMID- 22070227
TI - Recruitment of the endosomal WASH complex is mediated by the extended 'tail' of
Fam21 binding to the retromer protein Vps35.
AB - The retromer complex is a conserved endosomal protein sorting complex that sorts
membrane proteins into nascent endosomal tubules. The recognition of membrane
proteins is mediated by the cargo-selective retromer complex, a stable trimer of
the Vps35 (vacuolar protein sorting 35), Vps29 and Vps26 proteins. We have
recently reported that the cargo-selective retromer complex associates with the
WASH (Wiskott-Aldrich syndrome homologue) complex, a multimeric protein complex
that regulates tubule dynamics at endosomes. In the present study, we show that
the retromer-WASH complex interaction occurs through the long unstructured 'tail'
domain of the WASH complex-Fam21 protein binding to Vps35, an interaction that is
necessary and sufficient to target the WASH complex to endosomes. The Fam21-tail
also binds to FKBP15 (FK506-binding protein 15), a protein associated with
ulcerative colitis, to mediate the membrane association of FKBP15. Elevated Fam21
tail expression inhibits the association of the WASH complex with retromer,
resulting in increased cytoplasmic WASH complex. Additionally, overexpression of
the Fam21-tail results in cell-spreading defects, implicating the activity of the
WASH complex in regulating the mobilization of membrane into the endosome-to-cell
surface pathway.
PMID- 22070229
TI - Assessing the problem of counterfeit medications in the United Kingdom.
AB - Counterfeit medicines pose an ever-increasing threat to public health, although
precise tracking of illegal counterfeit prescription drug activity is difficult.
Available data indicate that all types of medications have been targeted. Adverse
health effects, including death, have resulted from using counterfeit
medications; consumers who self-medicate without appropriate interactions with
the healthcare system rarely receive adequate healthcare. The Internet provides a
large, convenient route for counterfeiters to reach potential buyers with
unregulated, often dangerous, products. The majority of medicines purchased via
unverified Internet sites are counterfeit; often, these products lack the
purported drug compound or have variable concentrations of active ingredients and
sometimes contain dangerous toxins. Although many consumers acknowledge some
degree of risk with purchasing medications via the Internet, speed, convenience
and cost often prompt these purchases. Counterfeit medications also have been
detected in the legitimate supply chain, but represent a significantly smaller
proportion of sales than those purchased via the Internet. Pilot programmes in
Europe have demonstrated that product verification systems prevent penetration of
counterfeit products into the legitimate supply chain. Significant EU
legislation, including stronger penalties for counterfeiting, is in development.
In the United Kingdom, the Medicines and Healthcare Products Regulatory Agency
(MHRA) launched an initiative against counterfeit medication. Healthcare
professionals should report suspected cases of counterfeit medication to the
MHRA, be alert to threats to the medicine supply, and provide practical advice to
patients about ordering medications online, including avoiding unregulated
Internet pharmacies, and being suspicious of sites offering substantial discounts
and prescription-only medication without a prescription.
PMID- 22070228
TI - Successful combined therapy with tamoxifen and lithium in a paradoxical sleep
deprivation-induced mania model.
AB - BACKGROUND: Previous studies have suggested that manic states and sleep
deprivation could contribute to the pathophysiology of bipolar disorder (BD)
through protein kinase C (PKC) signaling abnormalities. Moreover, adjunctive
therapy has become a standard strategy in the management of BD patients who
respond poorly to current pharmacological treatments. AIM: Thus, the aim of this
study was to investigate the possible involvement of PKC inhibition by tamoxifen
both separately or in combination with lithium, in paradoxical sleep deprivation
(PSD)-induced hyperactivity, one facet of mania-like behavior. MATERIALS &
METHODS: Adult male C57BL/6J mice were randomly distributed (n = 7/group) in 24-h
PSD or control groups and injected intraperitoneally (i.p.) with vehicle, lithium
(50, 100, or 150 mg/kg) or tamoxifen (0.5, 1.0, or 2.0 mg/kg - experiment 1). In
a second experiment, mice were injected i.p. with vehicle or a combination of
subeffective doses of lithium and tamoxifen. Animals were subjected to a protocol
based on repetitive PSD conditions, followed by assessment of locomotion activity
in the open-field task. RESULTS: PSD significantly increased locomotor activity
in both experiments. These behavioral changes were prevented by a treatment with
lithium or tamoxifen, or a combined treatment with both lithium and tamoxifen.
DISCUSSION: Therefore, our findings suggest that lithium and tamoxifen exert
reversal effects against PSD-induced hyperactivity in mice. CONCLUSION:
Furthermore, tamoxifen as an adjunct to lithium therapy provides support for an
alternative treatment of individuals who either do not respond adequately or
cannot tolerate the adverse effects associated with therapeutic doses of lithium.
PMID- 22070230
TI - Total synthesis of (-)-virginiamycin M2: application of crotylsilanes accessed by
enantioselective Rh(II) or Cu(I) promoted carbenoid Si-H insertion.
AB - A stereoselective synthesis of the antibiotic (-)-virginiamycin M(2) is detailed.
A convergent strategy was utilized that proceeded in 10 steps (longest linear
sequence) from enantioenriched silane (S)-15. This reagent, which was prepared
via a Rh(II)- or Cu(I)-catalyzed carbenoid Si-H insertion, was used to introduce
the desired olefin geometry and stereocenters of the C1-C5 propionate subunit. A
modified Negishi cross-coupling or an efficient alkoxide-directed titanium
mediated alkyne-alkyne reductive coupling strategy was utilized to assemble the
trisubstituted (E,E)-diene. An underutilized late-stage SmI(2)-mediated
macrocyclization was employed to construct the 23-membered macrocycle scaffold of
the natural product.
PMID- 22070231
TI - Detection and widespread distribution of sodium channel alleles characteristic of
insecticide resistance in Culex pipiens complex mosquitoes in China.
AB - Culex pipiens complex mosquitoes are widely distributed throughout China and are
known to be important disease vectors. Two pyrethroid resistance associated
mutations have been identified in Cx. pipiens complex (Diptera: Culicidae), but
there is little information on the diversity and distribution of kdr alleles in
pyrethroid resistance in Cx. pipiens complex mosquitoes in China. In the present
study, we report on a modified three tube allele-specific (AS)-PCR method for
detecting the 1014F and 1014S alleles. The new technique was applied to identify
the distribution of the two alleles in natural Cx. pipiens complex populations in
China. The results confirmed that the new method is both sensitive and specific.
The 1014F allele was found in all 14 of the field populations tested (frequency
ranged from 6.8 to 76.2%) and the 1014S allele was found in almost two-thirds
(frequency from 2.4 to 28.6%), indicating that the genotypes known to be
associated with pyrethroid resistance are widespread in China. The resistance
associated alleles were more common in southern Chinese sampling sites than in
northern sites. The coexistence of the two resistant mutations in individual
mosquitoes was also observed in five of the field populations. Two alternative
mutations within the L1014 codon were identified in Culex pipiens molestus
Forskal, 1775, including a non-synonymous mutation resulting in a 1014C
substitution.
PMID- 22070232
TI - Speciation within genomic networks: a case study based on Steatocranus cichlids
of the lower Congo rapids.
AB - Hybridization in animals is a much more common phenomenon as previously thought
and may have profound implications for speciation research. The cichlid genus
Steatocranus (Teleostei: Cichlidae), a close relative to members of the East
African cichlid radiations, radiated under riverine conditions in the lower Congo
rapids and produced a small species flock. Previous phylogenetic analyses
suggested that hybridization occurred and contributed to speciation in this
genus. A re-analysis of an already published 2000 loci-AFLP data set explicitly
testing for patterns of ancient gene flow provided strong evidence for a highly
reticulate phylogenetic history of the genus. We provide, to our knowledge, the
first example of a complex reticulate network in vertebrates, including multiple
closely related species connected through ancient as well as recent gene flow. In
this context, the limited validity of strictly bifurcating tree hypotheses as a
phylogenetic basis for hypothesis testing in evolutionary biology is discussed.
PMID- 22070234
TI - The economic rationality of high fertility: An investigation illustrated with
Nigerian survey data.
AB - Summary In much of the developing world, especially among rural populations who
usually are the majority, field researchers find that fertility is high and
fairly stable and that there is little evidence either that high-fertility
parents are relatively economically disadvantaged or that they believe themselves
to be so. On the other hand most of modern economic-demographic theory suggests
that the members of large families should be worse off than the members of small
families. It is argued that the 'hardest' data are those of high fertility and
the relative well being of large families and that the proper social scientific
approach should have been to base further investigation upon such findings. It is
suggested that much of the economic theorizing has erred because of bad survey
data and ethnocentric bias in the research. Data are analysed from research
programmes in Ghana and Nigeria to show that high fertility is not as
disadvantageous as is often suggested. The main source of evidence is Project 2
of the Nigerian segment of the Changing African Family Project, a 1973 sample
survey of 1,499 females and 1,497 males, Yoruba and over 17 years of age, in the
Western and Lagos States of Nigeria. It is concluded that the economic ends of a
society are largely determined by its social ends and that the economic
rationality of high fertility can be determined only within the context of a
society's structure and ends. There can be no such thing as a purely economic
theory of fertility. It is also concluded that the society studied is moving
towards a condition where high fertility will be increasingly disadvantageous and
that this is being brought about more by Westernization than modernization.
PMID- 22070233
TI - Metal-organic framework materials as chemical sensors.
PMID- 22070235
TI - Fertility levels and trends in Indonesia.
AB - Summary Fertility estimates from the 1973 Indonesian Fertility Mortality Survey
are presented and compared with estimates from the 1971 population census.
Although there are some differences, on the whole the two sources are remarkably
consistent, indicating the same regional differentials: highest fertility in
Sumatra (T.F.R. in rural areas around 7.0), followed by West Java and Sulawesi,
Bali, then Central and East Java (T.F.R. in rural areas around 50). Coale's Index
of Overall Fertility (If) is 39 per cent higher in rural Sumatra than in rural
East Java. Fertility in urban areas is somewhat below rural fertility in all
regions. The age pattern of fertility in Sumatra, Sulawesi and West Java is
consistent with Henry's notion of 'natural fertility', and indeed the survey data
show these regions to be almost innocent of deliberate practice of
contraception. The lower fertility levels in Central and East Java appear to be
related to patterns of extended lactation and post-partum abstinence, though
modern contraception promoted by the national family planning programme is also
on the increase. Though lower than elsewhere, both actual fertility and stated
ideal family size in Central and East Java are well above the levels needed to
achieve replacement levels of fertility.
PMID- 22070236
TI - The relation of economic class and fertility: An analysis of some Indonesian
data.
AB - Summary Many recent fertility studies in developing societies put forward the
hypothesis of a negative relation between economic class and fertility. Data
showing a positive relationship are frequently dismissed a priori as resulting
from the reporting errors of illiterate women. This study draws on data from
Indonesia's 1971 Census, a 1973 sample survey of fertility and mortality, and an
intensive community study in Java, to argue that an observed positive relation
between class and fertility is real, and is related to differences in patterns of
marital disruption, postpartum abstinence, and fecundity. The positive relation
may be reversed in the future as changes in these patterns, and the impact of the
national family planning programme, affect the family structure of each class
differently. Had the positive relation in this context been attributed offhand to
reporting errors, these important socio-economic changes would have been
misunderstood, and possibly ignored.
PMID- 22070237
TI - A dynamic model of the reproductive process.
AB - Summary A dynamic deterministic model of the reproductive process is presented.
The model describes and analyses the effect of intermediate fertility variables
on fertility. The intermediate fertility variables which are the inputs to the
model, include the duration of post-partum amenorrhea, fecundability, incidence
of spontaneous and induced abortion, contraceptive use and effectiveness, the
distribution of age at first marriage and the age specific risks of marital
disruption and remarriage. To test the validity of this model, it is fitted to
data obtained from reproductive histories of 512 marriages occurring during the
first half of the eighteenth century in Canada.
PMID- 22070238
TI - Estimating adult mortality levels from information on widowhood.
AB - Summary A range of indirect techniques has been developed for mortality
estimation in societies lacking adequate vital registration records. Information
on orphanhood has been widely used as an estimator of adult mortality, with
generally plausible results. Doubts have remained, however, about potential
biases, and the method is less satisfactory for the estimation of male mortality.
Information on widowhood, or more strictly the survival of first spouse, has
several possible advantages over information on orphanhood. Model first marriage
functions and model life tables are used to calculate proportions widowed of
first spouse, for both females and males, by marital duration and by age. These
proportions widowed are then related to life table survivorship probabilities to
provide weighting factors for the conversion of observed proportions widowed into
estimates of survivorship probabilities. The application of the method is
illustrated with data collected by the 1974 post-enumeration survey of
Bangladesh, with apparently encouraging results.
PMID- 22070239
TI - Patterns underlying fertility schedules: A decomposition by both age and marriage
duration.
AB - Summary Model fertility schedules based on the proportions married and the age
pattern of marital fertility are unsatisfactory to the extent that marital
fertility does not depend on age alone but also on other factors. Most notably,
models based just on age fail to allow for differences between populations in
their composition by marriage duration. Examination of the major series of
fertility rates specific by both age and duration of marriage (Sweden 1911-70,
England and Wales, 1941-70) reveals striking underlying regularities. The marital
fertility rates observed at any given point in time can be factored into three
independent components - an overall level, a vector of age effects common to all
marriage durations and a vector of duration effects common to all age groups. A
simple product of these three components is shown to approximate the data very
closely over the entire series, despite major changes in the aggregate levels of
fertility and nuptiality during the periods concerned. Not only are the data
tightly structured, conforming very closely to this simple multiplicative model,
but the vectors of age and duration effects themselves are shown to exhibit clear
and meaningful regularities.
PMID- 22070240
TI - The estimation of adult mortality in Africa from data on orphanhood.
AB - Summary Until recently, very little information has been available about the
levels and patterns of adult mortality in tropical Africa, but during the past
decade several countries have included questions in censuses and surveys as to
whether a person's father and mother are still alive. From the data so obtained,
estimates of adult mortality have been prepared. This paper compares the
results of three such exercises with alternative estimates of adult mortality
derived from other sources. In the case of Chad, the orphanhood data obtained in
the demographic sample survey of 1964 yielded estimates of mortality which agreed
reasonably closely with those obtained from questions on deaths of household
members occurring during the twelve months preceding the survey. The latter data
however were themselves subject to substantial errors and had to be corrected
using techniques based on stable population theory. For Kenya, the orphanhood
questions were included in the 1969 census and the results were compared with the
mortality estimates derived from inter-censal survival from 1962 to 1969. Once
again, the data obtained from the latter were subject to error but in general
appeared to be consistent with the orphanhood estimates. The third comparison was
made from Malawi, where alternative mortality figures were available from the
Malawi Population Change survey which was a 'dual record' type of operation,
conducted in 1971/2. The agreement in this case was remarkably close, once the
number of deaths had been corrected for omissions by both systems with allowance
for positive correlation. It is concluded that as a simple and inexpensive
technique of estimating adult mortality, the orphanhood approach has much to
recommend it.
PMID- 22070241
TI - Changes in childlessness in the United States: A demographic path analysis.
AB - Summary This paper describes changes in the incidence of marital childlessness
among United States women since 1940 and tests a model to explain recent observed
trends toward increasing childlessness. Based on U.S. Bureau of the Census
sources, data are presented that indicate a substantial increase in childlessness
for married women under 30 years of age since 1960. A path model is developed
based on previous research on childlessness, in an attempt to explain this
change. The model is composed of 1960-70 changes in (1) mean age at first
marriage, (2) mean educational attainment, (3) the proportion of women in the
labour force, (4) the proportion of women enrolled as students, (5) the incidence
of marital disruption, and (6) the proportion of women living in urban
environments. Using quarter-year age cohort data derived from the 1960 and 1970
1/100 Public Use Samples the results indicate that a substantial part of the
increase in childlessness csn be explained by this model. Particularly important
were increased enrolment of married women in education, labour force
participation, and mean age of first marriage. The results suggest the relevance
of structural changes along with birth expectation attitudes in predicting trends
in childlessness in the United States.
PMID- 22070242
TI - Problems of abortion in Britain - Aberdeen, a case study.
AB - Summary This paper reports on nearly all pregnancies occurring in the City of
Aberdeen in the years 1961-74 (births, and therapeutic and spontaneous abortions)
and on male and female sterilization and the use of contraception. The collection
of these data for a defined community was made possible through the coordinated
and comprehensive maternity and contraceptive services. Several important
innovations made during the years included the introduction of oral contraception
and the inter-uterine device, laparoscopic sterilization and vasectomy. The
Abortion Act 1969 came into force and at different times the Local Authority
Family Planning Clinic made many changes including the removal of charges and of
the need for referral. The pattern of outcome differs for legitimate and
illegitimate pregnancies, which are considered separately. Over half of all first
pregnancies now occur before marriage and their outcome in women in different
occupational groups is discussed. Oral contraception is favoured for postponing
or spacing pregnancies, but when it comes to limitation of family size, couples
have increasingly requested sterilization. Nevertheless there has been a ten-fold
increase in the proportion of pregnancies being terminated. Aberdeen's birth rate
is now below replacement level but the real objective 'every pregnancy a wanted
pregnancy' is far from being achieved in either married or unmarried women.
PMID- 22070243
TI - Female status among soviet central Asian nationalities: The melding of Islam and
Marxism and its implications for population increase.
AB - Summary Marxist ideology has emphasized the rights of women to a degree perhaps
unparalleled among political movements, whereas Islamic ideology has confined
women to the traditional role of wife and mother. In Soviet Central Asia these
two ideologies have clashed for more than 50 years. Data from the 1959 and 1970
censuses of the U.S.S.R. are used to show three aspects of the position of Soviet
women of Islamic nationality as compared with the position of Soviet women of non
Islamic nationality, namely, educational attainment relative to men, non
agricultural labour force participation relative to men, and the burden of child
dependency. The hypothesis is put forward that the status of women among Soviet
Islamic nationalities should be lower than among Soviet non-Islamic
nationalities, but that the difference between the two groups in the various
aspects of female status should diminish over time. The position of women among
the Soviet Islamic nationalities was also compared with that of women in various
Islamic nations with the hypothesis that female status should be higher among the
former than the latter. The predictions were upheld, with the notable exception
of two of the three pedictions concerning the burden of child dependency
occasioned by the finding that child dependency increased substantially, from
1959 to 1970 for Islamic nationalities but not for non-Islamic, and by 1970 was
higher for Soviet Islamic nationalities than for the Islamic nations of the
Middle East and North Africa. Several possible explanations are advanced for the
above-mentioned unpredicted findings.
PMID- 22070244
TI - Comments on Daniel A. Seiver's 'Recent fertility in Mexico: Measurement and
Interpretation.'.
AB - Abstract In a recent article in this Journal,(1)Daniel A. Seiver concludes that
'fertility' in Mexico did not decline between 1960 and 1970. His conclusion is
based primarily on an increase in the child-woman ratio from 725 per 1,000 in
1960 to 762 in 1970. Seiver simply asserts that this increase cannot be
completely explained by declining infant mortality and under-enumeration. (2) .
(3).
PMID- 22070245
TI - A reply to W. Hicks's comments.
AB - Abstract W. Whitney Hicks's comments on my paper(1) can be reduced to four
major points, all of which I dispute wholeheartedly:
PMID- 22070248
TI - Urinary liver-type fatty acid-binding protein level as a predictive biomarker of
contrast-induced acute kidney injury.
AB - BACKGROUND: Contrast-induced acute kidney injury (CI-AKI) is a well-known
complication of contrast medium exposure in patients with chronic kidney disease.
However, there are no biological markers to accurately predict the onset of CI
AKI. Liver-type fatty acid-binding protein (L-FABP), an intracellular carrier
protein for free fatty acids, is markedly upregulated and abundantly expressed in
the proximal tubules after renal ischaemia. We prospectively investigated whether
urinary L-FABP is a suitable marker for the prediction of CI-AKI. METHODS: We
performed a prospective study of 220 consecutive patients with chronic kidney
disease who underwent elective catheterization [serum creatinine (Cr) >= 1.2
mg/dL (106 M)]. Serum Cr and L-FABP levels were measured immediately before and 1
and 2 days after the procedure. CI-AKI was defined as an increase in serum Cr
level of >= 0.3 mg/dL within 48 h after the procedure. RESULTS: We observed the
development of CI-AKI in 19 patients (8.6%). Urinary L-FABP levels were
significantly higher in patients with CI-AKI than those without CI-AKI before
contrast medium exposure. Receiver operating characteristic analysis showed that
baseline urinary L-FABP level exhibited 82% sensitivity and 69% specificity, at a
cut-off value of 24.5 MUg/g Cr. Using multivariate analysis, we found that
independent predictors of CI-AKI development were L-FABP level of >= 24.5 MUg/g
Cr [odds ratio (OR): 9.10; 95% confidence interval (CI), 3.20-28.9], and left
ventricular ejection fraction <= 40% (OR, 3.42; 95% CI, 1.07-10.8). CONCLUSIONS:
Urinary L-FABP level is useful for predicting the onset of CI-AKI before contrast
medium exposure.
PMID- 22070250
TI - Achieving coherent policies for conservation and sustainable use of marine
ecosystems.
PMID- 22070249
TI - clusterMaker: a multi-algorithm clustering plugin for Cytoscape.
AB - BACKGROUND: In the post-genomic era, the rapid increase in high-throughput data
calls for computational tools capable of integrating data of diverse types and
facilitating recognition of biologically meaningful patterns within them. For
example, protein-protein interaction data sets have been clustered to identify
stable complexes, but scientists lack easily accessible tools to facilitate
combined analyses of multiple data sets from different types of experiments. Here
we present clusterMaker, a Cytoscape plugin that implements several clustering
algorithms and provides network, dendrogram, and heat map views of the results.
The Cytoscape network is linked to all of the other views, so that a selection in
one is immediately reflected in the others. clusterMaker is the first Cytoscape
plugin to implement such a wide variety of clustering algorithms and
visualizations, including the only implementations of hierarchical clustering,
dendrogram plus heat map visualization (tree view), k-means, k-medoid, SCPS,
AutoSOME, and native (Java) MCL. RESULTS: Results are presented in the form of
three scenarios of use: analysis of protein expression data using a recently
published mouse interactome and a mouse microarray data set of nearly one hundred
diverse cell/tissue types; the identification of protein complexes in the yeast
Saccharomyces cerevisiae; and the cluster analysis of the vicinal oxygen chelate
(VOC) enzyme superfamily. For scenario one, we explore functionally enriched
mouse interactomes specific to particular cellular phenotypes and apply fuzzy
clustering. For scenario two, we explore the prefoldin complex in detail using
both physical and genetic interaction clusters. For scenario three, we explore
the possible annotation of a protein as a methylmalonyl-CoA epimerase within the
VOC superfamily. Cytoscape session files for all three scenarios are provided in
the Additional Files section. CONCLUSIONS: The Cytoscape plugin clusterMaker
provides a number of clustering algorithms and visualizations that can be used
independently or in combination for analysis and visualization of biological data
sets, and for confirming or generating hypotheses about biological function.
Several of these visualizations and algorithms are only available to Cytoscape
users through the clusterMaker plugin. clusterMaker is available via the
Cytoscape plugin manager.
PMID- 22070253
TI - Special section: the futures of conservation. Introduction.
PMID- 22070251
TI - Reevaluating and broadening the definition of genetic rescue.
PMID- 22070254
TI - Conservation biology through the lens of a career in salmon conservation.
PMID- 22070255
TI - Conservation means behavior.
PMID- 22070256
TI - Indigenous alliances for conservation in Bolivia.
PMID- 22070257
TI - Finding balance between human need and global stewardship.
PMID- 22070258
TI - A vision of conservation from school.
PMID- 22070259
TI - Walking the path of environmental Buddhism through compassion and emptiness.
PMID- 22070260
TI - Competing cultures of conservation.
PMID- 22070261
TI - Cultivating a constituency for conservation.
PMID- 22070263
TI - Special section: conservation science in the coming decades. Introduction.
PMID- 22070262
TI - Reaching the U.S. public through their patriotism, pastors, and pockets.
PMID- 22070264
TI - Systemic conservation, REDD, and the future of the Amazon Basin.
PMID- 22070265
TI - Land, food, and biodiversity.
PMID- 22070266
TI - Biodiversity offsets and infrastructure.
PMID- 22070267
TI - Conservation in sustainable-use tropical forest reserves.
PMID- 22070268
TI - Marine protected areas and the governance of marine ecosystems and fisheries.
PMID- 22070269
TI - The future of payments for environmental services.
PMID- 22070270
TI - Climate change, connectivity, and conservation success.
PMID- 22070271
TI - Future human intervention in ecosystems and the critical role for evolutionary
biology.
PMID- 22070273
TI - Producer-level benefits of sustainability certification.
AB - Initiatives certifying that producers of goods and services adhere to defined
environmental and social-welfare production standards are increasingly popular.
According to proponents, these initiatives create financial incentives for
producers to improve their environmental, social, and economic performance. We
reviewed the evidence on whether these initiatives have such benefits. We
identified peer-reviewed, ex post, producer-level studies in economic sectors in
which certification is particularly prevalent (bananas, coffee, fish products,
forest products, and tourism operations), classified these studies on the basis
of whether their design and methods likely generated credible results, summarized
findings from the studies with credible results, and considered how these
findings might guide future research. We found 46 relevant studies, most of which
focused on coffee and forest products and examined fair-trade and Forest
Stewardship Council certification. The methods used in 11 studies likely
generated credible results. Of these 11 studies, nine examined the economic
effects and two the environmental effects of certification. The results of four
of the 11 studies, all of which examined economic effects, showed that
certification has producer-level benefits. Hence, the evidence to support the
hypothesis that certification benefits the environment or producers is limited.
More evidence could be generated by incorporating rigorous, independent
evaluation into the design and implementation of projects promoting
certification.
PMID- 22070272
TI - Scientists' opinions on the global status and management of biological diversity.
AB - The large investments needed if loss of biological diversity is to be stemmed
will likely lead to increased public and political scrutiny of conservation
strategies and the science underlying them. It is therefore crucial to understand
the degree of consensus or divergence among scientists on core scientific
perceptions and strategies most likely to achieve given objectives. I developed
an internet survey designed to elucidate the opinions of conservation scientists.
Conservation scientists (n =583) were unanimous (99.5%) in their view that a
serious loss of biological diversity is likely, very likely, or virtually
certain. Scientists' agreement that serious loss is very likely or virtually
certain ranged from 72.8% for Western Europe to 90.9% for Southeast Asia.
Tropical coral ecosystems were perceived as the most seriously affected by loss
of biological diversity; 88.0% of respondents familiar with that ecosystem type
agreed that a serious loss is very likely or virtually certain. With regard to
conservation strategies, scientists most often viewed understanding how people
and nature interact in certain contexts and the role of biological diversity in
maintaining ecosystem function as their priorities. Protection of biological
diversity for its cultural and spiritual values and because of its usefulness to
humans were low priorities, which suggests that many scientists do not fully
support the utilitarian concept of ecosystem services. Many scientists expressed
a willingness to consider conservation triage, engage in active conservation
interventions, and consider reframing conservation goals and measures of success
for conservation of biological diversity in an era of climate change. Although
some heterogeneity of opinion is evident, results of the survey show a clear
consensus within the scientific community on core issues of the extent and
geographic scope of loss of biological diversity and on elements that may
contribute to successful conservation strategies in the future.
PMID- 22070274
TI - Spatial predictions of phylogenetic diversity in conservation decision making.
AB - Considering genetic relatedness among species has long been argued as an
important step toward measuring biological diversity more accurately, rather than
relying solely on species richness. Some researchers have correlated measures of
phylogenetic diversity and species richness across a series of sites and suggest
that values of phylogenetic diversity do not differ enough from those of species
richness to justify their inclusion in conservation planning. We compared
predictions of species richness and 10 measures of phylogenetic diversity by
creating distribution models for 168 individual species of a species-rich plant
family, the Cape Proteaceae. When we used average amounts of land set aside for
conservation to compare areas selected on the basis of species richness with
areas selected on the basis of phylogenetic diversity, correlations between
species richness and different measures of phylogenetic diversity varied
considerably. Correlations between species richness and measures that were based
on the length of phylogenetic tree branches and tree shape were weaker than those
that were based on tree shape alone. Elevation explained up to 31% of the
segregation of species rich versus phylogenetically rich areas. Given these
results, the increased availability of molecular data, and the known ecological
effect of phylogenetically rich communities, consideration of phylogenetic
diversity in conservation decision making may be feasible and informative.
PMID- 22070275
TI - Translating effects of inbreeding depression on component vital rates to overall
population growth in endangered bighorn sheep.
AB - Evidence of inbreeding depression is commonly detected from the fitness traits of
animals, yet its effects on population growth rates of endangered species are
rarely assessed. We examined whether inbreeding depression was affecting Sierra
Nevada bighorn sheep (Ovis canadensis sierrae), a subspecies listed as endangered
under the U.S. Endangered Species Act. Our objectives were to characterize
genetic variation in this subspecies; test whether inbreeding depression affects
bighorn sheep vital rates (adult survival and female fecundity); evaluate whether
inbreeding depression may limit subspecies recovery; and examine the potential
for genetic management to increase population growth rates. Genetic variation in
4 populations of Sierra Nevada bighorn sheep was among the lowest reported for
any wild bighorn sheep population, and our results suggest that inbreeding
depression has reduced adult female fecundity. Despite this population sizes and
growth rates predicted from matrix-based projection models demonstrated that
inbreeding depression would not substantially inhibit the recovery of Sierra
Nevada bighorn sheep populations in the next approximately 8 bighorn sheep
generations (48 years). Furthermore, simulations of genetic rescue within the
subspecies did not suggest that such activities would appreciably increase
population sizes or growth rates during the period we modeled (10 bighorn sheep
generations, 60 years). Only simulations that augmented the Mono Basin population
with genetic variation from other subspecies, which is not currently a management
option, predicted significant increases in population size. Although we recommend
that recovery activities should minimize future losses of genetic variation,
genetic effects within these endangered populations-either negative (inbreeding
depression) or positive (within subspecies genetic rescue)-appear unlikely to
dramatically compromise or stimulate short-term conservation efforts. The
distinction between detecting the effects of inbreeding depression on a component
vital rate (e.g., fecundity) and the effects of inbreeding depression on
population growth underscores the importance of quantifying inbreeding costs
relative to population dynamics to effectively manage endangered populations.
PMID- 22070276
TI - The role of natural history institutions and bioinformatics in conservation
biology.
PMID- 22070278
TI - Genetics of resistance to race TTKSK of Puccinia graminis f. sp. tritici in
Triticum monococcum.
AB - Race TTKSK (or Ug99) of Puccinia graminis f. sp. tritici possesses virulence to
several stem rust resistance genes commonly present in wheat cultivars grown
worldwide. New variants detected in the race TTKSK lineage further broadened the
virulence spectrum. The identification of sources of genetic resistance to race
TTKSK and its relatives is necessary to enable the development and deployment of
resistant varieties. Accessions of Triticum monococcum, an A-genome diploid wild
and cultivated wheat, have previously been characterized as resistant to stem
rust. Three resistance genes were identified and introgressed into hexaploid
wheat: Sr21, Sr22, and Sr35. The objective of this study was to determine the
genetic control and allelic relationships of resistance to race TTKSK in T.
monococcum accessions identified through evaluations at the seedling stage.
Generation F(2) progeny of 8 crosses between resistant and susceptible accessions
and 13 crosses between resistant accessions of T. monococcum were evaluated with
race TTKSK and often with North American races, including races QFCSC, TTTTF, and
MCCFC. For a selected population segregating for three genes conferring
resistance to race TTKSK, F(2:3) progeny were evaluated with races TTKSK, QFCSC,
and TTTTF. In that population, we detected two genes conferring resistance to
race TTKSK that are different from Sr21, Sr22, and Sr35. One of the new genes was
effective to all races tested. The identification of these genes will facilitate
the development of varieties with new resistance to race TTKSK.
PMID- 22070279
TI - Biological control of take-all by fluorescent Pseudomonas spp. from Chinese wheat
fields.
AB - Take-all disease of wheat caused by the soilborne fungus Gaeumannomyces graminis
var. tritici is one of the most important root diseases of wheat worldwide.
Bacteria were isolated from winter wheat from irrigated and rainfed fields in
Hebei and Jiangsu provinces in China, respectively. Samples from rhizosphere
soil, roots, stems, and leaves were plated onto King's medium B agar and 553
isolates were selected. On the basis of in vitro tests, 105 isolates (19% of the
total) inhibited G. graminis var. tritici and all were identified as Pseudomonas
spp. by amplified ribosomal DNA restriction analysis. Based on biocontrol assays,
13 strains were selected for further analysis. All of them aggressively colonized
the rhizosphere of wheat and suppressed take-all. Of the 13 strains, 3 (HC9-07,
HC13-07, and JC14-07, all stem endophytes) had genes for the biosynthesis of
phenazine-1-carboxylic acid (PCA) but none had genes for the production of 2,4
diacetylphloroglucinol, pyoluteorin, or pyrrolnitrin. High-pressure liquid
chromatography (HPLC) analysis of 2-day-old cultures confirmed that HC9-07, HC13
07, and JC14-07 produced PCA but no other phenazines were detected. HPLC
quantitative time-of-flight 2 mass-spectrometry analysis of extracts from roots
of spring wheat colonized by HC9-07, HC13-07, or Pseudomonas fluorescens 2-79
demonstrated that all three strains produced PCA in the rhizosphere. Loss of PCA
production by strain HC9-07 resulted in a loss of biocontrol activity. Analysis
of DNA sequences within the key phenazine biosynthesis gene phzF and of 16S rDNA
indicated that strains HC9-07, HC13-07, and JC14-07 were similar to the well
described PCA producer P. fluorescens 2-79. This is the first report of 2-79-like
bacteria being isolated from Asia.
PMID- 22070280
TI - Modeling cold curing of Pierce's disease in Vitis vinifera 'Pinot Noir' and
'Cabernet Sauvignon' grapevines in California.
AB - Pierce's disease (PD) of Vitis vinifera grapevines is caused by the bacterium
Xylella fastidiosa, a pathogen with a wide plant host range. Exposure of X.
fastidiosa-infected plant tissue to cold temperatures has been shown to be
effective at eliminating the pathogen from some plant hosts such as grapevines.
This "cold curing" phenomenon suggests itself as a potential method for disease
management and perhaps control. We investigated cold therapy of PD-affected
'Pinot Noir' and 'Cabernet Sauvignon' grapevine. In the fall, inoculated plants
and controls of each cultivar were transported to each of four field sites in
California (Foresthill, McLaughlin, Hopland, and Davis) that differed in the
magnitude of cold winter temperatures. A model for progression of the elimination
of plant disease in relation to temperature was conceptualized to be a
temperature-duration effect, where temperatures below a particular threshold kill
X. fastidiosa with increasing efficacy as the temperature decreases to some value
<6?C. The temperature effect was modeled as a likelihood of a particular
temperature killing the pathogen and is termed the ?killing index?. We developed
a mathematical model for cold curing of grapevines inoculated with X. fastidiosa
and calibrated the model with cold-curing data collected in a field study.
Parameter estimation resulted in lowest sum of squared differences across all 10
trials to be low temperature below which the organism is killed (T(0)) = 6
degrees C, number of hours to achieve 100% cure (N(100)) = 195 h, number of hours
to achieve 10% cure (N(10)) = 20 h, and killing index (K(x)) = 0.45 for Pinot
Noir and T(0) = 6 degrees C, N(100) = 302 h, N(10) = 170 h, and K(x) = 0.41 for
Cabernet Sauvignon. With the parameter estimates optimized by model calibration,
the simulation model was effective at predicting cold curing in four locations
during the experiment, although there were some differences between Hopland for
Pinot Noir and Davis for Cabernet Sauvignon. Using historical temperature data,
the model accurately predicted the known severity of PD in other grape-growing
regions of California, suggesting that it may have utility in assessing the
relative risk of developing PD in proposed new vineyard sites.
PMID- 22070282
TI - Anionic heptadecanuclear silver(I) cluster constructed from in situ generated 2
mercaptobenzoic acid and a sulfide anion.
AB - A novel anionic heptadecanuclear silver(I) cluster, (NH(4))(17)[(MU(6)
S)@Ag(17)(mba)(16)].22H(2)O (1; H(2)mba = 2-mercaptobenzoic acid), was obtained
by the reaction of equivalent molar silver oxide and 2,2'-dithiodibenzoic acid
(H(2)dtba) under ultrasonic conditions at 50 degrees C. Complex 1 is a discrete
cluster comprised of unexpected mba ligands on the shell and a MU(6)-S(2-) ion in
the core, suggesting the occurrence of in situ S-S and S-C(sp(2)) bond cleavages
of the H(2)dtba ligand. This novel cluster displays moderate orange-red emission
in the solid state at room temperature.
PMID- 22070284
TI - Deoxynivalenol, deoxynivalenol-3-glucoside, and enniatins: the major mycotoxins
found in cereal-based products on the Czech market.
AB - Fusarium toxins, Alternaria toxins, and ergot alkaloids represent common
groups of mycotoxins that can be found in cereals grown under temperate climatic
conditions. Because most of them are chemically and thermally stable, these toxic
fungal secondary metabolites might be transferred from grains into the final
products. To get information on the commensurate contamination of various cereal
based products collected from the Czech retail market in 2010, the occurrence of
"traditional" mycotoxins such as groups of A and B trichothecenes and
zearalenone, less routinely determined Alternaria toxins (alternariol,
alternariol monomethyl ether and altenuene), ergot alkaloids (ergosine,
ergocryptine, ergocristine, and ergocornine) and "emerging" mycotoxins (enniatins
A, A1, B, and B1 and beauvericin) were monitored. In a total 116 samples derived
from white flour and mixed flour, breakfast cereals, snacks, and flour, only
trichothecenes A and B and enniatins were found. Deoxynivalenol was detected in
75% of samples with concentrations ranging from 13 to 594 MUg/kg, but its masked
form, deoxynivalenol-3-beta-d-glucoside, has an even higher incidence of 80% of
samples, and concentrations ranging between 5 and 72 MUg/kg were detected.
Nivalenol was found only in three samples at levels of 30 MUg/kg. For enniatins,
all of the samples investigated were contaminated with at least one of four
target enniatins. Enniatin A was detected in 97% of samples (concentration range
of 20-2532 MUg/kg) followed by enniatin B with an incidence in 91% of the samples
(concentration range of 13-941 MUg/kg) and enniatin B1 with an incidence of 80%
in the samples tested (concentration range of 8-785 MUg/kg). Enniatin A1 was
found only in 44% of samples at levels ranging between 8 and 851 MUg/kg.
PMID- 22070283
TI - Seizures and epilepsy in Alzheimer's disease.
AB - Many studies have shown that patients with Alzheimer's disease (AD) are at
increased risk for developing seizures and epilepsy. However, reported prevalence
and incidence of seizures and relationship of seizures to disease measures such
as severity, outcome, and progression vary widely between studies. We performed a
literature review of the available clinical and epidemiological data on the topic
of seizures in patients with AD. We review seizure rates and types, risk factors
for seizures, electroencephalogram (EEG) studies, and treatment responses.
Finally, we consider limitations and methodological issues. There is considerable
variability in the reported prevalence and incidence of seizures in patients with
AD-with reported lifetime prevalence rates of 1.5-64%. More recent, prospective,
and larger studies in general report lower rates. Some, but not all, studies have
noted increased seizure risk with increasing dementia severity or with younger
age of AD onset. Generalized convulsive seizures are the most commonly reported
type, but often historical information is the only basis used to determine
seizure type and the manifestation of seizures may be difficult to distinguish
from other behaviors common in demented patients. EEG has infrequently been
performed and reported. Data on treatment of seizures in AD are extremely
limited. Similarly, the relationship between seizures and cognitive impairment in
AD is unclear. We conclude that the literature on seizures and epilepsy in AD,
including diagnosis, risk factors, and response to treatment suffers from
methodological limitations and gaps.
PMID- 22070285
TI - Catalytic asymmetric synthesis of stable oxetenes via Lewis acid-promoted [2+2]
cycloaddition.
AB - A highly enantioselective and atom-economical [2 + 2] cycloaddition of various
alkynes with trifluoropyruvate using a dicationic (S)-BINAP-Pd catalyst has been
established. This is the first enantioselective synthesis of stable oxetene
derivatives, whose structure has been clarified by X-ray analysis. This catalytic
process offers a practical synthetic method for oxetene derivatives (catalyst
loading: up to 0.1 mol %), which can serve as novel chiral building blocks for
pharmaceuticals and agrochemicals and can also be transformed into a variety of
enantiomerically enriched CF(3)-substituted compounds with high
stereoselectivity.
PMID- 22070286
TI - Identification of key residues for protein conformational transition using
elastic network model.
AB - Proteins usually undergo conformational transitions between structurally
disparate states to fulfill their functions. The large-scale allosteric
conformational transitions are believed to involve some key residues that mediate
the conformational movements between different regions of the protein. In the
present work, a thermodynamic method based on the elastic network model is
proposed to predict the key residues involved in protein conformational
transitions. In our method, the key functional sites are identified as the
residues whose perturbations largely influence the free energy difference between
the protein states before and after transition. Two proteins, nucleotide binding
domain of the heat shock protein 70 and human/rat DNA polymerase beta, are used
as case studies to identify the critical residues responsible for their open
closed conformational transitions. The results show that the functionally
important residues mainly locate at the following regions for these two proteins:
(1) the bridging point at the interface between the subdomains that control the
opening and closure of the binding cleft; (2) the hinge region between different
subdomains, which mediates the cooperative motions between the corresponding
subdomains; and (3) the substrate binding sites. The similarity in the positions
of the key residues for these two proteins may indicate a common mechanism in
their conformational transitions.
PMID- 22070287
TI - Enhanced diffusion in conic channels by means of geometric stochastic resonance.
AB - Geometric stochastic resonance of Brownian particles diffusing across a
converging conic channel subject to oscillating forces is studied in this paper.
Conic channel geometries have been previously considered as a model for transport
of particles in biological membranes, zeolites, and nanostructures. For this
system, a broad excess peak of the effective diffusion above the free diffusion
limit is exhibited over a wide range of frequencies, suggesting a synchronization
effect in the confining geometry as particles respond to the periodic modulation
of the external force. This indicates that the geometric stochastic resonance
effect with unbiased ac forces can be exploited for improving the transport of
particles in complex geometries.
PMID- 22070288
TI - An off-lattice, self-learning kinetic Monte Carlo method using local
environments.
AB - We present a method called local environment kinetic Monte Carlo (LE-KMC) method
for efficiently performing off-lattice, self-learning kinetic Monte Carlo (KMC)
simulations of activated processes in material systems. Like other off-lattice
KMC schemes, new atomic processes can be found on-the-fly in LE-KMC. However, a
unique feature of LE-KMC is that as long as the assumption that all processes and
rates depend only on the local environment is satisfied, LE-KMC provides a
general algorithm for (i) unambiguously describing a process in terms of its
local atomic environments, (ii) storing new processes and environments in a
catalog for later use with standard KMC, and (iii) updating the system based on
the local information once a process has been selected for a KMC move. Search,
classification, storage and retrieval steps needed while employing local
environments and processes in the LE-KMC method are discussed. The advantages and
computational cost of LE-KMC are discussed. We assess the performance of the LE
KMC algorithm by considering test systems involving diffusion in a submonolayer
Ag and Ag-Cu alloy films on Ag(001) surface.
PMID- 22070289
TI - Anomalous kinetics in diffusion limited reactions linked to non-Gaussian
concentration probability distribution function.
AB - We investigate anomalous reaction kinetics related to segregation in the one
dimensional reaction-diffusion system A + B -> C. It is well known that spatial
fluctuations in the species concentrations cause a breakdown of the mean-field
behavior at low concentration values. The scaling of the average concentration
with time changes from the mean-field t(-1) to the anomalous t(-1/4) behavior.
Using a stochastic modeling approach, the reaction-diffusion system can be fully
characterized by the multi-point probability distribution function (PDF) of the
species concentrations. Its evolution is governed by a Fokker-Planck equation
with moving boundaries, which are determined by the positivity of the species
concentrations. The concentration PDF is in general non-Gaussian. As long as the
concentration fluctuations are small compared to the mean, the PDF can be
approximated by a Gaussian distribution. This behavior breaks down in the
fluctuation dominated regime, for which anomalous reaction kinetics are observed.
We show that the transition from mean field to anomalous reaction kinetics is
intimately linked to the evolution of the concentration PDF from a Gaussian to
non-Gaussian shape. This establishes a direct relationship between anomalous
reaction kinetics, incomplete mixing and the non-Gaussian nature of the
concentration PDF.
PMID- 22070291
TI - Optimization of a genetic algorithm for searching molecular conformer space.
AB - We present two sets of tunings that are broadly applicable to conformer searches
of isolated molecules using a genetic algorithm (GA). In order to find the most
efficient tunings for the GA, a second GA--a meta-genetic algorithm--was used to
tune the first genetic algorithm to reliably find the already known a priori
correct answer with minimum computational resources. It is shown that these
tunings are appropriate for a variety of molecules with different
characteristics, and most importantly that the tunings are independent of the
underlying model chemistry but that the tunings for rigid and relaxed surfaces
differ slightly. It is shown that for the problem of molecular conformational
search, the most efficient GA actually reduces to an evolutionary algorithm.
PMID- 22070290
TI - Rapid calculation of partition functions and free energies of fluids.
AB - The partition function (Q) is a central quantity in statistical mechanics. All
the thermodynamic properties can be derived from it. Here we show how the
partition function of fluids can be calculated directly from simulations; this
allows us to obtain the Helmholtz free energy (F) via F = -k(B)T ln Q. In our
approach, we divide the density of states, assigning half of the configurations
found in a simulation to a high-energy partition and half to a low-energy
partition. By recursively dividing the low-energy partition into halves, we map
out the complete density of states for a continuous system. The result allows
free energy to be calculated directly as a function of temperature. We illustrate
our method in the context of the free energy of water.
PMID- 22070292
TI - Large-scale symmetry-adapted perturbation theory computations via density fitting
and Laplace transformation techniques: investigating the fundamental forces of
DNA-intercalator interactions.
AB - Symmetry-adapted perturbation theory (SAPT) provides a means of probing the
fundamental nature of intermolecular interactions. Low-orders of SAPT (here,
SAPT0) are especially attractive since they provide qualitative (sometimes
quantitative) results while remaining tractable for large systems. The
application of density fitting and Laplace transformation techniques to SAPT0 can
significantly reduce the expense associated with these computations and make even
larger systems accessible. We present new factorizations of the SAPT0 equations
with density-fitted two-electron integrals and the first application of Laplace
transformations of energy denominators to SAPT. The improved scalability of the
DF-SAPT0 implementation allows it to be applied to systems with more than 200
atoms and 2800 basis functions. The Laplace-transformed energy denominators are
compared to analogous partial Cholesky decompositions of the energy denominator
tensor. Application of our new DF-SAPT0 program to the intercalation of DNA by
proflavine has allowed us to determine the nature of the proflavine-DNA
interaction. Overall, the proflavine-DNA interaction contains important
contributions from both electrostatics and dispersion. The energetics of the
intercalator interaction are are dominated by the stacking interactions (two
thirds of the total), but contain important contributions from the intercalator
backbone interactions. It is hypothesized that the geometry of the complex will
be determined by the interactions of the intercalator with the backbone, because
by shifting toward one side of the backbone, the intercalator can form two long
hydrogen-bonding type interactions. The long-range interactions between the
intercalator and the next-nearest base pairs appear to be negligible, justifying
the use of truncated DNA models in computational studies of intercalation
interaction energies.
PMID- 22070293
TI - A combination of the tree-code and IPS method to simulate large scale systems by
molecular dynamics.
AB - An IPS/Tree method which is a combination of the isotropic periodic sum (IPS)
method and tree-based method was developed for large-scale molecular dynamics
simulations, such as biological and polymer systems, that need hundreds of
thousands of molecules. The tree-based method uses a hierarchical tree structure
to reduce the calculation cost of long-range interactions. IPS/Tree is an
efficient method like IPS/DFFT, which is a combination of the IPS method and FFT
in calculating large-scale systems that require massively parallel computers. The
IPS method has two different versions: IPSn and IPSp. The basic idea is the same
expect for the fact that the IPSn method is applied to calculations for point
charges, while the IPSp method is used to calculate polar molecules. The concept
of the IPS/Tree method is available for both IPSn and IPSp as IPSn/Tree and
IPSp/Tree. Even though the accuracy of the Coulomb forces with tree-based method
is well known, the accuracy for the combination of the IPS and tree-based methods
is unclear. Therefore, in order to evaluate the accuracy of the IPS/Tree method,
we performed molecular dynamics simulations for 32,000 bulk water molecules,
which contains around 10(5) point charges. IPSn/Tree and IPSp/Tree were both
applied to study the interaction calculations of Coulombic forces. The accuracy
of the Coulombic forces and other physical properties of bulk water systems were
evaluated. The IPSp/Tree method not only has reasonably small error in estimating
Coulombic forces but the error was almost the same as the theoretical error of
the ordinary tree-based method. These facts show that the algorithm of the tree
based method can be successfully applied to the IPSp method. On the other hand,
the IPSn/Tree has a relatively large error, which seems to have been derived from
the interaction treatment of the original IPSn method. The self-diffusion and
radial distribution functions of water were calculated each by both the IPSn/Tree
and IPSp/Tree methods, where both methods showed reasonable agreement with the
Ewald method. In conclusion, the IPSp/Tree method is a potentially fast and
sufficiently accurate technique for predicting transport coefficients and liquid
structures of water in a homogeneous system.
PMID- 22070294
TI - Construction of a disorder variable from Steinhardt order parameters in binary
mixtures at high densities in three dimensions.
AB - Using molecular dynamics simulation, we investigate the structural disorder in
crystal, polycrystal, and glass in a Lennard-Jones binary mixture composed of
N(1) + N(2) = 4096 particles at a low temperature in three dimensions. The size
ratio sigma(2)/sigma(1) between the large and small particles is either 1.2 or
1.4. The crossovers among these states occur, as the composition of the large
particles c = N(2)/(N(1) + N(2)) is varied. We define a disorder variable D(j)
for each particle j in terms of local bond order parameters based on spherical
harmonics (Steinhardt order parameters). Stacking faults and grain boundaries in
fcc polycrystal and mesoscopic structural heterogeneity in glass are then
visualized. At small c, disturbances of large particles is stronger for larger
sigma(2)/sigma(1). At large c, the transition between glass and polycrystal
occurs nearly discontinuously at c = c(c) ~ 0.8. At sigma(2)/sigma(1) = 1.4,
microphase separation occurs in polycrystal states with c > c(c), where fcc
crystal grains comprising the large particles are enclosed by amorphous layers
composed of the two particle species.
PMID- 22070295
TI - Efficient computation of adiabatic populations in multi-mode Jahn-Teller systems
through the use of effective vibrational modes.
AB - A highly efficient scheme for computing adiabatic electronic populations in multi
mode Jahn-Teller systems is presented. It relies on the transformation to an
effective-mode vibrational basis in which the relevant quantities depend on the
coordinates of a single mode only. In this way, the generally tedious numerical
evaluation of high-dimensional integrals is avoided and replaced by one
dimensional integrations. The effective-mode scheme is applied to a variety of
two-mode and three-mode Jahn-Teller systems and gives a typical speedup of about
two to three orders of magnitude as compared to the direct evaluation of the
adiabatic populations. The gain grows rapidly with the numbers of modes.
PMID- 22070296
TI - Stability analysis of multiple nonequilibrium fixed points in self-consistent
electron transport calculations.
AB - We present a method to perform stability analysis of nonequilibrium fixed points
appearing in self-consistent electron transport calculations. The nonequilibrium
fixed points are given by the self-consistent solution of stationary, nonlinear
kinetic equation for single-particle density matrix. We obtain the stability
matrix by linearizing the kinetic equation around the fixed points and analyze
the real part of its spectrum to assess the asymptotic time behavior of the fixed
points. We derive expressions for the stability matrices within Hartree-Fock and
linear response adiabatic time-dependent density functional theory. The stability
analysis of multiple fixed points is performed within the nonequilibrium Hartree
Fock approximation for the electron transport through a molecule with a spin
degenerate single level with local Coulomb interaction.
PMID- 22070297
TI - Elastic scattering and rotational excitation of nitrogen molecules by sodium
atoms.
AB - A quantal study of the rotational excitation of nitrogen molecules by sodium
atoms is carried out. We present the two-dimensional potential energy surface of
the NaN(2) complex, with the N(2) molecule treated as a rigid rotor. The
interaction potential is computed using the spin unrestricted coupled-cluster
method with single, double, and perturbative triple excitations (UCCSD(T)). The
long-range part of the potential is constructed from the dynamic electric dipole
polarizabilities of Na and N(2). The total, differential, and momentum transfer
cross sections for rotationally elastic and inelastic transitions are calculated
using the close-coupling approach for energies between 5 cm(-1) and 1500 cm(-1).
The collisional and momentum transfer rate coefficients are calculated for
temperatures between 100 K and 300 K, corresponding to the conditions under which
Na-N(2) collisions occur in the mesosphere.
PMID- 22070298
TI - The X2Sigma+ state of LiCa studied by Fourier-transform spectroscopy.
AB - The paper reports on a successful observation of high resolution Fourier
transform spectra of LiCa. The fine structure of the ground state was observed
and attributed to effective spin-rotation interaction. The experimental
observations are described by two models using potential energy curves. One of
them takes into account the fine structure splitting by means of effective
constants, the other by means of a R dependent function gamma(R), built in the
radial Schrodinger equation. Ab initio calculations were performed for gamma(R)
which comes close to the experimental function.
PMID- 22070299
TI - The Jahn-Teller effect in the triply degenerate electronic state of methane
radical cation.
AB - A quantum dynamics study is performed to examine the complex nuclear motion
underlying the first photoelectron band of methane. The broad and highly
overlapping structures of the latter are found to originate from transitions to
the ground electronic state, X(2)T(2), of the methane radical cation. Ab initio
calculations have also been carried out to establish the potential energy
surfaces for the triply degenerate electronic manifold of CH(4)(+). A suitable
diabatic vibronic Hamiltonian has been devised and the nonadiabatic effects due
to Jahn-Teller conical intersections on the vibronic dynamics investigated in
detail. The theoretical results show fair accord with experiment.
PMID- 22070300
TI - Hydrophobic interactions in presence of osmolytes urea and trimethylamine-N
oxide.
AB - Molecular dynamics simulations were carried out to study the influences of two
naturally occurring osmolytes, urea, and trimethylamine-N-oxide (TMAO) on the
hydrophobic interactions between neopentane molecules. In this study, we used two
different models of neopentane: One is of single united site (UA) and another
contains five-sites. We observe that, these two neopentane models behave
differently in pure water as well as solutions containing osmolytes. Presence of
urea molecules increases the stability of solvent-separated state for five-site
model, whereas osmolytes have negligible effect in regard to clustering of UA
model of neopentane. For both models, dehydration of neopentane and preferential
solvation of it by urea and TMAO over water molecules are also observed. We also
find the collapse of the second-shell of water by urea and water structure
enhancement by TMAO. The orientational distributions of water molecules around
different layers of neopentane were also calculated and we find that orientation
of water molecules near to hydrophobic moiety is anisotropic and osmolytes have
negligible effect on it. We also observe osmolyte-induced water-water hydrogen
bond life time increase in the hydration shell of neopentane as well as in the
subsequent water layers.
PMID- 22070301
TI - Singlet state relaxation via scalar coupling of the second kind.
AB - The contribution of scalar coupling relaxation of the second kind on the
relaxation behaviour of nuclear spin singlet states has been derived. The
analytical equation found for the relaxation rate constant of singlet state has
been compared to the equation for the relaxation of longitudinal magnetization in
order to find the conditions for which the singlet state remains long-lived even
in the presence of this scalar relaxation mechanism. These results are relevant
when the singlet state is formed in molecules with more than two interacting
spins.
PMID- 22070302
TI - Spectroscopic properties of alkali atoms embedded in Ar matrix.
AB - We present a theoretical investigation of visible absorption and related
luminescence of alkali atoms (Li, Na, and K) embedded in Ar matrix. We used a
model based on core polarization pseudopotentials, which allows us to determine
accurately the gas-to-matrix shifts of various trapping sites. The remarkable
agreement between our calculated results and the experimental spectra recorded by
several authors allows us to establish a clear assignment of the observed
spectra, which are made of contributions from crystalline sites on the one hand,
and of grain boundary sites on the other hand. Our study reveals remarkably large
Stokes shifts, up to 9000 cm(-1), which could be observed experimentally to
identify definitely the trapping sites.
PMID- 22070304
TI - Photoisomerization dynamics of 3,3'-diethyloxadicarbocyanine iodide in ionic
liquids: breakdown of hydrodynamic Kramers model.
AB - Photoisomerization dynamics of 3,3'-diethyloxadicarbocyanine iodide (DODCI) has
been examined in a series of 1-alkyl-3-methylimidazolium (alkyl = methyl, ethyl,
propyl, butyl, and hexyl) bis(trifluoromethylsulfonyl)imides by measuring its
fluorescence lifetimes and quantum yields. This study has essentially been
undertaken to find out whether the process of photoisomerization of DODCI in
ionic liquids is different compared to that observed in conventional solvents
such as alcohols. Activation energy of the reaction has been attained with the
aid of isoviscosity plots and was found to be 22 +/- 3 kJ mol(-1), which is a
factor of two higher compared to that obtained in alcohols. The significantly
higher activation energy obtained in bis(trifluoromethylsulfonyl)imides compared
to alcohols is probably due to the highly ordered nature of the ionic liquids,
which hinders the twisting process. Kramers theory has been applied to understand
the reduced isomerization rate constants in terms of solvent friction. As in case
of alcohols, the isomerization data could not be explained by the Kramers model.
However, a power law relation, which is a phenomenological functional form, could
mimic the observed trend.
PMID- 22070303
TI - Electron interaction with nitromethane embedded in helium droplets: attachment
and ionization measurements.
AB - Results of a detailed study on electron interactions with nitromethane
(CH(3)NO(2)) embedded in helium nanodroplets are reported. Anionic and cationic
products formed are analysed by mass spectrometry. When the doped helium droplets
are irradiated with low-energy electrons of about 2 eV kinetic energy,
exclusively parent cluster anions (CH(3)NO(2))(n)(-) are formed. At 8.5 eV, three
anion cluster series are observed, i.e., (CH(3)NO(2))(n)(-), [(CH(3)NO(2))(n)-H](
), and (CH(3)NO(2))(n)NO(2)(-), the latter being the most abundant. The results
obtained for anions are compared with previous electron attachment studies with
bare nitromethane and nitromethane condensed on a surface. The cation chemistry
(induced by electron ionization of the helium matrix at 70 eV and subsequent
charge transfer from He(+) to the dopant cluster) is dominated by production of
methylated and protonated nitromethane clusters, (CH(3)NO(2))(n)CH(3)(+) and
(CH(3)NO(2))(n)H(+).
PMID- 22070305
TI - Debye to non-Debye scaling of the Boson peak dynamics: critical behavior and
local disorder in vitreous germania.
AB - We report on the observation of a significant softening of the Boson peak (BP)
frequency of vitreous GeO(2) above the glass transition temperature. The
softening reminds a critical trend, with a transition temperature intermediate
between the glass transition temperature, T(g), and the melting point. The
softening of the BP frequency corresponds to a transition from a region dominated
by Debye scaling to a non-Debye one. Below T(g) the density of vibrational states
varies according to the modification of the elastic continuum medium. Above T(g)
the relevant softening of the BP modes can be explained by a broadening of the
distribution of elastic constants between neighboring atoms, induced by the
structural rearrangement. These findings are discussed together with recent
experimental and theoretical results on the low frequency vibrations in glasses.
PMID- 22070306
TI - "Stubborn" triaminotrinitrobenzene: unusually high chemical stability of a
molecular solid to 150 GPa.
AB - We report an unexpectedly high chemical stability of molecular solid 1,3,5
triamino-2,4,6-trinitrobenzene (TATB) under static high pressures. In contrast to
the high-pressure behavior of the majority of molecular solids, TATB remains both
chemically stable and an insulator to 150 GPa--well above the predicted
metallization pressure of 120 GPa. Single crystal studies have shown that TATB
exhibits pressure-induced Raman changes associated with two subtle structural
phase transitions at 28 and 56 GPa. These phase transitions are accompanied by
remarkable color changes, from yellow to orange and to dark red with increasing
pressure. We suggest that the high-stability of TATB arises as a result of its
hydrogen-bonded aromatic two-dimensional (2D) layered structure and highly
repulsive interlayer interaction, hindering the formation of 3D networks or
metallic states.
PMID- 22070307
TI - H2O and D2 mixtures under pressure: spectroscopy and proton exchange kinetics.
AB - We have investigated the pressure-induced spectral changes and the proton
exchange reactions of D(2)-H(2)O mixtures to 64 GPa using micro-Raman
spectroscopy. The results show the profound difference in the rotational and
vibrational Raman spectra of hydrogen isotopes from those of the pure samples,
showing the vibrational modes at higher frequencies and continuing to increase
with pressure without apparent turnover. This indicates the repulsive nature of
D(2)-H(2)O interaction without hydrogen bonds between the two and, thus,
interstitial fillings of D(2) molecules into the bcc-like ice lattice. The
spectral analysis using the Morse potential yields a hydrogen bond distance of
0.734 A at 6 GPa--slightly shorter than that in pure--attributed to the repulsive
interaction. The pressure-dependent spectral changes suggest that the proton
ordering transition in the ice lattice occurs over a large pressure range between
28 and 50 GPa, which is substantially lower than that of pure ice (40-80 GPa).
This again indicates the presence of high internal pressure arising from the
repulsive interaction. The Raman spectra show evidences that the proton exchange
occurs in various phases including in solid D(2) and H(2)O mixtures. Based on the
time-dependent spectral changes, we obtained the proton exchange rates of k ~
0.085 h(-1) at 0.2 GPa in fluid D(2) and water mixtures, k ~ 0.03 h(-1) and 0.003
h(-1) at 2 GPa and 4 GPa, respectively, in fluid D(2)-ice mixtures, and k ~ 10(
3) h(-1) at 8 GPa in solid D(2) and ice mixtures.
PMID- 22070308
TI - Interpreting single turnover catalysis measurements with constrained mean dwell
times.
AB - Observation of a chemical transformation at the single-molecule level yields a
detailed view of kinetic pathways contributing to the averaged results obtained
in a bulk measurement. Studies of a fluorogenic reaction catalyzed by gold
nanoparticles have revealed heterogeneous reaction dynamics for these catalysts.
Measurements on single nanoparticles yield binary trajectories with stochastic
transitions between a dark state in which no product molecules are adsorbed and a
fluorescent state in which one product molecule is present. The mean dwell time
in either state gives information corresponding to a bulk measurement.
Quantifying fluctuations from mean kinetics requires identifying properties of
the fluorescence trajectory that are selective in emphasizing certain dynamic
processes according to their time scales. We propose the use of constrained mean
dwell times, defined as the mean dwell time in a state with the constraint that
the immediately preceding dwell time in the other state is, for example, less
than a variable time. Calculations of constrained mean dwell times for a kinetic
model with dynamic disorder demonstrate that these quantities reveal correlations
among dynamic fluctuations at different active sites on a multisite catalyst.
Constrained mean dwell times are determined from measurements of single
nanoparticle catalysis. The results indicate that dynamical fluctuations at
different active sites are correlated, and that especially rapid reaction events
produce particularly slowly desorbing product molecules.
PMID- 22070309
TI - Surface and confinement effects on the dielectric relaxation of a monohydroxy
alcohol.
AB - 2-ethyl-1-hexanol (2E1H) was confined to the surface of a collagen matrix at
various concentration levels c. Dielectric spectroscopy revealed that upon
decreasing c, the alcohol's prominent hydrogen-bond mediated Debye-like
relaxation broadens and turns nonexponential. This destabilization of the
supramolecular association is accompanied by an increasing relative strength of
the structural relaxation in 2E1H up to a point beyond which the two processes
are merged when the solvent molecules are sufficiently diluted. These results
demonstrate that the contribution of the Debye-like relaxation can be completely
suppressed and concomitantly the limit of a simple, nonassociating liquid is
reached. Confinement of the alcohol in a monolithic glass with nanoscopic pores
subjected to different internal surface treatments is also demonstrated to bear a
large impact on the relative strengths of the two processes.
PMID- 22070310
TI - Nuclear magnetic resonance and dielectric noise study of spectral densities and
correlation functions in the glass forming monoalcohol 2-ethyl-1-hexanol.
AB - The spectral densities related to various relaxation processes of the glass
former 2-ethyl-1-hexanol (2E1H), a monohydroxy alcohol, are probed using several
nuclear magnetic resonance (NMR) experiments as well as via dielectric noise
spectroscopy (DNS). On the basis of the spectral density relating to voltage
fluctuations, i.e., without the application of external electrical fields, DNS
enables the detection of the structural relaxation and of the prominent, about
two decades slower Debye process. The NMR-detected spectral density, sensitive to
the orientational fluctuations of the hydroxyl deuteron, also reveals dynamics
slower than the structural relaxation, but not as slow as the Debye process.
Rotational and translational correlation functions of 2E1H are probed using
stimulated-echo NMR techniques which could only resolve the structural dynamics
or faster processes. The experimental results are discussed with reference to
models that were suggested to describe the dynamics in supercooled alcohols.
PMID- 22070311
TI - Charge transfer dynamics of 3,4,9,10-perylene-tetracarboxylic-dianhydride
molecules on Au(111) probed by resonant photoemission spectroscopy.
AB - Charge transfer dynamics across the lying-down 3,4,9,10-perylene-tetracarboxylic
dianhydride (PTCDA) organic semiconductor molecules on Au(111) interface has been
investigated using the core-hole clock implementation of resonant photoemission
spectroscopy. It is found that the charge transfer time scale at the
PTCDA/Au(111) interface is much larger than the C 1s core-hole lifetime of 6 fs,
indicating weak electronic coupling between PTCDA and the gold substrate due to
the absence of chemical reaction and/or bonding.
PMID- 22070312
TI - Structure, stability, and mobility of small Pd clusters on the stoichiometric and
defective TiO2 (110) surfaces.
AB - We report on the structure and adsorption properties of Pd(n) (n = 1-4) clusters
supported on the rutile TiO(2) (110) surfaces with the possible presence of a
surface oxygen vacancy or a subsurface Ti-interstitial atom. As predicted by the
density functional theory, small Pd clusters prefer to bind to the stoichiometric
titania surface or at sites near subsurface Ti-interstitial atoms. The adsorption
of Pd clusters changes the electronic structure of the underlying surface. For
the surface with an oxygen vacancy, the charge localization and ferromagnetic
spin states are found to be largely attenuated owing to the adsorption of Pd
clusters. The potential energy surfaces of the Pd monomer on different types of
surfaces are also reported. The process of sintering is then simulated via the
Metropolis Monte Carlo method. The presence of oxygen vacancy likely leads to the
dissociation of Pd clusters. On the stoichiometric surface or surface with Ti
interstitial atom, the Pd monomers tend to sinter into larger clusters, whereas
the Pd dimer, trimer, and tetramer appear to be relatively stable below 600 K.
This result agrees with the standard sintering model of transition metal clusters
and experimental observations.
PMID- 22070313
TI - Wet nanogranular materials: colloidal glass and gel.
AB - Partially wet granular medium is a mouldable material due to capillary cohesion
and its behavior plays key roles in geophysics. However, completely wet
nanogranules may also demonstrate mouldable properties via van der Waals
attraction and they exhibit colloidal glass or gel characteristics, depending on
the solvent. As solvent-enhanced attractions prevail, phase separation is
observed and nanogranular gel can be obtained. In contrast, as cage effects
dominate, the stable slurry is seen and the nanogranular glass can be prepared.
Upon surfactant addition, however, the arrested glass state changes into
colloidal gel due to the formation of hydrogen bonds between nanogranules.
PMID- 22070314
TI - Quasistatic computer simulations of shear behavior of water nanoconfined between
mica surfaces.
AB - We combine the grand canonical Monte Carlo and molecular dynamics techniques to
simulate the shear response of water under a 9.2 A confinement between two
parallel sheets of muscovite mica. The shear deformation is modeled in the
quasistatic regime corresponding to an infinitely small shear rate. It is found
that the confined water film is capable of sustaining shear stress, as is
characteristic of solids, while remaining fluid-like in respect of molecular
mobility and lateral order. An important information is obtained by splitting the
stress tensor components into contributions arising from the interaction of the
opposing mica sheets between themselves and their interaction with water. The
mica-mica contributions to shear stress show a strong anisotropy dictated by the
alignment of the surface K(+) ions in chains along the x axis. On shearing in
this direction, the mica-mica contribution to shear stress is negligible, so that
the shear resistance is determined by the water interlayer. By contrast, in the y
direction, the mica-mica contribution to shear resistance is dominant. The water
mica contribution is slightly less in magnitude but opposite in sign. As a
consequence, the mica-mica contribution is largely canceled out. The physics
behind this cancellation is the screening of the electrostatic interactions of
the opposing surface K(+) ions by water molecules.
PMID- 22070315
TI - A theory of single-electron non-adiabatic tunneling through a small metal
nanoparticle with due account of the strong interaction of valence electrons with
phonons of the condensed matter environment.
AB - A theory of electrochemical behavior of small metal nanoparticles (NPs) which is
governed both by the charging effect and the effect of the solvent reorganization
on the dynamic of the electron transfer (ET) is considered under ambient
conditions. The exact expression for the rate constant of ET from an electrode to
NP which is valid for all values of the reorganization free energy E(r), bias
voltage, and overpotential is obtained in the non-adiabatic limit. The tunnel
current/overpotential relations are studied and calculated for different values
of the bias voltage and E(r). The effect of E(r) on the full width at half
maximum of the charging peaks is investigated at different values of the bias
voltage. The differential conductance/bias voltage and the tunnel current/bias
voltage dependencies are also studied and calculated. It is shown that, at room
temperature, the pronounced Coulomb blockade oscillations in the differential
conductance/bias voltage curves and the noticeable Coulomb staircase in the
tunnel current/bias voltage relations are observed only at rather small values of
E(r) in the case of the strongly asymmetric tunneling contacts.
PMID- 22070316
TI - Atomistic surface erosion and thin film growth modelled over realistic time
scales.
AB - We present results of atomistic modelling of surface growth and sputtering using
a multi-time scale molecular dynamics-on-the-fly kinetic Monte Carlo scheme which
allows simulations to be carried out over realistic experimental times. The
method uses molecular dynamics to model the fast processes and then calculates
the diffusion barriers for the slow processes on-the-fly, without any
preconceptions about what transitions might occur. The method is applied to the
growth of metal and oxide materials at impact energies typical for both vapour
deposition and magnetron sputtering. The method can be used to explain growth
processes, such as the filling of vacancies and the formation of stacking faults.
By tuning the variable experimental parameters on the computer, a parameter set
for optimum crystalline growth can be determined. The method can also be used to
model sputtering where the particle interactions with the surface occur at a
higher energy. It is shown how a steady state can arise in which interstitial
clusters are continuously being formed below the surface during an atom impact
event which also recombine or diffuse to the surface between impact events. For
fcc metals the near surface region remains basically crystalline during the
erosion process with a pitted topography which soon attains a steady state
roughness.
PMID- 22070317
TI - Coverage effects in the adsorption of H2 on Pd(100) studied by ab initio
molecular dynamics simulations.
AB - The interaction of hydrogen with palladium surfaces represents one of the model
systems for the study of the adsorption and absorption at metal surfaces.
Theoretical gas-surface dynamics studies have usually concentrated on the
adsorption dynamics on clean surfaces. Only recently it has become possible,
based on advances in the electronic structure codes and improvements in the
computer power, to address the much more complex problem of the adsorption
dynamics on precovered surfaces. Here, I present ab initio molecular dynamics
(AIMD) simulations based on periodic density functional theory (DFT) calculations
of the adsorption of H(2) on hydrogen-precovered Pd(100) for a broad variety of
different hydrogen coverage structures. The stability of the adsorbate structures
and the adsorption dynamics are analyzed in detail. Calculated sticking
probabilities are larger than expected for pure site-blocking consistent with
experimental results. It turns out that the adsorption dynamics on the strongly
corrugated surfaces depends sensitively on the dynamic response of the substrate
atoms upon the impact of the impinging H(2) molecules. In addition, for some
structures the adsorption probability was evaluated as a function of the kinetic
energy. Adsorbate structures corresponding to the same coverage but with
different arrangements of the adsorbed atoms can lead to a qualitatively
different dependence of the adsorption probability on the kinetic energy changing
also the order of the preferred structures, as far as the adsorption is
concerned, as a function of the kinetic energy. This indicates that dynamical
effects such as steering and dynamical trapping play an important role in the
adsorption on these precovered substrates.
PMID- 22070318
TI - Structural properties of atactic polystyrene adsorbed onto solid surfaces.
AB - In the present work, we are studying the local conformation of chains in a thin
film of polystyrene adsorbed on a solid substrate by using atomistically detailed
simulations. The simulations are carried out by using the readily available and
massively parallel molecular dynamics code known as LAMMPS. In particular, a
special emphasis is given to the density and orientation of side chains (which
consist of phenyl groups and methylene units) at solid/polymer and polymer/vacuum
interfaces. Three types of substrates were used in our study: alpha-quartz,
graphite, and amorphous silica. Our investigation was restricted to atactic
polystyrene. Our results show that the density and structural properties of side
chains depend on the type of surface. An excess of phenyl rings is observed near
the alpha-quartz substrate while the film adsorbed on graphite is depleted in
C(6)H(5). Moreover, the orientation of the rings and methylene units on the
substrate/film interface show a strong dependence on the type of the substrate,
while the rings at the film/vacuum interface show a marked tendency to point
outward, away from the film. The results we obtained are in a large part in good
agreement with previous experimental and simulation results.
PMID- 22070319
TI - Structure and dynamics of water confined in silica nanopores.
AB - We report the results of molecular simulation of water in silica nanopores at
full hydration and room temperature. The model systems are approximately
cylindrical pores in amorphous silica, with diameters ranging from 20 to 40 A.
The filled pores are prepared using grand canonical Monte Carlo simulation and
molecular dynamics simulation is used to calculate the water structure and
dynamics. We found that water forms two distinct molecular layers at the
interface and exhibits uniform, but somewhat lower than bulk liquid, density in
the core region. The hydrogen bond density profile follows similar trends, with
lower than bulk density in the core and enhancements at the interface, due to
hydrogen bonds between water and surface non-bridging oxygens and OH groups. Our
studies of water dynamics included translational mean squared displacements,
orientational time correlations, survival probabilities in interfacial shells,
and hydrogen bond population relaxation. We found that the radial-axial
anisotropy in translational motion largely follows the predictions of a model of
free diffusion in a cylinder. However, both translational and rotational water
mobilities are strongly dependent on the proximity to the interface, with
pronounced slowdown in layers near the interface. Within these layers, the
effects of interface curvature are relatively modest, with only a small increase
in mobility in going from the 20 to 40 A diameter pore. Hydrogen bond population
relaxation is nearly bulk-like in the core, but considerably slower in the
interfacial region.
PMID- 22070320
TI - Monte Carlo simulation on polymer translocation in crowded environment.
AB - The effect of crowded environment with static obstacles on the translocation of a
three-dimensional self-avoiding polymer through a small pore is studied using
dynamic Monte Carlo simulation. The translocation time tau is dependent on
polymer-obstacle interaction and obstacle concentration. The influence of
obstacles on the polymer translocation is explained qualitatively by the free
energy landscape. There exists a special polymer-obstacle interaction at which
the translocation time is roughly independent of the obstacle concentration at
low obstacle concentration, and the strength of the special interaction is
roughly independent of chain length N. Scaling relation tau ~ N(1.25) is observed
for strong driving translocations. The diffusion property of polymer chain is
also influenced by obstacles. Normal diffusion is only observed in dilute
solution without obstacles or in a crowded environment with weak polymer-obstacle
attraction. Otherwise, subdiffusion behavior of polymer is observed.
PMID- 22070321
TI - Phase behaviors of cyclic diblock copolymers.
AB - A spectral method of self-consistent field theory has been applied to AB cyclic
block copolymers. Phase behaviors of cyclic diblock copolymers, such as order
disorder transition, order-order transition, and domain spacing size, have been
studied, showing good consistency with previous experimental and theoretical
results. Compared to linear diblocks, cyclic diblocks are harder to phase
separate due to the topological constraint of the ring structure. A direct
disorder-to-cylinder transition window is observed in the phase diagram, which is
significantly different from the mean field phase diagram of linear diblock
copolymers. The domain spacing size ratio between cyclic and linear diblock
copolymers is typically close to 0.707, indicating in segregation that the cyclic
polymer can be considered to be made up of linear diblocks with half of the
original chain length.
PMID- 22070322
TI - The condensation and ordering of models of empty liquids.
AB - We consider a simple model consisting of particles with four bonding sites
("patches"), two of type A and two of type B, on the square lattice, and
investigate its global phase behavior by simulations and theory. We set the
interaction between B patches to zero and calculate the phase diagram as the
ratio between the AB and the AA interactions, epsilon(AB)*, varies. In line with
previous work, on three-dimensional off-lattice models, we show that the liquid
vapor phase diagram exhibits a re-entrant or "pinched" shape for the same range
of epsilon(AB)*, suggesting that the ratio of the energy scales--and the
corresponding empty fluid regime--is independent of the dimensionality of the
system and of the lattice structure. In addition, the model exhibits an order
disorder transition that is ferromagnetic in the re-entrant regime. The use of
low-dimensional lattice models allows the simulation of sufficiently large
systems to establish the nature of the liquid-vapor critical points and to
describe the structure of the liquid phase in the empty fluid regime, where the
size of the "voids" increases as the temperature decreases. We have found that
the liquid-vapor critical point is in the 2D Ising universality class, with a
scaling region that decreases rapidly as the temperature decreases. The results
of simulations and theoretical analysis suggest that the line of order-disorder
transitions intersects the condensation line at a multi-critical point at zero
temperature and density, for patchy particle models with a re-entrant, empty
fluid, regime.
PMID- 22070323
TI - Folding dynamics of Trp-cage in the presence of chemical interference and
macromolecular crowding. I.
AB - Proteins fold and function in the crowded environment of the cell's interior. In
the recent years it has been well established that the so-called "macromolecular
crowding" effect enhances the folding stability of proteins by destabilizing
their unfolded states for selected proteins. On the other hand, chemical and
thermal denaturation is often used in experiments as a tool to destabilize a
protein by populating the unfolded states when probing its folding landscape and
thermodynamic properties. However, little is known about the complicated effects
of these synergistic perturbations acting on the kinetic properties of proteins,
particularly when large structural fluctuations, such as protein folding, have
been involved. In this study, we have first investigated the folding mechanism of
Trp-cage dependent on urea concentration by coarse-grained molecular simulations
where the impact of urea is implemented into an energy function of the side chain
and/or backbone interactions derived from the all-atomistic molecular dynamics
simulations with urea through a Boltzmann inversion method. In urea solution, the
folding rates of a model miniprotein Trp-cage decrease and the folded state
slightly swells due to a lack of contact formation between side chains at the
terminal regions. In addition, the equilibrium m-values of Trp-cage from the
computer simulations are in agreement with experimental measurements. We have
further investigated the combined effects of urea denaturation and macromolecular
crowding on Trp-cage's folding mechanism where crowding agents are modeled as
hard-spheres. The enhancement of folding rates of Trp-cage is most pronounced by
macromolecular crowding effect when the extended conformations of Trp-cast
dominate at high urea concentration. Our study makes quantitatively testable
predictions on protein folding dynamics in a complex environment involving both
chemical denaturation and macromolecular crowding effects.
PMID- 22070324
TI - Comparison of chemical and thermal protein denaturation by combination of
computational and experimental approaches. II.
AB - Chemical and thermal denaturation methods have been widely used to investigate
folding processes of proteins in vitro. However, a molecular understanding of the
relationship between these two perturbation methods is lacking. Here, we combined
computational and experimental approaches to investigate denaturing effects on
three structurally different proteins. We derived a linear relationship between
thermal denaturation at temperature T(b) and chemical denaturation at another
temperature T(u) using the stability change of a protein (DeltaG). For this, we
related the dependence of DeltaG on temperature, in the Gibbs-Helmholtz equation,
to that of DeltaG on urea concentration in the linear extrapolation method,
assuming that there is a temperature pair from the urea (T(u)) and the aqueous
(T(b)) ensembles that produces the same protein structures. We tested this
relationship on apoazurin, cytochrome c, and apoflavodoxin using coarse-grained
molecular simulations. We found a linear correlation between the temperature for
a particular structural ensemble in the absence of urea, T(b), and the
temperature of the same structural ensemble at a specific urea concentration,
T(u). The in silico results agreed with in vitro far-UV circular dichroism data
on apoazurin and cytochrome c. We conclude that chemical and thermal unfolding
processes correlate in terms of thermodynamics and structural ensembles at most
conditions; however, deviations were found at high concentrations of denaturant.
PMID- 22070325
TI - Phase diagram of polypeptide chains.
AB - We use a coarse grained protein model that enables us to determine the
equilibrium phase diagram of natively folded alpha-helical and unfolded beta
sheet forming peptides. The phase diagram shows that there are only two
thermodynamically stable peptide phases, the peptide solution and the bulk
fibrillar phase. In addition, it reveals the existence of various metastable
peptide phases. The liquidlike oligomeric phases are metastable with respect to
the fibrillar phases, and there is a hierarchy of metastability. The presented
phase diagram provides a solid basis for understanding the assembly of
polypeptide chains into the phases formed in their natively folded and unfolded
conformations.
PMID- 22070326
TI - Design and manufacture of combinatorial calcium phosphate bone scaffolds.
AB - It is well known that pore design is an important determinant of both the
quantity and distribution of regenerated bone in artificial bone tissue
scaffolds. A requisite feature is that scaffolds must contain pore
interconnections on the order of 100-1000 MUm (termed macroporosity). Within this
range, there is not a definitive optimal interconnection size. Recent results
suggest that pore interconnections permeating the scaffold build material on the
order of 2-20 MUm (termed microporosity) drive bone growth into the macropore
space at a faster rate and also provide a new space for bone growth,
proliferating throughout the interconnected microporous network. The effects of
microstructural features on bone growth has yet to be fully understood. This work
presents the manufacture and characterization of novel combinatorial test
scaffolds, scaffolds that test multiple microporosity and macroporosity designs
within a single scaffold. Scaffolds such as this can efficiently evaluate
multiple mechanical designs, with the advantage of having the designs colocated
within a single defect site and therefore less susceptible to experimental
variation. This paper provides the manufacturing platform, manufacturing control
method, and demonstrates the manufacturing capabilities with three representative
scaffolds.
PMID- 22070327
TI - A fluid dynamics study in a 50 cc pulsatile ventricular assist device: influence
of heart rate variability.
AB - Although left ventricular assist devices (LVADs) have had success in supporting
severe heart failure patients, thrombus formation within these devices still
limits their long term use. Research has shown that thrombosis in the Penn State
pulsatile LVAD, on a polyurethane blood sac, is largely a function of the
underlying fluid mechanics and may be correlated to wall shear rates below 500 s(
1). Given the large range of heart rate and systolic durations employed, in vivo
it is useful to study the fluid mechanics of pulsatile LVADs under these
conditions. Particle image velocimetry (PIV) was used to capture planar flow in
the pump body of a Penn State 50 cubic centimeters (cc) LVAD for heart rates of
75-150 bpm and respective systolic durations of 38-50%. Shear rates were
calculated along the lower device wall with attention given to the uncertainty of
the shear rate measurement as a function of pixel magnification. Spatial and
temporal shear rate changes associated with data collection frequency were also
investigated. The accuracy of the shear rate calculation improved by
approximately 40% as the resolution increased from 35 to 12 MUm/pixel. In
addition, data collection in 10 ms, rather than 50 ms, intervals was found to be
preferable. Increasing heart rate and systolic duration showed little change in
wall shear rate patterns, with wall shear rate magnitude scaling by approximately
the kinematic viscosity divided by the square of the average inlet velocity,
which is essentially half the friction coefficient. Changes in in vivo operating
conditions strongly influence wall shear rates within our device, and likely play
a significant role in thrombus deposition. Refinement of PIV techniques at higher
magnifications can be useful in moving towards better prediction of thrombosis in
LVADs.
PMID- 22070328
TI - Cardiac assist with a twist: apical torsion as a means to improve failing heart
function.
AB - Changes in muscle fiber orientation across the wall of the left ventricle (LV)
cause the apex of the heart to turn 10-15 deg in opposition to its base during
systole and are believed to increase stroke volume and lower wall stress in
healthy hearts. Studies show that cardiac torsion is sensitive to various disease
states, which suggests that it may be an important aspect of cardiac function.
Modern imaging techniques have sparked renewed interest in cardiac torsion
dynamics, but no work has been done to determine whether mechanically augmented
apical torsion can be used to restore function to failing hearts. In this report,
we discuss the potential advantages of this approach and present evidence that
turning the cardiac apex by mechanical means can displace a clinically
significant volume of blood from failing hearts. Computational models of normal
and reduced-function LVs were created to predict the effects of applied apical
torsion on ventricular stroke work and wall stress. These same conditions were
reproduced in anesthetized pigs with drug-induced heart failure using a custom
apical torsion device programmed to rotate over various angles during cardiac
systole. Simulations of applied 90 deg torsion in a prolate spheroidal
computational model of a reduced-function pig heart produced significant
increases in stroke work (25%) and stroke volume with reduced fiber stress in the
epicardial region. These calculations were in substantial agreement with
corresponding in vivo measurements. Specifically, the computer model predicted
torsion-induced stroke volume increases from 13.1 to 14.4 mL (9.9%) while actual
stroke volume in a pig heart of similar size and degree of dysfunction increased
from 11.1 to 13.0 mL (17.1%). Likewise, peak LV pressures in the computer model
rose from 85 to 95 mm Hg (11.7%) with torsion while maximum ventricular pressures
in vivo increased in similar proportion, from 55 to 61 mm Hg (10.9%). These data
suggest that: (a) the computer model of apical torsion developed for this work is
a fair and accurate predictor of experimental outcomes, and (b) supra-physiologic
apical torsion may be a viable means to boost cardiac output while avoiding blood
contact that occurs with other assist methods.
PMID- 22070329
TI - MRI-based modeling for radiocarpal joint mechanics: validation criteria and
results for four specimen-specific models.
AB - The objective of this study was to validate the MRI-based joint contact modeling
methodology in the radiocarpal joints by comparison of model results with
invasive specimen-specific radiocarpal contact measurements from four cadaver
experiments. We used a single validation criterion for multiple outcome measures
to characterize the utility and overall validity of the modeling approach. For
each experiment, a Pressurex film and a Tekscan sensor were sequentially placed
into the radiocarpal joints during simulated grasp. Computer models were
constructed based on MRI visualization of the cadaver specimens without load.
Images were also acquired during the loaded configuration used with the direct
experimental measurements. Geometric surface models of the radius, scaphoid and
lunate (including cartilage) were constructed from the images acquired without
the load. The carpal bone motions from the unloaded state to the loaded state
were determined using a series of 3D image registrations. Cartilage thickness was
assumed uniform at 1.0 mm with an effective compressive modulus of 4 MPa.
Validation was based on experimental versus model contact area, contact force,
average contact pressure and peak contact pressure for the radioscaphoid and
radiolunate articulations. Contact area was also measured directly from images
acquired under load and compared to the experimental and model data.
Qualitatively, there was good correspondence between the MRI-based model data and
experimental data, with consistent relative size, shape and location of
radioscaphoid and radiolunate contact regions. Quantitative data from the model
generally compared well with the experimental data for all specimens. Contact
area from the MRI-based model was very similar to the contact area measured
directly from the images. For all outcome measures except average and peak
pressures, at least two specimen models met the validation criteria with respect
to experimental measurements for both articulations. Only the model for one
specimen met the validation criteria for average and peak pressure of both
articulations; however the experimental measures for peak pressure also exhibited
high variability. MRI-based modeling can reliably be used for evaluating the
contact area and contact force with similar confidence as in currently available
experimental techniques. Average contact pressure, and peak contact pressure were
more variable from all measurement techniques, and these measures from MRI-based
modeling should be used with some caution.
PMID- 22070331
TI - The effect of boundary condition on the biomechanics of a human pelvic joint
under an axial compressive load: a three-dimensional finite element model.
AB - The finite element (FE) model of the pelvic joint is helpful for clinical
diagnosis and treatment of pelvic injuries. However, the effect of an FE model
boundary condition on the biomechanical behavior of a pelvic joint has not been
well studied. The objective of this study was to study the effect of boundary
condition on the pelvic biomechanics predictions. A 3D FE model of a pelvis using
subject-specific estimates of intact bone structures, main ligaments and bone
material anisotropy by computed tomography (CT) gray value was developed and
validated by bone surface strains obtained from rosette strain gauges in an in
vitro pelvic experiment. Then three FE pelvic models were constructed to analyze
the effect of boundary condition, corresponding to an intact pelvic joint, a
pelvic joint without sacroiliac ligaments and a pelvic joint without proximal
femurs, respectively. Vertical load was applied to the same pelvis with a fixed
prosthetic femoral stem and the same load was simulated in the FE model. A strong
correlation coefficient (R(2)=0.9657) was calculated, which indicated a strong
correlation between the FE analysis and experimental results. The effect of
boundary condition changes on the biomechanical response depended on the
anatomical location and structure of the pelvic joint. It was found that
acetabulum fixed in all directions with the femur removed can increase the stress
distribution on the acetabular inner plate (approximately double the original
values) and decrease that on the superior of pubis (from 7 MPa to 0.6 MPa).
Taking sacrum and ilium as a whole, instead of sacroiliac and iliolumber
ligaments, can influence the stress distribution on ilium and pubis bone vastly.
These findings suggest pelvic biomechanics is very dependent on the boundary
condition in the FE model.
PMID- 22070330
TI - Multi-rigid image segmentation and registration for the analysis of joint motion
from three-dimensional magnetic resonance imaging.
AB - We report an image segmentation and registration method for studying joint
morphology and kinematics from in vivo magnetic resonance imaging (MRI) scans and
its application to the analysis of foot and ankle joint motion. Using an MRI
compatible positioning device, a foot was scanned in a single neutral and seven
other positions ranging from maximum plantar flexion, inversion, and internal
rotation to maximum dorsiflexion, eversion, and external rotation. A segmentation
method combining graph cuts and level set was developed. In the subsequent
registration step, a separate rigid body transformation for each bone was
obtained by registering the neutral position dataset to each of the other ones,
which produced an accurate description of the motion between them. The
segmentation algorithm allowed a user to interactively delineate 14 foot bones in
the neutral position volume in less than 30 min total (user and computer
processing unit [CPU]) time. Registration to the seven other positions took
approximately 10 additional minutes of user time and 5.25 h of CPU time. For
validation, our results were compared with those obtained from 3DViewnix, a
semiautomatic segmentation program. We achieved excellent agreement, with volume
overlap ratios greater than 88% for all bones excluding the intermediate
cuneiform and the lesser metatarsals. For the registration of the neutral scan to
the seven other positions, the average overlap ratio is 94.25%, while the minimum
overlap ratio is 89.49% for the tibia between the neutral position and position
1, which might be due to different fields of view (FOV). To process a single foot
in eight positions, our tool requires only minimal user interaction time (less
than 30 min total), a level of improvement that has the potential to make joint
motion analysis from MRI practical in research and clinical applications.
PMID- 22070332
TI - Calibration of hyperelastic material properties of the human lumbar
intervertebral disc under fast dynamic compressive loads.
AB - Under fast dynamic loading conditions (e.g. high-energy impact), the load rate
dependency of the intervertebral disc (IVD) material properties may play a
crucial role in the biomechanics of spinal trauma. However, most finite element
models (FEM) of dynamic spinal trauma uses material properties derived from quasi
static experiments, thus neglecting this load rate dependency. The aim of this
study was to identify hyperelastic material properties that ensure a more
biofidelic simulation of the IVD under a fast dynamic compressive load. A
hyperelastic material law based on a first-order Mooney-Rivlin formulation was
implemented in a detailed FEM of a L2-L3 functional spinal unit (FSU) to
represent the mechanical behavior of the IVD. Bony structures were modeled using
an elasto-plastic Johnson-Cook material law that simulates bone fracture while
ligaments were governed by a viscoelastic material law. To mimic experimental
studies performed in fast dynamic compression, a compressive loading velocity of
1 m/s was applied to the superior half of L2, while the inferior half of L3 was
fixed. An exploratory technique was used to simulate dynamic compression of the
FSU using 34 sets of hyperelastic material constants randomly selected using an
optimal Latin hypercube algorithm and a set of material constants derived from
quasi-static experiments. Selection or rejection of the sets of material
constants was based on compressive stiffness and failure parameters criteria
measured experimentally. The two simulations performed with calibrated
hyperelastic constants resulted in nonlinear load-displacement curves with
compressive stiffness (7335 and 7079 N/mm), load (12,488 and 12,473 N),
displacement (1.95 and 2.09 mm) and energy at failure (13.5 and 14.7 J) in
agreement with experimental results (6551 +/- 2017 N/mm, 12,411 +/- 829 N, 2.1 +/
0.2 mm and 13.0 +/- 1.5 J respectively). The fracture pattern and location also
agreed with experimental results. The simulation performed with constants derived
from quasi-static experiments showed a failure energy (13.2 J) and a fracture
pattern and location in agreement with experimental results, but a compressive
stiffness (1580 N/mm), a failure load (5976 N) and a displacement to failure (4.8
mm) outside the experimental corridors. The proposed method offers an innovative
way to calibrate the hyperelastic material properties of the IVD and to offer a
more realistic simulation of the FSU in fast dynamic compression.
PMID- 22070333
TI - A device to study the effects of stretch gradients on cell behavior.
AB - Mechanical forces are key regulators of cell function with varying loads capable
of modulating behaviors such as alignment, migration, phenotype modulation, and
others. Historically, cell-stretching experiments have employed mechanically
simple environments (e.g., uniform uniaxial or equibiaxial stretches). However,
stretch distributions in vivo can be highly non-uniform, particularly in cases of
disease or subsequent to interventional treatments. Herein, we present a cell
stretching device capable of subjecting cells to controllable gradients in
biaxial stretch via radial deformation of circular elastomeric membranes. By
including either a defect or a rigid fixation at the center of the membrane,
various gradients are generated. Capabilities of the device were quantified by
tracking marked positions of the membrane while applying various loads, and
experimental feasibility was assessed by conducting preliminary experiments with
3T3 fibroblasts and 10T1/2 cells subjected to 24 h of cyclic stretch.
Quantitative real-time PCR was used to measure changes in mRNA expression of a
profile of genes representing the major smooth muscle phenotypes. Genes
associated with the contractile state were both upregulated (e.g., calponin) and
downregulated (e.g., alpha-2-actin), and genes associated with the synthetic
state were likewise both upregulated (e.g., SKI-like oncogene) and downregulated
(e.g., collagen III). In addition, cells aligned with an orientation
perpendicular to the maximal stretch direction. We have developed an in vitro
cell culture device that can produce non-uniform stretch environments similar to
in vivo mechanics. Cells stretched with this device showed alignment and altered
mRNA expression indicative of phenotype modulation. Understanding these processes
as they relate to in vivo pathologies could enable a more accurately targeted
treatment to heal or inhibit disease, either through implantable device design or
pharmaceutical approaches.
PMID- 22070334
TI - Elasticity of human embryonic stem cells as determined by atomic force
microscopy.
AB - The expansive growth and differentiation potential of human embryonic stem cells
(hESCs) make them a promising source of cells for regenerative medicine. However,
this promise is off set by the propensity for spontaneous or uncontrolled
differentiation to result in heterogeneous cell populations. Cell elasticity has
recently been shown to characterize particular cell phenotypes, with
undifferentiated and differentiated cells sometimes showing significant
differences in their elasticities. In this study, we determined the Young's
modulus of hESCs by atomic force microscopy using a pyramidal tip. Using this
method we are able to take point measurements of elasticity at multiple locations
on a single cell, allowing local variations due to cell structure to be
identified. We found considerable differences in the elasticity of the analyzed
hESCs, reflected by a broad range of Young's modulus (0.05-10 kPa). This
surprisingly high variation suggests that elasticity could serve as the basis of
a simple and efficient large scale purification/separation technique to
discriminate subpopulations of hESCs.
PMID- 22070335
TI - The association of wall mechanics and morphology: a case study of abdominal
aortic aneurysm growth.
AB - The purpose of this study is to evaluate the potential correlation between peak
wall stress (PWS) and abdominal aortic aneurysm (AAA) morphology and how it
relates to aneurysm rupture potential. Using in-house segmentation and meshing
software, six 3-dimensional (3D) AAA models from a single patient followed for 28
months were generated for finite element analysis. For the AAA wall, both
isotropic and anisotropic materials were used, while an isotropic material was
used for the intraluminal thrombus (ILT). These models were also used to
calculate 36 geometric indices characteristic of the aneurysm morphology. Using
least squares regression, seven significant geometric features (p < 0.05) were
found to characterize the AAA morphology during the surveillance period. By means
of nonlinear regression, PWS estimated with the anisotropic material was found to
be highly correlated with three of these features: maximum diameter (r = 0.992, p
= 0.002), sac volume (r = 0.989, p = 0.003) and diameter to diameter ratio (r =
0.947, p = 0.033). The correlation of wall mechanics with geometry is nonlinear
and reveals that PWS does not increase concomitantly with aneurysm diameter. This
suggests that a quantitative characterization of AAA morphology may be
advantageous in assessing rupture risk.
PMID- 22070337
TI - A novel sensor concept for optimization of loosening diagnostics in total hip
replacement.
AB - The main reason for the revision of total hip replacements is aseptic loosening,
caused by stress shielding and wear particle induced osteolysis. In order to
detect an implant loosening early, the osseointegration of endoprosthetic
implants must be measured exactly. Currently applied diagnostic methods, such as
standard radiographs and clinical symptomatology, often result in an imprecise
diagnosis. A novel radiation-free method to improve the diagnostic investigation
of implant loosening is presented. The osseointegration of an implant can be
identified using mechanical magnetic sensors (oscillators), which impinge on
small membranes inside an implant component, e.g., the femoral hip stem. The
maximum velocity after impingement of the oscillator depends on the
osseointegration of the implant. Excitation of the oscillator is realized by a
coil outside the human body. Another external coil is used to detect the velocity
of the oscillator. To demonstrate the principle of the novel loosening sensor, an
overdimensioned test device was designed to measure simulated loosening phases in
the first experimental tests with different material layers. The overdimensioned
test device of the loosening sensor showed significant differences in the various
phases of fixation. Analysis of the membrane without any material layer in the
case of advanced loosening resulted in a 23% higher maximum velocity compared to
an attached artificial bone layer. Based on these preliminary results, the sensor
system shows potential for the detection of implant loosening. Moreover, the
proposed system could be used in experimental applications to determine the
quality of bioactive coatings and new implant materials.
PMID- 22070336
TI - Evaluating foot kinematics using magnetic resonance imaging: from maximum plantar
flexion, inversion, and internal rotation to maximum dorsiflexion, eversion, and
external rotation.
AB - The foot consists of many small bones with complicated joints that guide and
limit motion. A variety of invasive and noninvasive means [mechanical, X-ray
stereophotogrammetry, electromagnetic sensors, retro-reflective motion analysis,
computer tomography (CT), and magnetic resonance imaging (MRI)] have been used to
quantify foot bone motion. In the current study we used a foot plate with an
electromagnetic sensor to determine an individual subject's foot end range of
motion (ROM) from maximum plantar flexion, internal rotation, and inversion to
maximum plantar flexion, inversion, and internal rotation to maximum
dorsiflexion, eversion, and external rotation. We then used a custom built MRI
compatible device to hold each subject's foot during scanning in eight unique
positions determined from the end ROM data. The scan data were processed using
software that allowed the bones to be segmented with the foot in the neutral
position and the bones in the other seven positions to be registered to their
base positions with minimal user intervention. Bone to bone motion was quantified
using finite helical axes (FHA). FHA for the talocrural, talocalcaneal, and
talonavicular joints compared well to published studies, which used a variety of
technologies and input motions. This study describes a method for quantifying
foot bone motion from maximum plantar flexion, inversion, and internal rotation
to maximum dorsiflexion, eversion, and external rotation with relatively little
user processing time.
PMID- 22070338
TI - Theory of the short time mechanical relaxation in articular cartilage.
AB - Articular cartilage is comprised of macromolecules, proteoglycans, with (charged)
chondroitin sulfate side-chains attached to them. The proteoglycans are attached
to longer hyaluronic acid chains, trapped within a network of type II collagen
fibrils. As a consequence of their relatively long persistence lengths, the
number of persistence lengths along the chondroitin sulfate and proteoglycan
chains is relatively small, and consequently, the retraction times for these side
chains are also quite short. We argue that, as a consequence of this, they will
not significantly inhibit the reptation of the hyaluronic acid chains. Scaling
arguments applied to this model allow us to show that the shortest of the
mechanical relaxation times of cartilage, that have been determined by Fyhrie and
Barone to be due to reptation of the hyaluronic acid polymers, should have a
dependence on the load, i.e., force per unit interface area P, carried by the
cartilage, proportional to P(3/2).
PMID- 22070340
TI - Qualitative and quantitative analysis of crystallographic defects present in 2D
colloidal sphere arrays.
AB - In this work, we present a study of the typical spontaneous defects present in
self-assembled colloidal monolayers grown from polystyrene and silica
microspheres. The quality of two-dimensional crystals from different colloidal
suspensions of beads around 1 MUm in diameter has been studied qualitatively and
quantitatively, evaluated in 2D hexagonal arrays at different scales through
Fourier analysis of SEM images and optical characterization. The crystallographic
defects are identified to better understand their origin and their effects on the
crystal quality, as well as to find the best conditions colloidal suspensions
must fulfill to achieve optimal quality samples.
PMID- 22070341
TI - Voriconazole serum levels measured by high-performance liquid chromatography: a
monocentric study in treated patients.
AB - In this study we present the results of a therapeutic drug monitoring
retrospective analysis involving 14 patients with several underlying diseases who
were receiving voriconazole for the treatment of fungal infections. A simple high
performance liquid chromatography assay with ultraviolet detection was used in
the drug monitoring. We report here that serum concentrations were highly
variable and unpredictable in most patients. We also found that lack of response
was more frequent in patients with levels persistently lower than 1 mg/l. The
number of samples with voriconazole concentrations below 1 mg/l was significantly
higher in patients who exhibited therapeutic failures (88% versus 27%; P <
0.001). In addition, the period of time in which voriconazole concentrations were
maintained below 1 mg/l was slightly higher in patients in the failure group. We
suggest that serum concentration should be individually quantified for patients
receiving voriconazole therapy. Further prospective studies are needed to clarify
the potential benefit of the individualization of treatment.
PMID- 22070342
TI - Gestational diabetes and pre-pregnancy overweight: possible factors involved in
newborn macrosomia.
AB - AIM: Good glycemic control in gestational diabetes mellitus (GDM) seems not to be
enough to prevent macrosomia (large-for-gestational-age newborns). In GDM
pregnancies we studied the effects of glycemic control (as glycosylated
hemoglobin [HbA1c]), pre-pregnancy body mass index (PP-BMI) and gestational
weight gain per week (GWG-W) on the frequency of macrosomia. METHODS: We
studied 251 GDM pregnancies, divided into two groups: PP-BMI<25.0kg/m(2) (the non
overweight group; n=125), and PP-BMI>=25.0kg/m(2) (the overweight group; n=126).
A newborn weight Z-score>1.28 was considered large-for-gestational-age.
Statistical analysis was carried out using the Student's t-test and chi(2) -test,
receiver-operator characteristic curves and linear and binary logistic
regressions. RESULTS: Prevalence of macrosomia was 14.9% among GDM (n=202/251,
88.4%) with good glycemic control (mean HbA1c<6.0%), and 28.1% in those with mean
HbA1c>=6.0% (n=49/251, P<0.025). Macrosomia rates were 10.4% in the non
overweight group and 24.6% in the overweight group (P=0.00308), notwithstanding
both having similar mean HbA1c (5.48+/-0.065 and 5.65+/-0.079%, P=0.269), and
similar GWG-W (0.292+/-0.017 and 0.240+/-0.021kg/week, P=0.077). Binary logistic
regressions showed that PP-BMI (P=0.012) and mean HbA1c (P=0.048), but not GWG-W
(P=0.477), explained macrosomia. CONCLUSIONS: Good glycemic control in GDM
patients was not enough to reduce macrosomia to acceptable limits (<10% of
newborns). PP-BMI and mean HbA1c (but not GWG-W) were significant predictors of
macrosomia. Thus, without ceasing in our efforts to improve glycemic control
during GDM pregnancies, patients with overweight/obesity need to be treated prior
to becoming pregnant.
PMID- 22070344
TI - Functional detection of proteins by caged aptamers.
AB - While many diagnostic assay platforms enable the measurement of analytes with
high sensitivity, most of them result in a disruption of the analyte's native
structure and, thus, in loss of function. Consequently, the analyte can be used
neither for further analytical assessment nor functional analysis. Herein we
report the use of caged aptamers as templates during apta-PCR analysis of
targets. Aptamers are short nucleic acids that fold into a well-defined three
dimensional structure in which they interact with target molecules with high
affinity and specificity. Nucleic acid aptamers can also serve as templates for
qPCR approaches and, thus, have been used as high affinity ligands to bind to
target molecules and subsequently for quantification by qPCR, an assay format
coined apta-PCR. Caged aptamers in turn refer to variants that bear one or more
photolabile groups at strategic positions. The activity of caged aptamers can
thus be turned on or off by light irradiation. The latter allows the mild elution
of target-bound aptamers while the target's native structure and function remain
intact. We demonstrate that this approach allows the quantitative and
subsequently the functional assessment of analytes. Since caged aptamers can be
generated emanating from virtually every available aptamer, the described
approach can be generalized and adopted to any target-aptamer pair and, thus,
have a broad applicability in proteomics and clinical diagnostics.
PMID- 22070345
TI - Predictors of the depressive symptomatology of the family caregivers of Chinese
stroke patients in Hong Kong.
AB - The aim of this cross-sectional study was to determine the socio-demographic and
clinical factors associated with depressive symptoms in the family caregivers of
Hong Kong Chinese stroke patients. One hundred and twenty-three patients at a
stroke clinic and their family caregivers formed the study sample. The depressive
symptoms of both the patients and their family caregivers were rated with the
Chinese version of the 15-item Geriatric Depression Scale (GDS). Participants'
socio-demographic data and clinical characteristics served as the independent
variables in relation to the caregivers' GDS scores. Patients' and caregivers'
somatic and psychological conditions were measured with 10 scales. In univariate
analysis, caregivers' GDS scores were significantly correlated with certain of
their characteristics [Modified Life Event Scale (MLES), Cumulative Illness
Rating Scale (CIRS) and Lubben Social Network Scale (LSNS) scores, sex and being
a housewife] and those of the patients (GDS score and being a housewife).
Multiple regression analysis showed caregivers' MLES and CIRS scores and
patients' GDS scores to be independent correlates of caregivers' GDS scores.
Adverse events encountered by caregivers in the past 6 months, their current
health problems and patients' depressive symptoms were found to be the principal
factors associated with caregivers' depressive symptoms.
PMID- 22070346
TI - Drinking to our health: can beverage companies cut calories while maintaining
profits?
AB - Carbonated soft drinks and other beverages make up an increasing percentage of
energy intake, and there are rising public health concerns about the links
between consumption of sugar-sweetened beverages and weight gain, obesity, and
other cardiometabolic problems. In response, the food and beverage industry
claims to be reformulating products, reducing package or portion sizes and
introducing healthier options. Comparative analysis on various changes and their
potential effects on public health are needed. We conduct a case study using the
two largest and most influential producers of sweetened beverages, The Coca-Cola
Company and PepsiCo Inc., who together control 34% of the global soft drink
market, examining their product portfolios globally and in three critical markets
(the United States, Brazil and China) from 2000 to 2010. On a global basis, total
revenues and energy per capita sold increased, yet the average energy density (kJ
100 mL(-1) ) sold declined slightly, suggesting a shift to lower-calorie
products. In the United States, both total energy per capita and average energy
density of beverages sold decreased, while the opposite was true in the
developing markets of Brazil and China, with total per capita energy increasing
greatly in China and, to a lesser extent, in Brazil.
PMID- 22070347
TI - Irish psychiatric nurses' self-reported sources of knowledge for practice.
AB - Evidence-based practice (EBP) is an approach to health care in which health
professionals use the best evidence available to guide their clinical decisions
and practice. Evidence is drawn from a range of sources, including published
research, educational content and practical experience. This paper reports the
findings of a study that investigated the sources of knowledge or evidence for
practice used by psychiatric nurses in Ireland. The paper is part of a larger
study, which also investigated barriers, facilitators and level of skills in
achieving EBP among Irish psychiatric nurses. Data were collected in a postal
survey of a random sample of Irish psychiatric nurses using the Development of
Evidence-Based Practice Questionnaire. The findings revealed that the majority of
survey respondents based their practice on information which was derived from
interactions with patients, from their personal experience and from information
shared by colleagues and members of the multidisciplinary team, in preference to
published sources of empirically derived evidence. These findings are consistent
with those of the previous similar studies among general nurses and suggest that
Irish psychiatric nurses face similar challenges to their general nursing
counterparts in attaining of EBP.
PMID- 22070348
TI - Apocynin attenuates oxidative stress and hypertension in young spontaneously
hypertensive rats independent of ADMA/NO pathway.
AB - Both NADPH oxidase-derived reactive oxygen species (ROS) and asymmetric
dimethylarginine (ADMA) are increased in hypertension. Apocynin, an NADPH oxidase
inhibitor, could inhibit ROS, thus we tested whether apocynin can block NADPH
oxidase and prevent increases of ADMA and blood pressure (BP) in spontaneously
hypertensive rats (SHRs). SHRs and Wistar Kyoto (WKY) rats, aged 4 weeks, were
assigned to four groups: untreated SHRs and WKY rats, SHRs and WKY rats that
received 2.5 mM apocynin for 8 weeks. BP was significantly higher in SHRs
compared to WKY rats, which was attenuated by apocynin. Apocynin prevented
p47phox translocation in SHR kidneys, but not the increase of superoxide and
H(2)O(2). Additionally, apocynin did not protect SHRs against increased ADMA.
Apocynin blocks NADPH oxidase to attenuate hypertension, but has little effect on
the ADMA/nitric oxide (NO) pathway in young SHRs. The reduction of ROS and the
preservation of NO simultaneously might be a better approach to restoring ROS-NO
balance to prevent hypertension.
PMID- 22070349
TI - Trueness in the measurement of haemoglobin: consensus or reference method?
AB - BACKGROUND: For the measurement of haemoglobin a reference method exists: the
haemiglobincyanide method. However, a Dutch external quality assessment
organization does not use this method in the evaluation of trueness of results.
The aim of this work was to assess whether trueness was compromised by the use of
a consensus value. METHODS: Five Cell Dyn Sapphires (Abbott) in three independent
locations were used to measure haemoglobin concentration. Results were compared
to the reference method (haemiglobincyanide). Patient samples with a distribution
over clinically relevant concentrations (Hb 2.5-10.2 mmol/L) were used next to
samples from external quality assessment rounds. Passing and Bablok regression
analysis and Bland-Altman plots were used to evaluate any systematic deviation.
RESULTS: Results measured on the Cell Dyn Sapphires deviated significantly from
the results obtained with the reference method. Remarkably, consensus results
from external quality control samples also deviated significantly from the
reference method. CONCLUSIONS: A significant negative bias exists in the
measurement of haemoglobin on Cell Dyn Sapphires. Additionally, the consensus
value as reported in external quality control assessment also shows an even
greater significant negative bias compared to the reference method. As a
reference method is available, external quality assessment would benefit from
using this method instead of a consensus value to evaluate trueness.
PMID- 22070350
TI - Speed of sample transportation by a pneumatic tube system can influence the
degree of hemolysis.
AB - BACKGROUND: The objective of the study was to find the incidence of hemolysis in
samples transported through a pneumatic tube system (PTS) at different speeds.
METHODS: This prospective observational study was done in three phases: "short
distance and high speed (115 m at 3 m/s)", "long distance and high speed (225 m
at 3 m/s)" and "short distance and slow speed (115 at 2 m/s)". Fifty-two, 215 and
45 serum tube pairs, respectively, were evaluated in these three phases. A set of
tubes was sent by PTS while the other was hand-carried. Samples were analyzed for
supernatant hemoglobin (Hb), potassium (K+) and lactate dehydrogenase (LD).
RESULTS: Mean transit time of samples through the PTS was much shorter as
compared to human courier in all three phases. LD was elevated in PTS arm in the
"short distance and high speed" phase and in the "long distance and high speed"
phase, all three indices of hemolysis - Hb, K+ and LD - showed elevation in the
PTS arm. However, at "short distance and slow speed" phase, there was no
hemolysis in the PTS arm. CONCLUSIONS: Hospitals should validate their PTS before
use and, by altering speed of sample transportation, hemolysis may be
obliterated.
PMID- 22070351
TI - False-positive calcitonin results in patients with benign goiter.
PMID- 22070353
TI - Developmental plasticity, morphological variation and evolvability: a multilevel
analysis of morphometric integration in the shape of compound leaves.
AB - The structure of compound leaves provides flexibility for morphological change by
variation in the shapes, sizes and arrangement of leaflets. Here, we conduct a
multilevel analysis of shape variation in compound leaves to explore the
developmental plasticity and evolutionary potential that are the basis of
diversification in leaf shape. We use the methods of geometric morphometrics to
study the shapes of individual leaflets and whole leaves in 20 taxa of Potentilla
(sensu lato). A newly developed test based on the bootstrap approach suggests
that uncertainty in the molecular phylogeny precludes firm conclusions whether
there is a phylogenetic signal in the data on leaf shape. For variation among
taxa, variation within taxa, as well as fluctuating asymmetry, there is evidence
of strong morphological integration. The patterns of variation are similar across
all three levels, suggesting that integration within taxa may act as a constraint
on evolutionary change.
PMID- 22070352
TI - Continuous apple consumption induces oral tolerance in birch-pollen-associated
apple allergy.
AB - BACKGROUND: Patients with birch pollen allergy (major allergen: Bet v 1) have
often an associated oral allergy syndrome (OAS) to apple, which contains the
cross-reactive allergen Mal d 1. As successful birch pollen immunotherapy does
not consistently improve apple related OAS symptoms, we evaluated whether regular
apple consumption has an effect on OAS and immune parameters of Mal d 1 or Bet v
1 allergy. METHODS: A total of 40 patients with a clear history of birch pollen
rhinoconjunctivitis and associated OAS to apple were included in an open,
randomized, controlled clinical trial: 27 patients consumed daily defined amount
of apple (1-128 g), doubling the amount every two to three weeks, while 13
patients remained untreated. Primary endpoint was the proportion of patients that
achieved tolerance to at least 128 g of apple at the end of the study after 8
months. Exploratory endpoints were questionnaire about cross-reactive food and
pollen allergy symptoms, conjunctival provocation test with birch pollen and Bet
v 1, and in vitro tests (tIgE, sIgE, and IgG4 to Mal d 1 and Bet v 1; basophil
activation test with both allergens). RESULTS: Seventeen of 27 patients in active
group and none of 13 patients in control group (P = 0.0001) could tolerate a
whole apple after the intervention. However, differences in endpoints reflecting
systemic immune reactivity did not reach statistical significance. CONCLUSION: In
patients with OAS to apple, tolerance can be safely induced with slowly,
gradually increasing consumption of apple. However, the observation of a relapse
after discounting of apple consumption and absence of immunologic changes suggest
that induced tolerance is only transient.
PMID- 22070354
TI - Overcoming sequelae of childhood sexual abuse with stress management.
AB - The immense stress associated with experiencing and surviving childhood sexual
abuse directly influences coping, immune function and overall health. Lifelong
overuse of maladaptive coping strategies results in impaired adjustment to
stress. The purpose of this research was to re-examine if stress management
education would be effective in improving coping skills for this population. Two
4-week series of stress management workshops were completed by 32 adult survivors
who completed the ways of coping questionnaire before and after the training.
Four categories of coping showed significant change. Stress management education
is an effective and cost-efficient approach that gives adult survivors an
empowering set of tools for their healing journey.
PMID- 22070355
TI - Views of patients and general dental practitioners on the organizational aspects
of a general dental practice.
AB - OBJECTIVE: To examine the views of patients and general dental practitioners
(GDPs) on the organizational aspects of a general dental practice and to see
whether their views differ. BACKGROUND: Health care has increasingly centred on
the patient over the last two decades, and the patients' opinions have been taken
more seriously. Although in other health-care sectors research on organizational
aspects has been performed, research in dental care is lacking on this subject.
DESIGN: We developed two questionnaires covering 41 organizational aspects of a
general dental practice: one for GDPs and one for dental patients. The
questionnaires were handed out in dental practices to 5000 patients and sent to
500 GDPs. RESULTS: We describe the results of the organizational aspects
mentioned most by 25% of the dental patients. For most aspects, the views of the
patients and GDPs differed significantly. However, both respondent groups
mentioned the same category the most. CONCLUSIONS: The results of this study
could be used on a policy level for the development of guidelines and on a
practice level for individual GDPs to adjust practice management to the
preferences of patients.
PMID- 22070356
TI - Cytochrome P450-catalysed reactive oxygen species production mediates the (
)schisandrin B-induced glutathione and heat shock responses in AML12 hepatocytes.
AB - Sch B (schisandrin B), the most abundant dibenzocyclooctadiene lignan in Fructus
schisandrae, can induce glutathione antioxidant and heat shock responses, as well
as protect against oxidant-induced injury in various tissues, including the liver
in rodents and AML12 (alpha mouse liver 12) hepatocytes. (-)Sch B is the most
potent stereoisomer of Sch B in its cytoprotective action on AML12 hepatocytes.
To define the role of ROS (reactive oxygen species) arising from CYP (cytochrome
P450)-catalysed metabolism of (-)Sch B in triggering glutathione antioxidant and
heat shock responses, the effects of a CYP inhibitor [ABT (aminobenzotriazole)]
and antioxidants [DMTU (dimethylthiouracil) and TRX (trolox)] on (-)Sch B-induced
ROS production and associated increases in cellular GSH level, as well as
Hsp25/70 (heat-shock protein 25/70) production, were investigated in AML12
hepatocytes. The results indicated that (-)Sch B causes a dose dependent and
sustained increase in ROS production over 6 h in AML12 hepatocytes, which was
completely suppressed by pre-/co-treatment with ABT or DTMU/TRX. Incubation with
(-)Sch B for 6 h caused optimal and dose-dependent increases in cellular GSH
level and Hsp25/70 production at 16 h post-drug exposure in AML12 hepatocytes.
These cellular responses were associated with protection against menadione
induced apoptosis. Pre-/co-treatment with ABT or antioxidants completely
abrogated the (-)Sch B-induced glutathione antioxidant and heat shock responses,
as well as protection against menadione-induced apoptosis. Experimental evidence
obtained thus far supports the causal role of ROS arising from the CYP-catalysed
metabolism of (-)Sch B in eliciting glutathione antioxidant and heat shock
responses in AML12 hepatocytes.
PMID- 22070357
TI - Effect of acute posttrauma propranolol on PTSD outcome and physiological
responses during script-driven imagery.
AB - INTRODUCTION: Animal and human research suggests that the development of
posttraumatic stress disorder (PTSD) may involve the overconsolidation of
memories of a traumatic experience. Previous studies have attempted to use
pharmaceutical agents, especially the beta-adrenergic blocker propranolol, to
reduce this overconsolidation. AIMS: In this randomized, placebo-controlled study
of the efficacy of propranolol in reducing the development of PTSD, we optimized
dosages and conducted both psychophysiological and clinical assessments 1 and 3
months after the traumatic event. Forty-one emergency department patients who had
experienced a qualifying acute psychological trauma were randomized to receive up
to 240 mg/day of propranolol or placebo for 19 days. At 4 and 12 weeks post
trauma, PTSD symptoms were assessed. One week later, participants engaged in
script-driven imagery of their traumatic event while psychophysiological
responses were measured. RESULTS: Physiological reactivity during script-driven
traumatic imagery, severity of PTSD symptoms, and the rate of the PTSD diagnostic
outcome were not significantly different between the two groups. However, post
hoc subgroup analyses showed that in participants with high drug adherence, at
the 5-week posttrauma assessment, physiological reactivity was significantly
lower during script-driven imagery in the propranolol than in the placebo
subjects. CONCLUSIONS: The physiological results provide some limited support for
a model of PTSD in which a traumatic conditioned response is reduced by
posttrauma propranolol. However, the clinical results from this study do not
support the preventive use of propranolol in the acute aftermath of a traumatic
event.
PMID- 22070358
TI - Medical problems and oral care of patients with Down syndrome: a literature
review.
AB - When planning the dental treatment of patients with Down syndrome (DS), dental
practitioners should always consider their general health, in order to achieve a
holistic and interdisciplinary approach. This article presents a literature
review of the primary medical conditions in patients with DS that may affect
their general health care and the appropriate clinical delivery of oral health
care.
PMID- 22070359
TI - The involvement of Iowa dentists in hospice care.
AB - The relationships between dentist characteristics and professional education with
involvement of Iowa dentists in hospice care were investigated. Using the 1999
Iowa Health Professional database, a survey requesting information regarding
involvement in and training for care of hospice patients was mailed to all
licensed dentists (N = 1,210). Two mailings yielded a 54.6% response rate. Of the
638 dentist respondents, the mean age was 47, 86% were male, 88% general
dentists, and 295 (46%) reported providing some treatment for hospice patients.
At least one dentist reported providing hospice patient care in 72 of Iowa's 99
counties. About 90% of dentists treating hospice patients were general
practitioners. Males were more likely to provide treatment (p < 0.0313). Neither
dentist age nor years in practice were significant predictors. Dentists were more
likely to treat hospice patients in the office (IO, 40%). The most prevalent
treatments were denture relines (31% IO; 71% OO), examinations (16% IO; 68% OO),
and emergency treatment (12.5% IO; 53% OO). More than 86% of surveyed dentists
indicated that their professional education did not adequately train them to meet
the clinical, psychosocial, communication, or spiritual needs of hospice
patients.
PMID- 22070360
TI - The incidence of dental caries in children and adolescents who have cerebral
palsy and are participating in a dental program in Brazil.
AB - The aim of this study was to describe the incidence of caries among children and
adolescents who have cerebral palsy. The authors conducted baseline and follow-up
dental examinations of 118 children and adolescents with cerebral palsy in a
specialized healthcare unit in Sao Paulo, Brazil. Family care-givers completed a
questionnaire that provided socioeconomic and behavioral information. The
analysis used multivari-ate Poisson regression models adjusted for age. More than
half (52.5%) of the subjects had at least one permanent or deciduous tooth
affected by new caries during the longitudinal assessment (2004-2008). The
incidence of caries was associated with the baseline prevalence of caries
(incidence ratio = 1.92), a higher frequency of sugar intake (IR = 1.56), and
having at least one sibling (IR = 1.64). Participants whose family care-givers
had more education had a significantly lower incidence (IR = 0.68). The factors
associated with a higher incidence of caries are similar to those in the general
population. This evidence can potentially be used to develop an effective oral
health promotion program for these patients.
PMID- 22070361
TI - Living with facial disfigurement--strategies for individuals and care management.
AB - Individuals and families affected by craniofacial disorders have expressed
dissatisfaction with their experiences in the healthcare system, with day care,
and in school situations. To capture their views, focus group encounters were
done in a group of young individuals with these disorders and in a group of
parents whose children were affected. The aim was to synthesize their attitudes
and experiences into improved strategies for parents, teenagers, and
professionals in the healthcare system. Their views were compiled into a document
that emphasizes the responsibilities of persons with craniofacial disorders and
their parents to actively seek information on diagnosis and treatment options and
to participate in decisions on therapy. The conclusion was that it is not lack of
specific knowledge but rather a lack of implementation of existing
recommendations that makes living with facial disfigurement difficult for many
individuals and their families.
PMID- 22070362
TI - Improvement of mouth opening for a patient with fibrodysplasia ossificans
progressiva: a case report.
AB - The aim of this article is to describe the care of a patient with fibrodysplasia
ossificans progressiva (FOP) and to provide dentists with a guide for how to
safely care for patients with FOP. Treatment improved the patient's limited mouth
opening. FOP is a rare autosomal dominant disorder characterized by congenital
malformation of the fingers and toes by heterotopic ossification progressiva of
the connective tissue. This ossification causes a limitation in osteoradicular
mobility, mainly affecting the spine, shoulders, hips, and peripheral joints. The
disease can manifest from pregnancy until adulthood, with no greater prevalence
associated with race or gender. Although rare, the disease can be easily
identified by its clinical features, and diagnosis can be confirmed by a
radiographic examination. There is no known effective treatment for this disease.
All therapeutic treatment must be conservative to avoid any condition that may
cause heterotopic ossification. Guidelines to prevent new ossifications are
important for patients with FOP. Dental professionals should be cautious in
planning treatment, avoiding anesthesia, especially in the mandible, to prevent
ankylosis of the temporo-mandibular joints. The prevention of dental caries is
essential to avoid the need for more invasive treatment.
PMID- 22070363
TI - Dentistry for Peruvian residents with special needs: a commentary.
PMID- 22070365
TI - Carry-over effects of the membrane interface probe.
AB - The membrane interface probe (MIP) is widely used to characterize the subsurface
distribution of volatile organic compounds (VOCs). One problem that arises during
MIP application is that disproportionately high MIP signals are obtained after
passing source zones which contain mobile or residual phases. This serious
problem occurs because of a carry-over effect, in particular caused by compound
specific retention times in the conventional unheated transfer line, commonly
used during such an investigation. The objective of this study was to perform a
qualitative methodical field evaluation of the carry-over effect of a
conventional MIP system with a conventional unheated transfer line. This was
achieved by coupling a mobile mass spectrometer to the MIP device. Results
obtained were then further compared with those achieved using a laser induced
fluorescence (LIF) system. Because of this coupling, time- and depth-dependent
signals for different substances became known. Field evaluation data obtained
showed complex superpositions of compounds with MIP system results. As a result
of this superposition, MIP signals from the saturated zone beneath the source
zone (zone with free and/or residual phase) are blurred and are therefore not
representative of particular depths. However, utilizing multidirectional probing
alongside conventional MIP probing (forwards and backwards), it was possible to
detect the upper and lower phase boundary of the source zone. These MIP results
correlated excellently with the LIF results. An important conclusion that can be
drawn from the field investigation is that coupling a mobile mass spectrometer to
the MIP system enables advanced MIP signal interpretation to be successfully
achieved.
PMID- 22070368
TI - 3,5-Bis(ethynyl)pyridine and 2,6-bis(ethynyl)pyridine spanning two Fe(Cp*)(dppe)
units: role of the nitrogen atom on the electronic and magnetic couplings.
AB - The role of the nitrogen atom on the electronic and magnetic couplings of the
mono-oxidized and bi-oxidized pyridine-containing complex models [2,6-{Cp(dpe)Fe
C=C-}(2)(NC(5)H(3))](n+) and [3,5-{Cp(dpe)Fe-C=C-}(2)(NC(5)H(3))](n+) is
theoretically tackled with the aid of density-functional theory (DFT) and
multireference configuration interaction (MR-CI) calculations. Results are
analyzed and compared to those obtained for the reference complex [1,3
{Cp*(dppe)Fe-C=C-)}(2)(C(6)H(4))](n+). The mono-oxidized species show an
interesting behavior at the borderline between spin localization and
delocalization and one through-bond communication path among the two involving
the central ring, is favored. Investigation of the spin state of the dicationic
complexes indicates ferromagnetic coupling, which can differ in magnitude from
one complex to the other. Very importantly, electronic and magnetic properties of
these species strongly depend not only upon the location of the nitrogen atom in
the ring versus that of the organometallic end-groups but also upon the
architectural arrangement of one terminus, with respect to the other and/or vis-a
vis the central ring. To help validate the theoretical results, the related
families of compounds [1,3-{Cp*(dppe)Fe-C=C-)}(2)(C(6)H(4))](n+), [2,6
{Cp*(dppe)Fe-C=C-}(2)(NC(5)H(3))](n+), [3,5-{Cp*(dppe)Fe-C=C-}(2)(NC(5)H(3))](n+)
(n = 0-2) were experimentally synthesized and characterized. Electrochemical,
spectroscopic (infrared (IR), Mossbauer), electronic (near-infrared (NIR)), and
magnetic properties (electron paramagnetic resonance (EPR), superconducting
quantum interference device (SQUID)) are discussed and interpreted in the light
of the theoretical data. The set of data obtained allows for many strong
conclusions to be drawn. A N atom in the long branch increases the ferromagnetic
interaction between the two Fe(III) spin carriers (J > 500 cm(-1)), whereas, when
placed in the short branch, it dramatically reduces the magnetic exchange in the
di-oxidized species (J = 2.14(5) cm(-1)). In the mixed-valence compounds, when
the N atom is positioned on the long branch, the intermediate excited state is
higher in energy than the different ground-state conformers and the relaxation
process provides exclusively the Fe(II)/Fe(III) localized system (H(ab) ? 0).
Positioning the N atom on the short branch modifies the energy profile and the
diabatic mediating state lies just above the reactant and product diabatic
states. Consequently, the LMCT transition becomes less energetic than the MMCT
transition. Here, the direct coupling does not occur (H(ab) = 0) and only the
coupling through the bridge (c) and the reactant (a) and product (b) diabatic
states is operating (H(ac) = H(bc) ? 0).
PMID- 22070366
TI - Novel migrating mouse neural crest cell assay system utilizing P0-Cre/EGFP
fluorescent time-lapse imaging.
AB - BACKGROUND: Neural crest cells (NCCs) are embryonic, multipotent stem cells.
Their long-range and precision-guided migration is one of their most striking
characteristics. We previously reported that P0-Cre/CAG-CAT-lacZ double
transgenic mice showed significant lacZ expression in tissues derived from NCCs.
RESULTS: In this study, by embedding a P0-Cre/CAG-CAT-EGFP embryo at E9.5 in
collagen gel inside a culture glass slide, we were able to keep the embryo
developing ex vivo for more than 24 hours; this development was with enough NCC
fluorescent signal intensity to enable single-cell resolution analysis, with the
accompanying NCC migration potential intact and with the appropriate NCC response
to the extracellular signal maintained. By implantation of beads with absorbed
platelet-derived growth factor-AA (PDGF-AA), we demonstrated that PDGF-AA acts as
an NCC-attractant in embryos.We also performed assays with NCCs isolated from P0
Cre/CAG-CAT-EGFP embryos on culture plates. The neuromediator 5-hydroxytryptamine
(5-HT) has been known to regulate NCC migration. We newly demonstrated that
dopamine, in addition to 5-HT, stimulated NCC migration in vitro. Two NCC
populations, with different axial levels of origins, showed unique distribution
patterns regarding migration velocity and different dose-response patterns to
both 5-HT and dopamine. CONCLUSIONS: Although avian species predominated over the
other species in the NCC study, our novel system should enable us to use mice to
assay many different aspects of NCCs in embryos or on culture plates, such as
migration, division, differentiation, and apoptosis.
PMID- 22070369
TI - Effects of ethinyl estradiol and desogestrel on clinical and metabolic parameters
in Indian patients with polycystic ovary syndrome.
AB - AIM: The aim of this study was to examine the therapeutic effects of an ethinyl
estradiol (EE) and desogestrel (DSG) combination pill in polycystic ovary
syndrome (PCOS). METHODS: A total of 42 women with PCOS were treated with an EE
30 mcg and DSG 150 mcg (EE/DSG) combination pill for 12 cycles. The following
parameters were studied at 0, 6, and 12months: body mass index, abdominal
circumference, Ferriman-Gallwey score, presence of acne and acanthosis nigricans,
serum testosterone and sex-hormone-binding globulin levels, fasting glucose and
fasting insulin levels. Free androgen index and glucose:insulin ratio were
calculated. RESULTS: There were significant improvements in Ferriman-Gallwey
score, incidence of acne, serum testosterone and sex-hormone-binding globulin
levels and free androgen index values at the 6-month follow up. But there were no
further beneficial changes in the above parameters at the 12-month follow up.
There were no significant changes in body mass index, abdominal circumference,
incidence of acanthosis nigricans, fasting glucose and insulin levels and
glucose:insulin ratio during treatment. CONCLUSION: Significant improvements in
hyperandrogenic parameters were seen only in the first 6months of treatment with
EE/DSG in PCOS. Further continuation with this pill did not produce any
significant improvement. There were no adverse effects on insulin sensitivity.
PMID- 22070370
TI - A series of 19'-hexanoyloxyfucoxanthin derivatives from the sea mussel, Mytilus
galloprovincialis, grown in the Black Sea, Ukraine.
AB - A series of carotenoids with 19- or 19'-hexanoyloxy moieties, 19'
hexanoyloxyfucoxanthin (1), 19'-hexanoyloxyfucoxanthinol (2), 19'
hexanoyloxyhalocynthiaxanthin (3), 19-hexanoyloxycrassostreaxanthin A (4), 19
hexanoyloxymytiloxanthin (5), and 19-hexanoyloxyallenicmytiloxanthin (6) were
isolated from the edible part of the sea mussel, Mytilus galloprovincialis, grown
in the Black Sea, Ukraine. Among them, 3, 4, and 6 were new compounds. These
structures were charcterized by UV-vis, fast atom bombardment mass spectrometry,
circular dichroism, and (1)H NMR spectroscopic data. They were assumed to be
metabolites of 19'-hexanoyloxyfucoxanthin (1).
PMID- 22070371
TI - Homogeneous detection of avidin based on switchable lanthanide luminescence.
AB - We have developed switchable lanthanide luminescence-based binary probe
technology for homogeneous detection of avidin, which is a tetrameric protein.
Two different nonluminescent label moieties--a light-absorbing antenna ligand and
a lanthanide ion carrier chelate--were conjugated to separate biotins, which is
known as avidin's natural ligand. The assay was based on binding of the two
differently labeled biotins on separate binding sites on the target protein and
consequent self-assembly of a luminescent complex from the two label moieties.
Specific luminescence signal was observed only at the presence of the target
protein. The characteristics of the switchable lanthanide luminescence assay were
compared to the reference assay, based on lanthanide resonance energy transfer.
Both assays had a limit of detection in the low-picomolar concentration range;
however, the lanthanide chelate complementation-based assay had wider dynamic
range and its optimization was more straightforward. The switchable lanthanide
luminescence technology could be further applied to generic protein detection,
using reagents that are analogous to the proximity ligation assay principle.
PMID- 22070372
TI - Active surveillance criteria for prostate cancer: can they be applied to Japanese
patients?
AB - Prostate-specific antigen screening has significantly increased the percentage of
men who are diagnosed with low-risk prostate cancer. All men undergoing
retropubic radical prostatectomy for primary treatment of prostate cancer from
April 2004 to September 2010 in our hospital were examined in order to determine
whether active surveillance criteria could be applied to Japanese men. From
pathological data of prostate biopsies, whether these men met five published
criteria for active surveillance (Johns Hopkins Medical Institution, Prostate
Cancer Research International: Active Surveillance Study, University of
California, San Francisco, Toronto and Kakehi criteria) was evaluated. Men who
met any of the criteria had a statistically significant lower extracapsular
extension rate and organ-confinement rate. From the view of the possibility of
Gleason upgrading and organ-confinement rate, the Johns Hopkins Medical
Institution and Prostate Cancer Research International: Active Surveillance Study
criteria showed to be appropriate for Japanese patients. However, the present
study had limitations of selection bias and a limited number of cases.
PMID- 22070374
TI - Ionic conductivity through thermoresponsive polymer gel: ordering matters.
AB - Thermoreversible polymer gel has been prepared using PEO-PPO-PEO block copolymer
(Pluronic F77) which self-assembles into different microcrystalline phases like
cubic, 2D-hexagonal, and lamellar. Addition of electrolyte (LiI/I(2)) converts
the gel into a polymer gel electrolyte (PGE) which exhibits microphase-dependent
ionic conductivity. The crystalline phases have been identified by SAXS as a
function of the polymer concentration. It is found that the optimum value for the
ionic conductivity (~1 * 10(-3) S x cm(-1)) is achieved in the Im3m phase due to
faster diffusion of ions through the 3D-interconnected micellar nanochannels.
This fact is further supported by FTIR study, ionic transference number, and
diffusion coefficient measurements.
PMID- 22070373
TI - Site-specific platinum(II) cross-linking in a ribozyme active site.
AB - The function of RNA depends on its ability to adopt complex and dynamic
structures, and the incorporation of site-specific cross-linking probes is a
powerful method for providing distance constraints that are valuable in RNA
structural biology. Here we describe a new RNA-RNA cross-linking strategy based
on Pt(II) targeting of specific phosphorothioate substitutions. In this strategy
cis-diammine Pt(II) complexes are kinetically recruited and anchored to a
phosphorothioate substitution embedded within a structured RNA. Substitution of
the remaining exchangeable Pt(II) ligand with a nucleophile supplied by a nearby
RNA nucleobase results in metal-mediated cross-links that are stable during
isolation. This type of cross-linking strategy was explored within the catalytic
core of the Hammerhead ribozyme (HHRz). When a phosphorothioate substitution is
installed at the scissile bond normally cleaved by the HHRz, Pt(II) cross-linking
takes place to nucleotides G8 and G10 in the ribozyme active site. Both of these
positions are predicted to be within ~8 A of a phosphorothioate-bound Pt(II)
metal center. Cross-linking depends on Mg(2+) ion concentration, reaching yields
as high as 30%, with rates that indicate cation competition within the RNA three
helix junction. Cross-linking efficiency depends on accurate formation of the
HHRz tertiary structure, and cross-links are not observed for RNA helices.
Combined, these results show promise for using kinetically inert Pt(II) complexes
as new site-specific cross-linking tools for exploring RNA structure and
dynamics.
PMID- 22070375
TI - Maintaining faith in agency under immutable constraints: cognitive consequences
of believing in negotiable fate.
AB - Negotiable fate refers to the idea that one can negotiate with fate for control,
and that people can exercise personal agency within the limits that fate has
determined. Research on negotiable fate has found greater prevalence of related
beliefs in Southeast Asia, East Asia, and Eastern Europe than in Western Europe
and English-speaking countries. The present research extends previous findings by
exploring the cognitive consequences of the belief in negotiable fate. It was
hypothesized that this belief enables individuals to maintain faith in the
potency of their personal actions and to remain optimistic in their goal pursuits
despite the immutable constraints. The belief in negotiable fate was predicted to
(a) facilitate sense-making of surprising outcomes; (b) increase persistence in
goal pursuits despite early unfavorable outcomes; and (c) increase risky choices
when individuals have confidence in their luck. Using multiple methods (e.g.,
crosscultural comparisons, culture priming, experimental induction of fate
beliefs), we found supporting evidence for our hypotheses in three studies.
Furthermore, as expected, the cognitive effects of negotiable fate are observed
only in cultural contexts where the fate belief is relatively prevalent.
Implications of these findings are discussed in relation to the intersubjective
approach to understanding the influence of culture on cognitive processes (e.g.,
Chiu, Gelfand, Yamagishi, Shteynberg, & Wan, 2010), the sociocultural foundations
that foster the development of a belief in negotiable fate, and an alternative
perspective for understanding the nature of agency in contexts where constraints
are severe. Future research avenues are also discussed.
PMID- 22070376
TI - Exploiting the acylating nature of the imide-Ugi intermediate: a straightforward
synthesis of tetrahydro-1,4-benzodiazepin-2-ones.
AB - We describe a simple and novel protocol for the synthesis of tetrahydro-1,4
benzodiazepin-2-ones with three points of diversity, exploiting the acylating
properties of the recently rediscovered Ugi-imide. The final compounds can be
easily prepared in three synthetic steps using a multicomponent reaction, a
Staudinger reduction, and an acylative protocol, with good to excellent yields
for each synthetic step.
PMID- 22070377
TI - Borrelia burgdorferi infections with special reference to horses. A review.
AB - Summary This review discusses the literature on B. burgdorferi infections in
view of the rising incidence of this infection in general and the increasing
concerns of horse owners and equine practitioners. Lyme disease, the clinical
expression of Borrelia infections in man is an important health problem. The
geographic distribution of B. burgdorferi infections in equidae should resemble
that of human cases because the vector tick involved, Ixodes ricinus, feeds on
both species and, indeed, the infection has been established many times in
horses. However, a definite diagnosis of the disease "Lyme borreliosis" in human
beings as well as in horses and other animals is often difficult to accomplish.
Although a broad spectrum of clinical signs has been attributed to B. burgdorferi
infections in horses, indisputable cases of equine Lyme borreliosis are extremely
rare so far, if they exist at all.
PMID- 22070378
TI - Use of plasma ionized calcium levels and Ca(2+) substitution response patterns as
prognostic parameters for ileus and survival in colic horses.
AB - Summary Objective: Hypocalcaemia is a common finding in horses with
enterocolitis and severe gastrointestinal disorders. The aims of this study were
to investigate in colic horses (!))the parameters related to hypocalcaemia,
(2))the influence of hypocalcaemia on outcome and (3))the possible beneficial
effect of Ca(2+ )substitution. Design: Randomized controlled trial.
Setting: Intensive care unit. Patients: One hundred forty-four horses that
were admitted with an acute abdomen during a 1.5 year period were enrolled and
daily evaluated for clinical criteria and whole blood ionized Ca levels. Colic
horses with hypocalcaemia were randomly assigned to receive Ca(2+).
Interventions: Analysis of heparinised whole blood samples. Horses that were
assigned to be treated received 400 mEq Ca(2+) diluted in 10L of Ringer's lactate
solution every 24 h until low reference range limits were obtained or until
death. Measurements and main results: 88% of all colic patients showed blood
ionized Ca levels below the reference range at the time of admission.
Multivariable analysis revealed that the presence of reflux signs of endotoxaemia
increased Packed Cell Volume (PCV) alkalinization of pH and the interaction
PCV/pH all predispose colic horses to low ionized Ca(2+) levels at the time of
admission. The Odds for developing ileus during hospitalization are +/- 11.94
times larger for horses in the "very low" calcaemia interval in comparison with
normocalcaemic horses. The Odds for fatal outcome are respectively +/- 9.82 and
8.33 times larger for horses in the "very low" and "low" calcaemia interval.
Ca(2+) substitution increased the probability of survival provided that Ca(2+)
levels could be normalized. The lack of an upward calcaemia response despite
repetitive Ca(2+) substitutions can be guarded as a poor ominous sign.
Conclusions: Hypocalcaemia in colic horses is of prognostic relevance both with
regard to survival as to the probability of development of ileus during
hospitalization. This study shows the importance of routine measurement of
ionized calcium levels in colic horses. Moreover correction of hypocalcaemia
seems to improve clinical outcome.
PMID- 22070379
TI - Cystic endometrial hyperplasia-pyometra complex in cats. A review.
AB - Summary Presentation of complex cystic endometrial hyperplasia-pyometra is not
very common in cats. As in bitches, hormonal influences result in environmental
and histological changes in the uterus leading to predisposition to bacterial
growth. Its influence on the organism provokes certain clinical signs. Diagnosis
and treatment must be fast and aggressive. Depending on the clinical status and
queen purpose, it can be both surgical or medical. Both of them offer a high rate
of success if the detailed protocols are followed.
PMID- 22070380
TI - Analysis of the 96 most often cited articles published in veterinary journals in
2002 and 2003.
AB - Summary The study concerned 123 Journals of the category "Veterinary Sciences"
as defined in the Journal Citation Reports ((c), Institute for Scientific
Information (ISI), Philadelphia, USA). Using Web of Knowledge ((c), ISI, USA),
update December 3, 2005, articles published in 2002 and 2003 and cited 20 times
or more were selected. The total number of selected articles was 96. They were
published in 34 out of the 123 journals. Based on the country of the first
author, the articles originated form 24 countries. Forty-nine of the 96 articles
(51%) were written in only two countries being England (15) and the USA (34). The
articles could be classified in six categories being immunology (21),
microbiology and directly related subjects (48), prions and prion-induced
diseases (5), reproduction (23), toxicology (3), and miscellanea (11). The
category "microbiology" (48) was divided into six subcategories: general (1),
bacteriology (17), mycology (3), parasitology (5), protozoology (6), and virology
(5). Some articles were classified in more than one (sub)category.
PMID- 22070382
TI - The experience of young people with depression: a qualitative study.
AB - People who develop depression experience a maelstrom of emotions as they struggle
to understand what is happening to them. While the experience has been
comparatively well documented in older adults, much less is known about the
depression experience and responses of young people. In this study, we aimed to
explore the experience of young people diagnosed with depression. Twenty-six
young people were recruited from a youth mental health service. A qualitative
interpretative design was used, incorporating semi-structured, audio-recorded
interviews. Results provided four overlapping themes, reflecting the young
people's difficulties in coming to terms with, and responding in self-protective,
harmful and at times life-threatening ways to their depression: (1) struggling to
make sense of their situation; (2) spiralling down; (3) withdrawing; and (4)
contemplating self-harm or suicide. Study conclusions are that young people faced
considerable difficulties coming to terms with, and responding to, depression.
Improving young people's understanding of depression and its treatment, reducing
community stigma and providing accessible and youth-focused services remain
important targets for intervention. It is also important to improve mental health
literacy in the community to increase awareness of depression and how mental
health professionals, including nurses, respond effectively to the young person.
PMID- 22070383
TI - Investigating the role of zinc in a rat model of epilepsy.
AB - AIMS: The aim of the present study was to investigate the role of zinc (Zn) in
pilocarpine-induced seizures and its interrelation with an antiepileptic drug,
namely, valproic acid. METHODOLOGY: The study was carried out on 110 male Wistar
albino rats that were divided into the following groups: Group I, control rats
that received intraperitoneal (i.p.) saline vehicle; Groups II-V received Zn in a
medium dose, Zn in a high dose, valproic acid in a therapeutic dose, as well as a
combination of valproic acid with medium dose Zn, respectively, for 3 weeks
before saline injection, Group VI received i.p. pilocarpine to induce seizures;
Groups VII-XI received Zn in a medium dose, Zn in a high dose, valproic acid in a
therapeutic dose, a combination of therapeutic dose of valproic acid with medium
dose Zn, as well as a combination of subeffective dose of valproic acid with
medium dose of Zn, respectively, for 3 weeks before pilocarpine injection. The
seizure's latency and severity for each rat was recorded. Blood and brain
hippocampal samples were collected for determination of serum neuron specific
enolase (NSE), hippocampal Zn, interleukin-1 beta concentrations as well as
hippocampal superoxide dismutase and caspase-3 activities. RESULTS: The results
of the current study demonstrated that pretreatment with high dose of Zn
exacerbated pilocarpine-induced seizures. Whereas, a medium dose of Zn and
valproic acid either alone or in combination reduced the severity of pilocarpine
induced limbic seizures and increased the latency to attain the forelimb clonus.
Also both drugs, either alone or in combination, ameliorated all studied
biochemical parameters with the exception of hippocampal Zn concentration, which
was only significantly increased by pretreatment with Zn, either alone or in
combination with valproic acid. CONCLUSIONS: The present study highlights the
antiepileptic role that could be played by Zn, when given in appropriate doses.
PMID- 22070384
TI - Reversible translocation of ASK1 to a Triton-X100 insoluble cytoplasmic
compartment during cardiac myocyte cell stress.
AB - ASK1 is a cellular stress-responsive MAPKKK which activates the JNK and p38 MAPK
pathways that play a key role in the response of cardiac myocytes to redox stress
following ischemia/reperfusion. ASK1 becomes incorporated into high-molecular
weight complexes upon activation but this has not been investigated in cardiac
myocytes. Here we examine the distribution of ASK1 in neonatal rat cardiomyocytes
undergoing simulated ischemia and reperfusion. Simulated ischemia or redox stress
in neonatal cardiac myocytes causes the translocation of ASK1 to distinct
punctate cytoplasmic structures that are insoluble in Triton X-100. The
translocation event is not dependent on ASK1 kinase activity, occurs subsequent
to activation and is reversible upon removal of the cell stress. The structures
to which ASK1 translocates in cardiac myocytes do not appear to correspond to the
previously described ASK1 signalosome reported in other cell types.
PMID- 22070385
TI - Chemotactic effect of odorants and tastants on the ciliate Tetrahymena
pyriformis.
AB - Naturally occurring aroma compounds are able to elicit physiological and
migratory responses such as chemotaxis even at nano to femtomolar concentrations
in organisms at different levels of phylogeny. Despite the amazing chemical
variety of these substances the apparatus by which they can be detected i.e. the
chemosensory receptors and the signaling pathways seem to be rather uniform and
evolutionary well-conserved. The intracellular signaling process is supposed to
be mediated by either cAMP or inositol 1,4,5-trisphosphate. The present work
aimed to investigate the chemotactic behavior of 11 odorants that occur naturally
in foods and are also used by the industry as additives, on the eukaryotic
ciliate Tetrahymena pyriformis. Intracellular signaling pathways that might be
activated by these compounds were also investigated. Activation of the
phospholipase C (PLC) was measured by FACS and the stimulation of inositol-1,4,5
trisphosphate 3-kinases (IP3K) was measured using two specific inhibitors,
wortmannin and LY294002. The strongest chemoattractant character was observed for
isoamyl acetate (10(-6) M), propyl isobutyrate (10(-8) M), isobutyl propionate
(10(-6) M). The strongest repellent action was exerted by benzyl acetate (10(-8)
M), furfuryl thioacetate (10(-12) M). Our results suggest that Tetrahymena
responds in a very sensitive way to slight changes in the molecular structure.
According to our study, tracer amounts of solvents do not contribute
significantly to the chemotactic profile of the respective odorants. No
significant activation of PLC or PI3K could be observed following stimulation
with attractant odorants which implies that some other pathways may be involved,
hence further investigation is needed.
PMID- 22070386
TI - Cigarette smoke-induced pulmonary inflammation is attenuated in CD69-deficient
mice.
AB - Cluster of differentiation 69 (CD69) has been identified as a lymphocyte early
activation marker, and recent studies have indicated that CD69 mediates
intracellular signals and plays an important role in various inflammatory
diseases. Cigarette smoke (CS) is a strong proinflammatory stimulus that induces
the release of proinflammatory mediators by recruiting macrophages and
neutrophils into the lung tissue, and is one of the main risk factors for a
number of chronic diseases. However, the potential role of CD69 in CS-induced
pulmonary inflammation has not been determined. To address to this question, CD69
deficient (KO) and wild-type (WT) mice were subjected to CS-induced acute
pulmonary inflammation. After the exposure with CS, the expression of CD69 in the
lung of WT mice was significantly induced, it was predominantly observed in
macrophages. In conjunction with this phenomenon, neutrophil and macrophage cell
counts, and expression of several cytokines were significantly higher in the
bronchoalveolar lavage fluid (BALF) of CS-exposed WT mice compared with air
exposed WT mice. Likewise, the CS-induced accumulation of inflammatory cells and
cytokines expression were significantly lower in CD69-KO mice than in WT mice.
These results suggest that CD69 on macrophages is involved in CS-induced acute
pulmonary inflammation.
PMID- 22070387
TI - Hydrogen bonding in the electronic excited state.
AB - Because of its fundamental importance in many branches of science, hydrogen
bonding is a subject of intense contemporary research interest. The physical and
chemical properties of hydrogen bonds in the ground state have been widely
studied both experimentally and theoretically by chemists, physicists, and
biologists. However, hydrogen bonding in the electronic excited state, which
plays an important role in many photophysical processes and photochemical
reactions, has scarcely been investigated. Upon electronic excitation of
hydrogen-bonded systems by light, the hydrogen donor and acceptor molecules must
reorganize in the electronic excited state because of the significant charge
distribution difference between the different electronic states. The electronic
excited-state hydrogen-bonding dynamics, which are predominantly determined by
the vibrational motions of the hydrogen donor and acceptor groups, generally
occur on ultrafast time scales of hundreds of femtoseconds. As a result, state-of
the-art femtosecond time-resolved vibrational spectroscopy is used to directly
monitor the ultrafast dynamical behavior of hydrogen bonds in the electronic
excited state. It is important to note that the excited-state hydrogen-bonding
dynamics are coupled to the electronic excitation. Fortunately, the combination
of femtosecond time-resolved spectroscopy and accurate quantum chemistry
calculations of excited states resolves this issue in laser experiments. Through
a comparison of the hydrogen-bonded complex to the separated hydrogen donor or
acceptor in ground and electronic excited states, the excited-state hydrogen
bonding structure and dynamics have been obtained. Moreover, we have also
demonstrated the importance of hydrogen bonding in many photophysical processes
and photochemical reactions. In this Account, we review our recent advances in
electronic excited-state hydrogen-bonding dynamics and the significant role of
electronic excited-state hydrogen bonding on internal conversion (IC), electronic
spectral shifts (ESS), photoinduced electron transfer (PET), fluorescence
quenching (FQ), intramolecular charge transfer (ICT), and metal-to-ligand charge
transfer (MLCT). The combination of various spectroscopic experiments with
theoretical calculations has led to tremendous progress in excited-state hydrogen
bonding research. We first demonstrated that the intermolecular hydrogen bond in
the electronic excited state is greatly strengthened for coumarin chromophores
and weakened for thiocarbonyl chromophores. We have also clarified that the
intermolecular hydrogen-bond strengthening and weakening correspond to red-shifts
and blue-shifts, respectively, in the electronic spectra. Moreover, radiationless
deactivations (via IC, PET, ICT, MLCT, and so on) can be dramatically influenced
through the regulation of electronic states by hydrogen-bonding interactions.
Consequently, the fluorescence of chromophores in hydrogen-bonded surroundings is
quenched or enhanced by hydrogen bonds. Our research expands our understanding of
the nature of hydrogen bonding by delineating the interaction between hydrogen
bonds and photons, thereby providing a basis for excited-state hydrogen bonding
studies in photophysics, photochemistry, and photobiology.
PMID- 22070388
TI - Biosynthesis of the biomarker okenone: chi-ring formation.
AB - Purple sulfur bacteria (PSB) mainly occur in anoxic aquatic and benthic
environments, where they play important roles in cycling carbon and sulfur. Many
PSB characteristically produce the unique keto-carotenoid, okenone, which is
important not only for its light absorption and photoprotection properties but
also because of its diagenesis product, okenane, which is a biomarker for ancient
sediments derived from anoxic environments. The specific methylation pattern of
the chi-ring of okenane is unlikely to be formed by diagenetic processes and
should therefore reflect an enzymatic activity from okenone biosynthesis. This
study describes two enzymes that produce the chi-ring of okenone, the only
structural element of okenone preserved in okenane. Genes encoding enzymes of
carotenogenesis were identified in the draft genome sequence of an okenone
producing PSB, Thiodictyon sp. strain CAD16. Two divergently transcribed genes
encoded a CrtY-type lycopene cyclase and a CrtU/CruE-type gamma-carotene
desaturase/methyltransferase. Expression of crtY in Escherichia coli showed that
this gene encoded a lycopene cyclase that produced gamma-carotene as the only
product. Although the sequence of the gamma-carotene desaturase/methyltransferase
was more similar to CrtU sequences of green sulfur bacteria than to CruE
sequences of cyanobacteria, expression of the crtU gene in Chlorobaculum tepidum
showed that the enzyme produced carotenoids with chi-rings rather than phi-rings.
Phylogenetic analysis of the carotene desaturase/methyltransferases revealed that
enzymes capable of converting beta-rings to chi-rings have independently evolved
at least two times. These results indicate that it probably will not be possible
to deduce the activity of carotene desaturase/methyltransferases solely from
sequence data.
PMID- 22070389
TI - Willingness to pay as patient preference to bariatric surgery.
AB - BACKGROUND: An obesity epidemic is spreading worldwide. In addition to
comorbidities, social and emotional problems contribute to reduce the quality of
life (QoL) of obese people. Considering the heterogeneity of outcomes from
clinical and surgical approaches, it is recommended that severely obese patients
participate in their treatment decisions. This study evaluated preferences of
severely obese patients for obesity surgical treatment using the willingness to
pay (WTP) and to assess the impact of the presence of some clinical disorders,
socioeconomic conditions and QoL on their decisions. METHODS: The selected
patients were invited to answer the WTP questionnaire using two formats of
contingent valuation questions: dichotomous choice (yes/no) and a bidding game.
The answers were correlated with clinical features, QoL assessed by the SF-36 and
the Moorehead-Ardelt Quality of Life Questionnaire II, Brazilian socioeconomic
classification, and family and personal incomes. RESULTS: The group of patients
who accepted the first bid was older and had higher frequency of sleep apnoea
when compared to those who rejected the offer. A significant correlation between
the bidding game value and family income was found (r = 0.28; P < 0.02). In the
logistic regression model, socioeconomic classification and sleep apnoea were
shown to be independently associated with acceptance the bid. CONCLUSIONS: Sleep
apnoea was the comorbidity that most influenced the acceptance in dichotomous
choice for bariatric surgery, probably due to the deleterious effects on daily
activities induced by sleep disturbances. Our findings also suggest that the
frequency of surgical procedures is below the preference of the obese population
in Brazil.
PMID- 22070390
TI - Acute mental health nurses: comprehensive practitioners or specialist therapists?
AB - This paper examines the aids and barriers to implementing the psychosocial
interventions (PSI) which trainees learned on two teaching modules. The main
purpose of the modules is to teach trainees PSI to help them be more effective in
their care of patients with severe mental illness. The trainees were qualified
nurses working in acute mental health wards in various London hospitals. PSI has
been found to be helpful for patients with psychotic symptoms in community
contexts. In this study, the implementation of PSI specific to acute inpatient
mental health settings is explored. This was achieved by conducting semi
structured audiotaped interviews with all 20 trainees from a single cohort. The
data were analysed by categories and themes to elicit not only the problems but
also helpful strategies which can be used when working with PSI in acute
inpatient mental health settings. The paper concludes by offering recommendations
for future good practice for this area of mental health service.
PMID- 22070391
TI - Serum albumin binding of structurally diverse neutral organic compounds: data and
models.
AB - Binding to serum albumin has a strong influence on freely dissolved, unbound
concentrations of chemicals in vivo and in vitro. For neutral organic solutes,
previous studies have suggested a log-log correlation between the albumin-water
partition coefficient and the octanol-water partition coefficient (K(ow)) and
postulated highly nonspecific binding that is mechanistically analogous to
dissolution into solvents. These relationships and concepts were further explored
in this study. Bovine serum albumin (BSA)-water partition coefficients (K(BSA/w))
were measured for 83 structurally diverse neutral organic chemicals in consistent
experimental conditions. The correlation between log K(BSA/w) and log K(ow) was
moderate, with R(2) = 0.76 and SD = 0.43. The log K(BSA/w) of low-polarity
compounds including a series of chlorobenzenes and polycyclic aromatic
hydrocarbons increased with log K(ow) linearly up to log K(ow) = 4-5, but then
the linear relationship apparently broke off, and the increase became gradual.
The fitting of polyparameter linear free energy relationship models with five
solute descriptors was just comparable to that of the log K(ow) model (R(2) =
0.78-0.79, SD = 0.41-0.42); the relatively high SD obtained suggests that solvent
dissolution models are not capable of modeling albumin binding accurately. A size
limitation of the binding site(s) of albumin is suggested as a possible reason
for the high SD. An equilibrium distribution model indicates that serum albumin
generally has high contributions to the binding in the serum of polar compounds
and relatively small low-polarity compounds, whereas albumin binding for large
low-polarity compounds is outcompeted by the strong partitioning into lipids due
to low relative affinity of albumin for these compounds.
PMID- 22070392
TI - Oral rosmarinic acid-enhanced Mentha spicata modulates synovial fluid biomarkers
of inflammation in horses challenged with intra-articular LPS.
AB - A biological extract of high-rosmarinic acid mint (HRAM) has previously
demonstrated inhibitory effects on lipopolysaccharide (LPS)-induced prostaglandin
E(2) (PGE(2)), nitric oxide (NO) and glycosaminoglycan (GAG) release in vitro.
This study was undertaken to determine whether HRAM added to feed produces
similar effects in horses challenged with intra-articular LPS. Eight horses
received HRAM (0 or 28.1 +/- 1.3 g/day; n = 4 per group) in their feed for 24
days in a blinded manner. On day 21, all horses received an intra-articular
injection of LPS (0.3 ng) into their left or right intercarpal joint. Synovial
fluid (SF) samples were taken on postinjection day (PID)-21 (i.e. prior to
commencement of supplementation), PID0, PID0.25, PID0.5, PID1 and PID3 and
analysed for PGE(2), GAG, NO, protein and total nucleated cells counts. Blood
biochemistry and haematology screens were conducted at PID-21, PID0, PID1 and
PID3. There was a significant reduction in LPS-induced PGE(2) and GAG in SF in
horses supplemented with HRAM compared with controls and a tendency to increase
complement recognition protein accumulation in synovial fluid of HRAM horses.
Plasma from HRAM horses had reduced total white blood cells, segmented
neutrophils (compared with baseline concentrations) and lymphocytes (compared
with controls), and increased SF nucleated cell count (compared with baseline
concentrations and controls). It is concluded that HRAM offered as part of the
feed alter biomarkers of inflammation in SF of LPS-challenged horses. Larger
studies that seek to clarify effects of HRAM on synovial fluid cell counts and
possible role of HRAM-induced interference with complement signalling are
warranted.
PMID- 22070393
TI - Implementing a structured psychosocial interventions group programme for people
with bipolar disorder.
AB - It has been reported that, at any time, over half of the people with bipolar
disorder are receiving no active treatment. Despite the availability of effective
medications and psychotherapies, research concludes that the care of bipolar
disorder in everyday practice is often deficient. Evidence base reports the
effectiveness of psychosocial interventions using many of the same ideas as the
recovery approach; both attempt to place clients at the heart of service
delivery. This paper reports on the development and implementation of three pilot
group programmes for service users with bipolar disorder, in a community clinical
setting in South East England. The group programme design and development was
based upon the evidence-based psychosocial interventions advocated in national
clinical guidelines and research literature. The programme incorporated the key
effective psychosocial interventions and self-management mechanisms within its 12
sessions spanning over 3 months, followed by three booster sessions in 6 months'
time. Twenty-three service users have to date completed the programme.
Participant feedback will be discussed and reported to inform further development
and research implications of such innovative evidence-based interventions for
service users with bipolar disorder.
PMID- 22070394
TI - Comparison of homoeologous chromosome pairing between hybrids of wheat genotypes
Chinese Spring ph1b and Kaixian-luohanmai with rye.
AB - The ph-like genes in the Chinese common wheat landrace Kaixian-luohanmai (KL)
induce homoeologous pairing in hybrids with alien species. In the present study,
meiotic phenotypic differences on homoeologous chromosome pairing at metaphase I
between hybrids of wheat genotypes Chinese Spring ph1b (CSph1b) and KL with rye
were studied by genomic in situ hybridization (GISH). The frequency of wheat
wheat associations was higher in CSph1b*rye than in KL*rye. However, frequencies
of wheat-rye and rye-rye associations were higher in KL*rye than in CSph1b*rye.
These differences may be the result of different mechanisms of control between
the ph-like gene(s) controlling homoeologous chromosome pairing in KL and CSph1b.
Wheat-wheat associations were much more frequent than wheat-rye pairing in both
hybriods. This may be caused by lower overall affinity, or homoeology, between
wheat and rye chromosomes than between wheat chromosomes.
PMID- 22070395
TI - Clinical aspect of reproductive immunology.
PMID- 22070396
TI - Obesity and psychotropics.
AB - Weight gain is on the rise in the United States as is the diagnosis and treatment
of mental disorders. These two phenomena are distinctly separate but tend to
overlap in that most psychotropic agents approved for use in the United States
are associated with the potential to induce weight gain. Metabolic disorders such
as diabetes, hypercholesterolemia, and hypertension are also on the rise and
often associated with weight gain and clearly associated with certain
psychotropic medications. This article serves to provide a succinct review
regarding the epidemiology, etiology, and treatment options for psychotropic
induced obesity.
PMID- 22070397
TI - Akt and RhoA inhibition promotes anoikis of aggregated B16F10 melanoma cells.
AB - In the highly metastatic B16F10 melanoma cell line, activation of the signalling
molecules that promote cell proliferation and survival on conventional adhesive
culture dishes may also be responsible for the growth and resistance to anoikis
of aggregates on a non-adhesive substratum. We have examined the influence of
bacterial ADP-ribosyltransferases C3-like exoenzymes, which selectively modify
RhoA, B and C proteins and inhibit signal pathways controlled by them. RNA
interference [siRNA (small interfering RNA) Akt (also known as protein kinase B)]
and a PI3K (phosphoinositide 3-kinase) inhibitor were used to analyse the changes
caused by inhibiting the PI3K/Akt pathway. Inhibiting the activation of RhoA, B,
C and Akt expression resulted in a decrease of the number of cells cultured in
aggregates, and caspase 3 activation. RhoA activation and RhoB and RhoC
expression were controlled by Akt, but not RhoA expression. Inhibiting Akt and
RhoA reduced the expression of alpha5 integrin, and inactivated FAK (focal
adhesion kinase) in B16F10 cells cultured as aggregates. Thus, inhibiting Rho
subfamily proteins and Akt expression inactivates the FAK pathway and induces
anoikis in anoikis-resistant cells. The activation of RhoA in melanoma cells can
depend on PI3K/Akt activation, suggesting that PI3K/Akt is a suitable target for
new therapeutic approaches.
PMID- 22070398
TI - Parents' discursive resources: analysis of discourses in Swedish, Danish and
Norwegian health care guidelines for children with diabetes type 1.
AB - The incidence of diabetes type 1 in children, the most common metabolic disorder
in childhood, increases worldwide, with highest incidence in Scandinavia. Having
diabetes means demands in everyday life, and the outcome of the child's treatment
highly depends on parents' engagement and involvement. The aim of this study was
to explore and describe discourses in health care guidelines for children with
diabetes type 1, in Sweden, Norway and Denmark during 2007-2010, with a focus on
how parents were positioned. As method a Foucauldian approach to discourse
analysis was applied, and a six-stage model was used to perform the analysis. The
findings shows a Medical, a Pedagogic and a Public Health discourse embedded in
the hegemonic Expert discourse. The Expert discourse positioned parents as
dependent on expert knowledge, as recipients of education, as valuable and
responsible for their child's health through practicing medical skills. This
positioning may place parents on a continuum from being deprived of their own
initiatives to being invited to take an active part and could result in feelings
of guilt and uncertainty, but also of security and significance. From this study
we conclude that guidelines rooted in the Expert discourse may reduce
opportunities for parents' voices to be heard and may overlook their knowledge.
By broadening the selection of authors of the guidelines to include patients and
all professionals in the team, new discourses could emerge and the parents' voice
might be more prominent.
PMID- 22070399
TI - Guidelines for the diagnosis and treatment of cutaneous squamous cell carcinoma
and precursor lesions.
PMID- 22070400
TI - Patient diaries as a clinical endpoint in Parkinson's disease clinical trials.
AB - Parkinson's disease (PD) is the second most common neurodegenerative disorder
with an estimated 4 million patients worldwide. L-dopa is standard, and often
initial, therapy for patients with this condition; however, with continued
dopaminergic treatment and as the disease progresses, the majority of patients
experience complications such as "wearing-off" symptoms, dyskinesias, and other
motor complications. These complications may become disabling and profoundly
affect quality of life. Treatment modification and combination therapies with L
dopa, dopamine agonists, monoamine oxidase type B inhibitors, and catechol-O
methyltransferase inhibitors are commonly used to manage complications. In recent
years regulatory agencies, clinical researchers, and sponsors have widely
accepted and utilized changes in "ON" and "OFF" time measured by Patient Hauser
Diaries as endpoints for measuring efficacy of therapeutics seeking approval for
symptomatic treatment of PD. Successful antiparkinsonian medications have been
associated with treatment effects of more than 1 h in either reduction of "OFF"
time of increase in "ON" time. Accurate "ON" and "OFF" time registration during
clinical studies requires rigorous patient training. Reduced compliance, recall
bias and diary fatigue are common problems seen with patient diary reported
measures. Electronic diaries may help reducing some of these problems but may be
associated with other challenges in large, multicenter studies.
PMID- 22070401
TI - The cholesterol hypothesis: time for the obituary?
AB - The cholesterol hypothesis links cholesterol intake and blood levels to
cardiovascular disease. It has had enormous impact on health care and society
during decades, but has little or no scientific backing that is relevant for the
human species. Apparently, the hypothesis is false and should be buried.
PMID- 22070402
TI - Endothelial factors after selective retrograde coronary venous bypass under
different pressures.
AB - BACKGROUND: Selective retrograde coronary venous bypass (SRCVB) may be a
promising treatment for patients with advanced coronary artery disease (CAD). The
aim of this study is to investigate the effect of SRCVB on plasma endothelial
factor levels in dog myocardial ischemic model, and explore the possible
mechanisms. METHODS: 24 crossbreed dogs were randomly divided into three groups:
(1) control group; (2) SRCVB group with 60 mmHg perfusion pressure; (3) SRCVB
group with 90 mmHg perfusion pressure. The posterior descending coronary artery
(PDA) was ligated in all groups, and SRCVB was performed in the last two groups.
The levels of plasma nitric oxide (NO) and endothelin (ET) at different time
points were determined in each group. In SRCVB groups, ink and imaging agent were
injected to the heart through SVG graft for assessment of vein perfusion.
RESULTS: At the acute period, there were significant increase in the plasma
levels of NO and decrease in ET in SRCVB 90 mmHg group compared with the control
(P < 0. 01), and a further improvement were found in SRCVB 60 mmHg group (P < 0.
01). The ink or imaging agent was found in the myocardial tissue and flowed back
to right atrium through contralateral coronary vein. CONCLUSIONS: SRCVB with low
level of perfusion pressure could provide effective perfusion for ischemic
myocardium and alleviate the myocardial endothelial cell injury. It may be a new
therapeutic strategy for severe CAD.
PMID- 22070404
TI - Approximate solutions for radial travel time and capture zone in unconfined
aquifers.
AB - Radial time-of-travel (TOT) capture zones have been evaluated for unconfined
aquifers with and without recharge. The solutions of travel time for unconfined
aquifers are rather complex and have been replaced with much simpler approximate
solutions without significant loss of accuracy in most practical cases. The
current "volumetric method" for calculating the radius of a TOT capture zone
assumes no recharge and a constant aquifer thickness. It was found that for
unconfined aquifers without recharge, the volumetric method leads to a smaller
and less protective wellhead protection zone when ignoring drawdowns. However, if
the saturated thickness near the well is used in the volumetric method a larger
more protective TOT capture zone is obtained. The same is true when the
volumetric method is used in the presence of recharge. However, for that case it
leads to unreasonableness over the prediction of a TOT capture zone of 5 years or
more.
PMID- 22070403
TI - Unusual multi-step sequential Au(III)/Au(II) processes of gold(III)
quinoxalinoporphyrins in acidic non-aqueous media.
AB - The electrochemistry of gold(III) mono- and bis-quinoxalinoporphyrins was
examined in CH(2)Cl(2) or PhCN containing 0.1 M tetra-n-butylammonium perchlorate
(TBAP) before and after the addition of trifluoroacetic acid to solution. The
investigated porphyrins are represented as Au(PQ)PF(6) and Au(QPQ)PF(6), where P
is the dianion of the 5,10,15,20-tetrakis(3,5-di-tert-butylphenyl)porphyrin and Q
is a quinoxaline group fused to a beta,beta'-pyrrolic position of the porphyrin
macrocycle; in Au(QPQ)PF(6) there is a linear arrangement where the quinoxalines
are fused to pyrrolic positions that are opposite each other. The porphyrin
without the fused quinoxaline groups, Au(P)PF(6), was also investigated under the
same solution conditions. In the absence of acid, all three gold(III) porphyrins
undergo a single reversible Au(III)/Au(II) process leading to the formation of a
Au(II) porphyrin which can be further reduced at more negative potentials to give
stepwise the Au(II) porphyrin pi-anion radical and dianion, respectively.
However, in the presence of acid, the initial Au(III)/Au(II) processes of
Au(PQ)PF(6) and Au(QPQ)PF(6) are followed by an internal electron transfer and
protonation to regenerate new Au(III) porphyrins assigned as Au(III)(PQH)(+) and
Au(III)(QPQH)(+). Both protonated gold(III) quinoxalinoporphyrins then undergo a
second Au(III)/Au(II) process at more negative potentials. The electrogenerated
monoprotonated monoquinoxalinoporphyrin, Au(II)(PQH), is then further reduced to
its pi-anion radical and dianion forms, but this is not the case for the
monoprotonated bis-quinoxalinoporphyrin, Au(II)(QPQH), which accepts a second
proton and is rapidly converted to Au(III)(HQPQH)(+) before undergoing a third
Au(III)/Au(II) process to produce Au(II)(HQPQH) as a final product. Thus,
Au(P)PF(6) undergoes one metal-centered reduction while Au(PQ)PF(6) and
Au(QPQ)PF(6) exhibit two and three Au(III)/Au(II) processes, respectively. These
unusual multistep sequential Au(III)/Au(II) processes were monitored by thin
layer spectroelectrochemistry and a reduction/oxidation mechanism for Au(PQ)PF(6)
and Au(QPQ)PF(6) in acidic media is proposed.
PMID- 22070406
TI - In situ oxidation study of Pt(110) and its interaction with CO.
AB - Many interesting structures have been observed for O(2)-exposed Pt(110). These
structures, along with their stability and reactivity toward CO, provide insights
into catalytic processes on open Pt surfaces, which have similarities to Pt
nanoparticle catalysts. In this study, we present results from ambient-pressure X
ray photoelectron spectroscopy, high-pressure scanning tunneling microscopy, and
density functional theory calculations. At low oxygen pressure, only chemisorbed
oxygen is observed on the Pt(110) surface. At higher pressure (0.5 Torr of O(2)),
nanometer-sized islands of multilayered alpha-PtO(2)-like surface oxide form
along with chemisorbed oxygen. Both chemisorbed oxygen and the surface oxide are
removed in the presence of CO, and the rate of disappearance of the surface oxide
is close to that of the chemisorbed oxygen at 270 K. The spectroscopic features
of the surface oxide are similar to the oxide observed on Pt nanoparticles of a
similar size, which provides us an extra incentive to revisit some single-crystal
model catalyst surfaces under elevated pressure using in situ tools.
PMID- 22070405
TI - Mean Platelet Volume and Platelet Distribution Width in non-diabetic subjects
with obstructive sleep apnoea syndrome: new indices of severity?
AB - To evaluate Mean Platelet Volume (MPV) and Platelet Distribution Width (PDW) in
non-diabetic subjects, according to obstructive sleep apnoea syndrome (OSAS)
severity and the associations of these indices with anthropometric
characteristics and parameters of breathing function during sleep. MATERIALS AND
METHODS: We included 610 non-diabetic subjects with suspected OSAS, evaluated by
polysomnography. According to their apnoea-hypopnoea index (AHI), patients were
divided into Group A (n=148) with AHI<5/h; Group B (n=121) with AHI: 5-14.9/h;
Group C (n=85) with AHI: 15-29.9/h and Group D (n=256) with AHI >= 30/h. MPV and
PDW were measured using an automated blood cell counter. RESULTS: MPV was
significantly higher in group D (mean value 12.1 +/- 1.3 fl) than in groups A
(9.8 +/- 1.1 fl), B (9.8 +/- 1.6 fl), and C (11.5 +/- 1.3 fl) (p<0.001). The same
pattern was observed in PDW values (15.9 +/- 2.2 fl for group D and 13.2 +/- 2.2
fl for group A, 14.1 +/- 2.8 fl for group B, and 15 +/- 2.2 fl for group C,
p<0.001). Significant correlations were seen between MPV and AHI (p<0.001),
average pulse oxygen saturation (SpO(2)) (p<0.001), minimum SpO(2) (p<0.001) and
percent of the total sleep time with SpO(2) lower than 90% (t<90%) (p<0.001)
during sleep, Arousal Index (p<0.001) and Epworth sleepiness scale (ESS)
(p=0.028). Similarly, PDW was correlated with AHI (p<0.001), average SpO(2)
(p=0.001), minimum SpO(2) (p<0.001), t<90% (p=0.002), and Arousal Index
(p<0.001). CONCLUSIONS: MPV and PDW are higher in non-diabetic patients with
severe OSAS and are correlated with different parameters of breathing function
during sleep.
PMID- 22070407
TI - Coupling stimuli-responsive magnetic nanoparticles with antibody-antigen
detection in immunoassays.
AB - Because current homogeneous immunoassays show some limitations, particularly low
sensitivity, we developed a new immunoassay to overcome these limitations. The
approach was based on magnetic nanoparticles with a thermoresponsive polymer
layer, a negatively charged polymer, and streptavidin-biotin-based antibody
antigen detection and yielded higher sensitivity than commonly used heterogeneous
immunoassays. Because no special equipment is needed, it can be applied to
currently available absorbance-based systems for high-throughput assays.
PMID- 22070408
TI - Temperature-responsive solid-liquid separations with charged block-copolymers of
poly(N-isopropyl acryamide).
AB - Temperature responsive charged block-copolymers of poly(N-isopropylacrylamide)
(PNIPAM) have been used in the solid-liquid separation of alumina mineral
particles from aqueous solution. The effects of temperature, polymer charge-sign
and fraction of charged segment have been investigated. Batch settling and
adsorption studies showed that rapid sedimentation results for suspensions with
polymers of opposite charge-sign to the particle surface-charge (counterionic) at
50 degrees C. Cooling the suspensions after flocculation at 50 degrees C was
found to increase the final solids volume fraction of the sediment beds formed
through a mechanism related to partial desorption of polymer and the reduction of
the hydrophobic attraction. Suspension stability results after dosing with
polymers of similar charge-sign to the particle surface-charge (co-ionic) at both
25 and 50 degrees C. Increasing the amount of polymer charge increased the
influence of polymer charge-sign on the adsorption and solid-liquid separation
behavior. The performance of the charged block copolymers are compared to that of
the random charged copolymer and neutral homopolymer PNIPAM structures.
PMID- 22070409
TI - The selective phosphodiesterase 9 (PDE9) inhibitor PF-04447943 attenuates a
scopolamine-induced deficit in a novel rodent attention task.
AB - Numerous changes occur during aging and Alzheimer's disease (AD) progression,
including a decline in cholinergic functioning and cognition, as well as
alterations in gene expression and activity in the nitric oxide/cyclic guanosine
monophosphate (NO/cGMP) pathway. Donepezil, the current standard of care for
Alzheimer's disease, improves cholinergic functioning and has demonstrated
effects on multiple domains of cognition, including memory and attention in both
preclinical species and patients. We previously found that increasing activation
of the NO/cGMP pathway via phosphodiesterase 9 (PDE9) inhibition also improves
memory in rodents and suggested that PDE9 might be a promising target for novel
treatments for AD. Here we investigated whether PDE9 inhibition also enhances
attention using a novel attention task in rats. We validated this task using
several pharmacological manipulations and showed that the selective PDE9
inhibitor PF-04447943 produced effects similar to those of donepezil. These data
confirm and extend the hypothesis that PDE9 inhibition might serve as a novel
treatment for AD and age-related cognitive decline.
PMID- 22070410
TI - Effect of antioxidants on oxidation during the production of whey fat
concentrate.
AB - Whey fat has a relatively high level of unsaturated fatty acids, and as such,
whey products with a high fat content are vulnerable to oxidation. The purposes
of the present study were to assess the oxidative development in whey fat
concentrate (WFC) during production and investigate the effect of the addition of
antioxidants. Green tea extract (GTE) or a mixture of ascorbyl palmitate and
tocopherol (AP/TOC) were used, each in two concentrations. Samples were taken
before and after pasteurization of WFC and after drying. The level of volatile
oxidation products decreased during processing, while dityrosine concentrations
increased during drying. GTE reduced oxidation in both unpasteurized and
pasteurized WFC, while the effect of AP/TOC was nonsignificant. In the WFC
powder, there was no significant effect of the antioxidants. In conclusion,
results indicated that GTE was able to inhibit oxidation in WFC during production
and that AP/TOC addition had no effect.
PMID- 22070411
TI - Tubal ligation and survival of ovarian cancer patients.
AB - AIM: The aim of the present study was to investigate associations between ovarian
cancer survival and reproductive, gynecological and hormone factors. MATERIAL AND
METHODS: A prospective follow-up study was conducted in the Southeast of China.
The cohort comprised 202 patients with histopathologically confirmed epithelial
ovarian cancer who were enrolled during 1999-2000 and followed-up for 5years
subsequently. One hundred and ninety five (96.5%) of the cohort or their close
relatives were traced. Information was obtained on reproductive, gynecological
and hormone factors prior to diagnosis, actual survival time and number of
deaths. Cox proportional models were used to estimate mortality hazard ratios
(HR) and associated 95% confidence intervals (CI) for tubal ligation, adjusting
for age at diagnosis, body mass index (BMI), menopausal status, International
Federation of Gynaecology and Obstetrics (FIGO) stage, histological grade of
differentiation, cytology of ascites, and chemotherapy status. RESULTS: The HR
was significantly increased and survival was worse in ovarian cancer patients
with a previous tubal ligation, but not with any other reproductive,
gynecological and hormone factor. Only 21 (38.9%) of 54 patients who had tubal
ligation survived to the time of interview, in contrast to 95 women (67.4%) still
alive among the 141 women without tubal ligation (P<0.001). Compared to the
patients who had no tubal ligation, the adjusted HR was 1.62 (95% CI 1.01-2.59;
P=0.04) for those who had tubal ligation. There was no association with age at
menarche, menopausal status, parity, breastfeeding, hormone replacement therapy,
oral contraceptive use, and hysterectomy. CONCLUSION: Previous tubal ligation was
an independently adverse prognostic factor for epithelial ovarian cancer
survival. Further studies that examine the relationship are warranted to confirm
these results.
PMID- 22070412
TI - Gene expression profile of lymphatic endothelial cells.
AB - The lymphatic system was first described at around the same time as the blood
circulation centuries ago, but the biological function elucidation of LECs
(lymphatic endothelial cells) is far less than that of BVECs (blood vascular
endothelial cells). Since the discovery of molecular markers for LECs and
exploration of lymphatic role in tumour metastasis, more attention has been given
to basic lymphatic research. Approx. 150 known genes were found to be expressed
at the mRNA and protein levels by LECs. These molecules play an important role in
lymphangiogenesis, signalling, tumour metastasis, immune function and fluid
transport. This review provides a brief outline of gene expression profile of
LECs and the molecular biological function, which will give the reader a better
understanding about the mechanics of lymphatic function and some pathologies
related to the lymphatic system such as lymphoedema, and facilitate advanced
scientific research into lymphatic biology.
PMID- 22070414
TI - Managing risk: a qualitative study of community-based professionals working with
learning-disabled sex offenders.
AB - This study reports on research conducted to examine how community practitioners
manage the difficulties of risk assessment in relation to people with a learning
disability and a history of sexually offensive or abusive behaviour. Semi
structured interviews were conducted with a number of key professionals from
various disciplines, all currently involved in the assessment process with the
aim of determining potential referral to community settings. Data analysis
revolved around a thematic exposition of factors influencing the relationship
between the objective science of psychiatric investigation and the subjective
interpretation of real-world practicalities in working with this group. Findings
surrounded three major themes, frame conflict, relating to the difficulties of
decision making in this area, therapeutic performance, whereby professionals were
expected to engage in some form of active intervention, and safety outcomes,
involving consideration of the problematic nature of judging the likelihood of re
offending.
PMID- 22070413
TI - On the possible use of exogenous histones in cell technology.
AB - The prospect of developing transport systems using histones for site-specific
delivery of therapeutic agents that have poor penetration characteristics through
cellular membranes and tissue barriers has been investigated. Histones
immobilized on microspheres can also be used to modify surfaces intended for cell
cultivation, facilitating adhesion, proliferation and network formation by
interactions of cells through contacts with several microspheres. They can be
applied to three-dimensional pore matrices that are designed for producing tissue
like structures in vitro.
PMID- 22070415
TI - Negative support of significant others affects psychological adjustment in breast
cancer patients.
AB - Significant others play an important role in providing support in patients'
lives, but some types of support negatively affect the patients. This study was
conducted in early-stage breast cancer patients to examine the structure of
support, which was provided by their significant others and assessed negatively
by the patients, and to identify negative support relating to the psychological
adjustment of these patients. Thus, we first conducted interviews among 28 breast
cancer patients to identify these support items assessed as negative; next, we
conducted a questionnaire survey using the resulting items in 109 postoperative
patients who had early-stage breast cancer. We performed exploratory and
confirmatory factor analyses and obtained a valid second-order factor structure,
including superordinate factors (excessive engagement, avoidance of problems and
underestimation) and subordinate factors (overprotection, encouragement and
management). Among these factors, the avoidance of problems was the only factor
to be negatively associated with psychological adjustment of the patients,
suggesting that these patients receive problem-avoiding support. The results of
our study suggest that such problem-avoiding support from significant others can
be counter-productive and potentially worsen the psychological adjustment of
breast cancer patients.
PMID- 22070416
TI - The role of perceived benefits and costs in patients' medical decisions.
AB - BACKGROUND: Many decisions can be understood in terms of actors' valuations of
benefits and costs. The article investigates whether this is also true of patient
medical decision making. It aims to investigate (i) the importance patients
attach to various reasons for and against nine medical decisions; (ii) how well
the importance attached to benefits and costs predicts action or inaction; and
(iii) how such valuations are related to decision confidence. METHODS: In a
national random digit dial telephone survey of U.S. adults, patients rated the
importance of various reasons for and against medical decisions they had made or
talked to a health-care provider about during the past 2 years. Participants were
2575 English-speaking adults age 40 and older. Data were analysed by means of
logistic regressions predicting action/inaction and linear regressions predicting
confidence. RESULTS: Aggregating individual reasons into those that may be
regarded as benefits and those that may be regarded as costs, and weighting them
by their importance to the patient, shows the expected relationship to action.
Perceived benefits and costs are also significantly related to the confidence
patients report about their decision. CONCLUSION: The factors patients say are
important in their medical decisions reflect a subjective weighing of benefits
and costs and predict action/inaction although they do not necessarily indicate
that patients are well informed. The greater the difference between the
importance attached to benefits and costs, the greater patients' confidence in
their decision.
PMID- 22070417
TI - Reviews on animal diseases recently published in other journals.
PMID- 22070419
TI - Weight status and health characteristics of rural Saskatchewan children.
AB - INTRODUCTION: The present and future health of children is significantly
threatened by physical inactivity, poor diet, and the obesity epidemic. Limited
studies on the health of children living in rural settings suggest that rural
children have a higher prevalence of overweight and may not be as active as their
urban counterparts. The purpose of this study was to examine the health behaviors
and weight status of children aged 8 to 13 years living in rural Saskatchewan,
Canada. METHODS: A cross-sectional health questionnaire assessed the health
behaviors (eg physical activity, sedentary behaviors, dietary patterns) and
perception of health status (eg very healthy, quite healthy, not very healthy) of
99 children attending a rural school. Heights and weights were measured and used
to calculate BMI's (kg/m2). The BMIs were used to categorize children as healthy
weight, overweight, or obese. RESULTS: Thirty-four percent of children were
overweight (23.7%) or obese (10.3%) with a significantly higher prevalence of
overweight/obesity in boys aged 6 to 8 years (p <0.05). A significantly
higher proportion of children living in town (vs living on a farm) watched two or
more hours of television a day (p <0.05). Many children (65%) used
active transport (bus or car) to school or after-school activities. The majority
of children reported they were very healthy. Most children reported eating fruit
and vegetables more frequently, and sugared drinks and French fries less
frequently. CONCLUSION: Prevalence of overweight/obesity in these rural children
was high with gender differences evident at a very young age. Most children
reported eating healthy diets but many participated in several hours of daily
'screen time' (eg watching television or using a computer). Despite their weight
status or patterns of physical inactivity, children perceived themselves as being
very healthy. Understanding the health behaviors and weight status of rural
children may assist in the development of effective health promotion programs for
rural children.
PMID- 22070420
TI - Models of care delivery in mental health nursing practice: a mixed method study.
AB - The aim of this study was to identify the conceptual models that underpin mental
health nursing care in clinical settings. This study is a modification of a
previous study which evaluated the influence of implicit models of mental
disorder on processes of decision making within community-based teams.
Participants completed questionnaires in response to a scenario. A range of
explanatory conceptual models were identified in respect to aetiology, treatment
and recovery. In a forced choice the participants ranked a medical model of care
above other models as underpinning care delivery. The content analysis found that
the participants used a psychodynamic framework for understanding the causes of
mental distress but described the nursing interventions in terms of supporting a
medical model of care. Nursing care is dominated by a medical model which
constrains mental health nursing. This potentially creates tension between what
nurses believe to be the problem and the responses available for nurses in their
clinical setting. A range of psychosocial approaches to mental health care
delivery have been developed, but there seems to be problems with their
implementation in practice. Further research is required to explore how broader
therapeutic interventions can be implemented by nurses within multidisciplinary
systems of mental health care delivery.
PMID- 22070422
TI - Acne: prevalence and relationship with dietary habits in Eskisehir, Turkey.
AB - BACKGROUND: Acne vulgaris is a common disease affecting adolescents. There is not
comprehensive data on acne prevalence in the Central Anatolia Region in
particular. Etiology of acne is not clarified yet. Acne might be related to
environmental factors. There is increasing evidence supporting acne and diet
relationship. OBJECTIVES: The aim of the study was to determine the acne
prevalence in adolescents in the city of Eskisehir, located in the Central
Anatolia, Turkey in addition to evaluate factors affecting acne and its
relationship with dietary habits. METHODS: A cross-sectional study was conducted
on 2300 participants aged 13-18 years. The participants were asked to complete a
questionnaire form consisting information about acne and a questionnaire form
consisting information about dietary habits (The Adolescent Food Habits
Checklist). In addition an objective evaluation of acne was determined. RESULTS:
The mean age of students with acne was 15.10+/-1.53. The current acne prevalence
was 60.7%. Although 21% of the participants had severe acne (grade 3-4) and 25%
developed sequelaes, only 11.5% of all participants consulted a doctor. The
participants without acne had healthier dietary habits than participants with
acne (P<0.05). Frequent fat intake (OR=1.39, 95% CI: 1.06-1.82), frequent sugar
intake (OR=1.30, 95% CI: 1.05-1.60), frequent eating sausages, burgers (OR=1.24,
95% CI: 1.03-1.48), frequent eating pastries, cakes (OR=1.20, 95% CI: 1.01-1.43)
were associated with increased risk for acne. CONCLUSIONS: Acne prevalence is
high among adolescents in Eskisehir but the rate of consulting doctor is low.
Increasing public awareness is critical for convincing adolescents to seek
medical help earlier. Acne was related with dietary habits. Fat, sugar and fast
food consumption is found to be positively correlated with acne prevalence.
PMID- 22070421
TI - Is response to OROS-methylphenidate treatment moderated by treatment with
antidepressants or psychiatric comorbidity? A secondary analysis from a large
randomized double blind study of adults with ADHD.
AB - AIMS: The main aim of this post hoc analysis was to evaluate whether response to
osmotic release oral system (OROS) methylphenidate (OROS-MPH) was moderated by
the concomitant use of antidepressants in attention-deficit/hyperactivity
disorder (ADHD) adults stabilized on these medicines for the treatment of
depression or anxiety disorders, or a history of mood, anxiety, or substance use
disorders. METHODS: Two hundred and ninety-six subjects were screened for
participation; 227 were randomized (112 to OROS-MPH and 115 to placebo), and 223
were analyzed (N= 109 and N= 114 for OROS-MPH and placebo, respectively).
Subjects with anxiety disorders and depression treated with a stable medication
regimen of non-MAOI antidepressants or benzodiazepines for at least 3 months
could be enrolled in the study. Subjects currently receiving pharmacotherapy for
anxiety disorders or depression were required to have Hamilton-Depression and
Hamilton-Anxiety rating scales below 15 (mild range). RESULTS: Concomitant
antidepressant use at baseline was not associated with ADHD response, OROS-MPH
dose, study completion rate, adverse effects, or exacerbation of
anxiety/depression. We did find nominally significant evidence that a lifetime
history of mood (P= 0.09) or anxiety (P= 0.04) disorders was a moderator of ADHD
symptoms and that a lifetime history of substance use disorder (P= 0.02) was a
potential moderator of dose at endpoint. DISCUSSION AND CONCLUSIONS: We found few
moderating effects in this large clinical trial of OROS-MPH in adults with ADHD,
which supports the robustness of the clinical response to OROS-MPH in adult ADHD
despite variable clinical pictures.
PMID- 22070423
TI - Patient satisfaction as an outcome of individualised nursing care.
AB - BACKGROUND: The association between individualised nursing care and patient
satisfaction has been previously found. However, there is a lack of studies
examining this association between individualised care and patient satisfaction
in a cross-cultural study. AIMS: This study examines the association between
individualised care and patient satisfaction in a sample of general surgical
patients from five European countries. METHODS: A cross-sectional design and
survey method were used to collect data from general surgical patients (N = 1315,
response rate 78%) in 72 inpatient wards in 26 general acute hospitals' in 2009
using self-completed questionnaires the Individualised Care Scale and the Patient
Satisfaction Scale. Data were analysed using descriptive statistics, Pearson
correlation coefficients and multiple stepwise regression analyses. RESULTS:
Surgical patients reported that the care they received was only moderately
individualised overall, but individuality was taken into account well in
patients' clinical situation and decisional control over care. Patients were
satisfied with their care, mostly with the technical aspects of care and least
with the information given. There were between-country differences in patients'
perceptions of individuality in care and patient satisfaction. A positive
correlation between the level of individualised care received and patient
satisfaction was found, confirming that individualised nursing care delivery
influences patients' satisfaction with care and demonstrating that this quality
of care indicator might be able to be used as a predictor of patient
satisfaction, one outcome of care. CONCLUSION: The findings of this study
strengthen previous results and further reporting the existence of a relationship
and the positive correlation between individualised care and patient
satisfaction. The results can inform administrative decisions and policy on
introducing nursing approaches to care that would increase patient satisfaction.
PMID- 22070425
TI - Profile of inflammatory mediators in gestational diabetes mellitus: phenotype and
genotype.
AB - PROBLEM: Our study aimed to assess in vitro production of IL-10, IL-6, TNF-A, and
adiponectin serum levels in pregnant women with and without gestational diabetes
mellitus (GDM) and to investigate a possible association between GDM and IL-10
1082 A>G (rs1800896), IL-6-174 G>C (rs1800795), TNF-A-308 G>A (rs1800629),
adiponectin +45 T>G (rs2241766), and adiponectin-11377 C>G (rs266729) gene
polymorphisms. METHOD OF STUDY: This case-control study included 79 women with
GDM and 169 healthy controls (C) grouped according to pre-pregnancy BMI. IL-10,
IL-6, and TNF-A culture supernatant and adiponectin serum levels were assessed by
ELISA. DNA genotype was performed by PCR-RFLP. RESULTS: Adiponectin levels were
significantly higher in C than GDM women, even within the same BMI category.
Cytokines levels were similar between the groups. There were no associations
between GDM and the analyzed gene polymorphisms. CONCLUSIONS: Women with GDM have
significantly lower adiponectin levels in the third trimester, regardless of BMI.
PMID- 22070424
TI - Proteome driven re-evaluation and functional annotation of the Streptococcus
pyogenes SF370 genome.
AB - BACKGROUND: The genome data of Streptococcus pyogenes SF370 has been widely used
by many researchers and provides a vast array of interesting findings.
Nevertheless, approximately 40% of genes remain classified as hypothetical
proteins, and several coding sequences (CDSs) have been unrecognized. In this
study, we attempted a shotgun proteomic analysis with a six-frame database that
was independent of genome annotation. RESULTS: Nine proteins encoded by novel
ORFs were found by shotgun proteomic analysis, and their specific mRNAs were
verified by reverse transcriptional PCR (RT-PCR). We also provided functional
annotations for hypothetical genes using proteomic analysis from three different
culture conditions that were separated into three fractions: supernatant,
soluble, and insoluble. Consequently, we identified 567 proteins on re-evaluation
of the proteomic data using an in-house database comprising 1,697 annotated and
nine non-annotated CDSs. We provided functional annotations for 126 hypothetical
proteins (18.9% out of the 668 hypothetical proteins) based on their cellular
fractions and expression profiles under different culture conditions.
CONCLUSIONS: The list of amino acid sequences that were annotated by genome
analysis contains outdated information and unrecognized protein-coding sequences.
We suggest that the six-frame database derived from actual DNA sequences be used
for reliable proteomic analysis. In addition, the experimental evidence from
functional proteomic analysis is useful for the re-evaluation of previously
sequenced genomes.
PMID- 22070426
TI - Adolescent client views towards the treatment of anorexia nervosa: a review of
the literature.
AB - This paper reviews current literature in which adolescents with anorexia nervosa
(AN) were consulted about their views of their treatment. Published research was
systematically retrieved and interrogated during 2009-2010 and analysed using a
four-stage model. Eleven studies met the inclusion criteria. Three core themes
were identified. AN was perceived as a means of taking control and also something
that controlled the individual. Tensions were recognized between client
preferences for psychological interventions and treatments that prioritized
physical care. Therapeutic alliance emerged as a strategy for overcoming these
difficulties but was challenged by client ambivalence towards treatment. Most
included studies were qualitative. Young males and individuals who dropped out of
treatment were underrepresented in the studies. Adolescents' perspectives on
treatment for AN were characterized by paradoxes and tensions. Egosyntonic theory
was used as a theoretical construct to interpret findings.
PMID- 22070427
TI - Early age-related macular degeneration in patients with myocardial infarction.
AB - PURPOSE: To investigate the prevalence of early age-related macular degeneration
(AMD) in patients with acute myocardial infarction (MI). METHODS: Enrolled in the
study were 262 acute MI patients (MI group), aged 40-64 years, as well as 1,155
non-MI persons, aged 40-64 years, from a random sample (reference group) of the
Kaunas population. RESULTS: The prevalence of early AMD in the random sample was
7.3%, while in MI patients, the prevalence was 54.5% (P < 0.001). For all age
groups, the prevalence of early AMD was significantly (P < 0.005) higher in MI
patients than in reference-group persons. In the reference group, the prevalence
of early AMD increased significantly with age, whereas no such trend was observed
in the MI group. At the 45- to 54-year-olds, the prevalence was significantly
higher in males than in females (9.9% vs. 3.7%; P < 0.05) in the reference group,
while overall, the prevalence of early AMD in the males and females of the much
larger reference group was 8.6% versus 6.2%, respectively (P > 0.05). It
increased more with age for females (3.7% and 10.8% at the age 45-54 and 55-64
years, P < 0.05, respectively) while in males, frequency of AMD did not differ
significantly between latter age groups (9.9% vs. 11.6%; P > 0.05). CONCLUSIONS:
We conclude that the prevalence of early AMD is significantly higher in patients
with MI than in a random sample of the population.
PMID- 22070428
TI - Recent history provides sustainable African water quality project insight.
AB - Small-scale projects to provide clean drinking water undertaken in the developing
world can contribute to significantly improving the livelihood of rural
communities. There has been a historical tendency to poorly plan such projects
leading to an unsustainable future. Recent history indicates three simple steps
to ensuring successful and enduring clean water projects. First, identification
of need by the indigenous community provides ownership in the project. Second, a
partnership between key individuals in the indigenous community with the donor
provides for ambassadors on both sides of the project. Finally, an exit strategy
by the donors for the indigenous communities ensures local sustainability for the
future. The study site is the village of Geisha in northern Malawi, Africa.
Sustainable implementation approaches are discussed in this case study as well as
the various lessons learned. Improved project processes ensure sustainable small
scale water quality projects by donor organizations in developing countries.
PMID- 22070429
TI - Acupuncture for anxiety.
AB - This review aims to examine the volume and quality of the evidence base which
supports the use of acupuncture in the treatment of anxiety disorders. A
literature review was conducted using Pubmed, Google scholar, AMED, BMJ, Embase,
Psychinfo, Cochrane library, Ingenta connect, and Cinahl databases. Keywords were
"anxiety,""anxious,""panic,""stress,""phobia," and "acupuncture" limited to year
2000 onwards and English language where available. The quality of research
examining the use of acupuncture in the treatment of anxiety disorders is
extremely variable. There is enormous variety regarding points used, number of
points used in a session, duration of sessions, frequency of treatment and
duration of treatment programme. While the generally poor methodological quality,
combined with the wide range of outcome measures used, number and variety of
points, frequency of sessions, and duration of treatment makes firm conclusions
difficult. Against this, the volume of literature, consistency of statistically
significant results, wide range of conditions treated and use of animal test
subjects suggests very real, positive outcomes using a treatment method preferred
by a population of individuals who tend to be resistant to conventional medicine.
PMID- 22070431
TI - Film stability during postassembly morphological changes in polyelectrolyte
multilayers due to acid and base exposure.
AB - The mechanism of the transition from a continuous morphology to a porous
morphology within polyelectrolyte multilayers (PEMs) of linear poly(ethylene
imine) (LPEI) and poly(acrylic acid) (PAA) and poly(allylamine hydrochloride)
(PAH) and PAA assembled by the layer-by-layer (LbL) technique is examined. These
morphological changes were created by both acidic and basic postassembly
treatments. Basic postassembly treatment is shown to create different types of
porosity than acidic postassembly treatment. The morphological variation from the
introduction of porosity to the collapse of these porous structures and the
dissolution of films under postassembly treatments was observed by AFM, optical
microscopy, quartz crystal microbalance (QCM), and SEM. These morphological
transitions which are a result of structural rearrangement of weak
polyelectrolytes due to pH changes are closely related to the neutralization of
the polycations and the ionization of polyanions. Results obtained from FTIR
spectroscopy and QCM confirm that polyelectrolytes are being selectively or
partially released from the polyelectrolyte multilayers thin films (PEMs) in
response to the pH treatment as a function of exposure time. In conclusion, here
new information is presented about the structural reorganization found in a
number of weak polyelectrolyte systems. This information will be useful in
designing functional materials based on polyelectrolytes.
PMID- 22070433
TI - Comment on "Optimized preprocessing of ultra-performance liquid
chromatography/mass spectrometry urinary metabolic profiles for improved
information recovery".
PMID- 22070432
TI - Membrane-assisted online renaturation for automated microfluidic lectin blotting.
AB - Aberrant glycosylation plays a pivotal role in a diverse set of diseases,
including cancer. A microfluidic lectin blotting platform is introduced to enable
and expedite the identification of protein glycosylation based on protein size
and affinity for specific lectins. The integrated multistage assay eliminates
manual intervention steps required for slab-gel lectin blotting, increases total
assay throughput, limits reagent and sample consumption, and is completed using
one instrument. The assay comprises non-reducing sodium dodecyl sulfate
polyacrylamide gel electrophoresis (SDS-PAGE) followed by online post-sizing SDS
filtration and lectin-based affinity blotting. Important functionality is
conferred through both device and assay advances that enable integration of
nanoporous membranes flanking a central microchamber to create sub-nanoliter
volume compartments that trap SDS-protein complexes and allow electrophoretic SDS
removal with buffer exchange. Recapitulation of protein binding for lectin was
optimized through quantitative assessment of SDS-treated green fluorescent
protein. Immunoglobulin A1 aberrantly glycosylated with galactose-deficient O
glycans was probed in ~6 min using ~3 MUL of sample. This new microfluidic lectin
blotting platform provides a rapid and automated assay for the assessment of
aberrant glycosylation.
PMID- 22070434
TI - Toll-like receptors and diabetes: a therapeutic perspective.
AB - Diabetes is a mutifactorial metabolic disorder that leads to a number of
complications. Diabetes is estimated to affect 36 million people in the U.S.A.,
and the prevalence of diagnosed and undiagnosed diabetes is at 9.3% and continues
to rise. Evidence from experimental animal models as well as humans has indicated
that systemic inflammation plays a role in the pathophysiological processes of
diabetes and is facilitated by innate immune responses. TLRs (Toll-like
receptors) are key innate immune receptors that recognize conserved PAMPs
(pathogen-associated molecular patterns), induce inflammatory responses essential
for host defences and initiate an adaptive immune response. Although TLR
expression is increased in a plethora of inflammatory disorders, the effects of
metabolic aberrations on TLRs and their role in diabetes and its complications is
still emerging. In the present paper, we provide a systematic review on how TLRs
play a detrimental role in the pathogenic processes [increased blood sugar, NEFAs
(non-esterified 'free' fatty acids), cytokines and ROS (reactive oxygen species)]
that manifest diabetes. Furthermore, we will highlight some of the therapeutic
strategies targeted at decreasing TLRs to abrogate inflammation in diabetes that
may eventually result in decreased complications.
PMID- 22070435
TI - Equal access for Medicaid beneficiaries--the Supreme Court and the Douglas cases.
PMID- 22070436
TI - Great expectations: a systematic review of the literature on the role of family
carers in severe mental illness, and their relationships and engagement with
professionals.
AB - As community care has become embedded in the U.K. as in much of the western world
more responsibility for psychosocial care has been placed on family carers. A
systematic review of the literature about the role of family carers supporting a
relative with severe mental illness and their relationships and engagement with
professionals was carried out. The review aimed to find out what professionals
expected of family carers and what family carers expected of themselves. Themes
were identified: the distinct and personal nature of family caring, potentially
effective family caring, barriers to effective caring and ways to overcome
barriers. There were expectations that family carers were obligated to help
support effective care, but that the rights to enable carers to fulfil these
obligations were not consistently upheld. Barriers to upholding rights include:
types of service provision, professional attitudes to communication and
engagement with carers, and carer ability to cope. Recommendations for practice
included: service provision aimed at including carers, more empathic
communication by professionals, and a covenant between mental health services and
people who depend on them. The idea of a covenant requires more discussion and
research is needed into what is expected of family carers.
PMID- 22070437
TI - Naturopathic medicine and public health: teaming up for a transformative
tomorrow.
PMID- 22070439
TI - The intersecting paradigms of naturopathic medicine and public health:
opportunities for naturopathic medicine.
AB - Complementary medicine research, including naturopathic medicine research, is
plagued with many methodological challenges. Many of these challenges have also
been experienced in public health research. Public health research has met these
challenges with a long history of multidisciplinary, multimethod, and whole
systems approaches to research that may better resonate with the ?real world?
clinical settings of naturopathic medicine. Additionally, many of the underlying
principles of naturopathic medicine are analogous to the underlying principles
and activities of public health, specifically in such areas as health promotion,
prevention, patient education, and proactive rather than reactive approaches to
disease management and treatment. Future research in the field of naturopathic
medicine may benefit from adopting public health research models rather than
focusing exclusively on biomedical models. A complementary and collaborative
relationship between these fields may provide an opportunity to deliver research
that more accurately reflects naturopathic medicine practice, as well as
providing the opportunity to improve health outcomes more generally.
PMID- 22070438
TI - Reduced health resource use after acupuncture for low-back pain.
AB - OBJECTIVES: Acupuncture is commonly used to treat low-back pain (LBP) and
clinical trials have demonstrated its efficacy. However, less is known about how
the utilization of acupuncture impacts public health service utilization in the
real world. This study investigates the association between acupuncture
utilization for LBP and health care utilization by assessing whether patients who
undergo acupuncture subsequently use fewer health care resources and whether
those patients differ in their health care use from the general population with
LBP. DESIGN: This study employed the design of a two-group pre/post secondary
data analysis. SETTING AND SUBJECTS: There were two study populations. To
identify patients who received acupuncture for LBP in 2000, patient charts at
Alberta registered acupuncture clinics were reviewed. The comparison group was
identified from the Alberta physician claims administrative database. Acupuncture
group cases were matched with four comparison cases from the general population
with LBP based on gender and age. OUTCOME MEASURES: Number of physician visits
and physician service cost for LBP-related services for 1 year pre- and
postacupuncture treatment period were calculated from the physician claims data
for both study groups. RESULTS: For the 201 cases and 804 controls, the mean age
was 48 years and 54% were female. The number of physician visits for the 1-year
period postacupuncture decreased 49% for the acupuncture group (p<0.01) compared
to the 1-year period preacupuncture. For the comparison there was a decrease of
2% in physician visits (p=0.59) for the same time periods. Corresponding to the
decrease, physician services cost declined 37% for the case group (p=0.01) and 1%
for the comparison (p=0.86). CONCLUSIONS: Results suggest that patients with LBP
were less likely to visit physicians for LBP after acupuncture treatment. This
led to reduced health services spending on LBP.
PMID- 22070441
TI - A case of aromatase inhibitor (anastrozole)-induced side-effects successfully
treated with Kampo medicines.
AB - OBJECTIVES: Breast cancer is the fourth most frequent cause of death, and it is
currently the most frequent cause of death among Japanese women. As to breast
cancer therapy, lengthy hormonal therapy is very important for the treatment and
prevention of recurrence. Aromatase inhibitors (AIs) are the initial drug of
choice for postoperative adjuvant therapy of breast cancer in Japan. AIs require
long-term use and occasionally cause serious side-effects. In this report, the
effects of Kampo medicines (Japanese traditional medicines) on AIs-induced side
effects are described. SUBJECT: A 55-year-old woman visited the Kampo outpatient
department of Chiba University Hospital for atypical genital bleeding and
arthralgia. At the age of 54, she suffered from left breast cancer and underwent
left total mastectomy followed by chemotherapy for 6 months. Afterwards, 1 mg/day
of anastrozole, one of the AIs, was used for therapy. Three (3) months later,
atypical genital bleeding from vaginal mucosa and joint pains of bilateral hands
and knees occurred as side-effects of anastrozole. Her attending doctor could
only prescribe nonsteroidal external medicine for the inflammation of vaginal
mucosa and do close follow-up. However, her symptoms showed no improvement.
INTERVENTIONS AND OUTCOME: Her deficiency of both ki (qi) and ketsu (Blood) was
diagnosed based on Kampo diagnostics. Juzentaihoto was used for treatment. After
taking juzentaihoto for 5 weeks, the atypical genital bleeding disappeared, and
she no longer need topical medicine. Because her arthralgia showed no
improvement, powdered processed aconitine root was added. After taking 3.0 g/day
of this medication, her arthralgia almost completely disappeared. CONCLUSIONS:
Controlling the side-effects is a clinical issue from the viewpoint of adherence
to drug treatment. Kampo therapy should be considered one of the choices for side
effects in the process of cancer treatment.
PMID- 22070442
TI - The relationship between dental occlusion/temporomandibular joint status and
general body health: part 1. Dental occlusion and TMJ status exert an influence
on general body health.
AB - BACKGROUND: There have been varied studies that have suggested a relationship
between dental occlusion/temporomandibular joint (TMJ) status and general body
health. Therefore, it is important to elucidate the systematic relationships and
corresponding action mechanisms between them. OBJECTIVES: The purpose of this
part of study was to review the relationships between dental occlusion/TMJ status
and systemic body health based on the published literature. METHODS: This study,
based mostly on peer-reviewed specialist articles, has determined that dental
occlusion/TMJ status exerts an influence on (1) synchronization of head and jaw
muscles with the muscles from other body sites for proper body posture; (2) body
stability such as body equilibrium (balance), center of gravity fluctuation, and
gaze stability; and (3) physical performance along with physical fitness.
CONCLUSIONS: Therefore, these relationships should be further investigated and
extended to the whole body, and the action mechanisms should be elucidated.
PMID- 22070443
TI - Attitude of conventional and CAM physicians toward CAM in India.
AB - OBJECTIVES: The aim of the present study was to compare the attitude toward
complementary and alternative medicine (CAM) of primary care physicians trained
in conventional medicine with CAM physicians whose training was for a comparable
duration. The CAM physicians included practitioners of Ayurveda, homeopathy, and
naturopathy. PARTICIPANTS: Two hundred and ninety five (295) physicians with aged
20-60 (group mean+/-standard deviation, 48.2-12.3 years, 87 females)
participated. Eighty-six (86) of them were trained in Ayurveda, 90 in homeopathy,
82 in conventional medicine, and 37 in naturopathy. They were attending a 4-day
residential program on Indian culture. All of them gave their signed consent to
take part in the study, and the institution's ethics committee approved the
study. STUDY DESIGN: The study was a cross-sectional survey. Since the
participants had self-selected to join for the program on Indian culture, the
sampling could be considered as convenience sampling. RESULTS: The number of
conventionally trained and CAM physicians were similar in number and in their
reasons for selecting CAM treatments. CONCLUSIONS: Conventionally trained and CAM
physicians were comparably likely to prescribe CAM treatments for their patients.
Their reasons for prescribing CAM treatments appeared to be (1) the idea that CAM
treatments deal with the cause, and (2) a belief in the treatments. A limiting
factor of the survey is that it did not determine whether the belief was based on
evidence or on faith alone.
PMID- 22070444
TI - Clinical handover in acute psychiatric and community mental health settings.
AB - This study collected an area-wide snapshot of current handover practice in
psychiatric settings which included acute care units and community mental health
centres. The study was conducted in two stages. Firstly, a questionnaire was sent
to all clinical mental health staff within an area-wide health service regarding
normal handover procedures and processes. The second part of the study used non
participant observers to evaluate actual handovers in inpatient and community
settings. Of the 1125 surveys distributed in stage one, 380 (34%) were returned
completed. Of the 40 handovers observed in stage two in which 637 patients were
discussed, 40% included at least one consultant psychiatrist or registrar as a
participant. Almost all the handovers were completed face-to-face in a specific
location with a set time and duration. Eighty-six per cent of respondents
reported that deteriorating patients were escalated for rapid response. The
results of the survey and structured observations support the issues emerging
from the literature from medical, surgical and clinical team handovers.
Additionally, the issue of identifiers for deterioration of a psychiatric patient
emerged as an area worthy of further investigation and incorporation into
clinical handover education and training for psychiatric services.
PMID- 22070445
TI - Developing written information on osteoarthritis for patients: facilitating user
involvement by exposure to qualitative research.
AB - INTRODUCTION: In developing a guidebook on osteoarthritis (OA), we collaborated
with people who have chronic joint pain (users). But to advise, users need to be
aware of and sensitive about their own state of knowledge and educationalists
argue that adults sometimes lack such awareness. This paper will report on our
experience of providing users with findings from qualitative research to increase
awareness of their level of knowledge. METHOD: A summary of the results from
qualitative research into people's experiences of living with chronic pain was
sent to individual members of two groups of users. It was then used to structure
group meetings held to help identify information needed for the guidebook.
FINDINGS: Some users found the summary difficult to read and suggested how to
simplify it. Nevertheless, it helped most users to become aware of the
experiences and views of others who have OA and thus become more sensitive to
their own level of knowledge. It also helped them recall experiences that
stimulated practical suggestions for managing joint pain in everyday life and
provided a way of gently challenging the views of users when they appeared to
assume that their views were widely held. The discussions brought to light gaps
in the research literature. CONCLUSION: We believe this way of involving users by
exposing them to qualitative research findings about lay experiences of living
with OA effectively facilitated the users' contributions to the needs of those
who have to live with OA, and we believe it has wider applications.
PMID- 22070446
TI - Efficacy of passive uterine straightening during intrauterine insemination on
pregnancy rates and ease of technique.
AB - AIM: The aim of the present study was to evaluate the efficacy of passive uterine
straightening during intrauterine insemination (IUI). MATERIAL AND METHODS: A
randomized controlled trial was conducted at Zeynep Kamil Maternity and Pediatric
Research and Training Hospital. Participants were 460 women with unexplained
infertility. Interventions were IUI by passive straightening of the uterus by
means of bladder filling, or IUI performed with an empty bladder. Main outcome
measures included pregnancy rate and difficulty of IUI. RESULTS: Four hundred and
sixty couples were allocated: 230 couples were allocated to the full bladder
group, and 230 couples were allocated to the empty bladder group (control). The
pregnancy rate was higher in the full bladder group than in the empty bladder
(control) group (P=0.03, 13.5% vs 7.4%; relative risk [RR] 1.95 for pregnant
patients; 95% confidence intervals [CI] 1.048-3.637). The risk of undergoing
difficult IUI was higher in the empty bladder group than the full bladder group
(P<0.001; 10.0% vs 37.8%, RR 0.18 for difficulty IUI; 95% CI 0.11-0.30). The
clinical pregnancy rate was also higher in the group of patients who had easy IUI
than in the group of patients who had difficult IUI (P<0.05, 12.7% [42/331] vs
5.5% [6/110]); RR 2.51 for pregnancy; 95% CI 1.04-6.09). CONCLUSION: Passive
straightening of the uterus makes the procedure less difficult and improves the
clinical pregnancy rate.
PMID- 22070447
TI - Health and well-being as drivers of global success.
PMID- 22070448
TI - Concern as motivation for protection: an investigation of mothers' concern about
daughters' breast cancer risk.
AB - The present study surveyed mothers with daughters (N = 386) to investigate how
mothers' concern about their daughters' breast cancer risk influenced intentions
to engage in preventive behaviors. Using protection motivation theory as a
framework, self-efficacy, response efficacy, and level of concern were posited to
influence protective behavioral intention in distinct ways. Results from
regression analyses indicate that self-efficacy, response efficacy, and mothers'
concern are significant predictors of intentions to engage in preventive
behaviors with daughters. In addition, a content analysis of mothers' open-ended
reasons for their concern about their daughters' breast cancer risk yield a list
of specific concerns and trends that vary by concern level and individual comment
valence. The authors discuss implications for incorporating mothers' concerns
into breast cancer prevention messages as a novel strategy for campaign
designers.
PMID- 22070449
TI - Predictors of supportive message expression and reception in an interactive
cancer communication system.
AB - Social support in computer-mediated settings is an important variable in health
communication research, yet little is known about the factors that influence the
amount of social support one gives and receives in online support groups. To shed
some light on this issue, the authors examined demographics, disease-related
factors, psychosocial factors, and strategies for coping with breast cancer as
potential determinants of which patients provide support to others and which ones
consume it. Data collected from 177 participants in the Comprehensive Health
Enhancement Support System "Living With Breast Cancer" program revealed that
individuals who are younger, have higher levels of positive reframing, and lower
levels of self-blame are more likely to provide emotional support in online
settings. In contrast, individuals who are more educated, have less perceived
availability of social support, and have lower levels of religious coping are
more likely to receive emotional support from others. The authors discuss the
theoretical and practical implications for providing effective psychosocial
support for women with breast cancer.
PMID- 22070451
TI - Comparison of recipient outcomes following transplant from local versus imported
pancreas donors.
AB - The shortage of deceased donor organs for solid organ transplantation continues
to be an ongoing dilemma. One approach to increase the number of pancreas
transplants is to share organs between procurement regions. To assess for the
effects of organ importation, we reviewed the outcomes of 1014 patients
undergoing deceased donor pancreas transplant at a single center. We performed
univariate and multivariate analyses of the association of donor, recipient and
surgical characteristics with patient outcomes. Organ importation had no effect
on graft or recipient survival for recipients of solitary pancreas transplants.
Similarly, there was no effect on technical failure rate, graft survival or long
term patient survival for simultaneous kidney-pancreas (SPK) recipients. In
contrast, there was a significant and independent increased risk of death in the
first year in SPK recipients of imported organs. SPK recipients had longer
hospitalizations and increased hospital costs. This increased medical complexity
may make these patients more susceptible to short-term complications resulting
from the longer preservation times of import transplants. These findings support
the continued use of organ sharing to reduce transplant wait times but highlight
the importance of strategies to reduce organ preservation times.
PMID- 22070452
TI - Nurse-led delivery of specialist supportive care for bipolar disorder: a
randomized controlled trial.
AB - The aim of the study is (1) to assess the feasibility of delivering nurse-led
specialist supportive care as an adjunct to usual care in the clinical setting;
(2) to examine the relationship between the delivery of specialist supportive
care and improved self-efficacy and functioning and reduced depressive symptoms.
A randomized controlled trial of the clinical effectiveness of specialist
supportive care as an adjunct to usual care was conducted in community mental
health services at one site. Participants were randomized to either usual care or
usual care and the adjunctive intervention. Self-report measures of depression,
general functioning and self-efficacy were completed by participants in both
groups at baseline and 9 months. The intervention was delivered parallel to usual
treatment arrangements. While recruitment numbers were sufficient, a low rate of
engagement meant we were unable to show significant differences in depressive
symptoms or self-efficacy between the usual care group and the specialist
supportive care plus usual care group. This study demonstrated that it was
difficult to engage patients with bipolar disorder in specialist supportive care
when they were currently in a mood episode and under the care of community mental
health services.
PMID- 22070453
TI - SCORAD 75: a new metric for assessing treatment outcomes in atopic dermatitis.
AB - OBJECTIVE: The scoring atopic dermatitis (SCORAD) is a well-established severity
scoring tool for atopic dermatitis (AD). Dead Sea climatotherapy (DSC) is a
natural selective balneo-phototherapy utilized for many years to treat severe AD.
The study's goal was to evaluate the impact of DSC on AD patients through
assessment of SCORAD scores and to identify parameters associated with greater
improvement. METHODS: The files of 78 European patients (37 male patients and 41
female patients, mean age 37.8 years) with AD undergoing DSC were included in
this retrospective study. Three sub-groups were delineated based on disease
severity (as determined using the SCORAD). Demographic and clinical parameters as
well as treatment characteristics--maximal and cumulative sun exposure doses-
were recorded. SCORAD values were again recorded for assessment of treatment
response. SCORAD 75 was defined as >=75% decrease in SCORAD values following
therapy. Statistical analysis including logistic regression models was used in
multivariable analysis. RESULTS: After an average of 30 days of treatment, mean
SCORAD values dropped from 50.5 to 11 (76.7%, P<0.001). 64.1% of all patients,
regardless of sub-group, reached SCORAD 75, whereas 78.9% of patients with severe
disease achieved this result. In a multivariate logistic regression, factors
associated with achieving SCORAD 75 were maximal sun exposure, family history of
AD and age at disease onset (P=0.002, P=0.009 and P=0.040 respectively).
CONCLUSION: Dead Sea climatotherapy is a particularly effective treatment method
for the sub-population of adults with severe AD. The SCORAD 75 can be useful for
defining sub-populations in which treatment is more likely to be successful.
PMID- 22070455
TI - Care as a matter of courage: vulnerability, suffering and ethical formation in
nursing care.
AB - The aim of the study was to explore nurses' experience of how their own
vulnerability and suffering influence their ethical formation and their capacity
to provide professional care when they are confronted with the patient's
vulnerability and suffering. Care is shaped in the meeting between human beings.
Professional care is informed by the patient's appeal for help as it is expressed
in the meeting. Ethical formation is understood as a personal ethical and
existential process, resulting in the capacity to provide professional care. A
nurse must have the sense of being a complete human being with own personal
attributes and sensitivity in order to be able to relate to other people. The
study is based on qualitative interviews with 23 experienced nurses from Sweden,
Finland and Denmark. The analyses and interpretation were carried out in line
with Steinar Kvale's three levels of interpretation. The study clarifies that
ethical formation is a union of the nurse's personal attributes and professional
qualifications and that ethical formation is developed over time. Moreover, it
also demonstrates that the nurse's personal and professional life experiences of
vulnerability and suffering influence ethical formation. Vulnerability and
suffering have proven to be sensitive issues for nurses, like a sore point that
either serve as an eye-opener or cause the development of blind spots.
Furthermore, vulnerability, suffering and the sore points are seen to shape the
nurse's courage in relation to care. Courage appears to be a significant unifying
phenomenon that manifests itself as the courage to help patients face their own
vulnerability and suffering, to bear witness to patients' vulnerability and
suffering and to have faith in oneself in arguing for and providing professional
care. Courage thus seems to play a significant role in nurses' ability to engage
in care. Nurses' own vulnerability, suffering and sore points seem to shape their
courage.
PMID- 22070454
TI - Loss-of-function mutations affecting a specific Glycine max R2R3 MYB
transcription factor result in brown hilum and brown seed coats.
AB - BACKGROUND: Although modern soybean cultivars feature yellow seed coats, with the
only color variation found at the hila, the ancestral condition is black seed
coats. Both seed coat and hila coloration are due to the presence of
phenylpropanoid pathway derivatives, principally anthocyanins. The genetics of
soybean seed coat and hilum coloration were first investigated during the
resurgence of genetics during the 1920s, following the rediscovery of Mendel's
work. Despite the inclusion of this phenotypic marker into the extensive genetic
maps developed for soybean over the last twenty years, the genetic basis behind
the phenomenon of brown seed coats (the R locus) has remained undetermined until
now. RESULTS: In order to identify the gene responsible for the r gene effect
(brown hilum or seed coat color), we utilized bulk segregant analysis and
identified recombinant lines derived from a population segregating for two
phenotypically distinct alleles of the R locus. Fine mapping was accelerated
through use of a novel, bioinformatically determined set of Simple Sequence
Repeat (SSR) markers which allowed us to delimit the genomic region containing
the r gene to less than 200 kbp, despite the use of a mapping population of only
100 F6 lines. Candidate gene analysis identified a loss of function mutation
affecting a seed coat-specific expressed R2R3 MYB transcription factor gene
(Glyma09g36990) as a strong candidate for the brown hilum phenotype. We observed
a near perfect correlation between the mRNA expression levels of the functional R
gene candidate and an UDP-glucose:flavonoid 3-O-glucosyltransferase (UF3GT) gene,
which is responsible for the final step in anthocyanin biosynthesis. In contrast,
when a null allele of Glyma09g36990 is expressed no upregulation of the UF3GT
gene was found. CONCLUSIONS: We discovered an allelic series of four loss of
function mutations affecting our R locus gene candidate. The presence of any one
of these mutations was perfectly correlated with the brown seed coat/hilum
phenotype in a broadly distributed survey of soybean cultivars, barring the
presence of the epistatic dominant I allele or gray pubescence, both of which can
mask the effect of the r allele, resulting in yellow or buff hila. These findings
strongly suggest that loss of function for one particular seed coat-expressed
R2R3 MYB gene is responsible for the brown seed coat/hilum phenotype in soybean.
PMID- 22070456
TI - Late-onset bipolar illness: the geriatric bipolar type VI.
AB - In parallel to considerable progress in understanding and treatment of bipolarity
and despite growing interest in old age psychiatry, late-onset bipolar illness
(LOBI) has remained relatively understudied so far, probably in reason of its
complexity. To update available data, a systematic review was conducted, focusing
on the main issues addressed in literature in regard to this topic. In addition
to data on epidemiology, clinical features and treatment, five main issues could
be identified: LOBI as secondary disorder, LOBI as expression of a lower
vulnerability to the disease, LOBI as subform of pseudodementia, LOBI as risk
factor for developing dementia, and LOBI as bipolar type VI (bipolarity in the
context of dementia like processes). Levels of available evidence were found to
vary according to the addressed issue. Although the concept of bipolar type VI
could be criticized for subsuming under one single heading all the four other
issues, this concept may be of pragmatic value in helping clinicians to orientate
both diagnosis process and treatment decisions. Among others, the question as to
whether some forms of bipolar type VI could constitute a special risk factor for
developing dementia deserves further investigation. More studies are also needed
to better disentangle the effects of age at onset from those of age itself.
PMID- 22070457
TI - CD47-deficient mice have decreased production of intestinal IgA following oral
immunization but a maintained capacity to induce oral tolerance.
AB - Signal regulatory protein alpha (SIRPalpha/CD172a), expressed by myeloid cells
including CD11b(+) dendritic cells, interacts with ubiquitously expressed CD47
to mediate cell-cell signalling and therefore, may be pivotal in the development
of tolerance or immunity. We show that in mice deficient in CD47 (CD47(-/-) ) the
cellularity in gut-associated lymphoid tissues is reduced by 50%. In addition,
the frequency of CD11b(+) CD172a(+) dendritic cells is significantly reduced in
the gut and mesenteric lymph nodes, but not in Peyer's patches. Activation of
ovalbumin (OVA)-specific CD4(+) T cells in the mesenteric lymph nodes after
feeding OVA is reduced in CD47(-/-) mice compared with wild-type however,
induction of oral tolerance is maintained. The addition of cholera toxin
generated normal serum anti-OVA IgG and IgA titres but resulted in reduced
intestinal anti-OVA IgA in CD47(-/-) mice. Replacing the haematopoietic
compartment in CD47(-/-) mice with wild-type cells restored neither the
cellularity in gut-associated lymphoid tissues nor the capacity to produce
intestinal anti-OVA IgA following immunization. This study demonstrates that CD47
signalling is dispensable for oral tolerance induction, whereas the expression of
CD47 by non-haematopoietic cells is required for intestinal IgA B-cell responses.
This suggests that differential CD4 T cell functions control tolerance and
enterotoxin-induced IgA immunity in the gut.
PMID- 22070458
TI - Outer retinal oxygen consumption of rat by phosphorescence lifetime imaging.
AB - PURPOSE: Since the metabolic function of the retinal tissue is altered due to
physiologic changes or disease, measurements of outer retinal oxygen consumption
(Q(OR)) may be beneficial in assessment of retinal status. The purpose of this
study was to report measurements of Q(OR) in rats using a phosphorescence
lifetime imaging technique. METHODS: Phosphorescence lifetime imaging was
performed and retinal PO(2) maps were generated in 10 rats under a light-adapted
condition. Depth-resolved retinal PO(2) profiles were derived from the PO(2)
maps. From the profiles, the maximum outer retina PO(2) (P(max)O(2)) was obtained
and Q(OR) was calculated using a one-dimensional oxygen diffusion model.
Repeatability, inter-location variability, and inter-subject variability of
P(max)O(2) and Q(OR) measurements were established. RESULTS: Intraclass
correlation coefficients of repeated measurements of P(max)O(2) and Q(OR) were
0.89 and 0.70, respectively (P < 0.001). Inter-location variability of P(max)O(2)
and Q(OR) measurements at superior to inferior contiguous locations on the retina
were on average 9 mmHg and 0.22 ml O(2)/100 g-tissue-min, respectively. Mean and
standard deviation of P(max)O(2) and Q(OR) measurements averaged over all rats
were 60 +/- 16 mmHg and 0.73 +/- 0.28 ml O(2)/100 g-tissue-min, respectively.
Inter-subject variability of P(max)O(2) and Q(OR) measurements was on average 2.3
and 1.5 times inter-location variability, respectively. CONCLUSIONS: Measurements
of outer retinal oxygen consumption can be made by phosphorescence lifetime
imaging and may be of potential value for detecting changes in retinal oxygen
metabolic activity due to altered physiological and pathological conditions over
multiple locations and time points.
PMID- 22070459
TI - Silicon nanowire fabric as a lithium ion battery electrode material.
AB - A nonwoven fabric with paperlike qualities composed of silicon nanowires is
reported. The nanowires, made by the supercritical-fluid-liquid-solid process,
are crystalline, range in diameter from 10 to 50 nm with an average length of
>100 MUm, and are coated with a thin chemisorbed polyphenylsilane shell. About
90% of the nanowire fabric volume is void space. Thermal annealing of the
nanowire fabric in a reducing environment converts the polyphenylsilane coating
to a carbonaceous layer that significantly increases the electrical conductivity
of the material. This makes the nanowire fabric useful as a self-supporting,
mechanically flexible, high-energy-storage anode material in a lithium ion
battery. Anode capacities of more than 800 mA h g(-1) were achieved without the
addition of conductive carbon or binder.
PMID- 22070460
TI - X-ray spectrometry.
PMID- 22070462
TI - Tools for the job: why relying on risk assessment tools is still a risky
business.
AB - This theoretical review paper examines the applicability of assessment tools,
guidelines and protocols in mental health and substance use care on the basis of
the construction of such tools and their reliance on aggregate and actuarial
methodologies. Evidence-based practice leads clinicians to increasing reliance on
tools for assessment of health status, risk and prediction for a range of
clinical needs for individual clients. In the longer-term management of people
with enduring and chronic mental health and substance misuse problems, clinicians
are often dealing with complex and unstable health needs. The tools available,
however, are developed on the basis of majority population evidence and on
presumptions of similarity and stability over time. This paper provides
explanation of the basis for the development of such tools and argues that
clinicians need to be able to evaluate the applicability of tools used for their
clients and not just evaluate the internal validity of the tools used to make
individual and contextual decisions about individual clients.
PMID- 22070461
TI - Biological correlates of post-stroke fatigue: a systematic review.
AB - Fatigue is a common and disabling consequence of stroke. Its mechanisms are
unknown. Neuroanatomical abnormalities (e.g. white matter lesions, brain
atrophy), neuroendocrine dysregulation, neurotransmitter changes and inflammation
are associated with fatigue in conditions other than stroke. This review sought
to identify published studies describing associations between post-stroke fatigue
and these biological factors. We searched Medline, EMBASE, CINAHL, PsycINFO and
AMED on October 15 and PubMed on 28 December 2010 and included studies in English
that recruited at least 10 patients (>18 years old) with stroke, assessed fatigue
and reported its relationship with neuroanatomical abnormalities, hypothalamo
pituitary-adrenal axis dysregulation, neurotransmitter changes or inflammation.
Of 4916 citations from the searches, 17 studies met our inclusion criteria. There
was no association between white matter lesions, brain atrophy or pathological
type of stroke and fatigue (seven studies, n = 4746). The data on relationship
between lesion location and fatigue were inconclusive: four (n = 675) of 13
studies (n = 1613) showed associations between fatigue and infratentorial lesion
location (brainstem in particular) or basal ganglia stroke. One study reported C
reactive protein levels and found an association with fatigue. No studies
reported hypothalamo-pituitary-adrenal axis dysregulation or neurotransmitter
changes and fatigue. We could not perform meta-analysis because the studies used
different methods of fatigue assessment, examined different populations and had
different designs. The biological mechanisms of post-stroke fatigue are
uncertain. Further studies are required to determine the relationship between
post-stroke fatigue and biological factors.
PMID- 22070463
TI - Extensive characterization of Tupaia belangeri neuropeptidome using an integrated
mass spectrometric approach.
AB - Neuropeptidomics is used to characterize endogenous peptides in the brain of tree
shrews (Tupaia belangeri). Tree shrews are small animals similar to rodents in
size but close relatives of primates, and are excellent models for brain
research. Currently, tree shrews have no complete proteome information available
on which direct database search can be allowed for neuropeptide identification.
To increase the capability in the identification of neuropeptides in tree shrews,
we developed an integrated mass spectrometry (MS)-based approach that combines
methods including data-dependent, directed, and targeted liquid chromatography
(LC)-Fourier transform (FT)-tandem MS (MS/MS) analysis, database construction, de
novo sequencing, precursor protein search, and homology analysis. Using this
integrated approach, we identified 107 endogenous peptides that have sequences
identical or similar to those from other mammalian species. High accuracy MS and
tandem MS information, with BLAST analysis and chromatographic characteristics
were used to confirm the sequences of all the identified peptides. Interestingly,
further sequence homology analysis demonstrated that tree shrew peptides have a
significantly higher degree of homology to equivalent sequences in humans than
those in mice or rats, consistent with the close phylogenetic relationship
between tree shrews and primates. Our results provide the first extensive
characterization of the peptidome in tree shrews, which now permits
characterization of their function in nervous and endocrine system. As the
approach developed fully used the conservative properties of neuropeptides in
evolution and the advantage of high accuracy MS, it can be portable for
identification of neuropeptides in other species for which the fully sequenced
genomes or proteomes are not available.
PMID- 22070464
TI - Hospitalized mental health patients and oral health.
AB - The purpose of this review of the literature is to present a contemporary
perspective related to the nursing care of hospitalized mental health patients
who have risk of developing oral health issues. Mental illness is a major health
concern worldwide. Compounding this health issue, mental health patients/clients
demonstrate avoidant behaviours related to oral health, and the symptoms of
mental illness can be a compounding factor. Oral health and oral inflammatory
disease are the result of lifestyle and behaviour and mental disorders affect
both lifestyle and behaviour. The search used the search terms oral health AND
nursing AND mental illness AND Published Date 2005 to 2010. For those who
experience mental illness oral health assessment is not routinely practised by
clinicians. The importance of special attention to dental problems for people
with mental disorders has also been stressed by researchers since the lifespan of
people with serious mental disorders is shortened compared to the general
population. Oral health care is an important part of treatment. Routine oral care
for hospitalized patients is imperative, and this is usually the responsibility
of nurses without sufficient knowledge in oral care or comprehensive protocols to
follow.
PMID- 22070465
TI - Determination of the specific surface area of snow using ozonation of 1,1
diphenylethylene.
AB - We measured the kinetics of ozonation reaction of 1,1-diphenylethylene (DPE) in
artificial snow, produced by shock freezing of DPE aqueous solutions sprayed into
liquid nitrogen. It was demonstrated that most of the reactant molecules are in
direct (productive) contact with gaseous ozone, thus the technique produces snow
with organic molecules largely ejected to the surface of snow grains. The kinetic
data were used to evaluate the snow specific surface area (~70 cm(2) g(-1)). This
number is a measure of the availability of the molecules on the surface for
chemical reaction with gaseous species. The experimental results were consistent
with the Langmuir-Hinshelwood type reaction mechanism. DPE represents
environmentally relevant compounds such as alkenes which can react with
atmospheric ozone, and are relatively abundant in natural snow. For typical
atmospheric ozone concentrations in polar areas (20 ppbv), we estimated that half
life of DPE on the surface of snow grains is ~5 days at submonolayer coverages
and -15 degrees C.
PMID- 22070466
TI - Immunological responses of male White Leghorn chicks kept on ochratoxin A (OTA)
contaminated feed.
AB - This study was designed to evaluate some immunological responses of male White
Leghorn (WL) chicks kept on an ochratoxin A (OTA)-contaminated diet. For this
purpose, 350 1-day-old male WL chicks were divided into five groups (A-E). Group
A was kept as control, while Groups B, C, D, and E were fed OTA-contaminated feed
at 0.1, 0.5, 1.0, and 1.5 mg/Kg diet, respectively, for 21 days, and then basal
ration for the remaining period. At 14- and 16-days of age, random chicks (n =
10) from each group were used for analyses of phagocytic function of the
reticuloendothelial system or for measuring the lymphoproliferative responses to
intradermally-administered T-cell mitogen, phytohemagglutinin-P (PHA-P),
respectively. At 30-days of age, abdominal macrophages were collected from 15
chicks/group and utilized for determination of their phagocytic potential and for
nitrite production. Antibody (Ab) titers (i.e., total antibodies, IgM, and IgG)
against sheep red blood cells (SRBC) were determined at 7 and 14 days after a
primary (at 7 days of age) and a booster (given 14 days after primary [at 21-days
of age]) dose (intravenous) of the antigen. Data from the present study showed
that the relative weight of the bursa of Fabricius of chicks fed OTA for 14 and
21 days and the spleen of chicks fed OTA for 21 days were significantly lower
than their control counterpart. Phagocytic function of reticuloendothelial system
evaluated by carbon clearance, and lymphoproliferative response to PHA-P, of
chicks kept on OTA-contaminated diet were significantly lowered. The percentage
of abdominal macrophages displaying phagocytosis of SRBC, the number of
SRBC/macrophage, and nitrite production were each significantly lower in cells
from chicks in the OTA-fed groups. Total Ab (at days 7 and 14 post-booster SRBC
injection) and IgG (at day 14 post-primary and day 7 post-booster SRBC injection)
titers against SRBC showed significant reductions in the groups fed OTA
contaminated diet. The findings of this study are in line with the previous work
suggesting the immunosuppressive effect of OTA in male WL chicks regarding
functional impairment in some of the components of the immune system.
PMID- 22070467
TI - Managing the cellular redox hub in photosynthetic organisms.
AB - Light-driven redox chemistry is a powerful source of redox signals that has a
decisive input into transcriptional control within the cell nucleus. Like
photosynthetic electron transport pathways, the respiratory electron transport
chain exerts a profound control over gene function, in order to balance energy
(reductant and ATP) supply with demand, while preventing excessive over-reduction
or over-oxidation that would be adversely affect metabolism. Photosynthetic and
respiratory redox chemistries are not merely housekeeping processes but they
exert a controlling influence over every aspect of plant biology, participating
in the control of gene transcription and translation, post-translational
modifications and the regulation of assimilatory reactions, assimilate
partitioning and export. The number of processes influenced by redox controls and
signals continues to increase as do the components that are recognized
participants in the associated signalling pathways. A step change in our
understanding of the overall importance of the cellular redox hub to plant cells
has occurred in recent years as the complexity of the management of the cellular
redox hub in relation to metabolic triggers and environmental cues has been
elucidated. This special issue describes aspects of redox regulation and
signalling at the cutting edge of current research in this dynamic and rapidly
expanding field.
PMID- 22070468
TI - Patient involvement in mental health care: one size does not fit all.
AB - BACKGROUND: Involvement of mental health-care patients in the decision-making
processes is considered to be an ethical requirement. Health-care systems
worldwide are increasingly emphasizing the value of participatory approaches.
There is, however, no consensus on the definition of patient involvement. The
literature is particularly inconsistent and lacks clarity. OBJECTIVE: The purpose
of this article is to clarify the concept of patient involvement in mental health
care (MHC), taking into account its multidimensional nature. SEARCH STRATEGY: We
searched the literature in online databases from January 1998 until August 2010
using synonyms of 'patient involvement', combined with the terms 'mental
health(care)'. DATA SYNTHESIS: Based on 45 different descriptions found in the
literature, we constructed a definition of patient involvement and we drew up a
model identifying its determinants and outcomes. RESULTS: We propose a
comprehensive model of patient involvement to be used in MHC. This model can
serve as a guide for policy makers and field workers to shape policies to
stimulate involvement. DISCUSSION AND CONCLUSIONS: There are three main problems
in the literature concerning patient involvement. First, there is a proliferation
of conceptualizations of the topic, leading to conceptual vagueness. Furthermore,
there is a lack of quantitative data, and some aspects of involvement remain
underexposed, such as the involvement of specific target groups and practical
ways to shape the involvement processes. Involvement processes should be tailored
to the specific target group and context.
PMID- 22070469
TI - Mindfulness-based cognitive therapy for nonremitted patients with bipolar
disorder.
AB - INTRODUCTION: Bipolar disorder is characterized by recurrent episodes of
depression and/or mania along with interepisodic mood symptoms that interfere
with psychosocial functioning. Despite periods of symptomatic recovery, many
individuals with bipolar disorder continue to experience substantial residual
mood symptoms that often lead to the recurrence of mood episodes. AIMS: This
study explored whether a new mindfulness-based cognitive therapy (MBCT) for
bipolar disorder would increase mindfulness, reduce residual mood symptoms, and
increase emotion-regulation abilities, psychological well-being, positive affect,
and psychosocial functioning. Following a baseline clinical assessment, 12
individuals with DSM-IV bipolar disorder were treated with 12 group sessions of
MBCT. RESULTS: At the end of treatment, as well as at the 3 months follow-up,
participants showed increased mindfulness, lower residual depressive mood
symptoms, less attentional difficulties, and increased emotion-regulation
abilities, psychological well-being, positive affect, and psychosocial
functioning. CONCLUSIONS: These findings suggest that treating residual mood
symptoms with MBCT may be another avenue to improving mood, emotion regulation,
well-being, and functioning in individuals with bipolar disorder.
PMID- 22070471
TI - Laparoscopic management of large ovarian tumors: clinical tips for overcoming
common concerns.
AB - AIM: This study was performed to assess the feasibility and efficacy of
laparoscopic management for patients with large ovarian tumors. MATERIAL AND
METHODS: A retrospective analysis was performed of the medical records of 52
women who underwent laparoscopic surgery for large ovarian tumors whose maximum
diameter was >=15cm and a low possibility of malignancy. RESULTS: The median age
of patients was 35years (range 18-84), median body mass index was 22.4kg/m(2)
(range 12.4-31.5) and 18 patients had previous operative history. The median
tumor diameter was 17cm (range 15-40). There were no conversions to laparotomy
and perioperative complications. The median operating time, estimated blood loss,
and hospital stay were 80min (range 25-225), 100mL (range 50-500) and 3days
(range 2-14), respectively. The operative procedures performed were salpingo
oophorectomy (n=26), ovarian cystectomy (n=16), laparoscopically assisted vaginal
hysterectomy with unilateral or bilateral salpingo-oophorectomy (n=9), and
laparoscopically assisted staging surgery (n=1). The histopathological results
were mucinous cystadenoma (n=25), mature cystic teratoma (n=9), serous
cystadenoma (n=6), endometrioma (n=5), mucinous borderline tumor (n=4),
follicular cyst (n=2), and clear cell carcinoma (n=1). CONCLUSION: Laparoscopic
management of large ovarian tumors is feasible and efficient with appropriate
patient selection and experience of surgeons.
PMID- 22070470
TI - Association between carotid area calcifications and periodontal risk: a cross
sectional study of panoramic radiographic findings.
AB - BACKGROUND: The aim was to investigate the extent to which it is possible to
diagnose suspected carotid calcification from dental panoramic radiography (PR)
and to establish an association to periodontal risk. METHODS: 824 PRs from one
dental practice were investigated. Parameters considered were gender, age, bone
loss - age index, tooth loss, periodontal risk and suspected carotid
calcification (left, right, both sides). Periodontal risk was classified: low
risk (under 4 missing teeth, bone loss - age index under 0.5), moderate risk (5
to 8 missing teeth and/or bone loss - age index 0.5 to 1.0) and high risk (more
than 9 missing teeth and or bone loss - age index greater than 1.0). RESULTS: Of
824 patients, 349 were male (42.4%) and 475 female (57.6%); the mean age was
48.32 +/- 16.52 years. In 9.0% (n = 74) of PRs, suspected carotid calcification
was diagnosed (right: 5.5%, left: 2.3%, both sides: 1.2%). The mean tooth loss
was 4.16 +/- 5.39 teeth. In the case of 282 patients (34.2%), there was a low, in
335 patients (40.7%) a moderate, and in 207 patients (25.1%) a high periodontal
risk. There was a significant correlation found between number of cases of
suspected carotid calcification and periodontal risk, tooth loss and age (p =
0.0001). However, only age showed a significant association (OR: 4.9; CI: 2.4
9.8; p < 0.0001) in contrast to periodontal risk (OR 1.4; CI: 0.9-2.4).
CONCLUSION: PR can provides indication of carotid calcification as a secondary
(chance) finding. In addition, periodontal risk may be correlated with positive
findings of carotid calcification.
PMID- 22070472
TI - Perioperative opiate requirements in children with previous opiate infusion.
AB - BACKGROUND: Critically ill children often require continuous opiate infusions.
Tolerance may develop requiring a weaning strategy to prevent withdrawal
symptoms. These children may also require subsequent surgical procedures. This is
the first study to investigate whether previously opiate-tolerant patients
require higher doses of opiates for adequate pain management perioperatively.
METHODS: A retrospective study was conducted at a tertiary children's hospital to
investigate whether children previously exposed to continuous opiates for 10 or
more days with subsequent weaning from those opiates will have similar or
increased perioperative opiate requirements when compared to opioid-naive
controls. Study patients included 31 children with previous continuous opiate
exposure for 10 or more days followed by weaning and without signs of withdrawal
for at least 72 h prior to the surgical procedure. Excluded were patients over 18
years of age, those whose surgical procedures would be unlikely to require
perioperative opiates, oncological patients, burn patients, neurologically
devastated patients, and patients who received regional anesthesia in addition to
perioperative narcotics. The control group consisted of 31 age- and case-matched
opiate-naive patients who underwent a surgical procedure during a similar time
frame as the study patient. The medication administration record was reviewed for
the length of continuous opiate exposure, date of last opiate use prior to a
subsequent surgical procedure, and opiate use during the perioperative period.
Opiate use was calculated as morphine equivalents per kilogram body weight
(MSEQ.kg(-1)). The Wilcoxon rank sum test was used for univariate comparisons
between matched pairs, and P-values <0.05 were considered statistically
significant. RESULTS: The perioperative opiate requirements in opiate-exposed
patients (median, interquartile range: 0.14, 0.08-0.25 MSEQ.kg(-1)) were not
significantly different from opiate-naive patients (median, interquartile range
0.10, 0.05-0.2 MSEQ.kg(-1), P = 0.19). Pain scores indicated that patients were
generally comfortable in the perioperative period. CONCLUSIONS: The perioperative
opiate requirements of pediatric patients who were successfully weaned after
prolonged opiate use were similar to opiate-naive patients. A history of
prolonged opiate use alone does not necessitate special pain management for
future procedures.
PMID- 22070473
TI - Inadvertent arterial catheterization complicating femoral venous access for
haemodialysis.
AB - OBJECTIVE: Large-bore catheters for temporary haemodialysis are often placed via
the internal jugular or femoral vein, guided by external landmarks or ultrasound
techniques. Inadvertent femoral artery catheterization may occur during attempted
placement of the dialysis catheter in the femoral vein. MATERIAL AND METHODS:
This investigation was carried out in Skane University Hospital, Malmo, Sweden.
Between 2008 and 2011, patients referred for consultation by a vascular
specialist owing to inadvertent arterial catheterization after attempted
placement of a dialysis catheter in the femoral vein were noted in a logbook and
patients with iatrogenic arterial injuries undergoing vascular repair at Malmo
Lund Hospitals were identified through the Swedish vascular registry (Swedvasc).
RESULTS: The five included patients had a dialysis catheter (11-13.5 Fr)
inserted, without ultrasound guidance, into the femoral artery. One patient
suffered from circulatory shock. Two cases were managed with external
compression, while three cases required surgical repair. Two patients had
postoperative wound infection in the groin. CONCLUSIONS: Femoral dialysis
catheters should be inserted using ultrasound guidance. Large-bore catheters
suspected of being in an inadvertent arterial position should be fixed securely
before further diagnostic or interventional considerations. A management
algorithm for inadvertently placed catheters in the femoral artery is proposed.
PMID- 22070474
TI - Getting moving on patient safety--harnessing electronic data for safer care.
PMID- 22070475
TI - Glucocorticoids plus N-acetylcysteine in severe alcoholic hepatitis.
AB - BACKGROUND: Mortality among patients with severe acute alcoholic hepatitis is
high, even among those treated with glucocorticoids. We investigated whether
combination therapy with glucocorticoids plus N-acetylcysteine would improve
survival. METHODS: We randomly assigned 174 patients to receive prednisolone plus
N-acetylcysteine (85 patients) or only prednisolone (89 patients). All patients
received 4 weeks of prednisolone. The prednisolone-N-acetylcysteine group
received intravenous N-acetylcysteine on day 1 (at a dose of 150, 50, and 100 mg
per kilogram of body weight in 250, 500, and 1000 ml of 5% glucose solution over
a period of 30 minutes, 4 hours, and 16 hours, respectively) and on days 2
through 5 (100 mg per kilogram per day in 1000 ml of 5% glucose solution). The
prednisolone-only group received an infusion in 1000 ml of 5% glucose solution
per day on days 1 through 5. The primary outcome was 6-month survival. Secondary
outcomes included survival at 1 and 3 months, hepatitis complications, adverse
events related to N-acetylcysteine use, and changes in bilirubin levels on days 7
and 14. RESULTS: Mortality was not significantly lower in the prednisolone-N
acetylcysteine group than in the prednisolone-only group at 6 months (27% vs.
38%, P = 0.07). Mortality was significantly lower at 1 month (8% vs. 24%, P =
0.006) but not at 3 months (22% vs. 34%, P = 0.06). Death due to the hepatorenal
syndrome was less frequent in the prednisolone-N-acetylcysteine group than in the
prednisolone-only group at 6 months (9% vs. 22%, P = 0.02). In a multivariate
analysis, factors associated with 6-month survival were a younger age (P<0.001),
a shorter prothrombin time (P<0.001), a lower level of bilirubin at baseline
(P<0.001), and a decrease in bilirubin on day 14 (P<0.001). Infections were less
frequent in the prednisolone-N-acetylcysteine group than in the prednisolone-only
group (P = 0.001); other side effects were similar in the two groups.
CONCLUSIONS: Although combination therapy with prednisolone plus N-acetylcysteine
increased 1-month survival among patients with severe acute alcoholic hepatitis,
6-month survival, the primary outcome, was not improved. (Funded by Programme
Hospitalier de Recherche Clinique; AAH-NAC ClinicalTrials.gov number, NCT00863785
.).
PMID- 22070476
TI - Early liver transplantation for severe alcoholic hepatitis.
AB - BACKGROUND: A 6-month abstinence from alcohol is usually required before patients
with severe alcoholic hepatitis are considered for liver transplantation.
Patients whose hepatitis is not responding to medical therapy have a 6-month
survival rate of approximately 30%. Since most alcoholic hepatitis deaths occur
within 2 months, early liver transplantation is attractive but controversial.
METHODS: We selected patients from seven centers for early liver transplantation.
The patients had no prior episodes of alcoholic hepatitis and had scores of 0.45
or higher according to the Lille model (which calculates scores ranging from 0 to
1, with a score >= 0.45 indicating nonresponse to medical therapy and an
increased risk of death in the absence of transplantation) or rapid worsening of
liver function despite medical therapy. Selected patients also had supportive
family members, no severe coexisting conditions, and a commitment to alcohol
abstinence. Survival was compared between patients who underwent early liver
transplantation and matched patients who did not. RESULTS: In all, 26 patients
with severe alcoholic hepatitis at high risk of death (median Lille score, 0.88)
were selected and placed on the list for a liver transplant within a median of 13
days after nonresponse to medical therapy. Fewer than 2% of patients admitted for
an episode of severe alcoholic hepatitis were selected. The centers used 2.9% of
available grafts for this indication. The cumulative 6-month survival rate (+/
SE) was higher among patients who received early transplantation than among those
who did not (77 +/- 8% vs. 23 +/- 8%, P<0.001). This benefit of early
transplantation was maintained through 2 years of follow-up (hazard ratio, 6.08;
P = 0.004). Three patients resumed drinking alcohol: one at 720 days, one at 740
days, and one at 1140 days after transplantation. CONCLUSIONS: Early liver
transplantation can improve survival in patients with a first episode of severe
alcoholic hepatitis not responding to medical therapy. (Funded by Societe
Nationale Francaise de Gastroenterologie.).
PMID- 22070477
TI - Sudden, unexpected death in epilepsy.
PMID- 22070479
TI - Images in clinical medicine: Bryant's and Stabler's signs after a difficult
delivery.
PMID- 22070478
TI - The human plasma lipidome.
PMID- 22070480
TI - Case records of the Massachusetts General Hospital. Case 34-2011: A 75-year-old
man with memory loss and partial seizures.
PMID- 22070481
TI - Transplantation for alcoholic hepatitis--time to rethink the 6-month "rule".
PMID- 22070483
TI - Early or late parenteral nutrition in critically ill adults.
PMID- 22070484
TI - Early or late parenteral nutrition in critically ill adults.
PMID- 22070485
TI - Early or late parenteral nutrition in critically ill adults.
PMID- 22070486
TI - Early or late parenteral nutrition in critically ill adults.
PMID- 22070488
TI - Horse versus rabbit antithymocyte globulin in aplastic anemia.
PMID- 22070489
TI - Horse versus rabbit antithymocyte globulin in aplastic anemia.
PMID- 22070491
TI - Apixaban after acute coronary syndrome.
PMID- 22070493
TI - Oxygen sensing, homeostasis, and disease.
PMID- 22070494
TI - Oxygen sensing, homeostasis, and disease.
PMID- 22070495
TI - Case 23-2011--Legal considerations.
PMID- 22070496
TI - Noninvasive prenatal diagnosis of a fetal microdeletion syndrome.
PMID- 22070499
TI - Images in clinical medicine: amenorrhea, abdominal pain, and weight gain.
PMID- 22070500
TI - Risk of second primary melanoma: how should be long follow-up be? Ratio of
observed and expected cases.
PMID- 22070501
TI - In vitro effects of rituximab on the proliferation, activation and
differentiation of human B cells.
AB - Rituximab is a chimeric anti-CD20 monoclonal antibody (mAb) used in B-cell
malignancies, various autoimmune disorders and organ transplantation. Although
administration of a single dose of rituximab results in full B-cell depletion in
peripheral blood, there remains a residual B-cell population in secondary
lymphoid organs. These nondepleted B cells might be altered by exposure to
rituximab with subsequent immunomodulatory effects. Therefore, we analyzed in
vitro the effects of rituximab on proliferation, activation and differentiation
of CD19(+) B cells by means of carboxyfluorescein succinimidyl ester (CFSE)-based
multiparameter flow cytometry. Rituximab inhibited the proliferation of CD27(-)
naive, but not of CD27(+) memory B cells. Interestingly, upon stimulation with
anti-CD40 mAb and interleukin-21 in the presence of rituximab there was an
enrichment of B cells that underwent only one or two cell divisions and displayed
an activated naive phenotype (CD27(-)IgD(+)CD38(-/+)). The potency of
prestimulated B cells to induce T-cell proliferation was increased by exposure of
the B cells to rituximab. Of note, after stimulation with rituximab-treated B
cells, proliferated T cells displayed a more Th2-like phenotype. Overall, these
results demonstrate that rituximab can affect human B-cell phenotype and
function, resulting in an altered outcome of B-T cell interaction.
PMID- 22070502
TI - Late-life depression: systematic assessment of care needs as a basis for
treatment.
AB - Research shows that most of the variance in depression severity levels in late
life can be explained by the unmet psychological needs of patients, more in
particular the care needs of patients related with psychological distress. This
case report describes the treatment of an 84-year-old patient suffering from
depression. Her complaints faded upon the use of nursing interventions that were
defined on the basis of a systematic assessment of her care needs with the
Camberwell Assessment of Needs for the Elderly. The methodical attention to her
needs for care and the interventions carried out led to the patient feeling
acknowledged and to a diminished need for care and a better quality of life.
Although there is no scientific evidence to date, a systematic assessment of care
needs may well be a meaningful addition to the nursing diagnostic process.
Moreover, alleviating distress in patients by fulfilling unmet care needs through
tailored interventions can be seen as an essential element of an effective
multidisciplinary depression treatment process.
PMID- 22070503
TI - A qualitative analysis of success stories from Michiana Coordinated School Health
Leadership institute participants.
AB - BACKGROUND: The purpose of this study was to detect and document common themes
among success stories, along with challenges, as related by participants in the
Michiana Coordinated School Health Leadership Institute. Four-member teams from
18 Michigan and Indiana school districts participated in semiannual Institute
workshops over a 3-year period and were tasked with implementing Coordinated
School Health Programs (CSHPs). METHODS: Qualitative methods were used to
generate themes from interviews. Data were gathered through a combined
survey/interview process related to programmatic successes, evidence of success,
and implementation challenges. One participant from 11 of 18 participating school
districts completed the survey/interview. RESULTS: Each participant reported at
least 1 success that had a positive effect on students and/or staff, many of
which were related to the federally mandated wellness policy. With some notable
exceptions, success was based on subjective judgments rather than systematically
collected data. Unanimous expression of time constraints and being overworked in
their current positions constituted major challenges. CONCLUSIONS: Although the
Institute required only process evaluation, some participants collected outcome
data, a task that is important in validating the benefits of CSHPs. Most
districts were not able to hire the recommended coordinator to ensure
implementation of health program planning initially developed during the
institute. Encouragingly, at the time of data collection many teams were still
acting to ensure health programming remained a priority. Nevertheless, without
the network of social support provided by the Institute, some respondents
struggled to maintain momentum.
PMID- 22070504
TI - Associations of physical fitness and academic performance among schoolchildren.
AB - BACKGROUND: Public schools provide opportunities for physical activity and
fitness surveillance, but are evaluated and funded based on students' academic
performance, not their physical fitness. Empirical research evaluating the
connections between fitness and academic performance is needed to justify
curriculum allocations to physical activity programs. METHODS: Analyses were
based on a convenience sample of 254,743 individually matched standardized
academic (TAKSTM) and fitness (FITNESSGRAM((r)) ) test records of students,
grades 3-11, collected by 13 Texas school districts. We categorized fitness
results in quintiles by age and gender and used mixed effects regression models
to compare the academic performance of the top and bottom fitness groups for each
test. RESULTS: All fitness variables except body mass index (BMI) showed
significant, positive associations with academic performance after adjustment for
socio-demographic covariates, with standardized mean difference effect sizes
ranging from .07 to .34. Cardiovascular fitness showed the largest interquintile
difference in TAKS score (32-75 points), followed by curl-ups. Additional
adjustment for BMI and curl-ups showed dose-response associations between
cardiovascular fitness and academic scores (p < .001 for both genders and
outcomes). Analysis of BMI demonstrated limited, nonlinear association with
academic performance after socio-demographic and fitness adjustments.
CONCLUSIONS: Fitness was strongly and significantly related to academic
performance. Cardiovascular fitness showed a dose-response association with
academic performance independent of other socio-demographic and fitness
variables. The association appears to peak in late middle to early high school.
We recommend that policymakers consider physical education (PE) mandates in
middle high school, school administrators consider increasing PE time, and PE
practitioners emphasize cardiovascular fitness.
PMID- 22070505
TI - School administrators' perceptions of factors that influence children's active
travel to school.
AB - BACKGROUND: Increasing children's active travel to school may be 1 strategy for
addressing the growing prevalence of obesity among school age children. Using the
School Travel Survey, we examined South Carolina school district leaders'
perceptions of factors that influence elementary and middle school students
walking to school. METHODS: Frequency distributions and chi-square tests were
used to analyze the survey responses; open-ended questions were reviewed
qualitatively for recurring topics and themes. RESULTS: School and district
leaders (N = 314) most often reported street crossing safety (54.0%) and number
of sidewalks (54.0%) as priority factors that should be addressed to increase
students' active travel to school, followed by distance to school (46.0%),
traffic volume (42.4%), parental attitudes (27.0%), traffic speed (26.7%),
neighborhood condition (24.4%), and student attitudes (10.0%). Several
respondents expressed concerns about liability issues related to students' active
travel to school while others reported that schools are not responsible for
students' safety once students leave school grounds. Independent of their
comments about liability, respondents were concerned about the safety of students
while walking to school. CONCLUSIONS: Those promoting active travel to school may
benefit from addressing those factors perceived as most important by school and
district leaders, including street crossing safety, number of sidewalks, and by
educating school and district leaders about liability and safety issues related
to students walking to school.
PMID- 22070506
TI - The relationship between media use and psychological and physical assets among
third- to fifth-grade girls.
AB - BACKGROUND: Media use is associated with an increased risk of chronic disease and
reduced quality of life among children. This study examined the relationship
between media use during discretionary hours after school and psychological and
physical assets among preadolescent girls. METHODS: A cross-sectional analysis
was conducted using data from a larger quasi-experimental evaluation of a
positive youth development program through sport for third- to fifth-grade girls.
Indicators of media use were the number of hours per school day spent watching
television and videos and using computers. Psychological assets included global
self-esteem, body size satisfaction, and commitment to physical activity;
physical assets included physical activity. Nested random effects analysis of
variance (ANOVA) models were used to examine the relationship between media use
and psychological and physical assets controlling for relevant confounding
factors. RESULTS: The analytic sample included 1027 participants; most were >=10
years old, non-White; 27% self-reported >=4 hours of media use on school days. In
adjusted results, media use was inversely associated with self-esteem (p = .008)
and commitment to physical activity (p < .001). Time spent using media was not
associated with body size satisfaction or physical activity in this age group.
CONCLUSIONS: Media use was negatively associated with self-esteem and commitment
to physical activity. It may be useful for school professionals to encourage
after-school programs that offer opportunities for girls to reduce sedentary
pursuits and improve important psychological and physical assets.
PMID- 22070507
TI - School superintendents' perceptions of schools assisting students in obtaining
public health insurance.
AB - BACKGROUND: Superintendents' perceptions regarding the effect of health insurance
status on academics, the role schools should play in the process of obtaining
health insurance, and the benefits/barriers to assisting students in enrolling in
health insurance were surveyed. Superintendents' basic knowledge of health
insurance, the link between health and learning, and specific school system
practices for assisting students were also examined. METHODS: A 4-page
questionnaire was sent to a national random sample of public school
superintendents using a 4-wave postal mailing. RESULTS: Only 19% of school
districts assessed the health insurance status of students. School districts'
assistance in helping enroll students in health insurance was assessed using
Stages of Change theory; 36% of superintendents' school districts were in the
action or maintenance stages. The schools most often made health insurance
materials available to parents (53%). The perceived benefits identified by more
than 80% of superintendents were to keep students healthier, reduce the number of
students with untreated health problems, reduce school absenteeism, and
improvement of students' attention/concentration during school. The 2 most common
perceived barriers identified by at least 50% of superintendents were not having
enough staff or financial resources. CONCLUSIONS: Most superintendents believed
schools should play a role in helping students obtain health insurance, but the
specific role was unclear. Three fourths of superintendents indicated
overwhelmingly positive beliefs regarding the effects of health insurance status
on students' health and academic outcomes. School personnel and public policy
makers can use the results to support collaboration in getting students enrolled
in health insurance.
PMID- 22070508
TI - Examining how neighborhood disadvantage influences trajectories of adolescent
violence: a look at social bonding and psychological distress.
AB - BACKGROUND: To understand how neighborhoods influence the development of youth
violence, we investigated intrapersonal mediators of the relationship between
neighborhood disadvantage and youth violence trajectories between ages 11 and 18.
The hypothesized mediators included indicators of social bonding (belief in
conventional values, involvement in school activities, religious engagement, and
commitment to traditional goals) and psychological distress. METHODS: The sample
(N = 5118) was 50% female and 52% Caucasian. Data from a 5-wave panel study
spanning ages 11 to 18 were analyzed using sex-stratified multilevel growth
curves. RESULTS: Neighborhood disadvantage was associated with higher levels of
violence perpetrated by girls, lower belief in conventional values for both girls
and boys, less commitment to traditional goals by girls, and higher levels of
psychological distress reported by girls. Sobel tests identified 3 significant
mediators of the effects of neighborhood disadvantage on girls' violence
trajectories: belief in conventional values, commitment to traditional goals, and
psychological distress. The only significant mediator of the relationship between
neighborhood disadvantage and boys' violence trajectories was belief in
conventional values. The effects of neighborhood disadvantage on violence
trajectories were not fully mediated; in fact, results suggested that suppression
effects, or inconsistent mediation, may exist. CONCLUSIONS: The results emphasize
the importance of both contextual and intrapersonal attributes in understanding
the development of violence among school-aged youth. Early school-based and
community-level prevention initiatives that promote social bonding and address
mental health needs may help reduce the impact of youth violence, particularly
for girls.
PMID- 22070510
TI - The snowball survey and peer education posters: methods of teaching social norms.
PMID- 22070509
TI - Utilizing the school health index to build collaboration between a university and
an urban school district.
AB - BACKGROUND: Insufficient attention has been paid to the process of conducting the
Centers for Disease Control and Prevention's School Health Index (SHI) to promote
collaboration between universities and urban school districts when developing
adolescent health promotion initiatives. This article provides an overview of the
real-world contextual challenges and opportunities this type of collaboration can
pose. METHODS: The SHI and selected collaboration principles were used to
facilitate partnership and increase stakeholder buy-in, which led to developing
and implementing an 8-year health promotion campaign. RESULTS: The focus on
planning brought together key stakeholders to allow for health promotion
programming to take place, despite the competing demands on the schools. The SHI
allowed for input from stakeholders to develop campaign activities and inform
school- and district-wide policy. Universities and school districts desiring to
develop and implement school-based, adolescent health promotion programs should
(1) identify the hierarchical structure of the school district, (2) establish
credibility for the program and the university staff, (3) emphasize the benefits
to all partners, (4) maintain a cooperative partnership with teachers and
administrators, (5) appreciate the need for planning, and (6) provide as many
resources as possible to aid an already overburdened school system. CONCLUSIONS:
Promoting healthy behaviors among students is an important part of the
fundamental mission of schools. The significance of collaboration using the SHI,
with direct input from students, teachers, administrators, and university
partners, is critical in the development of institutional support for
implementation of adolescent health promotion initiatives.
PMID- 22070511
TI - Improving relationships: serving up a slice of Enemy Pie.
PMID- 22070512
TI - Ilaprazole for the treatment of duodenal ulcer: a randomized, double-blind and
controlled phase III trial.
AB - OBJECTIVE: The new proton pump inhibitor (PPI), ilaprazole performed better at
the dose of 10 mg/d relative to 5 or 20 mg/d in a previous phase II trial. A
larger phase III trial was carried out to confirm the efficacy and safety of
ilaprazole (10 mg/d) compared with omeprazole (20 mg/d) and provide some
characteristics of the relationship between ilaprazole metabolism and CYP2C19 for
later studies. RESEARCH DESIGN AND METHODS: Patients with at least one
endoscopically diagnosed active duodenal ulcer (DU) were enrolled in a
multicenter, randomized, double-blind, positive controlled trial and then
assigned randomly to the ilaprazole group (10 mg/d) or the omeprazole group (20
mg/d) with a sample allocation ratio 2:1. The course of treatment was 4 weeks.
CLINICAL TRIAL REGISTRATION: ClinicalTrials.gov registration number: NCT00952978.
MAIN OUTCOME MEASURES: The primary endpoint was endoscopically diagnosed ulcer
healing rate at week 4. Symptom relief was evaluated as a secondary endpoint by
graded scores. Safety and tolerability were evaluated on basis of clinical
assessments. In addition, blood samples were collected at baseline for CYP2C19
genotypes identification. RESULTS: Efficacy analyses were based on 494 patients.
At week 4, the ulcer healing rates were 93.0% in ilaprazole group and 90.8% in
omeprazole group (rate difference: 2.2%; 95% confidence interval: -2.8% to 7.2%).
No obvious variation of healing rate on different CYP2C19 genotypes was found in
ilaprazole group. The majority of patients (>80%) became asymptomatic after
treatment. Incidences of adverse drug reactions were similar between ilaprazole
group and omeprazole group (8.5% vs. 11.5%). CONCLUSIONS: Ilaprazole (10 mg/d) is
as effective as omeprazole (20 mg/d) in the treatment of DU with similar side
effects. The efficacy of ilaprazole is not affected by CYP2C19 polymorphisms.
PMID- 22070514
TI - Safety and effectiveness of azithromycin in the treatment of respiratory
infections in children.
AB - OBJECTIVES: To describe clinical effectiveness of azithromycin in the management
of respiratory tract infections in children up to 12 years of age; to examine
duration of symptoms after commencement of therapy and to mark adverse events
possibly caused by treatment with azithromycin. METHODS: The overall ITT
population included 156 children (65 with acute pharyngitis/tonsillitis (AP), 32
with acute otitis media (AOM), and 59 with lower respiratory tract infections
(LRTI)). Clinical effectiveness was based on results of improvement and cure
after 3 day's treatment with azithromycin, calculating the clinical score for
each diagnosis before treatment, at the 4th day (end of the therapy) and at the
12th or 28th day (end of the study). To better estimate patients' (parents')
satisfaction with treatment, a diary was provided for each child and parents
recorded the days when a child felt relief of symptoms. RESULTS: In this study
azithromycin led to relief of symptoms after 3 days in 89.1% of patients.
Antibiotics had been prescribed within 1 year prior to inclusion in 74.4% of
patients and 29.5% had previously been treated with macrolides. Clinical
effectiveness in the intention-to-treat (ITT) population was 94.8% and there were
5.2% failures. Overall, 18 (11.5%) patients reported 25 adverse events (AEs) and
nine AEs were characterized as possibly, probably or definitely related to
azithromycin. The most common adverse events were diarrhea in nine (5.8%) cases,
vomiting in six (3.8%) and abdominal pain reported in four (2.6 %) patients.
CONCLUSION: Results of this study show that azithromycin in the treatment of
children with respiratory tract infections has high clinical effectiveness and a
small number of adverse events. However, major limitations of the study are its
design as a non-comparative, observational, postmarketing study and that the
etiology of infections was not confirmed. Despite this, it can be concluded that
azithromycin is a reliable antibiotic treatment for children's respiratory tract
infections, giving fast resolution of symptoms with few adverse events in
patients with presumed bacterial infections.
PMID- 22070515
TI - Is there an association between subjective and objective measures of cognitive
function in patients with affective disorders?
AB - BACKGROUND: Patients with affective disorders experience cognitive dysfunction in
addition to their affective symptoms. The relationship between subjectively
experienced and objectively measured cognitive function is controversial with
several studies reporting no correlation between subjective and objective
deficits. AIMS: To investigate whether there is a correlation between
subjectively reported and objectively measured cognitive function in patients
with affective disorders, and whether subjective complaints predict objectively
measured dysfunction. METHODS: The study included 45 participants; 15 with
bipolar disorder (BD), 15 with unipolar disorder (UD) and 15 healthy individuals.
Participants' subjectively experienced cognitive function and objective cognitive
function were assessed with the Massachusetts General Hospital Cognitive and
Physical Functioning Questionnaire (CPFQ) and the Screen for Cognitive Impairment
in Psychiatry (SCIP), respectively. Patients were rated for affective symptoms
with Hamilton Depression Rating Scale (HDRS) and Young Mania Rating Scale (YMRS).
RESULTS: Patients demonstrated subjective and objective cognitive dysfunction
relative to controls (P-values <= 0.01) but there were no differences between
patient groups (P > 0.1). We found no correlation between subjectively
experienced and objectively measured cognitive dysfunction in BD (P = 0.7), and a
non-significant trend towards a correlation in UD (P = 0.06), which disappeared
when controlling for gender (P = 0.1). CONCLUSION: Our results suggest that it is
not necessarily patients who have cognitive complaints that are most impaired. If
confirmed in a larger sample, our findings suggest that neuropsychological
assessment is warranted to elucidate the potential role of cognitive dysfunction
in patients' everyday lives and to inform treatment strategies targeting these
difficulties.
PMID- 22070513
TI - Evaluation of clinical use and effectiveness of darbepoetin alfa in cancer
patients with chemotherapy-induced anemia.
AB - PURPOSE: To investigate the patterns of use of darbepoetin alfa in Spanish
centers, and to evaluate its effectiveness in the treatment of chemotherapy
induced anemia under clinical practice conditions. METHODS: This was an
observational, retrospective, multicenter study in adult patients with non
myeloid malignancies who initiated chemotherapy and darbepoetin alfa. Data was
collected for up to 16 weeks or until treatment discontinuation. RESULTS: A total
of 685 patients (72.7% with solid tumors and 27.3% with hematologic malignancies)
were included in the study. Median age was 64.7 years (range 18.5-88.9 years),
50.7% were women, 82.4% had ECOG status 0-1 and 80.5% had stage III/IV cancer. At
darbepoetin initiation, mean hemoglobin (Hb) was 100 g/L (SD 10), with 11.0% and
23.1% of patients below 90 g/L in solid and hematologic malignancies,
respectively. A decrease in transfusion requirements was observed between weeks 5
16 with respect to weeks 0-16 (13.3% [95% CI: 10.7 to 15.9] versus 19.0% [95% CI:
16.0 to 22.0]). Hb levels were significantly increased during the treatment (mean
change of 10.4 g/L for solid tumors [p < 0.001], and 16.6 g/L for hematologic
malignancies [p < 0.001]). The percentage of patients with baseline Hb level <110
g/L who achieved an Hb level >=110 g/L during the study was 66.5% (95% CI: 62.5%
to 70.5%). Six serious adverse reactions were considered related to darbepoetin
alfa (thromboembolic events, 1.0%). CONCLUSIONS: With the limitation of a
retrospective design, our results suggest that darbepoetin alfa is a well
tolerated treatment that increases hemoglobin levels and reduces the need for
transfusion in cancer patients receiving chemotherapy in clinical practice.
PMID- 22070516
TI - 15N-labeled brain enables quantification of proteome and phosphoproteome in
cultured primary neurons.
AB - Terminally differentiated primary cells represent a valuable in vitro model to
study signaling events associated within a specific tissue. Quantitative
proteomic methods using metabolic labeling in primary cells encounter labeling
efficiency issues hindering the use of these cells. Here we developed a method to
quantify the proteome and phosphoproteome of cultured neurons using (15)N-labeled
brain tissue as an internal standard and applied this method to determine how an
inhibitor of an excitatory neural transmitter receptor, phencyclidine (PCP),
affects the global phosphoproteome of cortical neurons. We identified over 10,000
phosphopeptides and made accurate quantitative measurements of the neuronal
phosphoproteome after neuronal inhibition. We show that short PCP treatments lead
to changes in phosphorylation for 7% of neuronal phosphopeptides and that
prolonged PCP treatment alters the total levels of several proteins essential for
synaptic transmission and plasticity and leads to a massive reduction in the
synaptic strength of inhibitory synapses. The results provide valuable insights
into the dynamics of molecular networks implicated in PCP-mediated NMDA receptor
inhibition and sensorimotor deficits.
PMID- 22070517
TI - Possible involvement of monoaminergic neurotransmission in antidepressant-like
activity of Emblica officinalis fruits in mice.
AB - AIMS: In this study, antidepressant-like activity of Emblica offcinalis Gaertn.
fruits (Family: Euphorbiaceae) was evaluated in Swiss young male albino mice
employing tail suspension test and forced swim test. METHODS: Aqueous extract
(200 and 400 mg/kg) of the fruits was administered orally for 14 successive days
to mice. On day 14, 60 min after extract administration, animals were subjected
to tail suspension test and forced swim test. RESULTS: The extract significantly
decreased immobility period in both tail suspension test and forced swim test,
indicating significant antidepressant-like activity. The lower dose (200 mg/kg)
of the extract showed better antidepressant-like action. The efficacy of the
extract was found to be comparable to fluoxetine (20 mg/kg), imipramine (15
mg/kg), and phenelzine (20 mg/kg). The extract did not show any significant
effect on locomotor activity of the mice. Prazosin (alpha(1) -adrenoceptor
antagonist), sulpiride (selective D(2) -receptor antagonist), baclofen (GABA(B)
agonist), and p-CPA (tryptophan hydroxylase inhibitor) significantly attenuated
the extract-induced antidepressant-like effect. The extract also significantly
decreased brain MAO-A levels. DISCUSSION: The aqueous extract might produce
antidepressant-like effect by interaction with alpha(1)-adrenoceptors, dopamine
D(2)- receptors, serotonergic, and GABA(B) receptors. In this study, aqueous
extract was found to contain 2.94% of ascorbic acid. So ascorbic acid and other
constituents like flavanoids, tannoid principles, and polyphenolic substances
present in the aqueous extract of E. officinalis might be responsible for its
antidepressant-like activity. CONCLUSIONS: Thus, aqueous extract of E.
officinalis showed antidepressant-like activity probably by inhibiting MAO-A and
GABA; and also due to its antioxidant activity.
PMID- 22070519
TI - Irish psychiatric nurses' self-reported barriers, facilitators and skills for
developing evidence-based practice.
AB - Evidence-based practice places an emphasis on integration of clinical expertise
with available best evidence, patient's clinical information and preferences, and
with local health resources. This paper reports the findings of a study that
investigated the barriers, facilitators and skills in developing evidence-based
practice among psychiatric nurses in Ireland. A postal survey was conducted among
a random sample of Irish psychiatric nurses and survey data were collected using
the Development of Evidence-Based Practice Questionnaire. Respondents reported
that insufficient time to find and read research reports and insufficient
resources to change practice were the greatest barriers to the development of
evidence-based practice. Practice development coordinators were perceived as the
most supportive resource for changing practice. Using the Internet to search for
information was the highest-rated skill and using research evidence to change
practice was the lowest-rated skill for developing evidence-based practice.
Nurses' precursor skills for developing evidence-based practice, such as database
searching and information retrieval, may be insufficient in themselves for
promoting evidence-based practice if they cannot find evidence relating to their
particular field of practice or if they do not have the time, resources and
supports to develop their practice in response to evidence.
PMID- 22070518
TI - Potentiometric sensors based on fluorous membranes doped with highly selective
ionophores for carbonate.
AB - Manganese(III) complexes of three fluorophilic salen derivatives were used to
prepare ion-selective electrodes (ISEs) with ionophore-doped fluorous sensing
membranes. Because of their extremely low polarity and polarizability, fluorous
media are not only chemically very inert but also solvate potentially interfering
ions poorly, resulting in a much improved discrimination of such ions. Indeed,
the new ISEs exhibited selectivities for CO(3)(2-) that exceed those of
previously reported ISEs based on nonfluorous membranes by several orders of
magnitude. In particular, the interference from chloride and salicylate was
reduced by 2 and 6 orders of magnitude, respectively. To achieve this, the
selectivities of these ISEs were fine-tuned by addition of noncoordinating
hydrophobic ions (i.e., ionic sites) into the sensing membranes. Stability
constants of the anion-ionophore complexes were determined from the dependence of
the potentiometric selectivities on the charge sign of the ionic sites and the
molar ratio of ionic sites and the ionophore. For this purpose, a previously
introduced fluorophilic tetraphenylborate and a novel fluorophilic cation with a
bis(triphenylphosphoranylidene)ammonium group,
(R(f6)(CH(2))(3))(3)PN(+)P(R(f6)(CH(2))(3))(3), were utilized (where R(f6) is
C(6)F(13)). The optimum CO(3)(2-) selectivities were found for sensing membranes
composed of anionic sites and ionophore in a 1:4 molar ratio, which results in
the formation of 2:1 complexes with CO(3)(2-) with stability constants up to 4.1
* 10(15). As predicted by established theory, the site-to-ionophore ratios that
provide optimum potentiometric selectivity depend on the stoichiometries of the
complexes of both the primary and the interfering ions. However, the ionophores
used in this study give examples of charges and stoichiometries previously
neither explicitly predicted by theory nor shown by experiment. The exceptional
selectivity of fluorous membranes doped with these carbonate ionophores suggests
their use not only for potentiometric sensing but also for other types of
sensors, such as the selective separation of carbonate from other anions and the
sequestration of carbon dioxide.
PMID- 22070520
TI - Midregional proadrenomedullin (MR-proADM) is a powerful predictor of early death
in AL amyloidosis.
AB - BACKGROUND: Cardiac biomarkers play a major role in the identification of
patients at risk of early death in AL amyloidosis, and a staging system based on
amino-terminal pro-natriuretic peptide type-B (NT-proBNP) and troponins (cTn) is
used for prognostic stratification. Adrenomedullin is produced by several tissues
including the heart, and portends a poor prognosis in heart diseases. We
investigated the ability of midregional proadrenomedullin (MR-proADM) to predict
early death in AL amyloidosis. METHODS: One-hundred and thirty consecutive
patients with newly-diagnosed AL amyloidosis were prospectively enrolled. The
impact on survival of NT-proBNP, cTnI and MR-proADM was evaluated. RESULTS: The
concentration of MR-proADM correlated with systolic and diastolic function, but
did not reflect the amount of amyloid deposited in the heart. Moreover, MR-proADM
was associated with non-cardiac markers of advanced disease. The staging system
based on NT-proBNP and cTnI identified high-risk subjects, but could not
discriminate good-risk and intermediate-risk patients. Conversely, a staging
system based on MR-proADM and cTnI identified 3 groups with significantly
different survivals. CONCLUSIONS: Midregional-proADM is a powerful prognostic
marker in AL amyloidosis, which may not only reflect cardiac dysfunction but also
widespread systemic disease, and can be combined with cTn for detecting patients
at risk of early death.
PMID- 22070521
TI - Oral status, oral hygiene habits and caries risk factors in home-dwelling elderly
dependent on moderate or substantial supportive care for daily living.
AB - OBJECTIVES: Elderly people with disabilities have an increased risk of developing
oral diseases as compared with the healthy elderly. The aim of this study was to
investigate oral hygiene habits, clinical variables related to oral self-care and
caries risk in elderly individuals living at home with moderate and substantial
needs of home care. METHODS: A random sample of 151 elderly people with moderate
needs and 151 with substantial needs of home care were examined. Data concerning
general health, social conditions and oral hygiene habits were collected using a
questionnaire. Data showing the prevalence of caries, plaque scores and gingival
bleeding were obtained through clinical examinations. RESULTS: Elderly subjects
with substantial needs of home nursing had more active caries (P < 0.01) and more
often gingival bleeding (P < 0.05), as compared with elderly people with moderate
needs. Forty-nine per cent of the elderly with moderate needs performed
acceptable self-care, as compared with 25% of the individuals with substantial
needs. Good self-care was associated with women, low plaque scores, less bleeding
and less caries. Factors increasing the risk of having caries were low saliva
secretion, high plaque scores and a large number of fillings, while having a
dentist and good oral hygiene habits increased the chance of not developing
caries. CONCLUSIONS: Good oral hygiene habits were associated with less
prevalence of plaque and oral disease in the elderly irrespective of extent of
needs of home nursing. However, the elderly with moderate needs more often
performed good self-care, indicating that the possibilities of strengthening self
care and learning new routines are better when functions are less affected.
PMID- 22070522
TI - MicroRNA-1 and microRNA-206 improve differentiation potential of human satellite
cells: a novel approach for tissue engineering of skeletal muscle.
AB - Innovative strategies based on regenerative medicine, in particular tissue
engineering of skeletal muscle, are promising for treatment of patients with
skeletal muscle damage. However, the efficiency of satellite cell differentiation
in vitro is suboptimal. MicroRNAs are involved in the regulation of cell
proliferation and differentiation. We hypothesized that transient overexpression
of microRNA-1 or microRNA-206 enhances the differentiation potential of human
satellite cells by downregulation quiescent satellite cell regulators, thereby
increasing myogenic regulator factors. To investigate this, we isolated and
cultured human satellite cells from muscle biopsies. First, through
immunofluorescent analysis and quantitative reverse transcription-polymerase
chain reaction (qRT-PCR), we showed that in satellite cell cultures, low Pax7
expression is related to high MyoD expression on differentiation, and,
subsequently, more extensive sarcomere formation, that is, muscle
differentiation, was detected. Second, using qRT-PCR, we showed that microRNA-1
and microRNA-206 are robustly induced in differentiating satellite cells.
Finally, a gain-of-function approach was used to investigate microRNA-1 and
microRNA-206 potential in human satellite cells to improve differentiation
potential. As a proof of concept, this was also investigated in a three
dimensional bioartificial muscle construct. After transfection with microRNA-1,
the number of Pax7 expressing cells decreased compared with the microRNA
scrambled control. In differentiated satellite cell cultures transfected with
either microRNA-1 or microRNA-206, the number of MyoD expressing cells increased,
and alpha-sarcomeric actin and myosin expression increased compared with microRNA
scrambled control cultures. In addition, in a three-dimensional bioartificial
muscle construct, an increase in MyoD expression occurred. Therefore, we conclude
that microRNA-1 and microRNA-206 can improve human satellite cell
differentiation. It represents a potential novel approach for tissue engineering
of human skeletal muscle for the benefit of patients with facial paralysis.
PMID- 22070523
TI - Influencing factors of community mental health nurses caring for people with
schizophrenia in Taiwan.
AB - The purpose of this study was to explore the influencing factors in the
substantive theory of home care for people with schizophrenia in Taiwan. The
grounded theory of Strauss and Corbin approach was used. Semi-structured one-to
one in-depth interviews were utilized to collect data. Constant comparative
analysis continued during the open, axial and selective coding processes until
data saturation occurred. Participants were selected using theoretical sampling,
and the final sample in this study consisted of a total of 29 community nurses
(18 public health nurses and 11 home health nurses) who provided community mental
health home-visiting services. The public health nurses and home health nurses
both conducted a total of 16 (eight carers and eight clients) home visits. Four
categories and 12 subcategories of influencing factors were identified; these
factors have both positive and negative effects on nursing roles and the
functions of public health nurses in the mental health home-visiting service in
Taiwan. The influencing factors identified support the importance of home care
services.
PMID- 22070524
TI - Evaluation of the nifH gene marker of Methanobrevibacter smithii for the
detection of sewage pollution in environmental waters in Southeast Queensland,
Australia.
AB - This study aimed at evaluating the host-specificity and -sensitivity of the nifH
gene marker of Methanobrevibacter smithii by screening 272 fecal and wastewater
samples from 11 animal species including humans in Southeast Queensland (SEQ),
Australia. In addition, environmental water samples (n = 21) were collected
during the dry and wet weather conditions and tested for the presence of the nifH
marker along with other sewage-associated markers, namely, enterococci surface
protein (esp) found in Enterococci faecium, Bacteroides HF183, adenoviruses
(AVs), and polyomaviruses (PVs). The overall host-specificity of the nifH marker
to differentiate between human and animal feces was 0.96 (maximum value of 1),
while the overall sensitivity of this marker in human sourced feces and
wastewater was 0.81 (maximum value of 1). Among the 21 environmental water
samples tested, 2 (10%), 3 (14%), 12 (57%), 6 (29%), and 6 (29%) were positive
for the nifH, esp, HF183, AVs and PVs markers, respectively. The prevalence of
the nifH marker in environmental water samples, however, was low compared to
other markers, suggesting that the use of this marker alone may not be sensitive
enough to detect fecal pollution in environmental waters. The nifH marker,
however, appears to be sewage-specific in SEQ, Australia, and therefore, it is
recommended that this marker should be used as an additional marker in
combination with the HF183 or viral markers such as AVs or PVs for accurate and
sensitive detection of fecal pollution in SEQ waterways.
PMID- 22070525
TI - Minimizing pharmacodynamic interactions of high doses of lacosamide.
AB - OBJECTIVES: To determine whether pharmacodynamic interactions between high doses
of lacosamide (400-800 mg/day) and concomitant sodium channel antiepilepsy drugs
(AEDs) can be minimized in patients with drug-resistant partial-onset seizures.
MATERIALS AND METHODS: Patients were rapidly initiated with high-dose lacosamide
(100 mg/week; increases to 400 to 800 mg/day), while simultaneously tapering
concomitant sodium channel AEDs. Seizure frequency and side effects were
evaluated at six time points: baseline, titration, 3, 6, 9 and 12 months.
RESULTS: Twenty-three patients had a baseline median of 4 seizures/month with
persisting partial-onset seizures, despite previous treatment with an average of
6.8 AEDs. Mean decreases in monthly seizure frequency were as follows: 3 months
49.9% (P = 0.011), 6 months 55.4% (P = 0.010), 9 months 60.8% (P = 0.002) and 12
months 58.2% (P = 0.011). Most adverse events were mild CNS-related symptoms and
occurred transiently only during titration - there was no significant
relationship (chi(2) < 1.5, P > 0.1) between lacosamide dose and the presence of
side effects at 3, 6, 9 or 12 months. CONCLUSIONS: Drug-resistant patients
rapidly titrated to high doses of lacosamide with simultaneous tapering of
traditional sodium channel AEDs had marked reduction in CNS-related adverse
events compared with patients treated in three previous pivotal trials that used
fixed doses of concomitant AEDs.
PMID- 22070526
TI - In vitro tests for aerosol deposition. I: Scaling a physical model of the upper
airways to predict drug deposition variation in normal humans.
AB - BACKGROUND: In vitro-in vivo correlations (IVIVCs) are needed to relate in vitro
test results for deposition to mean data from clinical trials, as well as the
extremes in a population. Because drug deposition variations are related to
differences in airway dimensions and inhalation profiles, this article describes
the development and validation of models and methods to predict in vivo results.
METHODS: Three physical models of the upper airways were designed as small,
medium, and large versions to represent 95% of the normal adult human population.
The physical dimensions were validated by reference to anatomy literature. The
models were constructed by rapid prototyping, housed in an artificial thorax, and
used for in vitro testing of drug deposition from 200 MUg Budelin Novolizers
using a breath simulator to mimic the inhalation profiles used in the clinic. In
vitro results were compared to those reported in vivo. RESULTS: The "average"
model was scaled to produce "small" and "large" versions by multiplying linear
dimensions by 0.748 or 1.165, respectively, based on reports of the mean and
standard deviation of airway volume across a normal adult population. In vitro
deposition variation under fixed test conditions was small. Testing in the model
triplet however, using air flow rate versus time profiles based on the mean and
the extremes reported in the clinic, produced results for total lung deposition
(TLD) in vitro consistent with the complete range of drug deposition results
reported in vivo. The effects of variables such as flow rate in vitro were also
predictive of in vivo deposition. CONCLUSIONS: A new in vitro test method is
described to predict the median and range of aerosol drug deposition seen in
vivo. The method produced an IVIVC that was consistent with 1:1 predictions of
total lung deposition from a marketed powder inhaler in trained normal adults.
PMID- 22070527
TI - The pharmacokinetics of inhaled morphine delivered by an ultrasonic nebulizer in
ventilated dogs.
AB - BACKGROUND: To investigate the pharmacokinetics of single dose morphine inhaled
by modified ultrasonic nebulizer versus intravenous administered in ventilated
dogs. METHODS: Six healthy dogs were randomly assigned to receive nebulized or
intravenous morphine and crossed over to the alternative medication 1 week after.
Morphine was nebulized by modified ultrasonic nebulizer (YuYue 402A, Jiangsu,
China). Arterial blood was sampled every minute during the 10 min of
administration and at 2, 5, 7, 10, 15, 20, 45, 60, 90, 120, 150, 180, 240, and
360 min after the administration for the determination of morphine concentration
by RP-HPLC. RESULTS: The main pharmacokinetic parameters of morphine by inhaled
and intravenous administration were: MRT 59+/-14 min versus 19+/-4 min, T(1/2)
21.9+/-5.1 min versus 3.3+/-1.0 min, T(max) 23.0+/-2.7 min versus 8.8+/-2.4 min,
C(max) 0.245+/-0.09 mg.L-1 versus 1.09+/-0.32 mg.L-1, AUC(0-infinity) 9.7+/-1.1
mg.min.L-1 versus 15.2+/-7.2 mg.min.L-1, CL 0.069+/-0.019 L.min-1.kg-1 versus
0.063+/-0.028 L.min-1.kg-1, and the absolute bioavailability of inhaled morphine
was 35.5+/-10%. There were no significant differences (p<0.05) between inhaled
and intravenous morphine in AUC and CL. As expected, the T(1/2) and MRT of
inhaled morphine were significantly greater than those of intravenous morphine.
CONCLUSIONS: Morphine nebulized by ultrasonic nebulizer can be rapidly and
extensively absorbed by lungs in ventilated dogs.
PMID- 22070528
TI - Small CAB-like proteins prevent formation of singlet oxygen in the damaged
photosystem II complex of the cyanobacterium Synechocystis sp. PCC 6803.
AB - The cyanobacterial small CAB-like proteins (SCPs) are single-helix membrane
proteins mostly associated with the photosystem II (PSII) complex that accumulate
under stress conditions. Their function is still ambiguous although they are
assumed to regulate chlorophyll (Chl) biosynthesis and/or to protect PSII against
oxidative damage. In this study, the effect of SCPs on the PSII-specific light
induced damage and generation of singlet oxygen ((1)O(2)) was assessed in the
strains of the cyanobacterium Synechocystis sp. PCC 6803 lacking PSI (PSI-less
strain) or lacking PSI together with all SCPs (PSI-less/scpABCDE(-) strain). The
light-induced oxidative modifications of the PSII D1 protein reflected by a
mobility shift of the D1 protein and by generation of a D1-cytochrome b-559
adduct were more pronounced in the PSI-less/scpABCDE(-) strain. This increased
protein oxidation correlated with a faster formation of (1)O(2) as detected by
the green fluorescence of Singlet Oxygen Sensor Green assessed by a laser
confocal scanning microscopy and by electron paramagnetic resonance spin-trapping
technique using 2, 2, 6, 6-tetramethyl-4-piperidone (TEMPD) as a spin trap. In
contrast, the formation of hydroxyl radicals was similar in both strains. Our
results show that SCPs prevent (1)O(2) formation during PSII damage, most
probably by the binding of free Chl released from the damaged PSII complexes.
PMID- 22070529
TI - What motivates Australian health service users with chronic illness to engage in
self-management behaviour?
AB - CONTEXT: Health policy in Australia emphasizes the role of health service users
(HSU) in managing their own care but does not include mechanisms to assist HSUs
to do so. OBJECTIVE: To describe motivation towards or away from self-management
in a diverse group of older Australians with diabetes, chronic heart failure
(CHF) or chronic obstructive pulmonary disease (COPD) and suggest policy
interventions to increase patient motivation to manage effectively. DESIGN:
Content and thematic analyses of in-depth semi-structured interviews.
Participants were asked to describe their experience of having chronic illness,
including experiences with health professionals and health services. Secondary
analysis was undertaken to expose descriptions of self-management behaviours and
their corresponding motivational factors. PARTICIPANTS: Health service users with
diabetes, COPD and/or CHF (N=52). RESULTS: Participant descriptions exposed
internal and external sources of motivation. Internal motivation was most often
framed positively in terms of the desire to optimize health, independence and
wellness and negatively in terms of avoiding the loss of those attributes.
External motivation commonly arose from interactions with family, carers and
health professionals. Different motivators appeared to work simultaneously and
interactively in individuals, and some motivators seemed to be both positive and
negative drivers. CONCLUSION: Successful management of chronic illness requires
recognition that the driving forces behind motivation are interconnected. In
particular, the significance of family as an external source of motivation
suggests a need for increased investment in the knowledge and skill building of
family members who contribute to care.
PMID- 22070530
TI - Placental alpha-microglobulin-1 rapid immunoassay for detection of premature
rupture of membranes.
AB - AIM: The aim of the present study was to compare the efficacy of placental alpha
microglobulin-1 (PAMG-1) rapid immunoassay with conventional standard methods for
the diagnosis of rupture of membranes (ROM). MATERIAL AND METHODS: A prospective
observational study was performed in patients with symptoms or signs of premature
rupture of membranes (PROM) at the Department of Obstetrics and Gynecology,
Faculty of Medicine, Chulalongkorn University. Conventional standard methods were
performed to establish the diagnosis and were compared with PAMG-1 immunoassay
results. ROM was diagnosed if visualization of fluid leaking from the cervical os
or two of the following three conditions were present: positive nitrazine test,
ferning test, and nile blue test. The diagnosis of ROM was confirmed by reviewing
the medical records after delivery. RESULTS: One hundred patients (gestational
age 36.5+/-3.5weeks, range 22-41weeks of gestation) were recruited into the
study. Seventy-six percent were preterm and 24% were at term. PAMG-1 immunoassay
had a sensitivity of 97.2%, specificity of 69%, positive predictive value (PPV)
of 90.8%, negative predictive value (NPV) of 90.9% and an accuracy of 89%. In
contrast, conventional combined standard methods had a sensitivity of 88.7%,
specificity of 96.6%, PPV of 98.4%, NPV of 77.8%, and accuracy of 91% for the
diagnosis of ROM. CONCLUSION: PAMG-1 immunoassay is a rapid method for the
diagnosis of ROM. PAMG-1 has a higher sensitivity than conventional standard
methods for the diagnosis of ROM.
PMID- 22070531
TI - Amelioration of amyloid beta-induced cognitive deficits by Zataria multiflora
Boiss. essential oil in a rat model of Alzheimer's disease.
AB - INTRODUCTION: The limitations of current Alzheimer's disease (AD) therapeutics
have prompted investigation into innovative therapeutics focused on
antiinflammatory, antioxidant, and neuroprotective agents including those from
medicinal plants. Numerous plants have been tested for their potential for
alleviating symptoms of AD. AIMS: Zataria multiflora Boiss. (ZM) a member of
Lamiaceae family has been used in Iranian traditional medicine for its beneficial
effects on mental abilities. Therefore, the effect of its essential oil was
evaluated in a rat model of AD. METHODS: Amyloid beta-protein (Abeta) fragment 25
35 was injected bilaterally in the CA1 region of rats hippocampus and the effect
of different doses of ZM essential oil (50, 100, or 200 MUL/kg) on cognitive
function was investigated in the Morris water maze. Acute toxicity of the
essential oil was also studied. RESULTS: The results showed increases in escape
latency, traveled distance, heading angle, and decreases in target quadrant
entries in Abeta-received groups as compared to the control group. This
impairment was reversed by ZM essential oil. The results of acute toxicity
testing revealed that the calculated LD50 (1264.9 MUL/kg) is much higher than the
therapeutic dose (100 MUL/kg). CONCLUSIONS: It seems that antioxidant,
antiinflammatory, and anticholinesterase activities of ZM or its main
constituents might contribute to its beneficial effects in this model. Our
findings suggest that ZM may be a potentially valuable source of natural
therapeutic agents for the treatment of AD. However, further investigations are
necessary to establish its clinical efficacy and potential toxicity, before any
recommendations concerning its use as a medication in the treatment of AD.
PMID- 22070534
TI - Minimally invasive approach in the management of upper- urinary-tract tumours.
AB - OBJECTIVE: Nephroureterectomy with bladder cuff excision has been the gold
standard treatment for upper tract transitional cell carcinoma (UTTCC) for more
than 60 years. However, endoscopic treatment of urothelial tumours of renal
pelvis and ureter is gaining acceptance as a conservative treatment modality.
MATERIAL AND METHODS: A review in the English language of the Medline and Pub Med
databases was performed using the keywords upper urinary tract transitional cell
carcinoma and endoscopic management. There was a particular emphasis on treatment
outcomes from published series. RESULTS: Endoscopic treatment of UTTCC alone for
high-grade tumours is not advised owing to high rates of both local recurrence
and disease progression, while many authors do not recommend primary endoscopic
management of UTTCC in elective situations if pathological analysis and tumour
grade cannot be obtained. CONCLUSION: Endourological management of UTTCC has
become an accepted treatment option in highly selected patients, provided long
term close surveillance to detect and treat recurrences is ensured.
PMID- 22070532
TI - Structuring and validating a cost-effectiveness model of primary asthma
prevention amongst children.
AB - BACKGROUND: Given the rising number of asthma cases and the increasing costs of
health care, prevention may be the best cure. Decisions regarding the
implementation of prevention programmes in general and choosing between
unifaceted and multifaceted strategies in particular are urgently needed.
Existing trials on the primary prevention of asthma are, however, insufficient on
their own to inform the decision of stakeholders regarding the cost-effectiveness
of such prevention strategies. Decision analytic modelling synthesises available
data for the cost-effectiveness evaluation of strategies in an explicit manner.
Published reports on model development should provide the detail and transparency
required to increase the acceptability of cost-effectiveness modelling. But,
detail on the explicit steps and the involvement of experts in structuring a
model is often unevenly reported. In this paper, we describe a procedure to
structure and validate a model for the primary prevention of asthma in children.
METHODS: An expert panel was convened for round-table discussions to frame the
cost-effectiveness research question and to select and structure a model. The
model's structural validity, which indicates how well a model reflects the
reality, was determined through descriptive and parallel validation. Descriptive
validation was performed with the experts. Parallel validation qualitatively
compared similarity between other published models with different decision
problems. RESULTS: The multidisciplinary input of experts helped to develop a
decision-tree structure which compares the current situation with screening and
prevention. The prevention was further divided between multifaceted and
unifaceted approaches to analyse the differences. The clinical outcome was
diagnosis of asthma. No similar model was found in the literature discussing the
same decision problem. Structural validity in terms of descriptive validity was
achieved with the experts and was supported by parallel validation. CONCLUSIONS:
A decision-tree model developed with experts in round-table discussions benefits
from a systematic and transparent approach and the multidisciplinary
contributions of the experts. Parallel validation provides a feasible alternative
to validating novel models. The process of structuring and validating a model
presented in this paper could be a useful guide to increase transparency,
credibility, and acceptability of (future, novel) models when experts are
involved.
PMID- 22070535
TI - Significance of cytomegalovirus infection in the failure of native arteriovenous
fistula.
AB - High cytomegalovirus (CMV) IgG levels have been identified as a risk factor for
arteriovenous fistula (AVF) failure. None of the 68 patents in our study were CMV
IgM positive, although 96% were CMV IgG positive. CMV antigens were detected in
the radial artery or cephalic vein of 46% of patients who received an AVF. The
presence of CMV antigens or high serum CMV IgG levels had no prognostic value for
AVF failure.
PMID- 22070536
TI - Sphingosine in plants--more riddles from the Sphinx?
AB - * Sphingolipids are emerging as important mediators of cellular and developmental
processes in plants, and advances in lipidomics have yielded a wealth of
information on the composition of plant sphingolipidomes. Studies using
Arabidopsis thaliana showed that the dihydroxy long-chain base (LCB) is
desaturated at carbon position 8 (d18:1(Delta8)). This raised important questions
on the role(s) of sphingosine (d18:1(Delta4)) and sphingosine-1-phosphate
(d18:1(Delta4)-P) in plants, as these LCBs appear to be absent in A. thaliana. *
Here, we surveyed 21 species from various phylogenetic groups to ascertain the
position of desaturation of the d18:1 LCB, in order to gain further insights into
the prevalence of d18:1(Delta4) and d18:1(Delta8) in plants. * Our results showed
that d18:1(Delta8) is common in gymnosperms, whereas d18:1(Delta4) is widespread
within nonseed land plants and the Poales, suggesting that d18:1(Delta4) is
evolutionarily more ancient than d18:1(Delta8) in Viridiplantae. Additionally,
phylogenetic analysis indicated that the sphingolipid Delta4-desaturases from
Viridiplantae form a monophyletic group, with Angiosperm sequences falling into
two distinct clades, the Eudicots and the Poales. * We propose that efforts to
elucidate the role(s) of d18:1(Delta4) and d18:1(Delta4)-P should focus on
genetically tractable Viridiplantae species where the d18:1 LCB is desaturated at
carbon position 4.
PMID- 22070537
TI - My farewell to the Journal of Medicinal Chemistry.
PMID- 22070538
TI - Applicability and results of Maastricht type 2 donation after cardiac death liver
transplantation.
AB - Maastricht type 2 donation after cardiac death (DCD) donors suffer sudden and
unexpected cardiac arrest, typically outside the hospital; they have significant
potential to expand the donor pool. Herein, we analyze the results of
transplanted livers and all potential donors treated under our type 2 DCD
protocol. Cardiac arrest was witnessed; potential donors arrived at the hospital
after attempts at resuscitation had failed. Death was declared based on the
absence of cardiorespiratory activity during a 5-min no-touch period. Femoral
vessels were cannulated to establish normothermic extracorporeal membrane
oxygenation, which was maintained until organ recovery. From April 2002 to
December 2010, there were 400 potential donors; 34 liver transplants were
performed (9%). Among recipients, median age, model for end-stage liver disease
and cold and reperfusion warm ischemic times were 55 years (49-60), 19 (14-21)
and 380 (325-430) and 30 min (26-35), respectively. Overall, 236 (59%) and 130
(32%) livers were turned down due to absolute and relative contraindications to
donate, respectively. One-year recipient and graft survivals were 82% and 70%,
respectively (median follow-up 24 months). The applicability of type 2 DCD liver
transplant was <10%; however, with better preservation technology and expanded
transplant criteria, we may be able to improve this figure significantly.
PMID- 22070539
TI - Historical aspects of Danish psychiatry.
PMID- 22070540
TI - Melatonin-dependent timing of seasonal reproduction by the pars tuberalis:
pivotal roles for long daylengths and thyroid hormones.
AB - Most mammals living at temperate latitudes exhibit marked seasonal variations in
reproduction. In long-lived species, it is assumed that timely physiological
alternations between a breeding season and a period of sexual rest depend upon
the ability of day length (photoperiod) to synchronise an endogenous timing
mechanism called the circannual clock. The sheep has been extensively used to
characterise the time-measurement mechanisms of seasonal reproduction. Melatonin,
secreted only during the night, acts as the endocrine transducer of the
photoperiodic message. The present review is concerned with the endocrine
mechanisms of seasonal reproduction in sheep and the evidence that long day
length and thyroid hormones are mandatory to their proper timing. Recent evidence
for a circadian-based molecular mechanism within the pars tuberalis of the
pituitary, which ties the short duration melatonin signal reflecting long day
length to the hypothalamic increase of triiodothyronine (T3) through a thyroid
stimulating hormone/deiodinase2 paracrine mechanism is presented and evaluated in
this context. A parallel is also drawn with the golden hamster, a long-day
breeder, aiming to demonstrate that features of seasonality appear to be
phylogenetically conserved. Finally, potential mechanisms of T3 action within the
hypothalamus/median eminence in relationship to seasonal timing are examined.
PMID- 22070541
TI - Aims and results of the NIMH systematic treatment enhancement program for bipolar
disorder (STEP-BD).
AB - The Systematic Treatment Enhancement Program for Bipolar Disorder (STEP-BD) was
funded as part of a National Institute of Mental Health initiative to develop
effectiveness information about treatments, illness course, and assessment
strategies for severe mental disorders. STEP-BD studies were planned to be
generalizable both to the research knowledge base for bipolar disorder and to
clinical care of bipolar patients. Several novel methodologies were developed to
aid in illness characterization, and were combined with existing scales on
function, quality of life, illness burden, adherence, adverse effects, and
temperament to yield a comprehensive data set. The methods integrated
naturalistic treatment and randomized clinical trials, which a portion of STEP-BD
participants participated. All investigators and other researchers in this
multisite program were trained in a collaborative care model with the objective
of retaining a high percentage of enrollees for several years. Articles from STEP
BD have yielded evidence on risk factors impacting outcomes, suicidality,
functional status, recovery, relapse, and caretaker burden. The findings from
these studies brought into question the widely practiced use of antidepressants
in bipolar depression as well as substantiated the poorly responsive course of
bipolar depression despite use of combination strategies. In particular, large
studies on the characteristics and course of bipolar depression (the more
pervasive pole of the illness), and the outcomes of treatments concluded that
adjunctive psychosocial treatments but not adjunctive antidepressants yielded
outcomes superior to those achieved with mood stabilizers alone. The majority of
patients with bipolar depression concurrently had clinically significant manic
symptoms. Anxiety, smoking, and early age of bipolar onset were each associated
with increased illness burden. STEP-BD has established procedures that are
relevant to future collaborative research programs aimed at the systematic study
of the complex, intrinsically important elements of bipolar disorders.
PMID- 22070542
TI - Stark control of a chiral fluoroethylene derivative.
AB - Hydrogen dissociation is an unwanted competing pathway if a torsional motion
around the C?C double bond in a chiral fluoroethylene derivative, namely (4
methylcyclohexylidene) fluoromethane (4MCF), is to be achieved. We show that the
excited state H-dissociation can be drastically diminished on time scales long
enough to initiate a torsion around the C?C double bond using the nonresonant
dynamic Stark effect. Potential energy curves, dipoles, and polarizabilities for
the regarded one-dimensional reaction coordinate are calculated within the CASSCF
method. The influence of the excitation and the laser control field is then
simulated using wave packet dynamics.
PMID- 22070543
TI - The medicines management needs of carers during an episode of mental health
crisis.
PMID- 22070544
TI - Integration of metabolomics and expression of glycerol-3-phosphate
acyltransferase (GPAM) in breast cancer-link to patient survival, hormone
receptor status, and metabolic profiling.
AB - Changes in lipid metabolism are an important but not well-characterized hallmark
of cancer. On the basis of our recent findings of lipidomic changes in breast
cancer, we investigated glycerol-3-phosphate acyltransferase (GPAM), a key enzyme
in the lipid biosynthesis of triacylglycerols and phospholipids. GPAM protein
expression was evaluated and linked to metabolomic and lipidomic profiles in a
cohort of human breast carcinomas. In addition, GPAM mRNA expression was analyzed
using the GeneSapiens in silico transcriptiomics database. High cytoplasmic GPAM
expression was associated with hormone receptor negative status (p = 0.013). On
the protein (p = 0.048) and mRNA (p = 0.001) levels, increased GPAM expression
was associated with a better overall survival. Metabolomic analysis by GC-MS
showed that sn-glycerol-3-phosphate, the substrate of GPAM, was elevated in
breast cancer compared to normal breast tissue. LC-MS based lipidomic analysis
identified significantly higher levels of phospholipids, especially
phosphatidylcholines in GPAM protein positive tumors. In conclusion, our results
suggest that GPAM is expressed in human breast cancer with associated changes in
the cellular metabolism, in particular an increased synthesis of phospholipids,
the major structural component of cellular membranes.
PMID- 22070546
TI - Signs of cross-seeding: aortic medin amyloid as a trigger for protein AA
deposition.
AB - The highly diverse deposition pattern displayed by systemic amyloidoses,
sometimes within the same amyloid disease, remains unexplained. The localized
medin (AMed) amyloidosis develops from the precursor protein lactadherin and
deposits in the media of the thoracic aorta in almost all individuals above 50
years of age. Given its high prevalence in the population, and the fact that
systemic amyloidoses also deposit in the aorta, led us to investigate whether
AMed amyloid could influence the tissue distribution of serum amyloid A derived
(AA) amyloidosis. Seven aortas from patients with diagnosed systemic AA
amyloidosis were investigated. Four displayed partial co-localization between
medin and AA aggregates when examined with double-labeling immunofluorescence.
Furthermore, in vitro studies showed that AMed amyloid-like fibrils promote the
aggregation of protein AA into fibrils. The findings indicate that the highly
frequent "senile" amyloidoses may have the potential to initiate fibril formation
of the more uncommon amyloidoses by a cross-seeding mechanism.
PMID- 22070545
TI - The palladium catalyzed asymmetric addition of oxindoles and allenes: an atom
economical versatile method for the construction of chiral indole alkaloids.
AB - The Pd-catalyzed asymmetric allylic alkylation (AAA) is one of the most useful
and versatile methods for asymmetric synthesis known in organometallic chemistry.
Development of this reaction over the past 30 years has typically relied on the
use of an allylic electrophile bearing an appropriate leaving group to access the
reactive Pd(pi-allyl) intermediate that goes on to the desired coupling product
after attack by the nucleophile present in the reaction. Our group has been
interested in developing alternative approaches to access the reactive Pd(pi
allyl) intermediate that does not require the use of an activated electrophile,
which ultimately generates a stoichiometric byproduct in the reaction that is
derived from the leftover leaving group. Along these lines, we have demonstrated
that allenes can be used to generate the reactive Pd(pi-allyl) intermediate in
the presence of an acid cocatalyst, and this system is compatible with
nucleophiles to allow for formation of formal AAA products by Pd-catalyzed
additions to allenes. This article describes our work regarding the use of
oxindoles as carbon-based nucleophiles in a Pd-catalyzed asymmetric addition of
oxindoles to allenes (Pd-catalyzed hydrocarbonation of allenes). By using the
chiral standard Trost ligand (L1) and 3-aryloxindoles as nucleophiles, this
hydrocarbonation reaction provides products with two vicinal stereocenters, with
one being quaternary, in excellent chemo-, regio-, diastereo-, and
enantioselectivities in high chemical yields.
PMID- 22070547
TI - Review: personalized mice: modelling the molecular heterogeneity of
medulloblastoma.
AB - Medulloblastoma, the most common malignant paediatric brain tumour, is thought to
arise from mutations in progenitors or stem cells in the cerebellum. Recent
molecular analyses have highlighted the heterogeneity of these tumours, and
demonstrated that they can be classified into at least four major subtypes that
differ in terms of gene expression, genomic gains and losses, epidemiology and
patient outcome. Along with analysis of human tumours, a variety of animal models
of medulloblastoma have been developed using transgenic and knockout technology
as well as somatic gene delivery. These models have provided valuable insight
into the origins of the disease and the signalling pathways that control tumour
growth. But the degree to which current models recapitulate the heterogeneity of
the human disease remains unclear. Here we review the recent literature on the
genomics of medulloblastoma and discuss the relationship of mouse models to the
subtypes of the disease. Judicious use of existing models, and generation of
additional models for poorly studied subtypes of medulloblastoma, will increase
our understanding of tumour biology and allow evaluation of novel approaches to
treatment of the disease.
PMID- 22070548
TI - Investigating the impact of psychosocial risks and occupational stress on
psychiatric hospital nurses' mental well-being in Japan.
AB - A cross-sectional survey was conducted, with the aim to examine what stressors in
the workplace and demographic factors were associated with signs and symptoms of
poor well-being among psychiatric nurses. A structured questionnaire was
distributed to nurses within six psychiatric hospitals in Japan. Information was
collected on demographic information, work characteristics and two dimensions of
well-being: feeling uptight and emotional exhaustion. Three hundred and sixty-one
questionnaires were completed by participants. High rates of emotional exhaustion
in psychiatric nurses were found to be predicted by young age, high psychological
demands paired with low social support in the workplace, job strain (a proxy to
occupational stress) and job strain paired with low social support. In addition,
high rates of being tense/uptight were associated with high psychological job
demand, low psychological job control, low social support in the workplace, high
job strain and high job strain paired with low social support. The current study
has found evidence of significant relationships between demographic factors and
several work and organizational stressors and poor mental health among Japanese
psychiatric nurses.
PMID- 22070549
TI - What can virtual patient simulation offer mental health nursing education?
AB - This paper discusses the use of simulation in nursing education and training,
including potential benefits and barriers associated with its use. In particular,
it addresses the hitherto scant application of diverse simulation devices and
dedicated simulation scenarios in psychiatric and mental health nursing. It goes
on to describe a low-cost, narrative-based virtual patient simulation technique
which has the potential for wide application within health and social care
education. An example of the implementation of this technology in a web-based
pilot course for acute mental health nurses is given. This particular virtual
patient technique is a simulation type ideally suited to promoting essential
mental health nursing skills such as critical thinking, communication and
decision making. Furthermore, it is argued that it is particularly amenable to e
learning and blended learning environments, as well as being an apt tool where
multilingual simulations are required. The continued development, implementation
and evaluation of narrative virtual patient simulations across a variety of
health and social care programmes would help ascertain their success as an
educational tool.
PMID- 22070550
TI - Silver nanoparticles and total aerosols emitted by nanotechnology-related
consumer spray products.
AB - Products containing silver nanoparticles are entering the market rapidly, but
little is known about the potential for inhalation exposure to nanosilver. The
objectives of this work were to characterize the emissions of airborne particles
from consumer products that claim to contain silver nanoparticles or ions,
determine the relationship between emissions and the products' liquid
characteristics, and assess the potential for inhalation exposure to silver
during product use. Three products were investigated: an antiodor spray for
hunters, a surface disinfectant, and a throat spray. Products emitted 0.24-56 ng
of silver in aerosols per spray action. The plurality of silver was found in
aerosols 1-2.5 MUm in diameter for two products. Both the products' liquid
characteristics and the bottles' spray mechanisms played roles in determining the
size distribution of total aerosols, and the size of silver-containing aerosols
emitted by the products was largely independent of the silver size distributions
in the liquid phase. Silver was associated with chlorine in most samples. Results
demonstrate that the normal use of silver-containing spray products carries the
potential for inhalation of silver-containing aerosols. Exposure modeling
suggests that up to 70 ng of silver may deposit in the respiratory tract during
product use.
PMID- 22070551
TI - MR spectroscopy and atrophy in Gluten, Friedreich's and SCA6 ataxias.
AB - BACKGROUND: Previous work using proton MR spectroscopy ((1)H-MRS) of the
cerebellum in the ataxias suggested that (1)H-MRS abnormalities and atrophy do
not necessarily occur concurrently. AIMS: To investigate the spectroscopic
features of different types of ataxias. METHODS: Using a clinical MR system
operating at 1.5T, we performed (1)H-MRS with a single voxel placed over the
right dentate nucleus in 22 patients with gluten ataxia (GA), six patients with
Friedreich's ataxia (FA), six patients with spinocerebellar ataxia type 6 (SCA6)
and 21 healthy volunteers. Atrophy of the vermis and hemispheres on standard MRI
was rated by a neuroradiologist. Any interaction between atrophy and (1)H-MRS was
analysed for the three groups of patients and controls. RESULTS: Patients with GA
had significant atrophy of the vermis and hemispheres as well as abnormal (1)H
MRS. Patients with SCA6 had more severe overall atrophy of the vermis and
hemispheres, but relatively preserved N-acetyl-aspartate/creatine (NAA/Cr). The
FA group showed significant atrophy of only the superior vermis with normal (1)H
MRS. CONCLUSIONS: This study suggests that (1)H-MRS of the cerebellum in patients
with ataxia provides information in addition to the presence of atrophy. There
are significant (1)H-MRS differences amongst different types of ataxia with
interesting correlations between atrophy and NAA/Cr.
PMID- 22070552
TI - Enamel matrix derivative: a review of cellular effects in vitro and a model of
molecular arrangement and functioning.
AB - BACKGROUND: Enamel matrix derivative (EMD), the active component of Emdogain(r),
is a viable option in the treatment of periodontal disease owing to its ability
to regenerate lost tissue. It is believed to mimic odontogenesis, though the
details of its functioning remain the focus of current research. OBJECTIVE: The
aim of this article is to review all relevant literature reporting on the
composition/characterization of EMD as well as the effects of EMD, and its
components amelogenin and ameloblastin, on the behavior of various cell types in
vitro. In this way, insight into the underlying mechanism of regeneration will be
garnered and utilized to propose a model for the molecular arrangement and
functioning of EMD. METHODS: A review of in vitro studies of EMD, or components
of EMD, was performed using key words "enamel matrix proteins" OR "EMD" OR
"Emdogain" OR "amelogenin" OR "ameloblastin" OR "sheath proteins" AND "cells."
Results of this analysis, together with current knowledge on the molecular
composition of EMD and the structure and regulation of its components, are then
used to present a model of EMD functioning. RESULTS: Characterization of the
molecular composition of EMD confirmed that amelogenin proteins, including their
enzymatically cleaved and alternatively spliced fragments, dominate the protein
complex (>90%). A small presence of ameloblastin has also been reported. Analysis
of the effects of EMD indicated that gene expression, protein production,
proliferation, and differentiation of various cell types are affected and often
enhanced by EMD, particularly for periodontal ligament and osteoblastic cell
types. EMD also stimulated angiogenesis. In contrast, EMD had a cytostatic effect
on epithelial cells. Full-length amelogenin elicited similar effects to EMD,
though to a lesser extent. Both the leucine-rich amelogenin peptide and the
ameloblastin peptides demonstrated osteogenic effects. A model for molecular
structure and functioning of EMD involving nanosphere formation, aggregation, and
dissolution is presented. CONCLUSIONS: EMD elicits a regenerative response in
periodontal tissues that is only partly replicated by amelogenin or ameloblastin
components. A synergistic effect among the various proteins and with the cells,
as well as a temporal effect, may prove important aspects of the EMD response in
vivo.
PMID- 22070553
TI - Growth, respiration and nutrient acquisition by the arbuscular mycorrhizal fungus
Glomus mosseae and its host plant Plantago lanceolata in cooled soil.
AB - Although plant phosphate uptake is reduced by low soil temperature, arbuscular
mycorrhizal (AM) fungi are responsible for P uptake in many plants. We
investigated growth and carbon allocation of the AM fungus Glomus mosseae and a
host plant (Plantago lanceolata) under reduced soil temperature. Plants were
grown in compartmented microcosm units to determine the impact on both fungus and
roots of a constant 2.7 degrees C reduction in soil temperature for 16 d. C
allocation was measured using two (13)CO(2) pulse labels. Although root growth
was reduced by cooling, AM colonization, growth and respiration of the
extraradical mycelium (ERM) and allocation of assimilated (13)C to the ERM were
all unaffected; the frequency of arbuscules increased. In contrast, root
respiration and (13)C content and plant P and Zn content were all reduced by
cooling. Cooling had less effect on N and K, and none on Ca and Mg content. The
AM fungus G. mosseae was more able to sustain activity in cooled soil than were
the roots of P. lanceolata, and so enhanced plant P content under a realistic
degree of soil cooling that reduced plant growth. AM fungi may therefore be an
effective means to promote plant nutrition under low soil temperatures.
PMID- 22070554
TI - In vivo specific delivery of c-Met siRNA to glioblastoma using cationic solid
lipid nanoparticles.
AB - RNA interference is a powerful strategy that inhibits gene expression through
specific mRNA degradation. In vivo, however, the application of small interfering
RNAs (siRNAs) is severely limited by their instability and their poor delivery
into target cells and tissues. This is especially true with glioblastomas (GBMs),
the most frequent and malignant form of brain tumor, that has limited treatment
options due to the largely impenetrable blood-brain barrier. Here, cationic solid
lipid nanoparticles (SLN), reconstituted from natural components of protein-free
low-density lipoprotein, was conjugated to PEGylated c-Met siRNA. The c-Met siRNA
PEG/SLN complex efficiently down-regulated c-Met expression level, as well as
decreased cell proliferation in U-87MG in vitro. In orthotopic U-87MG xenograft
tumor model, intravenous administration of the complex significantly inhibited c
Met expression at the tumor tissue and suppressed tumor growth without showing
any systemic toxicity in mice. Use of Cy5.5 conjugated SLN revealed enhanced
accumulation of the siRNA-PEG/SLN complexes specifically in the brain tumor. Our
data demonstrates the feasibility of using siRNA-PEG/SLN complexes as a potential
carrier of therapeutic siRNAs for the systemic treatment of GBM in the clinic.
PMID- 22070555
TI - Surgery for fistula-in-ano in a specialist colorectal unit: a critical appraisal.
AB - BACKGROUND: Several techniques have been described for the management of fistula
in-ano, but all carry their own risks of recurrence and incontinence. We
conducted a prospective study to assess type of presentation, treatment strategy
and outcome over a 5-year period. METHODS: Between 1st January 2005 and 31st
March 2011,247 patients presenting with anal fistulas were treated at the
University Hospital Tor Vergata and were included in the present prospective
study. Mean age was 47 years (range 16-76 years); minimum follow-up period was 6
months (mean 40, range 6-74 months).Patients were treated using 4 operative
approaches: fistulotomy, fistulectomy, seton placement and rectal advancement
flap. Data analyzed included: age, gender, type of fistula, operative
intervention, healing rate, postoperative complications, reinterventions and
recurrence. RESULTS: Etiologies of fistulas were cryptoglandular (n = 218),
Crohn's disease (n = 26) and Ulcerative Colitis (n = 3). Fistulae were classified
as simple -intersphincteric 57 (23%), low transphincteric 28 (11%) and complex
high transphicteric 122 (49%), suprasphincteric 2 (0.8%), extrasphinteric 2
(0.8%), recto-vaginal 7 (2.8%) Crohn 26 (10%) and UC 3 (1.2%).The most common
surgical procedure was the placement of seton (62%), usually applied in case of
complex fistulae and Crohn's patients.Eighty-five patients (34%) underwent
fistulotomy, mainly for intersphincteric and mid/low transphincteric tracts.
Crohn's patients were submitted to placement of one or more loose setons.The main
treatment successfully eradicated the primary fistula tract in 151/247 patients
(61%). Three cases of major incontinence (1.3%) were detected during the follow
up period; Furthermore, three patients complained minor incontinence that was
successfully treated by biofeedback and permacol injection into the internal anal
sphincter. CONCLUSIONS: This prospective audit demonstrates an high proportion of
complex anal fistulae treated by seton placement that was the most common
surgical technique adopted to treat our patients as a first line. Nevertheless, a
good outcome was achieved in the majority of patients with a limited rate of
faecal incontinence (6/247 = 2.4%). New technologies provide promising
alternatives to traditional methods of management particularly in case of complex
fistulas. There is, however, a real need for high-quality randomized control
trials to evaluate the different surgical and non surgical treatment options.
PMID- 22070556
TI - Short-course antibiotics for prosthetic joint infections treated with prosthesis
retention.
AB - The purpose of the present study was to evaluate the significance of shortening
the antibiotic treatment duration in prosthetic joint infections (PJI) treated
with debridement, antibiotics and implant retention (DAIR). In April 2006 we
shortened the total antibiotic treatment duration in total knee arthroplasty
(TKA) PJIs from 6 months to 3 months and in total hip arthroplasty (THA) PJIs
from 3 months to 2 months. All patients with TKA or THA PJI treated with DAIR
between February 2001 and August 2009 were reviewed retrospectively. There were
132 patients treated with DAIR, of whom 86 (65%) completed the antibiotic therapy
and were therefore eligible for comparison concerning the length of antibiotic
treatment. There were 32 (37%) THA and 54 (63%) TKA PJIs in the comparison. The
treatment succeeded in 34 (89.5%) patients treated with longer antibiotic
treatment and in 42 (87.5%) of those treated with shorter antibiotic treatment (p
0.78). Our conclusion is that if the patient completes the antibiotic therapy,
treatment duration of 3 months in TKA PJIs and 2 months in THA PJIs is as good as
longer antibiotic treatment of 6 months or 3 months, respectively, in patients
treated with DAIR.
PMID- 22070557
TI - Role of Hounsfield units to distinguish pseudo-subarachnoid hemorrhage.
PMID- 22070558
TI - Chemical pneumonitis after intravenous injection of isoparaffin: Characteristic
clinico-radiologic findings.
AB - A 23-year-old man presented with chest pain after intravenous (IV) injection of
isoparaffin (C12-C13, 99%). This report describes the chest computed tomography
(CT) pattern of chemical pneumonitis after IV isoparaffin injection. When
injected IV, hydrocarbon can cause chemical pneumonitis, mimicking pulmonary
infarction on chest CT. The CT pattern is attributable to diffusion of
hydrocarbons through systemic veins into small pulmonary arteries and capillary
beds, causing extensive local reactions and chemical pneumonitis or pleuritis.
PMID- 22070559
TI - Inorganic mercury poisoning associated with skin-lightening cosmetic products.
AB - INTRODUCTION: Mercury and mercury salts, including mercurous chloride and
mercurous oxide, are prohibited for use in cosmetic products as skin-lightening
agents because of their high toxicity. Yet, the public continue to have access to
these products. METHODS: Reports of skin-lightening cosmetic products containing
mercury and cases of mercury poisoning following the use of such products were
identified using Medline (1950 - 28 March 2011) with mercury, mercury compounds,
mercury poisoning, cosmetics and skin absorption as the subject headings. These
searches identified 118 citations of which 31 were relevant. TOXICOKINETICS: The
rate of dermal absorption increases with the concentration of mercury and prior
hydration of the skin. The degree of dermal absorption varies with the skin
integrity and lipid solubility of the vehicle in the cosmetic products. Ingestion
may occur after topical application around the mouth and hand-to-mouth contact.
After absorption, inorganic mercury is distributed widely and elimination occurs
primarily through the urine and feces. With long-term exposure, urinary excretion
is the major route of elimination. The half-life is approximately 1-2 months.
FEATURES: The kidneys are the major site of inorganic mercury deposition; renal
damage includes reversible proteinuria, acute tubular necrosis and nephrotic
syndrome. Gastrointestinal symptoms include a metallic taste, gingivostomatitis,
nausea and hypersalivation. Although penetration of the blood-brain barrier by
inorganic mercury is poor, prolonged exposure can result in central nervous
system (CNS) accumulation and neurotoxicity. Inorganic mercury poisoning
following the use of skin-lightening creams has been reported from Africa,
Europe, USA, Mexico, Australia and Hong Kong. Nephrotic syndrome (mainly due to
minimal change or membranous nephropathy) and neurotoxicity were the most common
presenting features. As mercury-containing cosmetic products can contaminate the
home, some close household contacts were also reported to have elevated urine
mercury concentrations. ASSESSMENT: Prevention from further exposure is the first
step. Cream users and their close contacts should be evaluated for evidence of
mercury exposure, the presence of target organ damage and the need for chelation
treatment. Laboratory evaluation of affected subjects should include a complete
blood count, serum electrolytes, liver and renal function tests, urinalysis,
urine and blood mercury concentrations. Since blood mercury concentrations tend
to return to normal within days of exposure, blood samples are useful primarily
in short-term, higher-level exposures. Estimation of the urine mercury
concentration is the best marker of exposure to inorganic mercury and indicator
of body burden. A 24-hour urine for measurement of mercury excretion is
preferred; a spot urine mercury concentration should be corrected for creatinine
output. MANAGEMENT: Chelation therapy is indicated in patients with features of
mercury poisoning and elevated blood and/or urine mercury concentrations.
Unithiol (2,3-dimercapto-1-propanesulfonic acid, DMPS) is the preferred antidote
though succimer (dimercaptosuccinic acid, DMSA) has also been employed.
CONCLUSIONS: The use of mercury in cosmetic products should be strictly
prohibited. The public should be warned not to use such products as their use can
result in systemic absorption and accumulation of mercury causing renal,
gastrointestinal and CNS toxicity.
PMID- 22070560
TI - Acute amiodarone poisoning occurring twice in the same subject.
PMID- 22070561
TI - Second case of the use of intravenous fat emulsion therapy for propafenone
toxicity.
PMID- 22070563
TI - Effects of different surface pre-treatments on the bond strength of adhesive
resin cement to quartz fiber post.
AB - OBJECTIVE: The purpose of this study was to evaluate the effect of mechanical and
chemical surface treatment methods on the bond strength of resin cement to fiber
post. MATERIALS AND METHODS: The roots of 36 maxillary central incisor teeth were
mounted in auto polymerized acrylic resin blocks (10 * 15 mm) and the root canals
were enlarged with the drills of post system (2.1 mm width, 12 mm length). Thirty
six fiber posts were randomly assigned to one of the following surface
conditioning methods: silane coupling agent, methylene chloride etching, 24%
hydrogen peroxide etching, air abrasion with 50 um Al(2)O(3), 1-3 um synthetic
diamond particles and silica coating with 30 um SiO(x). Fiber posts were cemented
to the root canals with adhesive resin cement (Panavia F 2.0). Three slices of
1.5 mm thick were obtained from each root. Push-out tests were performed with a
universal testing machine. The data were analyzed with one-way analysis of
variance (ANOVA) and Tukey HSD tests (alpha = 0.05). The effect of the surface
treatments were examined under a scanning electron microscope (SEM) and surface
roughness were evaluated with a profilometer. RESULTS: Surface pre-treatment
methods affected the bond strength (p < 0.05). The highest bond strengths were
obtained by air abrasion with synthetic diamond particles, the lowest bond
strength were obtained by etching with methylene chloride (p < 0.05). CONCLUSION:
Mechanical surface pre-treatment methods showed higher bond strength values than
chemical methods. Synthetic diamond particles may be an alternative method to
increase resin cement bonding on the quartz fiber post surfaces.
PMID- 22070562
TI - Selective oestrogen receptor modulators differentially potentiate brain
mitochondrial function.
AB - The mitochondrial energy-transducing capacity of the brain is important for long
term neurological health and is influenced by endocrine hormone responsiveness.
The present study aimed to determine the role of oestrogen receptor (ER) subtypes
in regulating mitochondrial function using selective agonists for ERalpha
(propylpyrazoletriol; PPT) and ERbeta (diarylpropionitrile; DPN). Ovariectomised
female rats were treated with 17beta-oestradiol (E(2) ), PPT, DPN or vehicle
control. Both ER selective agonists significantly increased the mitochondrial
respiratory control ratio and cytochrome oxidase (COX) activity relative to
vehicle. Western blots of purified whole brain mitochondria detected ERalpha and,
to a greater extent, ERbeta localisation. Pre-treatment with DPN, an ERbeta
agonist, significantly increased ERbeta association with mitochondria. In the
hippocampus, DPN activated mitochondrial DNA-encoded COX I expression, whereas
PPT was ineffective, indicating that mechanistically ERbeta, and not ERalpha,
activated mitochondrial transcriptional machinery. Both selective ER agonists
increased protein expression of nuclear DNA-encoded COX IV, suggesting that
activation of ERbeta or ERalpha is sufficient. Selective ER agonists up-regulated
a panel of bioenergetic enzymes and antioxidant defence proteins. Up-regulated
proteins included pyruvate dehydrogenase, ATP synthase, manganese superoxide
dismutase and peroxiredoxin V. In vitro, whole cell metabolism was assessed in
live primary cultured hippocampal neurones and mixed glia. The results of
analyses conducted in vitro were consistent with data obtained in vivo.
Furthermore, lipid peroxides, accumulated as a result of hormone deprivation,
were significantly reduced by E(2) , PPT and DPN. These findings suggest that the
activation of both ERalpha and ERbeta is differentially required to potentiate
mitochondrial function in brain. As active components in hormone therapy,
synthetically designed oestrogens as well as natural phyto-oestrogen cocktails
can be tailored to improve brain mitochondrial endpoints.
PMID- 22070564
TI - Olfactory processing in schizophrenia, non-ill first-degree family members, and
young people at-risk for psychosis.
AB - OBJECTIVES: While deficits in odor identification and discrimination have been
reported in schizophrenia, few studies have examined the relative specificity of
these deficits in patients and at-risk youth. METHOD: Sniffin' Sticks odor
identification and discrimination were assessed in schizophrenia outpatients and
non-ill first-degree relatives (Study One), as well as youth at clinical (CR) or
genetic (GR) risk for schizophrenia (Study Two). Scores were z-transformed, using
the performance of a demographically-matched adult or adolescent comparison
group. RESULTS: Patients and relatives were impaired on odor identification, but
odor discrimination impairment was limited to the patient group. A similar
pattern of impairment emerged in at-risk youth. GR youth were impaired on odor
identification but not discrimination, while CR youth were impaired on both
tasks. In patients, olfactory impairment was correlated with negative
symptomatology. CONCLUSIONS: To our knowledge, this is the first study to show
that CR youth are impaired on both olfactory tasks, as observed in adult
schizophrenia patients. GR youth were impaired only on odor identification like
their adult counterparts. These data suggest that odor identification impairment,
in isolation, may represent a genetic marker of vulnerability for schizophrenia,
while odor discrimination deficits may be a biomarker associated with the
development of psychosis.
PMID- 22070566
TI - Uterus-like mass of ovarian ligament: Image diagnosis and management by
laparoendoscopic single-site surgery.
AB - Uterus-like mass composed of a cavity lined by mucosa resembling endometrium and
surrounding smooth muscle layer simulating myometrium is an extremely rare
disease entity of which the histogenesis is presently unknown. A 39-year-old,
gravida 2, para 2, woman presented with sudden onset of lower abdominal pain and
was found to have left adnexal mass with unusual image diagnostic appearance. The
adnexal mass arising from the left ovarian ligament was excised by
laparoendoscopic single-site surgery. Histopathological diagnosis was uterus-like
mass of ovarian ligament.
PMID- 22070565
TI - A novel pathway of chronic allograft rejection mediated by NK cells and
alloantibody.
AB - Chronic allograft vasculopathy (CAV) in murine heart allografts can be elicited
by adoptive transfer of donor specific antibody (DSA) to class I MHC antigens and
is independent of complement. Here we address the mechanism by which DSA causes
CAV. B6.RAG1(-/-) or B6.RAG1(-/-)C3(-/-) (H-2(b)) mice received B10.BR (H-2(k))
heart allografts and repeated doses of IgG2a, IgG1 or F(ab')(2) fragments of
IgG2a DSA (anti-H-2(k)). Intact DSA regularly elicited markedly stenotic CAV in
recipients over 28 days. In contrast, depletion of NK cells with anti-NK1.1
reduced significantly DSA-induced CAV, as judged morphometrically. Recipients
genetically deficient in mature NK cells (gamma-chain knock out) also showed
decreased severity of DSA-induced CAV. Direct NK reactivity to the graft was not
necessary. F(ab')(2) DSA fragments, even at doses twofold higher than intact DSA,
were inactive. Graft microvascular endothelial cells responded to DSA in vivo by
increased expression of phospho-extracellular signal-regulated kinase (pERK), a
response not elicited by F(ab')(2) DSA. We conclude that antibody mediates CAV
through NK cells, by an Fc dependent manner. This new pathway adds to the
possible mechanisms of chronic rejection and may relate to the recently described
C4d-negative chronic antibody-mediated rejection in humans.
PMID- 22070567
TI - Intelligence is only one human attribute: an introduction to the festschrift in
honour of Trevor Parmenter, What is intelligence? What is intellectual
disability?
PMID- 22070570
TI - New application for expanded porphyrins: sapphyrin and heterosapphyrins as
inhibitors of Leishmania parasites.
AB - Sapphyrins and a series of related porphyrinoid macrocycles have been
investigated as potential agents for the treatment of leishmaniasis. The
effectiveness of the compounds was evaluated in vitro upon incubation with
Leishmania tarentolae or L. panamensis amastigotes and promastigotes. Their
effectiveness was also assessed against intracellular L. panamensis. The
cytotoxicity of the compounds was evaluated in vitro using the U937 human
promonocyte cell line. Effectiveness and cytotoxicity were assessed in the
presence and absence of visible light to assess the photodynamic activity of the
compounds. Sapphyrin and two related heterosapphyrins were shown to be
particularly effective as inhibitors of Leishmania. A photodynamic effect was
observed, which may be attributed to the formation of reactive oxygen species.
Yields of singlet oxygen ((1)O(2)) produced were determined in ethanol solutions
by direct measurement of (1)O(2) phosphorescence. Confocal microscopy
demonstrated that sapphyrin and related macrocycles were taken up by the
Leishmania cells and that their presence induces the formation of mitochondrial
superoxide. Sapphyrins have been widely investigated as anticancer agents and we
here show activity against the Leishmania parasites.
PMID- 22070571
TI - Controlled intracellular release of doxorubicin in multidrug-resistant cancer
cells by tuning the shell-pore sizes of mesoporous silica nanoparticles.
AB - In this work, hollow mesoporous silica nanoparticles (HMSNs) with three pore
sizes were manufactured to control the drug release rate, and the biological
roles of these HMSNs were evaluated in multidrug-resistant (MDR) cancer cells. As
novel pore-size-controllable inorganic materials, HMSNs showed negligible
cytotoxicity and efficient cellular uptake toward drug-sensitive MCF-7 and drug
resistant MCF-7/ADR cells. Doxorubicin (DOX)-loaded HMSNs (DMSNs) not only
demonstrated effective drug loading and a pH-responsive drug release character
but also exhibited pore-size-dependent and sustained drug release performance in
both in vitro and intracellular drug release experiments. In addition, DMSNs
exhibited pore-size-dependent anticancer activity against MCF-7/ADR cells. DMSNs
with larger pore size could mediate more cellular uptake of DOX and faster
intracellular drug release, which led to more intracellular drug accumulation and
stronger MDR-reversal effects. The MDR-overcoming mechanism could be due to the
efficient cellular uptake, P-gp inhibition, and ATP depletion. These results
demonstrate that HMSNs could be a very promising drug delivery system for pore
size-controllable drug release and cancer MDR reversion.
PMID- 22070572
TI - State of the art: treatment of bipolar disorders.
AB - Bipolar disorders are lifelong lasting affective disorders, with an episodic
course of the illness in most cases. The lifetime prevalence is around 2-5%, the
illness usually appears in early adulthood and causes significant impairment in
psychosocial functioning. This is a selective review focusing on recent
developments and issues of interest in the psychopharmacological treatment of
bipolar disorders. It is based primarily on the results of adequately powered,
randomised, controlled trials (RCTs). These studies were systematically retrieved
by means of a Medline search. The past 10 years have led to a broadening of the
psychopharmacological treatment options for bipolar disorders. The proof of
efficacy for the combination of fluoxetine/olanzapine as well as quetiapine in
the acute treatment of bipolar I depression were important steps. While lithium
remains the gold standard in the maintenance treatment of bipolar disorders,
valproate, olanzapine, lamotrigine, aripiprazole, and quetiapine have been shown
efficacious for this indication, with quetiapine possessing the broadest approval
status of all drugs for the different treatment phases of this illness. Despite
this progress there remains a huge demand regarding new compounds for nearly
every area in the psychopharmacological treatment of bipolar disorders. In
addition new methodological approaches regarding the proof of effectiveness in
clinical practice are urgently needed.
PMID- 22070573
TI - The secret food diary of a person diagnosed with schizophrenia.
AB - The objective was to consider the feasibility of food diaries as a method of
understanding the dietary behaviour of people with schizophrenia. Examination of
the food diaries completed in 1 week by eight patients with schizophrenia. All
the patients were successful in completing the task. Examination of the food
diaries revealed that: eating fruit and vegetables was largely absent; there was
very little variety in most of the patients' diets; patients relied heavily on
convenience food and ready meals for their main meal; as a rule patients followed
an ordered mealtime routine; generally patients did not drink enough fluid; they
were not big treat eaters; only one patient recorded drinking any alcohol;
overall there appeared to be poor diet literacy in our small sample. The results
show that on the whole, people with schizophrenia have a poor diet. This could be
due to a combination of financial difficulty, lack of skills in food preparation,
lack of motivation to prepare food or ignorance of what constitutes a healthy
diet. The fact that they all managed to complete the task is perhaps evidence of
motivation to improve their health and demonstrates the utility of food diaries
in educating this population.
PMID- 22070574
TI - In situ kinetic study on hydrothermal transformation of D-glucose into 5
hydroxymethylfurfural through D-fructose with 13C NMR.
AB - Kinetics of hydrothermal reaction of D-glucose was investigated at 0.02 M over a
temperature range of 120-160 degrees C by applying in situ (13)C NMR
spectroscopy. D-Glucose was found to be reversibly transformed first into D
fructose (intermediate) and successively into 5-hydroxymethylfurfural (5-HMF)
through dehydration. The carbon mass balance has been kept within the detection
limit, and no other reaction pathways are present. The hydrothermal reaction of d
glucose is thus understood as that of D-fructose in the sense that the D-glucose
reaction proceeds only through D-fructose. All the isomers of D-glucose and D
fructose were detected by the in situ (13)C NMR in D(2)O: they are the open
chains and the pyranoses and furanoses of alpha- and beta-types. The beta-forms
are the most stable due to the hydration. For both D-glucose and D-fructose, the
isomers are in a rapid equilibrium for each monosaccharide, and they are treated
collectively in the kinetic analysis of the slower hydrothermal reactions. The
reactions are of the first order with respect to the concentrations of D-glucose
and D-fructose, and D-glucose converts to 5-HMF on the order of hours. The
kinetic parameters were determined by the in situ method.
PMID- 22070575
TI - Two cheers or three for treatment guidelines? Nudging prescribers in right
directions.
PMID- 22070577
TI - Analysis of transcriptional factors and regulation networks in laryngeal squamous
cell carcinoma patients with lymph node metastasis.
AB - The present study was to identify and quantitate differentially expressed
proteins in laryngeal squamous cell carcinoma (LSCC) tissues with or without
lymph node metastasis and to explore transcriptional factors and regulation
networks associated with the process. Tissue specimens were taken from 20
patients with LSCC, including 10 cases of LSCC without metastasis LSCC (N0) and
10 cases of LSCC with metastasis LSCC (Nx). Among the 643 unique proteins
identified by using iTRAQ labeling and quantitative proteomic technology, 389
proteins showed an abundance change in LSCC (Nx) as compared to LSCC (N0).
Cytoskeleton remodeling, cell adhesion, and immune response activation were found
to be the main processes in LSCC metastasis. The construction of transcription
regulation networks identified key transcription regulators for lymph node
metastasis of LSCC, including Sp1, c-myc, and p53, which may affect LSCC
metastasis through the epithelial-mesenchymal transition. Furthermore, our
results suggest that ubiquitination may be a critical factor in the networks. The
present study provides insights into transcriptional factors and regulation
networks involved in LSCC metastasis, which may lead to new strategies for
treatment of LSCC metastasis.
PMID- 22070578
TI - Adoption of an Internet-based patient education programme in psychiatric
hospitals.
AB - Internet-based patient support systems are widely assumed to predict a future
trend in patient education. Coherent information is still lacking on how patient
education is adopted in psychiatric hospitals and how information technology is
used in it. Our aim was to describe nurses' adoption of an Internet-based patient
education programme and the variables explaining it. The study was based on
Rogers' model of the diffusion of innovation. The Internet-based patient
education sessions were carried out by nurses on nine acute psychiatric inpatient
wards in two Finnish hospitals. They were evaluated with reports and analysed
statistically. Out of 100 nurses, 83 adopted the programme during the study
period. The nurses fell into Rogers' groups, late majority (72%), laggards (17%),
early majority (7%), early adopters (3%) and innovators (1%). Three groups were
formed according to their activity: laggards, late majority, adopters (including
early majority, early adopters, innovators). There was a statistical difference
between the nurses' programme adoption between the two hospitals (P= 0.045): more
laggards (65% vs. 35%) and adopters (73% vs. 27%) in the same hospital. The
findings help to provide insight into the contexts and settings when adopting
information technology programmes in the area of mental health care.
PMID- 22070579
TI - Noble-metal-free bimetallic nanoparticle-catalyzed selective hydrogen generation
from hydrous hydrazine for chemical hydrogen storage.
AB - Noble-metal-free nickel-iron alloy nanoparticles exhibit excellent catalytic
performance for the complete decomposition of hydrous hydrazine, for which the
NiFe nanocatalyst, with equimolar compositions of Ni and Fe, shows 100% hydrogen
selectivity in basic solution (0.5 M NaOH) at 343 K. The development of low-cost
and high-performance catalysts may encourage the effective application of hydrous
hydrazine as a promising hydrogen storage material.
PMID- 22070580
TI - Chemical characterization and source apportionment of fine and coarse particulate
matter inside the refectory of Santa Maria Delle Grazie Church, home of Leonardo
Da Vinci's "Last Supper".
AB - The association between exposure to indoor particulate matter (PM) and damage to
cultural assets has been of primary relevance to museum conservators. PM-induced
damage to the "Last Supper" painting, one of Leonardo da Vinci's most famous
artworks, has been a major concern, given the location of this masterpiece inside
a refectory in the city center of Milan, one of Europe's most polluted cities. To
assess this risk, a one-year sampling campaign was conducted at indoor and
outdoor sites of the painting's location, where time-integrated fine and coarse
PM (PM(2.5) and PM(2.5-10)) samples were simultaneously collected. Findings
showed that PM(2.5) and PM(2.5-10) concentrations were reduced indoors by 88 and
94% on a yearly average basis, respectively. This large reduction is mainly
attributed to the efficacy of the deployed ventilation system in removing
particles. Furthermore, PM(2.5) dominated indoor particle levels, with organic
matter as the most abundant species. Next, the chemical mass balance model was
applied to apportion primary and secondary sources to monthly indoor fine organic
carbon (OC) and PM mass. Results revealed that gasoline vehicles, urban soil, and
wood-smoke only contributed to an annual average of 11.2 +/- 3.7% of OC mass.
Tracers for these major sources had minimal infiltration factors. On the other
hand, fatty acids and squalane had high indoor-to-outdoor concentration ratios
with fatty acids showing a good correlation with indoor OC, implying a common
indoor source.
PMID- 22070581
TI - Depression in the elderly: study in a rural city in southern Catalonia.
AB - Depression and anxiety are the most common psychiatric diseases among the
elderly, and frequently go without diagnosis and treatment. However, evidence
regarding the prevalence of depression related to the diagnostic systems utilized
and the sociocultural variations in the different communities investigated (rural
urban) is contradictory. This study aims to evaluate the prevalence of depression
in a rural area in the south of Catalonia, analysing the different age groups,
identifying the causal factors of depression and determining whether there are
gender differences. The descriptive and quantitative study includes 157 women and
160 men. The qualitative study evaluates 14 men and 52 women diagnosed with
depression. Our results indicate a high risk of depression in elderly
participants of our small rural community. Widowers suffer more depression than
widows and the loneliness, illness, and task of caregiver were predictive
variables for depression in these elderly men. The loss of the ability to perform
activities of daily living associated with ageing has a greater effect on
depression disorders in men than in women.
PMID- 22070582
TI - Systematic review of cardiovascular disease in women: assessing the risk.
AB - Cardiovascular disease is the number one cause of death for women. In an effort
to reduce cardiovascular burden for women, identifying risk factors and
increasing awareness of sex differences are fundamental. This systematic review
examines cardiovascular disease risk for women. A search of the literature was
undertaken using key health databases. Search terms used were cardiovascular
disease AND women OR gender. Additional references were manually identified from
this literature; 58 articles were reviewed in total. On average, cardiovascular
disease presents 10 years later in women compared to men. By this time, they are
more likely to suffer from more comorbidities, placing them at higher risk. The
complexity of cardiovascular disease identification in women is accentuated
through atypical symptoms, and has the potential to lead to delayed and/or
misdiagnosis. It is clear through identifying sex differentiation in
cardiovascular risk factors that there has been an increased awareness of symptom
presentation for women. In light of the sex differences in risk factors, sex
specific aspects should be more intensively considered in research/practice to
improve clinical outcomes for female cardiovascular disease patients.
PMID- 22070583
TI - NICE guidance on CardioQ(TM) oesophageal Doppler monitoring.
PMID- 22070584
TI - Peri-operative myocardial infarction: time for therapeutic trials.
PMID- 22070585
TI - Rheumatoid arthritis and anaesthesia.
AB - There has been a great deal of progress in our understanding and management of
rheumatoid arthritis in recent years. The peri-operative management of rheumatoid
arthritis patients can be challenging and anaesthetists need to be familiar with
recent developments and potential risks of this multi system disease.
PMID- 22070586
TI - An unusual cause of monitor failure.
PMID- 22070587
TI - Problems with expert opinion.
PMID- 22070590
TI - Developing expert opinion in airway management.
PMID- 22070591
TI - Comparison of Ambu aScope and Olympus re-usable fibrescope.
PMID- 22070595
TI - The Clarus Video System (Trachway) intubating stylet for awake intubation.
PMID- 22070593
TI - Use of manikins in airway equipment development.
PMID- 22070596
TI - Persistent masseter spasm during anaesthesia.
PMID- 22070597
TI - Devices designed to avoid wrong route administration of drugs.
PMID- 22070598
TI - Poor ampoule colour coding?
PMID- 22070599
TI - Use of capnography to confirm correct tracheal intubation during cardiac arrest.
PMID- 22070600
TI - Anaesthetists and apps: content and contamination concerns.
PMID- 22070601
TI - Is prevention the best treatment? CMV after lung transplantation.
AB - Cytomegalovirus (CMV) is the most prevalent opportunistic infection that occurs
in lung-transplant recipients. In addition to its direct morbidity, multiple
studies have demonstrated that CMV, in particular CMV pneumonia, is associated
with an increased risk for chronic graft dysfunction manifested as bronchiolitis
obliterans syndrome (BOS) and worse posttransplant survival. Therefore,
prevention of CMV remains an important goal to improve long-term lung-transplant
outcomes. Although centers often employed 3 months of prophylaxis in at-risk
patients after lung transplantation, a significant proportion of patients still
developed infection or disease after the discontinuation of prophylaxis,
highlighting the need for more effective approaches to CMV prevention. A number
of early single-center reports suggested benefit to extending prophylaxis to
longer durations, but concerns regarding cost, late-onset CMV disease, viral
resistance and bone marrow toxicity limited enthusiasm for longer durations.
However, several recent studies including a multicenter, prospective, randomized,
double-blinded clinical trial have demonstrated significant benefits to extending
CMV prophylaxis beyond 3 months. Although some areas of controversy remain, the
clinical implications of these recent studies suggest that extending prophylaxis
with valganciclovir up to 12 months is clearly beneficial for CMV prevention
after lung transplantation.
PMID- 22070602
TI - Executive function predicts risk of falls in older adults without balance
impairment.
AB - BACKGROUND: Executive dysfunction has previously been found to be a risk factor
for falls. The aim of this study is to investigate the association between
executive dysfunction and risk of falling and to determine if this association is
independent of balance. METHODS: Participants were 188 community-dwelling
individuals aged 65 and older. All participants underwent baseline and annual
evaluations with review of health history, standardized neurologic examination,
neuropsychological testing, and qualitative and quantitative assessment of motor
function. Falls were recorded prospectively using weekly online health forms.
RESULTS: During 13 months of follow-up, there were 65 of 188 participants (34.6%)
who reported at least one fall. Univariate analysis showed that fallers were more
likely to have lower baseline scores in executive function than non-fallers (p =
0.03). Among participants without balance impairment we found that higher
executive function z-scores were associated with lower fall counts (p = 0.03)
after adjustment for age, sex, health status and prior history of falls using
negative binomial regression models. This relationship was not present among
participants with poor balance. CONCLUSIONS: Lower scores on executive function
tests are a risk factor for falls in participants with minimal balance
impairment. However, this effect is attenuated in individuals with poor balance
where physical or more direct motor systems factors may play a greater role in
fall risk.
PMID- 22070603
TI - Identification of active and quiescent adipose vascular stromal cells.
AB - BACKGROUND AIMS: Recent studies have demonstrated the existence of both active
and quiescent stem cells in bone marrow, hair follicle and intestine. We
attempted to identify active and quiescent vascular stromal cells (VSC) in
adipose tissue. METHODS: For identification of active VSC, adult rats were
injected intraperitoneally with thymidine analog 5-ethynyl-2-deoxyuridine (EdU)
and their subcutaneous tissue harvested 3 days later. For identification of
quiescent VSC, newborn rats were injected intraperitoneally with EdU and their
subcutaneous tissue harvested 9 weeks later. The harvested adipose tissues were
examined for the co-localization of EdU with VSC marker CD34, smooth muscle
marker SMA, endothelial marker RECA and pericyte marker CD140b. RESULTS: In adult
rat adipose tissues harvested 3 days after EdU injection, there were 28.80 +/-
8.70 (mean +/- SD) EdU+ cells/100 * microscopic field, and approximately 6.2% of
cell nuclei were labeled with EdU. The percentages of EdU+ cells expressing the
following markers were approximately: 84 for CD34, 5.6 for RECA (rat endothelial
marker), 3.7 for SMA and 14.8 for CD140b. In the adipose tissues of newborn rats
that were harvested 9 weeks after EdU injection, the percentages of EdU+ cells
expressing the following markers were approximately: 76 for CD34, 1.8 for RECA, 0
for SMA and 12.9 for CD140b. In both the short-term (active) and long-term
(quiescent) EdU-labeled adipose tissues, the EdU label was consistently co
localized with CD34 and in the proximity of CD140b stain or in the adventitia.
CONCLUSIONS: Both active and quiescent VSC expressed CD34 and localized to
capillaries and the adventitia of larger blood vessels.
PMID- 22070604
TI - Benzimidazolones: a new class of selective peroxisome proliferator-activated
receptor gamma (PPARgamma) modulators.
AB - A series of benzimidazolone carboxylic acids and oxazolidinediones were designed
and synthesized in search of selective PPARgamma modulators (SPPARgammaMs) as
potential therapeutic agents for the treatment of type II diabetes mellitus
(T2DM) with improved safety profiles relative to rosiglitazone and pioglitazone,
the currently marketed PPARgamma full agonist drugs. Structure-activity
relationships of these potent and highly selective SPPARgammaMs were studied with
a focus on their unique profiles as partial agonists or modulators. A variety of
methods, such as X-ray crystallographic analysis, PPARgamma transactivation
coactivator profiling, gene expression profiling, and mutagenesis studies, were
employed to reveal the differential interactions of these new analogues with
PPARgamma receptor in comparison to full agonists. In rodent models of T2DM,
benzimidazolone analogues such as (5R)-5-(3-{[3-(5-methoxybenzisoxazol-3
yl)benzimidazol-1-yl]methyl}phenyl)-5-methyloxazolidinedione (51) demonstrated
efficacy equivalent to that of rosiglitazone. Side effects, such as fluid
retention and heart weight gain associated with PPARgamma full agonists, were
diminished with 51 in comparison to rosiglitazone based on studies in two
independent animal models.
PMID- 22070605
TI - The occurrence of Legionella species other than Legionella pneumophila in
clinical and environmental samples in Denmark identified by mip gene sequencing
and matrix-assisted laser desorption ionization time-of-flight mass spectrometry.
AB - In Denmark, several laboratories use PCR as a routine diagnostic method for
Legionnaires' disease, and almost all PCR-positive samples are investigated by
culture. From 1993 to 2010, isolates of Legionella species other than Legionella
pneumophila were obtained from respiratory samples from 33 patients, and from
1997 to 2010, 42 isolates of Legionella non-pneumophila species were obtained and
saved from water samples from 39 different sites in Denmark. Macrophage
infectivity potentiator gene (mip) sequencing was used as a reference method to
identify the Legionella non-pneumophila species. Only one of the 75 isolates did
not meet the acceptance criterion of a similarity of >=98% to sequences in the
database. The species distribution between clinical and environmental isolates
varied. For the former, four species were detected, with Legionella bozemanae and
Legionella micdadei predominating (both 44%). For the latter, eight species were
detected, with Legionella anisa predominating (52%). The distribution among the
Danish clinical isolates was different from the general distribution both in
Europe and outside Europe, where L. bozemanae and Legionella longbeachae are the
most commonly found clinical Legionella non-pneumophila species. The 75 isolates
were also investigated by matrix-assisted laser desorption ionization time-of
flight mass spectrometry (MALDI-TOF MS): 64 were correctly identified, with a
score of >=2.0; eight had a score of <2.0, but only two of these were wrongly
identified; and three gave no results with MALDI-TOF MS. Both mip sequencing and
MALDI-TOF MS are robust methods for Legionella species identification.
PMID- 22070606
TI - Differential hypothalamic secretion of neurocrines in male common marmosets:
parental experience effects?
AB - Pregnancy and lactation produce a plethora of hormonal changes in females that
promote maternal care of offspring. Males in the biparental marmoset species
(Callithrix jacchus) demonstrate high levels of parenting behaviour and express
enhanced circulating reproductive hormones. Furthermore, these hormonal changes
are influenced by paternal experience. To determine whether the paternally
experienced male marmoset has altered neurocrine hypothalamic release, as the
maternal females does, we examined the release of several reproductive
neurocrines, dopamine (DA), oxytocin (OT), vasopressin (AVP) and prolactin (PRL),
in cultured explants of the hypothalamus of paternally experienced male marmosets
compared to naive, paternally inexperienced males. DA levels secreted from the
isolated hypothalamus were significantly lower in the experienced males, whereas
OT and PRL levels were significantly higher than levels found in inexperienced
males. PRL levels decreased rapidly in the hypothalamic media, suggesting that
PRL production occurs elsewhere. AVP levels did not change. Stimulation of the
cultured explants with oestradiol significantly decreased DA levels in the
inexperienced males but did not alter the other neurocrines, suggesting a direct
effect of oestradiol on DA suppression in the hypothalamus. Although other
factors such as age and rearing experience with siblings may play a role in
hypothalamic neurocrine levels, these results demonstrate that paternal
experience may impact upon the secretion of neurocrines in a male biparental
primate.
PMID- 22070607
TI - Cold acclimation induces rapid and dynamic changes in freeze tolerance mechanisms
in the cryophile Deschampsia antarctica E. Desv.
AB - The cryophilic Antarctic hair grass, Deschampsia antarctica E. Desv., one of two
higher plants indigenous to Antarctica, represents a unique resource for the
study of freeze tolerance mechanisms. We have previously characterized a multi
gene family in D. antarctica encoding ice recrystallization inhibition proteins
(IRIPs) whose transcript levels are responsive to cold acclimation, and whose
products confer ice recrystallization inhibition (RI) activity that can account
for activity seen in cold acclimated plants. We used molecular and physiological
analyses to investigate temporal responses of D. antarctica to cold acclimation
and de-acclimation, and sub-zero acclimation. Quantitative profiling revealed
that IRIP transcript levels significantly increased and decreased within hours of
cold acclimation and de-acclimation, respectively, becoming up to 1000-fold more
abundant in fully acclimated plants. Western analysis detected three major immuno
reactive bands whose pattern of accumulation mirrored that of transcript. These
data correlated with the onset and decline of RI activity in acclimated and de
acclimated leaves. Plant survival-based testing revealed that cold acclimation
enhanced freeze tolerance by 5 degrees C within 4 d, and that sub-zero
acclimation conferred an additional 3 degrees C of tolerance. Thus, D.
antarctica is highly responsive to temperature fluctuations, able to rapidly
deploy IRIP based RI activity and enhance its freeze tolerance.
PMID- 22070608
TI - The future of regenerative medicine: urinary system.
AB - Regeneration of tissues and organs is now within the technological reach of
modern medicine. With such advancements, substantial improvements to existing
standards-of-care are very real possibilities. This review will focus on
regenerative medicine approaches to treating specific maladies of the bladder and
kidney, including the biological basis of regeneration and the history of
regenerative medicine in the urinary system. Current clinical management
approaches will be presented within the context of future directions including
cell-based regenerative therapies.
PMID- 22070610
TI - Stem cell challenges in the treatment of neurodegenerative disease.
AB - Neurodegenerative diseases result from the gradual and progressive loss of neural
cells and lead to nervous system dysfunction. The rapidly advancing stem cell
field is providing attractive alternative options for fighting these diseases.
Results have provided proof of principle that cell replacement can work in humans
with Parkinson's disease (PD). However, three clinical studies of cell
transplantation were published that found no net benefit, while patients in two
of the studies developed dyskinesias that persisted despite reductions in
treatment. Induced pluripotent stem cells (iPSC) have major potential advantages
because patient-specific neuroblasts are suitable for transplantation, avoid
immune reactions, and can be produced without the use of human ES cells (hESC).
Although iPSCs have not been successfully used in clinical trials for PD,
patients with amyotrophic lateral sclerosis (ALS) were treated with autologous
stem cells and, though they had some degree of decline one year after treatment,
they were still improved compared with the preoperative period or without any
drug therapy. In addition, neural stem cells (NSCs), via brain-derived
neurotrophic factor (BDNF), have been shown to ameliorate complex behavioral
deficits associated with widespread Alzheimer's disease (AD) pathology in a
transgenic mouse model of AD. So far, the FDA lists 18 clinical trials treating
multiple sclerosis (MS), but most are in preliminary stages. This article serves
as an overview of recent studies in stem cell and regenerative approaches to the
above chronic neurodegenerative disorders. There are still many obstacles to the
use of stem cells as a cure for neurodegenerative disease, especially because we
still don't fully understand the true mechanisms of these diseases. However,
there is hope in the potential of stem cells to help us learn and understand a
great deal more about the mechanisms underlying these devastating
neurodegenerative diseases.
PMID- 22070611
TI - Refractoriness in bipolar disorder: definitions and evidence-based treatment.
AB - Defining refractoriness in bipolar disorder is complex and should concern and
include either every phase and pole or the disorder as a whole. The data on the
treatment of refractory bipolar patients are sparse. Combination and add-on
studies suggest that in acutely manic patients partial responders to lithium,
valproate, or carbamazepine, a good strategy would be to add haloperidol,
risperidone, olanzapine, quetiapine, or aripiprazole. Adding oxcarbazepine to
lithium is also a choice. There are no reliable data concerning the treatment of
refractory bipolar depressives and also there is no compelling data for the
maintenance treatment of refractory patients. It seems that patients stabilized
on combination treatment might do worse if shifted from combination. Conclusively
there are only limited and sometimes confusing data on the treatment of
refractory bipolar patients. Further focused research is necessary on this group
of patients.
PMID- 22070609
TI - A systematic review of behavioral and treatment outcome studies among HIV
infected men who have sex with men who abuse crystal methamphetamine.
AB - Men who have sex with men (MSM) have the highest incidence of HIV infection in
the United States. One of the contributing factors to HIV spread among this group
is the use of crystal methamphetamine ("meth"). The objective was to review the
behavioral impact of crystal meth use in HIV-infected MSM and potential treatment
options. A systematic review of MEDLINE identified studies that evaluated the
clinical effects of crystal meth on the HIV-infected MSM population. Search terms
included HIV, methamphetamine, MSM, antiretroviral therapy, adherence,
resistance, and treatment. U.S. citations in the English language in peer
reviewed journals until December 2010 were included. The primary author reviewed
eligible articles, and relevant data including study design, sample, and outcomes
were entered into an electronic data table. The 61 included studies highlight
that HIV-infected MSM who use crystal meth are more likely to report high-risk
sexual behaviors, incident sexually transmitted infections, and serodiscordant
unprotected anal intercourse, compared to HIV-infected MSM who do not use crystal
meth. Medication adherence in this population is notably low, which may
contribute to transmission of resistant virus. No medications have proven
effective in the treatment of crystal meth addiction, and the role of behavioral
therapies, such as contingency management are still in question. HIV-infected MSM
who abuse crystal meth have worse HIV-related health outcomes. Behavioral
interventions have shown variable results in treating crystal meth addiction, and
more investigation into rehabilitation options are needed. The results presented
support efforts to develop and implement novel interventions to reduce crystal
meth use in HIV-infected MSM.
PMID- 22070612
TI - Pure non-gestational ovarian choriocarcinoma in a 45,XO/46,XX SRY-negative true
hermaphrodite.
AB - Non-gestational ovarian choriocarcinoma (NGCO) is an extremely rare malignant
tumor with a poor prognosis and is difficult to distinguish from gestational
choriocarcinoma. True hermaphrodite (TH) is genetically a heterogenous condition
causing ovarian and testicular tissue development in the same individual. We
report here the first case of pure NGCO in the right ovotestis of a 23-year-old
45,XO/46X,X sex-determining region Y chromosome (SRY)-negative TH. The diagnosis
of non-gestational origin was confirmed by testing five short tandem repeats
(STR). The patient responded well to radical surgery with bleomycin, etoposide,
cisplatin (BEP) regimen. We also hypothesize that some mutations of an X-linked
or autosomal gene lead to testicular determination in SRY-negative TH patients.
PMID- 22070613
TI - More than meets the eye. Feminist poststructuralism as a lens towards
understanding obesity.
AB - AIM: This paper presents a discussion of the application of a feminist
poststructuralist-based theoretical framework as an innovative approach towards
understanding and managing the complex health issue of obesity. BACKGROUND:
Obesity is often viewed as a lifestyle choice for which the individual is blamed.
This individualistic, dichotomous and behavioural perspective only allows for a
narrow understanding of obesity and may even lead to misperceptions, stereotypes
and marginalization of clients experiencing obesity. Feminist poststructuralism
can provide a critical lens to understand the social construction of obesity and
the broader environmental and cultural contexts of this health issue. DATA
SOURCES: The theoretical framework draws from the writings of Foucault, Scott,
Butler, Cheek, and Powers, published between 1983 and 2005. DISCUSSION: The
concepts of discourse analysis and power relations are explored and discussed in
a clear manner so that nurses can easily apply this framework to their practice
as they observe, question, analyse, critique and assess the care experienced by
clients who are obese. The concepts of personal and social beliefs, values and
stereotypes are also discussed and examples of how to apply them in practice are
provided. IMPLICATIONS: It is imperative that we continue to question our
everyday nursing practices as we work to support clients, especially those who
feel marginalized. This focus on power relations and reflective practice can give
direction to new possibilities for change in obesity management.
PMID- 22070614
TI - Antitumor efficacy of photodynamic therapy using novel nanoformulations of
hypocrellin photosensitizer SL052.
AB - Recent preclinical and clinical testing of hypocrellin-based photosensitizer
SL052 for use in photodynamic therapy (PDT) of cancer has shown encouraging
results. Further optimization of its formulation for delivery could considerably
extend the therapeutic efficiency of this drug. A nanoformulation encapsulating
SL052 into biodegradable polymer poly(lactic-co-glycolic acid) (PLGA) was
developed using a single-emulsion solvent evaporation technique and characterized
in terms of particle size and loading of the photosensitizing agent. This
nanoformulation, SL052-PLGA-nanoparticles (NPs), was compared with recently
created nanoformulation based on polyvinylpyrrolidone (SL052-PVP-NPs) and
standard liposomal SL052 preparation in terms of efficacy when used for PDT
treatment of squamous cell carcinomas SCCVII growing subcutaneously in syngeneic
mice. The therapeutic effect of PDT using these three different SL052
formulations was tested for both 1 and 4 h intervals between drug injection and
tumor light exposure. The longer time interval produced higher tumor cure rates
with all SL052 preparations. With both drug-light intervals, PDT based on SL052
PLGA-NPs produced superior therapeutic benefit compared with the other two SL052
formulations.
PMID- 22070615
TI - Mode of delivery and other pregnancy outcomes of patients with documented
scoliosis.
AB - The aim of this study was to explore whether scoliosis is a risk factor for
adverse obstetric outcomes and specifically for cesarean delivery (CD) and labor
dystocia. Association between scoliosis and pregnancy outcome was studied before.
Confounding conclusions prevent proper counseling of patients. Appropriate
statistical analysis of a suitable cohort is helpful in resolving this issue. A
retrospective population-based study comparing all singleton pregnancies of women
with and without documented scoliosis was conducted. Deliveries occurred between
the years 1988 and 2009. Multiple logistic regression models were used to control
for confounders. Out of 229,116 patients which were included in our cohort,
0.043% (n = 98) had a documented scoliosis. These patients had higher rates of
fertility treatments (7.1% vs. 1.6%; p < 0.001). Scoliosis was found to be
significantly associated with labor induction (36.7% vs. 26.3 %; p = 0.02) and
cesarean deliveries (21.4% vs. 13.1%; p = 0.014). Using multiple logistic
regression models, with CD as the outcome variable, controlling for confounders
such as nulliparity, labor induction and maternal age, scoliosis was not found to
be an independent risk factor for CD (OR = 1.56, 95% CI 1.9-2.7; p = 0.121).
Scoliosis is not a risk factor for adverse pregnancy outcome, and specifically
for labor dystocia.
PMID- 22070616
TI - Reversed-polarity synthesis of diaryl ketones via palladium-catalyzed cross
coupling of acylsilanes.
AB - Acylsilanes serve as acyl anion equivalents in a palladium-catalyzed cross
coupling reaction with aryl bromides to give unsymmetrical diaryl ketones. Water
plays a unique and crucial activating role in these reactions. High-throughput
experimentation techniques provided successful reaction conditions initially
involving phosphites as ligands. Ultimately, 1,3,5,7-tetramethyl-6-phenyl-2,4,8
trioxa-6-phosphaadamantane was identified as giving a longer-lived catalyst with
higher turnover numbers. Its use, in conjunction with a palladacycle precatalyst,
led to optimal reaction rates and yields. Scope and limitations of this novel
method are presented along with initial mechanistic insight.
PMID- 22070617
TI - Zinc stabilization efficiency of aluminate spinel structure and its leaching
behavior.
AB - The feasibility of immobilizing zinc in contaminated soil was investigated by
observing the role of zinc reacting with aluminum-rich materials under thermal
conditions. To observe the process of zinc incorporation, mixtures of ZnO with
alumina precursors (gamma-Al(2)O(3) and alpha-Al(2)O(3)) were fired at 750-1450
degrees C. Both precursors crystallochemically incorporated zinc into the
ZnAl(2)O(4) spinel structure. The incorporation efficiencies of a 3 h sintering
scheme were first quantitatively determined by Rietveld refinement analysis of X
ray diffraction data. Different zinc incorporation behavior by these two
precursors was revealed, although both resulted in nearly 100% transformation at
the highest temperature. Different product microstructures and thermal
densification effects were found by observing the sintered products from these
two precursors. The leaching performances of ZnO and ZnAl(2)O(4) were compared by
a prolonged acid leaching test for 22 d. The leachability analysis pointed to
superiority of the ZnAl(2)O(4) structure in stabilizing zinc, suggesting a
promising technique for incorporating zinc into the aluminum-rich product.
Finally, the sludge collected from water treatment works was calcined and used as
an aluminum-rich material to test its ability to stabilize zinc. Successful
formation of ZnAl(2)O(4) indicated good potential for employing waterworks sludge
to thermally immobilize hazardous metals as a promising waste-to-resource
strategy.
PMID- 22070618
TI - Growth kinetics of vertically aligned carbon nanotube arrays in clean oxygen-free
conditions.
AB - Vertically aligned carbon nanotubes (CNTs) are an important technological system,
as well as a fascinating system for studying basic principles of nanomaterials
synthesis; yet despite continuing efforts for the past decade many important
questions about this process remain largely unexplained. We present a series of
parametric ethylene chemical vapor deposition growth studies in a "hot-wall"
reactor using ultrapure process gases that reveal the fundamental kinetics of the
CNT growth. Our data show that the growth rate is proportional to the
concentration of the carbon feedstock and monotonically decreases with the
concentration of hydrogen gas and that the most important parameter determining
the rate of the CNT growth is the production rate of active carbon precursor in
the gas phase reaction. The growth termination times obtained with the purified
gas mixtures were strikingly insensitive to variations in both hydrogen and
ethylene pressures ruling out the carbon encapsulation of the catalyst as the
main process termination cause.
PMID- 22070619
TI - Caregivers' difficulties in activating long-term mental illness patients with low
self-esteem.
AB - The aim of the study was to describe psychiatric caregivers' perceptions of self
esteem and activities for patients with long-term mental illness. The study
design used a qualitative approach, based on an open lifeworld perspective. A
total of 13 caregivers at four psychiatric hospital units in a large Swedish city
were interviewed about their views on patients' physical activity and/or other
pastimes, as well as their self-esteem and its bearing on the patients' well
being. According to the caregivers, it is up to the patients themselves to decide
what they wish to occupy themselves with. In the same time the caregivers'
opinions are that patients have difficulties to occupy themselves. The caregivers
believe that patients' disability is based in a lack of self-esteem, commitment
and capacity to realize their wishes. The caregivers in this study argue that
activities are valuable for self-esteem and physical health of people with long
term mental illness. The caregivers consider that it is the patient's
responsibility to initiate their needs of activities. This means that the
caregivers do not use their knowledge about the importance of activities for the
patient's health. Search terms: activity, caregivers, mental illness.
PMID- 22070620
TI - No reactivation of hepatitis e virus after kidney retransplantation.
PMID- 22070621
TI - Cognition in action: imaging brain/body dynamics in mobile humans.
AB - We have recently developed a mobile brain imaging method (MoBI), that allows for
simultaneous recording of brain and body dynamics of humans actively behaving in
and interacting with their environment. A mobile imaging approach was needed to
study cognitive processes that are inherently based on the use of human physical
structure to obtain behavioral goals. This review gives examples of the tight
coupling between human physical structure with cognitive processing and the role
of supraspinal activity during control of human stance and locomotion. Existing
brain imaging methods for actively behaving participants are described and new
sensor technology allowing for mobile recordings of different behavioral states
in humans is introduced. Finally, we review recent work demonstrating the
feasibility of a MoBI system that was developed at the Swartz Center for
Computational Neuroscience at the University of California, San Diego,
demonstrating the range of behavior that can be investigated with this method.
PMID- 22070622
TI - Factors associated with parenting behavior of mothers in the early postpartum
period in Turkey.
AB - This study determined the factors associated with parenting behavior during the
early postpartum period in first-time mothers. This cross-sectional, descriptive
study was conducted in the postpartum ward of a state hospital in Aydin, Turkey.
The study included 207 first-time mothers selected by non-probability sampling
method. A significant weak, positive correlation was found between the Postpartum
Parenting Behavior Scale score at the time of initial acquaintance with her
infant and the Labor Agentry Scale score. Stepwise multiple regression analyses,
performed to determine factors associated with the parenting behavior score of
the mothers in the early postpartum period, revealed two statistically
significant variables, which increased the strength of the model: maternal age
and infant's birth weight. Midwives should observe early parenting behaviors,
particularly of younger mothers having babies with low birth weight, appreciate
their positive behaviors, and encourage them to develop appropriate behavior.
PMID- 22070623
TI - Clinical characteristics and favorable long-term outcomes for patients with
idiopathic inflammatory myopathies: a retrospective single center study in China.
AB - BACKGROUND: Little is known about the clinical features and true survival risk
factors in Chinese Han population. We conducted the current study to investigate
the clinical features, long-term outcome and true potential indicators associated
with mortality of idiopathic inflammatory myopathies (IIM) in China. METHODS: We
restrospectvely investigated 188 patients diagnosed with IIM at our hospital from
January 1986 to April 2009. The primary outcome was determined with mortality.
The secondary outcomes for survival patients were organ damage and disease
activity, health status, and disability, which were assessed with Myositis Damage
Index, Myositis Disease Activity Assessment Visual Analogue Scales, Health
Assessment Questionnaire Disability Index, and the Modified Rankin Scale,
respectively. Potential prognostic factors for mortality were analyzed with the
multivariate Cox regression model. RESULTS: Mean age at disease onset was 43.8 +/
15.8 years and male to female ratio was 1:2.1 in this cohort. The 1-, 5-, 10-,
15- and 20-year survival rates were 93.6%, 88.7%, 81%, 73.6% and 65.6%. The
independent predicators for mortality were age at disease onset [hazard ratio
(HR):1.05, 95% CI 1.02 - 1.08], presence of cancer (HR:3.68, 95%CI 1.39 - 9.74),
and elevated IgA level at diagnosis (HR:2.80, 95% CI 1.16-6.74). At the end of
the follow-up, 29 patients manifested drug withdrawal within an average 4.1 years
(range 0.5-15.2 year), most patients (85.9%) had no disease activity and 130
patients (83.4%) had no disability. CONCLUSIONS: The long-term outcomes of IIM
patients in our cohort have improved dramatically. Those patients most likely to
survive had a high chance of reaching stable disease status, and obtained long
term or possibly permanent remission to a large extent.
PMID- 22070624
TI - Rising epidemic of diabetes and hypertension in Asia.
PMID- 22070625
TI - Developmental changes in mesophyll diffusion conductance and photosynthetic
capacity under different light and water availabilities in Populus tremula: how
structure constrains function.
AB - Finite mesophyll diffusion conductance (g(m) ) significantly constrains net
assimilation rate (A(n) ), but g(m) variations and variation sources in response
to environmental stresses during leaf development are imperfectly known. The
combined effects of light and water limitations on g(m) and diffusion
limitations of photosynthesis were studied in saplings of Populus tremula L. An
one-dimensional diffusion model was used to gain insight into the importance of
key anatomical traits in determining g(m) . Leaf development was associated with
increases in dry mass per unit area, thickness, density, exposed mesophyll
(S(mes) /S) and chloroplast (S(c) /S) to leaf area ratio, internal air space
(f(ias) ), cell wall thickness and chloroplast dimensions. Development of S(mes)
/S and S(c) /S was delayed under low light. Reduction in light availability was
associated with lower S(c) /S, but with larger f(ias) and chloroplast thickness.
Water stress reduced S(c) /S and increased cell wall thickness under high light.
In all treatments, g(m) and A(n) increased and CO(2) drawdown because of g(m)
, C(i) -C(c) , decreased with increasing leaf age. Low light and drought resulted
in reduced g(m) and A(n) and increased C(i) -C(c) . These results emphasize the
importance of g(m) and its components in determining A(n) variations during
leaf development and in response to stress.
PMID- 22070626
TI - Dental developmental disturbances in 50 individuals with the 22q11.2 deletion
syndrome; relation to medical conditions?
AB - OBJECTIVE: The aims of the study were to examine tooth and enamel disturbances in
individuals with 22q11.2 deletion syndrome and to analyze associations with
medical conditions, birth characteristics and blood values of calcium and PTH.
MATERIALS AND METHODS: Fifty individuals participated in the study (27 females,
median age 10 years, range 1.5-44). Congenital absence of teeth was studied on
orthopantomograms; 1148 teeth were examined, both clinically and radiologically,
and enamel hypomineralizations and hypoplasias were recorded. Medical history and
findings were recorded as part of a larger study on the manifestations of 22q11.2
deletion syndrome in Norway. RESULTS: Tooth agenesis was observed in 15% of study
participants. Sixty-six percent of the participants and 26.0% of teeth presented
with enamel disturbances. Of these, 12 individuals (24.0%) and 215 teeth (18.7%)
had hypomineralizations and four individuals (8.0%) and 86 teeth (7.5%) had
hypoplasias. Seventeen participants (34.0%) presented with both types of
disturbance, but rarely in the same tooth. Only two teeth (0.17%) had both types
of disturbance. Hypomineralizations were twice as frequent in permanent as in
primary teeth. No correlations were found to medical conditions, except that
participants with congenital cardiac anomalies presented with fewer total enamel
disturbances and hypomineralizations in permanent teeth than those without.
CONCLUSIONS: Enamel disturbances were frequently seen. There were more
hypomineralizations than hypoplasias. Hypoparathyroidism and/or hypocalcemia are
not clear etiological factors for enamel disturbances and there were no major
correlations between medical conditions and enamel disturbances.
PMID- 22070627
TI - Bifunctional MU/delta opioid peptides: variation of the type and length of the
linker connecting the two components.
AB - On the basis of evidence that opioid compounds with a mixed MU agonist/delta
antagonist profile may produce an antinociceptive effect with low propensity to
induce side effects, bifunctional opioid peptides containing the MU agonist H-Dmt
d-Arg-Phe-Lys-NH(2) ([Dmt(1) ]DALDA; Dmt = 2',6'-dimethyltyrosine) connected tail
to-tail via various alpha,omega-diaminoalkyl- or diaminocyclohexane linkers to
the delta antagonists H-Tyr-TicPsi[CH(2) -NH]Cha-Phe-OH (TICP[Psi]; Cha =
cyclohexylalanine, Tic = 1,2,3,4-tetrahydroisoquinoline-3-carboxylic acid), H-Dmt
Tic-OH or H-Bcp-Tic-OH (Bcp = 4'-[N-((4'
phenyl)phenethyl)carboxamido]phenylalanine) were synthesized and
pharmacologically characterized in vitro. Bifunctional [Dmt(1) ]DALDA->NH-(CH(2)
)(n) -NH<-TICP[Psi] compounds (n = -12) showed decreasing MU and delta receptor
binding affinities with increasing linker length. As expected, several of the
bifunctional peptides were MU agonist/delta antagonists with low nanomolar MU and
delta receptor binding affinities. However, compounds with unexpected opioid
activity profiles, including a MU partial agonist/delta partial agonist, MU
antagonist/delta antagonists and MU agonist/delta agonists, were also identified.
These results indicate that the binding affinities and intrinsic efficacies of
these bifunctional compounds at both receptors depend on the length and type of
the linker connecting the MU and delta components. An important recommendation
emerging from this study is that the in vitro activity profiles of bifunctional
compounds containing an agonist and an antagonist component connected via a
linker need to be determined prior to their pharmacological evaluation in vivo.
PMID- 22070628
TI - 'I think we're all guinea pigs really': a qualitative study of medication and
borderline personality disorder.
AB - National Institute for Clinical Excellence recommended the use of medication only
in times of crisis for individuals with borderline personality disorder (BPD).
Despite this recommendation most service users referred to a specialist
personality disorder service were found to be on numerous medications. Although a
number of qualitative studies have explored the experience of individuals with a
diagnosis of BPD they have failed to discuss their experience of being treated
with medication, despite its high prescription with this group (e.g. Sansone et
al.). The aim of this study was to explore the experience of service users being
treated with medication for the BPD diagnosis. Semi-structured interviews were
carried out with seven service users under a specialist service for personality
disorder. Interviews were transcribed and analysed using thematic analysis. The
main themes to emerge were: staff knowledge and attitudes, lack of resources for
BPD and the recovery pathway for BPD. Overall, service users felt that receiving
the BPD diagnosis had had a negative impact on the care they received, with staff
either refusing treatment or focusing on medication as a treatment option. The
introduction of specialist services for this group appears to improve service
user satisfaction with their treatment and adherence to the National Institute
for Clinical Excellence guidelines.
PMID- 22070629
TI - Discovery of a potent and orally bioavailable benzolactam-derived inhibitor of
Polo-like kinase 1 (MLN0905).
AB - This article describes the discovery of a series of potent inhibitors of Polo
like kinase 1 (PLK1). Optimization of this benzolactam-derived chemical series
produced an orally bioavailable inhibitor of PLK1 (12c, MLN0905). In vivo
pharmacokinetic-pharmacodynamic experiments demonstrated prolonged mitotic arrest
after oral administration of 12c to tumor bearing nude mice. A subsequent
efficacy study in nude mice achieved tumor growth inhibition or regression in a
human colon tumor (HT29) xenograft model.
PMID- 22070631
TI - The treatment of 'difficult' patients in a secure unit of a specialized
psychiatric hospital: the patient's perspective.
AB - The aim of this study is to obtain insight, from a patient's perspective, into
the results and essential components of treatment in specialist settings for so
called 'difficult' patients in mental health care. In cases where usual hospital
treatment is not successful, a temporary transfer to another, specialist hospital
may provide a solution. We investigated which aspects of specialist treatment
available to 'difficult' patients are perceived as essential by the patients and
what are the results of this treatment in their perception. A qualitative
research design based on the Grounded Theory method was used. To generate data,
14 semi-structured interviews were held with 12 patients who were admitted to a
specialist hospital in the Netherlands. Almost all respondents rated the results
of the specialist treatment as positive. The therapeutic climate was perceived as
extremely strict, with a strong focus on structure, cooperation and safety. This
approach had a stabilizing effect on the patients, even at times when they were
not motivated. Most patients developed a motivation for change, marked by a
growing and more explicit determination of their future goals. We concluded that
a highly structured treatment environment aimed at patient stabilization is
helpful to most 'difficult' patients.
PMID- 22070630
TI - A randomised trial comparing the laryngeal mask airway SupremeTM with the
laryngeal mask airway UniqueTM in children.
AB - We conducted a randomised controlled trial comparing the laryngeal mask airway
Supreme(TM) with the laryngeal mask airway Unique(TM) in children. Fifty children
presenting for elective surgery were randomly assigned to receive either the
laryngeal mask airway Supreme or laryngeal mask airway Unique. The outcomes
measured were airway leak pressure, ease and time for insertion, insertion
success rate, fibreoptic examination, incidence of gastric insufflation, ease of
gastric tube placement through the laryngeal mask airway Supreme, quality of
airway during anaesthetic maintenance and complications. Median (IQR [range])
time to successful device placement was shorter with the laryngeal mask airway
Unique, 14.5 [13.5-16.3 (10.0-23.6)] s than with the laryngeal mask airway
Supreme, 17.4 [14.8-19.8 (11.5-29.2)] s; p = 0.007. Median (IQR [range]) airway
leak pressures for the laryngeal mask airway Supreme and laryngeal mask airway
Unique were 20 [16-21 (12-22)] cmH(2)O and 15 [14-18 (10-24)] cmH(2)O,
respectively (p = 0.001). The incidence of gastric insufflation was lower with
the laryngeal mask airway Supreme (zero vs six patients), p = 0.01. In
conclusion, the laryngeal mask airway Supreme performed as well as the laryngeal
mask airway Unique and is a useful alternative for airway maintenance,
particularly in children who require evacuation of gastric contents during
anaesthesia.
PMID- 22070633
TI - Asymmetric intramolecular cyclobutane formation via photochemical reaction of N,N
diallyl-2-quinolone-3-carboxamide using a chiral crystalline environment.
AB - Crystal structures and photochemical reactions of three N,N-diallyl-2-quinolone-3
carboxamides were investigated. One quinolonecarboxamide afforded chiral crystals
of a P2(1) crystal system by spontaneous crystallization, and the molecular
chirality in the crystal was effectively transferred to cyclobutane in 96% ee by
an intramolecular 2 + 2 photocycloaddition reaction in the solid state.
PMID- 22070632
TI - Generation of human adult mesenchymal stromal/stem cells expressing defined
xenogenic vascular endothelial growth factor levels by optimized transduction and
flow cytometry purification.
AB - Adult mesenchymal stromal/stem cells (MSCs) are a valuable source of multipotent
progenitors for tissue engineering and regenerative medicine, but may require to
be genetically modified to widen their efficacy in therapeutic applications. For
example, overexpression of the angiogenic factor vascular endothelial growth
factor (VEGF) at controlled levels is an attractive strategy to overcome the
crucial bottleneck of graft vascularization and to avoid aberrant vascular
growth. Since the regenerative potential of MSCs is rapidly lost during in vitro
expansion, we sought to develop an optimized technique to achieve high-efficiency
retroviral vector transduction of MSCs derived from both adipose tissue (adipose
stromal cells, ASCs) or bone marrow (BMSCs) and rapidly select cells expressing
desired levels of VEGF with minimal in vitro expansion. The proliferative peak of
freshly isolated human ASCs and BMSCs was reached 4 and 6 days after plating,
respectively. By performing retroviral vector transduction at this time point,
>90% efficiency was routinely achieved before the first passage. MSCs were
transduced with vectors expressing rat VEGF(164) quantitatively linked to a
syngenic cell surface marker (truncated rat CD8). Retroviral transduction and
VEGF expression did not affect MSC phenotype nor impair their in vitro
proliferation and differentiation potential. Transgene expression was also
maintained during in vitro differentiation. Furthermore, three subpopulations of
transduced BMSCs homogeneously producing specific low, medium, and high VEGF
doses could be prospectively isolated by flow cytometry based on the intensity of
their CD8 expression already at the first passage. In conclusion, this optimized
platform allowed the generation of populations of genetically modified MSCs,
expressing specific levels of a therapeutic transgene, already at the first
passage, thereby minimizing in vitro expansion and loss of regenerative
potential.
PMID- 22070634
TI - Experimental hypothyroidism delays field excitatory post-synaptic potentials and
disrupts hippocampal long-term potentiation in the dentate gyrus of hippocampal
formation and Y-maze performance in adult rats.
AB - Manipulations of thyroid hormones have been shown to influence learning and
memory. Although a large body of literature is available on the effect of thyroid
hormone deficiency on learning and memory functions during the developmental
stage, electrophysiological and behavioural findings, particularly on
propylthiouracil administration to adult normothyroid animals, are not
satisfactory. The experiments in the present study were carried out on 12 adult
male Wistar rats aged 6-7 months. Hypothyroidism was induced by administering 6-n
propyl-2-thiouracil in their drinking water for 21 days at a concentration of
0.05%. The spatial learning performance of hypothyroid and control rats was
studied on a Y-maze. The rats were then placed in a stereotaxic frame under
urethane anaesthesia. A bipolar tungsten electrode was used to stimulate the
medial perforant path. A glass micropipette was inserted into the granule cell
layer of the ipsilateral dentate gyrus to record field excitatory post-synaptic
potentials. After a 15-min baseline recording of field potentials, long-term
potentiation was induced by four sets of tetanic trains. The propylthiouracil
treated rats showed a significantly attenuated input-output (I/O) relationship
when population spike (PS) amplitudes and field excitatory post-synaptic
potentials (fEPSP) were compared. fEPSP and PS latencies were found to be longer
in the hypothyroid group than in the control group. The PS amplitude and fEPSP
slope potentiations in the hypothyroid rats were not statistically different from
those in the control rats, except for the field EPSP slope measured in the post
tetanic and maintenance phases. The hypothyroid rats also showed lower thyroxine
levels and poor performance in the spatial memory task. The present study
provides in vivo evidence for the action of propylthiouracil leading to impaired
synaptic plasticity, which might explain deficit in spatial memory tasks in adult
hypothyroid rats.
PMID- 22070635
TI - Rationale for control of anthropogenic nitrogen and phosphorus to reduce
eutrophication of inland waters.
AB - Concentrations of phosphorus and nitrogen in surface waters are being regulated
in the United States and European Union. Human activity has raised the
concentrations of these nutrients, leading to eutrophication of inland waters,
which causes nuisance growth of algae and other aquatic plants. Control of
phosphorus often has had the highest priority because of its presumed leading
role in limiting development of aquatic plant biomass. Experimental evidence
shows, however, that nitrogen is equally likely to limit growth of algae and
aquatic plants in inland waters, and that additions of both nutrients cause
substantially more algal growth than either added alone. A dual control strategy
for N and P will reduce transport of anthropogenic nitrogen through drainage
networks to aquatic ecosystems that may be nitrogen limited. Control of total
phosphorus in effluents is feasible and is increasingly being required by
regulations. The control strategy for nitrogen in effluents is more difficult,
but could be made more feasible by recognition that a substantial portion of
dissolved organic nitrogen is not bioavailable; regulation should focus on
bioavailable N (nitrate, ammonium, and some dissolved organic nitrogen) rather
than total N. Regulation of both N and P also is essential for nonpoint sources.
PMID- 22070636
TI - Metabolic syndrome and bipolar disorder: what should psychiatrists know?
AB - This paper reviews the association between bipolar disorder (BD) and metabolic
syndrome (MetS), focusing on the etiopathogenetic and pathophysiological aspects
of this association and on the recommendations for preventing and managing MetS
in patients with BD. We conducted a nonsystematic literature review by means of a
MEDLINE search. The exact causal relationship between MetS and BD is still
uncertain. The side effects of psychotropic medications may be a major
contributor to the increased rates of MetS in patients with BD. Other factors
such as unhealthy lifestyles, common neuroendocrine and immuno-inflammatory
abnormalities, and genetic vulnerability may also play a role in explaining the
high rates of MetS in BD. Strategies to prevent and treat the MetS and its
cardiovascular consequences in patients with BD include accurate screening and
monitoring of the patient and appropriate psychoeducation on weight control,
healthy nutrition, and increased physical activity. When deciding on
pharmacological therapy for the treatment of the components of the MetS, drug
interactions and the effects of the medications on mood must be taken into
account.
PMID- 22070637
TI - Evolving epidemiology of invasive Haemophilus infections in the post-vaccination
era: results from a long-term population-based study.
AB - Historically, Haemophilus influenzae (Hi) serotype b (Hib) caused most invasive
Haemophilus infections worldwide, mainly in children. In 1989 routine childhood
vaccination against Hib was initiated in Iceland. We conducted a population-based
study of all patients in the country with Haemophilus spp. isolated from sterile
sites (n = 202), from 1983 to 2008. Epidemiology, clinical characteristics of the
infections and serotypes of the isolates were compared during the pre-vaccination
(1983-1989) and post-vaccination era (1990-2008). Following the vaccination, the
overall incidence of Hib decreased from 6.4 to 0.3/100,000 per year (p <0.05)
whereas the incidence did not change significantly for infections caused by
Haemophilus sensu lato not serotype b, hereafter referred to as non-type b Hi
(0.9 vs 1.2, respectively). The most frequent diagnosis prior to 1990 was
meningitis caused by Hib, which was subsequently replaced by pneumonia and
bacteraemia caused by non-type b Hi. Most commonly, non-type b Hi were non
typeable (NTHi; 40/59), followed by Hi serotype f (14/59) and Hi serotype a
(3/59). Pregnancy was associated with a markedly increased susceptibility to
invasive Haemophilus infections (RR 25.7; 95% CI 8.0-95.9, p <0.0001) compared
with non-pregnant women. The case fatality rate for Hib was 2.4% but 14% for non
type b Hi, highest at the extremes of age. Hib vaccination gives young children
excellent protection and decreases incidence in the elderly due to herd effect in
the community. Replacement with other species or serotypes has not been noted.
Pregnant women are an overlooked risk group.
PMID- 22070638
TI - Hemodynamic responses to a hemoglobin bis-tetramer and its polyethylene glycol
conjugate.
AB - BACKGROUND: The design of hemoglobin-based oxygen carriers (HBOCs) poses a
significant challenge as clinical trials of many materials have reported adverse
side effects that may come from the scavenging of the vasodilator nitric oxide
(NO). A compensating reaction, reduction of endogenous nitrite by hemoglobin (Hb)
and its derivatives, generates NO. Polyethylene glycol (PEG) conjugation of Hb
enhances the rate of the reaction. STUDY DESIGN AND METHODS: Hemoglobin bis
tetramers (BT) and their PEGylated derivative (BT-PEG) bind oxygen with a degree
of cooperativity and also have significantly enhanced nitrite reductase activity
compared to the native protein. Circulatory evaluation will test if the
properties of BT and BT-PEG are reflected in their effects in vivo. BT and BT-PEG
were evaluated as infusions into healthy wild-type (WT) and diabetic (db/db)
mouse models. The effects were compared to infusions of murine Hb. RESULTS: The
materials were found not to cause significant increases in systemic blood
pressure in either WT mice or db/db mice. The latter are highly sensitive to NO
scavenging. Further hemodynamic measurements in WT mice indicate that while a
slight increase in systemic vascular resistance (SVR) was observed after infusion
of BT, the extent is not significant. No change in SVR from baseline was observed
after infusion of BT-PEG. CONCLUSION: The enlarged Hb derivatives do not evoke
unfavorable circulatory responses that have been noted to result from infusion of
Hb derivatives. These results suggest that a compromise between the P(50) , n(50)
, and nitrite reductase activity of a Hb derivative can serve as the basis for
producing HBOCs that can be tested for vasoactivity.
PMID- 22070639
TI - Energetics and mechanisms for the unimolecular dissociation of protonated trioses
and relationship to proton-mediated formaldehyde polymerization to carbohydrates
in interstellar environments.
AB - We report the unimolecular decomposition of protonated glyceraldehyde,
[HOCH(2)CH(OH)CHO]H(+), and protonated dihydroxyacetone,
[HOCH(2)C(O)CH(2)OH]H(+). On the basis of mass spectrometric experiments and
computational quantum chemistry, we have found that these isomeric ions
interconvert freely at energies below that required for their unimolecular
decompositions. The losses of formaldehyde and water (the latter also followed by
CO loss) are the dominating processes, with formaldehyde loss having the lower
energetic threshold. The reverse of the formaldehyde loss, namely, the addition
of formaldehyde to protonated glycolaldehyde, appears to be an inefficient
reaction at low temperature and pressure in the gas phase, leading to
dissociation products. The relevance of these findings to interstellar chemistry
and prebiotic chemistry is discussed, and it is concluded that the suggestion
made in the literature that successive addition of formaldehyde by proton
assisted reactions should account for interstellar carbohydrates most likely is
incorrect.
PMID- 22070640
TI - NK cells: new partners in antibody-triggered chronic rejection.
PMID- 22070641
TI - Interval and continuous exercise elicit equivalent postexercise hypotension in
prehypertensive men, despite differences in regulation.
AB - Equicaloric bouts of interval (IE: 5 * 2:2 min at 85% and 40% maximal oxygen
uptake) and steady state (SS: 21 min at 60% maximal oxygen uptake) exercise were
performed by 13 older prehypertensive males on separate days, at equivalent times
of day, to assess the influence of exercise mode on postexercise hypotension
(PEH). Exercise conditions were compared with a control session. Cardiovascular
measures were collected for 30 min prior to, and 60 min following exercise. PEH,
as measured by mean postexercise systolic blood pressure (SBP) decrease (IE: -4
+/- 6 mm Hg; SS: -3 +/- 4 mm Hg; control: 4 +/- 4 mm Hg), area under the SBP
curve (IE: -240 +/- 353 mm Hg.min; SS: -192 +/- 244 mm Hg.min), and minimum SBP
achieved (IE: -15 +/- 7 mm Hg; SS: -13 +/- 7 mm Hg), was equivalent after both
conditions. Stroke volume was significantly reduced (IE: -14.6 +/- 16.0 mL; SS:
10.1 +/- 14.2 mL, control -1.7 +/- 2.2 mL) and heart rate was significantly
elevated (IE: 13 +/- 8 beats.min-1; SS: 7.9 +/- 8 beats.min-1; control: -2 +/- 3
beats.min-1) postexercise after both conditions. Cardiac output and total
peripheral resistance were nonsignificantly decreased and increased postexercise,
respectively. Baroreflex sensitivity (BRS) was reduced following IE (p < 0.05)
and heart rate variability (HRV) parameters were reduced after both conditions,
with IE eliciting larger and longer reductions in some indices. The results from
the current study indicate that older prehypertensive adults experience similar
PEH following equicaloric bouts of IE and SS exercise despite larger alterations
in HRV and BRS elicited by IE.
PMID- 22070642
TI - Lithium: still a major option in the management of bipolar disorder.
AB - Still after more than 50 years, lithium is a major treatment of bipolar disorder,
even though it has not been promoted by the pharmaceutical industry over the last
decades. In recent years the evidence base on lithium for bipolar disorder has
substantially increased due to results from a number of trials. Therefore, a
review of this evidence is timely. The efficacy of lithium as an acute treatment
and as a maintenance treatment of bipolar disorder was evaluated through a review
of the evidence, focusing on modern, randomized, parallel-group designed trials.
Additionally, the evidence was sought translated into the proper use of lithium
in clinical practice. Lithium's antimanic efficacy has been convincingly
demonstrated. However, as blood monitoring due to the risk of toxicity is
required and due to an insufficient response in highly agitated patients, lithium
monotherapy has a limited place in the acute treatment of severe manic states.
For acute bipolar depression, results are conflicting. Recent maintenance trials
have added substantially to the documentation of lithium's long-term stabilizing
properties in bipolar disorder, and these properties have been demonstrated
independently of any acute response to lithium. Finally, it is now beyond doubt
that not only does lithium prevent mania, but also depression in bipolar
disorder. Lithium is still to be considered a major if not the most important
mood- stabilizer, at least for maintaining long-term stability in patients with
bipolar disorder. The potential risks of lithium should be weighed up against its
benefits and the fact that serious adverse effects are usually avoidable.
PMID- 22070643
TI - Interprofessional working or role substitution? A discussion of the emerging
roles in emergency care.
AB - AIMS: This article presents a discussion of emerging non-medical roles in
emergency care against the current policy context and the issues of role
substitution and interprofessional working. BACKGROUND: Non-medical roles in
emergency care have grown internationally in response to an increasing demand for
emergency care services and to address the growing importance of the quality
healthcare agenda. The blurring of role boundaries between professional groups
has become more common. Data sources. Searches were made of three electronic
databases; CINAHL, Medline and EMBASE. The literature relating to
interprofessional healthcare roles, and new roles in emergency care was searched
from 1980 to 2010 and underpinned the discussion. DISCUSSION: A theoretical
framework that has emerged from the literature is that task, role substitution
and interprofessional working lie on a spectrum and evolving non-medical roles
can be plotted on the spectrum, usually starting at one end of the spectrum under
task substitution and then potentially moving in time towards true
interprofessional working. CONCLUSIONS: There is still a great deal of progress
to be made until non-medical roles in emergency care can truly be encompassed
under the umbrella of interprofessional working and that a more robust critical
mass of evidence is required to substantiate the theory that interprofessional
working within teams contributes to effective, cost-effective care and better
patient outcomes. RELEVANCE TO CLINICAL PRACTICE: It is essential to understand
the underlying motivation, policy context and key drivers for the development of
new nursing and non-medical roles. This allows services to be established
successfully, by understanding and addressing the key predicable barriers to
implementation and change.
PMID- 22070644
TI - Mammary tumors that become independent of the type I insulin-like growth factor
receptor express elevated levels of platelet-derived growth factor receptors.
AB - BACKGROUND: Targeted therapies are becoming an essential part of breast cancer
treatment and agents targeting the type I insulin-like growth factor receptor
(IGF-IR) are currently being investigated in clinical trials. One of the
limitations of targeted therapies is the development of resistant variants and
these variants typically present with unique gene expression patterns and
characteristics compared to the original tumor. RESULTS: MTB-IGFIR transgenic
mice, with inducible overexpression of the IGF-IR were used to model mammary
tumors that develop resistance to IGF-IR targeting agents. IGF-IR independent
mammary tumors, previously shown to possess characteristics associated with EMT,
were found to express elevated levels of PDGFRalpha and PDGFRbeta. Furthermore,
these receptors were shown to be inversely expressed with the IGF-IR in this
model. Using cell lines derived from IGF-IR-independent mammary tumors (from MTB
IGFIR mice), it was demonstrated that PDGFRalpha and to a lesser extent PDGFRbeta
was important for cell migration and invasion as RNAi knockdown of PDGFRalpha
alone or PDGFRalpha and PDGFRbeta in combination, significantly decreased tumor
cell migration in Boyden chamber assays and suppressed cell migration in scratch
wound assays. Somewhat surprisingly, concomitant knockdown of PDGFRalpha and
PDGFRbeta resulted in a modest increase in cell proliferation and a decrease in
apoptosis. CONCLUSION: During IGF-IR independence, PDGFRs are upregulated and
function to enhance tumor cell motility. These results demonstrate a novel
interaction between the IGF-IR and PDGFRs and highlight an important,
therapeutically relevant pathway, for tumor cell migration and invasion.
PMID- 22070645
TI - Heat transfer across the interface between nanoscale solids and gas.
AB - When solid materials and devices scale down in size, heat transfer from the
active region to the gas environment becomes increasingly significant. We show
that the heat transfer coefficient across the solid-gas interface behaves very
differently when the size of the solid is reduced to the nanoscale, such as that
of a single nanowire. Unlike for macroscopic solids, the coefficient is strongly
pressure dependent above ~10 Torr, and at lower pressures it is much higher than
predictions of the kinetic gas theory. The heat transfer coefficient was measured
between a single, free-standing VO(2) nanowire and surrounding air using laser
thermography, where the temperature distribution along the VO(2) nanowire was
determined by imaging its domain structure of metal-insulator phase transition.
The one-dimensional domain structure along the nanowire results from the balance
between heat generation by the focused laser and heat dissipation to the
substrate as well as to the surrounding gas, and thus serves as a nanoscale power
meter and thermometer. We quantified the heat loss rate across the nanowire-air
interface, and found that it dominates over all other heat dissipation channels
for small-diameter nanowires near ambient pressure. As the heat transfer across
the solid-gas interface is nearly independent of the chemical identity of the
solid, the results reveal a general scaling relationship for gaseous heat
dissipation from nanostructures of all solid materials, which is applicable to
nanoscale electronic and thermal devices exposed to gaseous environments.
PMID- 22070646
TI - A specialist root herbivore exploits defensive metabolites to locate nutritious
tissues.
AB - The most valuable organs of plants are often particularly rich in essential
elements, but also very well defended. This creates a dilemma for herbivores that
need to maximise energy intake while minimising intoxication. We investigated how
the specialist root herbivore Diabrotica virgifera solves this conundrum when
feeding on wild and cultivated maize plants. We found that crown roots of maize
seedlings were vital for plant development and, in accordance, were rich in
nutritious primary metabolites and contained higher amounts of the insecticidal
2,4-dihydroxy-7-methoxy-1,4-benzoxazin-3-one (DIMBOA) and the phenolic compound
chlorogenic acid. The generalist herbivores Diabrotica balteata and Spodoptera
littoralis were deterred from feeding on crown roots, whereas the specialist D.
virgifera preferred and grew best on these tissues. Using a 1,4-benzoxazin-3-one
deficient maize mutant, we found that D. virgifera is resistant to DIMBOA and
other 1,4-benzoxazin-3-ones and that it even hijacks these compounds to optimally
forage for nutritious roots.
PMID- 22070647
TI - Combined impacts of irradiance and dehydration on leaf hydraulic conductance:
insights into vulnerability and stomatal control.
AB - The leaf is a hydraulic bottleneck, accounting for a large part of plant
resistance. Thus, the leaf hydraulic conductance (K(leaf) ) is of key importance
in determining stomatal conductance (g(s) ) and rates of gas exchange. Previous
studies showed that K(leaf) is dynamic with leaf water status and irradiance. For
four species, we tested the combined impacts of these factors on K(leaf) and on
g(s) . We determined responses of K(leaf) and g(s) to declining leaf water
potential (Psi(leaf) ) under low and high irradiance (<6 and >900 umol photons m(
2) s(-1) photosynthetically active radiation, respectively). We hypothesized
greater K(leaf) vulnerability under high irradiance. We also hypothesized that
K(leaf) and g(s) would be similar in their responses to either light or
dehydration: similar light-responses of K(leaf) and g(s) would stabilize
Psi(leaf) across irradiances for leaves transpiring at a given vapour pressure
deficit, and similar dehydration responses would arise from the control of
stomata by Psi(leaf) or a correlated signal. For all four species, the K(leaf)
light response declined from full hydration to turgor loss point. The K(leaf) and
g(s) differed strongly in their light- and dehydration responses, supporting
optimization of hydraulic transport across irradiances, and semi-independent,
flexible regulation of liquid and vapour phase water transport with leaf water
status.
PMID- 22070648
TI - Factors associated with good self-management in older adults with a schizophrenic
disorder compared with older adults with physical illnesses.
AB - The number of older people living with a schizophrenic disorder (SD) is
increasing yet little attention paid has been paid to the needs of this
population relative to people with other chronic illnesses. In order to achieve
optimal functioning people with a SD need to manage their illness and its impact;
therefore, this study set out to determine the factors associated with self
management in this population. The illness management of people over 50 years of
age and living with schizophrenia (n= 84) was compared with their peers who were
diagnosed with a chronic physical illness (n= 216). Participants completed a
survey that included an illness management inventory, self-rated health and sense
of coherence. The results demonstrated that participants with a SD had lower
illness management levels, particularly for understanding their symptoms and
taking appropriate actions in relation to health care. Poor self-rated health and
the presence of comorbid conditions had a pervasive negative effect on self
management factors in the SD group, whereas being married, having a greater sense
of coherence and being voluntary to treatment had a positive effect. Nurses need
to develop strategies to address general health and self-management in older
adults living with a SD.
PMID- 22070649
TI - Genetic variation in the NBS1 gene is associated with hepatic cancer risk in a
Chinese population.
AB - NBS1 plays important roles in maintaining genomic stability as a key DNA repair
protein in the homologous recombination repair pathway and as a signal modifier
in the intra-S phase checkpoint. We hypothesized that polymorphisms of NBS1 are
associated with hepatic cancer (HCC) risk. The NBS1 rs1805794 C/G polymorphism
has been frequently studied in some cancers with discordant results, but its
association with HCC has not been investigated. Moreover, studies of the 3'UTR
variant rs2735383 have not touched upon HCC. This study examined the contribution
of these two polymorphisms to the risk of developing HCC in a Chinese population.
NBS1 genotypes were determined in 865 HCC patients and 900 controls and the
associations with risk of HCC were estimated by logistic regression. Compared
with the rs1805794 GG genotype, the GC genotype had a significantly increased
risk of HCC (adjusted odds ratios [OR]=1.41; 95% confidence interval [CI]=1.11
1.80), the CC carriers had a further increased risk of HCC (OR=2.27; 95% CI=1.68
3.14), and there was a trend for an allele dose effect on risk of HCC (p<0.001).
Also, we found that the risk effect of rs1805794 CC+CG was more pronounced in HCC
patients that drank (OR=2.28, 95% CI=1.55-3.29 for drinkers; OR=1.31, 95% CI=1.00
1.77 for nondrinkers). However, there was no significant difference in genotype
frequencies of rs2735383 G/C site between cases and controls. These findings
suggest that rs1805794 C/G polymorphism in NBS1 may be a genetic modifier for
developing HCC.
PMID- 22070650
TI - Meta-analysis of epidermal growth factor polymorphisms and cancer risk: involving
9,779 cases and 15,932 controls.
AB - The epidermal growth factor (EGF) pathway stimulates proliferation and
differentiation of epidermal and epithelial tissues, and plays an important role
in tumorigenesis. The association between EGF polymorphisms and cancer risk is
controversial; thus, we performed this meta-analysis. Overall, 41 case-control
studies with 9,779 cases and 15,932 controls were retrieved. We found that EGF
+61A/G polymorphism increased overall cancer risk (G allele vs. A allele:
OR=1.181, 95% CI=1.077-1.295, P(heterogeneity) < 0.001; GG vs. AA: OR=1.370, 95%
CI=1.143-1.641, P(heterogeneity) < 0.001; GG+GA vs. AA: OR=1.175, 95% CI=1.047
1.318, P(heterogeneity) < 0.001). In the stratified analysis by cancer type, the
+61 G allele was a risk factor for colorectal cancer, esophageal carcinoma,
gastric cancer, and hepatocellular carcinoma. Individuals who carried +61G allele
had higher cancer susceptibility in mixed and European racial subgroups. An
increased association was detected in the hospital-based subgroup. No significant
association was found among EGF -1380A/G, -1744G/A, rs6983267T/G polymorphisms
and cancer risk.
PMID- 22070651
TI - Involvement of T2677T multidrug resistance gene polymorphism in Interleukin 22
plasma concentration in B-chronic lymphocytic leukemia patients.
PMID- 22070652
TI - Aptitude-based assignment of nurses to depressed patients.
AB - In psychiatric units, head nurses face the daily challenge of assigning nurses to
patients. The 'match' between a patient and a nurse is not always optimal. This
can hinder the therapeutic relationship. Aptitude is an important component of
competence, especially for psychiatric nurses involved in therapeutic
relationships. In this study, we undertook explorative research to investigate
possible relationships between nurse aptitudes and outcomes in depressed
patients. We found statistically significant relationships between specific nurse
aptitudes, along professional rank, and particular patient outcomes. During the
hospital stay, patients' depressive feelings change as they recover. Our results
indicate that, as a patient's depressive feelings change, another type of nurse,
one with an aptitude that supports the patient's current needs, should be
assigned to care for that patient. This suggestion is at odds with current
practises of assigning a patient to one nurse for the entire hospital stay.
PMID- 22070653
TI - Critical congenital heart disease--utility of routine screening for chromosomal
and other extracardiac malformations.
AB - Objective. Infants with critical congenital heart disease (CHD) can have genetic
and other extracardiac malformations, which add to the short- and long-term risk
of morbidity and perhaps mortality. We sought to examine our center's practice of
screening for extracardiac anomalies and to determine the yield of these tests
among specific cardiac diagnostic categories. Design. Retrospective review of
infants admitted to the cardiac intensive care unit with a new diagnosis of CHD.
Subjects were categorized into six groups: septal defects (SD), conotruncal
defects (CTD), single-ventricle physiology (SV), left-sided obstructive lesions
(LSO), right-sided obstructive lesions (RSO), and "other" (anomalous pulmonary
venous return, Ebstein's anomaly). Screening modalities included genetic testing
(karyotype and fluorescent in situ hybridization for 22q11.2 deletion), renal
ultrasound (RUS), and head ultrasound (HUS). Results. One hundred forty-one
patients were identified. The incidence of cardiac anomalies was: CTD (36%), SD
(18%), SV (18%), LSO (14%), RSO (3%), and "other" (8%). Overall 14% had an
abnormal karyotype, 5% had a deletion for 22q11.2, 28% had an abnormal RUS and
22% had abnormal HUS. Patients in SD and SV had the highest incidence of abnormal
karyotype (36% and 17%); 22q11.2 deletion was present only in CTD and LSO groups
(9% and 7%, respectively); abnormal RUS and HUS were seen relatively uniformly in
all categories. Premature infants had significantly higher incidence of renal 43%
vs. 24%, and intracranial abnormalities 46% vs. 16%. Conclusion. Infants with
critical CHD and particularly premature infants have high incidence of genetic
and other extracardiac anomalies. Universal screening for these abnormalities
with ultrasonographic and genetic testing maybe warranted because early detection
could impact short and long-term outcomes.
PMID- 22070656
TI - Biocathodic nitrous oxide removal in bioelectrochemical systems.
AB - Anthropogenic nitrous oxide (N(2)O) emissions represent up to 40% of the global
N(2)O emission and are constantly increasing. Mitigation of these emissions is
warranted since N(2)O is a strong greenhouse gas and important ozone-depleting
compound. Until now, only physicochemical technologies have been applied to
mitigate point sources of N(2)O, and no biological treatment technology has been
developed so far. In this study, a bioelectrochemical system (BES) with an
autotrophic denitrifying biocathode was considered for the removal of N(2)O. The
high N(2)O removal rates obtained ranged between 0.76 and 1.83 kg N m(-3) net
cathodic compartment (NCC) d(-1) and were proportional to the current production,
resulting in cathodic coulombic efficiencies near 100%. Furthermore, our
experiments suggested the active involvement of microorganisms as the catalyst
for the reduction of N(2)O to N(2), and the optimal cathode potential ranged from
-200 to 0 mV vs standard hydrogen electrode (SHE) in order to obtain high
conversion rates. Successful operation of the system for more than 115 days with
N(2)O as the sole cathodic electron acceptor strongly indicated that N(2)O
respiration yielded enough energy to maintain the biological process. To our
knowledge, this study provides for the first time proof of concept of biocathodic
N(2)O removal at long-term without the need for high temperatures and expensive
catalysts.
PMID- 22070654
TI - Indole alkaloids and quassinoids from the stems of Brucea mollis.
AB - Seven new indole alkaloids, bruceollines H-N (1-7), three new quassinoids,
yadanziolides T-V (10-12), and four known analogues, bruceolline E (8),
bruceolline F (9), bruceine D (13), and yadanziolide B (14), were isolated from
an ethanol extract of the stems of Brucea mollis. The absolute configurations of
compounds 2 and 5 were determined by comparison of their experimental and
calculated ECD spectra. The absolute configuration of the known compound 9 was
determined by using Mo2(OAc)4-induced CD analysis for the first time. Compounds
10, 13, and 14 exhibited cytotoxic activities with IC50 values of 3.00-5.81 MUM.
PMID- 22070657
TI - The physical health of the seriously mentally ill: an overview of the literature.
AB - Despite the wealth of literature which attests to the relationship between
serious mental illness (SMI) and physical ill health, the provision of optimum
physical health care for mental health service users remains a challenge. In
England the Department of Health has identified the evident health inequalities
for people with SMI as a priority area for health improvement, publishing
numerous policy directives aimed at addressing these inequalities. However, this
is a highly complex process and little is known about why the rhetoric of
holistic health care has proved unattainable thus far. In this paper we present
an informed commentary of the contemporary literature with the aim of offering a
more comprehensive understanding of the health inequalities faced by people with
SMI. We searched relevant databases for publications related to: the causes of
poor physical health among the mentally ill, strategies to address these health
needs and the impact which professional education, culture and services structure
has on this facet of service delivery. This enabled us to identify potential
strategies that can be adopted by health care practitioners wishing to improve
the health of this vulnerable group, and by educationalists to advance
professionals' knowledge of this important and ostensibly neglected area.
PMID- 22070658
TI - The role of Government policy in supporting nurse-led care in general practice in
the United Kingdom, New Zealand and Australia: an adapted realist review.
AB - AIM: This article is a report on a review that examined the role of Government
policy in primary care and its association with nurse-led care in the United
Kingdom, New Zealand and Australia between 1998 and 2009. BACKGROUND: The
United Kingdom, New Zealand and Australia share a similar model of first point
access to the healthcare system via general practitioners. General practice is
synonymous with the term primary care. DATA OURCES: Medline, CINAHL, EMBASE,
Scopus, PsychInfo, Google, Department of Health, England (United Kingdom),
Ministry of Health, New Zealand, Department of Health and Ageing, Australia.
Searches of electronic databases from 1998 to December 2009 and hand searches of
identified leads and key journals. Historical papers accessed to describe the
genesis of practice nursing and historical Government policy documents prior to
1998, were examined. REVIEW METHODS: A modified realist review was used to
synthesize research and policy documents relating to government policies
pertaining to nurse-led care. In addition, a systematic review was used to
identify literature that described practice nurse-led care. Results. Nurse-led
primary care services are well described in the United Kingdom with a total of 45
studies meeting the inclusion criteria for the second review. There are no
published studies from New Zealand, and only two from Australia describing nurse
led primary care. CONCLUSION: New Zealand and Australia lag behind the United
Kingdom in practice nurse development. Implementation of clinical governance was
fundamental to the development of nurse-led care in the UK.
PMID- 22070659
TI - UV/ozone-oxidized large-scale graphene platform with large chemical enhancement
in surface-enhanced Raman scattering.
AB - We fabricated a highly oxidized large-scale graphene platform using chemical
vapor deposition (CVD) and UV/ozone-based oxidation methods. This platform offers
a large-scale surface-enhanced Raman scattering (SERS) substrate with large
chemical enhancement in SERS and reproducible SERS signals over a centimeter
scale graphene surface. After UV-induced ozone generation, ozone molecules were
reacted with graphene to produce oxygen-containing groups on graphene and induced
the p-type doping of the graphene. These modifications introduced the structural
disorder and defects on the graphene surface and resulted in a large chemical
mechanism-based signal enhancement from Raman dye molecules [rhodamine B (RhB),
rhodamine 6G (R6G), and crystal violet (CV) in this case] on graphene.
Importantly, the enhancement factors were increased from ~10(3) before ozone
treatment to ~10(4), which is the largest chemical enhancement factor ever on
graphene, after 5 min ozone treatment due to both high oxidation and p-doping
effects on graphene surface. Over a centimeter-scale area of this UV/ozone
oxidized graphene substrate, strong SERS signals were repeatedly and reproducibly
detected. In a UV/ozone-based micropattern, UV/ozone-treated areas were highly
Raman-active while nontreated areas displayed very weak Raman signals.
PMID- 22070660
TI - Blood donation on posters: a worldwide review.
AB - Originally pasted on walls and on locations reserved specially for that purpose,
the poster is a medium for advertising and promotion to be seen on the streets
and in public places. More recently, it has spread, in a smaller format, on
dedicated indoor sites: billboards, columns, street furniture, and so forth. For
transfusion, it appeared early on that the poster constitutes an important medium
to promote blood donation. Thousands of posters supporting regional, national, or
international blood donation campaigns have been created all over the planet,
with a great variability of images, symbols, and slogans, which are particularly
revealing about the image and the reality of blood donation. The topic is rich in
information, particularly sociologic, on the variety of ways in which transfusion
organizations promote blood donation. The authors present in this article the
results of a study based on a total of 283 posters from nations on every
continent, divided into 24 different themes.
PMID- 22070661
TI - Acupuncture for posttraumatic stress disorder: conceptual, clinical, and
biological data support further research.
AB - Posttraumatic stress disorder (PTSD) is common, debilitating, and has highly
heterogeneous clinical and biological features. With the exception of one
published preliminary clinical trial, rationale in support of the efficacy of
acupuncture, a modality of Chinese medicine (CM), for PTSD has not been well
described. This is a focused review of conceptual and clinical features of PTSD
shared by modern western medicine (MWM) and CM, and of biological mechanisms of
acupuncture that parallel known PTSD pathology. MWM and CM both recognize
individual developmental variables and interactions between external conditions
and internal responses in the genesis of PTSD. There is one published and one
unpublished clinical trial that preliminarily support the efficacy of acupuncture
for PTSD. Although there have been no mechanistic studies of acupuncture in human
PTSD, extant research shows that acupuncture has biological effects that are
relevant to PTSD pathology. Conceptual, clinical, and biological data support
possible efficacy of acupuncture for PTSD. However, further definitive research
about simultaneous clinical and biological effects is needed to support the use
of acupuncture for PTSD in health care systems.
PMID- 22070662
TI - The effect of pharmacotherapy on suicide rates in bipolar patients.
AB - Suicide is a complex and multicausal human behavior and also a great challenge
for psychiatry. We review the evidence available concerning pharmacological
prevention of suicide in bipolar disorder patients. Several clinical trials
provide evidence that effective acute and long-term treatment of bipolar
depression provides a strong protection against suicide, suicide attempts, and
probably against other complications of this disorder. Current major mood
disorder is the most important risk factor of suicide, and bipolar II patients
carry the highest risk. In bipolar patients suicidal behavior is most likely to
occur during pure or mixed depressive episodes. Since bipolar disorder is a
highly recurrent illness, adequate long-term pharmacotherapy is needed to prevent
suicidal behavior.
PMID- 22070663
TI - Degradation of seed mucilage by soil microflora promotes early seedling growth of
a desert sand dune plant.
AB - In contrast to the extensive understanding of seed mucilage biosynthesis, much
less is known about how mucilage is biodegraded and what role it plays in the
soil where seeds germinate. We studied seed mucilage biodegradation by a natural
microbial community. High-performance anion-exchange chromatography (HPAEC) was
used to determine monosaccharide composition in achene mucilage of Artemisia
sphaerocephala. Mucilage degradation by the soil microbial community from natural
habitats was examined by monosaccharide utilization tests using Biolog plates,
chemical assays and phospholipid fatty acid (PLFA) analysis. Glucose (29.4%),
mannose (20.3%) and arabinose (19.5%) were found to be the main components of
achene mucilage. The mucilage was biodegraded to CO(2) and soluble sugars, and
an increase in soil microbial biomass was observed during biodegradation.
Fluorescence microscopy showed the presence of mucilage (or its derivatives) in
seedling tissues after growth with fluorescein isothiocyanate (FITC)-labelled
mucilage. The biodegradation also promoted early seedling growth in barren sand
dunes, which was associated with a large soil microbial community that supplies
substances promoting seedling establishment. We conclude that biodegradation of
seed mucilage can play an ecologically important role in the life cycles of
plants especially in harsh desert environments to which A. sphaerocephala is well
adapted.
PMID- 22070664
TI - Characterizing the rovibrational distribution of CD2CD2OH radicals produced via
the photodissociation of 2-bromoethanol-d4.
AB - This work characterizes the internal energy distribution of the CD(2)CD(2)OH
radical formed via photodissociation of 2-bromoethanol-d(4). The CD(2)CD(2)OH
radical is the first radical adduct in the addition of the hydroxyl radical to
C(2)D(4) and the product branching of the OH + C(2)D(4) reaction is dependent on
the total internal energy of this adduct and how that energy is partitioned
between rotation and vibration. Using a combination of a velocity map imaging
apparatus and a crossed laser-molecular beam scattering apparatus, we
photodissociate the BrCD(2)CD(2)OH precursor at 193 nm and measure the velocity
distributions of the Br atoms, resolving the Br((2)P(1/2)) and Br((2)P(3/2))
states with [2 + 1] resonance enhanced multiphoton ionization (REMPI) on the
imaging apparatus. We also detect the velocity distribution of the subset of the
nascent momentum-matched CD(2)CD(2)OH cofragments that are formed stable to
subsequent dissociation. Invoking conservation of momentum and conservation of
energy and a recently developed impulsive model, we determine the vibrational
energy distribution of the nascent CD(2)CD(2)OH radicals from the measured
velocity distributions.
PMID- 22070665
TI - Clinicopathologic and gene expression parameters predict liver cancer prognosis.
AB - BACKGROUND: The prognosis of hepatocellular carcinoma (HCC) varies following
surgical resection and the large variation remains largely unexplained. Studies
have revealed the ability of clinicopathologic parameters and gene expression to
predict HCC prognosis. However, there has been little systematic effort to
compare the performance of these two types of predictors or combine them in a
comprehensive model. METHODS: Tumor and adjacent non-tumor liver tissues were
collected from 272 ethnic Chinese HCC patients who received curative surgery. We
combined clinicopathologic parameters and gene expression data (from both tissue
types) in predicting HCC prognosis. Cross-validation and independent studies were
employed to assess prediction. RESULTS: HCC prognosis was significantly
associated with six clinicopathologic parameters, which can partition the
patients into good- and poor-prognosis groups. Within each group, gene expression
data further divide patients into distinct prognostic subgroups. Our predictive
genes significantly overlap with previously published gene sets predictive of
prognosis. Moreover, the predictive genes were enriched for genes that underwent
normal-to-tumor gene network transformation. Previously documented liver eSNPs
underlying the HCC predictive gene signatures were enriched for SNPs that
associated with HCC prognosis, providing support that these genes are involved in
key processes of tumorigenesis. CONCLUSION: When applied individually,
clinicopathologic parameters and gene expression offered similar predictive power
for HCC prognosis. In contrast, a combination of the two types of data
dramatically improved the power to predict HCC prognosis. Our results also
provided a framework for understanding the impact of gene expression on the
processes of tumorigenesis and clinical outcome.
PMID- 22070666
TI - Costs and clinical outcome of neoadjuvant systemic chemotherapy followed by
cytoreductive surgery and hyperthermic intraperitoneal chemotherapy in peritoneal
carcinomatosis from gastric cancer.
AB - BACKGROUND: The costs for loco-regional treatment of peritoneal carcinomatosis
from gastric cancer are not well investigated. The aims of this study were to
evaluate the costs and clinical outcome of systemic chemotherapy followed by
cytoreductive surgery and intraperitoneal chemotherapy compared to systemic
chemotherapy only in patients with peritoneal carcinomatosis from gastric cancer.
MATERIAL AND METHODS: Ten patients were scheduled for systemic chemotherapy
followed by loco-regional treatment. A reference group of 10 matched control
patients treated with systemic chemotherapy only were used and both groups were
evaluated with respect to clinical outcome and cost. RESULTS: The mean overall
cost in the loco-regional group was $145,700 (range $49,900-$487,800) and $59,300
(range $23,000-$94,800) for the control group. The mean overall survival for the
loco-regional group was 17.4 months (range 6.0-34.3), and 11.1 months (range 0.1
24.2) for the systemic chemotherapy only group. The gain in life-years was 0.52
and in quality-adjusted life-years 0.49, leading to incremental cost per life
year and quality-adjusted life-years gained of $166,716 and $175,164, for loco
regional group compared to systemic chemotherapy. DISCUSSION: Treatment of
peritoneal carcinomatosis from gastric cancer is costly irrespective of treatment
modality. If the survival benefit from adding loco-regional treatment to systemic
chemotherapy indicated from this comparison is true, the incremental cost is
considered high.
PMID- 22070667
TI - Quality improvement in long-term mental health: results from four collaboratives.
AB - This multiple case study evaluates four quality improvement collaboratives (QICs)
in long-term mental health care focusing on social psychiatric care, recovery
oriented care, social participation and somatic co-morbidity of psychiatric
patients. The aim is to explore (1) effectiveness in terms of objective outcome
indicators and impact of changes as perceived by team members; and (2)
associations between collaborative-, organizational- and team-level factors and
perceived effectiveness. Most objective outcomes, such as health, loneliness and
clients' problem areas, showed significant improvement. Because of the diversity
in content no single measure for objective effectiveness could be computed across
the four collaboratives. Perceived effectiveness of team members was used as an
indicator of the overall impact. In all, 55 of the 94 participating team leaders
and 117 remaining team members completed a written survey at the end of each
quality improvement collaborative. Multilevel regression analyses indicated that
innovation attributes, appropriate measures, usable data collection tools and an
innovative team culture could explain variation in perceived effectiveness. In
conclusion, overall positive changes for clients were realized as demonstrated by
objective outcomes and team members' perceptions of improvements in care
processes. The results supported the notion that a layered approach is necessary
to achieve improvements in quality of care.
PMID- 22070668
TI - Relationships between tumour necrosis factor-alpha, interleukin-12B and
interleukin-10 gene polymorphisms and hepatitis B in Chinese Han haemodialysis
patients.
AB - AIM: To investigate the possible association of gene polymorphisms of tumour
necrosis factor (TNF)-alpha (-238 and -308), interleukin (IL)-10 (-592 and -819)
and 3' untranslated region (3'UTR) of the IL12B (-1188) and hepatitis B in
Chinese Han haemodialysis (HD) patients. METHODS: The genotyping of TNF-alpha
238 and -308, IL-10 -592 and -819 and 3'UTR of the IL12B were performed by
polymerase chain reaction (PCR) restriction fragment length polymorphism (RFLP)
method. RESULTS: The TNF-alpha-238 A allele, the IL12B 3'UTR C/C, C/A genotypes
were associated with decreased susceptibility to hepatitis B viral infection (P =
0.047, P = 0.003 and P = 0.001 respectively). The frequencies of IL-10-592 A/A
genotype, IL-10-819 T/T genotype were lower in the HBV persistence group (P =
0.029 and P = 0.019) than those in the virus clearance group. CONCLUSIONS: TNF
alpha and IL12B 3'UTR gene polymorphisms may be associated with HBV
susceptibility and IL-10 gene polymorphisms may be related to the HBV persistence
infection in Chinese Han HD patients.
PMID- 22070669
TI - Thrombotic microangiopathy after living-donor liver transplantation.
AB - Thrombotic microangiopathy (TMA) is an infrequent but severe life-threatening
disorder in solid organ transplant recipients. Few studies of TMA in living donor
liver transplant (LDLT) recipients, however, have been reported. We investigated
the clinical characteristics and prognostic factors of TMA after LDLT. Among 393
adult LDLT recipients, 30 patients (7.6%) were identified to have TMA. The 1-, 3-
and 5-year survival rates of these patients were lower (60.6%, 52.5% and 47.7%,
respectively) than those of patients without TMA (93.0%, 89.0% and 87.3%,
respectively). Multivariate analysis confirmed that reduced administration of
fresh frozen plasma and sensitization against HLA are closely related with TMA
(odds ratio [OR]: 2.6 and 16.1, respectively). However, a review of the cases
revealed that individual responses to treatment varied considerably and the main
etiologies were difficult to determine. A comparison of the clinical factors
suggested that late onset (>30 days), poor response to treatment and delayed
diagnosis and/or treatment are associated with a poor outcome. Because the
prevention of TMA in LDLT patients is difficult, early diagnosis and initiation
of intensive therapies may be crucial to improve the prognosis.
PMID- 22070670
TI - Quantification of the kinetics and extent of self-sorting in three dimensional
spheroids.
AB - The self-sorting of cells into distinct compartments in three-dimensional (3D)
microtissues is a process critical to developmental biology, cancer metastasis,
and tissue engineering. Although self-sorting has been studied since the 1950s,
little quantitative data exist that describe this dynamic process. Here, we
describe a recently developed assay designed to quantify the extent and kinetics
of self-sorting in 3D. Mixtures of fluorescently labeled normal human fibroblasts
(NHF) and hepatocyte (H35) cells were fluorescently labeled, red and green
respectively, and seeded onto micro-molded non-adhesive hydrogels. The cells self
assembled into a spheroid and self-sorted with NHFs forming the central core and
H35s forming the outer shell. A time course of fluorescent images was used to
analyze the ratio of red (NHFs) and green (H35s) fluorescence in concentric
hollow cylinders throughout a spheroid and was statistically compared with the
fluorescent ratio of the perfectly sorted spheroid. We found that NHFs and H35s,
at a 1:1 ratio, sorted to a final extent of 88+/-3% at an initial rate of 0.36+/
0.06% per minute and reached 50% self-sorted at 2.7+/-0.3 h. Studies with varying
ratios of NHFs and H35s show that self-sorting and self-assembly are coincident
in time when the proportion of NHFs are varied over a 6-fold range (14% to 85%).
This method can, thus, be used to characterize the sorting behavior of additional
pairs of cells, the effect of drugs, and growth factors that may change the
kinetics of the process, and bring an understanding to the cellular mechanisms
which control self-sorting.
PMID- 22070671
TI - Allylic substitution on cyclopentene and -hexene rings with alkynylcopper
reagents.
AB - Substitution of cyclic allylic picolinates with a reagent derived from TMS
C=CMgBr and a copper salt was investigated. Although the previous type of reagent
(TMSC=CMgBr and CuBr.Me(2)S) developed for linear allylic picolinates was less
product selective and regioselective, the Cu(acac)(2)-derived reagent was highly
selective (94-95%) to afford the S(N)2' product in good yields. As an
application, several C-C bond formations at the acetylenic carbon and the
synthesis of the PG intermediate were studied with success.
PMID- 22070672
TI - The use of analogy in speech motor performance.
AB - The acoustic correlates of pitch variation were examined in 40 participants who
received analogy instructions or explicit instructions that required them to
modulate their intonation during speech production. First, using focus group
methodology, professional speech-language pathologists were asked to identify
analogies that best described minimum pitch variation (monotone), moderate pitch
variation (normal intonation), and maximum pitch variation (exaggerated
intonation) in speech. The focus group established that an appropriate pitch
variation metaphor may be related to imagery of "waves at sea", with minimum
pitch variation represented by a flat calm sea, moderate pitch variation
represented by a moderate sea, and maximum pitch variation represented by a
choppy sea. Forty adult participants without speech impairments were asked to
read aloud a standard paragraph using their habitual pitch variation (control
condition). They were then allocated randomly to an analogy or an explicit
instruction group and were asked to read aloud different paragraphs with minimum,
moderate, or maximum pitch variations. Results revealed that acoustic correlates
of pitch variation (standard deviation of fundamental frequency, SDF0) were not
different for the control condition, or moderate and maximum pitch variation
conditions in the two groups. However, the analogy instruction was significantly
more effective than the explicit instruction for inducing minimum pitch
variation. Analysis of participants in each group who showed higher than normal
pitch variation in the control condition (>.5 SD above the group SDF0) revealed
that the analogy instruction was more effective than the explicit instruction in
the minimum variation condition. It was concluded that analogy instructions may
be a useful tool in speech rehabilitation.
PMID- 22070673
TI - Female caregivers' perceptions of reasons for violent behaviour among nursing
home residents.
AB - Threats and violence against professional caregivers present a growing health and
safety problem in elderly care. We aimed to explore female caregivers'
perceptions of reasons for violent behaviour among nursing home residents. Forty
one caregivers at three nursing homes were interviewed and their responses were
subjected to qualitative content analysis, which revealed three content areas of
perceived reasons for patient violence: patient characteristics, caregiver
approach and environmental aspects. The caregivers' perceptions were formulated
in three core statements: 'they (the residents) are not who they used to be', 'we
(the caregivers) have acted inappropriately' and 'we (residents and caregivers)
are all surrounded by disorder'. Our findings indicate that the reasons for
violence are complex and multifactorial, so interventions should be individually
tailored. Caregivers involved in a violent situation need to see the person
behind the behaviour, try to interpret what the behaviour is meant to communicate
and adjust the intervention according to individual need.
PMID- 22070674
TI - Headache and papilledema in an adult with cyanotic congenital heart disease: the
importance of fundoscopic evaluation rather than phlebotomy.
AB - Headaches and blurred vision in patients with cyanotic congenital heart disease
and secondary erythrocytosis may be attributed to hyperviscosity and
traditionally were treated with phlebotomy. In the current era, phlebotomy is
rarely performed in these patients except in cases of hemoptysis or
hyperviscosity symptoms. We report a case of a patient with a history of complex
cyanotic congenital heart disease and secondary erythrocytosis who presented with
headache and visual changes. He was found to have bilateral papilledema and
increased intracranial pressure. Reduction of intracranial pressure with
acetazolamide therapy led to alleviation of headache and visual changes. This
demonstrates the need for formal ophthalmologic evaluation of these patients to
assess other treatable causes of headache and visual changes before considering
phlebotomy.
PMID- 22070675
TI - Prevalence of human papillomavirus in mobile tongue cancer with particular
reference to young patients.
AB - The carcinogenetic role of human papillomavirus (HPV) in mobile tongue cancer
remains unclear because of conflicting results reported in the literature. This
disparity is likely to be due to variations in the samples and methods used.
Furthermore, despite a tendency for increased prevalence of mobile tongue cancer
in young adults, only a few reports specifically in young patients have been
published. In the present study on 32 patients, including six in their 20s, we
genotyped the prevalence of HPV using a highly sensitive detection tool in fresh
frozen samples from surgical specimens and a novel detection device with
electrochemical DNA chip and loop-mediated isothermal amplification. In addition,
we confirmed HPV prevalence by in situ hybridization and immunohistochemistry for
the p16(INK4a) protein, regarded as a biomarker of HPV-associated cancers. The
frequency of 13 genotypes of high-risk HPV was 0/32 (0%), which was further
confirmed by in situ hybridization. Overexpression of p16(INK4a) protein was
observed in six of the 32 patients (19%), with four (67%) also overexpressing
p53. Because there is usually a lack of p53 overexpression in HPV-associated
cancer, it is unlikely that p16(INK4a) protein overexpression is correlated with
HPV infection. Consequently, it is unlikely that HPV infection plays an important
role in mobile tongue carcinogenesis, in particular in young adults. In addition,
our data suggest that the overexpression of p16(INK4a) protein is not an
appropriate biomarker for HPV association in mobile tongue carcinogenesis.
PMID- 22070676
TI - How is dispersal integrated in life histories: a quantitative analysis using
butterflies.
AB - As dispersal plays a key role in gene flow among populations, its evolutionary
dynamics under environmental changes is particularly important. The inter
dependency of dispersal with other life history traits may constrain dispersal
evolution, and lead to the indirect selection of other traits as a by-product of
this inter-dependency. Identifying the dispersal's relationships to other life
history traits will help to better understand the evolutionary dynamics of
dispersal, and the consequences for species persistence and ecosystem functioning
under global changes. Dispersal may be linked to other life-history traits as
their respective evolutionary dynamics may be inter-dependent, or, because they
are mechanistically related to each other. We identify traits that are predicted
to co-vary with dispersal, and investigated the correlations that may constrain
dispersal using published information on butterflies. Our quantitative analysis
revealed that (1) dispersal directly correlated with demographic traits, mostly
fecundity, whereas phylogenetic relationships among species had a negligible
influence on this pattern, (2) gene flow and individual movements are correlated
with ecological specialisation and body size, respectively and (3) routine
movements only affected short-distance dispersal. Together, these results provide
important insights into evolutionary dynamics under global environmental changes,
and are directly applicable to biodiversity conservation.
PMID- 22070677
TI - Tailored chemotherapy based on tumour gene expression analysis: breast cancer
patients' misinterpretations and positive attitudes.
AB - The aim of this study was to document how breast cancer patients perceive their
prognosis and a tailored treatment based on tumour gene expression analysis, and
to identify the features of this approach that may impact its clinical
application. In-depth interviews were conducted at three French cancer centres
with 37 women (35-69 years of age) with node-positive breast cancer undergoing an
adjuvant chemotherapy regimen defined on the basis of the genomic signature
predicting the outcome after chemotherapy. Several concerns were identified.
First, some misconceptions about these methods were identified due to semantic
confusions between the terms 'genomic' and 'genetic', which generated anxiety and
uncertainty about the future. Second, the 'not done' and 'not interpretable'
signatures were misinterpreted by the women and associated with highly negative
connotations. However, the use of tumour genomic analysis to adapt the treatment
to each patient received most of the patients' approval because it was perceived
as an approach facilitating personalised medicine. In conclusion, improving the
quality of provider/patient communications should enable patients to play a more
active part in the decision making about their treatment. This will ensure that
those who agree to have tumour gene analysis have realistic expectations and
sound deductions about the final result disclosure process.
PMID- 22070678
TI - Quercetin as a systemic chemopreventative agent: structural and functional
mechanisms.
AB - There is a growing focus on diet and the use of naturally abundant compounds as
supplements because their properties have many potential health benefits with
minimal side effects. The flavonol-type flavonoid quercetin has increased in
popularity because it is a highly studied, multidimensional bioactive compound
that possesses both antioxidant properties and the ability to modulate signal
transduction pathways. Direct antioxidant properties may play a role in the
abrogation of both DNA damage, but potentially of more importance quercetin, can
also target multiple signaling pathways associated with oncogenesis and tumor
progression, which include DNA damage, inflammation and obesity. Quercetin can
also upregulate proteins that abrogate free radical damage, such as p53. The
concurrent targeting of quercetin's multiple bioactivities presents a potent
chemopreventative strategy, but because bioavailability of quercetin is poor it
will be necessary to develop quercetin analogs to maximize the full
chemopreventative potential of the compound. This review will explore the
structural and mechanistic properties of quercetin as they relate to its ability
to act as a chemopreventative compound. A better understanding of quercetin's
mechanistic properties could aid in the rational design of more potent or
bioavailable flavonol-type compounds.
PMID- 22070680
TI - Natural polyphenols and cardioprotection.
AB - With more than 8000 polyphenols found in food (mainly, wine, tea, coffee, cocoa,
vegetables and cereals), many epidemiological studies suggest that the intake of
polyphenol-rich foods has a beneficial effect on a large number of cardiovascular
risk factors, such as high blood pressure, high blood cholesterol, obesity,
diabetes and smoking. The mechanisms involved in the cardioprotective effects of
polyphenols are numerous and include antioxidant, vasodilator, anti-inflammatory,
anti-fibrotic, antiapoptotic and metabolic. Most importantly, recent experimental
data demonstrate that polyphenols can exert its cardioprotective effect via the
activation of several powerful prosurvival cellular pathways that involve
metabolic intermediates, microRNAs, sirtuins and mediators of the recently
described reperfusion injury salvage kinases (RISK) and survivor activating
factor enhancement (SAFE) pathways.
PMID- 22070681
TI - Polyphenols and neuroprotection against ischemia and neurodegeneration.
AB - Neuroprotection of polyphenols in medical plants is getting attention in the
world. Scutellaria baicalensis, paeonia veitchii and paeonia suffruticosa have
been extensively studied in the last 10 years and show multi-function. They are
neuroprotectants, antioxidants, anti-inflammatory and antithrombic agents as well
as vasoconstriction inhibitors and amyloid-peptide (Abeta) cleaners by means of
their polyphenols: baicalin, baicalein, wogonin (in scutellaria), and paeonol,
paeonoside, paeoniflorin (PF) and 1, 2, 3, 4, 6-Penta-O-galloyl-beta-D-glucose
(PGG) (in paeonia veitchii and paeonia suffruticosa). Other 4 medical plants:
astragali, ligusticum wallichii, angelica sinensis and carthamus tinctorius
(saffron) have been the major medicines to treat ischemia for hundreds of years
in China, Korea and Japan. Our recent experimental studies demonstrated the
neuroprotective efficacy of the combination of these phyotmedicines on mitigating
brain infarction and global ischemia as well as preventing the neurodegeneration
following ischemia. Owing to their multi-function, including improving cerebral
blood circulation, they therefore have the potential to alleviate the symptoms of
degenerative diseases, Alzheimer's disease (AD) and Parkinson's disease (PD).
Pharmacology of the 7 herbs and their major relative polyphenols is depicted in
the article.
PMID- 22070682
TI - An overview of innovations in analysis and beneficial health effects of wine
polyphenols.
AB - Polyphenols are natural compounds that show a wide spectrum of biological actions
potentially beneficial for the human health. Wine is an alcoholic beverage that
contains a large amount of polyphenols extracted from grapes during the processes
of vinification. These molecules are associated with anticancerogenic,
antidiabetic, neuroprotective, hormonal, antimicrobial, cardioprotective, and
other health effects of wine. The present review provided an overview of well
know and recent achievement in analytical methodology for the analysis of
polyphenols in wine, and their biological activities.
PMID- 22070679
TI - Polyphenols: skin photoprotection and inhibition of photocarcinogenesis.
AB - Polyphenols are a large family of naturally occurring plant products and are
widely distributed in plant foods, such as, fruits, vegetables, nuts, flowers,
bark and seeds, etc. These polyphenols contribute to the beneficial health
effects of dietary products. Clinical and epidemiological studies suggest that
exposure of the skin to environmental factors/pollutants, such as solar
ultraviolet (UV) radiation induce harmful effects and leads to various skin
diseases including the risk of melanoma and non-melanoma skin cancers. The
incidence of non-melanoma skin cancer, comprising of squamous cell carcinoma and
basal cell carcinoma, is a significant public health concern world-wide. Exposure
of the skin to solar UV radiation results in inflammation, oxidative stress, DNA
damage, dysregulation of cellular signaling pathways and immunosuppression
thereby resulting in skin cancer. The regular intake of natural plant products,
especially polyphenols, which are widely present in fruits, vegetables, dry
legumes and beverages have gained considerable attention as protective agents
against the adverse effects of UV radiation. In this article, we first discussed
the impact of polyphenols on human health based on their structure-activity
relationship and bioavailability. We then discussed in detail the photoprotective
effects of some selected polyphenols on UV-induced skin inflammation,
proliferation, immunosuppression, DNA damage and dysregulation of important
cellular signaling pathways and their implications in skin cancer management. The
selected polyphenols include: green tea polyphenols, pomegranate fruit extract,
grape seed proanthocyanidins, resveratrol, silymarin, genistein and delphinidin.
The new information on the mechanisms of action of these polyphenols supports
their potential use in skin photoprotection and prevention of photocarcinogenesis
in humans.
PMID- 22070683
TI - Analysis of flavonoids in foods and biological samples.
AB - Flavonoids are a major class of plant phenolics that are widely distributed in
the human diet and have been related to health promotion. They may occur in their
natural sources in free forms (aglycones), as glycosylated or acylated
derivatives, or as oligomeric and polymerized structures. This structural
diversity affects their physicochemical behaviour and complicates their analysis.
Thus, there is not a single standardized procedure that can be recommended for
all flavonoid groups and/or type of samples, and the procedures have to be
optimized depending on the nature of the sample and the target analytes.
Furthermore, when dealing with the analysis of flavonoids biological samples
(i.e., human and animal fluids and tissues) some differential aspects have to be
taken into account; the nature of the compounds that can be found in those
samples may differ from that present in plants and food, and flavonoids and
metabolites occur in much lower concentrations, which make their analysis still
more challenging. In this review the main techniques for extraction and analysis
of flavonoids in foodstuffs and biological fluids are revised, as well as their
occurrence in foods and beverages and available databases.
PMID- 22070684
TI - Polyphenols and cardiovascular disease: a critical summary of the evidence.
AB - Epidemiological studies are clear: diets in which plant foods provide the major
portion of caloric intake, e.g. the Mediterranean and the Japanese diets, are
associated with a reduced risk of certain degenerative diseases like cancer and
atherosclerosis. Although fats and proteins in plants, as opposed to those of
animal origin, are responsible to some extent for these protective effects, the
contribution of other plant food components may also be relevant. In the past few
years, research on polyphenols has remarkably expanded and is unveiling several
biological activities of these compounds. Alas, the marketing departments of
several industries are jumping ahead of solid scientific evidence; as a
consequence, unsubstantiated claims are being made and whole foods or fortified,
enriched, or enhanced foods are being created and sold. Science is beginning to
corroborate some of these claims, but much more research is needed and several
myths are to be disproven. In this mini-review we critically discuss the current
limitations of polyphenol research and we contend that, in addition to their
putative antioxidant action, several biochemical and physiological processes
might be influenced by polyphenols.
PMID- 22070685
TI - Editorial: introduction to polyphenols, plant chemicals for human health.
PMID- 22070686
TI - GPE and GPE analogues as promising neuroprotective agents.
AB - The tripeptide glycine-proline-glutamate (GPE) is the naturally cleaved N
terminal tripeptide of insulin-like growth factor-1 (IGF-1) in brain tissues by
an acid protease. Although GPE does not bind to IGF-1 receptors and its mode of
action is not clear, in vitro studies have demonstrated its ability to stimulate
acetylcholine and dopamine release, as well as to protect neurones from diverse
induced brain injures. More importantly, GPE has been shown to have potent
neuroprotective effects in numerous animal models of hypoxic-ischemic brain
injury and neurodegenerative diseases such as Parkinson's, Alzheimer's and
Huntington's diseases. As a consequence, GPE was suggested to be a potential
target for the rational design of neuroprotective agents. Unfortunately, the use
of GPE as a therapeutic agent is limited because of its unfavorable biochemical
and pharmacokinetic properties. This review will focus on structural
modifications performed on the GPE molecule in order to obtain bioactive
analogues with increased pharmacokinetic profile useful for the treatment of
central nervous system (CNS) injures and neurodegenerative disorders.
PMID- 22070688
TI - CDC25 phosphatase inhibitors: an update.
AB - The cell division cycle 25 (CDC25) family of proteins is a group of highly
conserved dual-specificity phosphatases. They are key regulators of normal cell
division and the cell response to DNA damage, and play a fundamental role in
transitions between cell cycle phases during normal cell division, via the
activation of CdK/cyclin complexes. Their abnormal expression, detected in a
number of tumors, often correlated with a poor clinical prognosis, implies that
their dysregulation is involved in malignant transformation. Thus, inhibition of
these proteins represents an attractive therapeutic target in oncology, as
evidenced from many patents and papers published on the subject in recent years.
Hence, this review aims to provide an overview of recent developments in the
field of CDC25 phosphatase inhibitor design since 2008.
PMID- 22070689
TI - Cell adhesion molecules as pharmaceutical target in atherosclerosis.
AB - Cell adhesion molecules (CAMs) are transmembrane proteins that mediate adhesion
and interactions between cells or cell and extra-cellular matrix. Increased
expression and activation of CAMs in vascular endothelial cells and circulating
leukocytes, as occurring in the settings of inflammation, hypercholesterolemia,
hypertension and diabetes, stimulates leukocyte recruitment into the vascular
endothelium, an important step in the pathogenesis of atherosclerosis. CAMs are a
potential therapeutic target in clinical practice and in recent years
pharmaceutical agents with specific effects on the production and function of
these molecules have been studied and developed. This article reviews recent
progress regarding pathophysiology of CAMs in atherogenesis and pharmaceutical
products or chemicals that are active against CAMs, and assesses the
possibilities for clinical developments in this area that might enhance the
prevention, monitoring and treatment of atherosclerotic cardiovascular diseases.
PMID- 22070690
TI - 2,5-diketopiperazines as neuroprotective agents.
AB - 2,5-diketopiperazines are the simplest cyclic peptides found in nature, commonly
biosynthesized from amino acids by different organisms, and represent a promising
class of biologically active natural products. Their peculiar heterocyclic
structure confers high stability against the proteolysis and constitutes a
structural requirement for the active intestinal absorption. Furthermore, the
diketopiperazine-based motif is considered as a novel brain shuttle for the
delivery of drugs with limited ability to cross the blood-brain barrier (BBB) and
can be proposed as an ideal candidate for the rational development of new
therapeutic agents. Although these cyclic peptides have been known since the
beginning of the 20th century, only recently have they attracted substantial
interest with respect to the wide spectrum of their biological properties,
including antitumor, antiviral, antifungal, antibacterial and antihyperglycemic
activities. In addition to these, the most challenging function of the
diketopiperazine derivatives is related with their remarkable neuroprotective and
nootropic activity. The aim of the present paper is to provide an overview of the
two major classes of diketopiperazines, the TRH-related and the unsaturated
derivatives both characterized by a significant ability to protect against
neurotoxicity in several experimental models. The neuroprotective profile of
these compounds suggests that they may have a future utility in the therapy of
neuronal degeneration in vivo, potentially through several different mechanisms.
PMID- 22070691
TI - Ascorbic acid: an old player with a broad impact on body physiology including
oxidative stress suppression and immunomodulation: a review.
AB - Ascorbic acid is a low molecular weight antioxidant well known as anti-scorbut
acting vitamin C in humans, primates and guinea pigs. This review summarizes
basic data about ascorbic acid in its physiological action point of view. It is
divided into biochemistry of ascorbic acid synthesis, mechanism of antioxidant
action and participation in anabolism, pharmacokinetics and excretion, exogenous
ascorbic acid immunomodulatory effect and participation in infectious diseases,
impact on irradiation and intoxication pathogenesis, and supplementary demands.
The primary intention was to consider ascorbic acid not only as an antioxidant
but also as a chemical compound affecting multiple pathways with a potential
beneficial impact in many diseases and processes in human body.
PMID- 22070692
TI - Tetracyclines: drugs with huge therapeutic potential.
AB - Tetracyclines are an amazing class of chemical agents with multiple therapeutic
potential. Structural modification of the original natural tetracyclines led to
the synthesis and development of doxycycline and minocycline, compounds with
higher lipophilicity, better oral pharmacokinetics and higher potency. Due to
diverse pharmacological properties, these drugs are now under extensive
investigation for use in the treatment of various disparate diseases. In recent
years, several studies have conclusively reported anti-inflammatory, immune
modulating and neuroprotective effects of these compounds. There are currently
over 200 ongoing clinical trials on tetracyclines. These studies extend over a
wide range of diseases including dermatological diseases, behavior and mental
disorders, immune system disorders, cardiovascular diseases, and cancer. In this
review we will discuss the chemistry and pharmacology of these agents, and
describe how their inhibitory effect on matrix metalloproteinase and on pro
inflammatory cytokines has kindled renewed interest in them. Based on the reports
from pre-clinical and clinical trials, the therapeutic potential and application
of tetracyclines may well be redefined and extensively extended.
PMID- 22070687
TI - Vascular effects of phytoestrogens and alternative menopausal hormone therapy in
cardiovascular disease.
AB - Phytoestrogens are estrogenic compounds of plant origin classified into different
groups including isoflavones, lignans, coumestans and stilbenes. Isoflavones such
as genistein and daidzein are the most studied and most potent phytoestrogens,
and are found mainly in soy based foods. The effects of phytoestrogens are partly
mediated via estrogen receptors (ERs): ERalpha, ERbeta and possibly GPER. The
interaction of phytoestrogens with ERs is thought to induce both genomic and non
genomic effects in many tissues including the vasculature. Some phytoestrogens
such as genistein have additional non-ER-mediated effects involving signaling
pathways such as tyrosine kinase. Experimental studies have shown beneficial
effects of phytoestrogens on endothelial cells, vascular smooth muscle, and
extracellular matrix. Phytoestrogens may also affect other pathophysiologic
vascular processes such as lipid profile, angiogenesis, inflammation, tissue
damage by reactive oxygen species, and these effects could delay the progression
of atherosclerosis. As recent clinical trials showed no vascular benefits or even
increased risk of cardiovascular disease (CVD) and CV events with conventional
menopausal hormone therapy (MHT), phytoestrogens are being considered as
alternatives to pharmacologic MHT. Epidemiological studies in the Far East
population suggest that dietary intake of phytoestrogens may contribute to the
decreased incidence of postmenopausal CVD and thromboembolic events. Also, the
WHO-CARDIAC study supported that consumption of high soybean diet is associated
with lower mortalities from coronary artery disease. However, as with estrogen,
there has been some discrepancy between the experimental studies demonstrating
the vascular benefits of phytoestrogens and the data from clinical trials. This
is likely because the phytoestrogens clinical trials have been limited in many
aspects including the number of participants enrolled, the clinical end points
investigated, and the lack of long-term follow-up. Further investigation of the
cellular mechanisms underlying the vascular effects of phytoestrogens and careful
evaluation of the epidemiological evidence and clinical trials of their potential
vascular benefits would put forward the use of phytoestrogens as an alternative
MHT for the relief of menopausal symptoms and amelioration of postmenopausal CVD.
PMID- 22070693
TI - Lysophospholipids: potential markers of diseases and infertility?
AB - The in vivo concentration of lysophospholipids (LPL) such as
lysophosphatidylcholine (LPC) increases under different pathological conditions
and, thus, LPL attract nowadays considerable diagnostic and pharmacological
interest. LPL are particularly interesting because they possess pro- and anti
inflammatory properties and can be generated by two completely different
pathways: either by the influence of (a) phospholipases and (b) different
reactive oxygen species (ROS) that are generated in significant amounts under
inflammatory conditions. This review provides a summary of the mechanisms by
which LPL can be generated under in vitro and in vivo conditions. The focus will
be on lysophosphatidylcholine (LPC) because this LPL is most abundant among all
LPL and was, thus, most intensively studied so far. Additionally, biochemical,
chromatographic and spectroscopic methods of LPL and LPC determinations will be
discussed. Finally, the effects of LPL as signaling molecules and their roles in
different pathologies such as infertility, cancer, atherosclerosis or
inflammatory diseases are discussed. Special emphasis will be on the role of LPL
in reproduction failures related to poor semen quality and, in that context, the
potential role of LPC as a disease-indicative molecule.
PMID- 22070694
TI - Pleuromutilin and its derivatives-the lead compounds for novel antibiotics.
AB - Due to the rapid onset of resistance to most antibacterial drugs, research
efforts are focusing on new classes of antibacterials with different mechanisms
of action from clinically used antibacterials. Pleuromutilin derivatives have
received more and more scientific attention for their unique mechanism of action.
Two pleuromutilin derivatives, tiamulin and valnemulin have been successfully
developed as antibiotics for veterinary use. Retapamulin, another pleuromutilin
derivative has been approved for use in humans in April 2007 by Food and Drug
Administration (FDA). It has been shown that there is rarely cross-resistance
between pleuromutilin derivatives and other antimicrobial agents, and the
development of resistance bacterial is still low. This review will demonstrate
mechanism of action of pleuromutilin derivatives and reveal the structure
activity relationship (SAR) of pleuromutilin derivatives. Additionally, the
pleuromutilin antibacterial derivative agents in the market, such as tiamulin,
valnemulin and retapamulin, will be discussed. It is proposed that new
antibacterial agents might be developed from pleuromutilin derivatives in the
future.
PMID- 22070695
TI - Reticulate eruptions: Part 2. Historical perspectives, morphology, terminology
and classification.
AB - Reticulate eruptions of vascular origin may represent an underlying arterial,
venous, microvascular or combined pathology. In the presence of arterial
pathology, individual rings are centred around ascending arterial vessels that
supply the corresponding area of skin within an arterial hexagon that clinically
presents with a blanched centre. Confluence of multiple arterial hexagons
generates a stellate (star-like) pattern. In the presence of a primary venous
pathology, individual rings correspond to the underlying reticular veins forming
multiple venous rings. Focal involvement of a limited number of vessels presents
with a branched (racemosa) configuration while a generalized involvement forms a
reticulate (net-like) pattern. 'Livedo' refers to the colour and not the pattern
of the eruption. Primary livedo reticularis (Syn. cutis marmorata) is a
physiological response to cold and presents with a diffuse blanchable reticulate
eruption due to vasospasm of the feeding arteries and sluggish flow and
hyperviscosity in the draining veins. Livedo reticularis may be secondary to
underlying conditions associated with hyperviscosity of blood. Livedo racemosa is
an irregular, branched eruption that is only partially-blanchable or non
blanchable and always signifies a pathological process. Retiform purpura may be
primarily inflammatory with secondary haemorrhage or thrombohaemorrhagic, as seen
in disseminated intravascular coagulopathy.
PMID- 22070696
TI - Mohs surgery histopathology concordance in Australia.
AB - BACKGROUND: Mohs micrographic surgery is an important technique for dealing with
difficult non-melanoma skin cancers. The ability of the Mohs surgeon to correctly
interpret the histopathology is crucial to the practice of this surgery. This
study sought to assess the concordance between a Mohs surgeon and a
dermatopathologist in the reading of Mohs section histopathology slides. METHODS:
This study was a retrospective study of Mohs frozen section histopathology slides
of patients from a private Mohs practice. The slides were provided for assessment
by a dermatopathologist who had to interpret the histopathology and mark on a
Mohs map the location of the tumour. RESULTS: We demonstrate a 95% agreement
between the Mohs surgeon and the dermatopathologist in the interpretation of Mohs
frozen section histopathology slides. CONCLUSION: An Australian Mohs surgeon is
capable of correctly identifying and interpreting histopathology in non-melanoma
skin cancers, and this compares favourably to an overseas study.
PMID- 22070697
TI - Dermoscopic naevus patterns in people at high versus moderate/low melanoma risk
in Queensland.
AB - INTRODUCTION: Dermoscopic understanding of naevus characteristics is essential
baseline knowledge for identifying early malignant changes. METHOD: This cross
sectional study includes 34 patients (56% female, mean age 48 years) at high risk
of melanoma (personal or a first degree family member with history of melanoma)
and 31 moderate/low melanoma risk volunteers (55% female, mean age 37 years)
recruited at the Princess Alexandra Hospital, Brisbane, between October 2009 and
March 2010. Participants received full body and individual dermoscopic imaging of
clinically significant naevi (>=2 mm on the back of male/female and lower limbs
of female and >=5 mm at other body sites). Dermoscopic patterns of naevi were
compared between people at high versus moderate/low melanoma risk according to
age and body site. RESULTS: In both high and moderate/low risk groups, globular
naevi predominated on the head/neck and abdomen/chest, reticular and non-specific
naevi on the back, and non-specific pattern on the upper and lower limbs. Non
specific naevi were the most common in all age groups. In both risk groups,
globular naevi were more frequent in the younger age bracket, and reticular naevi
were more frequent in the older age bracket. Mixed naevus patterns were
infrequent and were more common in the younger age brackets of both risk groups.
CONCLUSION: Our preliminary data shows that dermoscopic naevus patterns were
similar for age and body site in people at different levels of melanoma risk,
suggesting high melanoma risk does not influence dermoscopic naevus patterns.
PMID- 22070698
TI - New insights in naevogenesis: number, distribution and dermoscopic patterns of
naevi in the elderly.
AB - BACKGROUND/OBJECTIVES: It is well recognized that the number and patterns of
acquired melanocytic naevi vary with age, but little is known about naevus
patterns in the elderly. This is a cross-sectional study assessing the
prevalence, dermoscopic pattern and anatomical distribution of naevus subtypes in
a stratified cohort aged between 60 and 89 years. METHODS: Fifty-nine patients
who attended the Queensland Institute of Dermatology were recruited randomly and
evenly distributed into three age groups: 60-69 years; 70-79 years; and 80-89
years. For each participant, total naevus count and morphological naevus types
were recorded with respect to age, sex and anatomical location. Flat (Clark's)
naevi were further subclassified according to the dermoscopic pattern as
reticular, globular or structureless. RESULTS: Using non-parametric methods,
naevus counts in the elderly decreased due to the disappearance of reticular
naevi (P < 0.05). By contrast, structureless and intradermal (Unna's and
Miescher's) naevi seemed to persist even into older age. Naevi on the trunk,
limbs, head and neck represented 57.6%, 31.0% and 11.3%, respectively. Notably,
no reticular naevi were found on the head and neck area. CONCLUSIONS: There is a
progressive reduction in total naevus counts with advancing age with respect to a
cohort aged greater than 60 years.
PMID- 22070699
TI - Tele-assessment of Psoriasis Area and Severity Index: a study of the accuracy of
digital image capture.
AB - BACKGROUND: The implementation of remote Psoriasis Area and Severity Index (PASI)
determinations would greatly enhance the delivery of specialist dermatological
care to patients with severe psoriasis unable to attend face-to-face
dermatological consultations. Here we investigate the feasibility of the remote
determination of PASI scores by comparing the results of face-to-face with
digital image assessment. METHODS: Twelve patients with confirmed psoriasis were
recruited for the study. Initially, two dermatologists scored the PASI at the
patients' usual scheduled face-to-face visits, at which time standardized digital
images were obtained. PASI scoring based on digital images was then performed on
two separate occasions by three dermatologists with a time-interval period
between assessments, facilitating an assessment of score reproducibility. Linear
weighted kappa statistics were applied to the PASI scores to ascertain agreement
between sets of observations. RESULTS: While we found a moderate (kappa = 0.51)
agreement between the face-to-face scores, there was very good (kappa = 0.83)
agreement between the first round of telescores and moderate (kappa = 0.60)
agreement between the second round of telescores. Comparison between the face-to
face and telescores revealed good (kappa = 0.67 and 0.63) agreement for the
scorers respectively. CONCLUSION: We demonstrate that PASI scores can be
determined with moderate to good accuracy by dermatologists using standardized
digital images. Our results imply the implementation of a tele-PASI service may
be a practical and effective adjunct to the dermatological care of patients with
severe psoriasis where incapacity or distance prevent the realisation of face-to
face consultations.
PMID- 22070700
TI - Impact on the dermatology educational experience of medical students with the
introduction of online teaching support modules to help address the reduction in
clinical teaching.
AB - BACKGROUND/OBJECTIVES: With increasing medical student numbers and decreasing
clinical teaching opportunities, there has been a need to develop alternative
learning resources. The aim of this study was to examine the effectiveness of a
new dermatology online teaching resource, from a student perspective. METHODS:
The Australasian College of Dermatologists developed an undergraduate dermatology
curriculum and subsequently created online teaching modules in partnership with
the University of Sydney. These modules were introduced to final year medical
students at the University of Western Australia in 2010. The dermatology learning
experiences of these 142 students were compared with the 2009 medical student
cohort who did not have access to this resource. A self-administered
questionnaire, with a 5-point rating scale, was used. RESULTS: The 2010 cohort
described an improved educational experience using the online modules. Despite a
reduction in the number of clinics attended, knowledge and skills gained were
scored higher among the 2010 cohort. The student's confidence in their ability to
manage common dermatological conditions was also statistically higher in the
cohort with the online teaching resource. The learning experience for dermatology
compared to other subspecialty teaching in medical school was ranked as a
significantly more positive experience in the 2010 cohort. CONCLUSIONS: Our
results suggest that the introduction of the online modules described in this
paper to support learning have improved the perceived educational experience of
medical students and should be incorporated as a way to improve student teaching
in the face of reduced clinic teaching.
PMID- 22070701
TI - Skin disease in the first two years of life in Aboriginal children in East Arnhem
Land.
AB - BACKGROUND: The most common skin infections affecting children in remote
Aboriginal communities are scabies and impetigo. Group A streptococcal skin
infections are linked to the high rates of heart and renal disease occurring in
Aboriginal Australians. METHODS: A retrospective review of medical records was
conducted in a primary health care centre in the East Arnhem region of the
Northern Territory. Data was collected from all presentations to the clinic in
the first 2 years of life for 99 children born between 2001 and 2005 as a
component of the East Arnhem Regional Healthy Skin Project. RESULTS: The median
number of presentations to the clinic in the first 2 years of life was 32. Skin
disease was recorded in 22% of all presentations. By 1 year of age 82% of
children had presented to the clinic with their first episode of impetigo and 68%
with their first episode of scabies. Antibiotics were administered to 49% of
children with impetigo. CONCLUSION: Skin infections are a major reason for
presentation to primary health clinics and contribute to the high disease burden
experienced by children in the first 2 years of life. This high frequency of
presentation provides multiple opportunities for intervention and monitoring.
PMID- 22070702
TI - The use of visual language as a search pattern in dermoscopy.
PMID- 22070703
TI - Lymphomatoid papulosis in children: experience of five cases and the treatment
efficacy of methotrexate.
AB - We present a case series of childhood lymphomatoid papulosis (LyP), an entity
which is commonly misdiagnosed and poorly described in the paediatric dermatology
literature. Clinically and histologically, the features of LyP in children can
mimic insect bite reactions, with prominent dermal neutrophils and eosinophils.
However, CD30 immunohistochemical staining of atypical lymphocytes within a mixed
inflammatory infiltrate should point to the diagnosis of LyP. There is no
consensus to guide management of childhood LyP due to its rarity and largely
unknown natural course. We discuss our experience with LyP in five children and
the use of methotrexate to induce rapid resolution of persistent lesions and to
reduce recurrences in two children. Although none of our cases have experienced
malignant transformation to date, life-long monitoring is advocated.
PMID- 22070704
TI - Juvenile xanthogranuloma: challenges in complicated cases.
AB - Juvenile xanthogranuloma (JXG) is one of the most common forms of non-Langerhans
cell histiocytosis in children. Although it usually presents as a self-limited
skin lesion with typical histopathology, JXG can be challenging to diagnose due
to an atypical initial presentation with corresponding variable histopathology
for different stages of development. We present challenging cases of JXG from
Sydney Children's Hospital, collected over 10 years - two with multisystem
involvement and concomitant urticaria, one associated with neurofibromatosis, and
one case of giant JXG with an initial histopathological challenge. Although JXG
has been reported with urticaria pigmentosa, in two of our cases persistent
urticaria, in association with JXG is discussed.
PMID- 22070705
TI - Cryptococcosis presenting as upper limb cellulitis and ulceration: a case series.
AB - We report four cases of cryptococcosis presenting as upper limb cellulitis or
ulceration, or both. Three of the four patients were on long-term prednisolone
therapy at the time of presentation. In each case, the diagnosis of
cryptococcosis was established by a biopsy of the skin. Only one of the four
patients had conclusive evidence of disseminated disease. Our cases highlight the
importance of skin biopsy in immunosuppressed individuals presenting with
cellulitis, particularly when the cellulitis occurs in an atypical location and
when the clinical condition fails to respond to standard antibacterial therapy.
PMID- 22070706
TI - A case of multicentric reticulohistiocytosis responsive to azathioprine in a
patient with no underlying malignancy.
AB - Multicentric reticulohistiocytosis (MRH), a rare histiocytic systemic condition
characterized by mutilating arthritis and multiple cutaneous nodules, has been
associated with malignancy including that of the breast, thyroid and colon. An
unsubstantiated link with infectious agents such as mycobacterium tuberculosis
has been described. Many treatments have been used with varying success. We
describe the case of a 60-year-old man with MRH and no underlying malignancy who
initially responded well to azathioprine and whose disease recurred upon
cessation. A second course of azathioprine administered in conjunction with
antituberculous treatment resulted in disease control. Broader associations and
features of this rare disease are discussed.
PMID- 22070707
TI - Merkel cell carcinoma metastatic to the transverse colon: disease free after six
years - cure or just prolonged remission?
AB - Merkel cell carcinoma is an uncommon but highly immunogenic skin malignancy that
has the potential to metastasize to any site in the body. Despite treatment many
patients experience relapse, often to distant sites beyond the site of initial
treatment. The development of distant soft tissue or visceral metastases is
considered incurable, despite treatment with prognosis usually being measured in
months. We report the case of an elderly man who developed colonic metastases
from a head and neck primary and with treatment has survived disease free for
over 6 years. Such reports are infrequently documented and highlight the
unpredictable nature of this disease.
PMID- 22070708
TI - Palmoplantar keratoderma: an adverse reaction to influenza vaccination.
AB - Acquired palmoplantar keratoderma (PPK) is a rare group of conditions with a
number of aetiologies, including adverse reactions to drugs. Herein, we report a
case of acquired PPK in association with influenza vaccination, confirmed by
rechallenge.
PMID- 22070709
TI - Porokeratosis of Mibelli: Involution and resolution with 5% imiquimod cream.
AB - An 82-year-old woman presented with a longstanding, slowly enlarging annular
lesion on the medial aspect of the ankle. Histology confirmed the clinical
diagnosis of Porokeratosis of Mibelli. The lesion slowly involuted and resolved
over 26 weeks of 5% imiquimod cream applied topically and has not recurred during
a 36 month follow-up period.
PMID- 22070715
TI - Autosomal dominant bullous dermolysis of the newborn associated with a
heterozygous missense mutation p.G1673R in type VII collagen.
AB - Bullous dermolysis of the newborn is an inherited mechano-bullous disorder
classed as a rare subtype of dystrophic epidermolysis bullosa. Fewer than 30
cases of bullous dermolysis of the newborn have been reported in the literature
and the pathogenesis of the disease is poorly understood. Only a minority of
cases have had pathogenic mutations identified. We present a case of a neonate
born to non-consanguineous Caucasian parents with an exon 54 (c.5017G > A,
p.G1673R) mutation reported as one mutant allele in a case of recessive
dystrophic epidermolysis bullosa (generalized other).
PMID- 22070716
TI - Erythema annulare centrifugum-like mycosis fungoides.
AB - A considerable number of recent reports have documented mycosis fungoides
resembling many other dermatoses. Due to highly variable presentations and the
sometimes non-specific nature of histological findings, an accurate diagnosis of
mycosis fungoides can be difficult. Erythema annulare centrifugum-like mycosis
fungoides with a variety of annular, polycyclic erythematous skin lesions is a
recently recognized atypical manifestation of mycosis fungoides, and only a few
cases have been reported to date.
PMID- 22070717
TI - Metallic mercury vapour poisoning revisited.
AB - Mercury poisoning was once common in the 19th century. With its declining use,
now clinicians and the public in general are often unaware and unsuspecting of
mercury toxicity. A 40-year-old woman and her two children were hospitalized with
a 1-week history of a generalized lichenoid eruption. Clinical improvement
occurred without a diagnosis; however, on returning home, features of acrodynia
with digital gangrene developed in the woman, leading to suspicion of heavy metal
poisoning. There was no recurrence after moving from their contaminated house.
PMID- 22070718
TI - Pyogenic granuloma, port-wine stain and pregnancy.
AB - We present a novel case of pyogenic granuloma occurring within a port-wine stain
in two sequential pregnancies at different sites. There was no history of
precipitating events such as trauma. We discuss why a pyogenic granuloma may
occur within a port-wine stain and how pregnancy may increase the likelihood of
this occurring.
PMID- 22070720
TI - A novel, nonbinary evaluation of success and failure reveals bupropion efficacy
versus methamphetamine dependence: reanalysis of a multisite trial.
AB - A multisite, double-blind, placebo-controlled trial of bupropion for
methamphetamine dependence was reanalyzed using a novel, nonbinary method of
evaluating success and failure. The original analysis focused on a group response
endpoint (the change in percentage of participants with methamphetamine-free
urines each week over the course of the trial) and no significant bupropion
effect was observed in the total population of study participants. In this
reanalysis, individual participants were regarded as treatment success if they
achieved multiple weeks of abstinence lasting through the end of the study, and
their degree of success was quantified by calculating the number of beyond
threshold weeks of success (NOBWOS). Thus, setting the threshold at 1 week of end
of-study abstinence (EOSA), treatment successes were assigned NOBWOS values
ranging from 1 to 11, with 1 corresponding to 2 weeks EOSA and 11 corresponding
to abstinence throughput the entire 12-week trial. Treatment failures were
assigned a value of 0. Comparison of NOBWOS values revealed a significant effect
of bupropion to facilitate abstinence (P= 0.0176). In the bupropion group, 20% of
participants achieved 2 or more weeks EOSA, 14% achieved 6 or more weeks EOSA,
and 6% were abstinent throughout the trial; this compares with 7%, 4%, and 1% in
the placebo group, respectively. On the basis of the NOBWOS analysis, bupropion
seems to effectively facilitate the achievement of abstinence in methamphetamine
dependent individuals.
PMID- 22070721
TI - Immobilization of quantum dots via conjugated self-assembled monolayers and their
application as a light-controlled sensor for the detection of hydrogen peroxide.
AB - A light-addressable gold electrode modified with CdS and FePt or with CdS@FePt
nanoparticles via an interfacial dithiol linker layer is presented. XPS
measurements reveal that trans-stilbenedithiol provides high-quality self
assembled monolayers compared to benzenedithiol and biphenyldithiol, in case they
are formed at elevated temperatures. The CdS nanoparticles in good electrical
contact with the electrode allow for current generation under illumination and
appropriate polarization. FePt nanoparticles serve as catalytic sites for the
reduction of hydrogen peroxide to water. Advantageously, both properties can be
combined by the use of hybrid nanoparticles fixed on the electrode by means of
the optimized stilbenedithiol layer. This allows a light-controlled analysis of
different hydrogen peroxide concentrations.
PMID- 22070722
TI - Isometric muscle training of the spine musculature in patients with spinal bony
metastases under radiation therapy.
AB - BACKGROUND: Osseous metastatic involvement of the spinal column affects many
patients with a primary tumour disease of all entities. The consequences are pain
both at rest and under exertion, impairments in going about day-to-day
activities, diminished performance, the risk of pathological fractures, and
neurological deficits. Palliative percutaneous radiotherapy is one of the
therapeutical options available in this connection. The aim of this explorative
study is to investigate the feasibility of muscle-training exercises and to
evaluate the progression- and fracture-free survival time and the improvement of
bone density, as well as to assess other clinical parameters such as pain,
quality of life, and fatigue as secondary endpoints. METHODS/DESIGN: This study
is a prospective, randomized, monocentre, controlled explorative intervention
study in the parallel-group design to determine the multidimensional effects of a
course of exercises at first under physiotherapeutic instruction and subsequently
performed by the patients independently for strengthening the paravertebral
muscles of patients with metastases of the vertebral column parallel to their
percutaneous radiotherapy. On the days of radiation treatment the patients in the
control group shall be given physical treatment in the form of respiratory
therapy and the so-called "hot roll". The patients will be randomized into one of
the two groups: differentiated muscle training or physiotherapy with thirty
patients in each group. DISCUSSION: The aim of the study is to evaluate the
feasibility of the training programme described here. Progression-free and
fracture-free survival, improved response to radiotherapy by means of bone
density, and clinical parameters such as pain, quality of life, and fatigue
constitute secondary study objectives. TRIAL REGISTRATION: ClinicalTrials.gov:
NCT01409720.
PMID- 22070723
TI - All-time releases of mercury to the atmosphere from human activities.
AB - Understanding the biogeochemical cycling of mercury is critical for explaining
the presence of mercury in remote regions of the world, such as the Arctic and
the Himalayas, as well as local concentrations. While we have good knowledge of
present-day fluxes of mercury to the atmosphere, we have little knowledge of what
emission levels were like in the past. Here we develop a trend of anthropogenic
emissions of mercury to the atmosphere from 1850 to 2008-for which relatively
complete data are available-and supplement that trend with an estimate of
anthropogenic emissions prior to 1850. Global mercury emissions peaked in 1890 at
2600 Mg yr(-1), fell to 700-800 Mg yr(-1) in the interwar years, then rose
steadily after 1950 to present-day levels of 2000 Mg yr(-1). Our estimate for
total mercury emissions from human activities over all time is 350 Gg, of which
39% was emitted before 1850 and 61% after 1850. Using an eight-compartment global
box-model of mercury biogeochemical cycling, we show that these emission trends
successfully reproduce present-day atmospheric enrichment in mercury.
PMID- 22070724
TI - The role of protamine amount in the transfection performance of cationic SLN
designed as a gene nanocarrier.
AB - Cationic solid lipid nanoparticles (SLN) have been recently proposed as non-viral
vectors in systemic gene therapy. The aim of this study was to evaluate the
effect of the protamine amount used as the transfection promoter in SLN-mediated
gene delivery. Three protamine-SLN samples (Pro25, Pro100, and Pro200) prepared
by adding increasing amounts of protamine were characterized for their size, zeta
potential, and protamine loading level. The samples were evaluated for pDNA
complexation ability by gel-electrophoresis analysis and for cytotoxicity and
transfection efficiency by using different cell lines (COS-I, HepG2, and Na1300).
The size of SLN was ~230 nm and only Pro200 showed few particle aggregates.
Unlike the Pro25 sample with the lowest protamine loading level, the others SLN
samples (Pro100 and Pro200) exhibited a good ability in complexing pDNA. A cell
line dependent cytotoxicity lower than that of the positive control PEI
(polyethilenimmine) was observed for all the SLN. Among these, only Pro100,
having an intermediate amount of protamine, appeared able to promote pDNA cell
transfer, especially in a neuronal cell line (Na1300). In conclusion, the amount
of protamine as the transfection promoter in SLN affects not only the gene
delivery ability of SLN but also their capacity to transfer genes efficiently to
specific cell types.
PMID- 22070725
TI - Distinct microbial populations exist in the mucosa-associated microbiota of sub
groups of irritable bowel syndrome.
AB - BACKGROUND: There is increasing evidence to support a role for the
gastrointestinal microbiota in the etiology of irritable bowel syndrome (IBS).
Given the evidence of an inflammatory component to IBS, the mucosa-associated
microbiota potentially play a key role in its pathogenesis. The objectives were
to compare the mucosa-associated microbiota between patients with diarrhea
predominant IBS (IBS-D), constipation predominant IBS (IBS-C) and controls using
fluorescent in situ hybridization and to correlate specific bacteria groups with
individual IBS symptoms. METHODS: Forty-seven patients with IBS (27 IBS-D and 20
IBS-C) and 26 healthy controls were recruited to the study. Snap-frozen rectal
biopsies were taken at colonoscopy and bacterial quantification performed by
hybridizing frozen sections with bacterial-group specific oligonucleotide probes.
KEY RESULTS: Patients with IBS had significantly greater numbers of total mucosa
associated bacteria per mm of rectal epithelium than controls [median 218 (IQR -
209) vs 128 (121) P = 0.007], and this was chiefly comprised of bacteroides IBS
[69 (67) vs 14 (41) P = 0.001] and Eubacterium rectale-Clostridium coccoides [52
(58) vs 25 (35) P = 0.03]. Analysis of IBS sub-groups demonstrated that
bifidobacteria were lower in the IBS-D group than in the IBS-C group and controls
[24 (32) vs 54 (88) vs 32 (35) P = 0.011]. Finally, amongst patients with IBS,
the maximum number of stools per day negatively correlated with the number of
mucosa-associated bifidobacteria (P < 0.001) and lactobacilli (P = 0.002).
CONCLUSIONS & INFERENCES: The mucosa-associated microbiota in patients with IBS
is significantly different from healthy controls with increases in bacteroides
and clostridia and a reduction in bifidobacteria in patients with IBS-D.
PMID- 22070726
TI - Evidence-based practice in speech-language pathology curricula: a scoping study.
AB - This scoping study investigated how evidence-based practice (EBP) principles are
taught in Australian speech-language pathology (SLP) teaching and learning
contexts. It explored how Australian SLP university programs: (1) facilitate
student learning about the principles of EBP in academic and clinical settings,
and (2) self-evaluate their curricula in relation to EBP. The research involved
two surveys. Survey 1 respondents were 131 academic staff, program coordinators,
and on-campus and off-campus clinical educators. This survey gathered information
about EBP teaching and learning in SLP programs as well as future EBP curriculum
plans. Survey 2 investigated how clinical educators incorporated EBP into the way
they taught clinical decision-making to students. Surveys responses from 85
clinical educators were analysed using descriptive and non-parametric statistics
and thematic grouping of open-ended qualitative responses. Both surveys revealed
strengths and gaps in integrating EBP into Australian SLP curricula. Perceived
strengths were that respondents were positive about EBP, most had EBP training
and access to EBP resources. The perceived gaps included the academic staff's
perceptions of students' understanding and application of EBP, respondents'
understanding of research methodologies, communication and collaboration between
academic staff and clinical educators, and a lack of explicit discussion by
clinical educators and students of EBP in relation to clients.
PMID- 22070727
TI - A phase II trial of the Westmead Program: syllable-timed speech treatment for pre
school children who stutter.
AB - This report presents a Phase II clinical trial of a syllable-timed speech
treatment for early stuttering known as The Westmead Program. Of 17 children
recruited, eight children aged between 3-4.5 years (mean 3 years 8 months)
completed the treatment. The primary outcome measure was percentage syllables
stuttered (%SS) measured from independent, blinded speech assessments of beyond
clinic audio recordings. Secondary outcomes were measures of treatment time,
speech quality, and parent severity ratings. Dropouts occurred, but at a similar
rate to other clinical trials of this nature. For the eight children who
completed the treatment, mean pre-treatment stuttering was 6.0%SS and at 12
months post-Stage 2 entry stuttering had decreased to 0.2%SS, representing a mean
stuttering reduction of 96%. A large effect size was obtained with a mean of 8.0
clinical hours required for these children to reach Stage 2. Independent
listeners judged the everyday speech of all children to be not unnatural in any
way. Stuttering reductions were attained with clinical efficiency and simplicity
compared to other early stuttering interventions. Further clinical trials
development of the treatment is warranted.
PMID- 22070728
TI - Vertical gradient in soil temperature stimulates development and increases
biomass accumulation in barley.
AB - We have detailed knowledge from controlled environment studies on the influence
of root temperature on plant performance, growth and morphology. However, in all
studies root temperature was kept spatially uniform, which motivated us to test
whether a vertical gradient in soil temperature affected development and biomass
production. Roots of barley seedlings were exposed to three uniform temperature
treatments (10, 15 or 20 degrees C) or to a vertical gradient (20-10 degrees C
from top to bottom). Substantial differences in plant performance, biomass
production and root architecture occurred in the 30-day-old plants. Shoot and
root biomass of plants exposed to vertical temperature gradient increased by 144
respectively, 297%, compared with plants grown at uniform root temperature of 20
degrees C. Additionally the root system was concentrated in the upper 10cm of the
soil substrate (98% of total root biomass) in contrast to plants grown at uniform
soil temperature of 20 degrees C (86% of total root biomass). N and C
concentrations in plant roots grown in the gradient were significantly lower than
under uniform growth conditions. These results are important for the
transferability of 'normal' greenhouse experiments where generally soil
temperature is not controlled or monitored and open a new path to better
understand and experimentally assess root-shoot interactions.
PMID- 22070729
TI - A single-component liquid-phase hydrogen storage material.
AB - The current state-of-the-art for hydrogen storage is compressed H(2) at 700 bar.
The development of a liquid-phase hydrogen storage material has the potential to
take advantage of the existing liquid-based distribution infrastructure. We
describe a liquid-phase hydrogen storage material that is a liquid under ambient
conditions (i.e., at 20 degrees C and 1 atm pressure), air- and moisture-stable,
and recyclable; releases H(2) controllably and cleanly at temperatures below or
at the proton exchange membrane fuel cell waste-heat temperature of 80 degrees
C; utilizes catalysts that are cheap and abundant for H(2) desorption; features
reasonable gravimetric and volumetric storage capacity; and does not undergo a
phase change upon H(2) desorption.
PMID- 22070730
TI - Nurses' roles in systematic patient education sessions in psychiatric nursing.
AB - The purpose of this study is to gain understanding of nurses' expectations of
their roles in systematic patient education in psychiatric inpatient care.
Qualitative design was used in the study. The data were collected through
interviews with nurses participating in the implementation of systematic patient
education (information technology (IT) based patient education n= 14, or
conventional patient education n= 16). The data were analysed using inductive
content analysis. The analysis showed that nurses had different roles in both IT
based and conventional patient education. Nurses acted as learners, advisors,
collaborators, teachers or limiters. The nurses tailored the role in each session
according to the patients' interest and mental status. We can conclude that
nurses working in psychiatric hospitals have different roles in systematic
patient education and they are ready and willing to tailor their roles according
to patients' individual needs. Information technology should be adopted without
delay as a new treatment method in daily practice in psychiatric services. It has
potential to support equality between patient and nurse in secluded environments.
PMID- 22070731
TI - Development of fetal intestinal length during 2nd-trimester in normal and
pathologic pregnancies.
AB - Linear growth of the human fetal gastrointestinal tract is not often discussed in
the literature, and little is known about the effects of chromosomal
abnormalities and intrauterine growth restriction (IUGR) on intestinal length,
especially during the 2nd trimester. Accurate evaluation of intestinal length and
knowledge of normal and reference values are of clinical importance. For example,
intestinal resection may be necessary in preterm infants with necrotizing
enterocolitis or mid-gut volvulus, and the surgeon should use data to be
judicious in the amount removed. Linear measurements are essential in evaluating
fetal development ultrasonographically and are an integral part of the postmortem
examination. The intestinal lengths of 203 2nd-trimester fetuses and premature
infants were measured. Small intestine length (SIL), colon length (CL), total
bowel length (TBL; TBL = SIL + CL), and the length of the appendix (AL)
increased with gestational age. No differences between the genders were observed.
Colon length increased secondary to maceration, but no such effects were shown on
SIL, TBL, or AL. No differences were shown in relation to IUGR. Small intestine
length, CL, and TBL, but not AL, were shorter in fetuses with trisomy 21.
Appendix length was not affected by any of the studied factors. We propose that
the measurement of the length of the appendix may be used as an additional
parameter for the postmortem evaluation of gestational age. Furthermore, its
assessment may have potential as an ultrasonographic indicator of gestational
age, particularly for the 2nd trimester.
PMID- 22070732
TI - Embryonic stem cell-derived T cells induce lethal graft-versus-host disease and
reject allogenic skin grafts upon thymic selection.
AB - Efficient differentiation of embryonic stem cells (ESC) into hematopoietic
progenitor cells (HPCs) is crucial for the establishment of stem cell-based
therapies targeting the treatment of immunological and hematological disorders.
However, so far, it has not been possible to induce long-term survival of murine
ESC-derived HPCs without the overexpression of HoxB4, a homeobox transcription
factor that confers self-renewal properties to hematopoietic cells. Yet it has
not been feasible to generate T cells from HoxB4-expressing HPCs, a problem that
has been attributed to HoxB4. Here, we show that Notch1 signaling in HoxB4
transduced ESCs leads to efficient derivation of T cells that survive long term.
These T cells display a normal T-cell Vbeta repertoire, respond to mitogen
stimulation and induce lethal graft-versus-host disease. Thymic selection in
fetal thymic organ cultures (FTOCs) allowed negative selection and generation of
T cells tolerant to 'self' and capable of rejecting MHC-mismatched skin
allografts. Our data show that ESC-derived T cells, despite high expression of
HoxB4, are fully immunocompetent.
PMID- 22070733
TI - Does individual placement and support really 'reflect client goals'?
AB - Individual placement and support (IPS) is considered the only evidence-based
practice available for providing vocational support within secondary mental
health services. Clients are supported into and during competitive employment,
with proponents claiming IPS 'reflects client goals' because most service users
want to work. The idea that work improves mental health is also involved in
promoting IPS in the U.K. This paper examines the evidential basis for these
claims in policy documents and cited research. It additionally draws upon
qualitative research in representing the value, meaning and challenges of working
described by service users, while briefly considering the U.K. socio-economic
context for IPS implementation. Statistical claims that most unemployed service
users want to work are found misleadingly applied to IPS because only a minority
say they want competitive employment. Discussion centres on the power interests
such statistics serve and their role in underpinning the relevance of IPS
randomized control trials. Assertions that work improves mental health are found
confusing as a result of use of a dual continua model of mental illness and
mental health. The internalized moral basis for work acting as a seemingly
healthy 'normalization' experience is suggested as paradoxically feeding self
stigma in those who feel they cannot work.
PMID- 22070734
TI - Computational studies on ethylene addition to nickel bis(dithiolene).
AB - The density functionals B3LYP, B3PW91, BMK, HSE06, LC-omegaPBE, M05, M06, O3LYP,
TPSS, omega-B97X, and omega-B97XD are used to optimize key transition states and
intermediates for ethylene addition to Ni(edt)(2) (edt = S(2)C(2)H(2)). The
efficacy of the basis sets 6-31G**, 6-31++G**, cc-pVDZ, aug-cc-pVDZ, cc-pVTZ, and
aug-cc-pVTZ is also examined. The geometric parameters optimized with different
basis sets and density functionals are similar and agree well with experimental
values. The omega-B97XD functional gives relative energies closest to those from
CCSD, while M06 and HSE06 yield results close to those from CCSD(T). CASSCF and
CASSCF-PT2 calculation results are also given. Variation of the relative energies
from different density functionals appears to arise, in part, from the
multireference character of this system, as confirmed by the T1 diagnostic and
CASSCF calculations.
PMID- 22070735
TI - The image of you: constructing nursing identities in YouTube.
AB - AIM: This article is a report on a descriptive study of nursing identity as
constructed in the Web 2.0 site YouTube. BACKGROUND: Public images of the nurse
carry stereotypes that rely on the taken for granted gender category of the nurse
as woman. Nursing images represent a form of public discourse that has the
capacity to construct nursing identity. METHODS: Critical discourse analysis was
used to describe, analyse and explain how nurse and nursing identity were
constructed in a purposive sample of ten video clips accessed on 17 and 18 July
2010. RESULTS: The ten most-viewed videos depicting the nurse and nursing on
YouTube offered narratives that constructed three distinct nursing identity
types, namely nurse as 'a skilled knower and doer', nurse as 'a sexual plaything'
and nurse as 'a witless incompetent' individual. CONCLUSION: Nursing identities
recoverable from the texts of YouTube images propagate both favourable and
derogatory nursing stereotypes. To mitigate the effects of unfavourable nursing
stereotypes in such areas as interprofessional working and clinical decision
making, nursing professional bodies need to act to protect the profession from
unduly immoderate representations of the nurse and to support nurses in their
efforts to maximize opportunities afforded by YouTube to promote a counter
discourse.
PMID- 22070737
TI - Community mental health nurses' perspectives of recovery-oriented practice.
AB - Recovery-oriented practice, an approach aligned towards the service user
perspective, has dominated the mental health care arena. Numerous studies have
explored service users' accounts of the purpose, meaning and importance of
'recovery'; however, far less is known about healthcare staff confidence in its
application to care delivery. A self-efficacy questionnaire and content analysis
of nursing course documents were used to investigate a cohort of community mental
health nurses' recovery-oriented practice and to determine the extent to which
the current continuing professional development curriculum met their educational
needs in this regard. Twenty-three community mental health nurses completed a
self-efficacy questionnaire and 28 course documents were analysed. The findings
revealed high levels of nurses' confidence in their understanding and ability to
apply the recovery model and low levels of confidence were found in areas of
social inclusion. The content analysis found only one course document that used
the whole term 'recovery model'. The findings suggest a gap in the nurses'
perceived ability and confidence in recovery-oriented practice with what is
taught academically. Hence, nursing education needs to be more explicitly focused
on the recovery model and its application to care delivery.
PMID- 22070736
TI - New platelet glycoprotein polymorphisms causing maternal immunization and
neonatal alloimmune thrombocytopenia.
AB - BACKGROUND: Maternal immunization against low-frequency, platelet (PLT)-specific
antigens is being recognized with increasing frequency as a cause of neonatal
alloimmune thrombocytopenia (NAIT). STUDY DESIGN AND METHODS: Serologic and
molecular studies were performed on PLTs and DNA from two families in which an
infant was born with severe thrombocytopenia not attributable to maternal
immunization against known PLT-specific alloantigens. RESULTS: Antibodies
reactive only with paternal PLTs were identified in each mother using flow
cytometry and solid-phase assays. Unique mutations encoding amino acid
substitutions K164T in glycoprotein (GP)IIb (Case 1) and R622W in GPIIIa (Case 2)
were identified in paternal DNA and in DNA from the affected infants. Each
maternal antibody recognized recombinant GPIIb/IIIa mutated to contain the
polymorphisms identified in the corresponding father. None of 100 unselected
normal subjects possessed these paternal mutations. CONCLUSIONS: Severe NAIT
observed in the affected infants was caused by maternal immunization against
previously unrecognized, low-frequency antigens created by amino acid
substitutions in GPIIb/IIIa (alpha(IIb) /beta(3) integrin). A search should be
conducted for novel paternal antigens in cases of apparent NAIT not explained on
the basis of maternal-fetal incompatibility for known human PLT antigens.
PMID- 22070738
TI - Modeling the dementia epidemic.
AB - The incidence of dementia increases steeply with age in older people, although
from the tenth decade the slope may be smoother, perhaps reflecting different
pathological processes in the oldest old. The prevalence depends upon interaction
of age with other factors (e.g., comorbidities, genetic or environmental factors)
that in turn are subject to change. If onset of dementia could be postponed by
modulating its risk factors, this could significantly affect its incidence.
Analysis of risk and protection factors should take into account the critical
period during which these factors play a role. For example, the impact of
education and diabetes mellitus occurs in early- and midlife, respectively, while
maintaining optimal physical and mental activity and controlling vascular factors
later in life may slow the rate of cognitive decline. Modifying factors need to
be evaluated for different clinical groups, taking into account genetic
background, age, and duration at exposure. The aim of the present article is to
try to take stock of epidemiological data concerning factors affecting the
prevalence of dementia and predict future developments, as well as to look for
possible interventions that could affect outcome.
PMID- 22070739
TI - Semiparametric frailty models for clustered failure time data.
AB - We consider frailty models with additive semiparametric covariate effects for
clustered failure time data. We propose a doubly penalized partial likelihood
(DPPL) procedure to estimate the nonparametric functions using smoothing splines.
We show that the DPPL estimators could be obtained from fitting an augmented
working frailty model with parametric covariate effects, whereas the
nonparametric functions being estimated as linear combinations of fixed and
random effects, and the smoothing parameters being estimated as extra variance
components. This approach allows us to conveniently estimate all model components
within a unified frailty model framework. We evaluate the finite sample
performance of the proposed method via a simulation study, and apply the method
to analyze data from a study of sexually transmitted infections (STI).
PMID- 22070740
TI - Welcome to the neighbourhood: interspecific genotype by genotype interactions in
Solidago influence above- and belowground biomass and associated communities.
AB - Intra- and interspecific plant-plant interactions are fundamental to patterns of
community assembly and to the mixture effects observed in biodiversity studies.
Although much research has been conducted at the species level, very little is
understood about how genetic variation within and among interacting species may
drive these processes. Using clones of both Solidago altissima and Solidago
gigantea, we found that genotypic variation in a plant's neighbours affected both
above- and belowground plant traits, and that genotype by genotype interactions
between neighbouring plants impacted associated pollinator communities. The
traits for which focal plant genotypic variation explained the most variation
varied by plant species, whereas neighbour genotypic variation explained the most
variation in coarse root biomass. Our results provide new insight into genotypic
and species diversity effects in plant-neighbour interactions, the extended
consequences of diversity effects, and the potential for evolution in response to
competitive or to facilitative plant-neighbour interactions.
PMID- 22070741
TI - The use of ice-lollies for pain relief post-paediatric tonsillectomy. A single
blinded, randomised, controlled trial.
AB - OBJECTIVES: To assess whether the use of ice-lollies after tonsillectomy with or
without adenoidectomy in children aged 2-12 reduces pain in the immediate
postoperative period. DESIGN: A prospective, randomised, single-blinded study
design consisting of two groups with an intention to treat analysis. SETTING:
Tertiary referral centre. PARTICIPANTS: Children aged 2-12 undergoing
tonsillectomy with or without adenoidectomy. MAIN OUTCOME MEASURES: Pain
assessment by nursing staff in the form of the validated modified Children's
Hospital of Eastern Ontario Pain Scale at 15, 30 and 60 min and 4 h. RESULTS:
Ninety-two patients were recruited into the study with 46 allocated to receive an
ice-lolly and 41 not to receive an ice-lolly after exclusion of those with
incomplete data. The two groups were comparable for number, age, sex and
diagnosis. The pain score at every time interval was lower in the group that had
received the ice-lolly compared with the group that had not. This was
statistically significant at 30 (P = 0.008) and 60 min (P = 0.049). CONCLUSION:
Our data suggest that ice-lollies are a cheap, effective and safe method of
reducing postoperative pain up to one hour following paediatric tonsillectomy.
PMID- 22070742
TI - Fiber containment for improved laboratory handling and uniform nanocoating of
milligram quantities of carbon nanotubes by atomic layer deposition.
AB - The presence of nanostructured materials in the workplace is bringing attention
to the importance of safe practices for nanomaterial handling. We explored novel
fiber containment methods to improve the handling of carbon nanotube (CNT)
powders in the laboratory while simultaneously allowing highly uniform and
controlled atomic layer deposition (ALD) coatings on the nanotubes, down to less
than 4 nm on some CNT materials. Moreover, the procedure yields uniform coatings
on milligram quantities of nanotubes using a conventional viscous flow reactor
system, circumventing the need for specialized fluidized bed or rotary ALD
reactors for laboratory-scale studies. We explored both fiber bundles and fiber
baskets as possible containment methods and conclude that the baskets are more
suitable for coating studies. An extended precursor and reactant dose and soak
periods allowed the gases to diffuse through the fiber containment, and the ALD
coating thickness scaled linearly with the number of ALD cycles. The extended
dose period produced thicker coatings compared to typical doses on CNT controls
not encased in the fibers, suggesting some effects due to the extended reactant
dose. Film growth was compared on a range of single-walled NTs, double-walled
NTs, and acid-functionalized multiwalled NTs, and we found that ultrathin
coatings were most readily controlled on the multiwalled NTs.
PMID- 22070743
TI - Metal mesh scaffold for tissue engineering of membranes.
AB - Engineering of the membrane-like tissue structures to be utilized in highly
dynamic loading environments such as the cardiovascular system has been a
challenge in the past decade. Scaffolds are critical components of the engineered
tissue membranes and allow them being formed in vitro and remain secure in vivo
when implanted in the body. Several approaches have been taken to develop
scaffolds for tissue membranes. However, all methods entail limitations due to
structural vulnerability, short-term functionality, and mechanical properties of
the resulted membrane constructs. To overcome these issues, we have developed a
novel hybrid scaffold made of an extra thin layer of metal mesh tightly enclosed
by biological matrix components. This approach retains all the advantages of
using biological scaffolds while developing a strong extracellular matrix that
can stand various types of loads after implantation inside the body.
PMID- 22070745
TI - Financial and social impact of supporting a haematological cancer survivor.
AB - Support persons of haematological cancer survivors may be faced with unique
challenges due to the course of these diseases and the treatments required. This
study aimed to examine the social and financial impacts associated with their
role. Eight hundred adult survivors of haematological cancer within 3 years of
diagnosis were invited via an Australian state population-based cancer registry
to complete a survey. Survivors were mailed two questionnaire packages, one for
themselves and one for their primary support person. Non-respondents were mailed
reminders via the survivor after 3 weeks. One hundred and eighty-two support
persons completed the questionnaire (85% response rate). Of these, 67 (46%)
support persons reported having at least one personal expense and 91 (52%)
experienced at least one financial impact. Male support persons and support
persons of survivors in active treatment reported experiencing more personal
expenses than other support persons. Older participants reported fewer financial
consequences. A greater number of social impacts were reported by those born
outside Australia, those who had to relocate for treatment and support persons of
survivors in active treatment. Future research should focus on practical
solutions to reducing these impacts on support persons.
PMID- 22070744
TI - Systemic Hydrocortisone To Prevent Bronchopulmonary Dysplasia in preterm infants
(the SToP-BPD study); a multicenter randomized placebo controlled trial.
AB - BACKGROUND: Randomized controlled trials have shown that treatment of chronically
ventilated preterm infants after the first week of life with dexamethasone
reduces the incidence of the combined outcome death or bronchopulmonary dysplasia
(BPD). However, there are concerns that dexamethasone may increase the risk of
adverse neurodevelopmental outcome. Hydrocortisone has been suggested as an
alternative therapy. So far no randomized controlled trial has investigated its
efficacy when administered after the first week of life to ventilated preterm
infants. METHODS/DESIGN: The SToP-BPD trial is a randomized double blind placebo
controlled multicenter study including 400 very low birth weight infants
(gestational age < 30 weeks and/or birth weight < 1250 grams), who are ventilator
dependent at a postnatal age of 7 - 14 days. Hydrocortisone (cumulative dose 72.5
mg/kg) or placebo is administered during a 22 day tapering schedule. Primary
outcome measure is the combined outcome mortality or BPD at 36 weeks
postmenstrual age. Secondary outcomes are short term effects on the pulmonary
condition, adverse effects during hospitalization, and long-term
neurodevelopmental sequelae assessed at 2 years corrected gestational age.
Analysis will be on an intention to treat basis. DISCUSSION: This trial will
determine the efficacy and safety of postnatal hydrocortisone administration at a
moderately early postnatal onset compared to placebo for the reduction of the
combined outcome mortality and BPD at 36 weeks postmenstrual age in ventilator
dependent preterm infants.
PMID- 22070746
TI - Deregressed EBV as the response variable yield more reliable genomic predictions
than traditional EBV in pure-bred pigs.
AB - BACKGROUND: Genomic selection can be implemented by a multi-step procedure, which
requires a response variable and a statistical method. For pure-bred pigs, it was
hypothesised that deregressed estimated breeding values (EBV) with the parent
average removed as the response variable generate higher reliabilities of genomic
breeding values than EBV, and that the normal, thick-tailed and mixture
distribution models yield similar reliabilities. METHODS: Reliabilities of
genomic breeding values were estimated with EBV and deregressed EBV as response
variables and under the three statistical methods, genomic BLUP, Bayesian Lasso
and MIXTURE. The methods were examined by splitting data into a reference data
set of 1375 genotyped animals that were performance tested before October 2008,
and 536 genotyped validation animals that were performance tested after October
2008. The traits examined were daily gain and feed conversion ratio. RESULTS:
Using deregressed EBV as the response variable yielded 18 to 39% higher
reliabilities of the genomic breeding values than using EBV as the response
variable. For daily gain, the increase in reliability due to deregression was
significant and approximately 35%, whereas for feed conversion ratio it ranged
between 18 and 39% and was significant only when MIXTURE was used. Genomic BLUP,
Bayesian Lasso and MIXTURE had similar reliabilities. CONCLUSIONS: Deregressed
EBV is the preferred response variable, whereas the choice of statistical method
is less critical for pure-bred pigs. The increase of 18 to 39% in reliability is
worthwhile, since the reliabilities of the genomic breeding values directly
affect the returns from genomic selection.
PMID- 22070747
TI - ALAS1 gene expression is down-regulated by Akt-mediated phosphorylation and
nuclear exclusion of FOXO1 by vanadate in diabetic mice.
AB - Porphyrias are diseases caused by partial deficiencies of haem biosynthesis
enzymes. Acute porphyrias are characterized by a neuropsychiatric syndrome with
intermittent induction of hepatic ALAS1 (delta-aminolaevulinate synthase 1), the
first and rate-limiting enzyme of the haem pathway. Acute porphyria attacks are
usually treated by the administration of glucose; its effect is apparently
related to its ability to inhibit ALAS1 by modulating insulin plasma levels. It
has been shown that insulin blunts hepatocyte ALAS1 induction, by disrupting the
interaction of FOXO1 (forkhead box O1) and PGC-1alpha (peroxisome-proliferator
activated receptor gamma co-activator 1alpha). We evaluated the expression of
ALAS1 in a murine model of diabetes and determined the effects of the
insulinomimetic vanadate on the enzyme regulation to evaluate its potential for
the treatment of acute porphyria attacks. Both ALAS1 mRNA and protein content
were induced in diabetic animals, accompanied by decreased Akt phosphorylation
and increased nuclear FOXO1, PGC-1alpha and FOXO1-PGC-1alpha complex levels.
Vanadate reversed ALAS1 induction, with a concomitant PI3K (phosphoinositide 3
kinase)/Akt pathway activation and subsequent reduction of nuclear FOXO1, PGC
1alpha and FOXO1-PGC-1alpha complex levels. These findings support the notion
that the FOXO1-PGC-1alpha complex is involved in the control of ALAS1 expression
and suggest further that a vanadate-based therapy could be beneficial for the
treatment of acute porphyria attacks.
PMID- 22070748
TI - Interference of silver, gold, and iron oxide nanoparticles on epidermal growth
factor signal transduction in epithelial cells.
AB - Metallic nanomaterials, including silver, gold, and iron oxide, are being
utilized in an increasing number of fields and specialties. The use of nanosilver
as an antimicrobial agent is becoming ever-more common, whereas gold and iron
oxide nanomaterials are frequently utilized in the medical field due to their
recognized "biocompatibility". Numerous reports have examined the general
toxicity of these nanomaterials; however, little data exists on how the
introduction of these nanomaterials, at nontoxic levels, affects normal cellular
processes. In the present study the impact of low levels of 10 nm silver (Ag-NP),
gold (Au-NP), and iron oxide nanoparticles (SPION) on epidermal growth factor
(EGF) signal transduction within the human epithelial cell line, A-431, was
investigated. Following a biocompatibility assessment, the nanoparticle-induced
interference at four specific targets within the EGF signaling process was
evaluated: (1) nanoparticle-EGF association, (2) Akt and Erk phosphorylation, (3)
Akt activity, and (4) EGF-dependent gene regulation. For all tested
nanoparticles, following cellular exposure, a disruption in the EGF signaling
response transpired; however, the metallic composition determined the mechanism
of alteration. In addition to inducing high quantities of ROS, Ag-NPs attenuated
levels of Akt and Erk phosphorylation. Au-NPs were found to decrease EGF
dependent Akt and Erk phosphorylation as well as inhibit Akt activity. Lastly,
SPIONs produced a strong alteration in EGF activated gene transcription, with
targeted genes influencing cell proliferation, migration, and receptor
expression. These results demonstrate that even at low doses, introduction of Ag
NPs, Au-NPs, and SPIONs impaired the A-431 cell line's response to EGF.
PMID- 22070749
TI - Examining the relationship between risk assessment and risk management in mental
health.
AB - Thorough risk assessment helps in developing risk management plans that minimize
risks that can impede mental health patients' recovery. Department of Health
policy states that risk assessments and risk management plans should be
inextricably linked. This paper examines their content and linkage within one
Trust. Four inpatient wards for working age adults (18-65 years) in a large
mental health Trust in England were included in the study. Completed risk
assessment forms, for all patients in each inpatient ward were examined (n= 43),
followed by an examination of notes for the same patients. Semi-structured
interviews took place with ward nurses (n= 17). Findings show much variability in
the amount and detail of risk information collected by nurses, which may be
distributed in several places. Gaps in the risk assessment and risk management
process are evident, and a disassociation between risk information and risk
management plans is often present. Risk information should have a single location
so that it can be easily found and updated. Overall, a more integrated approach
to risk assessment and management is required, to help patients receive timely
and appropriate interventions that can reduce risks such as suicide or harm to
others.
PMID- 22070750
TI - Few-layered graphene oxide nanosheets as superior sorbents for heavy metal ion
pollution management.
AB - Graphene has attracted multidisciplinary study because of its unique
physicochemical properties. Herein, few-layered graphene oxide nanosheets were
synthesized from graphite using the modified Hummers method, and were used as
sorbents for the removal of Cd(II) and Co(II) ions from large volumes of aqueous
solutions. The effects of pH, ionic strength, and humic acid on Cd(II) and Co(II)
sorption were investigated. The results indicated that Cd(II) and Co(II) sorption
on graphene oxide nanosheets was strongly dependent on pH and weakly dependent on
ionic strength. The abundant oxygen-containing functional groups on the surfaces
of graphene oxide nanosheets played an important role on Cd(II) and Co(II)
sorption. The presence of humic acid reduced Cd(II) and Co(II) sorption on
graphene oxide nanosheets at pH < 8. The maximum sorption capacities (C(smax)) of
Cd(II) and Co(II) on graphene oxide nanosheets at pH 6.0 +/- 0.1 and T = 303 K
were about 106.3 and 68.2 mg/g, respectively, higher than any currently reported.
The thermodynamic parameters calculated from temperature-dependent sorption
isotherms suggested that Cd(II) and Co(II) sorptions on graphene oxide nanosheets
were endothermic and spontaneous processes. The graphene oxide nanosheets may be
suitable materials in heavy metal ion pollution cleanup if they are synthesized
in large scale and at low price in near future.
PMID- 22070751
TI - An ATP signalling pathway in plant cells: extracellular ATP triggers programmed
cell death in Populus euphratica.
AB - We elucidated the extracellular ATP (eATP) signalling cascade active in
programmed cell death (PCD) using cell cultures of Populus euphratica. Millimolar
amounts of eATP induced a dose- and time-dependent reduction in viability, and
the agonist-treated cells displayed hallmark features of PCD. eATP caused an
elevation of cytosolic Ca(2+) levels, resulting in Ca(2+) uptake by the
mitochondria and subsequent H(2) O(2) accumulation. P. euphratica exhibited an
increased mitochondrial transmembrane potential, and cytochrome c was released
without opening of the permeability transition pore over the period of ATP
stimulation. Moreover, the eATP-induced increase of intracellular ATP, essential
for the activation of caspase-like proteases and subsequent PCD, was found to be
related to increased mitochondrial transmembrane potential. NO is implicated as a
downstream component of the cytosolic Ca(2+) concentration but plays a
negligible role in eATP-stimulated cell death. We speculate that ATP binds
purinoceptors in the plasma membrane, leading to the induction of downstream
intermediate signals, as the proposed sequence of events in PCD signalling was
terminated by the animal P2 receptor antagonist suramin.
PMID- 22070752
TI - Low molecular weight chitosan-coated liposomes for ocular drug delivery: in vitro
and in vivo studies.
AB - In this study, low molecular weight chitosan coated liposomes (LCHL) were
designed and prepared for ocular drug delivery, the coating mechanism was
studied, and in vitro and in vivo characterization was conducted. The effects of
molecular weight and concentration of low molecular weight chitosan on the
liposomal coating were studied. The numeric relations between coating variables
and coating efficiency were established using a mathematical model. Morphology of
LCHL was examined by transmission electron microscopy (TEM). Cytotoxicity and
cell internalization of FITC-BSA labeled LCHL in a rabbit conjunctival epithelium
(RCE) cell line were studied. Cyclosporin A (CsA) was encapsulated as a model
drug, and in vitro drug release and in vivo drug absorption were investigated.
LCHL demonstrated low toxicity to RCE cells. In vitro drug release measurement
showed that LCHL had a delayed release profile compared with non-coated
liposomes. In vivo study in rabbits showed that the concentrations of CsA in
cornea, conjunctiva, and sclera were remarkably increased by LCHL. In conclusion,
LCHL might be a potential ocular drug carrier with characteristics such as
prolonged drug retention, enhanced drug permeation, and biocompatibility.
PMID- 22070756
TI - Intrauterine fetal death of a monochorionic twin with peripheral pulmonary
infarcts: potential thromboembolic events following death of co-twin.
AB - In utero fetal lung infarction has rarely been reported. We present a case of
intrauterine lung infarction in a 28-3/7 weeks' gestation monochorionic twin
following intrauterine fetal demise of the co-twin at 20 weeks. This case
highlights the potential for thromboembolic events (TBEs) associated with
monochorionic gestations to include pulmonary TBE and infarction among the risks
for fetal morbidity and mortality.
PMID- 22070757
TI - Patients of parish nurses experience renewed spiritual identity: a grounded
theory study.
AB - AIM: This article is a report of a study of the process that patients of parish
nurses experience when they are provided spiritual care in Christian churches, a
context where patients and nurses share a common set of values. BACKGROUND: Many
studies have explored hospitalized patients' views and experiences of spiritual
care. However, little is known about the spiritual changes that patients
experience as they receive care from parish nurses. METHODS: The grounded theory
method was used to explore what patients of the parish nurses experienced in
spiritual care. Half of the participants were interviewed in 1999-2001 at the
time of the parish nurse interviews, and half in 2005. Audiotapes were
transcribed verbatim. Constant comparative methods were used to analyse the
incidents of receiving spiritual care. FINDINGS: Theoretical memos described how
the 'main concern' of the patients to resolve their health challenge resulted in
changes to their spiritual identity. Phases in the change process included:
facing a health challenge, finding a safe place, releasing burdens, changing
perspectives and joining or rejoining the family of faith. The essence the
patients experienced was an enhanced understanding of who they were in
God/Christ. CONCLUSION: The patient's spiritual challenge is to re-conceptualize
the self (as one who is known and loved by God) in the context of a particular
health challenge. Spiritual care helps them find a new equilibrium in faith.
PMID- 22070758
TI - Microwave spectra and gas phase structural parameters for N-hydroxypyridine-2(1H)
thione.
AB - The microwave spectrum for N-hydroxypyridine-2(1H)-thione (pyrithione) was
measured in the frequency range 6-18 GHz, providing accurate rotational constants
and nitrogen quadrupole coupling strengths for three isotopologues,
C(5)H(4)(32)S(14)NOH, C(5)H(4)(32)S(14)NOD, and C(5)H(4)(34)S(14)NOH. Pyrithione
was found to be in a higher concentration in the gas phase than the other
tautomer, 2-mercaptopyridine-N-oxide (MPO). Microwave spectroscopy is best suited
to determine which structure predominates in the gas phase. The measured
rotational constants were used to accurately determine the coordinates of the
substituted atoms and provided sufficient data to determine some of the important
structural parameters for pyrithione, the only tautomer observed in the present
work. The spectra were obtained using a pulsed-beam Fourier transform microwave
spectrometer, with sufficient resolution to allow accurate measurements of the
(14)N nuclear quadrupole hyperfine interactions. Ab initio calculations provided
structural parameters and quadrupole coupling strengths that are in very good
agreement with measured values. The experimental rotational constants for the
parent compound are A = 3212.10(1), B = 1609.328(7), and C = 1072.208(6) MHz,
yielding the inertial defect Delta(0) = -0.023 amu.A(2) for the
C(5)H(4)(32)S(14)NOH isotopologue. The observed near zero inertial defect clearly
indicates a planar structure. The least-squares fit structural analysis yielded
the experimental bond lengths R(O-H) = 0.93(2) A, R(C-S) = 1.66(2) A, and angle
(N-O-H) = 105(4) degrees for the ground state structure.
PMID- 22070759
TI - Attitudes of relatives and staff towards family intervention in forensic services
using Q methodology.
AB - Attitudes about family interventions have been identified as a possible reason
for the poor implementation of such treatments. The current study used Q
methodology to investigate the attitudes of relatives of forensic service users
and clinical staff towards family interventions in medium secure forensic units,
particularly when facilitated by a web camera. Eighteen relatives and twenty-nine
staff completed a sixty-one item Q sort to obtain their idiosyncratic views about
family intervention. The results indicated that relatives and staff mostly held
positive attitudes towards family intervention. Relatives showed some uncertainty
towards family intervention that may reflect the lack of involvement they receive
from the forensic service. Staff highlighted key barriers to successful
implementation such as lack of dedicated staff time for family work and few staff
adequately trained in family intervention. Despite agreement with the web-based
forensic family intervention technique and its benefits, both staff and relatives
predicted problems in the technique.
PMID- 22070760
TI - Cointegration methodology for psychological researchers: An introduction to the
analysis of dynamic process systems.
AB - Longitudinal data analysis focused on internal characteristics of a single time
series has attracted increasing interest among psychologists. The systemic
psychological perspective suggests, however, that many long-term phenomena are
mutually interconnected, forming a dynamic system. Hence, only multivariate
methods can handle such human dynamics appropriately. Unlike the majority of time
series methodologies, the cointegration approach allows interdependencies of
integrated (i.e., extremely unstable) processes to be modelled. This advantage
results from the fact that cointegrated series are connected by stationary long
run equilibrium relationships. Vector error-correction models are frequently used
representations of cointegrated systems. They capture both this equilibrium and
compensation mechanisms in the case of short-term deviations due to developmental
changes. Thus, the past disequilibrium serves as explanatory variable in the
dynamic behaviour of current variables. Employing empirical data from cognitive
psychology, psychosomatics, and marital interaction research, this paper
describes how to apply cointegration methods to dynamic process systems and how
to interpret the parameters under investigation from a psychological perspective.
PMID- 22070761
TI - Suboptimal light conditions negatively affect the heterotrophy of Planktothrix
rubescens but are beneficial for accompanying Limnohabitans spp.
AB - We examined the effect of light on the heterotrophic activity of the filamentous
cyanobacterium Planktothrix rubescens and on its relationship with the
accompanying bacteria. In situ leucine uptake by bacteria and cyanobacteria was
determined in a subalpine mesotrophic lake, and natural assemblages from the zone
of maximal P. rubescens abundances were incubated for 2 days at contrasting light
regimes (ambient, 100* increased, dark). Planktothrix rubescens from the photic
zone of the lake incorporated substantially more leucine, but some heterotrophic
activity was maintained in filaments from the hypolimnion. Exposure of
cyanobacteria to increased irradiance or darkness resulted in significantly lower
leucine incorporation than at ambient light conditions. Highest abundances and
leucine uptake of Betaproteobacteria from the genus Limnohabitans were found in
the accompanying microflora at suboptimal irradiance levels for P. rubescens or
in dark incubations. Therefore, two Limnohabitans strains (representing different
species) were co-cultured with axenic P. rubescens at different light conditions.
The abundances and leucine incorporation rates of both strains most strongly
increased at elevated irradiance levels, in parallel to a decrease of
photosynthetic pigment fluorescence and the fragmentation of cyanobacterial
filaments. Our results suggest that Limnohabitans spp. in lakes might profit from
the presence of physiologically stressed P. rubescens.
PMID- 22070762
TI - Nursing academicians' attitudes towards work life and their personality traits.
AB - The purpose of this study was to determine the relationship between attitudes of
nursing academicians towards job and organization and their personality traits.
The research included 287 nursing academicians who worked in 14 School of Nurses
in the Universities of Turkey. A descriptive information form, Job and
Organization Related Attitude Scale and Temperament and Character Inventory were
used as data collection tools. It has been determined that attitudes of nursing
academicians towards job and organization and their personality traits depended
upon socio-economic status, working year, academic title, the temperament feature
of novelty seeking and persistence, and the character feature of self
directedness and cooperativeness.
PMID- 22070764
TI - Free amino acid and phenolic contents and antioxidative and cancer cell
inhibiting activities of extracts of 11 greenhouse-grown tomato varieties and 13
tomato-based foods.
AB - Tomato (Solanum lycopersicum) plants synthesize nutrients, pigments, and
bioactive compounds that benefit nutrition and human health. The nature and
concentrations of these compounds are strongly influenced by varietal factors
such as size and color as well as by processing. To better understand how these
factors affect the concentration of nutrients and bioactive compounds, we
analyzed 11 Korean tomato varieties grown under the same greenhouse conditions
and 13 processed commercial tomato products for free amino acids and amino acid
metabolites by HPLC, for individual phenolics by HPLC-MS, for total phenolics by
the Folin-Ciocalteu method, for antioxidative activity by the FRAP and DPPH
methods, and for cancer cell-inhibiting effects by the MTT assay. We also
determined the protein content of the tomatoes by an automated Kjeldahl method.
The results show that there is a broad range of bioactive compounds across tomato
varieties and products. Small tomatoes had higher contents of bioactive compounds
than the large ones. The content of phenolic compounds of processed products was
lower than that of fresh tomatoes. Tomato extracts promoted growth in normal
liver (Chang) cells, had little effect in normal lung (Hel299) cells, mildly
inhibited growth of lung cancer (A549) cells, and first promoted and then, at
higher concentrations, inhibited growth in lymphoma (U937) cells. The
relationship of cell growth to measured constituents was not apparent. Dietary
and health aspects of the results are discussed.
PMID- 22070765
TI - Odds ratios simplified.
PMID- 22070766
TI - Optimisation and validation of a quantitative and confirmatory LC-MS method for
multi-residue analyses of beta-lactam and tetracycline antibiotics in bovine
muscle.
AB - A multi-residue method for the determination of the beta-lactam antibiotics
ampicillin, cefazolin, cloxacillin, dicloxacillin, nafcillin, oxacillin,
penicillin G, penicillin V and the tetracyclines chlotetracycline, tetracycline
and oxytetracycline was optimised and validated in bovine muscle. The method is
based on the extraction of the residues from muscle using water/acetonitrile
(2/8, v/v) with subsequent use of dispersive solid-phase C18 and hexane for
purification. Extracts were analysed using ultra-performance liquid
chromatography (UPLC-MS/MS) coupled with the mass spectrometer in positive
electrospray ionisation mode (ESI+) for all analytes. The method was validated
according to the requirements of European Commission Decision 2002/657/EC. The
validation results were obtained within the MRL range of 0-1.5 of the MRL, with
recoveries varying from 90% to 110% and CV < 20% (n = 54), except for
cloxacillin, dicloxacillin and nafcillin. However, matrix interference was
observed. The decision limit (CCalpha) ranged from 10% to 15% of the MRL. The
uncertainty measurement was estimated based on both bottom-up and top-down
strategies and the uncertainty values were found to be lower than 20% of the MRL.
The method has a simple extraction procedure whereby analytes are separated with
reasonable resolutions in a single 11-min chromatographic run. According to the
validation results, this method is suitable for monitoring beta-lactams and
tetracyclines according to National Program for Residue and Contaminant Control -
Brazil (NPRC-Brazil) in bovine muscle.
PMID- 22070763
TI - Molecular techniques in the biotechnological fight against halogenated compounds
in anoxic environments.
AB - Microbial treatment of environmental contamination by anthropogenic halogenated
organic compounds has become popular in recent decades, especially in the
subsurface environments. Molecular techniques such as polymerase chain reaction
based fingerprinting methods have been extensively used to closely monitor the
presence and activities of dehalogenating microbes, which also lead to the
discovery of new dehalogenating bacteria and novel functional genes. Nowadays,
traditional molecular techniques are being further developed and optimized for
higher sensitivity, specificity, and accuracy to better fit the contexts of
dehalogenation. On the other hand, newly developed high throughput techniques,
such as microarray and next-generation sequencing, provide unsurpassed detection
ability, which has enabled large-scale comparative genomic and whole-genome
transcriptomic analysis. The aim of this review is to summarize applications of
various molecular tools in the field of microbially mediated dehalogenation of
various halogenated organic compounds. It is expected that traditional molecular
techniques and nucleic-acid-based biomarkers will still be favoured in the
foreseeable future because of relative low costs and high flexibility. Collective
analyses of metagenomic sequencing data are still in need of information from
individual dehalogenating strains and functional reductive dehalogenase genes in
order to draw reliable conclusions.
PMID- 22070767
TI - Hopelessness and social comparison in Turkish adolescent with visual impairment.
AB - The purpose of this study was to determine visually impaired adolescents' level
of hopelessness and how they perceive of themselves socially compared to other
individuals. Another purpose of this study was to look for relationships between
hopelessness and social comparison in adolescents with visual impairment. The
research population was comprised of 130 students at a secondary school for the
visually impaired in Istanbul, Turkey. Our study demonstrated a weak relationship
between social comparison and hopelessness (r=-0.46, P < 0.000). The mean
hopelessness score for the adolescents with visual impairment was 4.59 +/- 3.12
(girls: 4.23 +/- 3.10; boys: 4.83 +/- 3.11) and social comparison score was 87.50
+/- 11.19 (girls: 88.67 +/- 11.62; boys: 86.60 +/- 10.85). Hopelessness and
social comparison were not affected by being blind from birth compared to later
or from being a full-time boarding student compared to being a day student. The
hopeless (Beck Hopelessness Scale score >= 9) adolescents' social comparison
scores were found lower than hopeful ones' scores (P < 000). Factors affecting
hopelessness and social comparison were feelings about their father, teacher and
school.
PMID- 22070768
TI - Measuring walking within and outside the neighborhood in Chinese elders:
reliability and validity.
AB - BACKGROUND: Walking is a preferred, prevalent and recommended activity for aging
populations and is influenced by the neighborhood built environment. To study
this influence it is necessary to differentiate whether walking occurs within or
outside of the neighborhood. The Neighborhood Physical Activity Questionnaire
(NPAQ) collects information on setting-specific physical activity, including
walking, inside and outside one's neighborhood. While the NPAQ has shown to be a
reliable measure in adults, its reliability in older adults is unknown.
Additionally its validity and the influence of type of neighborhood on
reliability and validity have yet to be explored. METHODS: The NPAQ walking
component was adapted for Chinese speaking elders (NWQ-CS). Ninety-six Chinese
elders, stratified by social economic status and neighborhood walkability, wore
an accelerometer and completed a log of walks for 7 days. Following the
collection of valid data the NWQ-CS was interviewer-administered. Fourteen to 20
days (average of 17 days) later the NWQ-CS was re-administered. Test-retest
reliability and validity of the NWQ-CS were assessed. RESULTS: Reliability and
validity estimates did not differ with type of neighborhood. NWQ-CS measures of
walking showed moderate to excellent reliability. Reliability was generally
higher for estimates of weekly frequency than minutes of walking. Total weekly
minutes of walking were moderately related to all accelerometry measures.
Moderate-to-strong associations were found between the NWQ-CS and log-of-walks
variables. The NWQ-CS yielded statistically significantly lower mean values of
total walking, weekly minutes of walking for transportation and weekly frequency
of walking for transportation outside the neighborhood than the log-of-walks.
CONCLUSIONS: The NWQ-CS showed measurement invariance across types of
neighborhoods. It is a valid measure of walking for recreation and frequency of
walking for transport. However, it may systematically underestimate the duration
of walking for transport in samples that engage in high levels of this type of
walking.
PMID- 22070769
TI - Acupuncture for depression: exploring model validity and the related issue of
credibility in the context of designing a pragmatic trial.
AB - INTRODUCTION: Evaluating care that is not credible to its practitioners or
patients will result in a gap between evidence and practice and the potential
value, or harm, of the intervention may be underestimated. Our aim was to develop
a pragmatic trial that would have better model validity and credibility than
trials to date in this clinical area. METHODS: In-depth interviews; a nominal
consensus technique and five arm pilot trial conducted in UK primary care using
counseling and usual general practitioner (GP) care as comparisons for
acupuncture. FINDINGS: Patients with long standing, severe illness that had not
responded, or partially responded to conventional treatments may be interested in
using acupuncture and participating in a trial. Using a database method to
recruit, pilot trial patients were mostly severely depressed (87.5%); chronically
ill (60% with 3+ previous episodes), with high levels of comorbidity, and
medication use. Acupuncture was as credible to pilot trial participants as usual
GP care and more credible than counseling: most patients (62.5%) preferred to be
allocated to acupuncture, rating it more highly at baseline than counseling or
usual GP care as potentially able to benefit their depression (P = 0.002).
Disparities were identified in the working models of acupuncturists and
counselors that suggest inherent differences between interventions in terms of
the process and intended potential outcomes of therapy, as well as the
interaction between patients and therapists. CONCLUSION: The Medical Research
Council (MRC) framework with its phased, mixed method approach has helped to
develop research that has better model validity than trials to date in this
field. The next phase of research will need to involve acupuncture and counseling
practitioners to help researchers to develop realistic and credible care packages
for a full-scale trial, where patients are likely to be severely and chronically
ill.
PMID- 22070770
TI - Noninvasive prenatal diagnosis of common fetal chromosomal aneuploidies by
maternal plasma DNA sequencing.
AB - OBJECTIVE: To develop a new bioinformatic method in the noninvasive prenatal
identification of common fetal aneuploidies using massively parallel sequencing
on maternal plasma. METHODS: Massively parallel sequencing was performed on
plasma DNA samples from 108 pregnant women (median gestation: 12(+5) week)
immediately before chorionic villus sampling (CVS) or amniocentesis. Data were
analysed using a novel z-score method with internal reference chromosome. The
diagnostic accuracies of the fetal karyotyping status were compared against two
previously reported z-score methods--one without adjustment and the other with GC
correction. RESULTS: A total of 32 cases with fetal aneuploidy were confirmed by
conventional karyotyping, including 11 cases of Trisomy 21, 10 cases of Trisomy
18, 2 cases of Trisomy 13, 8 cases of Turner syndrome (45, XO) and one case of
Klinefelter syndrome (47, XXY). Using the z-score method without reference
adjustment, the detection rate for Trisomy 21, Trisomy 18, Trisomy 13, Turner
syndrome, and Klinefelter's syndrome is 100%, 40%, 0%, 88% and 0% respectively.
Using the z-score method with GC correction, the detection rate increased to 100%
for Trisomy 21, 90% for Trisomy 18, 100% for Trisomy 13. By using the z-score
method with internal reference, the detection rate increased to 100% for all
aneuploidies. The false positive rate was 0% for all three methods. CONCLUSION:
This massively parallel sequencing-based approach, combined with the improved z
score test methodology, enables the prenatal diagnosis of most common
aneuploidies with a high degree of accuracy, even in the first trimester of
pregnancy.
PMID- 22070771
TI - Evaluation of the training and support received by facilitators of a cancer
education and support programme in New Zealand.
AB - This study evaluates the training and support provided for facilitators who
deliver the Living Well programme. This education and support programme, offered
by the Cancer Society of New Zealand since 1991, aims to demystify cancer and its
treatments, and develop self-efficacy of cancer patients and their supporters. A
purposeful sample of 17 facilitators from five regions across New Zealand
participated in semi-structured interviews. Quantitative data on demographics,
qualifications and history with the programme were subjected to a frequency
analysis. A thematic content analysis was conducted on qualitative data regarding
the experiences of the facilitators with the training programme and the level and
quality of subsequent support. Facilitators (aged 35-65, 16 of whom were women),
came from a variety of socio-economic and educational backgrounds with a
significant number having health-related roles and qualifications. Facilitator
training was seen as relevant, thorough, effective and good preparation for the
demands of the role. The pairing of more experienced staff and volunteers to co
facilitate was a particularly successful aspect of the programme. The main
drawbacks were limited access to support, lack of supervision and a perceived
lack of appreciation from the organisation for the volunteer facilitators.
PMID- 22070772
TI - B-cell depletion extends the survival of GTKO.hCD46Tg pig heart xenografts in
baboons for up to 8 months.
AB - Xenotransplantation of genetically modified pig organs offers great potential to
address the shortage of human organs for allotransplantation. Rejection in Gal
knockout (GTKO) pigs due to elicited non-Gal antibody response required further
genetic modifications of donor pigs and better control of the B-cell response to
xenoantigens. We report significant prolongation of heterotopic alpha Galactosyl
transferase "knock-out" and human CD46 transgenic (GTKO.hCD46Tg) pig cardiac
xenografts survival in specific pathogen free baboons. Peritransplant B-cell
depletion using 4 weekly doses of anti-CD20 antibody in the context of an
established ATG, anti-CD154 and MMF-based immunosuppressive regimen prolonged
GTKO.hCD46Tg graft survival for up to 236 days (n = 9, median survival 71 days
and mean survival 94 days). B-cell depletion persisted for over 2 months, and
elicited anti-non-Gal antibody production remained suppressed for the duration of
graft follow-up. This result identifies a critical role for B cells in the
mechanisms of elicited anti-non-Gal antibody and delayed xenograft rejection.
Model-related morbidity due to variety of causes was seen in these experiments,
suggesting that further therapeutic interventions, including candidate genetic
modifications of donor pigs, may be necessary to reduce late morbidity in this
model to a clinically manageable level.
PMID- 22070773
TI - Using timelines as part of recovery-focused practice in psychosis.
AB - The value of timelines is discussed with regard to the promotion of recovery,
particularly emphasizing relapse signature and concordance in medicine-taking.
Recovery approaches in contemporary mental health care rely on understanding
motivations, aspirations and decision making. In the authors' experience
timelines are a useful way of working together with people to make sense of
experiences, of which they may only have partial or intermittent awareness. The
mental health workers' philosophical approach, the tools available to them and
their skills and attributes, shape the therapeutic relationship. Timelines are a
useful tool in helping reach the kind of joint understanding within a therapeutic
relationship which characterizes concordance. As this relationship develops,
decision making including that around medicine-taking and relapse signature, can
be based on this shared understanding. Timeline examples (Tables 2 and 3) based
on the fictitious experiences of Philip, a young man diagnosed with
schizophrenia, show their application in recovery-focused practice. Further
research is needed to enhance the limited evidence base underpinning timelines as
a method of facilitating concordance.
PMID- 22070774
TI - Detection of foot-and-mouth disease virus RNA by reverse transcription loop
mediated isothermal amplification.
AB - A reverse transcription loop-mediated isothermal amplification (RT-LAMP) assay
was developed for foot-and-mouth disease virus (FMDV) RNA. The amplification was
able to finish in 45 min under isothermal condition at 64 degrees C by employing
a set of four primers targeting FMDV 2B. The assay showed higher sensitivity than
RT-PCR. No cross reactivity was observed from other RNA viruses including
classical swine fever virus, swine vesicular disease, porcine reproductive and
respiratory syndrome virus, Japanese encephalitis virus. Furthermore, the assay
correctly detected 84 FMDV positive samples but not 65 FMDV negative specimens.
The result indicated the potential usefulness of the technique as a simple and
rapid procedure for the detection of FMDV infection.
PMID- 22070775
TI - Acid-sensing ion channel 3 mediates peripheral anti-hyperalgesia effects of
acupuncture in mice inflammatory pain.
AB - BACKGROUND: Peripheral tissue inflammation initiates hyperalgesia accompanied by
tissue acidosis, nociceptor activation, and inflammation mediators. Recent
studies have suggested a significantly increased expression of acid-sensing ion
channel 3 (ASIC3) in both carrageenan- and complete Freund's adjuvant (CFA)
induced inflammation. This study tested the hypothesis that acupuncture is
curative for mechanical hyperalgesia induced by peripheral inflammation. METHODS:
Here we used mechanical stimuli to assess behavioral responses in paw and muscle
inflammation induced by carrageenan or CFA. We also used immunohistochemistry
staining and western blot methodology to evaluate the expression of ASIC3 in
dorsal root ganglion (DRG) neurons. RESULTS: In comparison with the control, the
inflammation group showed significant mechanical hyperalgesia with both
intraplantar carrageenan and CFA-induced inflammation. Interestingly, both
carrageenan- and CFA-induced hyperalgesia were accompanied by ASIC3 up-regulation
in DRG neurons. Furthermore, electroacupuncture (EA) at the ST36 rescued
mechanical hyperalgesia through down-regulation of ASIC3 overexpression in both
carrageenan- and CFA-induced inflammation. CONCLUSIONS: In addition, electrical
stimulation at the ST36 acupoint can relieve mechanical hyperalgesia by
attenuating ASIC3 overexpression.
PMID- 22070777
TI - Integrating fluctuating nitrate uptake and assimilation to robust homeostasis.
AB - Nitrate is an important nitrogen source used by plants. Despite of the
considerable variation in the amount of soil nitrate, plants keep cytosolic
nitrate at a homeostatic controlled level. Here we describe a set of homeostatic
controller motifs and their interaction that can maintain robust cytosolic
nitrate homeostasis at fluctuating external nitrate concentrations and nitrate
assimilation levels. The controller motifs are divided into two functional
classes termed as inflow and outflow controllers. In the presence of high amounts
of environmental nitrate, the function of outflow controllers is associated to
efflux mechanisms removing excess of nitrate from the cytosol that is taken up by
low-affinity transporter systems (LATS). Inflow controllers on the other hand
maintain homeostasis in the presence of a high demand of nitrate by the cell
relative to the amount of available environmental nitrate. This is achieved by
either remobilizing nitrate from a vacuolar store, or by taking up nitrate by
means of high-affinity transporter systems (HATS). By combining inflow and
outflow controllers we demonstrate how nitrate uptake, assimilation, storage and
efflux are integrated to a regulatory network that maintains cytosolic nitrate
homeostasis at changing environmental conditions.
PMID- 22070776
TI - Disruption of Trichoderma reesei cre2, encoding an ubiquitin C-terminal
hydrolase, results in increased cellulase activity.
AB - BACKGROUND: The filamentous fungus Trichoderma reesei (Hypocrea jecorina) is an
important source of cellulases for use in the textile and alternative fuel
industries. To fully understand the regulation of cellulase production in T.
reesei, the role of a gene known to be involved in carbon regulation in
Aspergillus nidulans, but unstudied in T. reesei, was investigated. RESULTS: The
T. reesei orthologue of the A. nidulans creB gene, designated cre2, was
identified and shown to be functional through heterologous complementation of a
creB mutation in A. nidulans. A T. reesei strain was constructed using gene
disruption techniques that contained a disrupted cre2 gene. This strain, JKTR2-6,
exhibited phenotypes similar to the A. nidulans creB mutant strain both in carbon
catabolite repressing, and in carbon catabolite derepressing conditions.
Importantly, the disruption also led to elevated cellulase levels. CONCLUSIONS:
These results demonstrate that cre2 is involved in cellulase expression. Since
the disruption of cre2 increases the amount of cellulase activity, without severe
morphological affects, targeting creB orthologues for disruption in other
industrially useful filamentous fungi, such as Aspergillus oryzae, Trichoderma
harzianum or Aspergillus niger may also lead to elevated hydrolytic enzyme
activity in these species.
PMID- 22070778
TI - Lethal osteogenesis imperfecta-like condition with cutis laxa and arterial
tortuosity in MZ twins due to a homozygous fibulin-4 mutation.
AB - This case report involved male infants of a size consistent with the estimated
gestational age of 31 weeks. The mother of the twins was a 27-year-old, G4P3
woman with limited prenatal care who presented for cesarean delivery.
Resuscitation efforts were initiated and continued until the infants became
asystolic. Postmortem radiographs showed innumerable fractures of the limbs,
ribs, and skull in various states of healing with callus formation; hence, the
fractures were of prenatal origin. Despite the fractures, the growth of the long
bones was not impaired. The radiographic findings were initially thought to
represent osteogenesis imperfecta type IIC. However, there were also vascular
anomalies not explained by this phenotype. Grossly, all arteries were elongated,
thickened, and tortuous. The carotids, descending aorta, and iliac arteries were
redundant to such an extent that they produced corkscrew patterns. There was also
cutis laxa with loose, redundant skin over the entire body. Collagen genes did
not show any mutations; however, when it was suggested Fibulin-4 be studied
because of overlap with the condition described by Dasouki and colleagues in
2007, a homozygous premature stop codon mutation was found in that gene.
PMID- 22070779
TI - Richard F. W. Bader: a true pioneer.
PMID- 22070780
TI - Autobiography of Richard F. W. Bader.
PMID- 22070783
TI - Miniaturized extinction culturing is the preferred strategy for rapid isolation
of fast-growing methane-oxidizing bacteria.
AB - Methane-oxidizing bacteria (MOB) have a large potential as a microbial sink for
the greenhouse gas methane as well as for biotechnological purposes. However,
their application in biotechnology has so far been hampered, in part due to the
relative slow growth rate of the available strains. To enable the availability of
novel strains, this study compares the isolation of MOB by conventional dilution
plating with miniaturized extinction culturing, both performed after an initial
enrichment step. The extinction approach rendered 22 MOB isolates from four
environmental samples, while no MOB could be isolated by plating. In most cases,
extinction culturing immediately yielded MOB monocultures making laborious
purification redundant. Both type I (Methylomonas spp.) and type II (Methylosinus
sp.) MOB were isolated. The isolated methanotrophic diversity represented at
least 11 different strains and several novel species based on 16S rRNA gene
sequence dissimilarity. These strains possessed the particulate (100%) and
soluble (64%) methane monooxygenase gene. Also, 73% of the strains could be
linked to a highly active fast-growing mixed MOB community. In conclusion,
miniaturized extinction culturing was more efficient in rapidly isolating
numerous MOB requiring little effort and fewer materials, compared with the more
widely applied plating procedure. This miniaturized approach allowed
straightforward isolation and could be very useful for subsequent screening of
desired characteristics, in view of their future biotechnological potential.
PMID- 22070784
TI - Comparison of salt stress resistance genes in transgenic Arabidopsis thaliana
indicates that extent of transcriptomic change may not predict secondary
phenotypic or fitness effects.
AB - Engineered abiotic stress resistance is an important target for increasing
agricultural productivity. There are concerns, however, regarding possible
ecological impacts of transgenic crops. In contrast to the first wave of
transgenic crops, many abiotic stress resistance genes can initiate complex
downstream changes. Transcriptome profiling has been suggested as a comprehensive
non-targeted approach to examine the secondary effects. We compared phenotypic
and transcriptomic effects of constitutive expression of genes intended to confer
salt stress tolerance by three different mechanisms: a transcription factor,
CBF3/DREB1a; a metabolic gene, M6PR, for mannitol biosynthesis; and the Na+/H+
antiporter, SOS1. Transgenic CBF3, M6PR and SOS1 Arabidopsis thaliana were grown
together in the growth chamber, greenhouse and field. In the absence of salt,
M6PR and SOS1 lines performed comparably with wild type; CBF3 lines exhibited
dwarfing as reported previously. All three transgenes conferred fitness advantage
when subjected to 100 mm NaCl in the growth chamber. CBF3 and M6PR affected
transcription of numerous abiotic stress-related genes as measured by Affymetrix
microarray analysis. M6PR additionally modified expression of biotic stress and
oxidative stress genes. Transcriptional effects of SOS1 in the absence of salt
were smaller and primarily limited to redox-related genes. The extent of
transcriptome change, however, did not correlate with the effects on growth and
reproduction. Thus, the magnitude of global transcriptome differences may not
predict phenotypic differences upon which environment and selection act to
influence fitness. These observations have implications for interpretation of
transcriptome analyses in the context of risk assessment and emphasize the
importance of evaluation within a phenotypic context.
PMID- 22070785
TI - Mental health problems and medically unexplained physical symptoms in adult
survivors of childhood sexual abuse: an integrative literature review.
AB - People sexually abused in childhood are at higher risk than non-abused people of
medically unexplained symptoms such as irritable bowel syndrome or chronic pain,
with mental ill health and high healthcare use. Friction and frustration, with
high, unproductive healthcare costs, can often develop between these patients and
health-care professionals such as general practitioners and nursing staff. The
aim of this integrative literature review was to seek a sound evidence base from
which to develop helpful interventions, improve relationships and identify gaps
in knowledge. It found some theories about interconnections among childhood
sexual abuse mental health and medically unexplained symptoms, such as
'somatization' or 'secondary gain', were used prejudicially, stigmatizing
survivors. Conflicting theories make more difficult the search for effective
interventions. Researchers rarely collaborated with sexual abuse specialists.
Emphasis on identifying key risk factors, rather than providing support or
alleviating distress, and lack of studies where survivors voiced their own
experiences, meant very few targeted interventions for this group were proposed.
Recommendations to enable effective interventions include making abuse survivors
the prime study focus; qualitative research with survivors, to assist doctors and
nursing staff with sensitive care; case histories using medical records;
prospective studies with sexually abused children; support for the growing field
of neurobiological research.
PMID- 22070786
TI - Parameter estimation of multiple item response profile model.
AB - Multiple item response profile (MIRP) models are models with crossed fixed and
random effects. At least one between-person factor is crossed with at least one
within-person factor, and the persons nested within the levels of the between
person factor are crossed with the items within levels of the within-person
factor. Maximum likelihood estimation (MLE) of models for binary data with
crossed random effects is challenging. This is because the marginal likelihood
does not have a closed form, so that MLE requires numerical or Monte Carlo
integration. In addition, the multidimensional structure of MIRPs makes the
estimation complex. In this paper, three different estimation methods to meet
these challenges are described: the Laplace approximation to the integrand;
hierarchical Bayesian analysis, a simulation-based method; and an alternating
imputation posterior with adaptive quadrature as the approximation to the
integral. In addition, this paper discusses the advantages and disadvantages of
these three estimation methods for MIRPs. The three algorithms are compared in a
real data application and a simulation study was also done to compare their
behaviour.
PMID- 22070788
TI - Thoraco-omphalopagus conjoined twins in Chamois-coloured domestic goat kids.
AB - Conjoined twins have been observed in a wide range of mammalian and non-mammalian
species; they are considered to be more common in bovine, less frequent in sheep
and pig and extremely rare in horse and goat. A pair of female conjoined twins
was delivered from a 2-year-old Chamois-coloured domestic goat. Post-mortem
examination revealed two identical and symmetrical twins, fused from the
manubrium sterni to the region just caudal to the umbilicus. The rib cages were
conjoined in the ventral plane with a single set of pericardial, pleural and
peritoneal cavities. Internal examination revealed the presence of a common
diaphragm and a single enlarged liver. Within a single central pericardium, two
malformed hearts were present. Reports on this type of congenital duplication in
goats have not been found in the literature. Thoracopagus and thoraco
omphalopagus are the most common types of conjoined twins in human beings and are
associated with the highest mortality because of the frequent incidence of
complex cardiac anatomy.
PMID- 22070787
TI - Alcohol and risk of admission to hospital for unintentional cutting or piercing
injuries at home: a population-based case-crossover study.
AB - BACKGROUND: Cutting and piercing injuries are among the leading causes of
unintentional injury morbidity in developed countries. In New Zealand, cutting
and piercing are second only to falls as the most frequent cause of unintentional
home injuries resulting in admissions to hospital among people aged 20 to 64
years. Alcohol intake is known to be associated with many other types of injury.
We used a case-crossover study to investigate the role of acute alcohol use
(i.e., drinking during the previous 6 h) in unintentional cutting or piercing
injuries at home. METHODS: A population-based case-crossover study was conducted.
We identified all people aged 20 to 64 years, resident in one of three regions of
the country (Greater Auckland, Waikato and Otago), who were admitted to public
hospital within 48 h of an unintentional non-occupational cutting or piercing
injury sustained at home (theirs or another's) from August 2008 to December 2009.
The main exposure of interest was use of alcohol in the 6-hour period before the
injury occurred and the corresponding time intervals 24 h before, and 1 week
before, the injury. Other information was collected on known and potential
confounders. Information was obtained during face-to-face interviews with cases,
and through review of their medical charts. RESULTS: Of the 356 participants, 71%
were male, and a third sustained injuries from contact with glass. After
adjustment for other paired exposures, the odds ratio for injury after consuming
1 to 3 standard drinks of alcohol during the 6-hour period before the injury
(compared to the day before), compared to none, was 1.77 (95% confidence interval
0.84 to 3.74), and for four or more drinks was 8.68 (95% confidence interval 3.11
to 24.3). Smokers had higher alcohol-related risks than non-smokers. CONCLUSIONS:
Alcohol consumption increases the odds of unintentional cutting or piercing
injury occurring at home and this risk increases with higher levels of drinking.
PMID- 22070789
TI - European veterinary dissertations.
PMID- 22070790
TI - Effects of immediate or delayed addition of platelet additive solution on the in
vitro quality of apheresis platelets.
AB - BACKGROUND: There is little knowledge how different hold times of
hyperconcentrated platelet (PLT) suspensions (HPSs) before the addition of
platelet additive solution (PAS) might affect PLT quality. We compared the in
vitro quality of single-donor PLT concentrates (SDPs) with immediate or delayed
PAS addition and studied the quality of collected concurrent plasma (CP). STUDY
DESIGN AND METHODS: We collected 6*10(11) PLTs in 175 of mL plasma and CP from 31
donors. The HPSs were split into two parts, with 162 mL of modified PAS III (PAS
IIIM) added immediately (0hr-SDP) or 2 hours later (2hr-SDP). Final SDPs had a
targeted concentration of 1.2*10(12) PLTs/L and a PAS proportion of 65%. On Days
1, 5, and 7 we determined glucose and lactate concentration, pH, P-selectin
expression, hypotonic shock response (HSR), and extent of shape change (ESC).
Clotting Factor V (FV) and VIII (FVIII) activities and D-dimer concentration were
determined in CP and donor. RESULTS: Glucose utilization, lactate production, and
pH were similar for both kinds of products. Low P-selectin expression indicated
no relevant PLT activation during storage. HSR and ESC were similarly well
preserved. Recoveries of FV and FVIII were 100.0+/-14.0 and 98.6+/-14.9%,
respectively. Concentrations of D-dimers in the donor and CP were 173.7+/-90.1
and 177.6+/-91.2 ng/dL, respectively. CONCLUSIONS: Adding PAS immediately or 2
hours after collection does not result in different in vitro quality of PLTs
stored up to 7 days. The good recovery of clotting factors with no signs of
activation indicates a good quality of CP.
PMID- 22070791
TI - Monitoring and documentation of side effects from depot antipsychotic medication:
an interdisciplinary audit of practice in a regional mental health service.
AB - This audit reviewed current practice within a rural mental health service area on
the monitoring and documentation of side effects of antipsychotic depot
medication. A sample of 60 case files, care plans and prescriptions were audited,
which is 31% of the total number of service users receiving depot injections in
the mental health service region (n= 181). The sample audited had a range of
diagnoses, including: schizophrenia, schizoaffective disorder, bipolar affective
disorder, depression, alcoholic hallucinosis and autism. The audit results
revealed that most service users had an annual documented medical review and a
documented prescription. However, only five (8%) case notes examined had
documentation recorded describing the condition of the injection site, and
alternation of the injection site was recorded in only 28 (47%) case notes. No
case notes examined had written consent to commence treatment recorded. In 57
(95%) of case notes, no documentation of recorded information on the depot and on
side effects was given. The failure to monitor and record some blood tests was
partly attributed to a lack of clarity regarding whose responsibility it was. A
standardized checklist has been developed as a result of the audit and this will
be introduced by all teams across the service.
PMID- 22070792
TI - Causes of primary amenorrhea: a report of 295 cases in Thailand.
AB - AIMS: The aim of this study was to determine the prevalence of etiologic causes
of primary amenorrhea in Thailand. METHODS: A retrospective study was performed
using 295 complete medical records of women with primary amenorrhea who attended
the Gynecologic Endocrinology Clinic, Department of Obstetrics and Gynecology,
Faculty of Medicine Siriraj Hospital, Mahidol University, Thailand from September
1992 to February 2009. RESULTS: The three most common causes of primary
amenorrhea were Mullerian agenesis (39.7%), gonadal dysgenesis (35.3%), and
hypogonadotropic hypogonadism (9.2%). Amongst 88 cases of gonadal dysgenesis, 59
cases (67.0%) incurred abnormal karyotype including 45X (n=21), mosaic (n=31),
and others (n=7). CONCLUSIONS: The present study has currently been the largest
case series of primary amenorrhea. Mullerian agenesis is the most prevalent cause
in our study, while gonadal dysgenesis is the most common cause in the largest
scale study in the USA. Hence, racial, genetic and environmental factors could
play roles in the cause of primary amenorrhea.
PMID- 22070793
TI - Fetal and maternal heart rate confusion during intra-partum monitoring:
comparison of trans-abdominal fetal electrocardiogram and Doppler telemetry.
AB - OBJECTIVE: To compare intra-partum performance of trans-abdominal
electrocardiogram with Doppler telemetry. METHODS: In this prospective
longitudinal study, simultaneous monitoring with trans-abdominal ECG and Doppler
telemetry was performed in 41 uncomplicated term singleton pregnancies during
labour. RESULTS: The overall success rate for FHR monitoring was similar between
trans-abdominal ECG and Doppler telemetry (88.5 +/- 16.7% vs 89.4 +/- 7.6%),
except for the second stage of labour. A significantly higher rate of confusion
(p < 0.001) between fetal and maternal heart was found for Doppler telemetry (4.5
+/- 4.5%) compared with trans-abdominal ECG (1.3 +/- 1.9%), especially in the
second stage and during maternal movements. CONCLUSIONS: Trans-abdominal ECG
monitoring is feasible, with comparable success rate to traditional Doppler
telemetry, without interfering with maternal mobility or requiring midwife
intervention. The reduction in maternal?fetal heart rate confusion from trans
abdominal ECG could reduce incorrect obstetric interpretation.
PMID- 22070794
TI - CA19-9-producing early gastric adenocarcinoma arising in hyperplastic foveolar
polyp: a very unique resection case.
AB - Here we report the first case of carbohydrate antigen (CA) 19-9-producing early
gastric adenocarcinoma arising in polyp. A solitary pedunculated polyp lesion of
the stomach, measuring 26 * 20 * 20 mm, was noticed in a 76-year-old Japanese
woman due to an abdominal disorder, associated with a markedly high serum CA19-9
level (2,172.6 U/ml). After endoscopic mucosal resection was performed, the CA19
9 level was drastically decreased and normalized. The scanning view of
immunohistochemical staining of CA19-9 exhibited a focal, not diffuse, positive
expression in the hyperplastic epithelium and, especially, in the irregular and
fused tubular glands and the mucinous material secreted into the dilated glands.
In particular, microscopic examination of the strongly CA19-9-positive areas
showed structurally atypical epithelium containing mildly to focal moderately
enlarged nuclei and prominent nucleoli with loss of cellular polarity, estimated
as adenocarcinoma. No stromal invasion was evident. Immunohistochemically,
distinct nuclear stainings for p53 and Ki-67 were seen, occasionally conforming
to the CA19-9-positive atypical cells, respectively, confirmed by double
immunostaining. These hyperplastic and atypical cells were classified into the
pure gastric phenotype by mucin histochemical methods. Based on these features,
we finally made a conclusive diagnosis of CA19-9-producing in situ well
differentiated adenocarcinoma of gastric type arising in hyperplastic foveolar
polyp. We suggest that the markedly high serum CA 19-9 level could be indicative
of carcinoma in polyp at the very least.
PMID- 22070795
TI - 'It's easier just to separate them': practice constructions in the mental health
care and support of younger people with dementia and their families.
AB - There is no standard model of specialist care for younger people with dementia
(onset before the age of 65 years) and specialist service provision varies widely
throughout the UK. In order to gain a clearer picture about ways in which
clinical staff work with younger people with dementia, semi-structured interviews
were conducted with dementia care staff working in the north-west of England.
Interviews focused on exploring daily working practices and the meaning placed on
clinical decision making when involving family/carers in routine practice.
Content analysis generated three core themes: (1) Maintaining Separation: how
clinical staff conceptualize the meaning of 'family' in the provision of dementia
care services; (2) Providing Practical Help: the focus in care provision on
assisting families with aspects of daily life such as finances, education and
physical care; and (3) Acknowledging the Family Context: how staff understand and
interact with family members in an attempt to initiate care, utilizing
biographically informed practice. By understanding how staff view their role in
providing younger people with dementia with effective, high-quality dementia
care, it is anticipated that a more family-centred approach can be integrated
into already established patterns of working that more holistically meet the
needs of this group.
PMID- 22070796
TI - Clinical efficacy of aniracetam, either as monotherapy or combined with
cholinesterase inhibitors, in patients with cognitive impairment: a comparative
open study.
AB - INTRODUCTION: Dementia constitutes an increasingly prevalent cognitive disorder
with serious socioeconomic implications. AIMS: In the present study, we aimed to
evaluate the efficacy of aniracetam, either as monotherapy or combined with
cholinesterase inhibitors (ChEIs), in terms of several neuropsychological
parameters, in a considerable number of patients with dementia. RESULTS: In our
prospective, open-label study, we enrolled a total of 276 patients (mean age 71
+/- 8 years, 95 males) with cognitive disorders. Our study population comprised
four groups: no treatment group (n = 75), aniracetam monotherapy group (n = 58),
ChEIs monotherapy group (n = 68), and group of combined treatment (n = 68).
Patients were examined with validated neuropsychological tests at baseline, 3, 6,
and 12 months of treatment. In patients treated with aniracetam, all studied
parameters were adequately maintained at 6 and 12 months, while emotional state
was significantly improved at 3 months. In patients treated with ChEIs, we
observed a significant cognitive deterioration at 12 months. The comparison
between aniracetam and ChEIs in patients with relatively mild dementia (15 <=
MMSE <= 25) revealed a significantly better cognitive performance with aniracetam
at 6 months and improved functionality at 3 months. Comparing aniracetam
monotherapy with combined treatment in the same population, aniracetam performed
better in the cognitive scale at 6 months, and displayed a notable tendency for
enhanced mood at 12 months and improved functionality at 6 months. CONCLUSIONS:
Our findings indicate that aniracetam (a nootropic compound with glutamatergic
activity and neuroprotective potential) is a promising option for patients with
cognitive deficit of mild severity. It preserved all neuropsychological
parameters for at least 12 months, and seemed to exert a favorable effect on
emotional stability of demented patients.
PMID- 22070797
TI - Working while receiving chemotherapy: a survey of patients' experiences and
factors that influence these.
AB - The purpose of this study was to estimate the number of patients who continue to
work when undergoing ambulatory chemotherapy and to identify personal or
treatment-related factors that influence this. Patients undergoing final cycles
of adjuvant chemotherapy for breast or colorectal cancer or first-line
chemotherapy for lymphoma at two cancer treatment centres were approached to take
part in a cross sectional survey (n= 55, RR 55%). Sixty-four per cent (n= 35) of
respondents were working when cancer was diagnosed. Fifty-four per cent (n= 19)
of respondents were working when chemotherapy began but as treatment progressed
only 29% (n= 10) continued to work in any capacity. The most important
influencing factor when making decisions about work was the need to concentrate
on looking after oneself. Overall, respondents found their employers and
colleagues supportive but there was some evidence they became less supportive as
treatment progressed. While this was a small study it highlights the need for
health care professionals to understand patient's needs and wishes in relation to
work while undergoing chemotherapy by including this issue as part of routine
assessment. Strategies to allow those who wish to continue to work during
treatment should be put in place early to support this.
PMID- 22070798
TI - The quality of the working alliance between chronic psychiatric patients and
their case managers: process and outcomes.
AB - The concept of a working alliance is rooted in psychotherapy and has been studied
extensively in that field. Much less research has been conducted into working
alliances between chronic psychiatric patients and their case managers. The aim
of this review was to identify what is known about the working alliance between
chronic psychiatric patients and their case managers. An extensive survey of the
literature produced 14 articles for this review. The results of studies conducted
show that a good working alliance has positive effects on the functioning of
patients, and that the quality of the alliance depends on both patient
characteristics and the behaviour of the case managers. The results also indicate
that the working alliance is largely determined in the first 3 months of the
contact. Further research into the development of working alliances is necessary.
PMID- 22070799
TI - Bystander activation of iNKT cells occurs during conventional T-cell
alloresponses.
AB - It is well established that iNKT cells can be activated by both exogenous and a
limited number of endogenous glycolipids. However, although iNKT cells have been
implicated in the immune response to transplanted organs, the mechanisms by which
iNKT cells are activated in this context remain unknown. Here we demonstrate that
iNKT cells are not activated by allogeneic cells per se, but expand, both in
vitro and in vivo, in the presence of a concomitant conventional T-cell response
to alloantigen. This form of iNKT activation was found to occur independently of
TCR-glycolipid/CD1d interactions but rather was a result of sequestration of IL-2
produced by conventional alloreactive T cells. These results show for the first
time that IL-2, produced by activated conventional T cells, can activate iNKT
cells independently of glycolipid/CD1d recognition. Therefore, we propose that
the well-documented involvement of iNKT cells in autoimmunity, the control of
cancer as well as following transplantation need not involve recognition of
endogenous or exogenous glycolipids but alternatively may be a consequence of
specific adaptive immune responses.
PMID- 22070800
TI - An examination of the health and wellbeing of childless women: a cross-sectional
exploratory study in Victoria, Australia.
AB - BACKGROUND: Childlessness among Australian women is increasing. Despite this,
little is known about the physical and mental health and wellbeing of childless
women, particularly during the reproductive years. The aims of this exploratory
study were to: 1) describe the physical and mental health and wellbeing and
lifestyle behaviours of childless women who are currently within the latter part
of their reproductive years (30 - 45 years of age); and 2) compare the physical
and mental health and wellbeing and lifestyle behaviours of these childless women
to Australian population norms. METHODS: A convenience sample of 50 women aged
between 30 and 45 years were recruited to participate in a computer assisted
telephone interview. The SF-36 Health Survey v2 and lifestyle indicators were
collected in regards to women's health and wellbeing. Data were analysed using
descriptive statistics, t-tests for independent sample means and 95% confidence
intervals for the difference between two independent proportions. RESULTS:
Childless women in this study reported statistically significant poorer general
health, vitality, social functioning and mental health when compared to the adult
female population of Australia. With the exception of vegetable consumption,
lifestyle behaviours were similar for the childless sample compared to the adult
female population in Australia. CONCLUSIONS: Childless women may be at a greater
risk of experiencing poor physical and mental health when compared to the
Australian population. A woman's health and wellbeing during her reproductive
years may have longer term health consequences and as such the health and
wellbeing of childless women requires further investigation to identify and
address implications for the provision of health (and other social) services for
this growing population group.
PMID- 22070801
TI - A histochemical comparison of methylene-blue/acid fuchsin with hematoxylin and
eosin for differentiating calcification of stromal tissue.
AB - Benign and malignant connective tissue tumors consist of a fibrous component that
contains varying amounts of one or more types of bone or other calcified tissue.
Diagnosis of these connective tissue tumors often poses challenges for
pathologists, because it is difficult to differentiate the organic matrix of
osteoid from hyalinized stroma. To establish a definitive diagnosis, it sometimes
is advantageous to demonstrate histologically by special staining either the type
of calcification or the presence or absence of calcification. We compared the
efficacy of methylene blue-acid fuchsin (MB-AF) to hematoxylin and eosin (H-E)
for connective tissue tumors suspected to contain calcifications and to devise an
optimal staining technique for calcification that would be specific, simple, and
cost- and time-effective. We examined 50 benign and 45 malignant connective
tissue tumors that were suspected to contain calcifications. Sections were
stained with H-E and MB-AF and evaluated. MB-AF stained bone pink, which
contrasted with blue soft tissue. After MB-AF staining, osteoid was faint pink in
a blue stromal background. Osteoid was not visualized in H-E stained sections; it
was stained the same shade of pink as stromal tissue. Dystrophic calcification
and cementum could be identified equally well using either staining technique,
but contrast was better after H-E staining. MB-AF staining of bone was comparable
to H-E staining and could be used effectively to stain bone and osteoid. MB-AF is
a simple, single step procedure. It also stains cementum blue with faint blue
rimming and dystrophic calcification bluish-pink, but it cannot be used as a
specific stain for types of calcification other than bone and osteoid.
PMID- 22070802
TI - Removal of prion infectivity by affinity ligand chromatography during
OctaplasLG(r) manufacturing--results from animal bioassay studies.
AB - BACKGROUND: OctaplasLG((r)) is a 2nd-generation virus inactivated pooled plasma
for infusion. Prions are removed by the principle of chromatography, utilizing an
affinity ligand gel (LG) developed for binding of prion proteins and their
infectivity. The goal of this study was to verify, using the gold standard animal
bioassay system, whether or not prion infectivity can be removed by the LG
affinity step under conditions used in the routine manufacturing process.
MATERIALS AND METHODS: Aliquots of pooled plasma were spiked with a
microsomal/cytosolic (MIC) fraction of brain-derived hamster-adapted scrapie 263K
and subjected to the OctaplasLG((r)) manufacturing process. Validated Western
blot tests and animal bioassays studies were performed to determine the
logarithmic reduction factors (RF) and the prion infectivity binding capacity.
RESULTS: Bioassay studies demonstrated different logarithmic RFs (i.e. 1.73 and
0.76 log(10)) at two different plasma-to-resin ratios, the latter one
representing the actual manufacturing ratio of 100:1, which can be explained by
the differences in the study design. However, both bioassay studies showed a
reproducible and high prion infectivity binding capacity of >=5.64 log(10)
ID(50)/ml gel. CONCLUSION: Bioassay studies confirmed the capacity of the LG to
bind brain-derived MIC prion proteins spiked into plasma. Even through
infectivity was still detected following passage over the LG, this can be
attributed to the high loads used in the study design, and the binding capacity
of the LG still ensures a significant safety margin--binding the prion agents at
the levels of prion infectivity that might be present in plasma and beyond.
PMID- 22070803
TI - Influence of customized composite resin fibreglass posts on the mechanics of
restored treated teeth.
AB - AIM: To evaluate the mechanical behaviour of the dentine/cement/post interface of
a maxillary central incisor using the finite element method and to compare the
stresses exerted using conventional or customized post cementation techniques.
METHODOLOGY: Four models of a maxillary central incisor were created using
fibreglass posts cemented with several techniques: FGP1, a 1-mm-diameter
conventionally cemented post; CFGP1, a 1-mm-diameter customized composite resin
post; FGP2, a 2-mm-diameter conventionally cemented post; CFGP2, a 2-mm-diameter
customized composite resin post. A distributed load of 1N was applied to the
lingual aspect of the tooth at 45 degrees to its long axis. Additionally,
polymerization shrinkage of 1% was simulated for the resin cement. The surface of
the periodontal ligament was fixed in the three axes (X =Y = Z = 0). The maximum
principal stress (sigma(max) ), minimum principal stress (sigma(min)), equivalent
von Mises stress (sigma(vM) ) and shear stress (sigma(shear)) were calculated for
the dentine/cement/post interface using finite element software. RESULTS: The
peak of sigma(max) for the cement layer occurred first in CFGP1 (1.77 MPa),
followed by CFGP2 (0.99), FGP2 (0.44) and FGP1 (0.2). The shrinkage stress
(sigma(vM) ) of the cement layer occurred as follows: FGP1 (35 MPa), FGP2 (34),
CFGP1 (30.7) and CFGP2 (30.1). CONCLUSIONS: Under incisal loading, the cement
layer of customized posts had higher stress concentrations. The conventional
posts showed higher stress because of polymerization shrinkage.
PMID- 22070804
TI - Practical synthesis of 2-keto-3-deoxy-D-glycero-D-galactononulosonic acid (KDN).
AB - Reaction of propargylmagnesium bromide with 2,3;5,6-di-O-isopropylidene-D
mannonolactone followed by highly stereoselective reduction of the so-formed
lactol with sodium borohydride gives a syn-diol from which practical syntheses of
2-keto-3-deoxy-D-glycero-D-galactononulosonic acid (KDN) and various partially
protected derivatives have been achieved all of which feature the oxidative
unmasking of the alpha-keto acid moiety from the alkyne.
PMID- 22070806
TI - Systemic and central immunity in Alzheimer's disease: therapeutic implications.
AB - Clinical pharmaceutical trials aimed at modulating the immune system in
Alzheimer's Disease have largely focused on either dampening down central
proinflammatory innate immunity or have manipulated adaptive immunity to
facilitate the removal of centrally deposited beta amyloid. To date, these trials
have had mixed clinical therapeutic effects. However, a number of clinical
studies have demonstrated disturbances of both systemic and central innate
immunity in Alzheimer's Disease and attention has been drawn to the close
communication pathways between central and systemic immunity. This paper
highlights the need to take into account the potential systemic effects of drugs
aimed at modulating central immunity and the possibility of developing novel
therapeutic approaches based on the manipulation of systemic immunity and its
communication with the central nervous system.
PMID- 22070824
TI - Immunoprecipitation of equine CD molecules using anti-human MABs previously
analyzed by flow cytometry and immunohistochemistry.
AB - Earlier studies investigating the cross-reactivity of antibodies submitted to the
HLDA8 had used flow cytometry as a method of choice to screen mAbs for reactivity
with equine leukocytes, including two-color flow-cytometry to characterize the
lymphocyte population they detect. In addition, immuno-histochemistry (IHC) was
used to detect distribution of positive cells in lymphoid tissue sections. In
this study we performed immunoprecipitation (IP) to complement the previous
results and add valuable information regarding the molecules detected by the
cross-reacting antibodies. Surface molecules from primary equine PBMC or the
equine cell line T8888 were biotinylated prior to precipitation to determine the
molecular weight of the corresponding molecules in a western blot using
streptavidin-AP. 21 out of 24 mAbs precipitated the molecules with a MW
corresponding to its human orthologue. Positive mAbs were directed against CD2,
CD5, CD11a, CD11b, CD14, CD18, CD21, CD44, CD83, CD91, CD172a, MHCI and MHCII.
Three mAbs directed against CD49d, CD163, and CD206 which were unambiguously
identified earlier by flow cytometry failed to immunoprecipitate the
corresponding CD molecule. MAbs detecting CD molecules which are expressed
internally like CD68 and mAbs of IgM class could not be included into this
approach.
PMID- 22070805
TI - Childhood internalizing behaviour: analysis and implications.
AB - The concept of 'internalizing behaviour' reflects a child's emotional or
psychological state and typically includes depressive disorders, anxiety
disorders, somatic complaints and teenage suicide. Genetic and environmental
causes have been largely implicated, although research continues to explore
social etiological factors. Some research suggests females may be especially
vulnerable to internalizing disorders, while data across ethnicities are somewhat
variable. Regarding treatment, cognitive-behavioural therapies and use of
pharmacological approaches (i.e. selective serotonin reuptake inhibitors) have
both shown great promise in reducing symptoms of internalizing disorders.
However, given the role of the social environment, prevention programmes aimed at
reducing exposure to drugs, violence/abuse and environmental toxins are highly
important. Internalizing disorders are associated with a host of deleterious
outcomes (e.g. school drop-out, substance use and potentially suicide) as well as
psychopathological outcomes (e.g. co-morbid anxiety or depression, externalizing
disorders - including suicide). Children with mental health problems suffer
educationally and are more likely to become entangled in the justice and welfare
systems. Clearly, early treatment and prevention programmes for internalizing
disorders need to be a priority from a public health perspective as well as from
a family and community perspective.
PMID- 22070825
TI - Somatic hypermutation leads to diversification of the heavy chain immunoglobulin
repertoire in cattle.
AB - The availability of unique variable (VH), diversity (D), and joining (JH) gene
segments in the vertebrate germline determines the extent to which a primary
immunoglobulin (Ig) repertoire can be generated through combinatorial
rearrangement. Although bovine D segments possess unusual properties, the
diversity of the primary Ig heavy chain (IgH) repertoire in cattle is restricted
by the dominance of a single family of germline VH genes of limited number and
diversity. Cattle therefore must employ other diversification strategies in order
to generate a functional IgH repertoire, the main candidates being gene
conversion and somatic hypermutation. In considering these possibilities, we
predicted that if somatic hypermutation was active during B lymphocyte
development, the process would introduce nucleotide substitutions to the VDJ exon
and also non-coding region lying downstream of the rearranged JH segment. In
contrast, our expectation was that gene conversion would show a greater tendency
to confine modification to the IgH coding sequence, leaving intron regions
substantially unmodified. An analysis of rearranged IgH sequences from cattle of
different ages revealed that the diversification of germline sequences could be
observed in very young calves and that substitution frequency increased with age.
The age-dependent accumulation of mutations was particularly apparent in the
second IgH complementarity-determining region (CDR2). Single base substitutions
were found to predominate, with purines targeted more frequently than pyrimidines
and transitions favoured over transversions. In non-coding regions, mutations
were detected at a normalised frequency that was indistinguishable from that
observed in CDR2. These data are consistent with a process of IgH diversification
driven predominantly by somatic hypermutation.
PMID- 22070826
TI - Differences in the peripheral immune response between lambs and adult ewes
experimentally infected with Mycobacterium avium subspecies paratuberculosis.
AB - The peripheral immune response, and its relationship with the outcome of the
infection according to the age of the animal, has been investigated in young
lambs and adult ewes experimentally infected with two different doses of
Mycobacterium avium subspecies paratuberculosis (Map). Sixteen 1.5-month-old
lambs out of 24 and 23 adult ewes out of 30 were orally challenged with an ovine
Map field isolate. Animals were divided into two groups: HD, infected with a
higher dose of Map and LD, with a lower dose. The remaining animals were used as
uninfected control groups. Animals were euthanized at 110-120 and 210-220 days
post-infection (dpi). Along the experiment, the humoral response and the specific
and non-specific IFN-gamma production were assessed. An intradermal skin test
(IDT), using avian PPD, was also performed at 90 and 195 dpi. Samples of
intestine and related lymphoid tissue were taken for histological,
bacteriological and PCR studies. The Ab and IFN-gamma production as well as the
IDT response appeared earlier and with more intensity in the adult ewes compared
to the lambs. The basal non-specific IFN-gamma levels increased only in the adult
ewes from the HD group. Animals from the LD and HD groups were positive to PCR;
however, lesions consistent with paratuberculosis were exclusively observed in
the HD group, both in lambs and in adult sheep, but they only progressed to more
advanced stages in the former. These results suggest that the peripheral immune
response induced by Map infection in the adult ewes is more efficient to control
the progression of the infection than in lambs. This could likely be due to the
existence of previous contacts with Map or other mycobacteria in the adult sheep
compared to the young lambs.
PMID- 22070828
TI - T-wave alternans immediately after an acute myocardial infarction.
PMID- 22070827
TI - Plasma ADAMTS13, von Willebrand factor (VWF) and VWF propeptide profiles in
patients with DIC and related diseases.
AB - ADAMTS13, endothelial von Willebrand factor (VWF) and related proteins are
involved in the pathogenesis of some life threatening systemic thrombotic
coagulopathies. Changes of plasma ADAMTS13 activity in thrombotic
thrombocytopenic purpura (TTP) is well known but is also involved in septic
disseminated intravascular coagulation (DIC). Here we investigated the ADAMTS13
activity, VWF and VWF propeptide (VWFpp) antigens in 69 patients with DIC, 143
with non-DIC, 21 with thrombotic thrombocytopenic purpura (TTP) and 23 with
atypical hemolytic uremic syndrome (aHUS) for diagnosis of DIC. The plasma
ADAMTS13 activity was significantly low in patients with DIC, and the plasma
levels of VWF and VWFpp antigens, were the highest in these patients, but there
were no significant differences in the plasma VWFpp levels between the patients
with DIC and those with aHUS. The difference in the plasma ADAMTS13 activity, the
VWF and VWFpp antigens between DIC and non-DIC cases was significant in those
with infectious and malignant diseases, but the difference in the VWFpp/ VWF
ratio were significant only in subjects with infectious diseases. As an indicator
for prognosis, the plasma levels of VWFpp were significantly higher in non
survivors than in survivors. Then, VWFpp/ VWF ratio and VWFpp/ADAMATS13 ratio
will be potent informative indicators in DIC. These findings suggest that
ADAMTS13/VWF profiles may have important roles in the pathogenesis of DIC, and
that ADAMTS13 and VWFpp are useful indicators for the diagnosis and prognosis of
DIC.
PMID- 22070829
TI - Crosslinked cellulose developed by CuAAC, a route to new materials.
AB - This work deals with a novel and simple approach of cellulose reticulation using
the Huisgen 1,3-dipolar cycloaddition, also known as a 'click chemistry'
reaction, catalysed by copper and developed by Sharpless. This reaction is
carried out by the addition of a true alkyne and an azide to form a triazole ring
connecting the two polysaccharide chains. The addition of two different functions
will allow us to control the crosslinking reaction, to avoid intra-chain
reactions and thus to promote the creation of an enhanced three-dimensional
network. Azidodeoxycellulose was obtained with a DS of 1.5 from tosyl cellulose.
The propargylation reaction in aqueous media leading to propargylcellulose with a
DS of 1.3. CuAAC reaction was performed between azidodeoxycellulose and
propargylcellulose in a DMSO/H(2)O system using CuSO(4), 5H(2)O/sodium ascorbate
as catalytic system. The modified cellulose products were analysed by infrared,
NMR and X-ray photoelectron spectroscopies and resulting material was analysed
using scanning electron microscopy (SEM).
PMID- 22070830
TI - Structures of building blocks in clusters of sweetpotato amylopectin.
AB - phi,beta-Limit dextrins of domains and clusters of sweetpotato amylopectin were
subjected to extensive hydrolysis by Bacillus amyloliquefaciens alpha-amylase to
release building blocks and reveal the internal structures of clusters. The
composition of building blocks was analyzed by size-fractionation, gel permeation
chromatography, and high performance anion exchange chromatography. Different
domains and clusters had structurally similar building blocks with around three
chains per building block and internal chain length around 2.9. Singly branched
and doubly branched building blocks were the largest and second largest groups in
the clusters. Type A clusters had more large building blocks and contained 5-6
blocks per cluster with an inter-block chain length (IB-CL) of 7.0, whereas type
B clusters had less large building blocks and contained 3-4 blocks per cluster
with IB-CL 7.9. Models on how the building blocks could be organized into type A
and type B clusters are discussed.
PMID- 22070831
TI - Extraction of cellulose-synthesizing activity of Gluconacetobacter xylinus by
alkylmaltoside.
AB - This study reinvestigated the synthesis of cellulose in vitro with a well-known
cellulose-producing bacterium, Gluconacetobacter xylinus. Alkylmaltoside
detergents, which are more frequently used in recent structural biological
researches, are uniquely used in this study to solubilize cellulose-synthesizing
activity from the cell membrane of G. xylinus. Activity comparable to that
previously reported is obtained, while the synthesized cellulose is crystallized
into a non-native polymorph of cellulose (cellulose II) as well as the previous
studies. In spite of this failure to recover the native activity to synthesize
cellulose I microfibril in vitro, the product is a polymer with a degree of
polymerization greater than 45 as determined by matrix-assisted laser
desorption/ionization time-of-flight mass spectrometry (MALDI-TOFMS). It was thus
concluded that the established protocol can solubilize cellulose-synthesizing
activity of G. xylinus with polymerizing activity.
PMID- 22070832
TI - Photochemical conversion of the o-nitrobenzyl-C-glucoside to a sugar lactone.
AB - A new family of activated glycosidic compounds has been designed and synthesized:
(2,3,4,6-tetra-O-acetyl-beta-D-glucopyranosyl)-2-nitrophenylmethane (1). It is
stable in conditions commonly used for synthesis, and it can be converted to a
sugar lactone derivative merely by photoirradiation (lambda=365 nm): 2,3,4,6
tetra-O-acetyl-D-glucono-1,5-lactone (2). A mechanism for the reaction is
proposed. The photochemical conversion of 1 in the presence of methanol has also
been demonstrated, giving rise to methyl 2,3,4,6-tetra-O-acetyl-D-gluconate (3).
PMID- 22070833
TI - Reconstruction of defects of maxillary sinus wall after removal of a huge
odontogenic lesion using prebended 3D titanium-mesh and CAD/CAM technique.
AB - A 63 year-old male with a huge odontogenic lesion of sinus maxillaris was treated
with computer-assisted surgery. After resection of the odontogenic lesion, the
sinus wall was reconstructed with a prebended 3D titanium-mesh using CAD/CAM
technique. This work provides a new treatment device for maxillary reconstruction
via rapid prototyping procedures.
PMID- 22070834
TI - 2011 ACCF/AHA/SCAI Guideline for Percutaneous Coronary Intervention. A report of
the American College of Cardiology Foundation/American Heart Association Task
Force on Practice Guidelines and the Society for Cardiovascular Angiography and
Interventions.
PMID- 22070836
TI - 2011 ACCF/AHA Guideline for Coronary Artery Bypass Graft Surgery. A report of the
American College of Cardiology Foundation/American Heart Association Task Force
on Practice Guidelines. Developed in collaboration with the American Association
for Thoracic Surgery, Society of Cardiovascular Anesthesiologists, and Society of
Thoracic Surgeons.
PMID- 22070838
TI - Preface.
PMID- 22070839
TI - Load redistribution after desmotomy of the accessory ligament of the deep digital
flexor tendon in adult horses.
AB - Summary An analysis of joint moments was used to study the biomechanical
implications, load redistribution and kinematic pattern following desmotomy of
the accessory ligament of the deep digital flexor tendon (AL) in adult horses.
Recordings of 6 sound horses were made before and 10 days after desmotomy of the
AL of the right forelimb at the walk and the trot. Kinematic recordings of the
right forelimb and the left distal forelimb were made using the CODA-3 system.
Kinetic recordings of the ground reaction forces in both forelimbs were made
using a Kistler force plate simultaneously with the kinematic recordings.
Radiographic localisation of the CODA-3 markers in both distal limbs allowed an
analysis of coffin and fetlock joint moments, moment arms and forces in the
digital flexor tendons. No transfer of load from the operated to the
contralateral limb was found. In the operated limb the total joint moment of the
coffin joint decreased substantially due to a reduced moment arm of the vertical
ground reaction force component during the entire stance phase, while the fetlock
joint moment decreased only slightly. To compensate for the loss of AL function,
the superficial digital flexor tendon (SDFT) took a higher load during midstance,
while at the end of the stance phase, the deep digital flexor tendon (DDFT)
carried a higher load with a marked load peak just before heel off. While the
compensation was adequate during midstance (no changes in maximal hyperextension
of the fetlock joint), a prolonged hyperextension of the fetlock joint together
with a rapid flexion at the start of the swing phase indicated that the DDFT was
unable to compensate completely for the loss of AL function. It was concluded
that the changes in total joint moments and increases in loading of the SDFT and
DDFT allow locomotion without serious overloading of the compensating tendons
following AL desmotomy.
PMID- 22070840
TI - Biomechanical effects of rocker-toed shoes in sound horses.
AB - Summary In many Western European countries rocker-toed shoes are applied
routinely in sound horses. This is in contrast to most Anglo-Saxon countries
where rocker-toed shoes are used almost exclusively for corrective or orthopaedic
shoeing. The purposes of rocker-toed shoes are to hasten or facilitate breakover,
or to encourage breakover to occur at the centre of the toe. This study compares
the biomechanical effects of rocker-toed shoes with standard flat shoes in twelve
sound Dutch warmblood horses, using a modified CODA-3 gait analysis system and a
force plate. The variables analysed were speed of breakover, the moment of force
in the coffin joint which is related to the 'ease' of breakover, the flight arc
of the hoof in the sagittal and horizontal planes and some more general linear,
temporal and angular stride characteristics. No differences between rocker-toed
shoes and standard flat shoes were found with respect to the duration or ease of
breakover or the proximity of breakover to the centre of the toe. It is concluded
that rocker-toed shoes do not influence the stride characteristics of sound Dutch
warmblood horses, and the findings of this study showed no objective grounds for
the use of rocker-toed shoes in sound horses. This study was financially
supported by Interpolis Paardenverzekeringen.
PMID- 22070841
TI - Are kinematics of the walk related to the locomotion of a warmblood horse at the
trot?
AB - Summary In purchase examinations or at studbook selection sales the locomotor
apparatus of horses is judged both at walk and trot. To evaluate whether
kinematics of the walk are related to the locomotion at the trot, fore and hind
limb movements of a group of 24 26-month-old warmbloods were recorded at walk and
trot on a treadmill (1.6 and 4 m/s) using a modified CODA-3 gait analysis system.
The intralimb coordination patterns at walk and trot were compared, and temporal
and spatial variables of these gaits were related. Stride and stance durations
(s) were shorter at the trot, while the stance distance (m) and swing duration
(s) remained the same. Moreover, the pattern of the joint angle-time curves at
walk and trot looked rather similar, though shifted to the left at trot because
of the shorter relative stance duration. During the stance phase, the shoulder,
stifle and tarsal joints were more flexed throughout, while the carpal and
fetlock joints were more maximally extended in the trot than in the walk. In the
swing phase, the elbow, carpal, stifle, and tarsal joints were more flexed
because of the higher 'operating' speed at the trot compared to the walk. All
other kinematic variables at the trot could be predicted from the mean +/- 1sd of
the values recorded at the walk. Moreover, nearly all kinematic variables at the
walk correlated well with those at the trot, while variables indicating gait
quality of the walk were similar to the ones identified previously for the trot.
In conclusion, kinematics recorded at the walk in a group of horses were similar
to and thus predictive for locomotion at the trot providing the decreased stance
duration and the increased speed of the trot are taken into consideration.
PMID- 22070842
TI - Thrombosis of the aorta and the caudal arteries in the horse; Additional
diagnostics and a new surgical treatment.
AB - Summary The prognosis of aortic-iliac thrombosis (TAI) is usually considered to
be poor, although affected horses are reported to have recovered following
treatment with sodium gluconate. This paper presents some diagnostic techniques
to monitor the development of hypoxemia in the diseased limb and to visualise the
extension of the thrombosis into the femoral artery. Also, a surgical technique
using a Fogarty(r) thrombectomy catheter for partial or total removal of thrombi
to restore blood flow, is described. One horse recovered completely, allowing
it to resume its former career, the other horse improved. The preliminary results
of surgical interference in horses with TAI are promising.
PMID- 22070843
TI - Computed tomography and treatment of chronic temporomandibular joint arthritis in
a sheep.
AB - Summary Diagnostic imaging and treatment of destructive right temporomandibular
joint (TMJ) arthritis in a sheep are discussed. Computed tomography (CT) appears
to be an attractive technique for imaging TMJ lesions in sheep. Surgical
curettage of a deformed TMJ can result in functional recovery.
PMID- 22070844
TI - The diagnostic nerve block of the sesamoidean nerve: Desensitized structures and
possible clinical applications.
AB - Summary The sesamoidean nerve branches from the palmar nerve and runs towards
the proximal sesamoid bone. To study the structures innervated by this nerve, a
technique for blocking it was developed. The effect of this nerve block was
determined in ponies with an induced lameness located in the lateral proximal
sesamoid bone (5 ponies) or in the distal part of the lateral branch of the
suspensory ligament (5 ponies), and in 10 horses with clinical sesamoidosis. A
lameness provoked by the implantation of an expansion plug in the proximal
sesamoid bone could, to a large extent, be anaesthetized by a sesamoidean nerve
block. However, lameness due to a local tendonitis in the lateral branch of the
suspensory ligament, was only partially eliminated by a sesamoidean nerve block.
In horses with sesamoidosis the lameness improved, on average, by about 30%. It
is concluded that the sesamoidean nerve contains sensory fibres that innervate
the proximal sesamoid bone. The sesamoidean nerve block is highly specific for
pain originating from the proximal sesamoid bone including the adjacent distal
part of the extensor branch of the suspensory ligament. In horses suffering from
sesamoidosis the main source of pain appears not to be located in the proximal
sesamoid bone. The specificity of the sesamoidean nerve block makes it a useful
technique for differentiating the site of a lameness located in the fetlock area.
In full compliance with the Act on Animal Experiments, this study was evaluated
and approved by the Ethical Committee of the Utrecht Veterinary Faculty.
PMID- 22070845
TI - The effect of the high palmar nerve block and the ulnar nerve block on lameness
provoked by a collagenase-induced tendonitis of the lateral branch of the
suspensory ligament.
AB - Summary Controversy exists with respect to the innervation of the suspensory
ligament (SL) in the fore limb of the horse. It is uncertain whether this
structure is exclusively innervated by branches of the ulnar nerve or also to
some extent by median nerve branches. Ground Reaction Forces (GRF) were
determined in horses before and after the induction of a tendonitis in the
lateral branch of the SL by the injection of collagenase, and before and after a
high palmar and an ulnar block respectively. The high palmar block succeeded in
bringing all GRF variables back to their original values which the ulnar block
did not. It is concluded that the SL is innervated by branches of both the ulnar
and the median nerves, with the median nerve being relatively more important for
the distal part of the SL.
PMID- 22070846
TI - The effect of diagnostic regional nerve blocks in the fore limb on the locomotion
of clinically sound horses.
AB - Summary The practice of applying diagnostic nerve blocks relies on the
assumption that the blocks in themselves do not alter the horse' s gait. This
assumption has recently been challenged. In the present paper a series of
sequential nerve blocks (low palmar digital block, abaxial sesamoid block, high
palmar block) was applied to clinically sound horses. Before and after each block
the gait was clinically scored and Ground Reaction Forces (GRF) were measured.
Clinical scoring did not change after any of the nerve blocks. None of the GRF
variables changed significantly except for a slight alteration of the time at
which the longitudinal GRF changed from a decelerative to a propulsive force. It
is concluded that the basic assumption that diagnostic nerve blocks do not
essentially alter the gait of a sound horse is correct.
PMID- 22070847
TI - Bioavailability of pivampicillin and ampicillin trihydrate administered as an
oral paste in horses.
AB - Summary Pivampicillin was administered as an oral paste to five healthy adult
horses, and an oral paste with ampicillin trihydrate was administered to three
horses. Pivampicillin was administered to both starved and fed horses, ampicillin
trihydrate was administered to fed horses only: The dose of pivampicillin was
19.9 mg/kg, and the dose of ampicillin trihydrate was 17 mg/kg. Both doses are
equivalent on a molecular basis to 15 mg/kg ampicillin. Ampicillin concentrations
in plasma were determined up to 24 hours after administration. After
administration of pivampicillin to starved and fed horses the mean areas under
the plasma concentration-time curve (AUCs) were 23.0 and 19.3 MUg.h.ml(-1),
respectively. After administration of ampicillintrihydrate to fed horses the mean
AUC was 0.7 MUg.h.ml(-1). The peak plasma concentrations were 4.8, 6.7, and 0.1
MUg/ml, after administration of pivampicillin to starved and fed horses and of
ampicillin trihydrate to fed horses, respectively. There was no statistically
significant difference in peak plasma concentration or AUC between pivampicillin
administered to starved or fed horses. It is concluded that pivampicillin
administered as an oral paste at a dose of 19.9 mg/kg gives satisfactory plasma
concentrations in both starved and fed horses, whereas ampicillin trihydrate
produces negligible plasma concentrations. Pivampicillin binds to feedstuffs at
the pH found in the horse's stomach and small intestine. After incubation for 6h
at pH 6, approximately 15% remains in solution, and after incubation for 3h at pH
1.9, approximately 40% remains in solution. Ampicillin, which binds to feedstuffs
to a lesser extent, has a lower bioavailability than pivampicillin. Therefore,
binding to feedstuffs does not seem to be a critical factor in the absorption of
aminopenicillins.
PMID- 22070849
TI - Factors affecting assessment of severity of aggressive incidents: using the Staff
Observation Aggression Scale - Revised (SOAS-R) in Japan.
AB - The aim of this study is to investigate factors associated with overall
judgements of aggression severity as provided by ward nurses, using the Japanese
language version of the Staff Observation Aggression Scale - Revised (SOAS-R).
Nurses who observed 326 aggressive incidents involving psychiatric inpatients at
five mental health facilities in Japan provided their assessments of the incident
severity both on the established rating scale, the SOAS-R, and on a visual
analogue scale (VAS), a one-item scale to indicate overall aggression severity.
To evaluate the factors influencing the VAS severity scores, a multiple
regression analysis was performed, in which consumer, nurse and ward
characteristics were added consecutively, along with SOAS-R severity scores as
independent variables. SOAS-R scores explained 17.6% of the VAS severity scores.
Independently from the SOAS-R scores, the gender and age of the aggressive
consumers (adjusted R(2) = 10.0%), as well as the gender of the nurses who
reported the aggression (adjusted R(2) = 4.1%), each explained VAS severity score
to a significant degree. Apart from the SOAS-R scores, consumer and nurse
characteristics appeared to influence the overall judgements of severity of
aggressive incidents, which may be connected to decisions about the use of
coercive measures, such as seclusion/restraint or forced medication.
PMID- 22070850
TI - Antioxidant, anti-inflammatory and cytotoxicity of Phaleria macrocarpa (Boerl.)
Scheff Fruit.
AB - BACKGROUND: Phaleria macrocarpa (Scheff.) Boerl (Thymelaceae) originates from
Papua Island, Indonesia and grows in tropical areas. The different parts of the
fruit of P. macrocarpa were evaluated for antioxidant, anti-inflammatory, and
cytotoxic activities. METHODS: Phaleria macrocarpa fruit were divided into
pericarp, mesocarp and seed. All parts of the fruit were reflux extracted with
methanol. The antioxidant activity of the extracts were characterized in various
in vitro model systems such as FTC, TBA, DPPH radical, reducing power and NO
radical. Anti-inflammatory assays were done by using NO production by macrophage
RAW 264.7 cell lines induced by LPS/IFN-gamma and cytotoxic activities were
determined by using several cancer cell lines and one normal cell line RESULTS:
The results showed that different parts (pericarp, mesocarp, and seed) of
Phaleria macrocarpa fruit contain various amount of total phenolic (59.2 +/-
0.04, 60.5 +/- 0.17, 47.7 +/- 1.04 mg gallic acid equivalent/g DW) and flavonoid
compounds (161.3 +/- 1.58, 131.7 +/- 1.66, 35.9 +/- 2.47 mg rutin equivalent/g
DW). Pericarp and mesocarp showed high antioxidant activities by using DPPH
(71.97%, 62.41%), ferric reducing antioxidant power (92.35%, 78.78%) and NO
scavenging activity (65.68%, 53.45%). Ferric thiocyanate and thiobarbituric acid
tests showed appreciable antioxidant activity in the percentage hydroperoxides
inhibitory activity from pericarp and mesocarp in the last day of the assay.
Similarly, the pericarp and mesocarp inhibited inducible nitric oxide synthesis
with values of 63.4 +/- 1.4% and 69.5 +/- 1.4% in macrophage RAW 264.7 cell lines
induced by LPS/IFN-gamma indicating their notable anti-inflammatory potential.
Cytotoxic activities against HT-29, MCF-7, HeLa and Chang cell lines were
observed in all parts. CONCLUSIONS: These results indicated the possible
application of P. macrocarpa fruit as a source of bioactive compounds, potent as
an antioxidant, anti inflammatory and cytotoxic agents.
PMID- 22070851
TI - Fibroblast growth factor 23 and the bone-vascular axis: lessons learned from
animal studies.
AB - Calcification of arteries and cardiac valves is observed commonly in dialysis
patients and represents a major determinant of the heightened cardiovascular risk
observed during chronic kidney disease (CKD) progression. Recent advances from
clinical and basic science studies suggest that vascular calcification should be
considered a systemic disease in which pathologic processes occurring in the bone
and kidney contribute to calcium deposition in the vasculature. Among the factors
potentially involved in the vascular-bone axis dysregulation associated with CKD,
there now is increasing interest in the role of the phosphaturic hormone
fibroblast growth factor 23 (FGF-23). Increased FGF-23 plasma levels are observed
with a decrease in kidney function and predict the risk of future cardiovascular
mortality. However, clinical data are still unclear about whether a direct
pathogenetic effect of FGF-23 on vascular/kidney/bone health exists. In the last
few years, a series of basic science studies, performed using engineered mice,
have contributed important pathophysiologic information about FGF-23 activities.
This review summarizes findings from these studies and discusses the potential
role of FGF-23 during the pathologic interplay between kidney, vessels, and bone
in CKD.
PMID- 22070852
TI - Parental influence on adolescent smoking cessation: is there a gender difference?
AB - We examined the association of parental disapproval of adolescent smoking and
parental smoking status, with past smoking quit behaviors among daily-smoking,
high school-aged adolescents, and also tested whether these associations differ
for boys and girls. Adolescent regular smokers (N=253) completed questions on
smoking behaviors, past smoking cessation behaviors, parental disapproval of
smoking, and parental smoking. Past smoking cessation behaviors were defined as
"the number of quit attempts that lasted longer than 24 hours" and "the longest
number of days of abstinence". Logistic regression analyses showed that for all
adolescents, even having one smoking parent was associated with decreased odds of
being abstinent for longer than 2 days. However, for girls, not having any
smoking parents was associated with greater duration of abstinence (>2 weeks).
Having both parents, compared with not having any parents disapprove of smoking,
was associated with greater number of quit attempts in boys, but this effect was
not found in girls. The results indicate that parents have a salient role in
adolescent smoking cessation behaviors, and this association appears to be gender
specific. However, further research is needed to understand the mechanisms that
explain gender differences in parental influence on adolescent smoking cessation
behaviors.
PMID- 22070853
TI - Single stage and multistage classification models for the prediction of liver
fibrosis degree in patients with chronic hepatitis C infection.
AB - Predicting significant fibrosis or cirrhosis in patients with hepatitis C virus
has persistently preoccupied the research agenda of many specialized research
centers. Many studies have been conducted to evaluate the use of readily
available laboratory tests to predict significant fibrosis or cirrhosis with the
purpose to substantially reduce the number of biopsies performed. Although many
of them reported significant predictive values of several serum markers for the
diagnosis of cirrhosis, none of these diagnostic techniques was successful in
accurately predicting early stages of liver fibrosis. Therefore, in this study a
single stage classification model and a multistage stepwise classification model
based on Neural Network, Decision Tree, Logistic Regression, and Nearest
Neighborhood clustering, have been developed to predict individual's liver
fibrosis degree. Results showed that the area under the receiver operator curve
(AUROC) values of the multistage model ranged from 0.874 to 0.974 which is a
higher range than what is reported in current researches with similar conditions.
PMID- 22070854
TI - Risk factors associated with altered fetal growth in patients with pregestational
diabetes mellitus.
AB - OBJECTIVE: To assess the risk factors for abnormal fetal growth in patients with
pregestational diabetic mellitus (DM). METHODS: A retrospective study was
performed in 336 patients with pregestational DM. Small-for-gestational-age (SGA)
and large-for-gestational-age (LGA) infants were defined as newborns with birth
weights < 10th percentile and > 90th percentile, respectively. Logistic
regression analysis was performed to identify risk factors for SGA and LGA.
RESULTS: Multivariate analysis of the patients with pregestational DM revealed a
significant difference between patients who delivered SGA and appropriate-for
gestational-age (AGA) infants in terms of retinopathy (OR = 5.73, 95%CI = 1.39
23.59) and hemoglobin A1C (HbA1C) before delivery (OR = 0.80, 95%CI = 0.68 -
0.94, with a 0.1% increase in DCCT unit). Multivariate analysis revealed a
significant difference between patients who delivered LGA and AGA infants in
terms of primipara (OR = 3.40, 95%CI = 1.47-7.87) and HbA1C before delivery (OR =
1.14, 95%CI = 1.07-1.21, with a 0.1% increase in DCCT unit). CONCLUSIONS: HbA1C
before delivery influenced both SGA and LGA infants in patients with
pregestational DM. Tight glycemic control might be harmful to fetal growth in
pregestational diabetic patients, especially when complicated with retinopathy.
PMID- 22070855
TI - Magnetic resonance imaging to guide surgical practice.
PMID- 22070856
TI - Feasibility of non-contrast-enhanced magnetic resonance angiography for imaging
upper extremity vasculature prior to vascular access creation.
AB - OBJECTIVES: Preoperative mapping of arterial and venous anatomy helps to prevent
postoperative complications after vascular access creation. The use of gadolinium
in contrast-enhanced (CE) magnetic resonance angiography (MRA) has been linked to
nephrogenic systemic fibrosis in patients with end-stage renal disease (ESRD).
The purpose of this study was to evaluate non-contrast-enhanced (NCE) MRA for
assessment of upper extremity and central vasculature and to compare it with CE
MRA. METHODS: NCE and CE-MRA images were acquired in 10 healthy volunteers and 15
patients with ESRD. In each data set, two observers analysed 11 arterial and 16
venous segments with regard to image quality (0-4), presence of artefacts (0-2)
and vessel-to-background ratio. RESULTS: More arterial segments were depicted
using CE-MRA compared to NCE-MRA (99% vs. 96%, p = 0.001) with mean image quality
of 3.80 vs. 2.68, (p < 0.001) and mean vessel-to-background ratio of 6.47 vs.
4.14 (p < 0.001). Ninety-one percent of the venous segments were portrayed using
NCE-MRA vs. 80% using CE-MRA (p < 0.001). Mean image quality and vessel-to
background ratio were 2.41 vs. 2.21 (p = 0.140) and 5.13 vs. 3.88 (p < 0.001),
respectively. CONCLUSIONS: Although arterial image quality and vessel-to
background ratios were lower, NCE-MRA is considered a feasible alternative to CE
MRA in patients with ESRD who need imaging of the upper extremity and central
vasculature prior to dialysis access creation.
PMID- 22070857
TI - Synthesis of a new class of beta-iodo N-alkenyl 2-pyridones.
AB - A new method for the synthesis of beta-iodo N-alkenyl 2-pyridones from
substituted 2-propargyloxypyridines has been discovered . These compounds present
a unique complement of orthogonal functionality and structural characteristics
that are unavailable via other routes. The ready access to these compounds
renders them an important entry point for the preparation of more complex N-alkyl
pyridone-containing targets.
PMID- 22070858
TI - Activity of oritavancin and comparators in vitro against standard and high
inocula of Staphylococcus aureus.
AB - In this study, the impact of inoculum density on the growth inhibitory and
killing activities of oritavancin and comparators (vancomycin, daptomycin and
linezolid) in vitro against four Staphylococcus aureus strains at clinically
relevant drug concentrations was studied. Broth microdilution and time-kill
assays were performed using a standard inoculum [ca. 10(5)colony-forming units
(CFU)/mL as per Clinical and Laboratory Standards Institute (CLSI) guidelines]
and a high inoculum (ca. 10(7)CFU/mL). Whereas minimal inhibitory concentrations
(MICs) of comparators were 2-8-fold higher when tested at high inoculum,
oritavancin MICs were 16-fold higher for all strains at the high inoculum
relative to the standard inoculum. However, in time-kill assays, when tested at
its fC(min) [trough concentration of free (non-protein-bound) drug] and fC(max)
(peak concentration of non-protein-bound drug), oritavancin retained its
bactericidal activity against a vancomycin-susceptible, meticillin-susceptible S.
aureus (VS-MSSA) strain and a vancomycin-susceptible, meticillin-resistant S.
aureus (VS-MRSA) strain both at standard and high inocula. At its fC(max),
oritavancin was bactericidal at standard inoculum but not at high inoculum
against two vancomycin-intermediate S. aureus (VISA) strains. Against both VISA
strains at standard inoculum, oritavancin at its fC(min) reduced cell density by
between 2 and 3 log (bacteriostatic), predicting that it will retain activity
against certain VISA infections. However, oritavancin had no substantial growth
inhibitory effect against either VISA strain at high inoculum, suggesting that in
rare VISA infections with an anticipated high bacterial burden such as
endocarditis, alternative oritavancin dosing strategies, including combinations
with other agents, may be explored.
PMID- 22070859
TI - Investigation of the effect of power ultrasound on the nucleation of water during
freezing of agar gel samples in tubing vials.
AB - Nucleation, as an important stage of freezing process, can be induced by the
irradiation of power ultrasound. In this study, the effect of irradiation
temperature (-2 degrees C, -3 degrees C, -4 degrees C and -5 degrees C),
irradiation duration (0s, 1s, 3s, 5s, 10s or 15s) and ultrasound intensity (0.07
W cm(-2), 0.14 W cm(-2), 0.25 W cm(-2), 0.35 W cm(-2) and 0.42 W cm(-2)) on the
dynamic nucleation of ice in agar gel samples was studied. The samples were
frozen in an ethylene glycol-water mixture (-20 degrees C) in an ultrasonic bath
system after putting them into tubing vials. Results indicated that ultrasound
irradiation is able to initiate nucleation at different supercooled temperatures
(from -5 degrees C to -2 degrees C) in agar gel if optimum intensity and
duration of ultrasound were chosen. Evaluation of the effect of 0.25 W cm(-2)
ultrasound intensity and different durations of ultrasound application on agar
gels showed that 1s was not long enough to induce nucleation, 3s induced the
nucleation repeatedly but longer irradiation durations resulted in the generation
of heat and therefore nucleation was postponed. Investigation of the effect of
ultrasound intensity revealed that higher intensities of ultrasound were
effective when a shorter period of irradiation was used, while lower intensities
only resulted in nucleation when a longer irradiation time was applied. In
addition to this, higher intensities were not effective at longer irradiation
times due to the heat generated in the samples by the heating effect of
ultrasound. In conclusion, the use of ultrasound as a means to control the
crystallization process offers promising application in freezing of solid foods,
however, optimum conditions should be selected.
PMID- 22070860
TI - Ultrasound and ionic liquid: an efficient combination to tune the mechanism of
alkenes epoxidation.
AB - In this proof of concept study, the advantageous properties of both
H(2)O(2)/NaHCO(3)/imidazole/Mn(TPP)OAc oxidation system and MOPyrroNTf(2) ionic
liquid have been combined under ultrasonic irradiation to give an exceptionally
favorable environment for Mn(TPP)OAc catalyzed olefin oxidations. The results
reveal the crucial role played by the ultrasonic irradiations that influence
drastically the oxidation process. In MOPyrroNTf(2) and under ultrasonic
irradiation, the mechanism probably involves an oxo-manganyl intermediate at the
expense of the classical bicarbonate-activated peroxide route.
PMID- 22070861
TI - Prevalence and predictors of exclusive breastfeeding among women in Kigoma
region, Western Tanzania: a community based cross-sectional study.
AB - BACKGROUND: Exclusive breastfeeding (EBF) for the first six months of infants'
lives is a cost effective intervention in saving children's lives and can avert
13 - 15% of the 9 million deaths of children under 5 years old in resource poor
settings. However, EBF rates have been shown to be low in resource poor settings,
ranging between 20 and 40%. In Tanzania, the prevalence of EBF among infants
under 6 months is 41%, with limited information on predictors of EBF. The aim of
the study was to determine prevalence of EBF and its predictors in Kigoma
Municipality, Western Tanzania. METHODS: A cross-sectional study was conducted in
March to May 2010 among 402 consenting women, with infants aged 6 to 12 months,
from randomly selected households. A questionnaire was used to collect
information on demographic characteristics, knowledge of EBF, infant feeding
practices, and on HIV status. RESULTS: The prevalence of EBF among women in
Kigoma Municipality was 58%. Knowledge of EBF was relatively higher (86%)
compared to the practice. In the multivariable analysis, women with adequate
knowledge of EBF (AOR 5.4), women who delivered at health facilities (AOR 3.0)
and women who had no problems related to breasts, like engorgement/cracked
nipples (AOR 6.6) were more likely to exclusively breastfeed compared to others.
CONCLUSIONS: Prevalence of EBF in Kigoma municipality was slightly higher than
the national figure of 41%, however it was way below the EBF prevalence of 90%
recommended by the WHO. Strategies that target improving knowledge and skills for
lactation management among women, as well as strategies to improve health
facility delivery, may help to improve EBF in this setting.
PMID- 22070862
TI - The process of change in psychotherapy for depression: helping clients to
reformulate the problem.
AB - There is increasing interest in mental health nurses delivering structured short
term evidence-based psychotherapies such as cognitive behavioural therapy (CBT)
and interpersonal psychotherapy (IPT); however, while there is evidence of the
efficacy of psychotherapy for depression, there is limited understanding of the
treatment processes. Data were drawn from audio tapes of CBT and IPT sessions for
treatment of depression. The transcripts of the initial, middle and final
psychotherapy sessions of 40 clients were analysed. A thematic analysis was
conducted to identify what was occurring in the sessions, how the client was
describing psychotherapy and how the client was describing improvement or lack of
improvement in depressive symptoms. There were differences in descriptions of
therapy and the experience of depression between clients who responded and those
who did not respond to therapy that were related to improvement in symptoms but
not to the specific therapy. These differences were in the client's engagement
with the language of therapy, sense of optimism about the particular model of
psychotherapy, ability to examine their own role in the problem and desire to
engage with new ways of being in their lives. Clients who responded to CBT or IPT
had flexibility to develop new ways of thinking and acting, the ability to accept
responsibility for their role in the identified problem and were willing to risk
change.
PMID- 22070863
TI - European veterinary dissertations.
PMID- 22070865
TI - Elimination versus ring opening: a convergent route to alkylidene-cyclobutanes.
AB - Functionalized alkylidene-cyclobutanes have been prepared from 2-fluoropyridinyl
6-oxy precursors derived from vinyl cyclobutanols by a radical addition
elimination process. A wide range of functional groups is tolerated, and the
alkylidene-cyclobutanes can be further elaborated into cyclopentanones. The
limitation of this approach resides in the competition with opening of the
cyclobutane ring.
PMID- 22070864
TI - American ginseng suppresses Western diet-promoted tumorigenesis in model of
inflammation-associated colon cancer: role of EGFR.
AB - BACKGROUND: Western diets increase colon cancer risk. Epidemiological evidence
and experimental studies suggest that ginseng can inhibit colon cancer
development. In this study we asked if ginseng could inhibit Western diet (20%
fat) promoted colonic tumorigenesis and if compound K, a microbial metabolite of
ginseng could suppress colon cancer xenograft growth. METHODS: Mice were
initiated with azoxymethane (AOM) and, two weeks later fed a Western diet (WD,
20% fat) alone, or WD supplemented with 250-ppm ginseng. After 1 wk, mice
received 2.5% dextran sulfate sodium (DSS) for 5 days and were sacrificed 12 wks
after AOM. Tumors were harvested and cell proliferation measured by Ki67 staining
and apoptosis by TUNEL assay. Levels of EGF-related signaling molecules and
apoptosis regulators were determined by Western blotting. Anti-tumor effects of
intraperitoneal compound K were examined using a tumor xenograft model and
compound K absorption measured following oral ginseng gavage by UPLC-mass
spectrometry. Effects of dietary ginseng on microbial diversity were measured by
analysis of bacterial 16S rRNA. RESULTS: Ginseng significantly inhibited colonic
inflammation and tumorigenesis and concomitantly reduced proliferation and
increased apoptosis. The EGFR cascade was up-regulated in colonic tumors and
ginseng significantly reduced EGFR and ErbB2 activation and Cox-2 expression.
Dietary ginseng altered colonic microbial diversity, and bacterial suppression
with metronidazole reduced serum compound K following ginseng gavage.
Furthermore, compound K significantly inhibited tumor xenograft growth.
CONCLUSIONS: Ginseng inhibited colonic inflammation and tumorigenesis promoted by
Western diet. We speculate that the ginseng metabolite compound K contributes to
the chemopreventive effects of this agent in colonic tumorigenesis.
PMID- 22070866
TI - Volumetric modulated arc planning for lung stereotactic body radiotherapy using
conventional and unflattened photon beams: a dosimetric comparison with 3D
technique.
AB - PURPOSE: Frequently, three-dimensional (3D) conformal beams are used in lung
cancer stereotactic body radiotherapy (SBRT). Recently, volumetric modulated arc
therapy (VMAT) was introduced as a new treatment modality. VMAT techniques
shorten delivery time, reducing the possibility of intrafraction target motion.
However dose distributions can be quite different from standard 3D therapy. This
study quantifies those differences, with focus on VMAT plans using unflattened
photon beams. METHODS: A total of 15 lung cancer patients previously treated with
3D or VMAT SBRT were randomly selected. For each patient, non-coplanar 3D,
coplanar and non-coplanar VMAT and flattening filter free VMAT (FFF-VMAT) plans
were generated to meet the same objectives with 50 Gy covering 95% of the PTV.
Two dynamic arcs were used in each VMAT plan. The couch was set at +/- 5 degrees
to the 0 degrees straight position for the two non-coplanar arcs. Pinnacle
version 9.0 (Philips Radiation Oncology, Fitchburg WI) treatment planning system
with VMAT capabilities was used. We analyzed the conformity index (CI), which is
the ratio of the total volume receiving at least the prescription dose to the
target volume receiving at least the prescription dose; the conformity number
(CN) which is the ratio of the target coverage to CI; and the gradient index (GI)
which is the ratio of the volume of 50% of the prescription isodose to the volume
of the prescription isodose; as well as the V20, V5, and mean lung dose (MLD).
Paired non-parametric analysis of variance tests with post-tests were performed
to examine the statistical significance of the differences of the dosimetric
indices. RESULTS: Dosimetric indices CI, CN and MLD all show statistically
significant improvement for all studied VMAT techniques compared with 3D plans (p
< 0.05). V5 and V20 show statistically significant improvement for the FFF-VMAT
plans compared with 3D (p < 0.001). GI is improved for the FFF-VMAT and the non
coplanar VMAT plans (p < 0.01 and p < 0.05 respectively) while the coplanar VMAT
plans do not show significant difference compared to 3D plans. Dose to the target
is typically more homogeneous in FFF-VMAT plans. FFF-VMAT plans require more
monitor units than 3D or non-coplanar VMAT ones. CONCLUSION: Besides the
advantage of faster delivery times, VMAT plans demonstrated better conformity to
target, sharper dose fall-off in normal tissues and lower dose to normal lung
than the 3D plans for lung SBRT. More monitor units are often required for FFF
VMAT plans.
PMID- 22070867
TI - Chronic cigarette smoking in alcohol dependence: associations with cortical
thickness and N-acetylaspartate levels in the extended brain reward system.
AB - Chronic smoking in alcohol dependence is associated with abnormalities in brain
morphology and metabolite levels in large lobar regions (e.g. frontal lobe).
Here, we evaluated if these abnormalities are specifically apparent in several
cortical and select subcortical components of the extended brain reward system
(BRS), a network that is critically involved in the development and maintenance
of all forms of addictive disorders. We studied 33 non-smoking and 43 smoking
alcohol-dependent individuals (ALC) with 1 week of abstinence and 42 non-smoking
Controls. At 1.5 Tesla, we obtained regional measures of cortical thickness and N
acetylaspartate (NAA; a surrogate marker of neuronal integrity) concentration in
major components of the BRS as well as the corresponding measures throughout the
cortex. Smoking ALC and non-smoking ALC demonstrated decreased thickness compared
with Controls in the dorsolateral prefrontal cortex (DLPFC), insula,
orbitofrontal cortex (OFC), the total BRS, total frontal cortex and global
cortex. Smoking ALC had significantly decreased thickness compared to non-smoking
ALC in the ACC, insula, the total BRS and total frontal cortex. Smoking ALC had
also lower NAA concentrations than both non-smoking ALC and Controls in the
DLPFC, insula, superior corona radiata and the total BRS. Alcohol consumption and
common medical and psychiatric co-morbidities did not mediate differences between
smoking and non-smoking ALC. This dual modality magnetic resonance (MR) study
indicated that chronic smoking in ALC was associated with significant cortical
thinning and NAA abnormalities in anterior brain regions that are implicated in
the development and maintenance of addictive disorders.
PMID- 22070868
TI - Immunogenicity of panitumumab in combination chemotherapy clinical trials.
AB - BACKGROUND: Panitumumab is a fully human antibody against the epidermal growth
factor receptor that is indicated for the treatment of metastatic colorectal
cancer (mCRC) after disease progression on standard chemotherapy. The purpose of
this analysis was to examine the immunogenicity of panitumumab and to evaluate
the effect of anti-panitumumab antibodies on pharmacokinetic and safety profiles
in patients with mCRC receiving panitumumab in combination with oxaliplatin- or
irinotecan-based chemotherapies. METHODS: Three validated assays (two screening
immunoassays and a neutralizing antibody bioassay) were used to detect the
presence of anti-panitumumab antibodies in serum samples collected from patients
enrolled in four panitumumab combination chemotherapy clinical trials. The impact
of anti-panitumumab antibodies on pharmacokinetic and safety profiles was
analyzed using population pharmacokinetic analysis and descriptive statistics,
respectively. RESULTS: Of 1124 patients treated with panitumumab in combination
with oxaliplatin- or irinotecan-based chemotherapy with postbaseline samples
available for testing, 20 (1.8%) patients developed binding antibodies and 2
(0.2%) developed neutralizing antibodies. The incidence of anti-panitumumab
antibodies was similar in patients with tumors expressing wild-type or mutant
KRAS and in patients receiving oxaliplatin- or irinotecan-based chemotherapies.
No evidence of an altered pharmacokinetic or safety profile was found in patients
who tested positive for anti-panitumumab antibodies. CONCLUSIONS: The
immunogenicity of panitumumab in the combination chemotherapy setting was
infrequent and similar to the immunogenicity observed in the monotherapy setting.
Panitumumab immunogenicity did not appear to alter pharmacokinetic or safety
profiles. This low rate of immunogenicity may be attributed to the fully human
nature of panitumumab.
PMID- 22070869
TI - An allenic Pauson-Khand approach to 6,12-guaianolides.
AB - Cyclocarbonylation of alpha-methylene butyrolactone-containing allene-ynes
affords 6,12-guaianolide ring systems. Incorporation of the alpha-methylene
butyrolactone early in a synthetic sequence is rare for reactivity reasons;
however, this moiety proves to be beneficial to the allenic Pauson-Khand
reaction. The three double bonds and the ketone in the resulting 5-7-5 ring
system bear significant differences in their reactivity and are ideally
positioned for synthetic application to 6,12-guaianolides and analogs.
PMID- 22070870
TI - Assessment of carbon in woody plants and soil across a vineyard-woodland
landscape.
AB - BACKGROUND: Quantification of ecosystem services, such as carbon (C) storage, can
demonstrate the benefits of managing for both production and habitat conservation
in agricultural landscapes. In this study, we evaluated C stocks and woody plant
diversity across vineyard blocks and adjoining woodland ecosystems (wildlands)
for an organic vineyard in northern California. Carbon was measured in soil from
44 one m deep pits, and in aboveground woody biomass from 93 vegetation plots.
These data were combined with physical landscape variables to model C stocks
using a geographic information system and multivariate linear regression.
RESULTS: Field data showed wildlands to be heterogeneous in both C stocks and
woody tree diversity, reflecting the mosaic of several different vegetation
types, and storing on average 36.8 Mg C/ha in aboveground woody biomass and 89.3
Mg C/ha in soil. Not surprisingly, vineyard blocks showed less variation in above
and belowground C, with an average of 3.0 and 84.1 Mg C/ha, respectively.
CONCLUSIONS: This research demonstrates that vineyards managed with practices
that conserve some fraction of adjoining wildlands yield benefits for increasing
overall C stocks and species and habitat diversity in integrated agricultural
landscapes. For such complex landscapes, high resolution spatial modeling is
challenging and requires accurate characterization of the landscape by vegetation
type, physical structure, sufficient sampling, and allometric equations that
relate tree species to each landscape. Geographic information systems and remote
sensing techniques are useful for integrating the above variables into an
analysis platform to estimate C stocks in these working landscapes, thereby
helping land managers qualify for greenhouse gas mitigation credits. Carbon
policy in California, however, shows a lack of focus on C stocks compared to
emissions, and on agriculture compared to other sectors. Correcting these policy
shortcomings could create incentives for ecosystem service provision, including C
storage, as well as encourage better farm stewardship and habitat conservation.
PMID- 22070871
TI - Benign paroxysmal vertigo of childhood: diagnostic value of vestibular test and
high stimulus rate auditory brainstem response test.
AB - OBJECTIVE: To investigate the diagnostic value of vestibular test and high
stimulus rate auditory brainstem response (ABR) test and the possible mechanism
responsible for benign paroxysmal vertigo of childhood (BPVC). METHODS: Data of
56 patients with BPVC in vertigo clinic of our hospital from May 2007 to
September 2008 were retrospectively analyzed in this study. Patients with BPVC
were tested with pure tone audiometry, high stimulus rate auditory brainstem
response test (ABR), transcranial Doppler sonography (TCD), bithermal caloric
test, and VEMP. The results of the hearing and vestibular function test were
compared and analyzed. RESULTS: There were 56 patients with BPVC, including 32
men, 24 women, aged 3-12 years old, with an average of 6.5 years. Among 56 cases
of BPVC patients, the results of pure tone audiometry were all normal. High
stimulus rate ABR was abnormal in 66.1% (37/56) of cases. TCD showed 57.1%
abnormality in 56 cases, including faster flow rate in 28 cases and slower flow
rate in 4 cases. High stimulus rate ABR and TCD were both abnormal in 48.2%
(27/56) of cases. Bithermal caloric test was abnormal in 14.3% (8/56) of cases.
VEMP showed 32.1% abnormality, including amplitude abnormality in 16 cases and
latency abnormality in 2 cases. The abnormal rate of VEMP was much higher than
that of caloric test. CONCLUSION: Vascular mechanisms might be involved in the
pathogenesis of BPVC and there is strong evidence for close relationship between
BPVC and migraine. High stimulus rate ABR is helpful in the diagnosis of BPVC.
The inferior vestibular pathway is much more impaired than the superior
vestibular pathway in BPVC.
PMID- 22070872
TI - Prevalence of mutations located at the dfnb1 locus in a population of cochlear
implanted children in eastern Romania.
AB - OBJECTIVE: Hearing loss is one of the major public health problems, with a
genetic etiology in more than 60% of cases. Connexin 26 and connexin 30 mutations
are the most prevalent causes of deafness. The aim of this study is to
characterize and to establish the prevalence of the GJB2 and GJB6 gene mutations
in a population of cochlear implanted recipients from Eastern Romania, this being
the first report of this type in our country. METHODS: We present a retrospective
study that enrolled 45 Caucasian cochlear implanted patients with non-syndromic
sensorineural severe to profound, congenital or progressive with early-onset
idiopathic hearing loss. We performed sequential analysis of exon 1 and the
coding exon 2 of the GJB2 gene including also the splice sites and analysis of
the deletions del(GJB6-D13S1830), del(GJB6-D13S1854) and del(chr13:19,837,343
19,968,698). RESULTS: The genetic analysis of the GJB2 gene identified connexin
26 mutations in 22 patients out of 45 (12 homozygous for c.35delG, 6 compound
heterozygous and 4 with mutations only on one allele). We found 6 different
mutations, the most prevalent being c.35delG - found on 32 alleles, followed by
p.W24* - found on 2 alleles. We did not identify the deletions del(GJB6
D13S1830), del(GJB6-D13S1854) and del(chr13:19,837,343-19,968,698). CONCLUSIONS:
Although the most prevalent mutation was c.35delG (80% from all types of
mutations), unexpectedly we identified 5 more different mutations. The presence
of 6 different mutations on the GJB2 gene has implications in hearing screening
programs development in our region and in genetic counseling.
PMID- 22070873
TI - Differential role of NF-kappaB, ERK1/2 and AP-1 in modulating the
immunoregulatory functions of bone marrow-derived dendritic cells from NOD mice.
AB - Tolerogenic dendritic cells represent a promising immunotherapy in autoimmunity.
However, the molecular mechanisms that drive tolerogenic DCs functions are not
well understood. We used GM-CSF or GM-CSF+IL-4 to generate tolerogenic (GM/DCs)
and immunogenic (IL-4/DCs) BMDCs from NOD mice, respectively. GM/DCs were
resistant to maturation, produced large amounts of IL-10 but not IL-12p70. GM/DCs
displayed a reduced capacity to activate diabetogenic CD8(+) T-cells and were
efficient to induce Tregs expansion and conversion. LPS stimulation triggered
ERK1/2 activation that was sustained in GM/DCs but not in IL-4/DCs. ERK1/2 and AP
1 were involved in IL-10 production in GM/DCs but not in their resistance to
maturation. Supershift analysis showed that NF-kappaB DNA binding complex
contains p52 and p65 in GM/DCs, whereas it contains p52, p65 and RelB in IL
4/DCs. ChIP experiments revealed that p65 was recruited to IL-10 promoter
following LPS stimulation of GM/DCs whereas its binding to IL-12p35 promoter was
abolished. Our results suggest that immunoregulatory functions of GM/DCs are
differentially regulated by ERK1/2, AP-1 and NF-kappaB pathways.
PMID- 22070875
TI - Emphysematous pyelonephritis in a renal allograft.
PMID- 22070874
TI - CXCR7 mediated Gialpha independent activation of ERK and Akt promotes cell
survival and chemotaxis in T cells.
AB - Chemokine receptors CXCR7 and CXCR4 bind to the same ligand stromal cell derived
factor-1alpha (SDF-1alpha/CXCL12). We assessed the downstream signaling pathways
mediated by CXCL12-CXCR7 interaction in Jurkat T cells. All experiments were
carried out after functionally blocking the CXCR4 receptor. CXCL12, on binding
CXCR7, induced phosphorylation of extra cellular regulated protein kinases (ERK
1/2) and Akt. Selective inhibition of each signal demonstrated that
phosphorylated ERK 1/2 is essential for chemotaxis and survival of T cells
whereas activation of Akt promotes only cell survival. Another interesting
finding of this study is that CXCL12-CXCR7 interaction under normal physiological
conditions does not activate the p38 pathway. Furthermore, we observed that the
CXCL12 signaling via CXCR7 is Gialpha independent. Our findings suggest that
CXCR7 promotes cell survival and does not induce cell death in T cells. The
CXCL12 signaling via CXCR7 may be crucial in determining the fate of the
activated T cells.
PMID- 22070876
TI - An unusual case of hypotension after fibrinolysis resulting from mediastinal
hemorrhage.
AB - BACKGROUND: Although bleeding complications may occur after fibrinolysis,
mediastinal hemorrhage is extremely rare. CASE REPORT: We encountered mediastinal
hemorrhage in a case of anterior wall myocardial infarction (MI); the patient
developed sudden-onset chest pain with hypotension after fibrinolysis. The
differential diagnosis and approach to management of such a case is discussed.
CONCLUSION: As fibrinolysis remains a common means of establishing reperfusion in
patients with acute MI, emergency physicians should be aware of such unusual
complications secondary to fibrinolysis. An orderly clinical approach with an
individualized management protocol is essential in such situations so that undue
instrumentation and invasive procedures with their attendant risks in a
thrombolysed patient are avoided.
PMID- 22070877
TI - Understanding of sepsis among emergency medical services: a survey study.
AB - BACKGROUND: Emergency medical services (EMS) personnel commonly encounter sepsis,
yet little is known about their understanding of sepsis. STUDY OBJECTIVES: To
determine the awareness, knowledge, current practice, and attitudes about sepsis
among EMS personnel. METHODS: We performed an anonymous, multi-agency, online
survey of emergency medical technicians (EMTs), firefighter-emergency medical
technicians (FF-EMTs), and paramedics in a metropolitan, 2-tier EMS system. We
compared responses according to the level of EMS training and used multivariable
logistic regression to determine the odds of correctly identifying the definition
of sepsis, independent of demographic and professional factors. RESULTS: Overall
response rate of study participants was 57% (786/1390), and was greatest among
EMTs (79%; 276/350). A total of 761 respondents (97%) had heard of the term
"sepsis." EMTs and FF-EMTs were at significantly reduced odds of correctly
defining sepsis compared to paramedics, independent of age, sex, and years of
experience (EMTs: odds ratio 0.44, 95% confidence interval 0.3-0.8; FF-EMTs: odds
ratio 0.32, 95% confidence interval 0.2-0.6. Overall, knowledge of the clinical
signs and symptoms and recommended treatments for sepsis was typically>75%,
though better among paramedics than EMTs or FF-EMTs (p<0.01). The majority of
respondents believed sepsis is not recognized by EMS "some" or "a lot" of the
time (76%, 596/786). CONCLUSIONS: EMS personnel demonstrated an overall sound
awareness of sepsis. Knowledge of sepsis was less among FF-EMTs and EMTs compared
to paramedics. These results suggest that paramedics could be integrated into
strategies of early identification and treatment of sepsis, and EMTs may benefit
from focused education and training.
PMID- 22070878
TI - Hyperdense basilar sign: an early computed tomography finding of acute ischemia.
PMID- 22070879
TI - Acute sphenoid sinusitis induced blindness: a case report.
AB - BACKGROUND: Acute, isolated sphenoid sinusitis is a rare but potentially
devastating clinical entity. Missing this diagnosis can lead to permanent vision
loss due to injury of the optic nerve. Patients may present with preseptal
inflammation, lid edema, chemosis, or ophthalmoplegia. OBJECTIVE: We report a
case of acute sphenoid sinusitis in a 10-year-old child who presented to the
Emergency Department with essentially painless vision loss. CASE REPORT:
Previously healthy, the patient reported progressive decrease in vision in her
right eye for the 5 days prior. Other than blurred vision in the right eye, she
complained of a mild frontal headache and right eye irritation the past week,
which had abated. On examination, she was reading a book with her head tilted to
one side. She had no photophobia, or facial or eyelid swelling. Her pupils were 5
mm bilaterally, but the right was non-reactive to light. She was unable to see
two fingers 6 inches in front of her face (right eye), whereas her visual acuity
on the left was 20/25. She had bilateral elevated intraocular pressures and a
Marcus Gunn pupil on the right. Ophthalmology was consulted and the diagnosis of
acute sphenoid sinusitis causing compression and vascular compromise to the optic
nerve was diagnosed ultimately by magnetic resonance imaging. The patient was
transferred to the nearest pediatric specialty hospital, where an emergent
endoscopic sphenoidotomy was performed. The patient's vision subsequently
returned. CONCLUSION: Sphenoid sinusitis should be considered in patients
presenting with acute vision loss. Awareness, early diagnosis, and intervention
help prevent permanent complications.
PMID- 22070880
TI - Quality of human-computer interaction--results of a national usability survey of
hospital-IT in Germany.
AB - BACKGROUND: Due to the increasing functionality of medical information systems,
it is hard to imagine day to day work in hospitals without IT support. Therefore,
the design of dialogues between humans and information systems is one of the most
important issues to be addressed in health care. This survey presents an analysis
of the current quality level of human-computer interaction of healthcare-IT in
German hospitals, focused on the users' point of view. METHODS: To evaluate the
usability of clinical-IT according to the design principles of EN ISO 9241-10 the
IsoMetrics Inventory, an assessment tool, was used. The focus of this paper has
been put on suitability for task, training effort and conformity with user
expectations, differentiated by information systems. Effectiveness has been
evaluated with the focus on interoperability and functionality of different IT
systems. RESULTS: 4521 persons from 371 hospitals visited the start page of the
study, while 1003 persons from 158 hospitals completed the questionnaire. The
results show relevant variations between different information systems.
CONCLUSIONS: Specialised information systems with defined functionality received
better assessments than clinical information systems in general. This could be
attributed to the improved customisation of these specialised systems for
specific working environments. The results can be used as reference data for
evaluation and benchmarking of human computer engineering in clinical health IT
context for future studies.
PMID- 22070881
TI - The immediate lifesaving management of maxillofacial, life-threatening
haemorrhages due to IED and/or shrapnel injuries: "when hazard is in hesitation,
not in the action".
AB - Maxillofacial/neck vascular injuries caused by improvised explosive devices IEDs
or ballistics injuries are life threatening when they cause severe haemorrhage
resulting in airway compromise. One should always keep in mind that the best
technique used is that which saves the patient's life and not the most expensive
and/or technologically advanced. Medical professionals on the scene should have
the necessary experience to handle the emergency situations of airway compromise
and haemorrhage control. In this instance there is only, "one to a few minutes"
to clear airway obstruction and arrest haemorrhage to prevent death. The patients
in this study had life-threatening shrapnel injuries of the carotid and/or
jugular vessels, and facial primary blast affect implosion of facial middle third
air-containing cavities injuries. In a massive casualties arenas, where
time=lifesaving, we should need to replace "non-battlefield" civilian techniques
with "time driven", combat management for IEDs injuries. In these cases, the
immediate and effective compression tamponade using digital, Foley catheter
tamponade, packs and/or vessels ligation for severe facial/neck haemorrhage were
used successfully.
PMID- 22070882
TI - Crystallography Open Database (COD): an open-access collection of crystal
structures and platform for world-wide collaboration.
AB - Using an open-access distribution model, the Crystallography Open Database (COD,
http://www.crystallography.net) collects all known 'small molecule / small to
medium sized unit cell' crystal structures and makes them available freely on the
Internet. As of today, the COD has aggregated ~150,000 structures, offering basic
search capabilities and the possibility to download the whole database, or parts
thereof using a variety of standard open communication protocols. A newly
developed website provides capabilities for all registered users to deposit
published and so far unpublished structures as personal communications or pre
publication depositions. Such a setup enables extension of the COD database by
many users simultaneously. This increases the possibilities for growth of the COD
database, and is the first step towards establishing a world wide Internet-based
collaborative platform dedicated to the collection and curation of structural
knowledge.
PMID- 22070884
TI - Wnt3 gene expression promotes tumor progression in non-small cell lung cancer.
AB - The Wnt gene family encodes the multi-functional signaling glycoproteins
regulating various normal and pathological processes including tumorigenesis. We
investigated the clinical significance of the Wnt3 gene expression in relation to
its target genes, c-Myc and survivin, in patients with non-small cell lung cancer
(NSCLC). One hundred and twenty-eight patients who underwent resection of NSCLC
were analyzed. Quantitative reverse transcription polymerase chain reaction (RT
PCR) was performed to evaluate the gene expression of Wnt3, c-Myc, and survivin.
Immunohistochemistry was performed to investigate the protein expression of Wnt3,
c-Myc, and survivin. The Ki-67 proliferation index and the apoptotic index using
the TUNEL method were also evaluated. Twenty-four carcinomas (18.8%) were found
to be high-Wnt3 tumors. The high-Wnt3 tumors were significantly more in squamous
cell carcinomas than that in adenocarcinomas (P=0.0022). The Wnt3 gene expression
was significantly associated with gene expressions of c-Myc (P=0.0103) and
survivin (P=0.0009). As a result, the Ki-67 proliferation index was significantly
higher in high-Wnt3 tumors than in low-Wnt3 tumors (P=0.0056). The apoptotic
index was significantly lower in high-Wnt3 tumors than in low-Wnt3 tumors
(P=0.0245). The overall survival rate was significantly lower in patients with
high-Wnt3 tumors than in those with low-Wnt3 tumors (P=0.0020). A Cox regression
analysis demonstrated that the Wnt3 status was a significant prognostic factor
for NSCLC patients (hazard ratio 2.226, P=0.0296). The present study revealed
that Wnt3 gene expression was significantly associated with c-Myc and survivin
gene expressions, tumor proliferation, and tumor apoptosis. During the
progression of NSCLC, Wnt3 overexpression could be associated with the
development of more aggressive tumors.
PMID- 22070883
TI - Chemical structure requirements and cellular targeting of microRNA-122 by peptide
nucleic acids anti-miRs.
AB - Anti-miRs are oligonucleotide inhibitors complementary to miRNAs that have been
used extensively as tools to gain understanding of specific miRNA functions and
as potential therapeutics. We showed previously that peptide nucleic acid (PNA)
anti-miRs containing a few attached Lys residues were potent miRNA inhibitors.
Using miR-122 as an example, we report here the PNA sequence and attached amino
acid requirements for efficient miRNA targeting and show that anti-miR activity
is enhanced substantially by the presence of a terminal-free thiol group, such as
a Cys residue, primarily due to better cellular uptake. We show that anti-miR
activity of a Cys-containing PNA is achieved by cell uptake through both clathrin
dependent and independent routes. With the aid of two PNA analogues having
intrinsic fluorescence, thiazole orange (TO)-PNA and [bis-o
(aminoethoxy)phenyl]pyrrolocytosine (BoPhpC)-PNA, we explored the subcellular
localization of PNA anti-miRs and our data suggest that anti-miR targeting of miR
122 may take place in or associated with endosomal compartments. Our findings are
valuable for further design of PNAs and other oligonucleotides as potent anti-miR
agents.
PMID- 22070885
TI - Intra-articular osteoid osteoma of the hip misdiagnosed by MRI: an unusual cause
of unexplained hip pain.
AB - Osteoid osteoma is a common benign bone tumor affecting the young adult with
typical clinical and radiographic presentation in its most common locations.
However, when arising in unusual intra-articular locations, diagnosis may appear
confusing and lead to delayed management. We present the case of a 24-year-old
man with intra-articular osteoid osteoma of the hip involving the posteroinferior
quarter of the femoral head. This unusual location was at the origin of
unexplained pain and delayed diagnosis made 18 months after the onset of symptoms
since the initial magnetic resonance imaging (MRI) examination could not identify
the lesion whereas it was detected on bone scintigraphy and thin slice CT
imaging. Due to the complex location providing difficult access for radioguided
techniques, an open surgical management was suggested and performed through a
limited posterolateral approach with no hip dislocation, after identification of
the circumflex pedicle. Following complete surgical excision of the tumor, the
diagnosis could be confirmed after histopathologic analysis. No recurrence was
observed.
PMID- 22070886
TI - Perverse political correctness and personality traits.
AB - Political correctness (PC) commonly refers to a mutual respect for the views and
beliefs of others, including enemies, and while differing in opinions, the
willfulness to overcome the existing disagreements, and to prevent animosity. To
date however, the term PC is sometimes used in a perverted sense aimed for
disintegration of solidarity in a society, thus giving birth to a new powerful
conceptual tool, the perverse political correctness (PPC). PPC ideology resides
in people with certain psychological types. We assume that there are basic
psychological variations of personality traits and the mechanisms of their
formation that promote not only insertion, but rapid distribution of modern PPC
ideology. Although the dimension of their behavior is very similar, the
personality traits of these persons can be divided into three groups: The
subjects from the first group are characterized by general traits of one's
personality, such as kindness, empathy, and humanism. This is true PC--an
expression of proper humanistic personality traits, which are developed in a
specific kind of environment. The subjects from second group are usually
artistic, theatrical, vain and narcissistic, poseurs who need attention at any
cost. Their views on life in general, as well as on questions of PC are
characterized by colorfulness, picturesqueness and emotional satiety. The
subjects from the third group, conjoined with the previous variety of
demonstrative-theatrical PC, use mystical and religious contents as part of their
propaganda of PPC activity.
PMID- 22070887
TI - Aspirin may do wonders by the induction of immunological self-tolerance against
autoimmune atherosclerosis.
AB - Induction of immune tolerance is one of the recent novel immunomodulatory
strategies to directly intervene the autoimmune-driven atherosclerosis. Aspirin
is a prototypic non-steroidal anti-inflammatory drug, which is now being regarded
as a life-saver in variety of atherosclerotic cardiovascular complications.
Considerable amount of data emerged during last few years clearly suggests that
aspirin can cause immunomodulation by several mechanisms, particularly, its
ability to induce tolerogenic dendritic cells (DCs) and to upregulate T
regulatory (Treg) cells is especially appealing with respect to induction of
immunological self-tolerance. Based on this fact, we hypothesize that aspirin, in
addition to its anti-inflammatory effect, may also specifically inhibit
autoimmune response in atherosclerosis by actively increasing CD4+CD25+FOXP3+Treg
cells as well as by inducing tolerogenic DCs which induce hyporesponsiveness in
responder naive T cells. If proved to be correct, this hypothesis will provide an
opportunity to medical community with an already available aspirin-based
immunotherapeutic approach for inducing immune tolerance against atherosclerosis.
PMID- 22070888
TI - The existence of two types of proteasome, the constitutive proteasome and the
immunoproteasome, may serve as another layer of protection against autoimmunity.
AB - Negative selection of CD8 single positive thymocytes is based on the presentation
through the major histocompatibility complex (MHC) class I pathway of peptides
derived from degradation of self-proteins by the constitutive proteasome and the
immunoproteasome in the thymus. Then naive CD8+ T-cells can be primed by mature
dendritic cells. In mature dendritic cells peptides presented by MHC class I
molecules are derived from degradation of endogenous self-proteins or through the
process of cross-presentation from degradation of exogenous proteins by the
immunoproteasome. In the absence of infection, peripheral cells display peptides
on MHC class I molecules derived from degradation of endogenous self-proteins by
the constitutive proteasome. The pool of peptides derived from protein
degradation by the constitutive proteasome differs from the pool peptides derived
from protein degradation by the immunoproteasome. Thus the probability of an
autoreactive naive CD8+ T-cell that escaped negative selection, and converted by
a mature dendritic cell to autoreactive cytolytic T-cell, to kill a normal cell
in the periphery, is reduced.
PMID- 22070889
TI - PathCase-SB architecture and database design.
AB - BACKGROUND: Integration of metabolic pathways resources and regulatory metabolic
network models, and deploying new tools on the integrated platform can help
perform more effective and more efficient systems biology research on
understanding the regulation in metabolic networks. Therefore, the tasks of (a)
integrating under a single database environment regulatory metabolic networks and
existing models, and (b) building tools to help with modeling and analysis are
desirable and intellectually challenging computational tasks. DESCRIPTION:
PathCase Systems Biology (PathCase-SB) is built and released. The PathCase-SB
database provides data and API for multiple user interfaces and software tools.
The current PathCase-SB system provides a database-enabled framework and web
based computational tools towards facilitating the development of kinetic models
for biological systems. PathCase-SB aims to integrate data of selected biological
data sources on the web (currently, BioModels database and KEGG), and to provide
more powerful and/or new capabilities via the new web-based integrative
framework. This paper describes architecture and database design issues
encountered in PathCase-SB's design and implementation, and presents the current
design of PathCase-SB's architecture and database. CONCLUSIONS: PathCase-SB
architecture and database provide a highly extensible and scalable environment
with easy and fast (real-time) access to the data in the database. PathCase-SB
itself is already being used by researchers across the world.
PMID- 22070890
TI - The factor structure of the Chinese family assessment instrument adapted for
parent report.
AB - This article reports on a confirmatory factor analytic study of an adapted
version of an instrument designed to assess family functioning of Chinese
families. The Chinese Family Assessment Instrument, originally designed for
completion by adolescents, was adapted for completion by parents. A sample of 700
parent dyads of elementary school children (382 girls and 318 boys) completed the
adapted questionnaire. Initial factor analyses showed that the existing five
factor structure used for adolescents' responses was not a good fit for these
data. Instead, a four-factor solution emerged where the factors were positive
family functioning, negative family functioning, tolerance for family members,
and parental understanding. This structure was the same for both mothers and
fathers. Further studies of the Chinese Family Assessment Instrument parent
adaptation are required to test the factor structure that emerged. Following such
studies, validation studies will be required.
PMID- 22070891
TI - Critical appraisal of World Health Organization's new reference values for human
semen characteristics and effect on diagnosis and treatment of subfertile men.
AB - In 2010, the World Health Organization established new reference values for human
semen characteristics that are markedly lower than those previously reported.
Despite using controlled studies involving couples with a known time to pregnancy
to establish the new limits, the reference studies are limited with regard to the
population analyzed and the methods used for semen evaluation. The present review
discusses concerns related to the new reference values for semen characteristics,
including the effect on patient referral, diagnosis, and treatment of recognized
conditions, such as varicocele, and on the indications for assisted reproductive
technologies.
PMID- 22070892
TI - Effect of warm ischemia on renal function during partial nephrectomy: assessment
with new 99mTc-mercaptoacetyltriglycine scintigraphy parameter.
AB - OBJECTIVE: A decrease in renal function after partial nephrectomy caused by
ischemic damage or nephron loss cannot be distinguished by conventional methods.
We quantified renal function using a new 99mTc-mercaptoacetyltriglycine (99mTc
MAG3) renal scintigraphy parameter. MATERIAL AND METHODS: We included 32 patients
with a normally functioning contralateral kidney who received open partial
nephrectomy with average warm ischemic time of 26.0 (range 14-46) min in this
study. Effective renal plasma flow (ERPF) was calculated from 99mTc-MAG3 renal
scintigraphy before and at 1 week and 6 months after surgery. We also analyzed
regional 99mTc-MAG3 uptake in the surgically nonaffected parts. RESULTS: One week
after surgery, average ERPF in the operated kidney decreased to 66.4% from
baseline (from 177.8 to 116.9 mL/min/1.73 m2) and regional 99mTc-MAG3 uptake
decreased to 83.4%. A stronger correlation was found between ischemic time and
the decrease in regional 99mTc-MAG3 uptake (P<.001) compared with ERPF (P=.029).
The decrease in regional 99mTc-MAG3 uptake remained at 6 months in the group with
ischemic time>=25 minutes, whereas it recovered when ischemic time was <25
minutes. CONCLUSION: This new parameter quantified ischemic renal damage better
than the conventional split functional evaluation. When warm ischemic time was
>=25 minutes, irreversible diffuse damage was seen in surgically preserved
nephrons.
PMID- 22070893
TI - A Chinese herbal formula, Shuganyiyang capsule, improves erectile function in
male rats by modulating Nos-CGMP mediators.
AB - OBJECTIVE: To evaluate the effects of the Chinese herbal formula Shuganyiyang
(SGYY) capsule on arteriogenic erectile dysfunction (ED) in a rat model and to
investigate the underlying molecular mechanism. METHODS: Forty male Sprague
Dawley rats were subjected to bilateral ligation of the internal iliac artery and
then divided into 4 groups (n=10 per group). They were treated daily with either
sildenafil (10.5 mg/kg), or SGYY at 1 of 2 dosages (1 g/kg and 0.5 g/kg) for 30
days. Erectile function was evaluated using cavernous nerve electrical
stimulation after treatment, and the cavernous tissue specimens of all animals
were harvested for gene and protein examination using real-time reverse
transcriptase polymerase chain reaction, Western blot analysis, and cyclic
guanosine monophosphate (cGMP) measurement. RESULTS: The ratio of the maximal
intracavernous pressure to the mean arterial pressure was significantly higher in
the SGYY (1 g/kg and 0.5 g/kg) rats than that in the models (P<.01). The gene and
protein expression of 3 subtypes of nitric oxide synthase (NOS)--neuropathic
(nNOS), inducible (iNOS), and endothelial (eNOS)--and cGMP concentrations in
cavernous tissue in SGYY-treated rats were significantly higher than in the
models. However, phosphodiesterase type 5 (PDE5) expression in the SGYY rats was
lower than those in models (P<.01 or P<.05). CONCLUSION: SGYY significantly
improves the maximal intracavernous pressure in arteriogenic ED in a rat model.
The underlying mechanism of action of SGYY involves increasing the expression of
some main factors in the NOS-cGMP pathway and reducing the expression of PDE5.
PMID- 22070894
TI - SIU Scholar: Dr. Fahed Abdullah Salem Baaboud.
PMID- 22070895
TI - Pilot study of the vesicocutaneous continent catheterizable stoma (mitrofanoff)
in adults--high complication rates.
AB - OBJECTIVE: To report our experience with an adult vesicocutaneous Mitrofanoff, an
alternative to standard appendiceal or ileal Mitrofanoff. MATERIAL AND METHODS:
Retrospective chart review was performed on 3 patients who underwent a
vesicocutaneous Mitrofanoff by a single surgeon. Data were collected on etiology,
complications, and number of procedures to correct the complication. RESULTS:
Indications for the procedure included neurogenic bladder and urinary retention.
Mean follow-up time was 485 days with mean time to first complication of 24 days.
One-hundred percent of patients saw stenosis and dehiscence of their wounds. All
3 patients required subsequent surgery. Sixty-six percent required reoperation to
the standard Mitrofanoff with a mean time to this procedure of 222 days. The mean
number of procedures was 4 (range 2-6). CONCLUSION: Continent catheterizable
stomas have been a continuous challenge for adults who have had multiple
abdominal surgeries, making a typical appendix, ureter, or small bowel
Mitrofanoff difficult. We looked at our experience with a cutaneous Mitrofanoff
(an extraperitoneal procedure often done in pediatrics but never before in
adults) as an alternative but found complication rates of 100%. These included
stenosis and dehiscence eventually requiring reoperation, with some even
requiring conversion to an ileal or appendiceal Mitrofanoff. Although there are
high complication rates in the standard Mitrofanoff, we conclude that a
vesicocutaneous Mitrofanoff is not an effective alternative.
PMID- 22070896
TI - Gold nanoparticle probes: design and in vitro applications in cancer cell
culture.
AB - A new architecture has been designed by the conjugation of [(18)F]2-fluoro-2
deoxy-D-glucose ((18)F-FDG), gold nanoparticles (AuNPs), and anti-metadherin
(Anti-MTDH) antibody which is specific to the metadherin (MTDH) over-expressed on
the surface of breast cancer cells. Mannose triflate molecule is used as a
precursor for synthesis of (18)F-FDG by nucleophilic fluorination. For the
conjugation of (18)F-FDG and AuNPs, cysteamine was first bound to mannose
triflate (Man-CA) before synthesizing of (18)F-FDG which has cysteamine sides
((18)FDG-CA). Then, (18)FDG-CA was reacted with HAuCl(4) to obtain AuNPs and with
NaBH(4) for reduction of AuNPs. At the end of this procedure, AuNPs were
conjugated to (18)F-FDG via disulphide bonds ((18)FDG-AuNP). For the conjugation
of Anti-MTDH, 1,1'-carbonyl diimidazol (CDI) was bound to the (18)FDG-AuNP, and
Anti-MTDH was conjugated via CDI ((18)FDG-AuNP-Anti-MTDH). This procedure was
also performed by using Na(19)F to obtain non-radioactive conjugates ((19)FDG
AuNP-Anti-MTDH). Scanning electron microscopy (SEM) images demonstrated that
synthesized particles were in nano sizes. (18)FDG-AuNP-Anti-MTDH conjugate was
characterized and used as a model probe containing both radioactive and optical
labels together as well as the biological target. The (18)FDG-AuNP-Anti-MTDH
conjugate was applied to MCF7 breast cancer cell line and apoptotic cell ratio
was found to be increasing from 2% to 20% following the treatment. Hence, these
results have promised an important application potential of this conjugate in
cancer research.
PMID- 22070897
TI - Anti-fouling surfaces by combined molecular self-assembly and surface-initiated
ATRP for micropatterning active proteins.
AB - A simple method by combined molecular self assembly and surface-initiated atom
transfer radical polymerization (SI-ATRP) was proposed to prepare a biologically
inert surface for micropatterning active proteins. The MPEG microdomains having a
short terminal poly(ethylene glycol) (PEG) unit were prepared by self assembly of
2-(methyoxy(polyethylenoxy) propyl)trimethoxy silane (MPEG-silane). The remaining
local regions or poly(poly(ethylene glycol)methyl ether methacrylate-co-glycidyl
methacrylate) (P(PEGMEMA-co-GMA)) microdomains were produced via SI-ATRP of
PEGMEMA and GMA comonomers. The epoxy groups of the P(PEGMEMA-co-GMA)
microdomains were used directly for covalent coupling of an active protein (human
immunoglobulin or IgG) via the ring-opening reaction to produce the IgG-coupled
microdomains. The IgG-coupled microdomains interact only and specifically with
target anti-IgG, while the other antifouling microregions from self-assembled
monolayers with short terminal PEG units effectively prevent specific and non
specific protein fouling. When extended to other active biomolecules, microarrays
for specific and non-specific analyte interactions with a high signal-to-noise
ratio could be readily tailored.
PMID- 22070898
TI - Definition of acute insomnia: diagnostic and treatment implications.
PMID- 22070899
TI - The antimicrobial activity of photodynamic therapy against Streptococcus mutans
using different photosensitizers.
AB - Several photosensitizers have been used against oral bacteria without
standardization. Singlet oxygen ((1)O(2)) is an aggressive chemical species that
can kill cells through apoptosis or necrosis. OBJECTIVE: to compare the
antimicrobial activity of photodynamic therapy (PDT) with different
photosensitizers at the same concentration against Streptococcus mutans. In
addition, the (1)O(2) production of each photosensitizer was determined. The
photosensitizers (163.5 MUM) methylene blue (MB), toluidine blue ortho (TBO) and
malachite green (MG) were activated with a light-emitting diode (LED; lambda=636
nm), while eosin (EOS), erythrosine (ERI) and rose bengal (RB) were irradiated
with a curing light (lambda=570 nm). Light sources were operated at 24 J cm(-2).
For each photosensitizer, 40 randomized assays (n=10 per condition) were
performed under one of the following experimental conditions: no light
irradiation or photosensitizer, irradiation only, photosensitizer only or
irradiation in the presence of a photosensitizer. After treatment, serial
dilutions of S. mutans were seeded onto brain heart infusion agar to determine
viability in colony-forming units per milliliter (CFU mL(-1)). Generation of
(1)O(2) was analyzed by tryptophan photooxidation, and the decay constant was
estimated. Results were analyzed by one-way ANOVA and the Tukey-Kramer test
(p<0.05). PDT with irradiation in the presence of the photosensitizers TBO and MG
was effective in reducing S. mutans counts by 3 and 1.4 logs, respectively
(p<0.01), compared to their respective untreated controls. MB generated 1.3 times
more (1)O(2) than TBO, and both produced significantly higher concentrations of
singlet oxygen than the other photosensitizers. Since in vitro bulk (1)O(2)
production does not indicate that (1)O(2) was generated in the bacterial activity
site, the bactericidal action against S. mutans cannot be related to in vitro
singlet O(2) generation rate. In vitroS. mutans-experiments demonstrated TBO as
the only photosensitizer that effectively reduced 99.9% of these microorganisms.
PMID- 22070900
TI - Hydrogen-rich electrolyzed warm water represses wrinkle formation against UVA ray
together with type-I collagen production and oxidative-stress diminishment in
fibroblasts and cell-injury prevention in keratinocytes.
AB - Hydrogen-rich electrolyzed warm water (HW) was prepared at 41 degrees C and
exhibited dissolved hydrogen (DH) of 1.13 ppm and an oxidation-reduction
potential (ORP) of -741 mV in contrast to below 0.01 ppm and+184 mV for regular
warm water (RW). Fibroblasts OUMS-36 and keratinocytes HaCaT were used to examine
effects of HW against UVA-ray irradiation. Type-I collagen was synthesized 1.85-
to 2.03-fold more abundantly by HW application for 3-5 days than RW in OUMS-36
fibroblasts, and localized preferentially around the nuclei as shown by
immunostain. HW application significantly prevented cell death and DNA damages
such as nuclear condensation and fragmentation in UVA-irradiated HaCaT
keratinocytes as estimated by WST-1 and Hoechst 33342 assays. HW significantly
suppressed UVA-induced generation of intracellular superoxide anion radicals in
both the cell lines according to NBT assay. Wrinkle repression was clinically
assessed using a HW-bathing. Six Japanese subjects were enrolled in a trial of HW
bathing (DH, 0.2-0.4 ppm) every day for 3 months. HW-bathing significantly
improved wrinkle in four subjects on the back of neck on 90th day as compared to
0 day. Thus, HW may serve as daily skin care to repress UVA-induced skin damages
by ROS-scavenging and promotion of type-I collagen synthesis in dermis.
PMID- 22070903
TI - Do we need a global strategy for microbial conservation?
PMID- 22070902
TI - Influence of race and socioeconomic status on engagement in pediatric primary
care.
AB - OBJECTIVE: To understand the association of race/ethnicity with engagement in
pediatric primary care and examine how any racial/ethnic disparities are
influenced by socioeconomic status. METHODS: Visit videos and parent surveys were
obtained for 405 children who visited for respiratory infections. Family and
physician engagement in key visit tasks (relationship building, information
exchange, and decision making) were coded. Two parallel regression models
adjusting for covariates and clustering by physician were constructed: (1)
race/ethnicity only and (2) race/ethnicity with SES (education and income).
RESULTS: With and without adjustment for SES, physicians seeing Asian families
spoke 24% fewer relationship building utterances, compared to physicians seeing
White, non-Latino families (p<0.05). Latino families gathered 24% less
information than White, non-Latino families (p<0.05), but accounting for SES
mitigates this association. Similarly, African American families were
significantly less likely to be actively engaged in decision making (OR=0.32;
p<0.05), compared to White, non-Latino families, but adjusting for SES mitigated
this association. CONCLUSION: While engagement during pediatric visits differed
by the family's race/ethnicity, many of these differences were eliminated by
accounting for socioeconomic status. PRACTICE IMPLICATIONS: Effective targeting
and evaluation of interventions to reduce health disparities through improving
engagement must extend beyond race/ethnicity to consider socioeconomic status
more broadly.
PMID- 22070901
TI - Split-protein systems: beyond binary protein-protein interactions.
AB - It has been estimated that 650,000 protein-protein interactions exist in the
human interactome (Stumpf et al., 2008), a subset of all possible macromolecular
partnerships that dictate life. Thus there is a continued need for the
development of sensitive and user-friendly methods for cataloguing
biomacromolecules in complex environments and for detecting their interactions,
modifications, and cellular location. Such methods also allow for establishing
differences in the interactome between a normal and diseased cellular state and
for quantifying the outcome of therapeutic intervention. A promising approach for
deconvoluting the role of macromolecular partnerships is split-protein
reassembly, also called protein fragment complementation. This approach relies on
the appropriate fragmentation of protein reporters, such as the green fluorescent
protein or firefly luciferase, which when attached to possible interacting
partners can reassemble and regain function, thereby confirming the partnership.
Split-protein methods have been effectively utilized for detecting protein
protein interactions in cell-free systems, Escherichia coli, yeast, mammalian
cells, plants, and live animals. Herein, we present recent advances in
engineering split-protein systems that allow for the rapid detection of ternary
protein complexes, small molecule inhibitors, as well as a variety of
macromolecules including nucleic acids, poly(ADP) ribose, and iron sulfur
clusters. We also present advances that combine split-protein systems with
chemical inducers of dimerization strategies that allow for regulating the
activity of orthogonal split-proteases as well as aid in identifying enzyme
inhibitors. Finally, we discuss autoinhibition strategies leading to turn-on
sensors as well as future directions in split-protein methodology including
possible therapeutic approaches.
PMID- 22070904
TI - Change in binge eating and binge eating disorder associated with migration from
Mexico to the U.S.
AB - Exposure to Western popular culture is hypothesized to increase risk for eating
disorders. This study tests this hypothesis with respect to the proposed
diagnosis of binge eating disorder (BED) in an epidemiological sample of people
of Mexican origin in Mexico and the U.S. Data come from the Mexico National
Comorbidity Survey, National Comorbidity Survey Replication, and National Latino
and Asian American Survey (N = 2268). Diagnoses were assessed with the WMH-CIDI.
Six groups were compared: Mexicans with no migrant family members, Mexicans with
at least one migrant family member, Mexican return-migrants, Mexican-born
migrants in the U.S., and two successive generations of Mexican-Americans in the
U.S. The lifetime prevalence of BED was 1.6% in Mexico and 2.2% among Mexican
Americans. Compared with Mexicans in families with migrants, risk for BED was
higher in US-born Mexican-Americans with two U.S.-born parents (aHR = 2.58, 95%
CI 1.12-5.93). This effect was attenuated by 24% (aHR = 1.97, 95% CI 0.84-4.62)
with adjustment for prior-onset depressive or anxiety disorder. Adjustment for
prior-onset conduct disorder increased the magnitude of association (aHR = 2.75,
95% CI 1.22-6.20). A similar pattern was observed for binge eating. Among
respondents reporting binge eating, onset in the U.S. (vs. Mexico) was not
associated with prevalence of further eating disorder symptoms. Migration from
Mexico to the U.S. is associated with an increased risk for BED that may be
partially attributable to non-specific influences on internalizing disorders.
Among respondents reporting binge eating in either country, similar levels of
further symptoms were endorsed, suggesting some cross-cultural generalizability
of criteria.
PMID- 22070905
TI - Genetic variants in nuclear-encoded mitochondrial proteins are associated with
oxidative stress in obsessive compulsive disorders.
AB - Obsessive compulsive disorder is a common psychiatric disorder defined by the
presence of obsessive thoughts and repetitive compulsive actions. The mutations
or polymorphic variants in mitochondrial DNA-encoded genes or nuclear genes
result in oxidative stress, which has recently been associated with various
psychiatric disorders. In order to understand the association of mitochondrial
disorders with oxidative stress in obsessive compulsive disorder, we examined
genetic variants of manganese superoxide dismutase and uncouple-2 antioxidant
genes and malondialdehyde and glutathione, markers of oxidative stress. The study
sample comprised 104 patients with OCD and 110 healthy controls. For manganese
superoxide dismutase, the frequencies of CT (Ala/Val) genotype (p < 0.01) in
patients were significantly lower than those of controls. In contrast, CC
(Ala/Ala) genotype was significantly more frequent in patients than controls (p <
0.05). For uncouple-2 I/D, the frequencies of ID genotype (p < 0.01) and I allele
(p < 0.05) were lower in patients as compared with controls. In contrast, DD
genotype was more prevalent in patients than controls (p < 0.01). While whole
blood glutathione was significantly diminished (p < 0.0001), serum
malondialdehyde was significantly elevated in patients compared with controls (p
< 0.0001). Malondialdehyde levels were significantly elevated in subjects with DD
genotype of UCP-2 I/D (p < 0.05) and CC genotype of manganese superoxide
dismutase (p < 0.05) as compared with II or ID and TT or CT genotype,
respectively. Malondialdehyde levels in patients carrying CC (p < 0.05) or CT (p
< 0.05) genotype were significantly higher than those of carrying TT genotype. In
conclusion, CC genotype of manganese superoxide dismutase or DD genotype of UCP-2
might result in mitochondrial disorders by increasing oxidative stress in
obsessive compulsive disorders.
PMID- 22070906
TI - Perception of health risks of electromagnetic fields by MRI radiographers and
airport security officers compared to the general Dutch working population: a
cross sectional analysis.
AB - BACKGROUND: The amount of exposure to electromagnetic fields (EMF) at work is
mainly determined by an individual's occupation and may differ from exposure at
home. It is, however, unknown how different occupational groups perceive possible
adverse health effects of EMF. METHODS: Three occupational groups, the general
Dutch working population (n = 567), airport security officers who work with metal
detectors (n = 106), and MRI radiographers who work with MRI (n = 193), were
compared on perceived risk of and positive and negative feelings towards EMF in
general and of different EMF sources, and health concerns by using analyses of
variances. Data were collected via an internet survey. RESULTS: Overall, MRI
radiographers had a lower perceived risk, felt less negative, and more positive
towards EMF and different sources of EMF than the general working population and
the security officers. For security officers, feeling more positive about EMF was
not significantly related to perceived risk of EMF in general or EMF of domestic
sources. Feeling positive about a source did not generalize to a lower perceived
risk, while negative feelings were stronger related to perceived risk. MRI
radiographers had fewer health concerns regarding EMF than the other two groups,
although they considered it more likely that EMF could cause physical complaints.
CONCLUSIONS: These data show that although differences in occupation appear to be
reflected in different perceptions of EMF, the level of occupational exposure to
EMF as such does not predict the perceived health risk of EMF.
PMID- 22070907
TI - Measuring the outcomes of long-term care.
AB - How should we measure the value of long-term (social) care? This paper describes
a care-related quality of life instrument (ASCOT) and considers aspects of its
validity. In particular the aim is to assess whether ASCOT is better suited to
measuring the impact of long-term care services than the EQ5D health-related
quality of life measure. Long-term care services tend to be more concerned with
addressing the day-to-day consequences of long-term conditions. As such, a
quality of life measure should not be overly focused on the potential impact of
services on personal ability and should instead consider how services directly
help people to function in everyday life. Construct validity was judged by
assessing the degree to which measured quality of life improvement was consistent
with the theorised positive correlation between quality of life and the use of
home care services. In a 2008/9 sample of people using care services in England,
we found that the impact of service use was significant when measured by ASCOT,
but not significant when using EQ5D. The results support our hypothesis that
ASCOT has greater construct validity in this case.
PMID- 22070908
TI - Understanding socio-economic determinants of childhood mortality: a retrospective
analysis in Uganda.
AB - BACKGROUND: Teso sub-region of Eastern Uganda had superior indices of childhood
survival during the period 1959 to 1969 compared to the national average. We
analysed the reasons that could explain this situation with a view of suggesting
strategies for reducing childhood mortality. METHODS: We compared the childhood
mortalities and their average annual reduction rate (AARR) of Teso sub-region
with those of Uganda for the period 1959 to 1969. We also compared indicators of
social economic well being (such as livestock per capita and per capita intake of
protein/energy). In addition data was compared on other important determinants of
child survival such as level of education and rate of urbanisation. FINDINGS: In
1969 the infant mortality rate (IMR) for Teso was 94 per 1000 live births
compared to the 120 for Uganda. Between 1959 and 1969 the AARR for IMR for Teso
was 4.57% compared to 3% for Uganda. It was interesting that the AARR for Teso
was higher than that that of 4.4.% required to achieve millennium development
goal number four (MDG4). The rate of urbanisation and the level of education were
higher in Uganda compared to Teso during the same period. Teso had a per capita
ownership of cattle of 1.12 compared to Uganda's 0.44. Teso sub region had about
3 times the amount of protein and about 2 times the amount of calories compared
to Uganda. CONCLUSIONS: We surmise that higher ownership of cattle and growing of
high protein and energy foods might have been responsible for better childhood
survival in Teso compared to Uganda.
PMID- 22070909
TI - The STARR operation with the Contour 30(r) (Transtar(r)) stapler for the
treatment of obstructed defecation syndrome associated with rectocele and
internal rectal prolapse.
PMID- 22070910
TI - Porcine plasma as polyphosphate and caseinate replacer in frankfurters.
AB - The aim was to replace polyphosphate and caseinate by porcine blood plasma as
functional ingredients in frankfurters. Three trials, each consisting of one
control, formulated with caseinate and tripolyphosphate, and one test, formulated
with plasma, were carried out in a pilot plant. The frankfurters with plasma were
compared to their respective controls by determining the composition, water
holding capacity, cooking losses, internal colour, texture, microstructure,
sensorial characteristics, and overall acceptance. No significant differences
were found in proximate analysis, WHC, and cooking losses. Texture was not
affected by the replacement, according to both sensorial and instrumental
measurements. Nevertheless, the panellists detected the presence of animal taste
and odour in plasma-containing sausages. Despite this, their overall acceptance
was scored as 6.3 in a 10 maximum scale, so plasma could be considered as an
interesting alternative to produce healthier and cheaper frankfurters.
PMID- 22070911
TI - Neoadjuvant chemotherapy prior to surgery in head and neck cancer.
PMID- 22070913
TI - IL-1 receptor-associated kinase 3 gene (IRAK3) variants associate with asthma in
a replication study in the Spanish population.
PMID- 22070914
TI - Reference values and clinical application of magnetic peripheral nerve
stimulation in cats.
AB - Magnetic stimulation of radial (RN) and sciatic (SN) nerves was performed
bilaterally in 40 healthy cats. Reference values for onset latency and peak-to
peak amplitude of magnetic motor evoked potentials (MMEPs) were obtained and
compared with values of electric motor evoked potentials (EMEPs) in 10/40 cats.
Onset latencies and peak-to-peak amplitudes of the MMEPs of three cats with
polyneuropathy (PNP) were compared to the reference values. Magnetic motor evoked
responses were easily recorded in all normal cats. Significant differences were
found in onset latencies between MMEPs and EMEPs, but peak-to-peak amplitudes
were equal. The MMEPs of three cats with PNP can be seen as outliers in
comparison to the reference values. MMEPs from the RN and SN were easily obtained
and reproducible in normal cats. The technique could represent a useful adjunct
in the assessment of peripheral nerve disorders.
PMID- 22070912
TI - The C11orf30-LRRC32 region is associated with total serum IgE levels in asthmatic
patients.
PMID- 22070915
TI - Effects of the GnRH antagonist acyline on the testis of the domestic cat (Felis
catus).
AB - The aim of this study was to describe the effects of a single dose of the
gonadotrophin releasing hormone (GnRH) antagonist acyline on testicular
characteristics of the domestic cat. Twelve mature cats were orchidectomised
unilaterally (right testis) on Day -7 (n=7) or Day 15 (n=5). On Day 0, 330 MUg/kg
acyline was administered s.c. to all the animals. Left orchidectomy was carried
out on Day 15 (n=2), Day 30 (n=4) and Day 60 (n=6). Sperm were recovered from the
epididymis and the testes were evaluated grossly, histologically and
immunohistochemically. Significant differences (P<0.05) were found between days
for epididymal sperm motility, vigor, abnormal morphology, germinal epithelium
height, spermatocytes, spermatids, spermatozoa, lumen and cellular debris.
Conversely, no significant differences were found for gross testicular and
tubular characteristics, spermatogonia, Sertoli and Leydig cells and intertubular
compartments. It was concluded that a single dose of acyline reversibly impaired
spermiogenesis, spermatocytogenesis and sperm motility for 2 weeks.
PMID- 22070917
TI - Toll-like receptor 3-mediated tumor invasion in head and neck cancer.
AB - OBJECTIVES: Chronic inflammation associated with some infectious agents can lead
to cancer. The Toll-like receptor (TLR) family is one of the largest and best
studied families of pathogen-associated molecular patterns. TLR3 recognizes
double-stranded RNA and is a major effector of the immune response against viral
pathogens. MATERIALS AND METHODS: We investigated TLR3 protein expression in 153
oral squamous cell carcinoma (OSCC) specimens using tissue microarray.
Furthermore, we used polyinosinic-polycytidylic acid (poly I:C) to stimulate head
and neck cancer cells and an inhibitor of endosomal acidification bafilomycin A1
to block the TLR 3 signaling pathway to clarify the role of TLR 3 in OSCC.
RESULTS: Cytoplasmic TLR3 staining was observed in the vast majority of OSCC
tissues (73.2%). Strong TLR3 expression was significantly correlated with
patients whose tumors were poorly differentiated (P=0.028) and with perineural
invasion (P=0.023). Three of the four head and neck cell lines tested (Fadu, OC2,
and SCC4) expressed TLR3 mRNA, although at various levels. The stimulation of
TLR3-expressing OC2 cells with poly I:C caused the phosphorylation of IFN
regulatory factor 3 and IkappaB and sequentially induced the secretion of
interleukin-6 and chemokine (C-C motif) ligand 5 (CCL5) in a dose- and time
dependent manner. Moreover, poly I:C stimulation promoted CCL5-mediated migration
in OC2 cells. CONCLUSIONS: In this report, we provide a novel mechanism for tumor
invasion and the TLR3-dependent inflammatory response that could have therapeutic
implications for OSCC.
PMID- 22070918
TI - Proposed clinical classification for oral submucous fibrosis.
AB - Oral Submucous Fibrosis (OSMF) is a chronic, progressive, scarring disease, that
predominantly affects people of South Asia and South-East Asia, where chewing of
arecanut and its commercial preparation is high. Presence of fibrous bands is the
main characteristic feature of OSMF. Based on clinical and/or histopathological
features of OSMF, various classifications have been put forth till date. But the
advantages and drawbacks of these classification supersedes each other, leading
to perplexity. Our various studies and clinical experience in the field of OSMF
have initiated us to propose/introduce the new clinical classification which
could assist the clinician in the categorization of this potentially malignant
disorder according to its biological behaviour and hence its subsequent medical
and surgical management.
PMID- 22070919
TI - Priming does not enhance the efficacy of 1 Hertz repetitive transcranial magnetic
stimulation for the treatment of auditory verbal hallucinations: results of a
randomized controlled study.
AB - BACKGROUND: Low-frequency repetitive transcranial magnetic stimulation (rTMS)
applied to the left temporoparietal area (TP) has been investigated as a
treatment method for auditory verbal hallucinations (AVH) yielding inconsistent
results. In vitro studies have indicated that the effects of low-frequency rTMS
can be enhanced by a brief pretreatment phase consisting of high-frequency rTMS
(i.e., priming rTMS). OBJECTIVE: The aim of this single-blind, randomized
controlled study was to investigate whether the effects of rTMS on AVH can be
enhanced with priming rTMS. METHODS: Twenty-three patients with medication
resistant AVH were randomized over two groups: one receiving low-frequency rTMS
preceded by 5 minutes of 6 Hertz rTMS; and another receiving low-frequency rTMS
without priming. Both treatments were directed at the left TP. The total duration
of stimulation was equal in the two groups, namely, 15 sessions of 20 minutes
each. The severity of AVH and other psychotic features were measured with the aid
of the Auditory Hallucination Rating Scale (AHRS), the Positive and Negative
Syndrome Scale (PANSS) and the Psychotic Symptom Rating Scales (PSYRATS).
RESULTS: The severity of AVH and other psychotic symptoms in the group with
priming was not significantly lower after 3 weeks of treatment in comparison to
baseline. The group treated with standard rTMS showed a trend toward improvement
after 3 weeks of treatment. No significant differences were observed on any of
the rating scales between the group with and without priming. CONCLUSIONS: This
study does not provide evidence that priming rTMS is an effective treatment for
AVH.
PMID- 22070916
TI - The biology of head and neck cancer stem cells.
AB - Emerging evidence indicates that a small population of cancer cells is highly
tumorigenic, endowed with self-renewal, and has the ability to differentiate into
cells that constitute the bulk of tumors. These cells are considered the
"drivers" of the tumorigenic process in some tumor types, and have been named
cancer stem cells. Epithelial-mesenchymal transition (EMT) appears to be involved
in the process leading to the acquisition of stemness by epithelial tumor cells.
Through this process, cells acquire an invasive phenotype that may contribute to
tumor recurrence and metastasis. Cancer stem cells have been identified in human
head and neck squamous cell carcinomas (HNSCC) using markers such as CD133 and
CD44 expression, and aldehyde dehydrogenase (ALDH) activity. The head and neck
cancer stem cells reside primarily in perivascular niches in the invasive front
where endothelial-cell initiated events contribute to their survival and
function. In this review, we discuss the state-of-the-knowledge on the
pathobiology of cancer stem cells, with a focus on the impact of these cells to
head and neck tumor progression.
PMID- 22070920
TI - SOX2-RNAi attenuates S-phase entry and induces RhoA-dependent switch to protease
independent amoeboid migration in human glioma cells.
AB - BACKGROUND: SOX2, a high mobility group (HMG)-box containing transcription
factor, is a key regulator during development of the nervous system and a
persistent marker of neural stem cells. Recent studies suggested a role of SOX2
in tumor progression. In our previous work we detected SOX2 in glioma cells and
glioblastoma specimens. Herein, we aim to explore the role of SOX2 for glioma
malignancy in particular its role in cell proliferation and migration. METHODS:
Retroviral shRNA-vectors were utilized to stably knockdown SOX2 in U343-MG and
U373-MG cells. The resulting phenotype was investigated by Western blot,
migration/invasion assays, RhoA G-LISA, time lapse video imaging, and orthotopic
xenograft experiments. RESULTS: SOX2 depletion results in pleiotropic effects
including attenuated cell proliferation caused by decreased levels of cyclinD1.
Also an increased TCF/LEF-signaling and concomitant decrease in Oct4 and Nestin
expression was noted. Furthermore, down-regulation of focal adhesion kinase (FAK)
signaling and of downstream proteins such as HEF1/NEDD9, matrix
metalloproteinases pro-MMP-1 and -2 impaired invasive proteolysis-dependent
migration. Yet, cells with knockdown of SOX2 switched to a RhoA-dependent
amoeboid-like migration mode which could be blocked by the ROCK inhibitor Y27632
downstream of RhoA-signaling. Orthotopic xenograft experiments revealed a higher
tumorigenicity of U343-MG glioma cells transduced with shRNA targeting SOX2 which
was characterized by increased dissemination of glioma cells. CONCLUSION: Our
findings suggest that SOX2 plays a role in the maintenance of a less
differentiated glioma cell phenotype. In addition, the results indicate a
critical role of SOX2 in adhesion and migration of malignant gliomas.
PMID- 22070921
TI - ECM roles in the function of metabolic tissues.
AB - All metazoan cells produce and/or interact with tissue-specific extracellular
matrices (ECMs). Such ECMs play important structural roles not only in connective
tissues, but in all tissues in which they provide support and anchorage for
cells. However, in addition to such structural roles it has become increasingly
clear that the tissue-specific microenvironments formed by the ECM play
instructional roles that inform the proper phenotypes and functional behaviors of
specialized cell types, and recent in vivo and in vitro studies suggest that ECM
components also affect metabolic function. This review summarizes data that
provide insights into the roles of the ECM in informing the proper development
and functioning of highly specialized cells of metabolic tissues, such as
adipocytes and islet beta cells.
PMID- 22070922
TI - Frequency of KRAS, BRAF, and PIK3CA mutations in advanced colorectal cancers:
Comparison of peptide nucleic acid-mediated PCR clamping and direct sequencing in
formalin-fixed, paraffin-embedded tissue.
AB - KRAS, BRAF, and PIK3CA mutation testing before administration of anti-epidermal
growth factor receptor therapy of metastatic colorectal cancer (CRC) has become
important. However, considerable uncertainty exists regarding which detection
method can be applied in a reproducible, sensitive, and simple manner in the
routine diagnostic setting. We compared the detection rates of KRAS, BRAF, and
PIK3CA mutations in 92 routine formalin-fixed, paraffin-embedded CRC specimens by
2 discrete methods: direct sequencing and peptide nucleic acid (PNA)-mediated
PCR. The detection rates for KRAS, BRAF, and PIK3CA mutations by direct
sequencing were 20.7%, 3.3%, and 1.1%, respectively. PNA-mediated PCR clamping
significantly increased the percentages of KRAS, BRAF, and PIK3CA mutations by up
to 7.6%, 1.2%, and 5.4%, respectively, compared to the detection rate of regular
PCR followed by direct sequencing (p=0.039, p=0.250, and p=0.031, respectively).
The tumor volume of discordant cases was not significantly different from
concordant cases (56.2+/-28.7% vs. 67.6+/-17.9%, p=0.41), which implies that
there is a minor population of mutant alleles in the heterogeneous tumor
population. The PNA-mediated PCR clamping method is highly sensitive and is
efficiently applicable to the detection of KRAS, BRAF, and PIK3CA mutations in a
clinical setting.
PMID- 22070923
TI - Cognition and lobar morphology in full mutation boys with fragile X syndrome.
AB - The aims of the present study are twofold: (1) to examine cortical morphology
(CM) associated with alterations in cognition in fragile X syndrome (FXS); (2) to
characterize the CM profile of FXS versus FXS with an autism diagnosis (FXS+Aut)
as a preliminary attempt to further elucidate the behavioral distinctions between
the two sub-groups. We used anatomical magnetic resonance imaging surface-based
morphometry in 21 male children (FXS N=11 and age [2.27-13.3] matched controls
[C] N=10). We found (1) increased whole hemispheric and lobar cortical volume,
cortical thickness and cortical complexity bilaterally, yet insignificant changes
in hemispheric surface area and gyrification index in FXS compared to C; (2)
linear regression analyses revealed significant negative correlations between CM
and cognition; (3) significant CM differences between FXS and FXS+Aut associated
with their distinctive behavioral phenotypes. These findings are critical in
understanding the neuropathophysiology of one of the most common intellectual
deficiency syndromes associated with altered cognition as they provide human in
vivo information about genetic control of CM and cognition.
PMID- 22070924
TI - The interaction between surface color and color knowledge: behavioral and
electrophysiological evidence.
AB - In this study, we used event-related potentials (ERPs) to evaluate the
contribution of surface color and color knowledge information in object
identification. We constructed two color-object verification tasks - a surface
and a knowledge verification task - using high color diagnostic objects; both
typical and atypical color versions of the same object were presented. Continuous
electroencephalogram was recorded from 26 subjects. A cluster randomization
procedure was used to explore the differences between typical and atypical color
objects in each task. In the color knowledge task, we found two significant
clusters that were consistent with the N350 and late positive complex (LPC)
effects. Atypical color objects elicited more negative ERPs compared to typical
color objects. The color effect found in the N350 time window suggests that
surface color is an important cue that facilitates the selection of a stored
object representation from long-term memory. Moreover, the observed LPC effect
suggests that surface color activates associated semantic knowledge about the
object, including color knowledge representations. We did not find any
significant differences between typical and atypical color objects in the surface
color verification task, which indicates that there is little contribution of
color knowledge to resolve the surface color verification. Our main results
suggest that surface color is an important visual cue that triggers color
knowledge, thereby facilitating object identification.
PMID- 22070925
TI - Vancouver simplified grading system with computed tomographic angiography for
blunt aortic injury.
AB - OBJECTIVE: Delineation of blunt aortic injury by computed tomographic angiography
guides management of this potentially fatal injury. Two existing grading systems
are problematic to apply and not linked to outcomes. A simplified computed
tomographic angiography-based grading system, linked to clinical outcomes, was
developed, and feasibility and reliability were evaluated. METHODS: Retrospective
review was performed of all blunt aortic injury cases presenting to a single
provincial quaternary referral center designated for blunt aortic injury
management between 2001 and 2009. Management, associated injuries, hospital
survival, and cause of death were determined. Initial computed tomographic
angiography was reviewed, and injuries were graded according to the new Vancouver
simplified grading system by 2 study authors. Three additional trauma
radiologists then graded the aortic injuries with the 2 existing systems and the
simplified system. Interrater reliability was determined. RESULTS: Forty-eight
patients were identified. Two had minimal aortic injury (grade I), 7 had an
intimal flap larger than 1 cm (grade II), 32 had traumatic pseudoaneurysm (grade
III), 6 had active contrast extravasation (grade IV), and 1 could not be rated.
Survivals were 100%, 90%, and 33% for grades I and II, III, and IV, respectively.
Of grade III injuries, 14% were medically managed, 68% repaired endovascularly,
and 18% repaired with open surgery. Interrater correlation was best with the
simplified score, with only 0.5% of cases unable to be classified. CONCLUSIONS:
The Vancouver simplified blunt aortic injury grading system is easy to use and
correlates with clinical outcomes. Prospective external validation is required.
PMID- 22070927
TI - Endoscopic fundoplication for the treatment of gastroesophageal reflux disease:
initial experience.
AB - OBJECTIVE: Transoral incisionless fundoplication (TIF) is a promising approach
for gastroesophageal reflux disease (GERD) that may decrease morbidity compared
with conventional antireflux procedures. We report our initial experience with
this minimally invasive approach. METHODS: Over a 24-month period, 46 patients
(mean age, 49 years; 50% female) underwent 48 TIF procedures. All procedures were
performed under general anesthesia. Two surgeons participated in all cases; one
served as the endoscopist, and the other performed the partial fundoplication.
Heartburn severity was measured using the GERD health-related quality of life
(GERD-HRQL) instrument (best score = 0, worst score = 45), which includes an
additional question assessing overall satisfaction. RESULTS: Preoperatively, 33
(72%) of 46 patients had small (<3 cm) hiatal hernias, and none had undergone any
previous antireflux procedures. Preoperative workup included manometry and barium
esophagogram, with pH testing reserved for patients with atypical symptoms or
typical symptoms and a lack of response to proton-pump inhibitors. The mean
procedure time was 83 minutes (range, 36-180 minutes). The mean procedure time
decreased after the first 5 cases from 122 to 78 minutes (P = .001). Mean length
of stay was 1.3 days. One patient was readmitted with aspiration pneumonia. Three
patients had minor complications (1 had minor bleeding from a suture site and 2
had urinary retention). There were no perioperative deaths. Mean follow-up was
140 days. The mean GERD-HRQL scores improved significantly (23 vs 7; P < .001).
There were 22 patients with follow-up greater than 90 days (mean follow-up, 240
days). GERD-HRQL scores remained significantly improved for these patients (23 vs
8; P = .001). Four patients from the entire group (8.6%) had no improvement, in 3
instances due to breakdown of the wrap. Two patients were treated with repeat
endoscopic fundoplication and 1 was treated with laparoscopic Nissen
fundoplication, and all had a significant improvement in symptoms after
reoperation. CONCLUSIONS: TIF is effective at short-term follow-up and safe for
patients with GERD. However, long-term follow-up and randomized trials are
required to assess the efficacy and durability of this approach compared with
conventional surgical repair.
PMID- 22070928
TI - Low-dose spinal anesthesia with hyperbaric bupivacaine with intrathecal fentanyl
for operative hysteroscopy: a case series study.
AB - STUDY OBJECTIVE: To estimate the efficacy and tolerability of low dose spinal
anesthesia during operative hysteroscopy in a group of patients with high
surgical risks. DESIGN: Case series study (Canadian Task Force Classification II
2). SETTING: Tertiary centers for women health care. PATIENTS: A total of 47
women affected by endometrial polyps (n = 32), myomas (n = 8), and abnormal
uterine bleeding (n = 7) scheduled for inpatient operative hysteroscopy.
INTERVENTIONS: Transvaginal ultrasonography; office diagnostic hysteroscopy;
preoperative evaluation of American Society of Anesthesiologist (ASA)
classification; inpatient operative hysteroscopy; low-dose spinal anesthesia with
hyperbaric bupivacaine; compilation of a questionnaire. MAIN OUTCOME MEASURES:
Practicability and patients' subjective experiences with spinal anesthesia;
duration of cervical dilation and for operative hysteroscopy; infusion volume
needed; incidence of surgical complications. RESULTS: Resectoscopy was performed
in all patients, with the exception of 1 woman (2.1%) in which spinal anesthesia
was unsuccessful. No statistically significant differences were noted among
groups in terms of intra- and peri-operative findings. Sensory block induced by
spinal anesthesia was suitable for surgery in all patients, and side effects
occurred far less frequently than mentioned in the literature. Data reported in
the questionnaire revealed that 93.5% of women would choose a spinal anesthesia
again for a potential operative hysteroscopy in the future, since for 89.1% of
them long lasting anesthesia is of relevance. CONCLUSIONS: Low-dose spinal
anesthesia is a feasible technique in the inpatient setting for operative
hysteroscopy in women with high surgical risks.
PMID- 22070929
TI - Myomectomy decreases abnormal uterine peristalsis and increases pregnancy rate.
AB - BACKGROUND: The relationship between fibroids and infertility remains a critical
and unresolved question. During the implantation phase, it is known that uterine
peristalsis is dramatically reduced, which is thought to facilitate implantation
of the embryo to the endometrium. In the previous study, using a cine MRI mode,
we found that less than half of the patients with intramural fibroids exhibited
abnormal uterine peristalsis during the mid-luteal phase. In the present study,
we further investigated whether myomectomy for patients in the high peristalsis
group is a constructive method to normalize uterine peristalsis. METHODS: The
frequency of junctional zone movement was evaluated using a cine MRI mode during
the mid-luteal phase. Fifteen infertility patients, who had intramural myomas and
exhibited abnormal uterine peristalsis (>=2 times/3 min) in their first MRI,
underwent myomectomy and a second MRI. After receiving the second MRI, patients
underwent infertility treatment for at least 8 months, and pregnancy rate was
evaluated prospectively. RESULTS: Among 15 patients, the frequency of uterine
peristalsis was normalized (0 or 1 time/3 min) in 14 patients. Following
myomectomy and second MRI test, 6 of the 15 patients achieved pregnancy (n = 15,
pregnancy rate: 40%). CONCLUSIONS: The presence of uterine fibroids might induce
abnormal uterine peristalsis in some patients, leading to infertility, and
myomectomy may improve fertility in these patients.
PMID- 22070930
TI - Brain tumor eradication and prolonged survival from intratumoral conversion of 5
fluorocytosine to 5-fluorouracil using a nonlytic retroviral replicating vector.
AB - Patients with the most common and aggressive form of high-grade glioma,
glioblastoma multiforme, have poor prognosis and few treatment options. In 2
immunocompetent mouse brain tumor models (CT26-BALB/c and Tu-2449-B6C3F1), we
showed that a nonlytic retroviral replicating vector (Toca 511) stably delivers
an optimized cytosine deaminase prodrug activating gene to the tumor lesion and
leads to long-term survival after treatment with 5-fluorocytosine (5-FC).
Survival benefit is dose dependent for both vector and 5-FC, and as few as 4
cycles of 5-FC dosing after Toca 511 therapy provides significant survival
advantage. In the virally permissive CT26-BALB/c model, spread of Toca 511 to
other tissues, particularly lymphoid tissues, is detectable by polymerase chain
reaction (PCR) over a wide range of levels. In the Tu-2449-B6C3F1 model, Toca 511
PCR signal in nontumor tissues is much lower, spread is not always observed, and
when observed, is mainly detected in lymphoid tissues at low levels. The
difference in vector genome spread correlates with a more effective antiviral
restriction element, APOBEC3, present in the B6C3F1 mice. Despite these
differences, neither strain showed signs of treatment-related toxicity. These
data support the concept that, in immunocompetent animals, a replicating
retroviral vector carrying a prodrug activating gene (Toca 511) can spread
through a tumor mass, leading to selective elimination of the tumor after prodrug
administration, without local or systemic pathology. This concept is under
investigation in an ongoing phase I/II clinical trial of Toca 511 in combination
with 5-FC in patients with recurrent high-grade glioma (www.clinicaltrials.gov
NCT01156584).
PMID- 22070931
TI - Absence of the lectin activation pathway of complement does not increase
susceptibility to Pseudomonas aeruginosa infections.
AB - Pseudomonas aeruginosa remains one of the major clinical pathogens that burden
immuno-compromised patients and patients with cystic fibrosis. The present study
aimed to define the role of the lectin pathway of complement in the immune
defence against P. aeruginosa in a mouse model of invasive pneumonia. Using in
vitro assays specific for each of the three complement pathways, we demonstrate
that some strains of P. aeruginosa bind lectin pathway recognition sub-components
and initiate complement activation in a lectin pathway-specific mode. All of the
tested strains activated complement via classical and alternative pathways. We
assessed the importance of lectin pathway activation in fighting P. aeruginosa
infections by testing a lectin pathway activating strain in a mouse model of
intra-nasal infection. MASP-2 (mannan binding lectin associated serine protease
2) deficient mice, which have no lectin pathway activity, had no significant
survival disadvantage compared to wild type littermates (72.7% and 81.8%
survival, respectively, p=0.48). Likewise, no difference in opsonising activity
was seen between MASP-2 sufficient and MASP-2 deficient mouse sera. Moreover,
cytokine expression profiles in the lungs of WT mice and MASP-2-/- mice were
similar throughout the course of P. aeruginosa infection. We conclude that the
lectin pathway does not play an essential role in fighting P. aeruginosa
infection in mice.
PMID- 22070932
TI - Smurf2 regulates IL17RB by proteasomal degradation of its novel binding partner
DAZAP2.
AB - IL17RB is the receptor for IL17E, the only member of IL17 family promoting Th2
reactions. The mechanism of IL17BR regulation is poorly understood. We previously
demonstrated that expression of IL17RB is induced on human macrophages by IL4 and
enhanced by TGFbeta. In the present study we investigated the immediate signaling
targets of IL17RB. Using Yeast Two Hybrid screening we identified DAZAP2 as a
binding partner of IL17RB. We established that 2 SH2-binding domains of DAZAP2
are essential for its binding to IL17RB. Deletion of these domains or
substitution of tyrosines to alanines abrogates the binding. In IL17RB DAZAP2
binding domain was mapped to the region between aa 329 and 347 within its
cytoplasmic part. Confocal microscopy revealed that in primary human macrophages
that do not express IL17RB DAZAP2 is predominantly localized in the nucleus,
while in IL17RB positive macrophages a portion of DAZAP2 is visualized in the
cytoplasm. Stimulation of IL17RB with its ligand IL17E induces accumulation of
DAZAP2 in the cytoplasm. Further we established that DAZAP2 interacts with Smurf2
an E3 ubiquitin ligase which induces proteasome-dependent degradation of the
protein. In summary we established a new mechanism of IL17RB regulation-Smurf2
dependent degradation of its adaptor protein DAZAP2.
PMID- 22070933
TI - Cell therapy for refractory angina: time for more ACTion.
AB - Chronic ischemic heart disease is a major cause of patient morbidity and
healthcare expenditure. The development of therapies aimed to enhance
angiogenesis is targeted for patients with severe ischemic symptoms that persist
despite optimized medical therapy and in whom coronary revascularization
procedures are no longer feasible or helpful. Several different stem, progenitor
and mature cell types have so far shown potential to improve myocardial perfusion
and vascularity after transplantation in preclinical models of ischemia. However,
human studies of cell-based transfer have heavily focused on preventing cardiac
remodeling and dysfunction in the setting of myocardial infarction, while
relatively few have addressed the use of cells to treat patients suffering from
chronic debilitating angina. To this end, the recent ACT34-CMI trial represents a
seminal milestone in the clinical evolution of cell therapy for chronic ischemic
heart disease. In this phase II placebo-controlled study, myocardial injection of
autologous peripheral blood-derived CD34+ progenitor cells was shown to confer
considerable benefit for symptom frequency and exercise tolerance in patients
with refractory, class III and IV angina. The present commentary reviews the key
lessons from this unique trial and considers its contributions in moving the
field of cell-based cardiovascular research forward.
PMID- 22070934
TI - Pattern of childhood burn injuries and their management outcome at Bugando
Medical Centre in Northwestern Tanzania.
AB - BACKGROUND: Burn injuries constitute a major public health problem and are the
leading cause of childhood morbidity and mortality worldwide. There is paucity of
published data on childhood burn injuries in Tanzania, particularly the study
area. This study was conducted to describe the pattern of childhood burn injuries
in our local setting and to evaluate their management outcome. METHODS: A cross
sectional study was conducted at Bugando Medical Centre (in Northwestern
Tanzania) over a 3-year period from January 2008 to December 2010. Data was
collected using a pre-tested coded questionnaire and statistical analyses
performed using SPSS software version 15.0. RESULTS: A total of 342 burned
children were studied. Males were mainly affected. Children aged = 2 were the
majority accounting for 45.9% of cases. Intentional burn injuries due to child
abuse were reported in 2.9% of cases. Scald was the most common type of burns
(56.1%). The trunk was the most commonly involved body region (57.3%). Majority
of patients (48.0%) sustained superficial burns. Eight (2.3%) patients were HIV
positive. Most patients (89.8%) presented to the hospital later than 24 h. The
rate of burn wound infection on admission and on 10th day were 32.4% and 39.8%
respectively.Staphylococcus aureus were more common on admission wound swabs,
with Pseudomonas aeruginosa becoming more evident after 10th day. MRSA was
detected in 19.2% of Staphylococcus aureus. Conservative treatment was performed
in 87.1% of cases. Surgical treatment mainly skin grafting (65.9%) was performed
in 44 (12.9%) of patients. The overall average of the length of hospital stay
(LOS) was 22.12 +/- 16.62 days. Mortality rate was 11.7%. Using multivariate
logistic regression analysis; age of the patient, type of burn, delayed
presentation, clothing ignition, %TBSA and severity of burn were found to be
significantly associated with LOS (P < 0.001), whereas mortality rate was found
to be independently and significantly related to the age of the patient, type of
burn, HIV positive with stigmata of AIDS, CD4 count, inhalation injury, %TBSA and
severity of burn (P < 0.001). CONCLUSION: Childhood burn injuries still remain a
menace in our environment with virtually unacceptable high morbidity and
mortality. There is need for critical appraisal of the preventive measures and
management principles currently being practiced.
PMID- 22070935
TI - Age differential between outcomes of carotid angioplasty and stent placement and
carotid endarterectomy in general practice.
AB - BACKGROUND: Data derived from prospective randomized clinical trials suggest
differential comparative benefit between carotid angioplasty and stent (CAS)
placement and carotid endarterectomy (CEA) in various age strata. We sought to
investigate the impact of age on outcomes of CAS and CEA in general practice.
METHODS: We analyzed the data from the Nationwide Inpatient Sample (NIS), which
is representative of all admissions in the United States from 2005 to 2008. The
primary end point was occurrence of stroke, cardiac complications, or death
during the postprocedural period. Outcomes of interest were compared between
patients aged >=70 years and <70 years, undergoing CEA and CAS. Multivariate
logistic regression was performed to determine the effect of age on occurrence of
postoperative stroke, cardiac complications, or death. Covariates included in the
logistic regression were patient's age, gender, comorbid conditions, including
hypertension, diabetes mellitus (DM), chronic lung disease, coronary artery
disease (CAD), congestive heart failure (CHF), and renal failure; symptom status
(symptomatic vs asymptomatic status), and hospital characteristics. RESULTS: Of
the total 495,331 estimated patients who received treatment for CAD during the
study period, 88% underwent CEA and the remaining 12% underwent CAS. Of the total
procedures, 41% of the procedures were performed in patients aged <70 years
compared to the remaining 59% that were performed among patients aged >=70 years.
For patients undergoing CAS, age >=70 years was an important predictor of
postoperative stroke (P = .0025; odds ratio [OR], 1.7; 95% confidence interval
[CI], 1.2-2.5) and cardiac complications postprocedure (P = .045; OR, 1.3; 95%
CI, 1.0-1.6). For patients undergoing CEA, age >=70 years was associated with
higher cardiac complications (P < .001; OR, 1.5; 95% CI, 1.3-1.7) and higher
postoperative mortality risk (P = .0008; OR, 1.4; 95% CI, 1.1-1.8) compared to
patients aged <70 years. The increased risk of composite end point (postoperative
stroke/cardiac complications/mortality) among patients aged >=70 years was a
significant factor for patients undergoing either CAS or CEA (OR of 1.3 for both
procedures). CONCLUSION: Our analysis suggests that most CAS and CEAs are
performed in patients aged >=70 years in general practice, and higher rates of
postoperative complications are observed among these patients regardless of
procedure choice.
PMID- 22070937
TI - Factors affecting the patency of arteriovenous fistulas for dialysis access.
AB - BACKGROUND: The autologous arteriovenous fistula (AVF) is the accepted gold
standard mode of repeated vascular access for hemodialysis in terms of access
longevity, patient morbidity, and health care costs. This review assesses the
current evidence supporting the role of various patient and surgeon factors on
AVF patency. METHODS: The literature was searched to identify the current
evidence available for patient characteristics, methods of AVF planning, and
anatomic factors that may affect patency outcomes after AVF formation. The use of
adjuvant medications, surgical techniques, and policies for AVF maintenance are
discussed in relation to AVF patency. RESULTS: Current literature supports
patient factors, such as increasing age, presence of diabetes, smoking,
peripheral vascular disease, predialysis hypotension, and vessel characteristics,
as directly influencing AVF patency. Vessels of small caliber (<2 mm) or
demonstrating reduced distensibility are unlikely to create a functional AVF.
Current evidence does not support altered patency due to sex or raised body mass
index (<35 kg/m(2)). Factors such as early referral for AVF, preoperative
ultrasound vessel mapping, use of vascular staples, and intraoperative flow
measurements affected AVF patency, but the use of medical adjuvant therapies did
not. Programs of surveillance and various needling techniques to maintain patency
are not supported by current evidence. Novel techniques of infrared radiotherapy
and topical glyceryl trinitrate are possible future strategies to increase AVF
patency rates. The limitations of available evidence include a lack of large,
randomized controlled trials and meta-analysis data to support current practice.
CONCLUSIONS: There is a complex interaction of factors that may affect the
patency of an individual AVF. These need to be carefully considered when
selecting surgical site or technique, adjuvant treatments, and follow-up
protocols for AVFs.
PMID- 22070936
TI - Carotid endarterectomy in the acute phase of stroke-in-evolution is safe and
effective in selected patients.
AB - OBJECTIVE: This study documented with independent neurologic assessment the 30
day and 90-day outcomes in selected patients with severe internal carotid artery
(ICA) stenosis who underwent carotid endarterectomy (CEA) in the acute phase of
stroke-in-evolution (SIE). METHODS: From January 2003 to December 2010, data from
patients who had surgery <=2 weeks of an SIE with high-grade carotid stenosis
were extracted from two prospectively collected databases. Clinical assessment
was by the vascular neurologist using the National Institute of Health Stroke
Scale (NIHSS) and the modified Rankin Scale score. All patients had computed
tomography or magnetic resonance brain imaging <=3 hours of stroke onset. Those
eligible received thrombolysis. Duplex ultrasound imaging was initially used for
the diagnosis of severe (>=60%) ICA stenosis, and further assessment was by
magnetic resonance or computed tomography angiography, or both. Perioperative
medical treatment and operative techniques were standardized. Stroke, death,
major cardiac events, and functional outcome were analyzed. RESULTS: Twenty-seven
patients underwent carotid revascularization in the acute phase of SIE.
Fluctuating or progressive neurologic deficit was the presenting pattern in 20
patients and occurred after otherwise successful thrombolytic therapy in the
remaining 7 (26%). Median NIHSS score at admission was 8. Median delay to surgery
from the index event was 6 days. The mean degree of ICA stenosis was 87%. All
patients received antiplatelet and statin therapy during the intervening period.
Procedures were conventional CEA with patch angioplasty (polytetrafluoroethylene)
in 26 patients (96.3%) and redo interposition bypass grafting in 1 patient. CEA
was done under local anesthesia in 23 patients (85.2%), with selective shunting
in 3 (13.0%), and under general anesthesia, with systematic shunting in 4. At
discharge and at 1 and 3 months, no recurrent stroke or death, and one nonfatal
myocardial infarction occurred in this series, with a 100% complete follow-up. At
3 months, all patients had a favorable functional outcome defined as a modified
Rankin Scale score of <=2. CONCLUSIONS: This short series demonstrates that CEA
in the acute phase of SIE with strict selection criteria and close blood pressure
monitoring is safe, even after recent thrombolytic therapy, and is effective in
functional outcome at 3 months. Larger series of patients are required to confirm
the safety and efficacy of this management.
PMID- 22070938
TI - A novel approach using pulmonary artery catheter-directed rapid right ventricular
pacing to facilitate precise deployment of endografts in the thoracic aorta.
AB - OBJECTIVE: Controlled hypotension is critical for precise deployment of
endografts in the thoracic aorta and for safe balloon dilation after deployment.
We describe a novel approach to rapid right ventricular pacing using a pulmonary
artery catheter (PAC) that is placed during the procedure for hemodynamic
monitoring. METHODS: The study included 27 patients (20 men and seven women),
with a mean age of 74 years, who underwent endograft placement in the thoracic
aorta with PAC-directed rapid right ventricular pacing. Hemodynamic parameters,
accuracy of deployment, complications related to rapid right ventricular pacing
and PAC placement, presence of endoleaks, and postoperative complications were
evaluated. RESULTS: PAC-directed rapid right ventricular pacing was performed
during endograft deployment and balloon dilation after deployment without
technical difficulty. Each patient underwent a median of two pacing episodes
(range, 1-4). The length of each pacing episode was a mean of 11 seconds (range,
8-14 seconds). Mean pacing rate was 170 +/- 15 beats/min, which achieved an
average mean arterial pressure (MAP) of 42 +/- 8 mm Hg. After pacing cessation,
the recovery time of MAP to prepacing levels was <5 seconds (mean, 2 seconds) in
all but one patient. All endografts were precisely deployed at a mean of 2 mm
from the intended placement site, and there was no unintentional branch vessel
coverage. One patient with severe valvular heart disease died. There were nine
endoleaks, one postoperative stroke (4%), and one access wound hematoma (4%).
CONCLUSIONS: PAC-directed rapid right ventricular pacing is an effective method
of inducing hypotension, enabling precise thoracic endograft deployment and safe
balloon dilation after deployment. However, despite these advantages, the
technique may be contraindicated in patients with severe valvular or ischemic
heart disease.
PMID- 22070939
TI - Migration of central lines from the superior vena cava to the azygous vein.
AB - AIM: To report 11 cases of central venous access catheters migrating from the
superior vena cava to the azygos vein in order to raise radiologists' awareness
of this possibility. MATERIALS AND METHODS: This is a retrospective review of the
clinical history and imaging of 11 patients whose central line migrated from the
superior vena cava to the azygos vein. The time course of migration, access route
of the catheters, outcome, and depth of placement in the superior vena cava were
evaluated. RESULTS: All of these catheters were placed from the left; six through
the subclavian vein, four as PICC lines, and one from the left internal jugular
vein. Seven of the catheters were originally positioned in the superior vena
cava. Four of the catheters were originally positioned in the azygos vein and
were repositioned into the superior vena cava at the time of placement. The time
to migration ranged from 2 to 126 days, average 43 days. In three cases, the
migration was not reported at the first opportunity, resulting in a delay in
diagnosis ranging from 10 to 27 days. All but one of the catheters extended at
least 3.5 cm (range 1.8-7 cm) below the top of the right mainstem bronchus when
in the superior vena cava. CONCLUSION: Risk factors for migration into the azygos
vein include placement from a left-sided approach and original positioning in the
azygos vein with correction at placement. The depth of placement in the superior
vena cava was not a protective factor. It is important to recognize migration
because of the elevated risk of complications when central lines are placed in
the azygos vein.
PMID- 22070940
TI - Pulmonary blood volume imaging with dual-energy computed tomography: spectrum of
findings.
AB - Dual-energy (DE) pulmonary blood volume (PBV) computed tomography (CT) has
recently become available on clinical CT systems. The underlying physical
principle of DECT is the fact that the photoelectric effect is strongly dependent
on the CT energies resulting in different degrees of x-ray attenuation for
different materials at different energy levels. DECT thus enables the
characterization and quantification of iodine within tissues via imaging at
different x-ray energies and analysis of attenuation differences. Technical
approaches to DECT include dual-source scanners acquiring two scans with
different energy levels simultaneously, and single-source CT scanners using
sandwich detectors or rapid voltage switching. DE PBV CT enables the creation of
iodine maps of the pulmonary parenchyma. Experience to date shows that these
studies can provide additional physiological information in patients with acute
or chronic pulmonary embolism beyond the pure morphological assessment a standard
CT pulmonary angiography (CTPA) provides. It appears also to be promising for the
evaluation of patients with obstructive airways disease. This article reviews the
physics and technical aspects of DE PBV CT as well as the appearance of normal
and abnormal lung tissue on these studies. Special consideration is given to
pitfalls and artefacts.
PMID- 22070941
TI - Congenital anomalies of the inferior vena cava.
AB - Congenital anomalies of the inferior vena cava (IVC) and its tributaries are
increasingly recognized in asymptomatic patients due to the more frequent use of
cross-sectional imaging and computed tomography (CT) in particular. IVC
development is a complex process involving formation of anastomoses between three
pairs of embryonic veins in the 4th to 8th week of gestation. Various
permutations occur in the basic venous plan of the abdomen and pelvis resulting
in variants such as isolated left IVC, double IVC, and retroaortic left renal
vein. The majority of these anomalies are asymptomatic but occasionally present
clinically with thromboembolic complications. However, awareness of their
existence is important to avoid important diagnostic pitfalls and in preoperative
surgical and interventional radiological planning.
PMID- 22070943
TI - CT of the adrenal: not just distinguishing non-adenoma versus adenoma.
PMID- 22070944
TI - An observational study to evaluate the performance of units using two
radiographers to read screening mammograms.
AB - AIM: To examine the performance of screening units in which a proportion of
mammograms were double read using "non-discordant radiographer only (double)
reading" (NDROR). MATERIALS AND METHODS: NDROR was used by seven pilot units
between 2006 and 2009, and six further units in 2009 only. There were 51
comparison units. Screening performance outcome measures were calculated, and
logistic regression was used to compare performance between the pilot and
comparison units. RESULTS: Phase 1 pilot units read between on average 15 and 48%
of mammograms per year using NDROR between 2006 and 2009 (median, 33%) and in
2009, phase 2 pilot units used NDROR to read between 4 and 77% of mammograms
(median, 34%). The results showed an increase in recall rates in the phase 1
pilot units relative to the comparison units at both prevalent and incident
screens (adjusted OR 1.09, 95% CI 1.05, 1.14; and adjusted OR 1.10, 95% CI 1.07,
1.14, respectively). There were also increases in the phase 2 pilot units
relative to the comparison units; adjusted OR 1.08 (95% 1.00, 1.17) at prevalent
screens, and adjusted OR 1.07 (95% CI 1.02, 1.14) at incident screens. There was
no evidence to suggest a difference in cancer-detection rates between the pilot
units and the comparison units. CONCLUSIONS: Evidence from the present study
suggests that recall rates may increase as a result of units using radiographers
to double read a proportion of their mammograms. However, there is little
evidence to suggest that NDROR, as practiced by the pilot units in the present
study, is likely to have major impacts on performance in the UK National Health
Service Breast Screening Programme (NHSBSP), particularly if it is fully
supported and closely monitored (particularly recall rates).
PMID- 22070946
TI - Endovascular repair of spontaneous isolated dissection of the superior mesenteric
artery.
AB - AIM: To present our experience of the clinical management of spontaneous isolated
dissection of superior mesenteric artery (SIDSMA) and analyse the clinical
features, imaging findings, and treatment outcomes. MATERIALS AND METHODS: In
this retrospective study, eight consecutive patients with symptomatic SIDSMA were
treated in Chang Gung Memorial Hospital between April 2007 and April 2010; among
these patients, six underwent endovascular stent placement. The clinical
manifestations, imaging findings, endovascular stent placement outcome, and
follow-up results of the patients were retrospectively analysed. RESULTS: Eight
patients were diagnosed with SIDSMA by contrast-enhanced computer tomography. One
patient died due to comorbidity before angiography. Six patients underwent
percutaneous endovascular stent placement in the superior mesenteric artery
(SMA): four patients with bare stents and two with stent grafts. Because it was
not appropriate to perform stent implantation in the remaining patient, he
received only conservative treatment. All seven patients had an uneventful
recovery and the follow-up period was 16 month, ranging from 1 to 35 months.
CONCLUSION: For patients with symptomatic SIDSMA, endovascular repair is a
feasible treatment choice with a high success rate and good clinical outcome.
PMID- 22070947
TI - Bovine aortic arch: a novel association with thoracic aortic dilation.
AB - AIM: To investigate whether there is a link between bovine arch and thoracic
aortic aneurysm. MATERIALS AND METHODS: Computed tomography (CT) and magnetic
resonance imaging (MRI) images of the thorax of 191 patients with dilated
thoracic aortas and 391 consecutive, unselected patients as controls were
retrospectively reviewed. Bovine arch was considered present if either a shared
origin of the left common carotid and innominate arteries or an origin of the
left common carotid from the innominate artery was identified. A chi-square test
was used to evaluate the significance of differences between subgroups. RESULTS:
A trend towards increased prevalence of bovine arch was seen in patients with
dilated aortas (26.2%) compared to controls (20.5%, p=0.12). The association was
statistically significant in patients over 70 years old (31.9%, p=0.019) and when
dilation involved the aortic arch (47.6%, p=0.003). CONCLUSIONS: An association
between bovine arch and aortic dilation is seen in older patients, and when
dilation involves the aortic arch. Bovine arch should be considered a potential
risk factor for thoracic aortic aneurysm.
PMID- 22070948
TI - Language, perception, and the schematic representation of spatial relations.
AB - Schemas are abstract nonverbal representations that parsimoniously depict spatial
relations. Despite their ubiquitous use in maps and diagrams, little is known
about their neural instantiation. We sought to determine the extent to which
schematic representations are neurally distinguished from language on the one
hand, and from rich perceptual representations on the other. In patients with
either left hemisphere damage or right hemisphere damage, a battery of matching
tasks depicting categorical spatial relations was used to probe for the
comprehension of basic spatial concepts across distinct representational formats
(words, pictures, and schemas). Left hemisphere patients underperformed right
hemisphere patients across all tasks. However, focused residual analyses using
voxel-based lesion-symptom mapping (VLSM) suggest that (1) left hemisphere
deficits in the representation of categorical spatial relations are difficult to
distinguish from deficits in naming these relations and (2) the right hemisphere
plays a special role in extracting schematic representations from richly textured
pictures.
PMID- 22070968
TI - Tissue microbiology provides a coherent picture of infection.
AB - The vertebrate host represents an extraordinarily complex and heterogenous
environment which pathogenic bacteria of different types able to colonize and
infect. This implies that all contributory elements of an infected tissue type or
intact host are pre-requisites for full understanding of bacterial pathogenesis.
Within this context, intravital techniques allow such studies of infection under
realistic conditions within the live host, and with improved detection methods
another level of understanding is attained. Here we define this field as tissue
microbiology, with its focus placed on monitoring and mimicking host-pathogen
interaction within the dynamic micro-ecology significant for infectious niches in
the live host. Such all-inclusive approaches promise to more coherently define
relevant interactions and angles for disease intervention.
PMID- 22070969
TI - Use of composite refocusing pulses to form spin echoes.
AB - The radiofrequency pulses used in NMR are subject to a number of imperfections
such as those caused by inhomogeneity of the radiofrequency (B(1)) field and an
offset of the transmitter frequency from precise resonance. The effect of these
pulse imperfections upon a refocusing pulse in a spin-echo experiment can be
severe. Many of the worst effects, those that distort the phase of the spin echo,
can be removed completely by selecting the echo coherence pathway using either
the "Exorcycle" phase cycle or magnetic field gradients. It is then tempting to
go further and try to improve the amplitude of the spin-echo signal by replacing
the simple refocusing pulse with a broadband composite 180 degrees pulse that
compensates for the relevant pulse imperfection. We show here that all composite
pulses with a symmetric or asymmetric phase shift scheme will reintroduce phase
distortions into the spin echo, despite the selection of the echo coherence
pathway. In contrast, all antisymmetric composite pulses yield no phase
distortion whatsoever, both on and off resonance, and are therefore the correct
symmetry of composite refocusing pulse to use. These conclusions are verified
using simulations and (31)P MAS NMR spin-echo experiments performed on a
microporous aluminophosphate.
PMID- 22070970
TI - Suppression of sampling artefacts in high-resolution four-dimensional NMR spectra
using signal separation algorithm.
AB - The development of non-uniform sampling (NUS) strategies permits to obtain high
dimensional spectra with increased resolution in significantly reduced
experimental time. We extended a previously proposed signal separation algorithm
(SSA) to process sparse four-dimensional NMR data. It is employed for two
experiments carried out for a partially unstructured 114-residue construct of
chicken Engrailed 2 protein, namely 4D HCCH-TOCSY and 4D C,N-edited NOESY. The
SSA allowed us to obtain high-quality spectra using only as little as 0.16% of
the available samples, with low sampling artefacts approaching the thermal noise
level in most spectral regions. It is demonstrated that NUS 4D HCCH-TOCSY is
dominated by sampling noise and requires efficient artefact suppression. On the
other hand, 4D C,N-edited NOESY is a particularly attractive experiment for NUS,
as the absence of diagonal peaks renders the problem of artefacts less critical.
We also present a transverse-relaxation optimized sequence for HMQC that is
especially designed for longer evolution periods in the indirectly detected
proton dimension in high-dimensional pulse sequences. In conjunction with novel
sampling strategies and efficient processing methods, this improvement enabled us
to obtain unique structural information about aliphatic-amide contacts.
PMID- 22070971
TI - Comparison of interleukin IL-6 levels in the subretinal fluid and the vitreous
during rhegmatogenous retinal detachment.
PMID- 22070972
TI - Fibrolamellar hepatocellular carcinoma.
PMID- 22070973
TI - Abscisic acid root and leaf concentration in relation to biomass partitioning in
salinized tomato plants.
AB - Salinization is one of the most important causes of crop productivity reduction
in many areas of the world. Mechanisms that control leaf growth and shoot
development under the osmotic phase of salinity are still obscure, and opinions
differ regarding the Abscisic acid (ABA) role in regulation of biomass allocation
under salt stress. ABA concentration in roots and leaves was analyzed in a
genotype of processing tomato under two increasing levels of salinity stress for
five weeks: 100 mM NaCl (S10) and 150 mM NaCl (S15), to study the effect of ABA
changes on leaf gas exchange and dry matter partitioning of this crop under
salinity conditions. In S15, salinization decreased dry matter by 78% and induced
significant increases of Na(+) and Cl(-) in both leaves and roots. Dry matter
allocated in different parts of plant was significantly different in salt
stressed treatments, as salinization increased root/shoot ratio 2-fold in S15 and
3-fold in S15 compared to the control. Total leaf water potential (Psi(w))
decreased from an average value of approximately -1.0 MPa, measured on control
plants and S10, to -1.17 MPa in S15. In S15, photosynthesis was reduced by 23%
and stomatal conductance decreased by 61%. Moreover, salinity induced ABA
accumulation both in tomato leaves and roots of the more stressed treatment
(S15), where ABA level was higher in roots than in leaves (550 and 312 ng g(-1)
fresh weight, respectively). Our results suggest that the dynamics of ABA and ion
accumulation in tomato leaves significantly affected both growth and gas exchange
related parameters in tomato. In particular, ABA appeared to be involved in the
tomato salinity response and could play an important role in dry matter
partitioning between roots and shoots of tomato plants subjected to salt stress.
PMID- 22070974
TI - Distribution and change patterns of free IAA, ABP 1 and PM H+-ATPase during ovary
and ovule development of Nicotiana tabacum L.
AB - Auxin plays key roles in flower induction, embryogenesis, seed formation and
seedling development, but little is known about whether auxin regulates the
development of ovaries and ovules before pollination. In the present report, we
measured the content of free indole-3-acetic (IAA) in ovaries of Nicotiana
tabacum L., and localized free IAA, auxin binding protein 1 (ABP1) and plasma
membrane (PM) H+-ATPase in the ovaries and ovules. The level of free IAA in the
developmental ovaries increased gradually from the stages of ovular primordium to
the functional megaspore, but slightly decreased when the embryo sacs formed.
Immunoenzyme labeling clearly showed that both IAA and ABP1 were distributed in
the ovules, the edge of the placenta, vascular tissues and the ovary wall, while
PM H+-ATPase was mainly localized in the ovules. By using immunogold labeling,
the subcellular distributions of IAA, ABP1 and PM H+-ATPase in the ovules were
also shown. The results suggest that IAA, ABP1 and PM H+-ATPase may play roles in
the ovary and ovule initiation, formation and differentiation.
PMID- 22070975
TI - Gene families of maize glutathione-ascorbate redox cycle respond differently to
abiotic stresses.
AB - The glutathione-ascorbate (GSH-ASC) cycle in plants plays an important role in
detoxifying reactive oxygen species. Little is known about how the enzymes and
antioxidants in the maize GSH-ASC cycle respond to stress. We clarified the
genome positions, exon-intron structures and predicted subcellular locations of
the ascorbate peroxidase (APX), monodehydroascorbate reductase (MDAR),
dehydroascorbate reductase (DHAR) and glutathione reductase (GR) families in
maize. ABA treatment increased the transcript levels of most of the APX genes
except ZmAPX3 and ZmAPX6, upregulated the transcription of ZmMDAR1 and
downregulated the transcriptions of ZmMDAR3 and ZmMDAR4. However, it had little
effect on the expressions of the ZmDHAR and ZmGR gene families. ABA treatment
increased the activities of only 2 enzymes, ZmAPX and ZmDHAR. The PEG treatment
led to similar expression patterns as that of ABA. ZmAPX1.1 and ZmAPX2 exhibited
the same expression patterns under PEG treatment conditions. Enzyme activities
were not affected by the PEG treatment with the exception of a significant
decrease in MDAR activity that was observed after 6h. Compared to the ABA and PEG
treatments, the NaCl treatment only slightly affected the transcription of the
four gene families but significantly increased the activity of ZmGR. The ABA and
PEG treatments elevate the ASC levels and decrease the GSSG level. Our results
show that the gene families of the maize GSH-ASC redox cycle respond differently
to abiotic stresses and suggest that APX and MDAR may play more important roles
in stress tolerance in plants.
PMID- 22070976
TI - Time-dependent distribution of sulphur, sulphate and glutathione in wheat tissues
and grain as affected by three sulphur fertilization levels and late S
fertilization.
AB - Sulphur (S) fertilization has beneficial effects on yield and protein composition
of mature wheat kernels. However, to understand the impact of S fertilization on
storage protein composition, synthesis of S-containing compounds and their
distribution during grain development has to be examined. A pot experiment with
Triticum aestivum cultivar Turkis under three S fertilization levels (0 g, 0.1 g
und 0.2 g S per pot) and a late S fertilization level at ear emergence was
carried out. Stalk and leaves, flag leaves, ears and kernels were harvested
separately during grain development at ear emergence, milk ripeness and maturity,
and analyzed for elemental S, sulphate, glutathione, and protein concentration.
Sulphate is the major S compound in stalk, leaf and ears at the start of grain
development, whereas glutathione is more important for synthesis of S-containing
proteins in the grain. The discrepancy of S concentration comparing low and high
S fertilization became obvious after milk ripeness. The N/S ratios in ears at ear
emergence and milk ripeness reflected the later N/S ratio in mature grain. Late S
fertilization increased sulphate concentrations in the flag leaf within a short
period of about two weeks at ear emergence. Late S fertilization prevented S
deficiency in late stages of wheat growth and further enabled equal
concentrations of S, glutathione and protein in all wheat organs compared to an S
application only at sowing.
PMID- 22070977
TI - Identification of differentially expressed salt-responsive proteins in roots of
two perennial grass species contrasting in salinity tolerance.
AB - This study was designed to identify physiological responses and differential
proteomic responses to salinity stress in roots of a salt-tolerant grass species,
seashore paspalum (Paspalum vaginatum), and a salt-sensitive grass species,
centipedegrass (Eremochloa ophiuroides). Plants of both species were exposed to
salinity stress by watering the soil with 300 mM NaCl solution for 20 d in a
growth chamber. The 2-DE analysis revealed that the abundance of 8 protein spots
significantly increased and 14 significantly decreased in seashore paspalum,
while 19 and 16 protein spots exhibited increase and decrease in abundance in
centipedegrass, respectively. Eight protein spots that exhibited enhanced
abundance in seashore paspalum under salinity stress were subjected to mass
spectrometry analysis. Seven protein spots were successfully identified, they are
peroxidase (POD, 2.36-fold), cytoplasmic malate dehydrogenase (cMDH, 5.84-fold),
asorbate peroxidase (APX, 4.03-fold), two mitochondrial ATPSdelta chain (2.26
fold and 4.78-fold), hypothetical protein LOC100274119 (5.01-fold) and
flavoprotein wrbA (2.20-fold), respectively. Immunblotting analysis indicated
that POD and ATPSdelta chain were significantly up-regulated in seashore paspalum
at 20 d of salinity treatment while almost no expression in both control and salt
treatment of centipedegrass. These results indicated that the superior salinity
tolerance in seashore paspalum, compared to centipedegrass, could be associated
with a high abundance of proteins involved in ROS detoxification and energy
metabolism.
PMID- 22070978
TI - Effects of undenatured whey protein supplementation on CXCL12- and CCL21-mediated
B and T cell chemotaxis in diabetic mice.
AB - BACKGROUND: Long and persistent uncontrolled diabetes tends to degenerate the
immune system and leads to an increased incidence of infection. Whey proteins
(WPs) enhance immunity during early life and have a protective role in some
immune disorders. In this study, the effects of camel WP on the chemotaxis of B
and T cells to CXCL12 and CCL21 in diabetic mice were investigated. RESULTS: Flow
cytometric analysis of the surface expressions of CXCR4 (CXCL12 receptor) and
CCR7 (CCL21 receptor) on B and T cells revealed that the surface expressions of
CXCR4 and CCR7 were not significantly altered in diabetic and WP-supplemented
diabetic mice compared with control mice. Nevertheless, B and T lymphocytes from
diabetic mice were found to be in a stunned state, with a marked and significant
(P < 0.05) decrease in CXCL12- and CCL21-mediated actin polymerization and
subsequently, a marked decrease in their chemotaxis. WP supplementation in the
diabetes model was found to significantly increase CXCL12- and CCL21-mediated
actin polymerization and chemotaxis in both B and T cells. CONCLUSION: Our data
revealed the benefits of WP supplementation in enhancing cytoskeletal
rearrangement and chemotaxis in B and T cells, and subsequently improving the
immune response in diabetic mice.
PMID- 22070979
TI - Incorporation of in situ exposure and biomarkers response in clams Ruditapes
philippinarum for assessment of metal pollution in coastal areas from the Maluan
Bay of China.
AB - The clams Ruditapes philippinarum were used to assess the impact of metal
contaminants when transplanted to seven study sites along the Maluan Bay (China).
Metal concentrations in digestive gland tissues of clams after 7-day in situ cage
exposure were determined in conjunction with antioxidant enzyme activities. The
results showed the importance of specific antioxidant biomarkers to assess
complex pollutant mixtures and their good correlations to the pollutant
compositions of deployment sites. Multivariate analysis indicated causal
relationship between the chemicals at each study site and the biochemical
"response" of the caged clams at these sites and demonstrated the potential
presence of two different contaminant sources. This study suggested that the
incorporation of tissue residue analysis with biomarkers response in caged clams
together with factor analysis can be a useful biomonitoring tool for the
identification of causal toxic pollutants and the assessment of complex metal
pollutions in marine coastal environment.
PMID- 22070980
TI - Quantifying the sources of pollutants in the Great Barrier Reef catchments and
the relative risk to reef ecosystems.
AB - Development of the Great Barrier Reef (GBR) catchments in the last 150 years has
increased the loads of suspended sediment, nutrients and pesticides
('pollutants') delivered to the GBR. The scale and type of development, the
pollutants generated and the ecosystems offshore vary regionally. We analysed the
relative risk of pollutants from agricultural land uses and identified the
sources of these pollutants from different land uses for each region to develop
priorities for management. The assessment showed the Wet Tropics and Mackay
Whitsunday regions to be of relatively high risk dominated by sugarcane
cultivation, contributing pesticide and dissolved inorganic nitrogen (DIN). The
Burdekin and Fitzroy ranked medium-high risk dominated by grazing suspended
sediment inputs for both, and additionally sugarcane DIN and pesticide inputs for
the Burdekin. The Burnett Mary ranked medium risk, dominated by grazing and
sugarcane. Cape York was not formally ranked but is considered to be low risk.
PMID- 22070981
TI - Biomarker responses in Atlantic cod (Gadus morhua) exposed to produced water from
a North Sea oil field: laboratory and field assessments.
AB - Biological markers of produced water (PW) exposure were studied in Atlantic cod
(Gadus morhua) in both laboratory and field experiments, using authentic PW from
a North Sea oil field. In the laboratory study, the PW exposure yielded
significantly elevated levels of metabolites of polycyclic aromatic hydrocarbons
(PAHs) and alkylphenols (APs) in bile even at the lowest exposure dose (0.125%
PW). Other biomarkers (hepatic CYP1A induction and DNA adduct formation)
responded at 0.25% and 0.5% PW concentrations. In the field study, bile
metabolite markers and hepatic CYP1A were clearly increased in fish caged close
to the PW outfall. Induction of plasma vitellogenin was not found in laboratory
or field exposures, suggesting that the levels of oestrogen agonists (such as
APs) might not have been sufficient to elicit induction, under the present
conditions. The applicability of the biomarkers for use in water column
biomonitoring programs is discussed.
PMID- 22070982
TI - The relationship between heart rate variability and time-course of
carcinoembryonic antigen in colorectal cancer.
AB - BACKGROUND: Identifying new prognostic factors is important for guiding
treatments and preventing metastasis in cancer. Vagal nerve activity may predict
prognosis in cancer due to its roles in modulating inflammation, sympathetic
activity and oxidative stress. This study tested the relationship between heart
rate variability (HRV), a vagal nerve index, and the colon cancer (CC) marker
carcinoembryonic antigen (CEA), in an 'historical prospective' design. METHODS:
We examined data of 72 CC patients, without inflammatory or cardiac diseases, of
whom 38 had baseline electrocardiograms (ECG) and 12 month CEA levels. We
measured HRV (SDNN, RMSSD) from brief archived ECG. Multiple confounders were
considered. RESULTS: Controlling for effects of tumor stage and treatment
orientation, baseline HRV predicted CEA levels at 12 months (r=-.43, p=.006).
Patients with SDNN<20 ms had significantly higher CEA at 12months than those with
SDNN>20 ms. CONCLUSION: These preliminary results showed that higher HRV predicts
lower levels of a tumor marker, one year later, independent of confounders. This
supports the hypothesized role of vagal activity in tumor modulation. Replication
in larger samples is needed.
PMID- 22070983
TI - Calcium supplementation prevents obesity, hyperleptinaemia and hyperglycaemia in
adult rats programmed by early weaning.
AB - It is known that Ca therapy may have anti-obesity effects. Since early weaning
leads to obesity, hyperleptinaemia and insulin resistance, we studied the effect
of dietary Ca supplementation in a rat model. Lactating rats were separated into
two groups: early weaning (EW) - dams were wrapped with a bandage to interrupt
lactation in the last 3 d of lactation and control (C) - dams whose pups had free
access to milk during the entire lactation period (21 d). At 120 d, EW and C
offspring were subdivided into four groups: (1) C, received standard diet; (2)
CCa, received Ca supplementation (10 g of calcium carbonate/kg of rat chow); (3)
EW, received standard diet; (4) EWCa, received Ca supplementation similar to CCa.
The rats were killed at 180 d. The significance level was at P < 0.05. Adult EW
offspring displayed hyperphagia (28 %), higher body weight (9 %) and adiposity
(77 %), hyperleptinaemia (twofold increase), hypertriacylglycerolaemia (64 %),
hyperglycaemia (16 %), higher insulin resistance index (38 %) and higher serum 25
hydroxyvitamin D3 (fourfold increase), but lower adiponectinaemia:adipose tissue
ratio (44 %). In addition, they showed Janus tyrosine kinase 2 and phosphorylated
signal transducer and activator of transcription 3 underexpression in
hypothalamus (36 and 34 %, respectively), suggesting leptin resistance.
Supplementation of Ca for 2 months normalised these disorders. The EW group had
no change in serum insulin, thyroxine or triiodothyronine, and Ca treatment did
not alter these hormones. In conclusion, we reinforced that early weaning leads
to late development of some components of the metabolic syndrome and leptin
resistance. Dietary Ca supplementation seems to protect against the development
of endocrine and metabolic disorders in EW offspring, maybe through vitamin D
inhibition.
PMID- 22070985
TI - European regulation of herbal medicinal products on the border area to the food
sector.
AB - This article summarizes the regulation of herbal medicinal products in the EU
with emphasis on traditional herbal medicinal products (THMP) and provides an
evaluation of the borderline between medicine and food. Differences in the
regulation of THMP with influence on the harmonization are revealed. With regard
to the borderline between medicine and food, THMP may not be medicinal products
by function but by presentation. The thesis is established that depending on the
presentation, the product can be medicine (THMP) as well as food. To avoid
shifting into the food sector the regulatory system of THMP is evaluated with
regard to its attractiveness to applicants. Recommendations to achieve a better
harmonization of THMP in the EU and to increase the attractiveness of the
simplified registration procedure are given.
PMID- 22070984
TI - DNA vaccination with a gene encoding Toxoplasma gondii GRA6 induces partial
protection against toxoplasmosis in BALB/c mice.
AB - BACKGROUND: Infection with the protozoan Toxoplasma gondii causes serious public
health problems and is of great economic importance worldwide. Protection from
acute toxoplasmosis is known to be mediated by CD8+ T cells, but the T. gondii
antigens and host genes required for eliciting protective immunity have been
poorly defined. The T. gondii dense granule protein 6 (GRA6), recently proved to
be highly immunogenic and produces fully immune protection in T. gondii infected
BALB/c mice with an H-2Ld gene. The CD8+ T cell response of H-2Ld mice infected
by the T. gondii strain seemed to target entirely to a single GRA6 peptide HF10-H
2Ld complex. RESULTS: To determine whether a GRA6-based DNA vaccine can elicit
protective immune responses to T. gondii in BALB/c mice, we constructed a
eukaryotic expression vector pcDNA3.1-HisGRA6 and tested its immunogenicity in a
mouse model. BALB/c mice were vaccinated intramuscularly with three doses of GRA6
DNA and then challenged with a lethal dose of T. gondii RH strain tachyzoites.
All immunized mice developed high levels of serum anti-GRA6 IgG antibodies, and
in vitro splenocyte proliferation was strongly enhanced in mice adjuvanted with
levamisole (LMS). Immunization with pcDNA3.1-HisGRA6 with LMS resulted in 53.3%
survival of challenged BALB/c mice as compared to 40% survival of BALB/c without
LMS. Additionally, immunized Kunming mice without an allele of H-2Ld failed to
survive. CONCLUSIONS: Our result supports the concept that the acquired immune
response is MHC restricted. This study has a major implication for vaccine
designs using a single antigen in a population with diverse MHC class I alleles.
PMID- 22070986
TI - Psychopharmacological profile of Chamomile (Matricaria recutita L.) essential oil
in mice.
AB - In this study, the effect of Matricaria recutita L. essential oil (MEO) on the
central nervous system (CNS) of mice was investigated using some behavioral
methods. Chemical profiling both by GC and GC-MS analyses of the hydrodistilled
essential oil of M. recutita revealed alpha-bisabolol oxide A (28%), alpha
bisabolol oxide B (17.1%), (Z)-beta-Farnesene (15.9%) and alpha-bisabolol (6.8%)
as the main components. Changes induced by MEO (25, 50 and 100 mg/kg) and
reference drug caffeine (25 mg/kg) in spontaneous locomotor activities and motor
coordinations of mice were investigated by activity cage measurements and Rota
Rod tests, respectively. Open field, social interaction and elevated plus-maze
tests were applied to assess the emotional state of the animals. Further, tail
suspension test was performed for evaluating the effect of MEO on depression
levels of mice. As a result, at 50 and 100 mg/kg, MEO significantly increased the
numbers of spontaneous locomotor activities, exhibited anxiogenic effect in the
open field, elevated plus-maze and social interaction tests and decreased the
immobility times of animals in tail suspension tests. The falling latencies in
Rota-Rod tests did not change. This activity profile of MEO was similar to the
typical psychostimulant caffeine. The exact mechanism of action underlying this
stimulant-like effect should be clarified with further detailed studies.
PMID- 22070987
TI - Women with disabilities' experience with physical and sexual abuse: review of the
literature and implications for the field.
AB - While studies suggest that the rate of abuse of women with disabilities is
similar or higher compared to the general population, there continues to be a
lack of attention to this issue. Women with disabilities are at particularly high
risk of abuse, both through typical forms of violence (physical, sexual, and
emotional) and those that target one's disability. In an effort to highlight the
need for increased attention to this issue, this article reviews the current peer
reviewed research in this field. The authors outline recommendations for future
research goals and provide implications for research, practice, and policy.
PMID- 22070988
TI - Parenting interventions for male young offenders: a review of the evidence on
what works.
AB - Approximately one in four incarcerated male young offenders in the UK is an
actual or expectant father. This paper reviews evidence on the effectiveness of
parenting interventions for male young offenders. We conducted systematic
searches across 20 databases and consulted experts. Twelve relevant evaluations
were identified: 10 from the UK, of programmes for incarcerated young offenders,
and two from the US, of programmes for young parolees. None used experimental
methods or included a comparison group. They suggest that participants like the
courses, find them useful, and the interventions may improve knowledge about, and
attitudes to, parenting. Future interventions should incorporate elements of
promising parenting interventions with young fathers in the community, for
example, and/or with older incarcerated parents. Young offender fathers have
specific developmental, rehabilitative, and contextual needs. Future evaluations
should collect longer-term behavioural parent and child outcome data and should
use comparison groups and, ideally, randomization.
PMID- 22070989
TI - International casemix and funding models: lessons for rehabilitation.
AB - This series of articles for rehabilitation in practice aims to cover a knowledge
element of the rehabilitation medicine curriculum. Nevertheless they are intended
to be of interest to a multidisciplinary audience. The competency addressed in
this article is 'An understanding of the different international models for
funding of health care services and casemix systems, as exemplified by those in
the US, Australia and the UK.' BACKGROUND: Payment for treatment in healthcare
systems around the world is increasingly based on fixed tariff models to drive up
efficiency and contain costs. Casemix classifications, however, must account
adequately for the resource implications of varying case complexity.
Rehabilitation poses some particular challenges for casemix development.
OBJECTIVE: The objectives of this educational narrative review are (a) to provide
an overview of the development of casemix in rehabilitation, (b) to describe key
characteristics of some well-established casemix and payment models in operation
around the world and (c) to explore opportunities for future development arising
from the lessons learned. RESULTS: Diagnosis alone does not adequately describe
cost variation in rehabilitation. Functional dependency is considered a better
cost indicator, and casemix classifications for inpatient rehabilitation in the
United States and Australia rely on the Functional Independence Measure (FIM).
Fixed episode-based prospective payment systems are shown to contain costs, but
at the expense of poorer functional outcomes. More sophisticated models
incorporating a mixture of episode and weighted per diem rates may offer greater
flexibility to optimize outcome, while still providing incentive for throughput.
CONCLUSION: The development of casemix in rehabilitation poses similar challenges
for healthcare systems all around the world. Well-established casemix systems in
the United States and Australia have afforded valuable lessons for other
countries to learn from, but have not provided all the answers. A range of
casemix and payment models is required to cater for different healthcare
cultures, and casemix tools must capture all the key cost-determinants of
treatment for patients with complex needs.
PMID- 22070991
TI - Inviting the pharmacist: a model for improved reproductive care access.
PMID- 22070990
TI - Effectiveness of constraint-induced movement therapy on activity and
participation after stroke: a systematic review and meta-analysis of randomized
controlled trials.
AB - OBJECTIVE: To examine the effect of constraint-induced movement therapy and
modified constraint-induced movement therapy on activity and participation of
patients with stroke (i.e. the effect of different treatment durations and
frequency) by reviewing the results of randomized controlled trials. DATA
SOURCES: A systematic literature search was conducted in MEDLINE, CINAHL, EMBASE,
PEDro, OTSeeker, CENTRAL and by manual search. REVIEW METHODS: Randomized
controlled trials for patients over 18 years old with stroke and published in
Finnish, Swedish, English or German were included. Studies were collected up to
the first week in May 2011. The evidence was high, moderate, low or no evidence
according to the quality of randomized controlled trial and the results of meta
analyses. RESULTS: Search resulted in 30 papers reporting constraint-induced
movement therapy, including 27 randomized controlled trials published between
2001 and 2011. Constraint-induced movement therapy practice for 60-72 hours over
two weeks produced better mobility (i.e. ability to carry, move and handle
objects) with high evidence compared to control treatment. Constraint-induced
movement therapy for 20-56 hours over two weeks, 30 hours over three weeks and 15
30 hours over 10 weeks improved mobility of the affected upper extremity.
However, with self-care as an outcome measure, only 30 hours of constraint
induced movement therapy practice over three weeks demonstrated an improvement.
CONCLUSION: Constraint-induced movement therapy and modified constraint-induced
movement therapy proved to be effective on affected hand mobility and to some
extent self-care on the World Health Organization's International Classification
of Functioning, Disability and Health activity and participation component, but
further studies are needed to find out the optimal treatment protocols for
constraint-induced movement therapy.
PMID- 22070992
TI - Optical and spectroscopic studies on tannery wastes as a possible source of
organic semiconductors.
AB - Tanning industry produces a large quantity of solid wastes which contain hide
proteins in the form of protein shavings containing chromium salts. The chromium
wastes are the main concern from an environmental stand point of view, because
chrome wastes posses a significant disposal problem. The present work is devoted
to investigate the possibility of utilizing these wastes as a source of organic
semi-conductors as an alternative method instead of the conventional ones. The
chemical characterization of these wastes was determined. In addition, the
Horizontal Attenuated Total Reflection (HATR) FT-IR spectroscopic analysis and
optical parameters were also carried out for chromated samples. The study showed
that the chromated samples had suitable absorbance and transmittance in the
wavelength range (500-850 nm). Presence of chromium salt in the collagen samples
increases the absorbance which improves the optical properties of the studied
samples and leads to decrease the optical energy gap. The obtained optical energy
gap gives an impression that the environmentally hazardous chrome shavings wastes
can be utilized as a possible source of natural organic semiconductors with
direct and indirect energy gap. This work opens the door to use some hazardous
wastes in the manufacture of electronic devices such as IR-detectors, solar cells
and also as solar cell windows.
PMID- 22070993
TI - DFT studies on some properties of maleonitriledithiolate complexes [M(mnt)2]2-
(M=Ni, Pd, Pt and Zn, Cd, Hg).
AB - The structures and some molecular properties of the complexes M(mnt)(2)(2-)
(M=Ni, Pd, Pt and Zn, Cd, Hg; mnt(2-)=deprotonated maleonitriledithiolate) have
been studied by using density functional theory (DFT) B3LYP/LanL2DZ level of
theory. Computed binding energies show that the sequences of binding strengths
are Ni0.05). Studies showed that there was no relationship between
seroprevalence and the gender (P >0.05). CONCLUSIONS: The present survey
indicated the high seroprevalence of T. gondii in cats in Lanzhou, northwest
China, which poses a threat to animal and human health. Therefore, measures
should be taken to control and prevent toxoplasmosis of cats in this area.
PMID- 22071005
TI - Global transcriptome analysis of Bacillus cereus ATCC 14579 in response to silver
nitrate stress.
AB - Silver nanoparticles (AgNPs) were synthesized using Bacillus cereus strains.
Earlier, we had synthesized monodispersive crystalline silver nanoparticles using
B. cereus PGN1 and ATCC14579 strains. These strains have showed high level of
resistance to silver nitrate (1 mM) but their global transcriptomic response has
not been studied earlier. In this study, we investigated the cellular and
metabolic response of B. cereus ATCC14579 treated with 1 mM silver nitrate for 30
& 60 min. Global expression profiling using genomic DNA microarray indicated that
10% (n = 524) of the total genes (n = 5234) represented on the microarray were up
regulated in the cells treated with silver nitrate. The majority of genes
encoding for chaperones (GroEL), nutrient transporters, DNA replication, membrane
proteins, etc. were up-regulated. A substantial number of the genes encoding
chemotaxis and flagellar proteins were observed to be down-regulated. Motility
assay of the silver nitrate treated cells revealed reduction in their chemotactic
activity compared to the control cells. In addition, 14 distinct transcripts
overexpressed from the 'empty' intergenic regions were also identified and
proposed as stress-responsive non-coding small RNAs.
PMID- 22071007
TI - A seasonal survey of gastrointestinal parasites in captive wild impala antelope
on a game facility south of Lusaka, Zambia.
AB - Faecal samples (n = 1947) from captive wild impala (Aepyceros melampus melampus)
were examined over a period of 14 months to determine quantitative seasonal
helminth egg excretion patterns and qualitative protozoan oocyst excretion
patterns. Geometric mean monthly faecal egg counts (FECs) ranged from 20 to 575
and coprocultures revealed three parasite genera, namely Trichostrongylus,
Haemonchus and Strongyloides. Larvae of the Trichostrongylus spp. were most
predominant from faecal cultures. No trematode eggs or lungworms were detected
and eggs of the cestode Monezia were only seen in two samples during the entire
study period. The nematode FECs showed a marked seasonal variation, being higher
during the rainy season, moderate during the cool dry season and low during the
hot dry season. The rainy season had significantly higher FECs than the dry
season (P < 0.01). The percentage of helminth-egg positive faecal samples ranged
from 90.6 to 100% in the rainy season and 72.4 to 85.6% in the dry season.
Overall mean FECs in unpelleted faeces were significantly higher than in pelleted
faeces (P < 0.01). However, the FECs were not significantly different among
seasons in unpelleted faeces (P>0.05), but were significantly higher in pelleted
faeces in the rainy season than the dry season (P < 0.05). Pellet size had a
significant effect on FEC, with smaller pellets having higher FEC (P < 0.05).
Strongyloides eggs and coccidia oocysts were only seen during the rainy season.
This represents the first documentation of seasonal parasitic infestation in
captive wild antelopes in Zambia. Treatment and control strategies for helminths
in these captive wild impala are also suggested based on the findings from this
study.
PMID- 22071008
TI - Effect of omega-3 fatty acids on the modification of erythrocyte membrane fatty
acid content including oleic acid in peritoneal dialysis patients.
AB - Erythrocyte membrane fatty acids (FA), such as oleic acid, are related to acute
coronary syndrome. There is no report about the effect of omega-3 FA on oleic
acid in peritoneal dialysis (PD) patients. We hypothesized that omega-3 FA can
modify erythrocyte membrane FA, including oleic acid, in PD patients. In a double
blind, randomized, placebo-controlled study, 18 patients who were treated with PD
for at least 6 months were randomized to treatment for 12 weeks with omega-3 FA
or placebo. Erythrocyte membrane FA content was measured by gas chromatography at
baseline and after 12 weeks. The erythrocyte membrane content of eicosapentaenoic
acid and docosahexaenoic acid was significantly increased and saturated FA and
oleic acid were significantly decreased in the omega-3 FA supplementation group
after 12 weeks compared to baseline. In conclusion, erythrocyte membrane FA
content, including oleic acid, was significantly modified by omega-3 FA
supplementation for 12 weeks in PD patients.
PMID- 22071010
TI - In defence of our research on competition in England's National Health Service.
PMID- 22071009
TI - Ethnic differences in glucose disposal, hepatic insulin sensitivity, and
endogenous glucose production among African American and European American women.
AB - Intravenous glucose tolerance tests have demonstrated lower whole-body insulin
sensitivity (S(I)) among African Americans (AA) compared with European Americans
(EA). Whole-body S(I) represents both insulin-stimulated glucose disposal,
primarily by skeletal muscle, and insulin's suppression of endogenous glucose
production (EGP) by liver. A mathematical model was recently introduced that
allows for distinction between disposal and hepatic S(I). The purpose of this
study was to examine specific indexes of S(I) among AA and EA women to determine
whether lower whole-body S(I) in AA may be attributed to insulin action at
muscle, liver, or both. Participants were 53 nondiabetic, premenopausal AA and EA
women. Profiles of EGP and indexes of Disposal S(I) and Hepatic S(I) were
calculated by mathematical modeling and incorporation of a stable isotope tracer
([6,6-(2)H(2)]glucose) into the intravenous glucose tolerance test. Body
composition was assessed by dual-energy x-ray absorptiometry. After adjustment
for percentage fat, both Disposal S(I) and Hepatic S(I) were lower among AA (P =
.009 for both). Time profiles for serum insulin and EGP revealed higher peak
insulin response and corresponding lower EGP among AA women compared with EA.
Indexes from a recently introduced mathematical model suggest that lower whole
body S(I) among nondiabetic AA women is due to both hepatic and peripheral
components. Despite lower Hepatic S(I), AA displayed lower EGP, resulting from
higher postchallenge insulin levels. Future research is needed to determine the
physiological basis of lower insulin sensitivity among AA and its implications
for type 2 diabetes mellitus risk.
PMID- 22071011
TI - Effects of two different levels of computerized decision support on blood glucose
regulation in critically ill patients.
AB - INTRODUCTION: Although the use of computerized decision support systems (CDSS) in
glucose control in the ICU has been reported, little is known about the effect of
the systems' operating modes on the quality of glucose control. The objective of
this study was to evaluate the effect of providing patient-specific and patient
non-specific computerized advice on timing of blood glucose level (BGL)
measurements. Our hypothesis was that both levels of support would be effective
for improving the quality of glucose regulation and safety, with patient specific
advice being the most effective strategy. PATIENTS AND METHODS: A prospective
study was performed in a 30-bed mixed medical-surgical intensive care unit (ICU)
of a university hospital. In phase 1 the CDSS provided non-specific advice and
thereafter, in phase 2, the system provided specific advice on timing of BGL
measurements. The primary outcome measure was delay in BGL measurements before
and after the two levels of support. Secondary endpoints were sampling frequency,
mean BGL, BGL within pre-defined targets, time to capture target, incidences of
severe hypoglycemia and hyperglycemia. These indicators were analyzed over the
course of time using Statistical Control Charts. The analysis was restricted to
patients with at least two blood glucose measurements. RESULTS: Data of 3934
patient admissions were evaluated, which corresponded to 119,116 BGL
measurements. The BGL sampling interval, delays in BG sampling, and percentage of
hypoglycemia all decreased after introducing either of the two levels of decision
support. The effect was however larger for the patient specific CDSS. Mean BGL,
time to capture target, hyperglycemia index, percentage of hyperglycemia events
and "in range" measurements remained unchanged and stable after introducing both
patient non-specific and patient specific decision support. CONCLUSION: Adherence
to protocol sampling rules increased by using decision support with a larger
effect at the patient specific level. This led to a decrease in the percentage of
hypoglycemia events and improved safety. The use of the CDSS at both levels,
however, did not improve the quality of glucose control as measured by our
indicators. More research is needed to investigate whether other socio-technical
factors are in play.
PMID- 22071012
TI - Do hospital physicians' attitudes change during PACS implementation? A cross
sectional acceptance study.
AB - PURPOSE: The purpose of this study is to gain a better insight into the reasons
why hospital physicians accept and use a Picture Archiving and Communication
System (PACS). Two research questions are put forward, pertaining to (1) factors
that contribute to physicians' acceptance of PACS, and (2) whether these factors
change as physicians gain experience in using PACS. METHODS: Questionnaires were
administered at three moments in time during the PACS implementation process in a
private hospital: just before its introduction (T1), four months later (T2), and
about fifteen months after the introduction of PACS (T3). The Unified Theory of
Acceptance and Use of Technology was chosen as the theoretical framework for this
study. Hence, the following scales were measured: performance expectancy, effort
expectancy, social influence, facilitating conditions, behavioral intention, and
self-reported frequency of use. RESULTS: Forty-six usable responses were obtained
at T1, 52 at T2 and 61 at T3. Three variables directly influenced PACS acceptance
(measured as behavioral intention and use of PACS): effort expectancy,
performance expectancy, and social influence; and their influence evolved over
time. Effort expectancy was of particular importance at T1, whereas performance
expectancy influenced acceptance at T2 and T3; social influence was the only
consistent predictor of PACS acceptance at all times. Variance explained in
behavioral intention ranged from .26 at T1 to .58 at T3. CONCLUSIONS: In this
setting, the main motivation for physicians to start using PACS is effort
expectancy, whereas performance expectancy only becomes important after the
physicians started using PACS. It is also very important that physicians perceive
that their social environment encourages the use of PACS.
PMID- 22071013
TI - Factors affecting trajectory patterns of self-rated health (SRH) in an older
population--a community-based longitudinal study.
AB - Self-rated health (SRH) is considered a relevant and important predictor for
major health outcomes in the older population. SRH status may interact with
certain factors and change over a person's lifetime. In this study, we sought to
characterize profiles of older people over time by constructing prototypical
trajectories of the variable of interest, namely SRH. The underlying assumption
was that the collection of observed individual trajectories could be efficiently
summarized by a smaller set of latent clusters of those trajectories. Data was
obtained from the Longitudinal Survey of Health and Living Status of the Elderly
in Taiwan, which was conducted between 1989 and 2003 and included five separate
waves of survey. A total of 3937 subjects aged 60 or older (2251 males and 1686
females) comprised the major analytic cohort. Latent Class Growth Analysis (LCGA)
was used to identify developmental classes of trajectory patterns in SRH. The
results showed that during a 14-year period, SRH developed five major
longitudinal trajectories. Less than one-third of the older population was able
to maintain their formerly good or moderate health status; when change occurred,
decline was more likely than improvement. In addition, LCGA indicated that many
demographic characteristics, as well as physical and psychological propensities,
were associated with poor SRH in the older population. Specifically, these
factors played a role in involving baseline SRH level and its trend toward
deterioration in later life. Health care professionals must understand the
various longitudinal patterns and factors affecting SRH trajectories if they are
to develop programs aimed at maintaining the older population's health and well
being.
PMID- 22071014
TI - Elevated levels of serum glial fibrillary acidic protein breakdown products in
mild and moderate traumatic brain injury are associated with intracranial lesions
and neurosurgical intervention.
AB - STUDY OBJECTIVE: This study examines whether serum levels of glial fibrillary
acidic protein breakdown products (GFAP-BDP) are elevated in patients with mild
and moderate traumatic brain injury compared with controls and whether they are
associated with traumatic intracranial lesions on computed tomography (CT) scan
(positive CT result) and with having a neurosurgical intervention. METHODS: This
prospective cohort study enrolled adult patients presenting to 3 Level I trauma
centers after blunt head trauma with loss of consciousness, amnesia, or
disorientation and a Glasgow Coma Scale (GCS) score of 9 to 15. Control groups
included normal uninjured controls and trauma controls presenting to the
emergency department with orthopedic injuries or a motor vehicle crash without
traumatic brain injury. Blood samples were obtained in all patients within 4
hours of injury and measured by enzyme-linked immunosorbent assay for GFAP-BDP
(nanograms/milliliter). RESULTS: Of the 307 patients enrolled, 108 were patients
with traumatic brain injury (97 with GCS score 13 to 15 and 11 with GCS score 9
to 12) and 199 were controls (176 normal controls and 16 motor vehicle crash
controls and 7 orthopedic controls). Receiver operating characteristic curves
demonstrated that early GFAP-BDP levels were able to distinguish patients with
traumatic brain injury from uninjured controls with an area under the curve of
0.90 (95% confidence interval [CI] 0.86 to 0.94) and differentiated traumatic
brain injury with a GCS score of 15 with an area under the curve of 0.88 (95% CI
0.82 to 0.93). Thirty-two patients with traumatic brain injury (30%) had lesions
on CT. The area under these curves for discriminating patients with CT lesions
versus those without CT lesions was 0.79 (95% CI 0.69 to 0.89). Moreover, the
receiver operating characteristic curve for distinguishing neurosurgical
intervention from no neurosurgical intervention yielded an area under the curve
of 0.87 (95% CI 0.77 to 0.96). CONCLUSION: GFAP-BDP is detectable in serum within
an hour of injury and is associated with measures of injury severity, including
the GCS score, CT lesions, and neurosurgical intervention. Further study is
required to validate these findings before clinical application.
PMID- 22071015
TI - [Role of medical treatment for symptomatic leiomyoma management in premenopausal
women].
AB - The most frequent symptom with leiomyoma is menometrorrhagia. However, it can be
responsible of pelvic pain, dysmenorrhea or urinary and digestive compression
when it is particularly voluminous. These recommandations were made in order to
review medical management of fibroids. If no therapy is able to have them
disappear, various drugs may reduce their related symptoms. Tranexamic acid, non
steroidal anti-inflammatory drugs and high dose of oestrogen may be useful in the
management of acute hemorrhagic disorders. Progestin, such as lynestrenol induces
small reduction in leiomyoma volume and moderate increase in hemoglobin level
before surgery. Pregnane and nor-pregnane may improve menstrual bleeding in short
or mild delays. The use of Gonadotropin Releasing Hormone (GnRH) agonists can
reduce menstrual bleeding with hemoglobin recovery. Add-back therapy using
tibolone seems interesting since secondary effects encountered with GnRH agonists
may be reduced. Levonorgestrel-releasing intrauterine system is proven to reduce
increased menstrual bleeding and restore hemoglobin level. Aminoglutethimide and
fadrozole have been underevaluated to conclude when letrozole seems as efficient
as GnRH agonists to reduce leiomyoma volume and provide less hot flushes.
Anastrozol is associated with reduction in leiomyomata volume, pain and menstrual
bleeding. Mifepristone reduces the size of uterine leiomyomata, improves
symptomatology, but could be associated with development of endometrial
hyperplasia. SPRM evaluated in females have shown to improve leiomyoma related
symptomatology. Danazol could be useful to reduce leiomyoma related symptoms in
short terms. Tamoxifen and raloxifen show modest overall benefit. Because of
insufficient data concerning fulvestrant, pirfenidone or interferon, their
prescription cannot be recommended in patients with leiomyomata.
PMID- 22071016
TI - ["Postpartum psychosis": did you check blood ammonia level?].
PMID- 22071017
TI - [Assessment of the benefit-harm balance depending on gestationnal age to induce
delivery for post-term pregnancies].
AB - OBJECTIVES: To attempt to determine for post-term pregnancies the optimal
gestational age when the benefit-harm balance is in favor of induction labor in
comparison with an expectative management including close monitoring. METHODS:
Articles were searched using PubMed, Embase and Cochrane library. RESULTS:
Current literature data are insufficient to demonstrate that routine labor
induction is superior, inferior or equivalent to an expectant management to
reduce maternal and perinatal mortality and morbidity (EL2). Although it is
impossible to determine certainly a gestational age for which the benefit-harm
balance is in favor of induction labor, epidemiological data regarding the
perinatal mortality suggest that an expectant management is an unreasonable
option after 42 completed weeks (EL3). Current data are insufficient to state
positively or negatively that routine labor induction is associated significantly
to a lower rate of cesarean delivery in comparison with an expectant management
(EL2). There is no evidence of a statistically significant difference in the risk
of cesarean section between the two policies for women with favorable cervices
(Bishop score >= 5) (EL2). CONCLUSIONS: Induction of labor at 41(+0) to
42(+6)weeks should be proposed to women with uncomplicated post-term pregnancies
(EL2). The optimal age gestionnal for induction will depend mainly on maternal
characteristics (EL4), but also on women's preferences and organization of
maternity cares, after having delivered information regarding the benefits and
harms of both labor induction and expectant management (expert opinion). After
42(+0)weeks, expectant management is a possible option (expert opinion).
Nevertheless, it may be associated with an increase of risks for the fetus, that
must be explained to the patient and be weighed against the possible
disadvantages of an induction of labor (expert opinion).
PMID- 22071018
TI - [Laparoscopic colorectal resection for deep pelvic endometriosis: Evaluation of
post-operative outcome].
AB - OBJECTIVES: Evaluation of mid-term functional results and the quality of life
after laparoscopic colorectal resection. PATIENTS AND METHODS: Twenty-three
consecutive patients were included in a retrospective monocentric study.
Postoperative functional outcomes and quality of life were analyzed. RESULTS: The
median follow-up after colorectal resection was of 24+/-15.7 months (6-72). Major
complications occurred in three cases (12,9%) including one anastomotic stenosis,
one digestive and one bladder fistula. A significant improvement in pelvic pain
symptoms was observed. De novo constipation and pain on defecation occurred in
respectively 23% and 42% of the cases. Transient de novo dysuria occurred in 18%
of the cases. The quality of life has been significantly improved. CONCLUSION:
Laparoscopic colorectal resection is associated with unfavourable postoperative
digestive and urological outcomes, such as bladder and rectal dysfunction.
Radical treatment should be limited to selected patients.
PMID- 22071019
TI - Screening and identification of a renal carcinoma specific peptide from a phage
display peptide library.
AB - BACKGROUND: Specific peptide ligands to cell surface receptors have been
extensively used in tumor research and clinical applications. Phage display
technology is a powerful tool for the isolation of cell-specific peptide ligands.
To screen and identify novel markers for renal cell carcinoma, we evaluated a
peptide that had been identified by phage display technology. METHODS: A renal
carcinoma cell line A498 and a normal renal cell line HK-2 were used to carry out
subtractive screening in vitro with a phage display peptide library. After three
rounds of panning, there was an obvious enrichment for the phages specifically
binding to the A498 cells, and the output/input ratio of phages increased about
100 fold. A group of peptides capable of binding specifically to the renal
carcinoma cells were obtained, and the affinity of these peptides to the
targeting cells and tissues was studied. RESULTS: Through a cell-based ELISA,
immunocytochemical staining, immunohistochemical staining, and
immunofluorescence, the Phage ZT-2 and synthetic peptide ZT-2 were shown to
specifically bind to the tumor cell surfaces of A498 and incision specimens, but
not to normal renal tissue samples. CONCLUSION: A peptide ZT-2, which binds
specifically to the renal carcinoma cell line A498 was selected from phage
display peptide libraries. Therefore, it provides a potential tool for early
diagnosis of renal carcinoma or targeted drug delivery in chemotherapy.
PMID- 22071021
TI - Children and drug law reform.
PMID- 22071020
TI - High tie versus low tie of the inferior mesenteric artery: a protocol for a
systematic review.
AB - In anterior resection of rectum, the section level of inferior mesenteric artery
is still subject of controversy between the advocates of high and low tie. The
low tie is the division and ligation to the branching of the left colic artery
and the high tie is the division and ligation at its origin at the aorta. We
intend to assess current scientific evidence in literature and to establish the
differences comparing technique, anatomy and physiology. The aim of this protocol
is to achieve a meta-analysis that tests safety and feasibility of the two
procedures with several types of outcome measures.
PMID- 22071022
TI - The development of PCR methodology for the identification of species of the
tapeworm Moniezia from cattle, goats and sheep in central Vietnam.
AB - The aims of this study were to investigate the prevalence of Moniezia spp. in
domestic ruminants in central Vietnam and to develop a polymerase chain reaction
(PCR) technique to distinguish M. expansa from M. benedeni. Among 2040 examined
domestic animals (540 cattle, 800 goats, 700 sheep) Moniezia was recovered from
5.4% of cattle, 16.4% of sheep and 20.6% of goats. A set of primers for PCR was
designed to classify M. expansa and M. benedeni based on the amplification of DNA
corresponding to the internal transcribed spacer of 5.8S rRNA. The 457 specimens
(75 from cattle, 162 from goats, 150 from sheep, 30 from horses, 30 from chickens
and 10 from dogs) were subjected to PCR for classification of Moniezia spp. PCR
products with the expected sizes were amplified from bovine, ovine and caprine
specimens. No specific PCR products were found for specimens from horses,
chickens and dogs. Of the 75 specimens from cattle, nine were classified as M.
expansa and 66 were M. benedeni. Among 162 caprine specimens, 138 were M. expansa
and 24 were M. benedeni. The distribution of M. expansa and M. benedeni in 150
ovine specimens was 132 and 18, respectively. These results show that M. expansa
is dominant in goats and sheep, whereas M. benedeni is more common in cattle; PCR
can be used for classification of these two species.
PMID- 22071023
TI - Evaluation of the bone status in high-level cyclists.
AB - The purpose of this study was to evaluate the bone status in highly trained
professional cyclists subjected to regular training and tough competitions. Bone
mineral density (BMD) was measured at different regions of interest by dual
energy X-ray absorptiometry, and main biological parameters related to bone
metabolism were obtained in 29 cyclists. Lumbar BMD was 0.94 +/- 0.01g/cm(2) (Z
score=-1.28 +/- 0.07), and 1 cyclist out of 4 had an abnormally low value (Z
score <-2). The mean Z-score at the total femoral site was -1.22 +/- 0.21, and
45% of athletes had an Z-score of <-2. All femoral neck BMD values were within
normal boundaries. The lowest BMD Z-score was measured at the midradius or 1/3
proximal site with a mean Z-score of -1.77 +/- 0.78, but only 3 cyclists (15%)
had Z-scores <-2. Biochemical parameters of bone formation (serum osteocalcin and
alkaline phosphatase) were normal. Three cyclists had low 25-hydroxyvitamin D
levels. Blood testosterone and thyroid stimulating hormone were in the normal
range. Insulin-like growth factor 1 levels were in the normal range; however, a
significant inverse correlation was found with lumbar BMD (r=0.495; p=0.003). We
confirm that cycling has no positive effect on BMD, BMD being often lower than in
normal controls at the lumbar site; femoral BMD is less concerned. The absence of
beneficial changes at the spine can be explained by biomechanical conditions
related to the cyclists' position, reducing loading strains. It is necessary to
pay greater attention to the bone status of high-level athletes to prevent an
increased risk of fractures.
PMID- 22071024
TI - Predictors of bone mineral density testing in patients at high risk of
osteoporosis: secondary analyses from the OSTEOPHARM randomized trial.
AB - In a randomized trial, we demonstrated that a community pharmacist osteoporosis
screening intervention doubled the rates of bone mineral density (BMD) testing in
high-risk patients. The purpose of this secondary analysis was to evaluate the
potentially modifiable factors associated with BMD testing. From 2005 to 2007, 15
pharmacies randomized 262 patients to intervention (education, pamphlets, point
of-care quantitative heel ultrasound [QUS]) or usual care. The main outcome was
BMD testing within 4mo. Multivariate regression was used to determine independent
correlates of BMD testing. The median age of the cohort was 62yr, 65% were women,
and 49% (n=129) were randomized to intervention. Compared with patients who were
not tested, those with BMD were more likely to be women (p=0.007) and have
excellent or very good health (p<0.001). Postrandomization correlates of BMD test
were intervention (p=0.017), greater osteoporosis knowledge (p=0.004), and
osteoporosis-specific physician visits (p<0.001). In adjusted analyses, only
female sex (adjusted odds ratio [aOR]: 3.0; 95% confidence interval [CI]: 1.3
7.4) and osteoporosis-specific visits (aOR: 3.2; 95% CI: 1.4-7.8) were
independently associated with BMD testing. In analyses restricted to intervention
patients, abnormal QUS (aOR: 3.7, 95% CI: 1.4-9.1) was the only independent
predictor of BMD test. Future interventions should incorporate the finding that
osteoporosis-specific visits and abnormal QUS results were strongly associated
with getting a BMD testing and should give greater attention to men.
PMID- 22071025
TI - Association between vascular calcification and osteoporosis in men with type 2
diabetes.
AB - Atherosclerotic vascular disease is common in diabetes, and some data support a
link with bone loss. This study evaluates the association between osteoporosis
and clinical and metabolic factors and chronic complications of diabetes. We
studied 59 diabetic men aged 50-80 yr who were assessed with bone densitometry
(dual-energy X-ray absorptiometry). Of them, 10.2% of the patients were found to
have osteoporosis in the lumbar spine and 45.8% osteopenia, whereas in the
femoral neck, 11.8% had osteoporosis and 49% had osteopenia. There was a
significant association of osteoporosis in the lumbar spine L1-L4 (p=0.004) and
in the femoral neck (p=0.036) with iliac artery calcification. In addition, there
was no association with any other metabolic factors, clinical factors, or chronic
complications of diabetes evaluated, except for an association between a previous
personal history of fractures (p=0.016) and low bone mineral density in the
femoral neck. In conclusion, we found a positive association between the iliac
artery calcification and osteoporosis in type 2 diabetic male patients.
PMID- 22071026
TI - Hip strength in adults with type 1 diabetes is associated with age at onset of
diabetes.
AB - We investigated the association of age at onset of type 1 diabetes with areal
bone mineral density (aBMD), estimates of bone strength, and outer diameter.
Using dual-energy X-ray absorptiometry (DXA), aBMD, axial strength (cross
sectional area [CSA]), bending strength (section modulus [SM]), and outer
diameter at the narrow neck, intertrochanter, and shaft of the proximal femur
were determined for 60 adults. Analysis of covariance (ANCOVA) was used to
determine if the DXA-based measures of bone were related to age at onset and if
this relationship differed by gender. Age at onset, gender, and the interaction
of age at onset by gender were included in the ANCOVA models along with current
age, duration, height, lean soft tissue mass, and hemoglobin A1c as covariates.
In the adjusted models with CSA, SM, or outer diameter as the dependent variable,
age at onset (p<0.01) and gender (p<0.0001) were significant with no interaction.
For shaft aBMD, there was a significant age at onset by gender interaction
(p=0.0285), where an earlier onset was associated with lower aBMD in the femoral
shaft of females but not males. The findings suggest that an earlier onset of
type 1 diabetes is associated with lower measures of bone strength and outer
diameter.
PMID- 22071027
TI - Influence of different DXA acquisition modes on monitoring the changes in bone
mineral density after hip resurfacing arthroplasty.
AB - Dual-energy X-ray absorptiometry (DXA) is a technique enabling the measurement of
bone mineral density (BMD) around prostheses after hip resurfacing arthroplasty
(HRA). In this study, we evaluated the consistency of different DXA acquisition
modes with 33 patients who had undergone HRA. Patients were scanned with DXA
immediately after surgery and at 3-, 6-, and 12-mo time points. All the patients
were scanned with dual femur and orthopedic hip acquisition modes and analyzed
using 10-region ROI model. With both acquisition modes, a statistically
significant decrease (p<0.05, Wilcoxon's test) in BMD at 3mo was revealed in 3
ROIs, located to upper and lateral upper femur. Both acquisition modes detected
similarly (p<0.01) preservation of the femoral bone stock within 12mo in all but
1 ROI. The applied acquisition protocols involved the use of different footplates
for hip fixation. Because the differences between acquisition modes ranged
between +1.6% and -7.1% and the reproducibility of BMD values can vary by as much
as 28% due to hip rotation, it is proposed that both dual femur and orthopedic
hip acquisition modes can be used to monitor the changes in BMD after HRA.
However, the same hip rotation is recommended for all DXA measurements.
PMID- 22071028
TI - Validation of diagnostic codes for subtrochanteric, diaphyseal, and atypical
femoral fractures using administrative claims data.
AB - Administrative claims databases have large samples and high generalizability.
They have been used to evaluate associations of atypical femoral fractures with
bisphosphonates. We developed and assessed accuracy of claims-based algorithms
with hospital and physician diagnosis codes for these fractures. Medical records
and radiology reports of all adults admitted at University of Alabama at
Birmingham Health System from 2004 to 2008 with International Classification of
Diseases, Ninth Revision hospital discharges and surgeons' fracture repair codes
for subtrochanteric femoral fractures and random sample of other femoral
fractures were reviewed. We identified 137 persons with suspected subtrochanteric
femoral fractures and randomly selected 50 persons with either suspected
diaphyseal femoral fractures or hip fractures other than subtrochanteric and
diaphyseal femoral fractures (typical hip fractures). Eleven patients had
radiographic features indicative of atypical femoral fractures. The positive
predictive value (PPV) of claims-based algorithms varied with primary or
secondary positions on discharge diagnoses and the sources of diagnosis codes.
The PPV for fractures ranged 69-89% for subtrochanteric femoral, 89-98% for
diaphyseal femoral, and 85-98% for typical hip fractures. The PPV of
administrative codes for defining a femoral fracture as atypical was low and
imprecise. Claims-based algorithms combining hospital discharges with surgeon's
diagnosis codes had high PPV to identify the site of subtrochanteric or
diaphyseal femoral fractures vs typical hip fractures. However, claims-based data
were not accurate in identifying atypical femoral fractures. These claims
algorithms will be useful in future population-based observational studies to
evaluate associations between osteoporosis medications and subtrochanteric and
diaphyseal femoral fractures.
PMID- 22071029
TI - BMD measurement and precision: a comparison of GE Lunar Prodigy and iDXA
densitometers.
AB - This study assessed bone mineral density (BMD) comparability and precision using
Lunar Prodigy and iDXA densitometers (GE Healthcare, Madison, WI) in adults.
Additionally, the utility of supine forearm measurement with iDXA was
investigated. Lumbar spine and bilateral proximal femur measurements were
obtained in routine clinical manner in 345 volunteers, 202 women and 143 men of
mean age 52.5 (range: 20.1-91.6)yr. Seated and supine distal forearm scans were
obtained in a subset (n=50). Lumbar spine and proximal femur precision
assessments were performed on each instrument following International Society for
Clinical Densitometry recommendations in 30 postmenopausal women. BMD at the L1
L4 spine, total proximal femur, and femoral neck was very highly correlated
(r(2)>=0.98) between densitometers, as was the one-third radius site (r(2)=0.96).
Bland-Altman analyses demonstrated no clinically significant bias at all
evaluated sites. BMD precision was similar between instruments at the L1-L4
spine, mean total proximal femur, and femoral neck. Finally, one-third radius BMD
measurements in the supine vs seated position on the iDXA were highly correlated
(r(2)=0.96). In conclusion, there is excellent BMD correlation between iDXA and
Prodigy densitometers. Similarly, BMD precision is comparable with these two
instruments.
PMID- 22071030
TI - Impact of a new sarco-osteopenia definition on health-related quality of life in
a population-based cohort in Northern Europe.
AB - Sarcopenia has been shown to be a marker of falling; therefore, combining
osteopenia and sarcopenia could identify a frailer, higher-fracture-risk
population. We aimed to define sarco-osteopenia (SOP) in a population-based
healthy young sample using both muscle functional and quantitative parameters and
assessing the impact of this definition on health-related quality of life. A
population sample of 304 patients aged 25-70 yr was analyzed with a Lunar DPX-IQ
dual-energy X-ray absorptiometry machine (GE Healthcare, Pollards Wood, UK), and
their health-related quality of life was assessed with the Short-Form-36 (SF-36)
questionnaire. SOP was defined as bone mineral density (BMD) -1 standard
deviation (SD) and height-adjusted appendicular muscle mass -2 SD and/or grip
strength -2 SD less than the mean values of 77 young individuals in the
population sample (age: 25-39 yr). Our proposed SOP definition identifies 3-9% of
the population older than 40 yr as sarco-osteopenic. These individuals also show
markedly lower scores in the role-physical (p=0.01), vitality (p=0.03), and role
emotional (p=0.02) subscales of the SF-36 questionnaire. No difference in the
quality of life was observed between osteopenic individuals and those with normal
BMD. The new definition identifies a population with significant decrements in
health-related quality of life.
PMID- 22071032
TI - Morphometric endoscopic study of the pharynx in patients with sleep apnea.
AB - PURPOSE: The aims of the study were to measure endoscopically the retrolingual
pharynx during wakefulness and sleep before and after maxillomandibular
advancement surgery and to quantify the changes observed. MATERIALS AND METHODS:
Eighteen patients with mild to severe grade obstructive sleep apnea hypopnea were
evaluated during wakefulness while sitting and lying down and during induced
sleep in dorsal decubitus while breathing naturally. Images of the retrolingual
region of the pharynx were captured with a nasofibroscope and recorded on a DVD
using the Sony Vegas 8.0 software (Sony Creative Software, Madison, WI). The
images captured in greater and smaller aperture were measured with the Image J
software (produced by Wayne Rasband, United States National Institutes of Health,
Bethesda, MD) in linear anteroposterior and linear laterolateral areas. A
correction factor was then applied to equalize the size of the images and thus
compare them to one another. RESULTS: The postoperative dimensions of the pharynx
always increased significantly in all measurements compared with the preoperative
ones. During induced sleep in dorsal decubitus, there was a greater gain in the
area of smaller aperture (201.33%). CONCLUSIONS: The proposed method showed that
the dimensions of the pharynx always increased significantly after surgery for
maxillomandibular advancement, although the gain was not homogeneous in all
dimensions and also varied according to state of consciousness. The greatest gain
was observed in the area of smaller aperture with the patient in induced sleep,
thus reducing the collapse of the pharynx.
PMID- 22071031
TI - Genetic diversity of influenza A(H1N1)2009 virus circulating during the season
2010-2011 in Spain.
AB - BACKGROUND: Genetic diversity of influenza A(H1N1)2009 viruses has been reported
since the pandemic virus emerged in April 2009. Different genetic clades have
been identified and defined based on amino acid substitutions found in the
haemagglutinin (HA) protein sequences. In Spain, circulating influenza viruses
are monitored each season by the regional laboratories enrolled in the Spanish
Influenza Surveillance System (SISS). The analysis of the HA gene sequence helps
to detect the genetic diversity and viral evolution. OBJECTIVES: To perform an
analysis of the genetic diversity of influenza A(H1N1)2009 viruses circulating in
Spain during the season 2010-2011 based on analysis of the HA sequence gene.
STUDY DESIGN: Phylogenetic analysis based on the HA1 subunit of the
haemagglutinin gene was carried out on 220 influenza A(H1N1)2009 viruses
circulating during the season 2010-2011. RESULTS: Six different genetic groups
were identified among circulating A(H1N1)2009 viruses, five of them were
previously reported during season 2010-2011. A new group, characterized by E172K
and K308E changes and a proline at position 83, was observed in 12.27% of the
Spanish viruses. CONCLUSION: Co-circulation of six different genetic groups of
influenza A(H1N1)2009 viruses was identified in Spain during the season 2010
2011. Nevertheless, at this stage, none of the groups identified to date have
resulted in significant antigenic changes according to data collected by World
Health Organization Collaborating Centres for influenza surveillance.
PMID- 22071033
TI - Clinical manifestations and prognosis of patients with Ramsay Hunt syndrome.
AB - PURPOSE: Patients with Ramsay Hunt syndrome have a poorer prognosis than those
with Bell palsy despite the use of various treatment modalities. We compared the
clinical characteristics, treatment methods, and outcomes in patients with Ramsay
Hunt syndrome and Bell palsy. MATERIALS AND METHODS: Patients with Ramsay Hunt
syndrome were compared with patients with Bell palsy treated using oral steroids
and with those treated with both steroids and an antiviral agent. Functional
recovery of the facial nerve was scored according to the House-Brackmann grading
system. Patients were followed up until recovery or for 3 months. Recovery rates
in each group were assessed by age, sex, and initial and last House-Brackmann
grade. RESULTS: Compared with patients with Bell palsy, those with Ramsay Hunt
syndrome were generally younger, had initially more severe facial palsy, and a
lower recovery rate. Various factors including initial House-Brackmann grade,
starting time to treatment, age, comorbid disease, electroneurography, and
electromyography showed some correlations with prognosis in all groups. The
addition of antiviral agents to an oral steroid regimen did not improve the
recovery rate of patients with Bell palsy. CONCLUSION: Patients with Ramsay Hunt
syndrome have a poorer prognosis than do those with Bell palsy.
PMID- 22071034
TI - Climate change and health: indoor heat exposure in vulnerable populations.
AB - INTRODUCTION: Climate change is increasing the frequency of heat waves and hot
weather in many urban environments. Older people are more vulnerable to heat
exposure but spend most of their time indoors. Few published studies have
addressed indoor heat exposure in residences occupied by an elderly population.
The purpose of this study is to explore the relationship between outdoor and
indoor temperatures in homes occupied by the elderly and determine other
predictors of indoor temperature. MATERIALS AND METHODS: We collected hourly
indoor temperature measurements of 30 different homes; outdoor temperature,
dewpoint temperature, and solar radiation data during summer 2009 in Detroit, MI.
We used mixed linear regression to model indoor temperatures' responsiveness to
weather, housing and environmental characteristics, and evaluated our ability to
predict indoor heat exposures based on outdoor conditions. RESULTS: Average
maximum indoor temperature for all locations was 34.85 degrees C, 13.8 degrees C
higher than average maximum outdoor temperature. Indoor temperatures of single
family homes constructed of vinyl paneling or wood siding were more sensitive
than brick homes to outdoor temperature changes and internal heat gains. Outdoor
temperature, solar radiation, and dewpoint temperature predicted 38% of the
variability of indoor temperatures. CONCLUSIONS: Indoor exposures to heat in
Detroit exceed the comfort range among elderly occupants, and can be predicted
using outdoor temperatures, characteristics of the housing stock and surroundings
to improve heat exposure assessment for epidemiological investigations.
Weatherizing homes and modifying home surroundings could mitigate indoor heat
exposure among the elderly.
PMID- 22071035
TI - Acute air pollution effects on heart rate variability are modified by SNPs
involved in cardiac rhythm in individuals with diabetes or impaired glucose
tolerance.
AB - BACKGROUND: Epidemiological studies have shown associations between particulate
matter (PM) and heart rate variability (HRV). OBJECTIVES: We investigated the
effects of air pollution on the root mean square of successive differences
(RMSSD) and the standard deviation of normal-to-normal intervals (SDNN) and
effect modifications by single nucleotide polymorphisms (SNP). METHODS: Between
March 2007 and December 2008 207 ECG recordings comprising 1153 1 h-intervals
were measured in 61 individuals with type 2 diabetes or impaired glucose
tolerance (IGT) from Augsburg, Germany. Associations between 1 h-averages of air
pollutants (PM, sulphate, black carbon, and ultrafine particles) and ECG
parameters were analyzed using additive mixed models. Genotypes of 139 SNPs
supposed to be involved in cardiac rhythm were identified in the literature.
Using regression trees for longitudinal data, SNPs associated with ECG parameters
were determined and included as potential air pollution effect modifiers.
RESULTS: We observed concurrent and lagged decreases in SDNN by about 2-5% in
association with all air pollutants, especially in participants with at least one
minor allele of rs332229. Increases in PM<2.5 MUm (PM(2.5)) were associated with
4 h-lagged decreases of -6.6% [95%-confidence interval:-10.6;-2.6%] and -13.0% [
20.7;-5.1%] in SDNN in individuals with one or two minor alleles. We observed a
7.2% [-12.2;-1.8%] reduction in RMSSD associated with concurrent increases in
PM(2.5.) Individuals with at least one minor allele of rs2096767 or at most one
minor allele of rs2745967 exhibited stronger PM(2.5) effects. CONCLUSIONS: We
identified a genetic predisposition in persons with diabetes or IGT making them
potentially more susceptible to air pollutants with regard to changes in HRV.
PMID- 22071037
TI - An unusual cause of myocardial ischaemia.
PMID- 22071036
TI - Pre-implantation psychological functioning preserved in majority of implantable
cardioverter defibrillator patients 12 months post implantation.
AB - BACKGROUND: The impact of ICD therapy on patient well being has typically focused
on mean differences between groups, thereby neglecting changes within
individuals. Using an intra-individual approach, we examined (i) the prevalence
of implantable cardioverter defibrillator (ICD) patients maintaining their pre
implantation level of psychological functioning at 12 months, and (ii) factors
associated with deterioration in functioning. METHODS: Consecutively implanted
ICD patients (n=332) completed a set of standardized and validated patient
reported measures at baseline and at 12 months post implantation. RESULTS: The
majority of patients (72.8% to 81.7%) preserved their pre implantation level of
psychological functioning 12 months post implantation. In adjusted analysis, ICD
shock (all ps<.001) and Type D personality (all ps<.05) were independent
predictors of deterioration in psychological functioning at 12 months across all
domains, while baseline psychological status was associated with an improvement
(all ps<.05). Patients with a primary prevention indication experienced a
decrease in ICD concerns (p=.03) and anxiety (p=.006), and older patients (p=.04)
a decrease in anxiety symptoms during the follow-up period. By contrast, patients
with left ventricular dysfunction (p=.007) and atrial fibrillation (p=.02) were
more likely to experience an increase in anxiety. CONCLUSIONS: The majority of
ICD patients maintained their pre implantation level of psychological functioning
at 12 months. A subset of patients was at risk of poor psychological adaptation,
attributable to ICD shocks, Type D personality, atrial fibrillation, and left
ventricular dysfunction, while primary prevention indication and older age had a
protective effect against deterioration in functioning.
PMID- 22071038
TI - Might diabetes-related increased iron stores erase the female advantage in the
development of coronary heart disease?
PMID- 22071039
TI - Layer-specific strain-encoded MRI for the evaluation of left ventricular function
and infarct transmurality in patients with chronic coronary artery disease.
AB - BACKGROUND: The study aimed to evaluate whether layer-specific myocardial
deformation imaging performed by strain-encoded imaging (SENC) allows
quantification of regional left ventricular function and is related to scar
transmurality defined by contrast-enhanced magnetic resonance imaging (ceMRI) in
patients with chronic coronary artery disease (CAD). METHODS: 50 patients (mean
age 62 +/- 10 years) with CAD underwent ceMRI. Regional myocardial function was
evaluated semi-quantitatively from steady-state free-precession cine sequences.
Layer-specific peak circumferential strain was measured from long-axis views and
peak longitudinal strain was evaluated from short-axis views in a 16-segment
model. The extent of myocardial infarction was determined semiautomatically for
each segment as relative amount of hyperenhancement by ceMRI. Wall motion and the
degree of hyperenhancement were related to layer-specific myocardial strain.
RESULTS: A total of 589 of 600 segments (98%) were analysed. Endocardial and
epicardial circumferential as well as longitudinal strain showed significant
differences between visually defined segmental function states and differed also
significantly between the degree of infarct transmurality (all p<0.001). A cutoff
peak circumferential endocardial strain value of -15% differentiated
nontransmural from transmural infarcted myocardium with a sensitivity of 100% and
a specificity of 86% (area under the curve (AUC) 0.94). Distinction of
nontransmural infarcted myocardium from transmural infarcted myocardium was done
more accurately using circumferential endocardial strain compared to longitudinal
endocardial strain (AUC 0.94 vs. AUC 0.76, p=0.003). CONCLUSIONS: Quantitative
analysis of segmental deformation by layer-specific SENC allows accurate
discrimination between different transmurality states of myocardial infarction in
patients with chronic CAD. Circumferential endocardial strain showed the best
distinction between the different degrees of infarct transmurality.
PMID- 22071040
TI - Metallic taste after coronary artery stent implantation.
PMID- 22071041
TI - Keshan to Kansas.
PMID- 22071042
TI - Patients admitted to hospital with chest pain--changes in a 20-year perspective.
AB - OBJECTIVES: To describe the differences in characteristics and outcome between
two consecutive series of patients admitted to hospital with chest pain in a 20
year perspective. Particular emphasis is placed on changes in outcome in relation
to the initial electrocardiogram (ECG). SUBJECTS: In the two periods, 1986-1987
and 2008, all patients with chest pain admitted to the study hospitals in
Gothenburg, Sweden, were included. RESULTS: Five thousand and sixteen patients
were registered in a period of 21 months in 1986-1987 and 2287 patients were
registered during 3 months in 2008. In a comparison of the two time periods, the
age of chest pain patients was not significantly different (mean age 60.1 +/-
17.8 years in 1986-1987 and 59.8 +/- 19.1 years in 2008, p=0.50). There was a
lower prevalence of previous angina pectoris, congestive heart failure and
current smoking in the second period, whereas a history of acute myocardial
infarction, hypertension and diabetes mellitus had become more prevalent. The use
of cardio-protective drugs increased and ECG changes indicating acute myocardial
ischemia on admission to hospital decreased. Length of hospitalisation was
reduced from a median of 5 days to 3 days (p<0.0001). A significant decrease in
30-day and 1-year mortality was found (3.8% in 1986-1987 vs 2.0% in 2008 and 9.9%
vs 6.3% respectively, p<0.0001 for both comparisons). CONCLUSIONS: During a
period of 20 years, the characteristics and outcome of patients admitted to
hospital with chest pain changed. The most important changes were a lower
prevalence of ECG signs indicating acute myocardial ischemia on admission,
shorter hospitalisation time and a lower 30-day and 1-year mortality.
PMID- 22071043
TI - Commotio cordis as a result of neutralization shot with the Flash Ball less
lethal weapon.
PMID- 22071047
TI - How to develop an effective obstetric checklist.
AB - Checklists to guide critical procedures are becoming an increasingly important
part of medical practice. These tools have proved effective in improving outcome
in a variety of medical settings, including obstetrics. In this review, we
outline essential principles of successful checklist creation and implementation
and review our experience with checklist development in a worldwide, multi
institutional health care delivery system.
PMID- 22071049
TI - Evaluation and management of severe preeclampsia before 34 weeks' gestation.
AB - OBJECTIVE: We sought to review the risks and benefits of expectant management of
severe preeclampsia remote from term, and to provide recommendations for
expectant management, maternal and fetal evaluation, treatment, and indications
for delivery. METHODS: Studies were identified through a search of the MEDLINE
database for relevant peer-reviewed articles published in the English language
from January 1980 through December 2010. Additionally, the Cochrane Library,
guidelines by organizations, and studies identified through review of the above
documents and review articles were utilized to identify relevant articles. Where
reliable data were not available, opinions of respected authorities were used.
RESULTS AND RECOMMENDATIONS: Published randomized trials and observational
studies regarding management of severe preeclampsia occurring <34 weeks of
gestation suggest that expectant management of selected patients can improve
neonatal outcomes but that delivery is often required for worsening maternal or
fetal condition. Patients who are not candidates for expectant management include
women with eclampsia, pulmonary edema, disseminated intravascular coagulation,
renal insufficiency, abruptio placentae, abnormal fetal testing, HELLP syndrome,
or persistent symptoms of severe preeclampsia. For women with severe preeclampsia
before the limit of viability, expectant management has been associated with
frequent maternal morbidity with minimal or no benefits to the newborn. Expectant
management of a select group of women with severe preeclampsia occurring <34
weeks' gestation may improve newborn outcomes but requires careful in-hospital
maternal and fetal surveillance.
PMID- 22071051
TI - Improved performance of maternal-fetal medicine staff after maternal cardiac
arrest simulation-based training.
AB - OBJECTIVE: To determine the impact of simulation-based maternal cardiac arrest
training on performance, knowledge, and confidence among Maternal-Fetal Medicine
staff. STUDY DESIGN: Maternal-Fetal Medicine staff (n = 19) participated in a
maternal arrest simulation program. Based on evaluation of performance during
initial simulations, an intervention was designed including: basic life support
course, advanced cardiac life support pregnancy modification lecture, and
simulation practice. Postintervention evaluative simulations were performed. All
simulations included a knowledge test, confidence survey, and debriefing. A
checklist with 9 pregnancy modification (maternal) and 16 critical care (25
total) tasks was used for scoring. RESULTS: Postintervention scores reflected
statistically significant improvement. Maternal-Fetal Medicine staff demonstrated
statistically significant improvement in timely initiation of cardiopulmonary
resuscitation (120 vs 32 seconds, P = .042) and cesarean delivery (240 vs 159
seconds, P = .017). CONCLUSION: Prompt cardiopulmonary resuscitation initiation
and pregnancy modifications application are critical in maternal and fetal
survival during cardiac arrest. Simulation is a useful tool for Maternal-Fetal
Medicine staff to improve skills, knowledge, and confidence in the management of
this catastrophic event.
PMID- 22071052
TI - Perinatal outcome in pregnancies complicated by isolated oligohydramnios
diagnosed before 37 weeks of gestation.
AB - OBJECTIVE: To analyze pregnancy outcome in cases of isolated oligohydramnios at
preterm. METHODS: A retrospective cohort study of singleton pregnancies diagnosed
with isolated oligohydramnios at preterm (n = 108). Pregnancy outcome was
compared with a matched control group of low-risk preterm pregnancies with normal
levels of amniotic fluid in a 3:1 ratio (n = 324). RESULTS: Pregnancies
complicated by isolated oligohydramnios were characterized by a higher rate of
preterm deliveries (26.9% vs 12.3%, P < .001), most of which were iatrogenic, and
a higher rate of labor induction and cesarean delivery. Neonates with isolated
oligohydramnios were characterized by a lower birthweight and a higher rate of
neonatal morbidity. These differences were eliminated when the analysis was
limited to the subgroup of pregnancies with isolated oligohydramnios that were
managed expectantly and delivered spontaneously at term. CONCLUSION: Adverse
pregnancy outcome in cases of isolated oligohydramnios diagnosed at <37 weeks
appears to be related to a considerable degree to iatrogenic prematurity.
PMID- 22071048
TI - Treatment of abnormal vaginal flora in early pregnancy with clindamycin for the
prevention of spontaneous preterm birth: a systematic review and metaanalysis.
AB - The purpose of this study was to determine whether the administration of
clindamycin to women with abnormal vaginal flora at <22 weeks of gestation
reduces the risk of preterm birth and late miscarriage. We conducted a systematic
review and metaanalysis of randomized controlled trials of the early
administration of clindamycin to women with abnormal vaginal flora at <22 weeks
of gestation. Five trials that comprised 2346 women were included. Clindamycin
that was administered at <22 weeks of gestation was associated with a
significantly reduced risk of preterm birth at <37 weeks of gestation and late
miscarriage. There were no overall differences in the risk of preterm birth at
<33 weeks of gestation, low birthweight, very low birthweight, admission to
neonatal intensive care unit, stillbirth, peripartum infection, and adverse
effects. Clindamycin in early pregnancy in women with abnormal vaginal flora
reduces the risk of spontaneous preterm birth at <37 weeks of gestation and late
miscarriage. There is evidence to justify further randomized controlled trials of
clindamycin for the prevention of preterm birth. However, a deeper understanding
of the vaginal microbiome, mucosal immunity, and the biology of BV will be needed
to inform the design of such trials.
PMID- 22071053
TI - National Diabetes Data Group vs Carpenter-Coustan criteria to diagnose
gestational diabetes.
AB - OBJECTIVE: The objective of the study was to compare perinatal outcomes among
women diagnosed with gestational diabetes by the National Diabetes Data Group
(NDDG) criteria with women meeting only Carpenter-Coustan criteria. STUDY DESIGN:
This was a 14 year retrospective cohort. Women who screened positive with 1 hour
glucose load 140 mg/dL or greater underwent a diagnostic 3 hour oral glucose
tolerance test. We report adjusted prevalence ratios (aPRs) of perinatal outcome
risk. RESULTS: Of the 4659 screen-positive women with diagnostic testing, 1082
(3.3%, of 33,179) met NDDG criteria; 1542 (4.6%, of 33,179), or 460 more, met
Carpenter-Coustan criteria. These 460 untreated women had greater risk of
preeclampsia than women diagnosed by NDDG criteria (aPR, 1.70; 95% confidence
interval [CI], 1.23-2.35). They had a greater risk of cesarean delivery (aPR,
1.16; 95% CI, 1.04-1.30) and infants greater than 4000 g (aPR, 1.25; 95% CI, 1.01
1.56) than women not meeting either diagnostic criteria. CONCLUSION: The 42.5%
additional women diagnosed only by Carpenter-Coustan criteria are at greater risk
for some adverse outcomes. Cost-effectiveness of a change remains to be
determined.
PMID- 22071054
TI - Ultrasound assessment of intrauterine growth restriction: relationship to
neonatal body composition.
AB - OBJECTIVE: The objective of the study was to compare prenatal ultrasound
parameters for intrauterine growth restriction (IUGR) with newborn percent body
fat (%BF). STUDY DESIGN: This was a prospective study of 87 pregnancies followed
with ultrasound. Subjects were categorized into 3 groups: estimated fetal weight
(EFW) less than the 10th percentile, abdominal circumference (AC) less than the
fifth percentile, and normal biometry. Neonatal %BF by air displacement
plethysmography was compared between each group using multivariable analyses.
RESULTS: The %BF in the EFW less than the 10th percentile group (5.1 +/- 2.9%)
was significantly lower than either AC less than the fifth percentile (9.5 +/-
3.3%) or normal groups (11.6 +/- 5.6%). EFW less than the 10th percentile best
predicted %BF by regression model. Neonatal morbidity was not significantly
higher in the EFW less than the 10th percentile group. CONCLUSION: Newborn %BF
was significantly lower in infants with EFW less than the 10th percentile
compared with AC less than the fifth percentile, an intermediate finding. An AC
less than the fifth percentile on ultrasound does not reflect the same severity
of IUGR as EFW less than the 10th percentile.
PMID- 22071055
TI - Effect of gestational weight gain on perinatal outcomes in women with type 2
diabetes mellitus using the 2009 Institute of Medicine guidelines.
AB - OBJECTIVE: We sought to examine associations between gestational weight gain
according to the 2009 Institute of Medicine (IOM) guidelines and perinatal
outcomes in overweight/obese women with type 2 diabetes mellitus (T2DM). STUDY
DESIGN: This is a retrospective cohort study of 2310 women with T2DM enrolled in
the California Diabetes and Pregnancy Program. Gestational weight gain was
categorized by 2009 IOM guidelines. Perinatal outcomes were assessed using the
chi(2) test and multivariable logistic regression analysis. RESULTS: With
excessive gestational weight gain, the odds of having large-for-gestational age
(adjusted odds ratio [aOR], 2.00; 95% confidence interval [CI], 1.33-3.00) or
macrosomic (aOR, 2.59; 95% CI, 1.56-4.30) neonates and cesarean delivery (aOR,
1.47; 95% CI, 1.03-2.10) was higher. Women with excessive gestational weight gain
per week had increased odds of preterm delivery (aOR, 1.57; 95% CI, 1.11-2.20).
CONCLUSION: In overweight or obese women with T2DM, gestational weight gain
greater than the revised IOM guidelines was associated with higher odds of
perinatal morbidity, suggesting these guidelines are applicable to a diabetic
population.
PMID- 22071056
TI - Obstetrical and perinatal outcomes among women with gestational hypertension,
mild preeclampsia, and mild chronic hypertension.
AB - OBJECTIVE: The purpose of this study was to compare maternal and neonatal
outcomes of women with gestational hypertension (GHTN), mild chronic hypertension
(CHTN), and mild preeclampsia at delivery. STUDY DESIGN: A multicenter database
that contained 228,668 deliveries was used to extract data on gravid women with
GHTN, preeclampsia, and CHTN and on women without hypertensive disease (control
group). Univariate and multivariate logistic regression analyses were performed.
RESULTS: There were 4918 women with GHTN, 5274 women with preeclampsia, 2531
women with CHTN, and 15,221 control subjects. Women with GHTN had the greatest
risk for blood transfusion (adjusted odds ratio [aOR], 4.6; 95% confidence
interval [CI], 3.4-6.3), intensive care unit admission (aOR, 25.7; 95% CI, 9.8
67.3), and lowest risk for stillbirth (aOR, 0.1; 95% CI, 0.04-0.4); women with
preeclampsia had the greatest risk for postpartum hypertension (aOR, 9.6; 95% CI,
7.2-12.9). Neonates with GHTN had the greatest risk for ventilator requirements
(aOR, 7.5; 95% CI, 4.6-12.4). CONCLUSION: Women with gestational hypertension and
their neonates had significant risks for morbidity, compared with women with mild
chronic hypertension and those with mild preeclampsia.
PMID- 22071057
TI - Impact of multiple cesarean deliveries on maternal morbidity: a systematic
review.
AB - OBJECTIVE: The purpose of this study was to determine the impact of increasing
numbers of cesarean deliveries on maternal morbidity. This study was performed
for the 2010 National Institutes of Health Consensus Development Conference on
Vaginal Birth After Cesarean: New Insights. STUDY DESIGN: We conducted a
systematic review and metaanalysis of observational studies. RESULTS: Twenty-one
studies (2,282,922 deliveries) were included. The rate of hysterectomy, blood
transfusions, adhesions, and surgical injury all increased with increasing number
of cesarean deliveries. The incidence of placenta previa increased from 10/1000
deliveries with 1 previous cesarean delivery to 28/1000 with >=3 cesarean
deliveries. Compared with women with previa and no previous cesarean delivery,
women with previa and >=3 cesarean deliveries had a statistically significant
increased risk of accreta (3.3-4% vs 50-67%), hysterectomy (0.7-4% vs 50-67%),
and composite maternal morbidity (15% vs 83%; odds ratio, 33.6; 95% confidence
interval, 14.6-77.4). CONCLUSION: Serious maternal morbidity progressively
increased as the number of previous cesarean deliveries increased.
PMID- 22071058
TI - Molecular phenotype of monocytes at the maternal-fetal interface.
AB - OBJECTIVE: The purpose of this study was to gain insight into the pathways that
are associated with inflammation at the maternal-fetal interface. This study
examined the molecular characteristics of monocytes that were derived from the
maternal circulation and the placenta of obese women. STUDY DESIGN: Mononuclear
cells were isolated from placenta, venous maternal, and umbilical cord blood at
term delivery; activated monocytes were separated with CD14 immunoselection. The
genotype and expression pattern of the monocytes were analyzed by microarray and
real-time reverse transcriptase-polymerase chain reaction. RESULTS: The
transcriptome of the maternal blood and placental CD14 monocytes exhibited 73%
homology, with 10% (1800 common genes) differentially expressed. Genes for immune
sensing and regulation, matrix remodeling, and lipid metabolism were enhanced 2
2006 fold in placenta, compared with maternal monocytes. The CD14 placental
monocytes exhibited a maternal genotype (9% DYS14 expression) as opposed to the
fetal genotype (90% DYS14 expression) of the trophoblast cells. CONCLUSION: CD14
monocytes from the maternal blood and the placenta share strong phenotypic and
genotypic similarities with an enhanced inflammatory pattern in the placenta. The
functional traits of the CD14 blood and placental monocytes suggest that they
both contribute to propagation of inflammation at the maternal-fetal interface.
PMID- 22071059
TI - Supplemental oxygen for the prevention of postcesarean infectious morbidity: a
randomized controlled trial.
AB - OBJECTIVE: The purpose of this study was to investigate whether supplemental
oxygen during and for 2 hours after cesarean delivery reduces the incidence of
postcesarean infectious morbidity. STUDY DESIGN: We conducted a randomized,
controlled trial from 2008-2010. Women who underwent cesarean delivery were
randomly assigned to receive either 2 L of oxygen by nasal cannula during
cesarean delivery only (standard care) or 10 L of oxygen by nonrebreather mask
(intervention group) during and for 2 hours after cesarean delivery. Women who
underwent scheduled or intrapartum cesarean delivery were eligible and were
observed for 1 month after the procedure. The primary composite outcome was
maternal infectious morbidity, which included endometritis and wound infection.
RESULTS: Five hundred eighty-five women were included in the final analysis.
Infectious morbidity occurred in 8.8% of patients in the standard care group and
in 12.2% of patients in the supplemental oxygen group. There was no significant
difference in the rate of infectious morbidity between the standard care and
intervention groups (relative risk, 1.4; 95% confidence interval, 0.9-2.3).
CONCLUSION: Supplemental oxygen does not reduce the rate of postcesarean delivery
infectious morbidity, including endometritis and wound infection.
PMID- 22071060
TI - 17-alphahydroxyprogesterone caproate in women with previous spontaneous preterm
delivery: does a previous term delivery affect the rate of recurrence?
AB - OBJECTIVE: The purpose of this study was to determine the role of previous term
delivery on the rate of recurrent preterm birth in women with previous
spontaneous preterm delivery (SPTD) who receive 17-alphahydroxyprogesterone
caproate (17P) therapy. STUDY DESIGN: Women with singleton gestations who were
receiving 17P therapy were studied. Rates of recurrent SPTD were compared for 1
or >=2 SPTD with and without a previous term delivery. RESULTS: Five thousand one
hundred two women had 1 previous SPTD, and 2217 women had >=2 SPTDs. In women
with 1 previous SPTD, a previous term delivery had lower rates of SPTD at <35
weeks (8.4% vs 11.2%; P = .002) and preterm delivery at <32 weeks (4.7% vs 6.2%;
P = .027) compared with those women with no such history. No differences were
found for SPTD at <35 weeks with >=2 SPTDs. CONCLUSION: In patients who received
17P therapy with 1 previous SPTD, a previous term delivery confers a reduction in
risk of preterm delivery at <37, <35, and <32 weeks' gestation; such reduction is
not evident with >=2 previous SPTDs.
PMID- 22071061
TI - Obstetric outcomes and maternal satisfaction in nulliparous women using patient
controlled epidural analgesia.
AB - OBJECTIVE: The purpose of this study was to compare obstetric outcomes and
maternal satisfaction in nulliparous women in spontaneous labor who used patient
controlled epidural analgesia (PCEA) vs continuous epidural infusion (CEI). STUDY
DESIGN: We conducted a double-masked trial of 270 nulliparous women who were
assigned randomly to 3 groups (with a concentration 0.1% bupivacaine and 2 MUg/mL
fentanyl): group I, CEI-only (10 mL/h); group II, CEI + PCEA (CEI 10 mL/h plus
PCEA 10 mL, at 20 minutes); group III, PCEA-only (10 mL, at 20 minutes). A PCEA
bolus button was given to each subject. The primary outcome was the dosage of
local anesthetic that was used. RESULTS: The total milligrams of bupivacaine that
were used was less in the PCEA-only group compared with CEI: group I. 74.8 +/- 36
mg; group II, 97.3 +/- 53 mg; group III, 52.4 +/- 42 mg (P < .001). Pain with
pushing, however, was worse in the PCEA-only group. Median satisfaction scores
were similar (scale, 0 [best] to 100 [worst]: group I, 0; group II, 0; group III,
0 (P = .23). CONCLUSION: PCEA results in less anesthetic used, and maternal
satisfaction remains high without a continuous infusion. Pain with pushing,
however, was worse with the PCEA alone.
PMID- 22071062
TI - Pregnancy outcomes in women who have undergone an atrial switch repair for
congenital d-transposition of the great arteries.
AB - OBJECTIVE: Women who underwent an atrial switch procedure (Senning or Mustard)
for repair of d-transposition of the great arteries (d-TGA) are now of
reproductive age. We sought to assess their ability for a successful pregnancy.
STUDY DESIGN: Clinical data were reviewed for all women of reproductive age who
carried a diagnosis of d-TGA and atrial switch procedure who were observed at 2
tertiary care centers over 10 years. RESULTS: Among 25 women who were identified,
there were 21 pregnancies that resulted in 14 live births. The preterm birth rate
was 50%. Pregnancy complications occurred in 5 women. There were no deaths.
Serial echocardiographic data demonstrated a fall in right ventricular function
during pregnancy, with some improvement postpartum. Intracardiac baffle
obstruction that required postpartum stenting occurred in 36% of the completed
pregnancies. CONCLUSION: Women who have undergone an atrial switch procedure for
d-TGA have high rates of pregnancy and cardiac complications and should be
counseled accordingly.
PMID- 22071063
TI - Influence of gestational age and reason for prior preterm birth on rates of
recurrent preterm delivery.
AB - OBJECTIVE: We sought to compare rates of recurrent spontaneous preterm birth
(SPTB) in women receiving 17-alpha-hydroxyprogesterone caproate (17P) with prior
SPTB due to preterm labor (PTL) vs preterm premature rupture of membranes
(PPROM). STUDY DESIGN: Women with singleton gestation having 1 prior SPTB
enrolled at 16-24.9 weeks' gestation for weekly outpatient 17P administration
were identified from a database. Rates of recurrent SPTB were compared between
those with prior SPTB due to PTL or PPROM overall and by gestational age at prior
SPTB. RESULTS: Records from 2123 women were analyzed. The prior PTL group vs the
prior PPROM group experienced higher rates of recurrent SPTB at <37 weeks (29.7%
vs 22.9%, P = .004), <35 weeks (14.0% vs 9.1%, P = .004), and <32 weeks (5.9% vs
3.3%, P = .024), respectively. CONCLUSION: Reason and gestational age of prior
SPTB influence the likelihood of recurrent SPTB in women receiving 17P
prophylaxis.
PMID- 22071064
TI - Homing of placenta-derived mesenchymal stem cells after perinatal intracerebral
transplantation in a rat model.
AB - OBJECTIVE: The aim of this study is to assess early homing of placenta-derived
stem cells after perinatal intracerebral transplantation in rats. STUDY DESIGN:
Neonatal Wistar rats (2-4 days old) were anesthetized, and 250,000 human placenta
derived mesenchymal stem cells (MSC) injected into the lateral ventricle or the
paraventricular white matter using a stereotactic frame. Donor MSC were detected
by immunohistochemistry using an antihuman HLA-ABC antibody. RESULTS: In all, 84%
of the animals survived the transplantation. Donor cells were detected in the
brain ventricle 1-2 hours posttransplantation. After 4 hours, donor cells
migrated throughout the ventricular system. At 1-4 weeks after transplantation,
some cells had migrated into the periventricular white matter. CONCLUSION: Human
placenta-derived MSC were successfully transplanted into the lateral ventricles
of neonatal rats. Donor cells survived, homed, and migrated in the recipient
brains. Proliferation and differentiation analysis and functional tests will
assess the therapeutic effects of stem cell transplantation.
PMID- 22071065
TI - Treating mild gestational diabetes mellitus: a cost-effectiveness analysis.
AB - OBJECTIVE: This study investigated the cost-effectiveness of treating mild
gestational diabetes mellitus (GDM). STUDY DESIGN: A decision analytic model was
built to compare treating vs not treating mild GDM. The primary outcome was the
incremental cost per quality-adjusted life year (QALY). All probabilities, costs,
and benefits were derived from the literature. Base case, sensitivity analyses,
and a Monte Carlo simulation were performed. RESULTS: Treating mild GDM was more
expensive, more effective, and cost-effective at $20,412 per QALY. Treatment
remained cost-effective when the incremental cost to treat GDM was less than
$3555 or if treatment met at least 49% of its reported efficacy at the baseline
cost to treat of $1786. CONCLUSION: Treating mild GDM is cost-effective in terms
of improving maternal and neonatal outcomes including decreased rates of
preeclampsia, cesarean sections, macrosomia, shoulder dystocia, permanent and
transient brachial plexus injury, neonatal hypoglycemia, neonatal
hyperbilirubinemia, and neonatal intensive care unit admissions.
PMID- 22071066
TI - Fetal omphalocele ratios predict outcomes in prenatally diagnosed omphalocele.
AB - OBJECTIVE: The objective of the study was to evaluate whether ratios considering
omphalocele diameter relative to fetal biometric measurements perform better than
giant omphalocele designation at predicting inability to achieve neonatal primary
surgical closure. STUDY DESIGN: Cases of fetal omphalocele that underwent
evaluation between May 2003 and July 2010 were identified. Inclusion was
restricted to live births with plan for postnatal repair. Omphalocele diameter
upon antenatal ultrasound was compared with abdominal circumference, femur
length, and head circumference, yielding the respective omphalocele (O)/abdominal
circumference (AC), O/femur length (FL), and O/head circumference (HC) ratios.
The absolute measurements were used to classify giant lesions. Omphalocele ratios
and giant omphalocele designations were evaluated as predictors of inability to
achieve primary repair. RESULTS: Among 25 included cases, staged or delayed
closure occurred in 52%. With an optimal cutoff of 0.21 or greater, O/HC best
predicted the primary outcome (sensitivity, 84.6%; specificity, 58.3%; odds
ratio, 7.7). The O/HC of 0.21 or greater outperformed giant designations.
CONCLUSION: The O/HC of 0.21 or greater best predicted staged or delayed
omphalocele closure. Giant omphalocele designation, regardless of definition,
poorly predicted outcome.
PMID- 22071067
TI - An initial miscarriage is associated with adverse pregnancy outcomes in the
following pregnancy.
AB - OBJECTIVE: The objective of the study was to determine whether 1 previous
miscarriage is associated with an increased rate of adverse pregnancy outcomes in
the following pregnancy. STUDY DESIGN: Second pregnancies of women with and
without a miscarriage in their initial pregnancy were compared. Multivariable
logistic regression models were constructed to control for confounders. RESULTS:
Of 35,125 singleton deliveries in the second pregnancy, 5777 (16.4%) were of
patients with an initial miscarriage. Multivariable analysis showed a significant
association between a previous miscarriage and the following adverse pregnancy
outcomes including premature rupture of membranes (odds ratio [OR], 2.22; 95%
confidence interval [CI], 2.01-2.44), preterm delivery (OR, 1.34; 95% CI, 1.21
1.48), intrauterine growth restriction (OR, 1.24; 95% CI, 1.04-1.47),
hypertensive disorders (OR 1.41; 95% CI 1.07-1.85), preeclampsia (OR, 1.63; 95%
CI, 1.22-2.18), and cesarean delivery (OR, 1.59; 95% CI, 1.46-1.73). Perinatal
mortality was significantly higher among women with an initial miscarriage (1.6%
vs 1.0%; P < .001). CONCLUSION: An initial miscarriage is independently
associated with adverse pregnancy outcomes.
PMID- 22071068
TI - Assessment of the concordance among 2-tier, 3-tier, and 5-tier fetal heart rate
classification systems.
AB - OBJECTIVE: In 2008, a National Institute of Child Health and Human
Development/Society for Maternal-Fetal Medicine-sponsored workshop on electronic
fetal monitoring recommended a new fetal heart tracing interpretation system.
Comparison of this 3-tier system with other systems is lacking. Our purpose was
to determine the relationships between fetal heart rate categories for the 3
existing systems. METHODS: Three Maternal-Fetal Medicine specialists reviewed 120
fetal heart rates. All tracings were from term, singleton pregnancies with known
umbilical artery pH. The fetal heart rates were classified by a 2-tier, 3-tier,
and 5-tier system. RESULTS: Each Maternal-Fetal Medicine examiner reviewed 120
fetal heart rate segments. When compared with the 2-tier system, 0%, 54%, and
100% tracings in categories 1, 2, and 3 were "nonreassuring." There was strong
concordance between category 1 and "green" as well as category 3 and "red"
tracings. CONCLUSION: The 3-tier and 5-tier systems were similar in fetal heart
rate interpretations for tracings that were either very normal or very abnormal.
Whether one system is superior to the others in predicting fetal acidemia remains
unknown.
PMID- 22071069
TI - A faster nonsurgical solution very large fibroid tumors yielded to a new ablation
strategy.
PMID- 22071070
TI - Discussion: 'bariatric surgery and obstetric outcomes' by Josefsson et al.
PMID- 22071072
TI - Maternal treatment with opioid analgesics and risk for birth defects.
PMID- 22071073
TI - Opioid exposure and birth defects.
PMID- 22071074
TI - Validation of HbA1c of 6.5% for diagnosing diabetes mellitus via the use of
taxometric analysis.
AB - PURPOSE: Previous studies in which authors examined the internal and external
validity of a glycemic cut-point for diagnosis of diabetes mellitus have provided
mixed results. The purpose of the current study was to test the internal validity
of the HbA1c 6.5% cut-point with taxometric analysis. METHODS: Data on 14,798
participants were obtained from the National Health and Nutrition Examination
Survey, years 1999-2008. Fasting plasma glucose and HbA1c were submitted to the
taxometric procedures MAMBAC (mean above minus below a cut) and MAXSLOPE (MAXimum
SLOPE). The comparison curve fit index was the outcome measure, with values less
than 0.40 and greater than 0.60 indicative of dimensional and categorical
solutions, respectively. RESULTS: In the full sample, MAXSLOPE and MAMBAC
procedures yielded CCFIs of 0.778 and 0.872, respectively. Analyses were repeated
in subgroups by age, sex, fasting insulin level, ethnicity, and year; the lowest
comparison curve fit index measurement from any analysis was 0.706. CONCLUSIONS:
These results support a categorical overdimensional model of diabetes, consistent
with the new HbA1c cut-point recommendation.
PMID- 22071075
TI - The effect of Cu2+ on interaction between flavonoids with different C-ring
substituents and bovine serum albumin: structure-affinity relationship aspect.
AB - Four flavonoids quercetin (QU), luteolin (LU), taxifolin (TA) and (+)-catechin
(CA) with the same A- and B-rings but different C-ring substituents have been
investigated for their binding to bovine serum albumin (BSA) in the absence and
presence of Cu(2+) by means of various spectroscopic methods such as
fluorescence, UV-visible and circular dichroism (CD). The results indicated that
hydroxyl group at 3-position increased the binding affinities between flavonoids
and BSA. The values of the binding affinities were in the order: QU>CA>TA>LU. The
presence of Cu(2+) affected the interactions of flavonoids with BSA
significantly. The binding affinities of QU and TA for BSA were decreased about
6.7% and 13.2%. However, the binding affinities of LU and CA for BSA were
increased about 43.0% and 20.7%. The formation of Cu(2+)-flavonoid complex and
steric hindrance together influenced the binding affinities of QU, LU and TA for
BSA, while the conformational change of BSA may be the main reason for the
increased binding affinity of CA for BSA. However, the quenching mechanism for
QU, LU, TA and CA to BSA was based on static quenching combined with non
radiative energy transfer irrespective of the absence or presence of Cu(2+). The
UV-visible results showed the change in BSA conformation and the formation of
flavonoid-Cu(2+) complex. The CD results also explained the conformational
changes of BSA on binding with flavonoids.
PMID- 22071076
TI - Influence of the geometry around the manganese ion on the peroxidase and catalase
activities of Mn(III)-Schiff base complexes.
AB - The peroxidase and catalase activities of eighteen manganese-Schiff base
complexes have been studied. A correlation between the structure of the complexes
and their catalytic activity is discussed on the basis of the variety of systems
studied. Complexes 1-18 have the general formulae
[MnL(n)(D)(2)](X)(H(2)O/CH(3)OH)(m), where L(n)=L(1)-L(13); D=H(2)O, CH(3)OH or
Cl; m=0-2.5 and X=NO(3)(-), Cl(-), ClO(4)(-), CH(3)COO(-), C(2)H(5)COO(-) or
C(5)H(11)COO(-). The dianionic tetradentate Schiff base ligands H(2)L(n) are the
result of the condensation of different substituted (OMe-, OEt-, Br-, Cl-)
hydroxybenzaldehyde with diverse diamines (1,2-diaminoethane for H(2)L(1)
H(2)L(2); 1,2-diamino-2-methylethane for H(2)L(3)-H(2)L(4); 1,2-diamino-2,2
dimethylethane for H(2)L(5); 1,2-diphenylenediamine for H(2)L(6)-H(2)L(7); 1,3
diaminopropane for H(2)L(8)-H(2)L(11); 1,3-diamino-2,2-dimethylpropane for
H(2)L(12)-H(2)L(13)). The new Mn(III) complexes [MnL(1)(H(2)O)Cl](H(2)O)(2.5)
(2), [MnL(2)(H(2)O)(2)](NO(3))(H(2)O) (4),
[MnL(6)(H(2)O)(2)][MnL(6)(CH(3)OH)(H(2)O)](NO(3))(2)(CH(3)OH) (8),
[MnL(6)(H(2)O)(OAc)](H(2)O) (9) and [MnL(7)(H(2)O)(2)](NO(3))(CH(3)OH)(2) (12)
were isolated and characterised by elemental analysis, magnetic susceptibility
and conductivity measurements, redox studies, ESI spectrometry and UV, IR,
paramagnetic (1)H NMR, and EPR spectroscopies. X-ray crystallographic studies of
these complexes and of the ligand H(2)L(6) are also reported. The crystal
structures of the rest of the complexes have been previously published and herein
we have only revised their study by those techniques still not reported (EPR and
(1)H NMR for some of these compounds) and which help to establish their
structures in solution. Complexes 1-12 behave as more efficient mimics of
peroxidase or catalase in contrast with 13-18. The analysis between the catalytic
activity and the structure of the compounds emphasises the significance of the
existence of a vacant or a labile position in the coordination sphere of the
catalyst.
PMID- 22071077
TI - The in vitro glycation of human serum albumin in the presence of Zn(II).
AB - Amino groups of human serum albumin (HSA) can react non-enzymatically with
carbonyl groups of reducing sugars to form advanced glycation end products
(AGEs). These AGEs contribute to many of the chronic complications of diabetes
including atherosclerosis, cataract formation and renal failure. The current
study focused on in vitro non-enzymatic reactivity of glyceraldehyde (GA) and
methylglyoxal (MG) with HSA and evaluated the rate and extent of AGE formation in
the presence of varied concentrations of Zn(II). At normal physiological
conditions, GA and MG readily react with HSA. The presence of Zn(II) in HSA-GA or
HSA-MG incubation mixtures reduced AGE formation. This finding was confirmed by
UV and fluorescence spectrometry, HPLC techniques, and matrix assisted laser
desorption ionization mass spectrometry (MALDI-TOF). HPLC studies revealed
decreased adduct formation of the glycated protein in the presence of Zn(II). The
inhibition of AGE formation was intense at elevated Zn(II) concentrations. The
results of this study suggest that Zn(II) may prove to be a potent agent in
reducing AGE formation.
PMID- 22071078
TI - Kinetic studies of oxygen atom transfer reactions from trans-dioxoruthenium(VI)
porphyrins to sulfides.
AB - The kinetics of the reactions of three trans-dioxoruthenium(VI) porphyrin
derivatives with organic sulfides were measured. The dioxo systems studied were
5,10,15,20-tetramesityl porphyrin-dioxoruthenium(VI) (2a), 5,10,15,20
tetraphenylporphyrin-dioxoruthenium(VI) (2b), and 5,10,15,20
tetrakis(pentafluorophenyl)porphyrin-dioxoruthenium(VI) (2c). Species 2 were
competent oxidants and reacted rapidly with thioanisoles to generate the
corresponding sulfoxides. Typical second-order rate constants determined from
pseudo-first-order kinetic studies for sulfoxidation reactions are 8-60 M(-1)s(
1), which are 3 orders of magnitude larger in comparison with those of well
studied alkene epoxidations and activated C-H bond oxidations by the same dioxo
species. For a given sulfide substrate, the reactivity order for the
dioxoruthenium(VI) species was 2a<2b<2c, which is in agreement with expectation
on the basis of the electron-withdrawing and steric effects of the porphyrin
macrocycles. Various para-substituted thioanisoles react in a narrow kinetic
range with the same dioxo species. The kinetic results obtained in this study
indicate a concerted oxygen atom transfer and/or electron transfer followed by
oxygen transfer mechanism from oxidant to sulfide. Competition kinetic reactions
with a catalytic amount of porphyrin ruthenium(II) species and a terminal oxidant
give relative rate constants for sulfoxidations of competing substrates that are
somewhat smaller than the ratios of absolute rate constants, implying a multiple
oxidant model for sulfoxidation reactions.
PMID- 22071079
TI - Synthesis and in vitro evaluation of palladium(II) salicylaldiminato
thiosemicarbazone complexes against Trichomonas vaginalis.
AB - Eight mononuclear Pd(II) complexes containing salicylaldiminato
thiosemicarbazones (saltsc-R; where R=H (1), 3-OMe (2), 3-(t)Bu (3) and 5-Cl (4))
as dinegative tridentate ligands were prepared by the reaction of the
corresponding thiosemicarbazone with the precursor Pd(L)(2)Cl(2)
(L=phosphatriazaadamantane or 4-picoline) in the presence of a weak base. These
complexes (9-16) were characterised by a range of spectroscopic and analytical
techniques including NMR spectroscopy and X-ray diffraction. These complexes
along with four other Pd(II) analogues (5-8) were screened for activity in vitro
against the Trichomonas vaginalis parasite. Preliminary results show that the
type of ancillary ligand as well as the substituents on the aromatic ring of the
salicylaldiminato thiosemicarbazone ligand influences the antiparasitic activity
of these complexes.
PMID- 22071080
TI - Non-intercalative binding mode of bridged binuclear chiral Ru(II) complexes to
native duplex DNA.
AB - A pair of chiral binuclear ruthenium(II) complexes were prepared and their
binding affinities towards double stranded native DNA were assessed by observing
isotropic absorption, polarized light spectra - circular and linear dichroism (CD
and LD), fluorescence quenching and DNA thermal denaturation. Upon binding to
DNA, the complexes produced LD signals consisting of positive and negative
signals in the absorption region, although they exhibited red shift and
hypochromism in the absorption spectrum. These contrasting observations indicated
that the binding modes of the complexes are largely deviated from classical
intercalative binding. Groove binding of the complexes to DNA was found to be
more likely than intercalative binding. The small increase of DNA melting
temperature in the presence of the complexes indicated a predominance of DNA
groove binding. The absence of "molecular light switch effect" further supported
non-intercalative binding. The groove binding propensity of complexes was also
supported by comparison of the resulting data with the [Ru(phen)(2)(dppz)](2+).
PMID- 22071081
TI - Selected gold compounds cause pronounced inhibition of Falcipain 2 and
effectively block P. falciparum growth in vitro.
AB - A number of structurally diverse gold compounds were evaluated as possible
inhibitors of Falcipain 2 (Fp2), a cysteine protease from P. falciparum that is a
validated target for the development of novel antimalarial drugs. Remarkably,
most tested compounds caused pronounced but reversible inhibition of Fp2 with
K(i) values falling in the micromolar range. Enzyme inhibition is basically
ascribed to gold binding to catalytic active site cysteine. The same gold
compounds were then tested for their ability to inhibit P. falciparum growth in
vitro; important parasite growth inhibition was indeed observed. However, careful
analysis of the two sets of data failed to establish any direct correlation
between enzyme inhibition and reduction of P. falciparum growth suggesting that
Fp2 inhibition represents just one of the various mechanisms through which gold
compounds effectively antagonize P. falciparum replication.
PMID- 22071082
TI - Effects of terbium chelate structure on dipicolinate ligation and the detection
of Bacillus spores.
AB - Terbium-sensitized luminescence and its applicability towards the detection of
Bacillus spores such as anthrax are of significant interest to research in
biodefense and medical diagnostics. Accordingly, we have measured the effects of
terbium chelation upon the parameters associated with dipicolinate ligation and
spore detection. Namely, the dissociation constants, intrinsic brightness,
luminescent lifetimes, and biological stabilities for several
Tb(chelate)(dipicolinate)(x) complexes were determined using linear, cyclic, and
aromatic chelators of differing structure and coordination number. This included
the chelator array of NTA, BisTris, EGTA, EDTA, BAPTA, DO2A, DTPA, DO3A, and DOTA
(respectively, 2,2',2"-nitrilotriacetic acid; 2,2-bis(hydroxymethyl)-2,2',2"
nitrilotriethanol; ethylene glycol-bis(2-aminoethyl ether)-N,N,N',N'-tetraacetic
acid; ethylenediamine-N,N,N',N'-tetraacetic acid; 1,2-bis(2-aminophenoxy)ethane
N,N,N',N'-tetraacetic acid; 1,4,7,10-tetraazacyclododecane-1,7-diacetic acid;
diethylenetriamine-N,N,N',N",N"-pentaacetic acid; 1,4,7,10-tetraazacyclododecane
1,4,7-triacetic acid; and 1,4,7,10-tetraazacyclododecane-1,4,7,10-tetraacetic
acid). Our study has revealed that the thermodynamic and temporal emission
stabilities of the Tb(chelate)(dipicolinate)(x) complexes are directly related to
chelate rigidity and a ligand stoichiometry of x=1, and that chelators possessing
either aromaticity or low coordination numbers are destabilizing to the complexes
when in extracts of an extremotolerant Bacillus spore. Together, our results
demonstrate that both Tb(EDTA) and Tb(DO2A) are chemically and biochemically
stable and thus applicable as respectively low and high-cost luminescent
reporters for spore detection, and thereby of significance to institutions with
developing biodefense programs.
PMID- 22071083
TI - Synthesis and evaluation of the europium(III) and zinc(II) complexes as
luminescent bioprobes in high content cell-imaging analysis.
AB - Novel phenanthroline derivatives and their europium(III) and zinc(II) complexes
have been prepared in up to 92%. In contrast to the stable zinc complexes, the
europium compounds exhibit a strong luminescence in THF solution. However,
quenching of the emission is observed in DMSO indicating complete dissociation of
the complexes back to free ligands in this solvent. (1)H NMR studies of the
Eu(III)-complexes 5 and 6 also confirmed the existence of different states
depending on the solvent used. Moreover, it was found that compound 5 is stable
in EtOH-PBS solutions; here a strong signal in the emission spectra corresponding
to the europium ion was detected. No spectral changes were observed for the
zinc(II) complexes, they were shown to be stable in the media. These metal
complexes can be used as fluorescence markers for the diagnosis of oesophageal
squamous carcinoma (OE21) cells at low concentrations. Cell images were acquired
using the compounds 5, 7-9 as luminescent agents. The first images were taken
already after 20 min incubation time at a very low concentration range (0.7-1.6
MUM).
PMID- 22071084
TI - Low-lying electronic states of the ferrous high-spin (S=2) heme in deoxy-Mb and
deoxy-Hb studied by highly-sensitive multi-frequency EPR.
AB - The low-lying electronic states of the ferrous high-spin heme in deoxy-myoglobin
(deoxy-Mb) and deoxy-hemoglobin (deoxy-Hb) were probed by multi-frequency
electron paramagnetic resonance (MFEPR) spectroscopy. An unexpected broad EPR
signal was measured at the zero magnetic field using cavity resonators at 34-122
GHz that could not be simulated using any parameter sets for the S=2 spin
Hamiltonian assuming spin quintet states in the (5)B(2) ground state.
Furthermore, we have observed novel, broad EPR signals measured at 70-220 GHz and
1.5K using a single pass transmission probe. These signals are attributed to the
ferrous high-spin heme in deoxy-Mb and deoxy-Hb. The resonant peaks shifted to a
higher magnetic field with increasing frequency. The energy level separation
between the ground singlet and the first excited state at the zero magnetic field
was directly estimated to be 3.5 cm(-1) for deoxy-Hb. For deoxy-Mb, the first two
excited singlet states are separated by 3.3 cm(-1) and 6.5 cm(-1), respectively,
from the ground state. The energy gap at the zero magnetic field is directly
derived from our MFEPR for deoxy-Mb and deoxy-Hb and strongly supports the
theoretical analyses based on the Mossbauer and magnetic circular dichroism
experiments.
PMID- 22071085
TI - Synthesis, structure, DNA binding and cleavage properties of ternary amino acid
Schiff base-phen/bipy Cu(II) complexes.
AB - Ternary Cu(II) complexes [Cu(II)(saltrp)(B)] (1,2), (saltrp=salicylidene
tryptophan, B=1,10 phenathroline (1) or 2,2' bipyridine (2)) were synthesized and
characterized. Complex 2 was structurally characterized by single crystal X-ray
crystallography. The molecular structure shows a distorted square pyramidal
coordination geometry (CuN(3)O(2)) in which the ONO donor Schiff base is bonded
to the Cu(II) in the basal plane. The N,N donor heterocyclic base displays an
axial-equatorial binding mode. CT-DNA binding studies revealed that the complexes
show good binding propensity (Intrinsic binding constant, K(b)=3.32*10(5) M(-1)
for 1 and K(b)=3.10*10(5) M(-1) for 2). The catalytic role of these complexes in
the oxidative and hydrolytic cleavage of DNA was studied in detail. Complex 1
binds and cleaves DNA more efficiently as compared to 2. From the kinetic
experiments, rate constants for the hydrolysis of phosphodiester bond of DNA
backbone were determined as 1.94 h(-1) and 1.05 h(-1) for 1 and 2 respectively.
It amounts to (2.93-5.41)*10(7) fold rate enhancement compared to uncatalyzed
double stranded DNA, which is impressive as compared to related Cu(II) Schiff
base complexes.
PMID- 22071086
TI - 3,5-diacetyl-1,2,4-triazol bis(4N-substituted thiosemicarbazone) palladium(II)
complexes: synthesis, structure, antiproliferative activity and low toxicity on
normal kidney cells.
AB - Treatment of (4)N-monosubstituted bis(thiosemicarbazone) ligands of 3,5-diacetyl
1,2,4-triazol series with lithium tetrachloridopalladate gave the dinuclear
complexes of general formula [Pd(MU-H(3)L(1-5))](2), but using
dichloridobistriphenylphosphinepalladium(II) salt, the first mononuclear
bis(thiosemicarbazone)-palladium-triphenylphosphine complexes of the 3,5-diacetyl
1,2,4-triazol series, [Pd(H(3)L(1-5))PPh(3)], have been obtained. All the
compounds have been characterized by elemental analysis and by IR and NMR
spectroscopy, and the crystal and molecular structures of dinuclear complexes
[Pd(MU-H(3)L(3))](2) and [Pd(MU-H(3)L(5))](2) as well as mononuclear complexes
[Pd(H(3)L(1))PPh(3)], [Pd(H(3)L(2))PPh(3)], [Pd(H(3)L(3))PPh(3)] and
[Pd(H(3)L(4))PPh(3)] have been determined by X-ray crystallography. The new
compounds synthesized have been evaluated for antiproliferative activity in vitro
against NCI-H460, A2780 and A2780cisR human cancer cell lines. Subsequent
toxicity study, on normal renal LLC-PK1 cells, shows that all compounds
investigated exhibit very low toxicity on kidney cells with respect to cisplatin.
PMID- 22071087
TI - Synthesis and biological studies of 4', 7, 8-trihydroxy-isoflavone metal
complexes.
AB - A new series of complexes of a ligand 4', 7, 8-trihydroxy-isoflavone with
transition metal (zinc, copper, manganese, nickel, cobalt) and selenium have been
synthesized and characterized with the aid of elemental analysis, IR, electron
ionization mass spectrum (EI-MS) and (1)H NMR spectrometric techniques. The
compounds were evaluated for their in vitro antibacterial activities and
antitumor properties. The metal complexes were found to be more active than the
free ligand. Investigation on the interaction between the complexes and calf
thymus DNA (CT DNA) showed that the absorbance of CT DNA increased and the
maximum peak (lambda(max)=260 nm) red-shifted, while the intensity of
fluorescence spectra of Epstein-Bart DNA (EB-DNA) gradually weakened, which
indicated that all of these metal complexes tightly combined with CT DNA.
PMID- 22071088
TI - Lipophilic Pt(II) complexes with selective efficacy against cisplatin-resistant
testicular cancer cells.
AB - A series of dichloridoplatinum(II) complexes with selective and high cytotoxicity
[IC(90)(96h)<=3 MUM] against cisplatin-resistant 1411HP testicular cancer cells
were identified. They bear stationary 6-aminomethylnicotinate or 2,4
diaminobutyrate ligands esterified with lipophilic terpenyl residues, i.e., (
)/(+)-menthyl, (+)-cedrenyl, (-)-menthoxypropyl, or with a decyl-tethered 1,1,2
triphenylethene. They accumulated to a larger extent in 1411HP cells than in
cells of the cisplatin-sensitive H12.1 germ cell tumour. Their mechanism of
apoptosis induction differed from that of cisplatin by being independent of p53
and of caspase-3 activation and by an early loss of the mitochondrial membrane
potential. The new complexes are promising candidates for the treatment of
cisplatin-resistant testicular tumours.
PMID- 22071089
TI - Replacement of the axial copper ligand methionine with lysine in amicyanin
converts it to a zinc-binding protein that no longer binds copper.
AB - The mutation of the axial ligand of the type I copper protein amicyanin from Met
to Lys results in a protein that is spectroscopically invisible and redox
inactive. M98K amicyanin acts as a competitive inhibitor in the reaction of
native amicyanin with methylamine dehydrogenase indicating that the M98K mutation
has not affected the affinity for its natural electron donor. The crystal
structure of M98K amicyanin reveals that its overall structure is very similar to
native amicyanin but that the type I binding site is occupied by zinc. Anomalous
difference Fourier maps calculated using the data collected around the absorption
edges of copper and zinc confirm the presence of Zn(2+) at the type I site. The
Lys98 NZ donates a hydrogen bond to a well-ordered water molecule at the type I
site which enhances the ability of Lys98 to provide a ligand for Zn(2+). Attempts
to reconstitute M98K apoamicyanin with copper resulted in precipitation of the
protein. The fact that the M98K mutation generated such a selective zinc-binding
protein was surprising as ligation of zinc by Lys is rare and this ligand set is
unique for zinc.
PMID- 22071090
TI - Non-steroidal anti-inflammatory drug diflunisal interacting with Cu(II).
Structure and biological features.
AB - Copper(II) complexes with the non-steroidal anti-inflammatory drug diflunisal in
the presence of N,N-dimethylformamide or nitrogen donor heterocyclic ligands
(pyridine, 1,10-phenanthroline, 2,2'-bipyridine or 2,2'-bipyridylamine) have been
synthesized and characterized. The deprotonated diflunisal ligands are
coordinated to Cu(II) ion through carboxylato oxygen atoms. The crystal
structures of [tetrakis(diflunisal)bis(N,N-dimethylformamide)dicopper(II)] 1 and
[bis(diflunisal)bis(pyridine)copper(II)], 2 have been determined by X-ray
crystallography and are the first reported crystal structures of diflunisal
complexes. UV study of the interaction of the complexes with calf-thymus DNA (CT
DNA) suggests binding of the complexes to CT DNA with the dinuclear
[tetrakis(diflunisal)bis(N,N-dimethylformamide)dicopper(II)] compound exhibiting
the highest binding constant, K(b). Intercalative binding mode may also be
concluded using cyclic voltammetry and solution viscosity measurements of the
complexes in the presence of CT DNA. Competitive studies with ethidium bromide
(EB) indicate that the complexes can displace the DNA-bound EB suggesting
competition with EB. Diflunisal and its complexes exhibit good binding propensity
to human or bovine serum albumin protein showing relatively high binding constant
values.
PMID- 22071091
TI - A novel approach for the selective determination of tryptophan in blood serum in
the presence of tyrosine based on the electrochemical reduction of oxidation
product of tryptophan formed in situ on graphite electrode.
AB - In this study, a novel method was proposed for the selective determination of
tryptophan (TRP) in blood serum in the presence of tyrosine. This method is based
on the electrochemical reduction of 2-amino-3-(5-oxo-3,5-dihydro-2H-indol-3-yl)
propionic acid (5-O-3,5DH-TRP) formed by the oxidation of TRP on the
electrochemically treated pencil graphite (ETPG) electrode surface at a suitable
potential value. The parameters affecting the TRP determination were deeply
investigated. The optimal pH value was determined as 3. The highest reduction
current intensity was obtained at the accumulation potential and time values of
+0.95 V and 120 s, respectively. The reduction peak current values of 5-O-3,5DH
TRP versus TRP concentration at the ETPG electrode showed linearity in the range
from 0.5 MUM to 50.0 MUM (R(2)=0.9962) with a detection limit of 0.05 MUM
(S/N=3). The reduction peak intensity of 5-O-3,5DH-TRP on the ETPG electrode
showed no significant change in the presence of different interfering substances.
The analytical application of the proposed novel method was successfully tested
by using human blood serum samples.
PMID- 22071092
TI - The role of microRNAs in neural stem cell-supported endothelial morphogenesis.
AB - Functional signaling between neural stem/progenitor cells (NSPCs) and brain
endothelial cells (ECs) is essential to the coordination of organized responses
during initial embryonic development and also during tissue repair, which occurs
following brain injury. In this study, we investigated the molecular mechanisms
underlying this functional signaling, using primary mouse brain ECs and NSPCs
from embryonic mouse brain. EC/NSPC co-culture experiments have revealed that
neural progenitors secrete factors supporting angiogenesis, which induce
noticeable changes in endothelial morphology. We demonstrate that NSPCs influence
the expression of mTOR and TGF-beta signaling pathway components implicated in
the regulation of angiogenesis. Endothelial morphogenesis, an essential component
of vascular development, is a complex process involving gene activation and the
upregulation of specific cell signaling pathways. Recently identified small
molecules, called microRNAs (miRNAs), regulate the expression of genes and
proteins in many tissues, including brain and vasculature. We found that NSPCs
induced considerable changes in the expression of at least 24 miRNAs and 13 genes
in ECs. Three NSPC-regulated EC miRNAs were identified as the potential primary
mediators of this NSPC/EC interaction. We found that the specific inhibition, or
overexpression, of miRNAs miR-155, miR-100, and miR-let-7i subsequently altered
the expression of major components of the mTOR, TGF-beta and IGF-1R signaling
pathways in ECs. Overexpression of these miRNAs in ECs suppressed, while
inhibition activated, the in vitro formation of capillary-like structures, a
process representative of EC morphogenesis. In addition, we demonstrate that
inhibition of FGF, VEGF, and TGF-beta receptor signaling abolished NSPC-promoted
changes in the endothelial miRNA profiles. Our findings demonstrate that NSPCs
induce changes in the miRNA expression of ECs, which are capable of activating
angiogenesis by modulating distinct cell signaling pathways.
PMID- 22071093
TI - Occurrence, characteristics, and impact of chronic pain in formerly abused women.
AB - The purposes of this study were to describe the occurrence of chronic pain and to
evaluate for differences in pain characteristics and intimate partner violence
between women who reported mild compared with moderate to severe chronic pain. A
convenience sample of community-based women (N = 84) was recruited. The 77% of
women who reported chronic pain were dichotomized into two groups. Women with
moderate to severe pain (n = 49) were significantly more likely to be unemployed,
to be in the abusive relationship longer, to report more minor injuries and
threats of violence, and to report pain in multiple locations that significantly
interfered with every aspect of their lives.
PMID- 22071094
TI - From the voices of women: facilitating survivor access to IPV services.
AB - This mixed-method study investigated perceptions women domestic violence
survivors/victims have about why women do not seek help from formal support
structures and actions domestic helping agencies can take to facilitate survivor
access to services. Congruent with previous research, quantitative analysis
identified 17 reasons women do not seek help from formal support structures.
Expanding current knowledge, concept mapping revealed six ways family violence
programs can better reach women in abusive relationships, including (1) remove
barriers to services, (2) improve comfort with services, (3) "talk about it," (4)
improve community awareness, (5) victim-targeted marketing, and (6) "I honestly
don't know."
PMID- 22071095
TI - Legislating gender inequalities: the nature and patterns of domestic violence
experienced by South Asian women with insecure immigration status in the United
Kingdom.
AB - Research on domestic violence documents the particular vulnerability of immigrant
women due to reasons including social isolation, language barriers, lack of
awareness about services, and racism on the part of services. Based on
qualitative interviews with 30 South Asian women with insecure immigration status
residing in Yorkshire and Northwest England, this article explores how
inequalities created by culture, gender, class, and race intersect with state
immigration and welfare policies in the United Kingdom, thereby exacerbating
structures of patriarchy within minority communities. It is within these contexts
that South Asian women with insecure immigration status experience intensified
forms and specific patterns of abuse.
PMID- 22071096
TI - Tanzanian lessons in using non-physician clinicians to scale up comprehensive
emergency obstetric care in remote and rural areas.
AB - BACKGROUND: With 15-30% met need for comprehensive emergency obstetrical care
(CEmOC) and a 3% caesarean section rate, Tanzania needs to expand the number of
facilities providing these services in more remote areas. Considering severe
shortage of human resources for health in the country, currently operating at 32%
of the required skilled workforce, an intensive three-month course was developed
to train non-physician clinicians for remote health centres. METHODS: Competency
based curricula for assistant medical officers' (AMOs) training in CEmOC, and for
nurses, midwives and clinical officers in anaesthesia and operation theatre
etiquette were developed and implemented in Ifakara, Tanzania. The required key
competencies were identified, taught and objectively assessed. The training
involved hands-on sessions, lectures and discussions. Participants were purposely
selected in teams from remote health centres where CEmOC services were planned.
Monthly supportive supervision after graduation was carried out in the upgraded
health centres RESULTS: A total of 43 care providers from 12 health centres
located in 11 rural districts in Tanzania and 2 from Somalia were trained from
June 2009 to April 2010. Of these 14 were AMOs trained in CEmOC and 31 nurse
midwives and clinical officers trained in anaesthesia. During training,
participants performed 278 major obstetric surgeries, 141 manual removal of
placenta and evacuation of incomplete and septic abortions, and 1161 anaesthetic
procedures under supervision. The first 8 months after introduction of CEmOC
services in 3 health centres resulted in 179 caesarean sections, a remarkable
increase of institutional deliveries by up to 300%, decreased fresh stillbirth
rate (OR: 0.4; 95% CI: 0.1-1.7) and reduced obstetric referrals (OR: 0.2; 95% CI:
0.1-0.4)). There were two maternal deaths, both arriving in a moribund condition.
CONCLUSIONS: Tanzanian AMOs, clinical officers, and nurse-midwives can be trained
as a team, in a three-month course, to provide effective CEmOC and anaesthesia in
remote health centres.
PMID- 22071097
TI - Intracellular pathways and nuclear localization signal peptide-mediated gene
transfection by cationic polymeric nanovectors.
AB - Polyethylenimine (PEI) - based polymers are promising cationic nanovectors. A
good understanding of the mechanism by which cationic polymers/DNA complexes are
internalized and delivered to nuclei helps to identify which transport steps may
be manipulated in order to improve the transfection efficiency. In this work,
cell internalization and trafficking of PEI-CyD (PC) composed of beta
cyclodextrin (beta-CyD) and polyethylenimine (PEI, Mw 600) are studied. The
results show that the PC transfected DNA is internalized by binding membrane
associated proteoglycans. The endocytic pathway of the PC particles is caveolae-
and clathrin-dependent with both pathways converging to the lysosome. The
intracellular fate of the PC provides visual evidence that it can escape from the
lysosome. Lysosomal inhibition with chloroquine has no effect on PC mediated
transfection implying that blocking the lysosomal traffic does not improve
transfection. To improve the nuclear delivery of PC transfected DNA, nuclear
localization signal (NLS) peptides are chosen to conjugate and combine with the
PC. Compared to PC/pDNA, PC-NLS/pDNA, and PC/pDNA/NLS can effectively improve
gene transfection in dividing and non-dividing cells.
PMID- 22071098
TI - The CD44/integrins interplay and the significance of receptor binding and re
presentation in the uptake of RGD-functionalized hyaluronic acid.
AB - We have studied the interplay between two endocytic receptors for a carrier
structure bearing two complementary ligands. Hyaluronic acid (HA; three different
molecular weights) was functionalized with an RGD-containing peptide; this
ancillary ligand allows the macromolecule to bind to alpha(v) integrins in
addition to the classical HA internalization receptor (CD44). The uptake of HA
RGD and of native HA was assessed in a phagocytic cell model (J774.2 murine
macrophages), studying the kinetics of internalization and its mechanistic
details. Indications of a synergic binding to integrins and CD44 emerged for HA
RGD; possibly, a first binding to integrins allows for a pre-concentration of the
macromolecule on the cell surface, which is then followed by its binding to CD44.
The endocytic mechanism and kinetics appeared then dominated by CD44, which has a
much slower turnover than integrins. In this study we have demonstrated that the
knowledge of the rate-determining steps of the internalization of a carrier is
necessary for assessing its performance. In this case, the presence of multiple
ligands on a carrier was beneficial in some respect (e.g. in improved
binding/targeting), but may not be sufficient to overcome penetration barriers
that arise from slow receptor re-presentation.
PMID- 22071099
TI - Modulation of the migration and differentiation potential of adult bone marrow
stromal stem cells by nitric oxide.
AB - Nitric oxide (NO) is a diffusible free radical, which serves as a pluripotent
intracellular messenger in numerous cell systems. NO has been demonstrated to
regulate actin dependent cellular functions and functions as a putative inductive
agent in directing stem cells differentiation. In this study, we investigated the
effect of exogenous NO on the kinetics of movement and morphological changes in
adult bone marrow stromal cells (BMSCs) in a wound healing model of cellular
migration. Cellular migration and morphological changes were determined by
measurement of changes in the area and fractal dimension of BMSCs monolayer as a
function of time in the presence of an NO donor (S-Nitroso-N-Acetyl-D,L
Penicillamine, SNAP) compared to untreated BMSCs. Response of the BMSCs' actin
cytoskeleton and desmin to NO was assessed by determining changes in their
integrated optical density (IOD) and fractal dimension at 24 h and 7 days. NO
suppressed BMSCs' migration accompanied by a reduction in cell size, with
maintenance of their stellate to polygonal morphology. In response to NO, the
actin cytoskeleton expressed an increase in randomness but maintained a constant
amount of F-actin relative to the cell size. The presence of NO also induced an
increase in randomly organized cytoplasmic desmin. These data suggest that NO has
an apparent inductive effect on adult BMSCs and is capable of initiating
phenotypic change at the gross cellular, cytoskeletal and molecular levels. It is
apparent, however, that additional factors or conditions are required to further
drive the differentiation of adult BMSCs into specific phenotypes, such as
cardiomyocytes.
PMID- 22071100
TI - Three-dimensional cancer-bone metastasis model using ex-vivo co-cultures of live
calvarial bones and cancer cells.
AB - One of the major limitations of studying cancer-bone metastasis has been the lack
of an appropriate ex-vivo model which can be used under defined conditions that
simulates closely the in vivo live bone microenvironment in response to cancer
bone interactions. We have developed and utilized a three-dimensional (3D) cancer
bone metastasis model using free-floating live mouse calvarial bone organs in the
presence of cancer cells in a roller tube system. In such co-cultures under
hypoxia and a specifically defined bone remodeling stage, viz., resorption
system, cancer cells showed a remarkable affinity and specificity for the
"endosteal side" of the bone where they colonize and proliferate. This was
concurrent with differentiation of resident stem/progenitor cells to osteoclasts
and bone resorption. In contrast, under bone formation conditions this model
revealed different pathophysiology where the breast cancer cells continued to
induce osteoclastic bone resorption whereas prostate cancer cells led to
osteoblastic bone formation. The current 3D model was used to demonstrate its
application to studies involving chemical and biochemical perturbations in the
absence and presence of cancer cells and cellular responses. We describe proof-of
principle with examples of the broad versatility and multi-faceted application of
this model that adds another dimension to the ongoing studies in the cancer-bone
metastasis arena.
PMID- 22071101
TI - Seven up acts as a temporal factor during two different stages of neuroblast 5-6
development.
AB - Drosophila embryonic neuroblasts generate different cell types at different time
points. This is controlled by a temporal cascade of Hb->Kr->Pdm->Cas->Grh, which
acts to dictate distinct competence windows sequentially. In addition, Seven up
(Svp), a member of the nuclear hormone receptor family, acts early in the
temporal cascade, to ensure the transition from Hb to Kr, and has been referred
to as a 'switching factor'. However, Svp is also expressed in a second wave
within the developing CNS, but here, the possible role of Svp has not been
previously addressed. In a genetic screen for mutants affecting the last-born
cell in the embryonic NB5-6T lineage, the Ap4/FMRFamide neuron, we have isolated
a novel allele of svp. Expression analysis shows that Svp is expressed in two
distinct pulses in NB5-6T, and mutant analysis reveals that svp plays two
distinct roles. In the first pulse, svp acts to ensure proper downregulation of
Hb. In the second pulse, which occurs in a Cas/Grh double-positive window, svp
acts to ensure proper sub-division of this window. These studies show that a
temporal factor may play dual roles, acting at two different stages during the
development of one neural lineage.
PMID- 22071102
TI - The extracellular matrix molecule tenascin C modulates expression levels and
territories of key patterning genes during spinal cord astrocyte specification.
AB - The generation of astrocytes during the development of the mammalian spinal cord
is poorly understood. Here, we demonstrate for the first time that the
extracellular matrix glycoprotein tenascin C regulates the expression of key
patterning genes during late embryonic spinal cord development, leading to a
timely maturation of gliogenic neural precursor cells. We first show that
tenascin C is expressed by gliogenic neural precursor cells during late embryonic
development. The loss of tenascin C leads to a sustained generation and delayed
migration of Fgfr3-expressing immature astrocytes in vivo. Consistent with an
increased generation of astroglial cells, we documented an increased number of
GFAP-positive astrocytes at later stages. Mechanistically, we could demonstrate
an upregulation and domain shift of the patterning genes Nkx6.1 and Nkx2.2 in
vivo. In addition, sulfatase 1, a known downstream target of Nkx2.2 in the
ventral spinal cord, was also upregulated. Sulfatase 1 regulates growth factor
signalling by cleaving sulphate residues from heparan sulphate proteoglycans.
Consistent with this function, we observed changes in both FGF2 and EGF
responsiveness of spinal cord neural precursor cells. Taken together, our data
implicate Tnc in the regulation of proliferation and lineage progression of
astroglial progenitors in specific domains of the developing spinal cord.
PMID- 22071103
TI - Pitx1 is necessary for normal initiation of hindlimb outgrowth through regulation
of Tbx4 expression and shapes hindlimb morphologies via targeted growth control.
AB - The forelimbs and hindlimbs of vertebrates are morphologically distinct. Pitx1,
expressed in the hindlimb bud mesenchyme, is required for the formation of
hindlimb characteristics and produces hindlimb-like morphologies when
misexpressed in forelimbs. Pitx1 is also necessary for normal expression of Tbx4,
a transcription factor required for normal hindlimb development. Despite the
importance of this protein in these processes, little is known about its
mechanism of action. Using a transgenic gene replacement strategy in a Pitx1
mutant mouse, we have uncoupled two discrete functions of Pitx1. We show that,
firstly, this protein influences hindlimb outgrowth by regulating Tbx4 expression
levels and that, subsequently, it shapes hindlimb bone and soft tissue morphology
independently of Tbx4. We provide the first description of how Pitx1 sculpts the
forming hindlimb skeleton by localised modulation of the growth rate of discrete
elements.
PMID- 22071104
TI - Eph/ephrin interactions modulate muscle satellite cell motility and patterning.
AB - During development and regeneration, directed migration of cells, including
neural crest cells, endothelial cells, axonal growth cones and many types of
adult stem cells, to specific areas distant from their origin is necessary for
their function. We have recently shown that adult skeletal muscle stem cells
(satellite cells), once activated by isolation or injury, are a highly motile
population with the potential to respond to multiple guidance cues, based on
their expression of classical guidance receptors. We show here that, in vivo,
differentiated and regenerating myofibers dynamically express a subset of ephrin
guidance ligands, as well as Eph receptors. This expression has previously only
been examined in the context of muscle-nerve interactions; however, we propose
that it might also play a role in satellite cell-mediated muscle repair.
Therefore, we investigated whether Eph-ephrin signaling would produce changes in
satellite cell directional motility. Using a classical ephrin 'stripe' assay, we
found that satellite cells respond to a subset of ephrins with repulsive behavior
in vitro; patterning of differentiating myotubes is also parallel to ephrin
stripes. This behavior can be replicated in a heterologous in vivo system, the
hindbrain of the developing quail, in which neural crest cells are directed in
streams to the branchial arches and to the forelimb of the developing quail,
where presumptive limb myoblasts emigrate from the somite. We hypothesize that
guidance signaling might impact multiple steps in muscle regeneration, including
escape from the niche, directed migration to sites of injury, cell-cell
interactions among satellite cell progeny, and differentiation and patterning of
regenerated muscle.
PMID- 22071106
TI - Novel functions of Noggin proteins: inhibition of Activin/Nodal and Wnt
signaling.
AB - The secreted protein Noggin1 is an embryonic inducer that can sequester TGFbeta
cytokines of the BMP family with extremely high affinity. Owing to this function,
ectopic Noggin1 can induce formation of the headless secondary body axis in
Xenopus embryos. Here, we show that Noggin1 and its homolog Noggin2 can also
bind, albeit less effectively, to ActivinB, Nodal/Xnrs and XWnt8, inactivation of
which, together with BMP, is essential for the head induction. In support of
this, we show that both Noggin proteins, if ectopically produced in sufficient
concentrations in Xenopus embryo, can induce a secondary head, including the
forebrain. During normal development, however, Noggin1 mRNA is translated in the
presumptive forebrain with low efficiency, which provides the sufficient protein
concentration for only its BMP-antagonizing function. By contrast, Noggin2, which
is produced in cells of the anterior margin of the neural plate at a higher
concentration, also protects the developing forebrain from inhibition by ActivinB
and XWnt8 signaling. Thus, besides revealing of novel functions of Noggin
proteins, our findings demonstrate that specification of the forebrain requires
isolation of its cells from BMP, Activin/Nodal and Wnt signaling not only during
gastrulation but also at post-gastrulation stages.
PMID- 22071105
TI - The peripheral nervous system supports blood cell homing and survival in the
Drosophila larva.
AB - Interactions of hematopoietic cells with their microenvironment control blood
cell colonization, homing and hematopoiesis. Here, we introduce larval
hematopoiesis as the first Drosophila model for hematopoietic colonization and
the role of the peripheral nervous system (PNS) as a microenvironment in
hematopoiesis. The Drosophila larval hematopoietic system is founded by
differentiated hemocytes of the embryo, which colonize segmentally repeated
epidermal-muscular pockets and proliferate in these locations. Importantly, we
show that these resident hemocytes tightly colocalize with peripheral neurons and
we demonstrate that larval hemocytes depend on the PNS as an attractive and
trophic microenvironment. atonal (ato) mutant or genetically ablated larvae,
which are deficient for subsets of peripheral neurons, show a progressive
apoptotic decline in hemocytes and an incomplete resident hemocyte pattern,
whereas supernumerary peripheral neurons induced by ectopic expression of the
proneural gene scute (sc) misdirect hemocytes to these ectopic locations. This
PNS-hematopoietic connection in Drosophila parallels the emerging role of the PNS
in hematopoiesis and immune functions in vertebrates, and provides the basis for
the systematic genetic dissection of the PNS-hematopoietic axis in the future.
PMID- 22071108
TI - Msx1 and Msx2 promote meiosis initiation.
AB - The mechanisms regulating germ line sex determination and meiosis initiation are
poorly understood. Here, we provide evidence for the involvement of homeobox Msx
transcription factors in foetal meiosis initiation in mammalian germ cells. Upon
meiosis initiation, Msx1 and Msx2 genes are strongly expressed in the foetal
ovary, possibly stimulated by soluble factors found there: bone morphogenetic
proteins Bmp2 and Bmp4, and retinoic acid. Analysis of Msx1/Msx2 double mutant
embryos revealed a majority of undifferentiated germ cells remaining in the ovary
and, importantly, a decrease in the number of meiotic cells. In vivo, the
Msx1/Msx2 double-null mutation prevented full activation of Stra8, a gene
required for meiosis. In F9 cells, Msx1 can bind to Stra8 regulatory sequences
and Msx1 overexpression stimulates Stra8 transcription. Collectively, our data
demonstrate for the first time that some homeobox genes are required for meiosis
initiation in the female germ line.
PMID- 22071107
TI - Rho GTPase controls Drosophila salivary gland lumen size through regulation of
the actin cytoskeleton and Moesin.
AB - Generation and maintenance of proper lumen size is important for tubular organ
function. We report on a novel role for the Drosophila Rho1 GTPase in control of
salivary gland lumen size through regulation of cell rearrangement, apical domain
elongation and cell shape change. We show that Rho1 controls cell rearrangement
and apical domain elongation by promoting actin polymerization and regulating F
actin distribution at the apical and basolateral membranes through Rho kinase.
Loss of Rho1 resulted in reduction of F-actin at the basolateral membrane and
enrichment of apical F-actin, the latter accompanied by enrichment of apical
phosphorylated Moesin. Reducing cofilin levels in Rho1 mutant salivary gland
cells restored proper distribution of F-actin and phosphorylated Moesin and
rescued the cell rearrangement and apical domain elongation defects of Rho1
mutant glands. In support of a role for Rho1-dependent actin polymerization in
regulation of gland lumen size, loss of profilin phenocopied the Rho1 lumen size
defects to a large extent. We also show that Ribbon, a BTB domain-containing
transcription factor functions with Rho1 in limiting apical phosphorylated Moesin
for apical domain elongation. Our studies reveal a novel mechanism for
controlling salivary gland lumen size, namely through Rho1-dependent actin
polymerization and distribution and downregulation of apical phosphorylated
Moesin.
PMID- 22071109
TI - Molecular basis for Flk1 expression in hemato-cardiovascular progenitors in the
mouse.
AB - The mouse Flk1 gene is expressed in various mesodermal progenitor cells of
developing embryos. Recent studies have shown that Flk1 expression marks
multipotent mesodermal progenitors, giving rise to various hemato-cardiovascular
cell lineages during development. Flk1 expression also marks hemato
cardiovascular cell lineages in differentiating embryonic stem (ES) cells, which
may be used in transplantation decisions to treat cardiovascular diseases.
Despite its developmental and clinical importance in cardiovascular tissues, the
transcriptional regulatory system of Flk1 has remained unclear. Here, we report a
novel enhancer of the mouse Flk1 gene directing early mesodermal expression
during development as well as ES differentiation. The enhancer enriches various
mesodermal progenitors, such as primitive erythropoietic progenitors,
hemangioblast (BL-CFC) and cardiovascular progenitors (CV-CFC). The enhancer is
activated by Bmp, Wnt and Fgf, and it contains Gata-, Cdx-, Tcf/Lef-, ER71/Etv2-
and Fox-binding sites, some of which are bound specifically by each of these
transcription factors. As these transcription factors are known to act under the
control of the Bmp, Wnt and Fgf families, early Flk1 expression may be induced by
cooperative interactions between Gata, Tcf/Lef, Cdx and ER71/Etv2 under the
control of Bmp, Wnt and Fgf signaling. The enhancer is required for early Flk1
expression and for hemangioblast development during ES differentiation.
PMID- 22071111
TI - Sexual and reproductive health status and related knowledge among female migrant
workers in Guangzhou, China: a cross-sectional survey.
AB - OBJECTIVE: The objective of this study was to investigate the current sexual and
reproductive health (SRH) status including SRH-related knowledge and associated
factors, self-reported symptoms of reproductive tract infection (RTI), medical
assistance seeking behavior, sexual experience and contraceptive use,
reproductive information approach and reproductive service utilization among
female migrant workers in Huangpu district, Guangzhou city, China. STUDY DESIGN:
A cross-sectional study was conducted in 2008 in eight factories, which were
selected randomly from 32 eligible factories in the Huangpu district in
Guangzhou. Descriptive statistics were used to describe the SRH status of migrant
workers. Factors associated with the level of SRH knowledge were determined by a
logistic regression model. RESULTS: Of 1346 female migrant workers, 831(61.7%)
were unmarried and 515 (38.3%) were married. 27.2% of the unmarried respondents
and 40.2% of the married respondents had suffered self-reported RTI symptoms.
Among unmarried respondents, the median knowledge score was 5 points, compared to
8 points for the married. For unmarried migrant workers, factors associated with
the knowledge level were age, education level, access to SRH information and
service, sexual experiences and RTI symptoms. For married migrant workers,
factors associated with the knowledge level were age, education level, access to
SRH services and RTI symptoms. CONCLUSIONS: A high prevalence of self-reported
RTI symptoms and a low knowledge level were found among young female migrant
workers. Unmarried migrant workers are more vulnerable to SRH problems. Those
findings demand more specific interventions targeting female migrants and in
particular the unmarried.
PMID- 22071110
TI - Six3 is required for ependymal cell maturation.
AB - Ependymal cells are part of the neurogenic niche in the adult subventricular zone
of the lateral ventricles, where they regulate neurogenesis and neuroblast
migration. Ependymal cells are generated from radial glia cells during embryonic
brain development and acquire their final characteristics postnatally. The
homeobox gene Six3 is expressed in ependymal cells during the formation of the
lateral wall of the lateral ventricles in the brain. Here, we show that Six3 is
necessary for ependymal cell maturation during postnatal stages of brain
development. In its absence, ependymal cells fail to suppress radial glia
characteristics, resulting in a defective lateral wall, abnormal neuroblast
migration and differentiation, and hydrocephaly.
PMID- 22071112
TI - Evaluation of glycemic and oxidative/antioxidative status in the estradiol
valerate-induced PCOS model of rats.
AB - OBJECTIVE: The aim of this study was to show glycemic and oxidative/antioxidative
status (GOAS) in rats with estradiol valerate (EV)-induced polycystic ovarian
syndrome. STUDY DESIGN: Thirty mature female rats were randomly allocated to EV
induced PCOS, sham and control groups. Malondialdehyde, catalase and fasting
blood glucose levels were determined in order to evaluate GOAS. RESULTS: There
was a statistically significant difference between PCOS and control groups
(p<0.001) for hemolysate MDA while no difference was determined for either
catalase or fasting blood glucose levels. On histopathological examination, the
EV-induced PCOS group revealed disease-characteristic ovarian morphology.
CONCLUSION: There was an increased compensation for oxidative stress by
antioxidative biologic mechanisms in EV-induced PCOS rats. Interestingly, the
sole result derived from this limited study is that the sesame oil+EV combination
is not appropriate for the evaluation of oxidant-antioxidant status and also
glycemic condition in PCOS. This study demonstrates the need for better designed
experimental studies to elucidate the aetiopathogenesis of PCOS via novel
techniques.
PMID- 22071113
TI - Gene expression patterns of insulin-like growth factor 1, 2 (IGF-1, IGF-2) and
insulin-like growth factor binding protein 3 (IGFBP-3) in human placenta from
preterm deliveries: influence of additional factors.
AB - OBJECTIVE: To compare patterns of human placental gene expression of IGF from
pregnancies that ended with preterm delivery vs. full term pregnancies as
controls. STUDY DESIGN: Real-time PCR was used to assess gene expression of IGF
in human placental samples from 104 preterm and 140 full term pregnancies.
RESULTS: In the preterm delivery group, the proportion of smokers was
significantly higher than in the control group. A history of preterm delivery was
more common in the preterm delivery group compared to the control group. In the
preterm delivery group, placental samples showed an underexpression of the IGF-1
gene compared to controls. In cases of male fetal gender an overexpression of
both the IGF-2 and the IGFBP-3 genes was observed. CONCLUSION: Among
environmental factors influencing preterm delivery, smoking was the most
significant in our study. In the majority of cases, preterm delivery was induced
by intrauterine infection leading to a decreased activity of the IGF system. This
mechanism may also play a role in the development of neurological sequelae and in
decreased tolerance to fetal distress. The overexpression of the IGF-2 gene
observed in the placenta with male fetal gender can be explained by its
physiological role in the development of the male phenotype.
PMID- 22071114
TI - Increased CXCL12 expression in the placentae of women with pre-eclampsia.
AB - OBJECTIVE: To investigate the expression pattern of CXC chemokine ligand-12
(CXCL12) in the placentae of normal and pre-eclamptic women. STUDY DESIGN: Twenty
five women with severe pre-eclampsia and 30 normotensive women, matched for
gestational age, were enrolled in the study. Placental tissue from each woman was
collected following delivery by caesarean section. Quantitative reverse
transcription polymerase chain reaction, Western blot analysis and
immunohistochemical staining were performed for mRNA expression, quantification
and tissue localization of CXCL12 in each placenta. RESULTS: CXCL12 expression
was greater in pre-eclamptic placentae compared with normal placentae. CXCL12 was
detected in most placental tissue cells by immunohistochemical staining. CXCL12
immunoreactivity was significantly greater in syncytiotrophoblasts of pre
eclamptic placentae compared with normal placentae. However, there was no
significant difference in CXCL12 immunoreactivity in other tissues between the
two groups. CONCLUSION: CXCL12 expression is significantly greater in the
placentae of pre-eclamptic women compared with normal women. This may represent
part of a compensatory mechanism for pre-eclampsia.
PMID- 22071115
TI - Differential expression of Axin1, Cdc25c and Cdkn2d mRNA in 2-cell stage mouse
blastomeres.
AB - There is increasing evidence to show that 2-cell stage mouse blastomeres have
differing developmental properties. Additionally, it has been suggested that such
a difference might be due to their distribution of mRNA and/or protein asymmetry.
However, to date, the exact genes that are involved in the orientation and order
of blastomere division are not known. In this study, some differentially
expressed transcripts were identified. Axin1, cell division cycle 25 homolog C
(Cdc25c) and cyclin-dependent inhibitor 2D (Cdkn2d) were selected for validation
by real-time polymerase chain reaction (PCR) based on published data. Our real
time PCR results demonstrated that Axin1, Cdc25c and Cdkn2d genes had different
levels of expression among blastomeres of the mouse 2-cell embryo i.e. the level
of Axin1 mRNA was significantly higher in one blastomere when compared with the
other blastomeres of the 2-cell embryo (p < 0.05). The variation in Cdc25c (p <
0.05) and Cdkn2d (p < 0.01) mRNA expression followed a similar trend to that of
Axin1. In addition, the highest levels of expression of these three genes were
detected in the same blastomere in the 2-cell embryo. We confirmed that there was
an asymmetrical distribution pattern for Axin1, Cdc25c and Cdkn2d transcripts in
2-cell embryos. In conclusion, this study demonstrated clearly that there is
embryonic asymmetry at the 2-cell stage and that these differentially expressed
genes may result in differentiation in expression in embryo development.
PMID- 22071116
TI - Examining the link between collision involvement and cocaine use.
AB - BACKGROUND: Cocaine is one of the more commonly found illicit drugs in injured
drivers. In this work, we examine the association between self-reported past year
cocaine use and past year collision involvement in a large representative sample
of adult drivers in Ontario. METHODS: Data are based on the CAMH Monitor, an
ongoing cross-sectional telephone survey of Ontario adults aged 18 and older.
Five years of data (2002, 2003, 2004, 2006, 2008) were merged for this study
(N=8107) due to survey item availability. Logistic regression analysis was
performed to identify the risk of self-reported collision involvement within the
past 12 months associated with past year use of cocaine, while controlling for
sociodemographic, driving exposure and drinking-driving (as a function of
drinking status) factors. Due to listwise deletion, the logistic regression model
was based on a reduced sample (N=7284). RESULTS: The prevalence of self-reported
collision involvement within the past year was 18.9% among those who used cocaine
in the past year compared to 7.4% of non-users. Logistic regression analysis,
controlling for the potential confounding effects of age, gender, income, driving
exposure and drinking-driving measures, found the odds of collision involvement
in the preceding year among cocaine users was over twice that of non-users
(OR=2.11, 95% CI=1.06-4.18). CONCLUSIONS: This study suggests that cocaine users
are significantly more likely to report collision involvement in the past year.
Additional work to confirm these observations, and to assess possible causal
pathways, is needed.
PMID- 22071117
TI - Motivators and barriers influencing willingness to participate in candidate HCV
vaccine trials: perspectives of people who inject drugs.
AB - BACKGROUND: A safe and efficacious vaccine may be the most efficient and cost
effective strategy for controlling the hepatitis C virus (HCV) epidemic among
people who inject drugs (PWID) and several candidates are in development.
However, little is known about the factors that influence willingness to
participate (WTP) in candidate HCV vaccine trials among this group. METHODS: HCV
seronegative PWID recruited between 2008 and 2010 as part of a prospective
observational cohort study in Sydney, Australia were asked whether they would be
willing to participate in a future candidate hepatitis C vaccine trial and to
provide reasons to explain their decision. RESULTS: Of 113 participants, 74%
indicated WTP, 15% were unwilling to participate and 11% reported WTP that was
contingent on vaccine characteristics and trial design issues. The most commonly
reported motivator for hypothetical trial participation was altruism, followed by
potential health benefits, financial remuneration, and knowledge gain. Barriers
to hypothetical participation included fears about possible harms to health, such
as concerns about vaccine safety, side effects, and acquiring HCV from the
vaccine; other barriers included mistrust of biomedical research and time
constraints. CONCLUSIONS: These results may be useful in designing strategies to
enhance HCV vaccine trial recruitment and retention and have ethical implications
for developing informed consent processes and standards of care.
PMID- 22071118
TI - Ethnic-specific meta-analyses of association between the OPRM1 A118G polymorphism
and alcohol dependence among Asians and Caucasians.
AB - BACKGROUND: Many studies have investigated the association between the OPRM1
A118G polymorphism (rs1799971) and alcohol dependence, but the results were
inconsistent. To better understand this relationship, ethnicity-specific meta
analyses were conducted. METHODS: We retrieved all eligible studies published up
to April 12, 2011 from the PubMed/MEDLINE, EMBASE, and ISI Web of Science
databases. Ethnicity-specific meta-analyses were performed using either fixed- or
random-effect models as appropriate. RESULTS: Twelve independent studies with
1900 cases and 2382 controls were included. Five studies were conducted in Asians
and seven in Caucasians. Ethnicity-specific meta-analyses revealed that the A118G
polymorphism was significantly associated with alcohol dependence risk in Asians
(GA vs. AA: odds ratio [OR], 1.73; 95% confidence interval [CI], 1.33-2.25; GA+GG
vs. AA: OR, 1.57; 95% CI, 1.22-2.02), but not in Caucasians (GA vs. AA: OR, 1.05;
95% CI, 0.75-1.49; GA+GG vs. AA: OR, 1.11; 95% CI, 0.79-1.55). CONCLUSIONS: The
OPRM1 A118G polymorphism may contribute to the susceptibility of alcohol
dependence in Asians but not in Caucasians.
PMID- 22071119
TI - Piperazine compounds as drugs of abuse.
AB - Synthetic drugs are among the most commonly abused drugs in the world. This abuse
is widespread among young people, especially in the dance club and rave scenes.
Over the last several years, piperazine derived drugs have appeared, mainly
available via the internet, and sold as ecstasy pills or under the names of
"Frenzy", "Bliss", "Charge", "Herbal ecstasy", "A2", "Legal X" and "Legal E".
Although in the market piperazine designer drugs have the reputation of being
safe, several experimental and epidemiological studies indicate risks for humans.
Piperazine designer drugs can be divided into two classes, the benzylpiperazines
such as N-benzylpiperazine (BZP) and its methylenedioxy analogue 1-(3,4
methylenedioxybenzyl)piperazine (MDBP), and the phenylpiperazines such as 1-(3
chlorophenyl)piperazine (mCPP), 1-(3-trifluoromethylphenyl)piperazine (TFMPP),
and 1-(4-methoxyphenyl)piperazine (MeOPP). Toxicokinetic properties, including
metabolic pathways, actions and effects in animals and humans, with some
hypothesis of mechanism of action, and analytical approaches for the
identification of these drugs are summarized in this review.
PMID- 22071120
TI - Individual-level syringe coverage among Needle and Syringe Program attendees in
Australia.
AB - BACKGROUND: Harm associated with injecting drug use is a significant public
health issue and a major cause of morbidity and mortality, with global estimates
of 3 million injectors infected with HIV and 8 million living with chronic
hepatitis C virus (HCV) infection. Estimates of program coverage are widely used
in the context of HIV prevention and are critical in determining the
effectiveness of interventions such as Needle and Syringe Programs (NSPs).
METHODS: Data from a national cross-sectional study of NSP attendees in Australia
were used to estimate individual-level syringe coverage as a proportion of
monthly injections covered by a new syringe. Univariate and multivariate logistic
regressions modelled associations between demographics, injecting risk, anti-HIV
and HCV prevalence and syringe coverage. The median number of syringes retained
per NSP attendee per annum was also estimated. RESULTS: Twenty percent of
participants had insufficient new syringes for all injections. Syringe reuse
(including reuse of one's own syringe) was independently associated with syringe
coverage of <100%. Conversely, procurement of syringes from an NSP was
independently associated with syringe coverage >=100%, with a greater protective
effect occurring when NSP utilisation was combined with current engagement in
opiate substitution therapy. The median number of syringes retained per
participant per annum was 720, equivalent to 2 per day. CONCLUSIONS: While
Australian NSP attendees report high syringe coverage by international standards,
prevention efforts could be scaled up. Syringe reuse was associated with syringe
coverage of <100%, suggesting the utility of reuse as a proxy for individual
level syringe coverage.
PMID- 22071121
TI - Patient satisfaction with methadone maintenance treatment: the relevance of
participation in treatment and social functioning.
AB - BACKGROUND: Patients' satisfaction with methadone maintenance treatment (MMT) is
a key measure of treatment quality. The main objective of the present study is to
identify independent factors that contribute significantly to satisfaction with
MMT. METHOD: Participants were a representative sample of methadone-maintained
patients (n=123) from the region of La Rioja. Satisfaction with MMT was assessed
with the Verona Service Satisfaction Scale for Methadone Treatment (VSSS-MT), and
mental health status with the General Health Questionnaire-28 (GHQ-28).
Multivariate linear- and logistic-regression analyses were performed to identify
variables independently associated with satisfaction with MMT. RESULTS: Multiple
linear regression analysis revealed that the variables independently associated
with VSSS-MT total score were number of hours per week that the centre dispensed
methadone (beta=0.193), number of patients per centre (beta=0.233), perceived
frequency of receiving information about methadone dose changes (beta=0.246),
perceived influence on these changes (beta=0.194), and Social Dysfunction
subscale of GHQ-28 (beta=-0.179). Multivariate binary logistic regression showed
that the variables independently associated with the likelihood of being
satisfied with MMT were number of years of education completed (OR=0.835), number
of patients per centre (OR=1.009), perceived frequency of receiving information
about methadone dose changes (OR=1.571), and Social Dysfunction subscale of GHQ
28 (OR=0.748). CONCLUSIONS: Patients from larger centres, who perceive themselves
as participating to some extent in treatment decisions, and showing lower
deterioration in social functioning are more likely to be satisfied with MMT.
PMID- 22071122
TI - The relevance of age at first alcohol and nicotine use for initiation of cannabis
use and progression to cannabis use disorders.
AB - BACKGROUND: A younger age at onset of use of a specific substance is a well
documented risk-factor for a substance use disorder (SUD) related to that
specific substance. However, the cross-substance relationship between a younger
age at onset of alcohol use (AU) and nicotine use (NU) and the risk of cannabis
use disorders (CUD) in adolescence and early adulthood remains unclear. AIMS: To
identify the sequence of and latency between initial AU/NU and initial cannabis
use (CU). To investigate whether younger age at AU- and NU-onset is associated
with any and earlier CU-onset and a higher risk of transition from first CU to
CUD, taking into account externalizing disorders (ED) and parental substance use
disorders as putative influential factors. METHODS: Prospective-longitudinal
community study with N=3021 subjects (baseline age 14-24) and up to four
assessment waves over up to ten years with additional direct parental and family
history information. Substance use and CUD were assessed with the DSM-IV/M-CIDI.
RESULTS: Most subjects with CU reported AU (99%) and NU (94%). Among users of
both substances, 93% reported AU prior to CU (87% for NU). After adjustment for
ED and parental substance use disorders younger age at AU-onset was associated
with any CU. Younger age at NU-onset was associated with earlier CU initiation.
Younger age at AU- and NU-onset was not associated with a higher risk of CUD.
CONCLUSIONS: The cross-substance relevance of younger age at first AU and NU for
the risk of CUD is limited to early CU involvement.
PMID- 22071123
TI - Steroid and nonsteroidal anti-inflammatory drugs, cognitive decline, and
dementia.
AB - The aim of this study was to evaluate the effects of anti-inflammatory intake on
cognitive function in 7234 community-dwelling elderly persons. Cognitive
performance, clinical diagnosis of dementia, and anti-inflammatory use were
evaluated at baseline, and 2, 4, and 7 years later. Multivariate logistic
regression analyses were adjusted for sociodemographic, behavioral, physical,
mental health variables, and genetic vulnerability (apolipoprotein E epsilon4).
Elderly women taking inhaled corticosteroids were at increased risk for cognitive
decline over 7 years in executive functioning (odds ratio, 1.76; 95% confidence
interval, 1.14-2.71; p = 0.04); the effect being increased after continuous use
(odds ratio, 3.15; 95% confidence interval, 1.29-7.68; p = 0.01) and not found
after discontinuation of treatment. In men, no significant associations were
observed. Corticosteroid use was not significantly associated with an increase
risk of incident dementia over 7 years. Nonsteroidal anti-inflammatory drug use
was not significantly associated with either dementia incidence or cognitive
decline in both sexes. The association may be related to hypothalamic-pituitary
adrenal corticotropic axis dysfunctioning rather than a direct anti-inflammatory
mechanism. Long-term use of inhaled corticosteroids may constitute a form of
reversible cognitive disorder in elderly women. Physicians should check this
possibility before assuming neurodegenerative changes.
PMID- 22071124
TI - The age-related deficit in LTP is associated with changes in perfusion and blood
brain barrier permeability.
AB - In view of the increase in the aging population and the unavoidable parallel
increase in the incidence of age-related neurodegenerative diseases, a key
challenge in neuroscience is the identification of clinical signatures which
change with age and impact on neuronal and cognitive function. Early diagnosis
offers the possibility of early therapeutic intervention, thus magnetic resonance
imaging (MRI) is potentially a powerful diagnostic tool. We evaluated age-related
changes in relaxometry, blood flow, and blood-brain barrier (BBB) permeability in
the rat by magnetic resonance imaging and assessed these changes in the context
of the age-related decrease in synaptic plasticity. We report that T2 relaxation
time was decreased with age; this was coupled with a decrease in gray matter
perfusion, suggesting that the observed microglial activation, as identified by
increased expression of CD11b, MHCII, and CD68 by immunohistochemistry, flow
cytometry, or polymerase chain reaction (PCR), might be a downstream consequence
of these changes. Increased permeability of the blood-brain barrier was observed
in the perivascular area and the hippocampus of aged, compared with young, rats.
Similarly there was an age-related increase in CD45-positive cells by flow
cytometry, which are most likely infiltrating macrophages, with a parallel
increase in the messenger mRNA expression of chemokines IP-10 and MCP-1. These
combined changes may contribute to the deficit in long-term potentiation (LTP) in
perforant path-granule cell synapses of aged animals.
PMID- 22071125
TI - [Imported malaria and HIV infection in Madrid. Clinical and epidemiological
features].
AB - INTRODUCTION: Few data are available in Spain data on human immunodeficiency
virus (HIV) patients coinfected with malaria. This study has aimed to determine
the epidemiological and clinical characteristics of imported malaria in patients
coinfected with HIV. PATIENTS AND METHODS: A case-series retrospective study was
performed using the patient's medical records. The study population consisted on
patients diagnosed with malaria attended in our center from january 1, 2002 to
december 31, 2007. RESULTS: A total of 484 episodes of malaria, 398 of which were
included in this study, were identified. Co-infection with HIV was described in
32 cases. All of them occurred in individuals presumably with some degree of semi
immunity. In the coinfected group, there were 13 cases (40.6%) asymptomatic,
whereas this event occurred in 99 cases of patients not coinfected (37.2%)
(P=0.707). The greater presence of anemia in co-infected patients (62.5% vs 32.3%
in non-coinfected [P=0.001]) stands out. CONCLUSIONS: In present study, the
clinical presentation forms were similar, regardless of the presence or absence
of HIV infection. Although the study population does not reflect all possible
scenarios of malaria and HIV coinfection, our results indicate the reality of
patients attended in the Autonomous Community of Madrid.
PMID- 22071126
TI - Performance of immunochromatographic and ELISA tests for detecting fallow deer
infected with Mycobacterium bovis.
AB - Fallow deer (Dama dama) are widely distributed as natural or naturalised
populations, as well as in game parks and deer farms. We used 157 fallow deer
sampled in populations considered to be Mycobacterium tuberculosis complex (MTC)
free and 73 Mycobacterium bovis-infected fallow deer confirmed postmortem by
culture to evaluate the diagnostic performance of two tests for the detection of
anti-mycobacterial antibodies: the dual path platform (DPP) VetTB assay and the
bovine purified protein derivative (bPPD) ELISA. We also compared their
sensitivity with that of the skin test, analyzed the effect of haemolysis degree
on the antibody detection and described the relationship between the test
readings and presence/absence of gross tuberculosis (TB) compatible lesions.
Sensitivity of bPPD ELISA was 51% at a specificity of 96%. Depending on the cut
off value selected, the sensitivity of DPP VetTB ranged from 62 to 71%, while its
specificity was 88-95%. In the subgroup of M. bovis-infected deer for which the
skin test data were available (33 of 73); this method detected 76% of culture
positive animals, although the specificity of the intradermal test was not
determined in this study. When the DPP VetTB and skin test data were combined,
the resulting sensitivity obtained in this sub-group of M. bovis-infected deer
increased to 97%. Gross pathology identified TB compatible lesions (TBL) in 89%
culture-confirmed fallow deer. The infected animals with visible lesions had
significantly higher readings in the DPP VetTB, but not in the bPPD ELISA. Only
high levels of haemolysis decreased antibody test sensitivity and this effect was
more evident for the bPPD ELISA. The results allowed inferring a number of
management recommendations for rapid detection of MTC infection in live fallow
deer and in surveys on hunter-harvested cervids.
PMID- 22071127
TI - Toxicity of hydroxylated alkyl-phenanthrenes to the early life stages of Japanese
medaka (Oryzias latipes).
AB - Polycyclic aromatic hydrocarbons (PAH) are hydrophobic environmental contaminants
with petrogenic, biogenic, and pyrogenic sources. Alkylated PAH predominate in
crude oils, are found in sediment downstream of pulp and paper mills, and can be
more toxic than their non-alkylated homologues. The enzymatic metabolism of alkyl
phenanthrenes generates ring and chain hydroxylated derivatives. The main
objective of this research was to estimate the potential role of hydroxylation in
PAH toxicity and secondly to better understand the relative risk of different PAH
in complex mixtures. This project assessed the toxicity of ring and chain
hydroxylated 1-methylphenanthrenes to the early life stages of Japanese medaka
(Oryzias latipes). Phenols were more toxic than benzylic alcohols, and some
phenols were more than four times more toxic than their non-hydroxylated
counterpart. Ring hydroxylation can increase PAH toxicity, and metabolism may
enhance alkyl-PAH toxicity through the generation of such metabolites. This paper
is the first to describe the relative toxicity of a suite of hydroxylated alkyl
PAH to the early life stages of fish, proposing an association between the
preferential formation of para-quinones and enhanced toxicity.
PMID- 22071128
TI - Marine n-3 fatty acids alter the proteomic response to methylmercury in Atlantic
salmon kidney (ASK) cells.
AB - Fish based diets have been linked to the amelioration of methylmercury (MeHg)
induced symptoms in several epidemiological studies, particularly due to their
contents of marine n-3 fatty acids. It has been suggested that n-3 fatty acids
may mask the detrimental effects of MeHg due to their beneficial effect on the
same biological functions which are negatively affected by MeHg. However, in
vitro studies have implied that there may be direct interactions between the
marine n-3 FAs and MeHg, which ameliorates MeHg toxicity through interactions at
a biological level. To understand how marine n-3 FAs and MeHg interact in fish as
a biological system, we wanted to investigate molecular interaction in a fish
cell system. Atlantic salmon kidney (ASK) cells were pre-incubated with the
marine n-3 FAs docosahexaenoic acid (22:6n-3, DHA) and eicosapentaenoic acid
(20:5n-3, EPA) before exposing them to MeHg. Modulating effects of the marine FAs
on MeHg toxicity were subsequently assessed using the exploratory technique of
proteomics, in a factorial design. Thirty-four differentially regulated proteins
were identified. From these; twenty-seven were shown to be differentially
regulated by MeHg, twelve were regulated by the fatty acids, and another eight
showed interaction effects between MeHg and the FAs. Several of the proteins were
concomitantly affected by MeHg- and FA-main effects, as well as interaction
effects. Functional annotations and pathway analysis of the proteins revealed
that marine n-3 FAs and MeHg concurrently affected the abundance of protein
markers relating to such molecular mechanisms as: cell signaling, calcium
homeostasis, structural integrity, apoptosis, and energy metabolism. In
conclusion, both marine n-3 FAs and MeHg can differentially affect the abundances
of the same proteins, indicating modulating effects of EPA and DHA on MeHg
metabolism, and possibly on its toxicity.
PMID- 22071129
TI - Amyloid imaging in the differential diagnosis of dementia: review and potential
clinical applications.
AB - In the past decade, positron emission tomography (PET) with carbon-11-labeled
Pittsburgh Compound B (PIB) has revolutionized the neuroimaging of aging and
dementia by enabling in vivo detection of amyloid plaques, a core pathologic
feature of Alzheimer's disease (AD). Studies suggest that PIB-PET is sensitive
for AD pathology, can distinguish AD from non-AD dementia (for example,
frontotemporal lobar degeneration), and can help determine whether mild cognitive
impairment is due to AD. Although the short half-life of the carbon-11 radiolabel
has thus far limited the use of PIB to research, a second generation of tracers
labeled with fluorine-18 has made it possible for amyloid PET to enter the
clinical era. In the present review, we summarize the literature on amyloid
imaging in a range of neurodegenerative conditions. We focus on potential
clinical applications of amyloid PET and its role in the differential diagnosis
of dementia. We suggest that amyloid imaging will be particularly useful in the
evaluation of mildly affected, clinically atypical or early age-at-onset
patients, and illustrate this with case vignettes from our practice. We emphasize
that amyloid imaging should supplement (not replace) a detailed clinical
evaluation. We caution against screening asymptomatic individuals, and discuss
the limited positive predictive value in older populations. Finally, we review
limitations and unresolved questions related to this exciting new technique.
PMID- 22071130
TI - Edible oil adulterants, argemone oil and butter yellow, as aetiological factors
for gall bladder cancer.
AB - Carcinogenic potential of argemone oil (AO) and butter yellow (BY), the
adulterants encountered in edible oil, in gall bladder of Swiss albino mice was
undertaken to investigate the potential aetiological factors of gall bladder
carcinoma (GBC) in the Indo-Gangetic basin. Twice weekly intraperitoneal (ip)
administration of AO (5 ml/kg body wt) and BY (25 mg/kg body wt) to Swiss albino
male and female mice for 30 and 60 days indicated that females were more
vulnerable to these adulterants in terms of responses to inflammatory markers.
Subsequent experiments with dietary exposure of AO (1%) and BY (0.06%) for 6
months in female mice showed symptoms related to cachexia, jaundice and anaemia.
High levels of total cholesterol, low density lipoprotein (LDL), TG, bilirubin
and low level of high density lipoprotein (HDL) as well as gallstone formation
was shown by AO exposure only, leading to the development of adenocarcinoma. BY
exposure resulted in adenoma and hyperplasia without stone formation. The
cyclooxygenase (COX-2) overexpression was found to be related to prostaglandin E2
(PGE2) production in AO treated mice but not in BY exposed animals, thereby
indicating a differential pathway specific carcinogenicity. PGE2 stimulates the
secretion of secreted mucins (MUC5AC), which is involved in stone formation
following AO exposure. Enhanced secretion of membrane bound mucins (MUC4) in BY
and AO exposed mice resulted in the activation of ErbB2 and downstream signalling
such as p-AKT, p-ERK and p-JNK, which ultimately affects the target proteins, p53
and p21 leading to adenoma and adenocarcinoma, respectively. The study suggests
that AO and BY are responsible for producing GBC in mice along with stone
formation in the AO exposed animals.
PMID- 22071131
TI - The differential impact of microsatellite instability as a marker of prognosis
and tumour response between colon cancer and rectal cancer.
AB - BACKGROUND: Microsatellite instability (MSI) is a distinct molecular phenotype of
colorectal cancer related to prognosis and tumour response to 5-fluorouracil (5
FU)-based chemotherapy. We investigated the differential impact of MSI between
colon and rectal cancers as a marker of prognosis and chemotherapeutic response.
METHODS: PCR-based MSI assay was performed on 1125 patients. Six hundred and
sixty patients (58.7%) had colon cancer and 465 patients (41.3%) had rectal
cancer. RESULTS: Among 1125 patients, 106 (9.4%) had high-frequency MSI (MSI-H)
tumours. MSI-H colon cancers (13%) had distinct phenotypes including young age at
diagnosis, family history of colorectal cancer, early Tumor, Node, Metastasis
(TNM) stage, proximal location, poor differentiation, and high level of baseline
carcinoembryonic antigen (CEA), while MSI-H rectal cancers (4.3%) showed similar
clinicopathological characteristics to MSS/MSI-L tumours except for family
history of colorectal cancer. MSI-H tumours were strongly correlated with longer
disease free survival (DFS) (P=0.005) and overall survival (OS) (P=0.009) than
MSS/MSI-L tumours in colon cancer, while these positive correlations were not
observed in rectal cancers. The patients with MSS/MSI-L tumours receiving 5-FU
based chemotherapy showed good prognosis (P=0.013), but this positive association
was not observed in MSI-H (P=0.104). CONCLUSION: These results support the use of
MSI status as a marker of prognosis and response to 5-FU-based chemotherapy in
patients with colon cancers. Further study is mandatory to evaluate the precise
role of MSI in patients with rectal cancers and the effect of 5-FU-based
chemotherapy in MSI-H tumours.
PMID- 22071132
TI - Association of galectin-3 expression with melanoma progression and prognosis.
AB - AIMS: Galectin-3 plays an important role in adhesion, proliferation,
differentiation, angiogenesis and metastasis in multiple tumours. To investigate
the role of galectin-3 in melanoma pathogenesis we examined the expression of
galectin-3 in melanocytic lesions and analysed the correlation between galectin-3
expression and clinicopathologic factors including patient survival and BRAF
mutation status. METHODS: We evaluated the expression of galectin-3 in 53 cases
of benign naevi, 31 cases of dysplastic naevi, 59 in-situ melanomas, 314 cases of
primary melanoma and 69 metastatic melanomas using tissue microarray and
immunohistochemistry. RESULTS: Marked differences in expression of galectin-3
were seen between different categories of melanocytic lesions (ANOVA p<0.0001).
An increase in expression of galectin-3 between benign naevi and thin primary
melanomas and a progressive decrease in expression between thin primary melanomas
and thicker melanomas or metastatic melanomas was seen. Strong galectin-3
expression was associated with improved overall survival (p=0.002 and p=0.0002
for cytoplasmic and nuclear expression, respectively) and melanoma-specific
survival (p=0.017 and p=0.003 for cytoplasmic and nuclear expression,
respectively). A multifactorial Cox regression analysis suggested that galectin-3
expression was an independent prognostic marker for overall survival in melanoma
(risk ratio 0.73, 95% CI 0.547-0.970, p=0.031 for cytoplasmic expression and risk
ratio 0.76, 95% CI 0.587-0.985, p=0.036 for nuclear expression). No association
between galectin-3 expression and BRAF mutation status was observed. CONCLUSION:
This study suggests that galectin-3 is a marker of progression in melanocytic
lesions and a novel prognostic marker in primary melanoma.
PMID- 22071133
TI - An algorithm to assess methodological quality of nutrition and mortality cross
sectional surveys: development and application to surveys conducted in Darfur,
Sudan.
AB - BACKGROUND: Nutrition and mortality surveys are the main tools whereby evidence
on the health status of populations affected by disasters and armed conflict is
quantified and monitored over time. Several reviews have consistently revealed a
lack of rigor in many surveys. We describe an algorithm for analyzing nutritional
and mortality survey reports to identify a comprehensive range of errors that may
result in sampling, response, or measurement biases and score quality. We apply
the algorithm to surveys conducted in Darfur, Sudan. METHODS: We developed an
algorithm based on internationally agreed upon methods and best practices.
Penalties are attributed for a list of errors, and an overall score is built from
the summation of penalties accrued by the survey as a whole. To test the
algorithm reproducibility, it was independently applied by three raters on 30
randomly selected survey reports. The algorithm was further applied to more than
100 surveys conducted in Darfur, Sudan. RESULTS: The Intra Class Correlation
coefficient was 0.79 for mortality surveys and 0.78 for nutrition surveys. The
overall median quality score and range of about 100 surveys conducted in Darfur
were 0.60 (0.12-0.93) and 0.675 (0.23-0.86) for mortality and nutrition surveys,
respectively. They varied between the organizations conducting the surveys, with
no major trend over time. CONCLUSION: Our study suggests that it is possible to
systematically assess quality of surveys and reveals considerable problems with
the quality of nutritional and particularly mortality surveys conducted in the
Darfur crisis.
PMID- 22071134
TI - Changes in free and bound fractions of aroma compounds of four Vitis vinifera
cultivars at the last ripening stages.
AB - The volatile composition of white Agudelo, Blancolexitimo, Godello and red
Serradelo cultivars (NW Spain) harvested at two different stages of ripening have
been evaluated. C(6)-compounds, alcohols, volatile fatty acids, monoterpenes,
C(13)-norisoprenoids, volatile phenols and carbonyl compounds were identified and
quantified in free and glycosidically bound forms by gas chromatography-mass
spectrometry (GC-MS). The total volatile concentration showed a significant
increase between the two ripening stages studied for all cultivars. The free
volatile composition increased during maturity for Godello and Serradelo
cultivars; however the glycosidically bound concentration increases for all
cultivars with exception of B. lexitimo. Free C(6)-compounds ((E)-2-hexanal, 1
hexanol and (E)-2-hexen-1-ol) and bound alcohols (benzyl alcohol and 2
phenylethanol) showed the highest concentrations of volatile compounds for all
grape cultivars in the two dates studied. Godello cultivar showed the highest
change of volatile concentration between two ripening dates because of the high
value of free C(6)-compounds. B. lexitimo was the most terpene-rich cultivar at
the last ripening stage due to linalool; however C(13)-norisoprenoids in free
form were detected in low concentrations for all cultivars but not in Godello and
B. lexitimo cultivars at the last ripening stage. Free hexanoic acid increased
during ripening in all cultivars. The evolution of volatiles during ripening of
grape juice from the cultivars studied was not proportional to the changes in
sugar content, which shows that the technological and aromatic maturities did not
occur at the same time in these cultivars. The results also showed the cultivar *
ripening date interaction for all, free and bound, groups of compounds.
PMID- 22071135
TI - Cymatherelactone and cymatherols A-C, polycyclic oxylipins from the marine brown
alga Cymathere triplicata.
AB - An investigation of the oxylipin chemistry of the temperate brown alga Cymathere
triplicata led to the isolation of several secondary metabolites,
cymatherelactone (1) and cymatherols A-C (2-4), the latter as their methyl ester
derivatives (5-7), which contained cyclopentyl, cyclopropyl, epoxide and lactone
rings. Their structures were elucidated using a combination of spectroscopic
techniques and synthetic chemistry. Cymatherelactone (1), as well as R- and S
Mosher's esters of its seco acid, exhibited moderate sodium channel blocking
activity.
PMID- 22071136
TI - Chemical modifications of algal mannans and xylomannans: effects on antiviral
activity.
AB - The structures of two sulfated xylomannans extracted from the red alga Nemalion
helminthoides were determined. These two fractions plus a sulfated mannan,
isolated from the same alga and whose structure was previously reported, were
subjected to chemical modification. The mannan was oversulfated with SO(3)
pyridine in dimethyl sulfoxide at 60 degrees C during two and three hours and
the xylomannans were subjected to Smith degradation in order to eliminate xylose
side-chains. Structural analysis of all derivatives was carried out by
methylation analysis and (13)C NMR spectroscopy. Antiviral activity against
herpes simplex virus type 1 and 2, and dengue virus type 2 of native and modified
mannans and xylomannans was estimated. Anticoagulant effect of the active
fractions was also determined.
PMID- 22071138
TI - Versatility and nuances of the architecture of haematopoiesis - Implications for
the nature of leukaemia.
AB - For many years there was a widely accepted picture of how a haematopoietic stem
cell (HSC) gives rise to the multiple types of blood and immune cells. This
described the general nature of stem and progenitor cells and the pathways of
cell development. Recent years have seen many attempts to re-draw the map of
haematopoiesis. These have become increasingly complex, and they often envisage
multiples routes to some cell types. The 'established' view that self-renewal in
haematopoiesis only occurs in HSCs has been challenged by the recognition of self
renewing HSC-derived progenitor cells that display at least some fate
restriction. This evolution of how normal haematopoiesis is viewed has inevitable
implications for understanding the origins, disease progression and
classification of the leukaemias. In essence, some progenitor cells are now seen
as possessing a larger repertoire of routes to end-fates than was previously
thought. This leads one to ask whether leukaemia stem cells are equally or less
versatile than their normal counterparts?
PMID- 22071137
TI - Comparative molecular analysis of therapy-related and de novo acute promyelocytic
leukemia.
AB - Therapy-related acute promyelocytic leukemia (t-APL) has been reported as a late
complication of exposure to radiotherapy and/or chemotherapeutic agents targeting
DNA topoisomerase II. We have analyzed in t-APL novel gene mutations recently
associated with myeloid disorders. Unlike previous reports in acute myeloid
leukemia (AML), our results showed neither IDHs nor TET2 mutations in t-APL.
However we found an R882H mutation in the DNMT3A gene in a patient with t-APL
suggesting a possible role of this alteration in the pathogenesis of t-APL.
PMID- 22071139
TI - Older patients with normal karyotype acute myeloid leukemia have a higher rate of
genomic changes compared to young patients as determined by SNP array analysis.
AB - Older patients with AML have a worse outcome compared to young patients. To study
for potential contributors to their poor prognosis, we compared two NK-AML
cohorts, young (< 60 years old) and old (>= 60 years old), via high density SNP
array analysis. Older patients had more genomic changes (1.83 +/- 0.23 vs. 1.16
+/- 0.2, p=0.037) and a trend for a higher number of copy number neutral loss of
heterozygosity (0.5 +/- 0.2 vs. 0.24 +/- 0.08, p=0.088) compared to young
patients. We speculate that complex genomic changes in NK-AML may be a sign of an
increase in genomic instability and an indicator of a worse prognosis.
PMID- 22071140
TI - Unrelated cord blood transplantation in adolescent and young adults with
hematologic malignancies.
PMID- 22071143
TI - Chondrogenesis and cartilage tissue engineering: the longer road to technology
development.
AB - Joint injury and disease are painful and debilitating conditions affecting a
substantial proportion of the population. The idea that damaged cartilage in
articulating joints might be replaced seamlessly with tissue-engineered cartilage
is of obvious commercial interest because the market for such treatments is
large. Recently, a wealth of new information about the complex biology of
chondrogenesis and cartilage has emerged from stem cell research, including
increasing evidence of the role of physical stimuli in directing differentiation.
The challenge for the next generation of tissue engineers is to identify the key
elements in this new body of knowledge that can be applied to overcome current
limitations affecting cartilage synthesis in vitro. Here we review the status of
cartilage tissue engineering and examine the contribution of stem cell research
to technology development for cartilage production.
PMID- 22071144
TI - Effect of membranes with various hydrophobic/hydrophilic properties on lipase
immobilized activity and stability.
AB - In this study, three membranes: regenerated cellulose (RC), glass fiber (GF) and
polyvinylidene fluoride (PVDF), were grafted with 1,4-diaminobutane (DA) and
activated with glutaraldehyde (GA) for lipase covalent immobilization. The
efficiencies of lipases immobilized on these membranes with different
hydrophobic/hydrophilic properties were compared. The lipase immobilized on
hydrophobic PVDF-DA-GA membrane exhibited more than an 11-fold increase in
activity compared to its immobilization on a hydrophilic RC-DA-GA membrane. The
relationship between surface hydrophobicity and immobilized efficiencies was
investigated using hydrophobic/hydrophilic GF membranes which were prepared by
grafting a different ratio of n-butylamine/1,4-diaminobutane (BA/DA). The
immobilized lipase activity on the GF membrane increased with the increased BA/DA
ratio. This means that lipase activity was exhibited more on the hydrophobic
surface. Moreover, the modified PVDF-DA membrane was grafted with GA,
epichlorohydrin (EPI) and cyanuric chloride (CC), respectively. The lipase
immobilized on the PVDF-DA-EPI membrane displayed the highest specific activity
compared to other membranes. This immobilized lipase exhibited more significant
stability on pH, thermal, reuse, and storage than did the free enzyme. The
results exhibited that the EPI modified PVDF is a promising support for lipase
immobilization.
PMID- 22071145
TI - Breast cancer: current state and future promise.
PMID- 22071146
TI - DNA polymerase MU is a global player in the repair of non-homologous end-joining
substrates.
AB - The specialized DNA polymerase MU (pol MU) intervenes in the repair mechanism non
homologous end-joining (NHEJ) as an end-processing factor but its role has not
been fully elucidated. Pol MU has been shown to participate in DNA synthesis at
junctions in vitro, including on unpaired substrates, and to promote annealing.
However, the phenotypes observed in vivo poorly recapitulate the functions of pol
MU reported in vitro. We analysed the repair of DNA double-strand breaks (DSBs)
in a cellular context using improved NHEJ substrates. These substrates do not
replicate in mammalian cells, thereby result in clonal repair events, which
allows the measure of the efficiency of repair. We validated this paradigm by
comparing the repair of NHEJ substrates to the repair reported for chromosome
DSBs in mouse cells. Molecular analysis and, in most cases sequencing of more
than 1500 repair events on a variety of NHEJ substrates in wild type and pol MU(
/-) mouse embryonic fibroblasts shows that, unexpectedly, the absence of pol MU
decreases the efficiency of joining of all types of DSBs, including those that do
not undergo end-processing. Importantly, by reducing the efficiency of accurate
events, lack of pol MU also affects the overall fidelity of the repair process.
We also show that, although pol MU does not help protect DNA ends from resection,
the efficiency of repair of resected ends is reduced in the absence of pol MU.
Interestingly, the DNA synthesis activity of pol MU, including on non-aligned
substrates, appears negligible at least in a cellular context. Our data point to
a critical role for pol MU as a global repair player that increases the
efficiency and the fidelity of NHEJ.
PMID- 22071148
TI - DNA damage research in China.
PMID- 22071147
TI - The kinetochore protein Bub1 participates in the DNA damage response.
AB - The DNA damage response (DDR) and the spindle assembly checkpoint (SAC) are two
critical mechanisms by which mammalian cells maintain genome stability. There is
a growing body of evidence that DDR elements and SAC components crosstalk. Here
we report that Bub1 (budding uninhibited by benzimidazoles 1), one of the
critical kinetochore proteins essential for SAC, is required for optimal DDRs. We
found that knocking-down Bub1 resulted in prolonged H2AX foci and comet tail
formation as well as hypersensitivity in response to ionizing radiation (IR).
Further, we found that Bub1-mediated Histone H2A Threonine 121 phosphorylation
was induced after IR in an ATM-dependent manner. We demonstrated that ATM
phosphorylated Bub1 on serine 314 in response to DNA damage in vivo. Finally, we
showed that ATM-mediated Bub1 serine 314 phosphorylation was required for IR
induced Bub1 activation and for the optimal DDR. Together, we elucidate the
molecular mechanism of DNA damage-induced Bub1 activation and highlight a
critical role of Bub1 in DDR.
PMID- 22071149
TI - Defects in DNA degradation revealed in crystal structures of TREX1 exonuclease
mutations linked to autoimmune disease.
AB - Mutations within the human TREX1 3' exonuclease are associated with Aicardi
Goutieres Syndrome (AGS) and familial chilblain lupus (FCL). Both AGS and FCL are
autoimmune diseases that result in increased levels of interferon alpha and
circulating antibodies to DNA. TREX1 is a member of the endoplasmic reticulum
(ER)-associated SET complex and participates in granzyme A-mediated cell death to
degrade nicked genomic DNA. The loss of TREX1 activity may result in the
accumulation of double-stranded DNA (dsDNA) degradation intermediates that
trigger autoimmune activation. The X-ray crystal structures of the TREX1 wt
apoprotein, the dominant D200H, D200N and D18N homodimer mutants derived from AGS
and FCL patients, as well as the recessive V201D homodimer mutant have been
determined. The structures of the D200H and D200N mutant proteins reveal the
enzyme has lost coordination of one of the active site metals, and the catalytic
histidine (H195) is trapped in a conformation pointing away from the active site.
The TREX1 D18N and V201D mutants are able to bind both metals in the active site,
but with inter-metal distances that are larger than optimal for catalysis.
Additionally, all of the mutant structures reveal a reduced mobility in the
catalytic histidine, providing further explanation for the loss of catalytic
activity. The structures of the mutant TREX1 proteins provide insight into the
dysfunction relating to human disease. Additionally, the TREX1 apoprotein
structure together with the previously determined wild type substrate and product
structures allow us to propose a distinct mechanism for the TREX1 exonuclease.
PMID- 22071150
TI - Reversibility of replicative senescence in Saccharomyces cerevisiae: effect of
homologous recombination and cell cycle checkpoints.
AB - Primary human somatic cells grown in culture divide a finite number of times,
exhibiting progressive changes in metabolism and morphology before cessation of
cycling. This telomere-initiated cellular senescence occurs because cells have
halted production of telomerase, a DNA polymerase required for stabilization of
chromosome ends. Telomerase-deficient Saccharomyces cerevisiae cells undergo a
similar process, with most cells arresting growth after approximately 60
generations. In the current study we demonstrate that senescence is largely
reversible. Reactivation of telomerase (EST2) expression in the growth-arrested
cells led to resumption of cycling and reversal of senescent cell
characteristics. Rescue was also observed after mating of senescent haploid cells
with telomerase-proficient cells to form stable diploids. Although senescence was
reversible in DNA damage checkpoint response mutants (mec3 and/or rad24 cells),
survival of recombination-defective rad52 mutants remained low after telomerase
reactivation. Telomere lengths in rescued est2 cells were initially half those of
wildtype cells, but could be restored to normal by propagation for ~70
generations in the presence of telomerase. These results place limitations on
possible models for senescence and indicate that most cells, despite gross
morphological changes and short, resected telomeres, do not experience lethal DNA
damage and become irreversibly committed to death.
PMID- 22071151
TI - [Jugal swelling revealing acute lymphoblastic leukemia].
AB - INTRODUCTION: Acute lymphoblastic leukemia (ALL) is the most common hematologic
malignancy in children. The clinical presentation at diagnosis is due to bone
marrow infiltration or extra-medullary involvement. Maxillofacial localization is
very rare in ALL. We report a case of T-ALL revealed by right cheek swelling in a
14-year-old boy. CASE PRESENTATION: A 14-year-old boy had presented with a 6 cm
right cheek swelling for two months, complicated by pyrexia, cervical adenopathy,
and splenomegaly. CT scan revealed a 3*7*8 cm swelling surrounded by voluminous
sub chin, subclavicular, mediastinal, and paratracheal bilateral adenopathies.
The biological analyses revealed normocytic anemia, hyperleukocytosis with 83.6%
blast cells, neutropenia, and thrombopenia. The biopsy histology and the immuno
histochemical analysis suggested a diffuse small-cell Non-Hodgkin lymphoma (NHL).
The myelogram identified a type 1 ALL and immunophenotyping on bone marrow cells
suggested phenotype T ALL. The patient was treated according to the MARALL-06
protocol and died on the 17th day of induction, in septic shock. DISCUSSION:
Despite their rare occurrence, ALL should be included in the differential
diagnosis of jugal swelling. The evident hematological context should suggest the
diagnosis and a myelogram should be performed as soon as possible because the
outcome is rapidly fatal.
PMID- 22071152
TI - Paramedic electrocardiogram and rhythm identification: a convenient training
device.
AB - INTRODUCTION: A common reason for utilizing local paramedics and the emergency
medical services is for the recognition and immediate treatment of chest pain, a
complaint that has multiple possible etiologies. While many of those complaining
of disease processes responsible for chest pain are benign, some will be life
threatening and will require immediate identification and treatment. The ability
of paramedics to not only perform field electrocardiograms (ECGs), but to
accurately diagnose various unstable cardiac rhythms has shown significant
reduction in time to specific treatments. Increasing the overall accuracy of ECG
interpretation by paramedics has the potential to facilitate early and
appropriate treatment and decrease patient morbidity and mortality. METHODS: A
convenient training device (flip book) on ambulances and in common areas in the
fire station could improve field interpretation of certain cardiac rhythms. This
training device consists of illustrated sample ECG tracings and their associated
diagnostic criteria. The goal was to enhance the recognition and interpretation
of ECGs, and thereby, reduce delays in the initiation of treatment and potential
complications associated with misinterpretation.This study was a prospective,
observational study using a matched pre-test/post-test design. The study period
was from November 2008 to December 2008. A total of 136 paramedics were
approached to participate in this study. A pre-test consisting of 15 12-lead ECGs
was given to all paramedics who agreed to participate in the study. Once the pre
tests were completed, the flip books were placed in common areas. Approximately
one month after the flip books were made available to the paramedics, a post-test
was administered.Statistical comparisons were made between the pre- and post-test
scores for both the global test and each type of rhythm. RESULTS: Using these
data, there were no statistically significant improvements in the global ECG
interpretation or on individual rhythm interpretations. CONCLUSIONS: A flip book
with multiple ECG rhythms and definitions without the benefit of any outside
support was not effective in improving paramedic identification of ECG rhythms on
a post-test. Suggestions for further research include repeating the study with a
larger sample size; utilizing a lecturer to explain how to use the flip book in
the most efficient manner; reiterating how to read and interpret ECGs; and
answering questions. Comparing test scores of paramedic students, and newly
certified paramedics as opposed to veteran paramedics also may indicate that the
flip books are more suited for one group over another.
PMID- 22071153
TI - The role of co-activation in strength and force modulation in the elbow of
children with unilateral cerebral palsy.
AB - To study the role of coactivation in strength and force modulation in the elbow
joint of children and adolescents with cerebral palsy (CP), we investigated the
affected and contralateral arm of 21 persons (age 8-18) with spastic unilateral
CP in three tasks: maximal voluntary isokinetic concentric contraction and
passive isokinetic movement during elbow flexion and extension, and sub-maximal
isometric force tracing during elbow flexion. Elbow flexion-extension torque and
surface electromyography (EMG) of the biceps brachii (BB) and triceps brachii
(TB) muscles were recorded. During the maximal contractions, the affected arm was
weaker, had decreased agonist and similar antagonist EMG amplitudes, and thus
increased antagonist co-activation (% of maximal activity as agonist) during both
elbow flexion and extension, with higher coactivation levels of the TB than the
BB. During passive elbow extension, the BB of the affected arm showed increased
resistance torque and indication of reflex, and thus spastic, activity. No
difference between the two arms was found in the ability to modulate force,
despite increased TB coactivation in the affected arm. The results indicate that
coactivation plays a minor role in muscle weakness in CP, and does not limit
force modulation. Moreover, spasticity seems particularly to increase
coactivation in the muscle antagonistic to the spastic one, possibly in order to
increase stability.
PMID- 22071154
TI - Glucoprivation in the ventrolateral medulla decreases brown adipose tissue
sympathetic nerve activity by decreasing the activity of neurons in raphe
pallidus.
AB - In urethane/alpha-chloralose anesthetized rats, cold exposure increased brown
adipose tissue sympathetic nerve activity (BAT SNA: +699 +/- 104% control).
Intravenous administration of 2-deoxy-D-glucose (2-DG; 200 mg.ml(-1).kg(-1))
reversed the cold-evoked activation of BAT SNA (nadir: 139 +/- 36% of control)
and decreased BAT temperature (-1.1 +/- 0.2 degrees C), expired CO(2) (-0.4 +/-
0.1%), and core temperature (-0.5 +/- 0.0). Similarly, unilateral nanoinjection
of the glucoprivic agent 5-thioglucose (5-TG; 12 MUg/100 nl) in the ventrolateral
medulla (VLM) completely reversed the cold-evoked increase in BAT SNA (nadir: 104
+/- 7% of control), and decreased T(BAT) (-1.4 +/- 0.3 degrees C), expired CO(2)
(-0.2 +/- 0.0%), and heart rate (-35 +/- 10 beats/min). The percentage of rostral
raphe pallidus (RPa)-projecting neurons in the dorsal hypothalamic
area/dorsomedial hypothalamus that expressed Fos in response to cold exposure
(ambient temperature: 4-10 degrees C) did not differ between saline (28 +/- 6%)
and 2-DG (30 +/- 5%) pretreated rats, whereas the percentage of spinally
projecting neurons in the RPa/raphe magnus that expressed Fos in response to cold
exposure was lower in 2-DG- compared with saline-pretreated rats (22 +/- 6% vs.
42 +/- 5%, respectively). The increases in BAT SNA evoked by nanoinjection of
bicuculline in the RPa or by transection of the neuraxis at the pontomedullary
border were resistant to inhibition by glucoprivation. These results suggest that
neurons within the VLM play a role in the glucoprivic inhibition of BAT SNA and
metabolism, that this inhibition requires neural structures rostral to the
pontomedullary border, and that this inhibition is mediated by a GABAergic input
to the RPa.
PMID- 22071155
TI - L-arginine supplementation abolishes the blood pressure and endothelin response
to chronic increases in plasma sFlt-1 in pregnant rats.
AB - While soluble fms-like tyrosine kinase-1 (sFlt-1) and endothelin-1 (ET-1) have
been implicated in the pathogenesis of preeclampsia (PE), the mechanisms whereby
increased sFlt-1 leads to enhanced ET-1 production and hypertension remain
unclear. It is well documented that nitric oxide (NO) production is reduced in
PE; however, whether a reduction in NO synthesis plays a role in increasing ET-1
and blood pressure in response to chronic increases in plasma sFlt-1 remains
unclear. The purpose of this study was to determine the role of reduced NO
synthesis in the increase in blood pressure and ET-1 in response to sFlt-1 in
pregnant rats. sFlt-1 was infused into normal pregnant (NP) Sprague-Dawley rats
(3.7 MUg.kg(-1).day(-1) for 6 days beginning on day 13 of gestation) treated with
the NO synthase inhibitor N(G)-nitro-L-arginine methyl ester (100 mg/l for 4
days) or supplemented with 2% L-Arg (in drinking water for 6 days beginning on
day 15 of gestation). Infusion of sFlt-1 into NP rats significantly elevated mean
arterial pressure compared with control NP rats: 116 +/- 2 vs. 103 +/- 1 mmHg (P
< 0.05). NO synthase inhibition had no effect on the blood pressure response in
sFlt-1 hypertensive pregnant rats (121 +/- 3 vs. 116 +/- 2 mmHg), while it
significantly increased mean arterial pressure in NP rats (128 +/- 4 mmHg, P <
0.05). In addition, NO production was reduced ~70% in isolated glomeruli from
sFlt-1 hypertensive pregnant rats compared with NP rats (P < 0.05). Furthermore,
prepro-ET-1 in the renal cortex was increased ~3.5-fold in sFlt-1 hypertensive
pregnant rats compared with NP rats. Supplementation with L-Arg decreased the
sFlt-1 hypertension (109 +/- 3 mmHg, P < 0.05) but had no effect on the blood
pressure response in NP rats (109 +/- 3 mmHg) and abolished the enhanced sFlt-1
induced renal cortical prepro-ET expression. In conclusion, a reduction in NO
synthesis may play an important role in the enhanced ET-1 production in response
to sFlt-1 hypertension in pregnant rats.
PMID- 22071156
TI - The physiology and pathophysiology of the neural control of the counterregulatory
response.
AB - Despite significant technological and pharmacological advancements, insulin
replacement therapy fails to adequately replicate beta-cell function, and so
glucose control in type 1 diabetes mellitus (T1D) is frequently erratic, leading
to periods of hypoglycemia. Moreover, the counterregulatory response (CRR) to
falling blood glucose is impaired in diabetes, leading to an increased risk of
severe hypoglycemia. It is now clear that the brain plays a significant role in
the development of defective glucose counterregulation and impaired hypoglycemia
awareness in diabetes. In this review, the basic intracellular glucose-sensing
mechanisms are discussed, as well as the neural networks that respond to and
coordinate the body's response to a hypoglycemic challenge. Subsequently, we
discuss how the body responds to repeated hypoglycemia and how these adaptations
may explain, at least in part, the development of impaired glucose
counterregulation in diabetes.
PMID- 22071157
TI - Interdigestive migrating contractions are coregulated by ghrelin and motilin in
conscious dogs.
AB - During fasting, gastrointestinal (GI) motility is characterized by cyclical motor
contractions. These contractions have been referred to as interdigestive
migrating contractions (IMCs). In dogs and humans, IMCs are known to be regulated
by motilin. However, in rats and mice, IMCs are regulated by ghrelin. It is not
clear how these peptides influence each other in vivo. The aim of the present
study was to investigate the relationship between ghrelin and motilin in
conscious dogs. Twenty healthy beagles were used in this study. Force transducers
were implanted in the stomach, duodenum, and jejunum to monitor GI motility.
Subsequent GI motility was recorded and quantified by calculating the motility
index. In examination 1, blood samples were collected in the interdigestive
state, and levels of plasma ghrelin and motilin were measured. Plasma motilin
peaks were observed during every gastric phase III, and plasma ghrelin peaks
occurred in nearly every early phase I. Plasma motilin and ghrelin levels
increased and decreased cyclically with the interdigestive states. In examination
2, saline or canine ghrelin was administered intravenously during phase II and
phase III. After injection of ghrelin, plasma motilin levels were measured.
Ghrelin injection during phases II and III inhibited phase III contractions and
decreased plasma motilin levels. In examination 3, ghrelin was infused in the
presence of the growth hormone secretagogue receptors antagonist [D-Lys3]-GHRP-6.
Continuous ghrelin infusion suppressed motilin release, an effect abrogated by
the infusion of [D-Lys3]-GHRP-6. Examination 4 was performed to evaluate the
plasma ghrelin response to motilin administration. Motilin infusion immediately
decreased ghrelin levels. In this study, we demonstrated that motilin and ghrelin
cooperatively control the function of gastric IMCs in conscious dogs. Our
findings suggest that ghrelin regulates the function and release of motilin and
that motilin may also regulate ghrelin.
PMID- 22071159
TI - Biological and analytical variation of the human sweating response: implications
for study design and analysis.
AB - Appropriate quantification of analytical and biological variation of
thermoregulatory sweating has important practical utility for research design and
statistical analysis. We sought to examine contributors to variability in local
forearm sweating rate (SR) and sweating onset (SO) and to evaluate the potential
for using bilateral measurements. Two women and eight men (26 +/- 9 yr; 79 +/- 12
kg) completed 5 days of heat acclimation and walked (1.8 l/min VO(2)) on three
occasions for 30 min in 40 degrees C, 20% RH, while local SR and SO were
measured. Local SR measures among days were not different (2.14 +/- 0.72 vs. 2.02
+/- 0.79 vs. 2.31 +/- 0.72 mg.cm(2).min(-1), P = 0.19) nor was SO (10.47 +/- 2.54
vs. 10.04 +/- 2.97 vs. 9.87 +/- 3.44 min P = 0.82). Bilateral SR (2.14 +/- 0.72
vs. 2.16 +/- 0.71 mg.cm(2).min(-1), P = 0.56) and SO (10.47 +/- 2.54 vs. 10.83 +/
2.48 min, P = 0.09) were similar and differences were <= 1 SD of day-to-day
differences for a single forearm. Analytical imprecision (CV(a)), within (CV(i))
, and between (CV(g))-subjects' coefficient of variation for local SR were 2.4%,
22.3%, and 56.4%, respectively, and were 0%, 9.6%, and 41%, respectively, for SO.
We conclude: 1) technologically, sweat capsules contribute negligibly to sweat
measurement variation; 2) bilateral measures of SR and SO appear interchangeable;
3) when studying potential factors affecting sweating, changes in SO afford a
more favorable signal-to-noise ratio vs. changes in SR. These findings provide a
quantitative basis for study design and optimization of power/sample size
analysis in the evaluation of thermoregulatory sweating.
PMID- 22071158
TI - Heme oxygenase, a novel target for the treatment of hypertension and obesity?
AB - Heme oxygenase (HO) is the rate-limiting enzyme in the metabolism of heme
releasing bioactive molecules carbon monoxide (CO), biliverdin, and iron, each
with beneficial cardiovascular actions. Biliverdin is rapidly reduced to
bilirubin, a potent antioxidant, by the enzyme biliverdin reductase, and iron is
rapidly sequestered by ferritin in the cell. Several studies have demonstrated
that HO-1 induction can attenuate the development of hypertension as well as
lower blood pressure in established hypertension in both genetic and experimental
models. HO-1 induction can also reduce target organ injury and can be beneficial
in cardiovascular diseases, such as heart attack and stroke. Recent studies have
also identified a beneficial role for HO-1 in the regulation of body weight and
metabolism in diabetes and obesity. Chronic HO-1 induction lowers body weight and
corrects hyperglycemia and hyperinsulinemia. Chronic HO-1 induction also modifies
the phenotype of adipocytes in obesity from one of large, cytokine producing to
smaller, adiponectin producing. Finally, chronic induction of HO-1 increases
oxygen consumption, CO(2), and heat production and activity in obese mice. This
review will discuss the current understanding of the actions of the HO system to
lower blood pressure and body weight and how HO or its metabolites may be ideal
candidates for the development of drugs that can both reduce blood pressure and
lower body weight.
PMID- 22071160
TI - Adipocyte-specific deficiency of angiotensinogen decreases plasma angiotensinogen
concentration and systolic blood pressure in mice.
AB - Previous studies demonstrated that overexpression of angiotensinogen (AGT) in
adipose tissue increased blood pressure. However, the contribution of endogenous
AGT in adipocytes to the systemic renin-angiotensin system (RAS) and blood
pressure control is undefined. To define a role of adipocyte-derived AGT, mice
with loxP sites flanking exon 2 of the AGT gene (Agt(fl/fl)) were bred to
transgenic mice expressing Cre recombinase under the control of an adipocyte
fatty acid-binding protein 4 promoter (aP2) promoter to generate mice with
adipocyte AGT deficiency (Agt(aP2)). AGT mRNA abundance in adipose tissue and AGT
secretion from adipocytes were reduced markedly in adipose tissues of Agt(aP2)
mice. To determine the contribution of adipocyte-derived AGT to the systemic RAS
and blood pressure control, mice were fed normal laboratory diet for 2 or 12 mo.
In males and females of each genotype, body weight and fat mass increased with
age. However, there was no effect of adipocyte AGT deficiency on body weight, fat
mass, or adipocyte size. At 2 and 12 mo of age, mice with deficiency of AGT in
adipocytes had reduced plasma concentrations of AGT (by 24-28%) compared with
controls. Moreover, mice lacking AGT in adipocytes exhibited reduced systolic
blood pressures compared with controls (Agt(fl/fl), 117 +/- 2; Agt(aP2), 110 +/-
2 mmHg; P < 0.05). These results demonstrate that adipocyte-derived AGT
contributes to the systemic RAS and blood pressure control.
PMID- 22071161
TI - Clenbuterol, a beta2-adrenergic agonist, reciprocally alters PGC-1 alpha and
RIP140 and reduces fatty acid and pyruvate oxidation in rat skeletal muscle.
AB - Clenbuterol, a beta2-adrenergic agonist, reduces mitochondrial content and enzyme
activities in skeletal muscle, but the mechanism involved has yet to be
identified. We examined whether clenbuterol-induced changes in the muscles'
metabolic profile and the intrinsic capacity of mitochondria to oxidize
substrates are associated with reductions in the nuclear receptor coactivator PGC
1 alpha and/or an increase in the nuclear corepressor RIP140. In rats,
clenbuterol was provided in the drinking water (30 mg/l). In 3 wk, this increased
body (8%) and muscle weights (12-17%). In red (R) and white (W) muscles,
clenbuterol induced reductions in mitochondrial content (citrate synthase: R,
27%; W, 52%; cytochrome-c oxidase: R, 24%; W, 34%), proteins involved in fatty
acid transport (fatty acid translocase/CD36: R, 36%; W, 35%) and oxidation [beta
hydroxyacyl CoA dehydrogenase (beta-HAD): R, 33%; W, 62%], glucose transport
(GLUT4: R, 8%; W, 13%), lactate transport monocarboxylate transporter (MCT1: R,
61%; W, 37%), and pyruvate oxidation (PDHE1alpha, R, 18%; W, 12%). Concurrently,
only red muscle lactate dehydrogenase activity (25%) and MCT4 (31%) were
increased. Palmitate oxidation was reduced in subsarcolemmal (SS) (R, 30%; W,
52%) and intermyofibrillar (IMF) mitochondria (R, 17%; W, 44%) along with
reductions in beta-HAD activity (SS: R, 17%; W, 51%; IMF: R, 20%; W, 57%).
Pyruvate oxidation was only reduced in SS mitochondria (R, 20%; W, 28%), but this
was not attributable solely to PDHE1alpha, which was reduced in both SS (R, 21%;
W, 20%) and IMF mitochondria (R, 15%; W, 43%). These extensive metabolic changes
induced by clenbuterol were associated with reductions in PGC-1alpha (R, 37%; W,
32%) and increases in RIP140 (R, 23%; W, 21%). This is the first evidence that
clenbuterol appears to exert its metabolic effects via simultaneous and
reciprocal changes in the nuclear receptor coactivator PGC-1alpha and the nuclear
corepressor RIP140.
PMID- 22071162
TI - Experimental mild renal insufficiency mediates early cardiac apoptosis, fibrosis,
and diastolic dysfunction: a kidney-heart connection.
AB - Impaired renal function with loss of nephron number in chronic renal disease
(CKD) is associated with increased cardiovascular morbidity and mortality.
However, the structural and functional cardiac response to early and mild
reduction in renal mass is poorly defined. We hypothesized that mild renal
impairment produced by unilateral nephrectomy (UNX) would result in early cardiac
fibrosis and impaired diastolic function, which would progress to a more global
left ventricular (LV) dysfunction. Cardiorenal function and structure were
assessed in rats at 4 and 16 wk following UNX or sham operation (Sham); (n = 10
per group). At 4 wk, blood pressure (BP), aldosterone, glomerular filtration rate
(GFR), proteinuria, and plasma B-type natriuretic peptide (BNP) were not altered
by UNX, representing a model of mild early CKD. However, UNX was associated with
significantly greater LV myocardial fibrosis compared with Sham. Importantly,
terminal deoxynucleotidyl transferase dUTP nick-end labeling (TUNEL) staining
revealed increased apoptosis in the LV myocardium. Further, diastolic
dysfunction, assessed by strain echocardiography, but with preserved LVEF, was
observed. Changes in genes related to the TGF-beta and apoptosis pathways in the
LV myocardium were also observed. At 16 wk post-UNX, we observed persistent LV
fibrosis and impairment in LV diastolic function. In addition, LV mass
significantly increased, as did LVEDd, while there was a reduction in LVEF.
Aldosterone, BNP, and proteinuria were increased, while GFR was decreased. The
myocardial, structural, and functional alterations were associated with
persistent changes in the TGF-beta pathway and even more widespread changes in
the LV apoptotic pathway. These studies demonstrate that mild renal insufficiency
in the rat results in early cardiac fibrosis and impaired diastolic function,
which progresses to more global LV remodeling and dysfunction. Thus, these
studies importantly advance the concept of a kidney-heart connection in the
control of myocardial structure and function.
PMID- 22071163
TI - Editorial focus: A fat contribution to RAS activation and blood pressure control:
evidence from angiotensinogen conditional null mice. Focus on: "Adipocyte
specific deficiency of angiotensinogen decreases plasma angiotensinogen
concentration and systolic blood pressure in mice.".
PMID- 22071164
TI - Validation of the Symptom and Problem Checklist of the German Hospice and
Palliative Care Evaluation (HOPE).
AB - CONTEXT: The German Hospice and Palliative Care Evaluation (HOPE) is a national,
long-term quality assurance project. Every year, German hospice and palliative
care institutions document a core data set for their patients for a period of
three months. OBJECTIVES: To validate the multidimensional symptom and problem
checklist (HOPE-SP-CL) of the core data set and report details on reliability and
validity. METHODS: Data from yearly evaluation periods between 2002 and 2009 were
used to calculate construct and convergent validity, internal consistency, test
retest reliability, and documentation discipline and acceptance of the core
documentation system. RESULTS: The HOPE-SP-CL includes items on physical,
nursing, psychological, and social symptoms and problems. Factor analysis
extracted four low to moderately intercorrelating factors with eigenvalues
greater than 1.0 explaining 56% of the total variance. Discriminant validity of
the HOPE-SP-CL showed good properties in detecting patient groups with different
symptom intensities and overall symptom burden using the Eastern Cooperative
Oncology Group performance status and primary cancer diagnosis as external
validation criteria. The global sum score of the HOPE-SP-CL correlated most
closely with the Palliative Outcome Scale staff version (r=0.750). Internal
consistencies ranged between alpha=0.768-0.801 at three different times of
assessment. Test-retest coefficients showed moderate to high correlations at one
week intervals. CONCLUSION: Analyses of reliability and validity of the HOPE-SP
CL showed satisfactory to good psychometric properties; therefore, the HOPE-SP-CL
can be recommended for standard implementation in German hospice and palliative
care institutions.
PMID- 22071165
TI - Self-compassion in patients with persistent musculoskeletal pain: relationship of
self-compassion to adjustment to persistent pain.
AB - CONTEXT: Self-compassion entails qualities such as kindness and understanding
toward oneself in difficult circumstances and may influence adjustment to
persistent pain. Self-compassion may be a particularly influential factor in pain
adjustment for obese individuals who suffer from persistent pain, as they often
experience heightened levels of pain and lower levels of psychological
functioning. OBJECTIVES: The purpose of the present study was to examine the
relationship of self-compassion to pain, psychological functioning, pain coping,
and disability among patients who have persistent musculoskeletal pain and who
are obese. METHODS: Eighty-eight obese patients with persistent pain completed a
paper-and-pencil self-report assessment measure before or after their appointment
with their anesthesiologist. RESULTS: Hierarchical linear regression analyses
demonstrated that even after controlling for important demographic variables,
self-compassion was a significant predictor of negative affect (beta=-0.48,
P<0.001), positive affect (beta=0.29, P=0.01), pain catastrophizing (beta=-0.32,
P=0.003), and pain disability (beta=-0.24, P<0.05). CONCLUSION: The results of
this study indicate that self-compassion may be important in explaining the
variability in pain adjustment among patients who have persistent musculoskeletal
pain and are obese.
PMID- 22071166
TI - Impact of infections on the survival of hospitalized advanced cancer patients.
AB - CONTEXT: Advanced cancer patients remain highly susceptible to infections,
leading to significant morbidity and mortality. A lack of consensus on the
management of infections in this population stems from the heterogeneity of the
patient group, divergent goals of care, and unknown prognosis with antibiotic
treatment. OBJECTIVES: This prospective single cohort study examined the impact
of infection and its treatment on the survival of hospitalized advanced cancer
patients compared with a similar cohort without infection. METHODS: A total of
441 patients were referred to the palliative care (PC) consult service in a
tertiary hospital over a 12-month period. The occurrence of sepsis, organ-related
infection, and antibiotic use were recorded on initial PC consult. Survival was
calculated from the point of PC consult to the date of death. RESULTS: Of these
patients, 16.6% suffered a recent episode of sepsis (with or without an
identifiable organ-related infection) and 23.4% had a recent episode of organ
related infection without clinically evident sepsis. Among the patients with
sepsis, organ-related infection, or both, 89.7% received antibiotics
(intravenous, oral, or both). Median survival of septic and nonseptic patients
was 15 and 42 days, respectively. Septic patients who responded poorly to
treatment (nonresponders) had a median survival of five days vs. 142 days in good
responders. This equates with a hazard ratio of 9.74 for death in antibiotic
nonresponders (P<0.05). Median survival for patients with an untreated organ
related infection (no sepsis) was 27 days compared with 48 days in a similar
cohort receiving antibiotic therapy. Among patients on IV antibiotics,
nonresponders had a median survival of six days vs. 108 days in responders. For
patients on oral antibiotics, nonresponders had a median survival of six days vs.
70 days in responders. CONCLUSION: These findings suggest that a recent episode
of sepsis and/or organ-related infection significantly reduces overall patient
survival. Favorable antibiotic response is associated with an increase in median
survival. These findings suggest that antibiotic treatment may prolong survival,
and a time-limited trial may be indicated contingent on goals of care.
PMID- 22071168
TI - Elevated levels of Dickkopf-related protein 3 in seminal plasma of prostate
cancer patients.
AB - BACKGROUND: Expression of Dkk-3, a secreted putative tumor suppressor, is altered
in age-related proliferative disorders of the human prostate. We now investigated
the suitability of Dkk-3 as a diagnostic biomarker for prostate cancer (PCa) in
seminal plasma (SP). METHODS: SP samples were obtained from 81 patients prior to
TRUS-guided prostate biopsies on the basis of elevated serum prostate-specific
antigen (PSA; > 4 ng/mL) levels and/or abnormal digital rectal examination. A
sensitive indirect immunoenzymometric assay for Dkk-3 was developed and
characterized in detail. SP Dkk-3 and PSA levels were determined and normalized
to total SP protein. The diagnostic accuracies of single markers including serum
PSA and multivariate models to discriminate patients with positive (N = 40) and
negative (N = 41) biopsy findings were investigated. RESULTS: Biopsy-confirmed
PCa showed significantly higher SP Dkk-3 levels (100.9 +/- 12.3 vs. 69.2 +/- 9.4
fmol/mg; p = 0.026). Diagnostic accuracy (AUC) of SP Dkk-3 levels (0.633) was
enhanced in multivariate models by including serum PSA (model A; AUC 0.658) or
both, serum and SP PSA levels (model B; AUC 0.710). In a subpopulation with
clinical follow-up > 3 years post-biopsy to ensure veracity of negative biopsy
status (positive biopsy N = 21; negative biopsy N = 25) AUCs for SP Dkk-3, model
A and B increased to 0.667, 0.724 and 0.777, respectively. CONCLUSIONS: In
multivariate models to detect PCa, inclusion of SP Dkk-3 levels, which were
significantly elevated in biopsy-confirmed PCa patients, improved the diagnostic
performance compared with serum PSA only.
PMID- 22071169
TI - Boosting runtime-performance of photon pencil beam algorithms for radiotherapy
treatment planning.
AB - Pencil beam algorithms are still considered as standard photon dose calculation
methods in Radiotherapy treatment planning for many clinical applications.
Despite their established role in radiotherapy planning their performance and
clinical applicability has to be continuously adapted to evolving complex
treatment techniques such as adaptive radiation therapy (ART). We herewith report
on a new highly efficient version of a well-established pencil beam convolution
algorithm which relies purely on measured input data. A method was developed that
improves raytracing efficiency by exploiting the capability of modern CPU
architecture for a runtime reduction. Since most of the current desktop computers
provide more than one calculation unit we used symmetric multiprocessing
extensively to parallelize the workload and thus decreasing the algorithmic
runtime. To maximize the advantage of code parallelization, we present two
implementation strategies - one for the dose calculation in inverse planning
software, and one for traditional forward planning. As a result, we could achieve
on a 16-core personal computer with AMD processors a superlinear speedup factor
of approx. 18 for calculating the dose distribution of typical forward IMRT
treatment plans.
PMID- 22071167
TI - Development of a prognostic model for six-month mortality in older adults with
declining health.
AB - CONTEXT: Estimation of six-month prognosis is essential in hospice referral
decisions, but accurate, evidence-based tools to assist in this task are lacking.
OBJECTIVES: To develop a new prognostic model, the Patient-Reported Outcome
Mortality Prediction Tool (PROMPT), for six-month mortality in community-dwelling
elderly patients. METHODS: We used data from the Medicare Health Outcomes Survey
linked to vital status information. Respondents were 65 years old or older, with
self-reported declining health over the past year (n=21,870), identified from
four Medicare Health Outcomes Survey cohorts (1998-2000, 1999-2001, 2000-2002,
and 2001-2003). A logistic regression model was derived to predict six-month
mortality, using sociodemographic characteristics, comorbidities, and health
related quality of life (HRQOL), ascertained by measures of activities of daily
living and the Medical Outcomes Study Short Form-36 Health Survey; k-fold cross
validation was used to evaluate model performance, which was compared with
existing prognostic tools. RESULTS: The PROMPT incorporated 11 variables,
including four HRQOL domains: general health perceptions, activities of daily
living, social functioning, and energy/fatigue. The model demonstrated good
discrimination (c-statistic=0.75) and calibration. Overall diagnostic accuracy
was superior to existing tools. At cut points of 10%-70%, estimated six-month
mortality risk sensitivity and specificity ranged from 0.8% to 83.4% and 51.1% to
99.9%, respectively, and positive likelihood ratios at all mortality risk cut
points >=40% exceeded 5.0. Corresponding positive and negative predictive values
were 23.1%-64.1% and 85.3%-94.5%. Over 50% of patients with estimated six-month
mortality risk >=30% died within 12 months. CONCLUSION: The PROMPT, a new
prognostic model incorporating HRQOL, demonstrates promising performance and
potential value for hospice referral decisions. More work is needed to evaluate
the model.
PMID- 22071170
TI - UDP-glucuronosyltransferase (UGT) 1A9-overexpressing HeLa cells is an appropriate
tool to delineate the kinetic interplay between breast cancer resistance protein
(BRCP) and UGT and to rapidly identify the glucuronide substrates of BCRP.
AB - The interplay between phase II enzymes and efflux transporters leads to extensive
metabolism and low bioavailability for flavonoids. To investigate the simplest
interplay between one UDP-glucuronosyltransferase isoform and one efflux
transporter in flavonoid disposition, engineered HeLa cells stably overexpressing
UGT1A9 were developed, characterized, and further applied to investigate the
metabolism of two model flavonoids (genistein and apigenin) and excretion of
their glucuronides. The results indicated that the engineered HeLa cells
overexpressing UGT1A9 rapidly excreted the glucuronides of genistein and
apigenin. The kinetic characteristics of genistein or apigenin glucuronidation
were similar with the use of UGT1A9 overexpressed in HeLa cells or the
commercially available UGT1A9. Small interfering (siRNA)-mediated UGT1A9
silencing resulted in a substantial decrease in glucuronide excretion (>75%, p <
0.01). Furthermore, a potent inhibitor of breast cancer resistance protein
(BCRP), 3-(6-isobutyl-9-methoxy-1,4-dioxo-1,2,3,4,6,7,12,12a
octahydropyrazino[1',2':1,6]pyrido[3,4-b]indol-3-yl)-propionic acid tert-butyl
ester (Ko143), caused, in a dose-dependent manner, a substantial and marked
reduction of the clearance (74-94%, p < 0.01), and a substantial increase in the
intracellular glucuronide levels (4-8-fold, p < 0.01), resulting in a moderate
decrease in glucuronide excretion (19-59%, p < 0.01). In addition, a significant,
albeit moderate, reduction in the fraction of genistein metabolized (f(met)) in
the presence of Ko143 was observed. In contrast, leukotriene C4 and siRNA against
multidrug resistance protein (MRP) 2 and MRP3 did not affect excretion of
flavonoid glucuronides. In conclusion, the engineered HeLa cells overexpressing
UGT1A9 is an appropriate model to study the kinetic interplay between UGT1A9 and
BCRP in the phase II disposition of flavonoids. This simple cell model should
also be very useful to rapidly identify whether a phase II metabolite is the
substrate of BCRP.
PMID- 22071171
TI - Methylation of catechins and procyanidins by rat and human catechol-O
methyltransferase: metabolite profiling and molecular modeling studies.
AB - Catechins and procyanidins are major polyphenols in plant-derived foods. Despite
intensive studies in recent years, neither their biochemical nor their
toxicological properties have been clarified sufficiently. This study aimed to
compare the methylation of catechins and procyanidins by the enzyme catechol-O
methyltransferase (COMT) in vitro. We conducted incubations with rat liver
cytosol and human placental cytosol including S-adenosyl-l-methionine. The set of
substrates comprised the catechins (-)-epicatechin (EC) and (+)-catechin (CAT),
the procyanidin dimers B1, B2, B3, B4, B5, and B7 as well as procyanidin trimer
C1. After extraction, metabolites were analyzed by means of liquid chromatography
electrospray ionization-mass spectrometry and liquid chromatography-atmospheric
pressure chemical ionization-mass spectrometry. EC and CAT were converted to two
monomethylated metabolites each by human and rat COMT, with the 3'-O-methyl
derivatives being consistently the main metabolites. Furthermore, the flavanyl
units of procyanidins were methylated consecutively, leading to monomethylated
and dimethylated dimeric metabolites as well as monomethylated, dimethylated, and
trimethylated C1 metabolites. The methylation status of each flavanyl unit was
determined by means of mass spectrometric quinone-methide fragmentation patterns.
In addition, molecular modeling studies were performed with the aim to predict
the preferred site of methylation and to verify the experimental data. In
conclusion, our results indicate that the degree and position of methylation
depend clearly on the three-dimensional structure of the entire substrate
molecule.
PMID- 22071172
TI - Enzymatic characterization and elucidation of the catalytic mechanism of a
recombinant bovine glycine N-acyltransferase.
AB - Glycine conjugation, a phase II detoxification process, is catalyzed by glycine N
acyltransferase (GLYAT; E.C. 2.3.1.13). GLYAT detoxifies various xenobiotics,
such as benzoic acid, and endogenous organic acids, such as isovaleric acid,
which makes GLYAT important in the management of organic acidemias in humans. We
cloned the open reading frame encoding the bovine ortholog of GLYAT from bovine
liver mRNA into the bacterial expression vector pColdIII. The recombinant enzyme
was expressed, partially purified, and enzymatically characterized. Protein
modeling was used to predict Glu226 of bovine GLYAT to be catalytically
important. This was assessed by constructing an E226Q mutant and comparing its
enzyme kinetics to that of the wild-type recombinant bovine GLYAT. The Michaelis
constants for benzoyl-CoA and glycine were determined and were similar for wild
type recombinant GLYAT, E226Q recombinant GLYAT, and GLYAT present in bovine
liver. At pH 8.0, the E226Q mutant GLYAT had decreased activity, which could be
compensated for by increasing the reaction pH. This suggested a catalytic
mechanism in which Glu226 functions to deprotonate glycine, facilitating
nucleophilic attack on the acyl-CoA. The recombinant bovine GLYAT enzyme,
combined with this new understanding of its active site and reaction mechanism,
could be a powerful tool to investigate the functional significance of GLYAT
sequence variations. Eventually, this should facilitate investigations into the
impact of known and novel sequence variations in the human GLYAT gene.
PMID- 22071174
TI - Fluidized-bed gasification of dairy manure by Box-Behnken design.
AB - Application of excessive animal manure to the land may cause some environmental
problems such as eutrophication of surface waters, degradation of ground water
quality, and threats to human health. This paper reports an experimental study on
the technology of biomass gasification to treat animal waste by analysing the
effects of key operating parameters on gasification. In this research, dairy
manure from the University of Nebraska dairy farm was first collected and dried,
and then gasified in a fluidized-bed, laboratory-scale gasifier to generate
syngas. The effects of three parameters, namely temperature, steam to biomass
ratio (SBR) and the equivalence ratio (ER), on the gasification were described by
a Box-Behnken design (BBD). Results showed that increasing the temperature
favoured the formation of all three combustible gases, but the composition of
each gas behaved differently according to the changing parameters. The lower
heating value of the syngas varied from 2.0 to 4.7 MJ m(-3), indicating
gasification could be used as a waste management option to produce bioenergy, and
potentially reduce problems associated with the disposal of animal waste.
PMID- 22071175
TI - Can hazardous waste become a raw material? The case study of an aluminium
residue: a review.
AB - The huge number of research studies carried out during recent decades focused on
finding an effective solution for the waste treatment, have allowed some of these
residues to become new raw materials for many industries. Achieving this ensures
a reduction in energy and natural resources consumption, diminishing of the
negative environmental impacts and creating secondary and tertiary industries. A
good example is provided by the metallurgical industry, in general, and the
aluminium industry in this particular case. The aluminium recycling industry is a
beneficial activity for the environment, since it recovers resources from primary
industry, manufacturing and post-consumer waste. Slag and scrap which were
previously considered as waste, are nowadays the raw material for some highly
profitable secondary and tertiary industries. The most recent European Directive
on waste establishes that if waste is used as a common product and fulfils the
existing legislation for this product, then this waste can be defined as 'end-of
waste'. The review presented here, attempts to show several proposals for making
added-value materials using an aluminium residue which is still considered as a
hazardous waste, and accordingly, disposed of in secure storage. The present
proposal includes the use of this waste to manufacture glass, glass-ceramic,
boehmite and calcium aluminate. Thus the waste might effectively be recovered as
a secondary source material for various industries.
PMID- 22071176
TI - A field study to estimate the vertical gas diffusivity and permeability of
compacted MSW using a barometric pumping analytical model.
AB - The measurement of vertical gas diffusivity and permeability of compacted
municipal solid waste (MSW) using an analytical gas flow and transport model was
evaluated. A series of pressure transducers were buried in a MSW landfill and in
situ pressures were modelled using an algorithm that predicts soil-gas pressures
based on field-measured barometric pressure data and vertical diffusivity. The
vertical gas diffusivity that represented the best-fit of the measured pressures
was estimated at 20 locations and ranged from 0.002 to 0.052 m2 s(-1). The
vertical gas permeability ranged from 3.3 * 10(-14) to 4.5 * 10(-12) m2 for the
upper-most 3 to 6 m of compacted MSW. The shortfalls of applying this method to
landfill conditions are also discussed.
PMID- 22071177
TI - A review of the current options for the treatment and safe disposal of drill
cuttings.
AB - Drilling for the exploration and extraction of oil requires the use of drilling
fluids which are continuously pumped down and returned carrying the rock phase
that is extracted from the well. The potential environmental impacts of
contaminated fluids from drilling operations have attracted increasing community
awareness and scrutiny. This review article highlights current advances in the
treatment of drill cuttings and compares the technologies in terms of cost, time
and space requirements. Traditionally, a range of non-biological methods have
been employed for the disposal of drill cuttings including burial pits, landfills
and re-injection, chemical stabilization and solidification and thermal
treatments such as incineration and thermal desorption. More recently,
bioremediation has been successfully applied as a treatment process for cuttings.
This review provides a current comparison of bioremediation technologies and non
biological technologies for the treatment of contaminated drill cuttings
providing information on a number of factors that need to be taken into account
when choosing the best technology for drilling waste management including the
environmental risks associated with disposal of drilling wastes.
PMID- 22071178
TI - Estimation of cost reduction and increase for the final disposal associated with
the categorization of inert waste landfills in Japan.
AB - This study estimates the overall cost savings that have been realized due to
disposal of inert wastes in Japan because this material has been deposited in
inert waste landfills (IWLs) that are designed exclusively for this purpose,
instead of being co-dipsosed with organic wastes in more costly in sanitary
landfills (SLs). The total realized cost savings were based on the disposed
volume of inert waste and the actual disposal fees for IWLs and SLs for the
period 1977-2006. The estimated reduction in expense is 4748 billion JPY for the
period. On the other hand, if organic wastes had been deposited in IWLs along
with inert wastes, costs would be incurred to clean up the sites because the
surrounding environment may be polluted by the decomposition of the non-inert
wastes and considerable efforts probably would be required to restore the
polluted environment to its normal condition (this is because IWLs typically do
not have a barrier system.) The potential cleanup cost was estimated to be 616 to
1226 billion JPY. These estimated costs were compared and it was found that the
net reduction in expense was 3522 billion to 4122 billion JPY. Although the
expense was reduced substantially, it was noted that a considerable cleanup cost
would be generated. In particular, it was found that the increase in cleanup
costs becomes most significant after the late 1990s.
PMID- 22071179
TI - Invasion of canine erythrocytes by Bartonella vinsonii subsp. berkhoffii.
AB - Bartonella vinsonii subsp. berkhoffii is a recognized cause of endocarditis in
dogs and human patients and has been associated with cardiac arrhythmias,
myocarditis, granulomatous lymphadenitis, polyarthritis, and granulomatous
rhinitis in dogs. Little is known regarding the mode of transmission or cellular
localization of this bacteria following infection of a canine host. The aim of
the current study was to determine whether erythrocytes may serve as a site of
infection by B. vinsonii subsp. berkhoffii. In the study, we successfully
demonstrate the invasion of canine erythrocytes by a B. vinsonii subsp.
berkhoffii genotype III strain using an in vitro model system. Dog erythrocytes
were incubated with B. vinsonii subsp. berkhoffii after which tubes were treated
with gentamicin at 12, 24, and 48 h post-inoculation. After gentamicin
elimination of extracellular bacteria, there was a gradual increase in intra
erythrocytic bacteria, as assessed by colony forming units per ml, at each
collection time point. The largest recovery of intracellular bacteria occurred at
48 h post-infection. These results suggest that canine erythrocytes may serve in
the maintenance of bacteremia due to B. vinsonii subsp. berkhoffii within an
infected host.
PMID- 22071180
TI - Associative learning between odorants and mechanosensory punishment in larval
Drosophila.
AB - We tested whether Drosophila larvae can associate odours with a mechanosensory
disturbance as a punishment, using substrate vibration conveyed by a loudspeaker
(buzz:). One odour (A) was presented with the buzz, while another odour (B) was
presented without the buzz (A/B training). Then, animals were offered the choice
between A and B. After reciprocal training (A/B), a second experimental group was
tested in the same way. We found that larvae show conditioned escape from the
previously punished odour. We further report an increase of associative
performance scores with the number of punishments, and an increase according to
the number of training cycles. Within the range tested (between 50 and 200 Hz),
however, the pitch of the buzz does not apparently impact associative success.
Last, but not least, we characterized odour-buzz memories with regard to the
conditions under which they are behaviourally expressed--or not. In accordance
with what has previously been found for associative learning between odours and
bad taste (such as high concentration salt or quinine), we report that
conditioned escape after odour-buzz learning is disabled if escape is not
warranted, i.e. if no punishment to escape from is present during testing.
Together with the already established paradigms for the association of odour and
bad taste, the present assay offers the prospect of analysing how a relatively
simple brain orchestrates memory and behaviour with regard to different kinds of
'bad' events.
PMID- 22071181
TI - Changes in cardiac performance during hypoxic exposure in the grass shrimp
Palaemonetes pugio.
AB - In hearts of higher invertebrates as well as vertebrates, the work performed by
the ventricle is a function of both rate and contractility. Decapod crustaceans
experience a hypoxia-induced bradycardia that is thought to result in an overall
reduction in cardiac work; however, this hypothesis has not yet been tested and
is the primary purpose of this study. In the grass shrimp Palaemonetes pugio,
cardiac pressure and area data were obtained simultaneously, and in vivo, under
normoxic (20.2 kPa O(2)) and hypoxic (6.8 or 2.2 kPa O(2)) conditions and
integrated to generate pressure-area (P-A) loops. The area enclosed by the P-A
loop provides a measure of stroke work and, when multiplied by the heart rate,
provides an estimate of both cardiac work and myocardial O(2) consumption.
Changes in intra-cardiac pressure (dp/dt) are correlated to the isovolemic
contraction phase and provide an indication of stroke work. At both levels of
hypoxic exposure, intra-cardiac pressure, dp/dt, stroke work and cardiac work
fell significantly. The significant decrease in intra-cardiac pressure provides
the primary mechanism for the decrease in stroke work, and, when coupled with the
hypoxia-induced bradycardia, it contributes to an overall fall in cardiac work.
Compared with normoxic P-A loops, hypoxic P-A loops (at both levels of hypoxia)
become curvilinear, indicating a fall in peripheral resistance (which might
account for the reduction in intra-cardiac pressure), which would reduce both
stroke work and cardiac work and ultimately would serve to reduce myocardial O(2)
consumption. This is the most direct evidence to date indicating that the hypoxia
induced bradycardia observed in many decapod crustaceans reduces cardiac work and
is therefore energetically favorable during acute exposure to conditions of low
oxygen.
PMID- 22071182
TI - The effect of work cycle frequency on the potentiation of dynamic force in mouse
fast twitch skeletal muscle.
AB - The purpose of this study was to test the hypothesis that the potentiation of
concentric twitch force during work cycles is dependent upon both the speed and
direction of length change. Concentric and eccentric forces were elicited by
stimulating muscles during the shortening and lengthening phases, respectively,
of work cycles. Work cycle frequency was varied in order to vary the speed of
muscle shortening and/or lengthening; all forces were measured as the muscle
passed though optimal length (L(o)). Both concentric and eccentric force were
assessed before (unpotentiated control) and after (potentiated) the application
of a tetanic conditioning protocol known to potentiate twitch force output. The
influence of the conditioning protocol on relative concentric force was speed
dependent, with forces increased to 1.19+/-0.01, 1.25+/-0.01 and 1.30+/-0.01 of
controls at 1.5, 3.3 and 6.9 Hz, respectively (all data N=9-10 with P<0.05). In
contrast, the conditioning protocol had only a limited effect on eccentric force
at these frequencies (range: 1.06+/-0.01 to 0.96+/-0.03). The effect of the
conditioning protocol on concentric work (force * distance) was also speed
dependent, being decreased at 1.5 Hz (0.84+/-0.01) and increased at 3.3 and 6.9
Hz (1.05+/-0.01 and 1.39+/-0.01, respectively). In contrast, eccentric work was
not increased at any frequency (range: 0.88+/-0.02 to 0.99+/-0.01). Thus, our
results reveal a hysteresis-like influence of activity-dependent potentiation
such that concentric force and/or work were increased but eccentric force and/or
work were not. These outcomes may have implications for skeletal muscle locomotor
function in vivo.
PMID- 22071183
TI - Neuronal correlates of a preference for leading signals in the synchronizing
bushcricket Mecopoda elongata (Orthoptera, Tettigoniidae).
AB - Acoustically interacting males of the tropical katydid Mecopoda elongata
synchronize their chirps imperfectly, so that one male calls consistently earlier
in time than the other. In choice situations, females prefer the leader signal,
and it has been suggested that a neuronal mechanism based on directional hearing
may be responsible for the asymmetric, stronger representation of the leader
signal in receivers. Here, we investigated the potential mechanism in a pair of
interneurons (TN1 neuron) of the afferent auditory pathway, known for its
contralateral inhibitory input in directional hearing. In this interneuron,
conspecific signals are reliably encoded under natural conditions, despite high
background noise levels. Unilateral presentations of a conspecific chirp elicited
a TN1 response where each suprathreshold syllable in the chirp was reliably
copied in a phase-locked fashion. Two identical chirps broadcast with a 180 deg
spatial separation resulted in a strong suppression of the response to the
follower signal, when the time delay was 20 ms or more. Muting the ear on the
leader side fully restored the response to the follower signal compared with
unilateral controls. Time-intensity trading experiments, in which the
disadvantage of the follower signal was traded against higher sound pressure
levels, demonstrated the dominating influence of signal timing on the TN1
response, and this was especially pronounced at higher sound levels of the
leader. These results support the hypothesis that the female preference for
leader signals in M. elongata is the outcome of a sensory mechanism that
originally evolved for directional hearing.
PMID- 22071184
TI - Changes in wingstroke kinematics associated with a change in swimming speed in a
pteropod mollusk, Clione limacina.
AB - In pteropod mollusks, the gastropod foot has evolved into two broad, wing-like
structures that are rhythmically waved through the water for propulsion. The
flexibility of the wings lends a tremendous range of motion, an advantage that
could be exploited when changing locomotory speed. Here, we investigated the
kinematic changes that take place during an increase in swimming speed in the
pteropod mollusk Clione limacina. Clione demonstrates two distinct swim speeds: a
nearly constant slow swimming behavior and a fast swimming behavior used for
escape and hunting. The neural control of Clione's swimming is well documented,
as are the neuromuscular changes that bring about Clione's fast swimming. This
study examined the kinematics of this swimming behavior at the two speeds. High
speed filming was used to obtain 3D data from individuals during both slow and
fast swimming. Clione's swimming operates at a low Reynolds number, typically
under 200. Within a given swimming speed, we found that wing kinematics are
highly consistent from wingbeat to wingbeat, but differ between speeds. The
transition to fast swimming sees a significant increase in wing velocity and
angle of attack, and range of motion increases as the wings bend more during fast
swimming. Clione likely uses a combination of drag-based and unsteady mechanisms
for force production at both speeds. The neuromuscular control of Clione's speed
change points to a two-gaited swimming behavior, and we consider the kinematic
evidence for Clione's swim speeds being discrete gaits.
PMID- 22071185
TI - Developmental trajectories of gene expression reveal candidates for diapause
termination: a key life-history transition in the apple maggot fly Rhagoletis
pomonella.
AB - The timing of dormancy is a rapidly evolving life-history trait playing a crucial
role in the synchronization of seasonal life cycles and adaptation to
environmental change. But the physiological mechanisms regulating dormancy in
animals remain poorly understood. In insects, dormancy (diapause) is a
developmentally dynamic state, and the mechanisms that control diapause
transitions affect seasonal timing. Here we used microarrays to examine patterns
of gene expression during dormancy termination: a crucial life-history transition
in the apple maggot fly Rhagoletis pomonella (Walsh). This species is a model
system for host race formation and ecological speciation via changes in diapause
regulation of seasonality. Our goal was to pinpoint the timing of the transition
from diapause to post-diapause development and to identify candidate genes and
pathways for regulation of diapause termination. Samples were taken at six
metabolically defined developmental landmarks, and time-series analysis suggests
that release from metabolic depression coincides with preparation for or
resumption of active cell cycling and morphogenesis, defining the 'end' of
diapause. However, marked changes in expression, including members of pathways
such as Wnt and TOR signaling, also occur prior to the metabolic rate increase,
electing these pathways as candidates for early regulation of diapause
termination. We discuss these results with respect to generalities in insect
diapause physiology and to our long-term goal of identifying mechanisms of
diapause adaptation in the Rhagoletis system.
PMID- 22071186
TI - Kingfisher feathers--colouration by pigments, spongy nanostructures and thin
films.
AB - The colours of the common kingfisher, Alcedo atthis, reside in the barbs of the
three main types of feather: the orange breast feathers, the cyan back feathers
and the blue tail feathers. Scanning electron microscopy showed that the orange
barbs contain small pigment granules. The cyan and blue barbs contain spongy
nanostructures with slightly different dimensions, causing different reflectance
spectra. Imaging scatterometry showed that the pigmented barbs create a diffuse
orange scattering and the spongy barb structures create iridescence. The extent
of the angle-dependent light scattering increases with decreasing wavelength. All
barbs have a cortical envelope with a thickness of a few micrometres. The
reflectance spectra of the cortex of the barbs show oscillations when measured
from small areas, but when measured from larger areas the spectra become
wavelength independent. This can be directly understood with thin film modelling,
assuming a somewhat variable cortex thickness. The cortex reflectance appears to
be small but not negligible with respect to the pigmentary and structural barb
reflectance.
PMID- 22071187
TI - Flea infestation does not cause a long-term increase in energy metabolism in
Gerbillus nanus.
AB - Fleas can increase the metabolic rate of their hosts. It has been suggested that
a constitutive response, in which the host constantly maintains a relatively high
level of energy metabolism to combat the parasite, is advantageous for hosts with
high parasite infestation, while an induced response, in which the host increases
energy metabolism in response to a parasite attack, is advantageous with low
parasite infestation. As free-living Gerbillus nanus show a relatively low flea
infestation, we hypothesized that this host uses an induced strategy and,
consequently, flea infestation would not impose a long-term effect on energy
metabolism. In a previous study in spring, higher field metabolic rate (FMR) was
found in free-living parasitized than in non-parasitized G. nanus. In this study,
G. nanus were captured at Hazeva in spring; some had fleas (N=14) and some did
not (N=10). We brought them to the laboratory, removed the fleas from those that
were infested and, after 3 weeks, measured average daily metabolic rate (ADMR) of
all rodents. ADMR averaged 8.68+/-0.95 kJ g(-0.54) day(-1) for all rodents and
was similar between previously parasitized and non-parasitized G. nanus while
free living. Thus, the hypothesis that flea infestation does not have a long-term
effect on energy metabolism was supported, as was the idea of an induced over a
constitutive immune response by G. nanus in combating parasites.
PMID- 22071188
TI - Locomotor activity during the frenzy swim: analysing early swimming behaviour in
hatchling sea turtles.
AB - Swimming effort of hatchling sea turtles varies across species. In this study we
analysed how swim thrust is produced in terms of power stroke rate, mean maximum
thrust per power stroke and percentage of time spent power stroking throughout
the first 18 h of swimming after entering the water, in both loggerhead and
flatback turtle hatchlings and compared this with previous data from green turtle
hatchlings. Loggerhead and green turtle hatchlings had similar power stroke rates
and percentage of time spent power stroking throughout the trial, although mean
maximum thrust was always significantly higher in green hatchlings, making them
the most vigorous swimmers in our three-species comparison. Flatback hatchlings,
however, were different from the other two species, with overall lower values in
all three swimming variables. Their swimming effort dropped significantly during
the first 2 h and kept decreasing significantly until the end of the trial at 18
h. These results support the hypothesis that ecological factors mould the
swimming behaviour of hatchling sea turtles, with predator pressure being
important in determining the strategy used to swim offshore. Loggerhead and green
turtle hatchlings seem to adopt an intensely vigorous and energetically costly
frenzy swim that would quickly take them offshore into the open ocean in order to
reduce their exposure to near-shore aquatic predators. Flatback hatchlings,
however, are restricted in geographic distribution and remain within the
continental shelf region where predator pressure is probably relatively constant.
For this reason, flatback hatchlings might use only part of their energy reserves
during a less vigorous frenzy phase, with lower overall energy expenditure during
the first day compared with loggerhead and green turtle hatchlings.
PMID- 22071190
TI - Urotensin II and its receptor in the killifish gill: regulators of NaCl
extrusion.
AB - The peptide urotensin II (UII) and its receptor (UT) mediate cardiovascular and
renal effects in both mammals and fishes. In both groups, vasopressor and
diuretic responses predominate, although, in mammals, some secondary
vasodilatation is found, mediated by secondary release of nitric oxide or
prostacyclin. In fishes, gill extrusion of NaCl is inhibited by UII, but a single
study has determined that UT is expressed in gill vasculature, not on the
epithelium that mediates the transport. To begin to clarify the pathways involved
in UII inhibition of gill transport, we have cloned the cDNA encoding UII and UT
from the euryhaline killifish (Fundulus heteroclitus L.) gill and spinal cord,
quantified UT mRNA expression in various tissues and measured relative expression
in gill tissue from fish acclimated to seawater (SW) vs fresh water (FW). We have
also localized UT in the gill epithelium, and measured the effect of UII on ion
transport across the opercular epithelium. We found that both UII and UT are
synthesized in the gill of F. heteroclitus and that gill UT mRNA levels are ~80%
higher in SW- vs FW-acclimated individuals. In addition, UII inhibits NaCl
transport across the opercular epithelium in a concentration-dependent manner,
and this inhibition is at least partially mediated by both nitric oxide and a
prostanoid.
PMID- 22071189
TI - IRS and TOR nutrient-signaling pathways act via juvenile hormone to influence
honey bee caste fate.
AB - Regardless of genetic makeup, a female honey bee becomes a queen or worker
depending on the food she receives as a larva. For decades, it has been known
that nutrition and juvenile hormone (JH) signaling determine the caste fate of
the individual bee. However, it is still largely unclear how these factors are
connected. To address this question, we suppressed nutrient sensing by RNA
interference (RNAi)-mediated gene knockdown of IRS (insulin receptor substrate)
and TOR (target of rapamycin) in larvae reared on queen diet. The treatments
affected several layers of organismal organization that could play a role in the
response to differential nutrition between castes. These include transcript
profiles, proteomic patterns, lipid levels, DNA methylation response and
morphological features. Most importantly, gene knockdown abolished a JH peak that
signals queen development and resulted in a worker phenotype. Application of JH
rescued the queen phenotype in either knockdown, which demonstrates that the
larval response to JH remains intact and can drive normal developmental
plasticity even when IRS or TOR transcript levels are reduced. We discuss our
results in the context of other recent findings on honey bee caste and
development and propose that IRS is an alternative substrate for the Egfr
(epidermal growth factor receptor) in honey bees. Overall, our study describes
how the interplay of nutritional and hormonal signals affects many levels of
organismal organization to build different phenotypes from identical genotypes.
PMID- 22071191
TI - Pharmacological characterisation of apical Na+ and Cl- transport mechanisms of
the anal papillae in the larval mosquito Aedes aegypti.
AB - The anal papillae of freshwater mosquito larvae are important sites of NaCl
uptake, thereby acting to offset the dilution of the hemolymph by the dilute
habitat. The ion-transport mechanisms in the anal papillae are not well
understood. In this study, the scanning ion-selective electrode technique (SIET)
was utilized to measure ion fluxes at the anal papillae, and pharmacological
inhibitors of ion transport were utilized to identify ion-transport mechanisms.
Na(+) uptake by the anal papillae was inhibited by bafilomycin and phenamil but
not by HMA. Cl(-) uptake was inhibited by methazolamide, SITS and DIDS but not by
bafilomycin. H(+) secretion was inhibited by bafilomycin and methazolamide.
Ouabain and bumetanide had no effect on NaCl uptake or H(+) secretion. Together,
the results suggest that Na(+) uptake at the apical membrane occurs through a
Na(+) channel that is driven by a V-type H(+)-ATPase and that Cl(-) uptake occurs
through a Cl(-)/HCO(3)(-) exchanger, with carbonic anhydrase providing H(+) and
HCO(3)(-) to the V-type H(+)-ATPase and exchanger, respectively.
PMID- 22071192
TI - Rapid contrast gain reduction following motion adaptation.
AB - Neural and sensory systems adapt to prolonged stimulation to allow signaling
across broader input ranges than otherwise possible with the limited bandwidth of
single neurons and receptors. In the visual system, adaptation takes place at
every stage of processing, from the photoreceptors that adapt to prevailing
luminance conditions, to higher-order motion-sensitive neurons that adapt to
prolonged exposure to motion. Recent experiments using dynamic, fluctuating
visual stimuli indicate that adaptation operates on a time scale similar to that
of the response itself. Further work from our own laboratory has highlighted the
role for rapid motion adaptation in reliable encoding of natural image motion.
Physiologically, motion adaptation can be broken down into four separate
components. It is not clear from the previous studies which of these motion
adaptation components are involved in the fast and dynamic response changes. To
investigate the adapted response in more detail, we therefore analyzed the effect
of motion adaptation using a test-adapt-test protocol with adapting durations
ranging from 20 ms to 20 s. Our results underscore the very rapid rate of motion
adaptation, suggesting that under free flight, visual motion-sensitive neurons
continuously adapt to the changing scenery. This might help explain recent
observations of strong invariance in the response to natural scenes with highly
variable contrast and image structure.
PMID- 22071193
TI - Energy expenditure of freely swimming adult green turtles (Chelonia mydas) and
its link with body acceleration.
AB - Marine turtles are globally threatened. Crucial for the conservation of these
large ectotherms is a detailed knowledge of their energy relationships,
especially their at-sea metabolic rates, which will ultimately define population
structure and size. Measuring metabolic rates in free-ranging aquatic animals,
however, remains a challenge. Hence, it is not surprising that for most marine
turtle species we know little about the energetic requirements of adults at sea.
Recently, accelerometry has emerged as a promising tool for estimating activity
specific metabolic rates of animals in the field. Accelerometry allows
quantification of the movement of animals (ODBA/PDBA, overall/partial dynamic
body acceleration), which, after calibration, might serve as a proxy for
metabolic rate. We measured oxygen consumption rates (V(O(2))) of adult green
turtles (Chelonia mydas; 142.1+/-26.9 kg) at rest and when swimming within a 13 m
long swim channel, using flow-through respirometry. We investigated the effect of
water temperature (T(w)) on turtle and tested the hypothesis that turtle body
acceleration can be used as a proxy for V(O(2)). Mean mass-specific V(O(2))
(sV(O(2))) of six turtles when resting at a T(w) of 25.8+/-1.0 degrees C was
0.50+/-0.09 ml min(-1) kg(-0.83). sV(O(2))increased significantly with T(w) and
activity level. Changes in sV(O(2)) were paralleled by changes in respiratory
frequency (f(R)). Deploying bi-axial accelerometers in conjunction with
respirometry, we found a significant positive relationship between sV(O(2)) and
PDBA that was modified by T(w). The resulting predictive equation was highly
significant (r(2)=0.83, P<0.0001) and associated error estimates were small (mean
algebraic error 3.3%), indicating that body acceleration is a good predictor of
V(O(2)) in green turtles. Our results suggest that accelerometry is a suitable
method to investigate marine turtle energetics at sea.
PMID- 22071194
TI - Divergent transcriptomic responses to repeated and single cold exposures in
Drosophila melanogaster.
AB - Insects in the field are exposed to multiple bouts of cold, and there is
increasing evidence that the fitness consequences of repeated cold exposure
differ from the impacts of a single cold exposure. We tested the hypothesis that
different kinds of cold exposure (in this case, single short, prolonged and
repeated cold exposure) would result in differential gene expression. We exposed
3 day old adult female wild-type Drosophila melanogaster (Diptera: Drosophilidae)
to -0.5 degrees C for a single 2 h exposure, a single 10 h exposure, or five 2 h
exposures on consecutive days, and extracted RNA after 6 h of recovery. Global
gene expression was quantified using an oligonucleotide microarray and validated
with real-time PCR using different biological replicates. We identified 76 genes
upregulated in response to multiple cold exposure, 69 in response to prolonged
cold exposure and 20 genes upregulated in response to a single short cold
exposure, with a small amount of overlap between treatments. Three genes-
Turandot A, Hephaestus and CG11374--were upregulated in response to all three
cold exposure treatments. Key functional groups upregulated include genes
associated with muscle structure and function, the immune response, stress
response, carbohydrate metabolism and egg production. We conclude that cold
exposure has wide-ranging effects on gene expression in D. melanogaster and that
increased duration or frequency of cold exposure has impacts different to those
of a single short cold exposure. This has important implications for
extrapolating laboratory studies of insect overwintering that are based on only a
single cold exposure.
PMID- 22071196
TI - Black wildebeest skeletal muscle exhibits high oxidative capacity and a high
proportion of type IIx fibres.
AB - The aim of the study was to investigate the skeletal muscle characteristics of
black wildebeest (Connochaetes gnou) in terms of fibre type and metabolism.
Samples were obtained post mortem from the vastus lateralis and longissimus
lumborum muscles and analysed for myosin heavy chain (MHC) content. Citrate
synthase (CS), 3-hydroxyacyl co A dehydrogenase (3HAD), phosphofructokinase
(PFK), lactate dehydrogenase (LDH) and creatine kinase (CK) activities were
measured spectrophotometrically to represent the major metabolic pathways in
these muscles. Both muscles had less than 20% MHC I, whereas MHC IIa and MHC IIx
were expressed in excess of 50% in the vastus lateralis and longissimus lumborum
muscles, respectively. Overall fibre size was 2675+/-1034 MUm(2), which is small
compared with other species. Oxidative capacity (CS and 3HAD) in both muscles was
high and did not differ from one another, but the longissimus lumborum had
significantly (P<0.05) higher PFK, LDH and CK activities. No relationships were
observed between fibre type and the oxidative and oxygen-independent metabolic
capacity as measured by specific enzyme activities. This study confirms the
presence of both fast-twitch fibres and high oxidative capacity in black
wildebeest, indicating an animal that can run very fast but is also fatigue
resistant.
PMID- 22071195
TI - Hydrogen sulfide (H2S) and hypoxia inhibit salmonid gastrointestinal motility:
evidence for H2S as an oxygen sensor.
AB - Hydrogen sulfide (H(2)S) has been shown to affect gastrointestinal (GI) motility
and signaling in mammals and O(2)-dependent H(2)S metabolism has been proposed to
serve as an O(2) 'sensor' that couples hypoxic stimuli to effector responses in a
variety of other O(2)-sensing tissues. The low P(O2) values and high H(2)S
concentrations routinely encountered in the GI tract suggest that H(2)S might
also be involved in hypoxic responses in these tissues. In the present study we
examined the effect of H(2)S on stomach, esophagus, gallbladder and intestinal
motility in the rainbow trout (Oncorhynchus mykiss) and coho salmon (Oncorhynchus
kisutch) and we evaluated the potential for H(2)S in oxygen sensing by examining
GI responses to hypoxia in the presence of known inhibitors of H(2)S biosynthesis
and by adding the sulfide donor cysteine (Cys). We also measured H(2)S production
by intestinal tissue in real time and in the presence and absence of oxygen. In
tissues exhibiting spontaneous contractions, H(2)S inhibited contraction
magnitude (area under the curve and amplitude) and frequency, and in all tissues
it reduced baseline tension in a concentration-dependent relationship.
Longitudinal intestinal smooth muscle was significantly more sensitive to H(2)S
than other tissues, exhibiting significant inhibitory responses at 1-10 MUmol l(
1) H(2)S. The effects of hypoxia were essentially identical to those of H(2)S in
longitudinal and circular intestinal smooth muscle; of special note was a unique
transient stimulatory effect upon application of both hypoxia and H(2)S.
Inhibitors of enzymes implicated in H(2)S biosynthesis (cystathionine beta
synthase and cystathionine gamma-lyase) partially inhibited the effects of
hypoxia whereas the hypoxic effects were augmented by the sulfide donor Cys.
Furthermore, tissue production of H(2)S was inversely related to O(2); addition
of Cys to intestinal tissue homogenate stimulated H(2)S production when the
tissue was gassed with 100% nitrogen (~0% O(2)), whereas addition of oxygen (~10%
O(2)) reversed this to net H(2)S consumption. This study shows that the
inhibitory effects of H(2)S on the GI tract of a non-mammalian vertebrate are
identical to those reported in mammals and they provide further evidence that
H(2)S is a key mediator of the hypoxic response in a variety of O(2)-sensitive
tissues.
PMID- 22071197
TI - The inotropic effects of ammonia on isolated perfused rat hearts and the
mechanisms involved.
AB - Ammonia (NH(3)) is a common exogenous gas in the atmosphere, as well as an
endogenous chemical produced by amino acid catabolism and other pathways in vivo.
Physiological and pathophysiological roles of NH(3) in the nervous system have
been studied. Recently, endogenous NH(3) has been suggested to be a gas
transmitter. However, so far the role of NH(3) in cardiovascular functions has
not been reported. The present study was designed to investigate the inotropic
effects of NH(3) on isolated perfused rat hearts and the possible mechanisms
involved in these effects. The results showed that NH(3) had a positive inotropic
effect in a concentration-dependent manner and produced a higher positive effect
than NaOH and NH(4)Cl. At low concentrations, the effect of NH(3) on cardiac
function was caused by NH(3) molecules; at high concentrations, the effect of
NH(3) on hearts may be partly correlated with a change of pH value, but was
mainly caused by NH(3) molecules. The mechanisms involved in the NH(3)-induced
positive inotropic effect may be related to the ATP-sensitive K(+) (K(ATP))
channel and the nitric oxide (NO)-cyclic GMP (cGMP) signaling pathway. In
addition, at a concentration of 1.5 mmol l(-1), NH(3) significantly increased the
activity of creatine kinase (CK) and lactate dehydrogenase (LDH) in the coronary
perfusate and decreased the activity of Na(+),K(+)-ATPase and Ca(2+),Mg(2+)
ATPase in the hearts. These results indicate that NH(3) at physiological or low
concentrations may play a modulatory role in heart function, but at high
concentrations had a damaging effect on isolated rat hearts.
PMID- 22071198
TI - The recruiter's excitement--features of thoracic vibrations during the honey
bee's waggle dance related to food source profitability.
AB - The honey bee's waggle dance constitutes a remarkable example of an efficient
code allowing social exploitation of available feeding sites. In addition to
indicating the position (distance, direction) of a food patch, both the
occurrence and frequency of the dances depend on the profitability of the
exploited resource (sugar concentration, solution flow rate). During the waggle
dance, successful foragers generate pulsed thoracic vibrations that putatively
serve as a source of different kinds of information for hive bees, who cannot
visually decode dances in the darkness of the hive. In the present study, we
asked whether these vibrations are a reliable estimator of the excitement of the
dancer when food profitability changes in terms of both sugar concentration and
solution flow rate. The probability of producing thoracic vibrations as well as
several features related to their intensity during the waggle phase (pulse
duration, velocity amplitude, duty cycle) increased with both these profitability
variables. The number of vibratory pulses, however, was independent of sugar
concentration and reward rate exploited. Thus, pulse number could indeed be used
by dance followers as reliable information about food source distance, as
suggested in previous studies. The variability of the dancer's thoracic
vibrations in relation to changes in food profitability suggests their role as an
indicator of the recruiter's motivational state. Hence, the vibrations could make
an important contribution to forager reactivation and, consequently, to the
organisation of collective foraging processes in honey bees.
PMID- 22071199
TI - [Randomised controlled trial: the role of diet and exercise in women with
metabolic syndrome].
AB - OBJECTIVE: To evaluate a program of nutritional recommendations and exercise in
women with metabolic syndrome. DESIGN: Multicentre randomised controlled trial.
LOCATION: Primary Health Care, Holguin, Cuba. PARTICIPANTS: A cluster sample of
150 obese women with metabolic syndrome without glucose disturbances, were
randomly assigned to a control (n=70) or experimental (n=80) group. A total of 62
women in the control group and 60 in the intervention group completed the study
(June 2008-July 2009). INTERVENTIONS: Low calorie diets and a program of
exercises in the experimental group. Usual care in the control group.
MEASUREMENTS: Body weight, body mass index, waist circumference, blood pressure,
blood glucose and lipid profile. RESULTS: Compared to the control group, after
one year, the experimental group had a lower, diastolic blood pressure (78+/-0.9
vs 91+/-1.1mm Hg), total cholesterol (4.7+/-0.1 vs 6.0 +/-0.1mmol/L),
triglycerides (1.9+/-0.0 vs 2.9+/-0.1mmol/L) and LDL cholesterol (2.5+/-0.0 vs
3.5+/-0.1mmol/L), and a higher HDL-cholesterol (1.2+/-0.0 vs 1.1+/-0.0mmol/L).
There were no appreciable changes in weight, body mass index, waist
circumference, systolic blood pressure and blood glucose. CONCLUSIONS: We
demonstrated the effectiveness of the intervention program on blood pressure and
blood lipid profile.
PMID- 22071200
TI - Percutaneous closure of an aortic prosthetic paravalvar leak: an Australian
first.
AB - Percutaneous intervention is becoming an increasingly recognised modality for the
management of prosthetic paravalvar leaks (PVLs) with particular utility in
severely symptomatic non-surgical candidates. To date, application of this
intervention has predominantly involved closure of mitral valve PVLs.
Consequently, current literature on its application to aortic PVLs is limited.
This article describes what we believe to be the first percutaneous closure of an
aortic prosthetic PVL in Australia.
PMID- 22071202
TI - Idiopathic hypertrophic pachymeningitis mimicking neurosarcoidosis.
PMID- 22071201
TI - Right minithoracotomy versus full sternotomy for the aortic valve replacement:
preliminary results.
AB - BACKGROUND: Minimally invasive surgery (MIS) for aortic valve replacement (AVR)
is going to increase with different techniques described so far. We hereby report
the results of AVR through a right minithoracotomy (RM) compared to a median
sternotomy (MS). MATERIALS AND METHODS: One hundred patients operated for
isolated AVR by the same surgeon (chief of the department) were enrolled and
allocated to: MS (group A, 50 patients, 26 females, mean age 69.9 +/- 12.4
years). RM (group B, 50 patients, 27 females, mean age 71.6 +/- 11.2 years). Mean
logistic Euroscores were, respectively, 6.5 +/- 4.0 and 8.0 +/- 5.9 (p=ns).
RESULTS: Mean duration of cardiopulmonary by-pass (CPB) was 62.8 +/- 18.3 min in
group A and 101.4 +/- 35.2 min in group B (p<0.05); cross-clamp was 44.8 +/- 13.4
min in group A and 74.6 +/- 26.7 min in group B (p<0.05). Thirty-day mortality
was 2 (4%) in group A and 0 in group B (p=ns). ICU stay and hospital stay did not
significantly differ amongst two groups. The incidence of bleeding was lower in
group B, showing a slight reduction of blood transfusions and re-explorations
(p=ns). CONCLUSIONS: Our experience shows that RM offers a good 30-day survival
and a lower incidence of mediastinitis or osteomyelitis. The risk of insufficient
vision or sudden complications is safely managed by enlarging the surgical
incision through a transverse sternotomy.
PMID- 22071203
TI - Atypical central neurocytoma of fourth ventricle with hemorrhagic complication
during surgery in a child.
PMID- 22071204
TI - Pseudo-Foster Kennedy syndrome in a young woman with meningioma infiltrating the
superior sagittal sinus.
PMID- 22071205
TI - Patients with psychogenic non-epileptic seizures referred to a tertiary epilepsy
centre: patient characteristics in relation to diagnostic delay.
AB - OBJECTIVE: This clinical study examines patient and seizure characteristics of
patients with psychogenic non-epileptic seizures (PNES) in a tertiary epilepsy
centre. The main focus was whether a new subgroup of PNES patients emerged with a
relatively short referral time and possible specific characteristics. METHODS:
All PNES patients referred to a specialist program in our centre between mid 2007
and mid 2009 were consecutively included. This yielded a study cohort of 90
patients. RESULTS: The majority of the patients have a patient history with many
medical symptoms and they were or had been in treatment by a medical specialist.
Furthermore diffuse psychological/psychiatric symptoms and subsequent treatments
are also remarkably common, in general without a clear psychological diagnosis.
The average time between seizure onset and referral to an epilepsy centre is
remarkably low (4.29 years). About 50% of the patients were referred within 2
years of seizure onset. This 'active high speed referral group' had significantly
more previous psychological complaints, significantly more previous
psychological/psychiatric treatments and a trend towards more previous medical
investigations. CONCLUSION: There seems to be a new subgroup of PNES patients
with a short referral time, characterized by a more active attitude towards
examination of the symptoms in combination with an active attitude to apply for
treatment. However, the PNES cohort as a whole is characterized by having
somatoform symptoms based on a process of somatization.
PMID- 22071206
TI - Spindle cell oncocytoma of the adenohypophysis: report of a rare case and review
of literature.
PMID- 22071207
TI - Severity of community-acquired pneumonia treated with low-dose adjunctive
corticosteroid.
PMID- 22071209
TI - Association of catalytic iron with cardiovascular disease.
AB - The ability of iron to cycle reversibly between its ferrous and ferric oxidation
states is essential for the biological functions of iron but may contribute to
vascular injury through the generation of powerful oxidant species. We examined
the association between chemical forms of iron that can participate in redox
cycling, often referred to as "catalytic" or "labile" iron, and cardiovascular
disease (CVD). In our cross-sectional study of 496 participants, 85 had CVD.
Serum catalytic iron was measured using the bleomycin-detectable iron assay that
detects biologically active iron. The odds of existing CVD for subjects in the
upper third of catalytic iron were 10 times that of subjects with lower catalytic
iron in unadjusted analyses. The association was decreased by 1/2 by age
adjustment, but little additional attenuation occurred after adjusting for age,
Framingham Risk Score, estimated glomerular filtration rate, hypertension status,
high-density lipoprotein cholesterol, and systolic blood pressure, with the
association remaining strong and significant (odds ratio 3.8, 95% confidence
interval 1.4 to 10.1). In conclusion, we provide preliminary evidence for a
strong detrimental association between high serum catalytic iron and CVD even
after adjusting for several co-morbid conditions; however, broader prospective
studies are needed to confirm these findings, which would support therapeutic
trials to assess the beneficial effects of iron chelators on CVD.
PMID- 22071208
TI - Association of frontal QRS-T angle--age risk score on admission electrocardiogram
with mortality in patients admitted with an acute coronary syndrome.
AB - Risk assessment is central to the management of acute coronary syndromes. Often,
however, assessment is not complete until the troponin concentration is
available. Using 2 multicenter prospective observational studies (Evaluation of
Methods and Management of Acute Coronary Events [EMMACE] 2, test cohort, 1,843
patients; and EMMACE-1, validation cohort, 550 patients) of unselected patients
with acute coronary syndromes, a point-of-admission risk stratification tool
using frontal QRS-T angle derived from automated measurements and age for the
prediction of 30-day and 2-year mortality was evaluated. Two-year mortality was
lowest in patients with frontal QRS-T angles <38 degrees and highest in patients
with frontal QRS-T angles >104 degrees (44.7% vs 14.8%, p <0.001). Increasing
frontal QRS-T angle-age risk (FAAR) scores were associated with increasing 30-day
and 2-year mortality (for 2-year mortality, score 0 = 3.7%, score 4 = 57%; p
<0.001). The FAAR score was a good discriminator of mortality (C statistics 0.74
[95% confidence interval 0.71 to 0.78] at 30 days and 0.77 [95% confidence
interval 0.75 to 0.79] at 2 years), maintained its performance in the EMMACE-1
cohort at 30 days (C statistics 0.76 (95% confidence interval 0.71 to 0.8] at 30
days and 0.79 (95% confidence interval 0.75 to 0.83] at 2 years), in men and
women, in ST-segment elevation myocardial infarction and non-ST-segment elevation
myocardial infarction, and compared favorably with the Global Registry of Acute
Coronary Events (GRACE) score. The integrated discrimination improvement (age to
FAAR score at 30 days and at 2 years in EMMACE-1 and EMMACE-2) was p <0.001. In
conclusion, the FAAR score is a point-of-admission risk tool that predicts 30-day
and 2-year mortality from 2 variables across a spectrum of patients with acute
coronary syndromes. It does not require the results of biomarker assays or rely
on the subjective interpretation of electrocardiograms.
PMID- 22071210
TI - Impact of plaque burden in the left main coronary artery determined by
intravascular ultrasound on cardiovascular events in a Japanese population
undergoing percutaneous coronary intervention.
AB - The left main coronary artery (LMCA) is a particularly important target of
atherosclerotic plaque accumulation. The aim of this study was to investigate the
connection between subclinical plaque burden in the LMCA measured by
intravascular ultrasound and future cardiovascular events. Two hundred eighteen
consecutive patients underwent percutaneous coronary intervention for the left
anterior descending coronary artery or the left circumflex coronary artery under
intravascular ultrasound guidance. Plaque burden in the LMCA was analyzed for
these patients, and major adverse cardiac events were also evaluated. Data were
analyzed by grouping the patients into tertiles according to plaque burden
values; tertile 1, <32% area stenosis; tertile 2, 32% to 45% area stenosis; and
tertile 3, >45% area stenosis. During a 3-year follow-up period (average 16.1
months), 12% of tertile 1, 18% of tertile 2, and 40% of tertile 3 experienced
major adverse cardiac events, mostly due to repeat revascularization (p <0.001).
On Cox multivariate analysis, plaque burden in the LMCA (per percentage) detected
by intravascular ultrasound remained an independent significant predictor of
major adverse cardiac events (hazard ratio 1.04, 95% confidence interval 1.02 to
1.07) and future revascularization (hazard ratio 1.05, 95% confidence interval
1.02 to 1.07) (p <0.001). In conclusion, plaque burden in the LMCA is useful as
an indicator of coronary atherosclerosis and may be a significant predictor of
cardiovascular events, especially revascularization.
PMID- 22071211
TI - Familial restrictive cardiomyopathy with 12 affected family members.
PMID- 22071212
TI - Vitamin D deficiency and supplementation and relation to cardiovascular health.
AB - Recent evidence supports an association between vitamin D deficiency and
hypertension, peripheral vascular disease, diabetes mellitus, metabolic syndrome,
coronary artery disease, and heart failure. The effect of vitamin D
supplementation, however, has not been well studied. We examined the associations
between vitamin D deficiency, vitamin D supplementation, and patient outcomes in
a large cohort. Serum vitamin D measurements for 5 years and 8 months from a
large academic institution were matched to patient demographic, physiologic, and
disease variables. The vitamin D levels were analyzed as a continuous variable
and as normal (>=30 ng/ml) or deficient (<30 ng/ml). Descriptive statistics,
univariate analysis, multivariate analysis, survival analysis, and Cox
proportional hazard modeling were performed. Of 10,899 patients, the mean age was
58 +/- 15 years, 71% were women (n = 7,758), and the average body mass index was
30 +/- 8 kg/m(2). The mean serum vitamin D level was 24.1 +/- 13.6 ng/ml. Of the
10,899 patients, 3,294 (29.7%) were in the normal vitamin D range and 7,665
(70.3%) were deficient. Vitamin D deficiency was associated with several
cardiovascular-related diseases, including hypertension, coronary artery disease,
cardiomyopathy, and diabetes (all p <0.05). Vitamin D deficiency was a strong
independent predictor of all-cause death (odds ratios 2.64, 95% confidence
interval 1.901 to 3.662, p <0.0001) after adjusting for multiple clinical
variables. Vitamin D supplementation conferred substantial survival benefit (odds
ratio for death 0.39, 95% confidence interval 0.277 to 0.534, p <0.0001). In
conclusion, vitamin D deficiency was associated with a significant risk of
cardiovascular disease and reduced survival. Vitamin D supplementation was
significantly associated with better survival, specifically in patients with
documented deficiency.
PMID- 22071213
TI - Comparison of patients with pulmonary arterial hypertension with versus without
right-sided mechanical alternans.
AB - The clinical implications of mechanical alternans in patients with pulmonary
arterial hypertension (PAH) remain unknown. In this study, the prevalence,
characteristics, and prognostic implications of mechanical alternans in patients
with PAH were investigated. Thirty-two consecutive patients with PAH confirmed by
cardiac catheterization from 2000 to 2010 were included in this cohort study.
During cardiac catheterization, 8 patients (25%) showed mechanical alternans at
rest. All alternans were detected in the right ventricle and pulmonary trunk.
Serum level of brain natriuretic peptide (584 +/- 177 vs 238 +/- 252 pg/ml, p =
0.001), World Health Organization functional class (3.5 +/- 0.5 vs 2.9 +/- 0.4, p
= 0.02), mean pulmonary arterial pressure (59 +/- 10 vs 47 +/- 18 mm Hg, p =
0.03), mean right atrial pressure (10 +/- 4 vs 5 +/- 4 mm Hg, p = 0.01), right
ventricular end-diastolic pressure (15 +/- 5 vs 9 +/- 5 mm Hg, p = 0.01), and
heart rate at catheterization (96 +/- 17 vs 70 +/- 11 beats/min, p = 0.003) were
significantly higher in patients with alternans than in those without. Twelve
month mortality of patients with alternans was higher than in patients without
alternans (p = 0.03): the 12-month survival rate after cardiac catheterization
was 37% for the alternans group and 75% for the group without alternans. In
conclusion, isolated right-sided mechanical alternans is not an uncommon event in
patients with PAH. The existence of alternans is associated with the severity of
PAH and right ventricular dysfunction and implies a poor prognosis in the short
term.
PMID- 22071214
TI - Disruption of atherosclerotic neointima as a cause of very late stent thrombosis
after bare metal stent implantation.
AB - A male who were implanted bare metal stent 11 years ago were admitted for acute
coronay syndrome. Optical coherence tomography showed a neointimal disruption and
integrated backscatter intravascular ultrasound revealed a lipid pool around the
disrupted neointima, suggesting newly formed atherosclerotic neointima developed
after bare metal stent implantation. The disruption of atherosclerotic neointima
may represent a new potential mechanism of very late stent thrombosis after bare
metal stent implantation.
PMID- 22071215
TI - Inflammatory and growth factor response to continuous and intermittent exercise
in youth with cystic fibrosis.
AB - BACKGROUND: Children with cystic fibrosis (CF) tend to suffer from chronic
systemic inflammation and may have impaired growth associated with muscle
catabolism. Therefore, investigating which type of exercise can elicit an
anabolic response with minimal inflammation is of clinical value. METHODS: Twelve
children with CF (mean+/-SD; age: 14.7+/-2.3 years, predicted FEV(1): 90.0+/
21.6%) and biological age-matched controls (age: 13.9+/-2.1 years) completed
moderate-intensity, continuous exercise (MICE) and high-intensity, intermittent
exercise (HIIE) on separate days. During each exercise, blood was drawn at
various time points and analyzed for immune cells, inflammatory cytokines, and
growth mediators. RESULTS: At rest, children with CF had higher concentrations of
neutrophils and IL-6 compared with controls. In children with CF, HIIE did not
affect immune cell subsets or cytokines: TNF-alpha, IL-6, and tumor necrosis
factor-like weak inducer of apoptosis (TWEAK). All immune cell subsets and IL-6
increased significantly with MICE in both groups. Growth hormone (GH) increased
with both types of exercise, with a greater change from rest during MICE.
CONCLUSIONS: HIIE was a sufficient stimulus to increase GH in children with CF,
without affecting systemic inflammation.
PMID- 22071216
TI - Type VI collagen deficiency induces osteopenia with distortion of osteoblastic
cell morphology.
AB - Bone consists of type I collagen as a major protein with minor various matrix
proteins. Type VI collagen is one of bone matrix proteins but its function is not
known. We therefore examined the effects of type VI collagen deficiency on bone.
3D-MUCT analysis revealed that type VI collagen deficiency reduced cancellous
bone mass. Cortical bone mass was not affected. Type VI collagen deficiency
distorted the shape of osteoblasts both in the cancellous bone and in the cambium
layer of periosteal region. Furthermore, type VI collagen deficiency disorganized
collagen arrangement. These data indicate that type VI collagen contributes to
maintain bone mass.
PMID- 22071217
TI - Organochlorine pesticides and polychlorinated biphenyls in sediments and fish
from freshwater cultured fish ponds in different agricultural contexts in north
eastern France.
AB - Organochlorine pesticides (HCB, HCH with alpha-, beta-, and gamma isomers,
heptachlor, cis-heptachlor epoxyde, trans-heptachlor epoxyde, endosulfan with
alpha- and beta isomers, sulfate endosulfan, o,p'-DDT, p,p'-DDT, o,p'-DDE, p,p'
DDE, o,p'-DDD, p,p'-DDD, chlorothalonil, alachlor, aldrin, dieldrin,
methoxychlor, oxychlordane, chlordane with alpha- and gamma isomers, p,p'-dicofol
and o,p'-dicofol) and indicators PCBs (IUPAC nos. 28, 52, 101, 118, 138, 153, and
180) were studied both in sediments and muscles of farmed fish species (Cyprinus
carpio and Perca fluviatilis). Samples were collected from fish ponds located in
the hydrographic basin of the Moselle River (Lorraine Region, France). OCPs and
PCBs were present at low concentrations both in sediments and fish muscles.
Concerning sediments, ?DDTs revealed concentrations ranging from 0.2 to 2.30 ng
g(-1) dw and ?PCBs ranged from 0.3 to 3.5 ng g(-1) dw. Concerning fish muscles,
the highest concentrations in OCPs were those of p,p'-DDE, with average
concentrations of 0.57+/-0.44 ng g(-1) ww for carp and 0.58+/-0.29 ng g(-1) ww
for perch. The contamination profiles proved to be different depending on the
fish species. Indeed, HCH-isomers, HCB, and dieldrin were detected only for the
carp and always at low concentrations. For example, the highest concentration of
HCHs was observed for beta-HCH with a mean value of 0.64+/-0.15 ng g(-1) ww for
carp. As for PCBs, the levels of ?PCBs ranged from 0.3 to 6.4 ng g(-1) ww in carp
muscles and from 0.90 to 5.60 ng g(-1) ww in perch muscles.
PMID- 22071218
TI - Identification of sperm subpopulations with defined motility characteristics in
ejaculates from Ile de France rams.
AB - The aims of this study were to identify different motile sperm subpopulations in
fresh ejaculates from six Ile de France rams, by using a computer-assisted sperm
motility analysis (CASA) system, and to evaluate the effects of individual ram
and season on population distribution. Overall sperm motility and individual
kinematic parameters of motile spermatozoa were evaluated for 125,312
spermatozoa, defined by curvilinear velocity (VCL), linear velocity (VSL),
average path velocity (VAP), linearity coefficient (LIN), straightness
coefficient (STR), wobble coefficient (WOB), mean amplitude of lateral head
displacement (ALH) and frequency of head displacement (BCF). A multivariate
cluster analysis was carried out to classify these spermatozoa into a reduced
number of subpopulations according to their movement patterns. The statistical
analysis clustered the whole motile sperm population into five separate groups:
subpopulation 1, constituted by rapid, progressive and non sinuous spermatozoa
(VCL=126.41 MUm/s, STR=92.87% and LIN=86.47%); subpopulation 2, characterized by
progressive spermatozoa with moderate velocity (VCL=74.74 MUm/s and STR=84.03%);
subpopulation 3, represented by rapid, progressive and sinuous spermatozoa
(VCL=130.45 MUm/s, STR=76.02% and LIN=47.68%); subpopulation 4 represents rapid
nonprogressive spermatozoa (VCL=128.69 MUm/s and STR=44.09%); subpopulation 5
includes poorly motile, nonprogressive spermatozoa with a very irregular
trajectory (VCL=36.81 MUm/s and STR=47.04%). Our results show the existence of
five subpopulations of motile spermatozoa in ram ejaculates. The frequency
distribution of spermatozoa within subpopulations was quite similar for the six
rams, and the five subpopulations turned out to be very stable along seasons.
PMID- 22071219
TI - Phosphate content of beverages in addition to food phosphate additives: real and
insidious danger for renal patients.
PMID- 22071220
TI - Gastrointestinal symptoms and nutritional status in women and men on maintenance
hemodialysis.
AB - OBJECTIVE: The main objective was to investigate whether the prevalences of
nausea, vomiting, diarrhea, and reduced appetite varied by gender in maintenance
hemodialysis (MHD) patients. We also evaluated whether these symptoms explain
female-male difference in nutritional status. DESIGN: Cross section of baseline
data of the Prospective Study of the Prognosis in Chronic Hemodialysis Patients.
SETTING: Dialysis units in the city of Salvador, Brazil. PATIENTS: Three hundred
ninety-seven men and 287 women with more than three months on MHD. PREDICTOR
VARIABLE: Gender. OUTCOME MEASURES: The patient's self-reported nausea, vomiting,
diarrhea, and reduced appetite. The malnutrition-inflammation score (MIS) was
used to assess nutritional status. RESULTS: The prevalence of symptoms was 24.3%
for reduced appetite, 19.7% for nausea, 12.3% for vomiting, and 3.5% for
diarrhea. In a logistic regression model with adjustments for age, diabetes,
congestive heart failure, hemoglobin, albumin, Kt/V, and years on dialysis, women
were found to have significantly higher odds of reduced appetite (odds ratio [OR]
= 1.97), nausea (OR = 1.90), and vomiting (OR = 2.21). MIS was 5.41 +/- 3.18 for
women and 4.66 +/- 3.28 for men (P = .002) corresponding to a percentage
difference of 13.86%. The female-male difference reduced by more than half after
excluding the gastrointestinal symptoms component and by approximately 65% after
excluding both the gastrointestinal symptoms and the dietary intake components
from the MIS. CONCLUSIONS: The results suggest that the prevalences of nausea,
vomiting, and reduced appetite are higher in women than in men on MHD. These
gastrointestinal symptoms and perhaps their detrimental effects on dietary intake
may partially explain a poorer nutritional status in MHD women.
PMID- 22071221
TI - Do patients with pN0 gastric cancer benefit from prophylactic extended
lymphadenectomy?
AB - PURPOSE: To investigate the impact of prophylactic extended lymphadenectomy on
survival for patients with node-negative (pN0) advanced gastric cancer according
to the extent of lymph node dissection. METHODS: This study retrospectively
investigated the clinicopathological characteristics and prognostic outcomes of
458 patients who had pN0 advanced gastric cancer between 1995 and 2001.
Postoperative survival was compared in patients who underwent different extents
of prophylactic lymphadenectomy. RESULTS: The overall 5-year and 10-year survival
rates were 62.01% (284/458) and 40.83% (187/458), respectively. The survival
rates differed significantly in patients who underwent a different extent of
prophylactic lymphadenectomy (<=D1+ versus D2 versus D3 versus >=D3) (X(2) =
8.59, P = 0.035). Survival in patients who received less than D1+ dissection,
however, were not significantly better than patients who received D2 dissection
(X(2) = 0.907, P = 0.341). Survival in patients who received D2 dissection was
significantly better than survival in patients who received D3 dissection (X(2) =
5.685, P = 0.017). No differences in postoperative survival rates were observed
between patients who received D3 dissection and those received more than D3
dissection (X(2) = 2.468, P = 0.116). Patients who were older than 60 years and
receive more than D2 dissection experienced significantly worse postoperative
survival than those who received less than D2 dissection (X(2) = 14.885, P =
0.001). The extent of prophylactic lymphadenectomy did not significantly affect
local tumor recurrence in patients with node-negative advanced gastric cancer
(X(2) = 0.458, P = 0.928). CONCLUSIONS: D2 prophylactic lymphadenectomy is
appropriate for pN0 patients who were less than 60 years old, and less than D2
dissection was suitable for the older cases.
PMID- 22071222
TI - Multiple double cross-section transmission electron microscope sample preparation
of specific sub-10 nm diameter Si nanowire devices.
AB - The ability to prepare multiple cross-section transmission electron microscope
(XTEM) samples from one XTEM sample of specific sub-10 nm features was
demonstrated. Sub-10 nm diameter Si nanowire (NW) devices were initially cross
sectioned using a dual-beam focused ion beam system in a direction running
parallel to the device channel. From this XTEM sample, both low- and high
resolution transmission electron microscope (TEM) images were obtained from six
separate, specific site Si NW devices. The XTEM sample was then re-sectioned in
four separate locations in a direction perpendicular to the device channel: 90
degrees from the original XTEM sample direction. Three of the four XTEM samples
were successfully sectioned in the gate region of the device. From these three
samples, low- and high-resolution TEM images of the Si NW were taken and
measurements of the NW diameters were obtained. This technique demonstrated the
ability to obtain high-resolution TEM images in directions 90 degrees from one
another of multiple, specific sub-10 nm features that were spaced 1.1 MUm apart.
PMID- 22071223
TI - Nanoliposome-mediated FL/TRAIL double-gene therapy for colon cancer: in vitro and
in vivo evaluation.
AB - OBJECTIVE: To investigate the therapeutic effects of cationic nanoliposome
mediated gene therapy combined with immunotherapy for colon cancer treatment.
METHODS: Recombinant plasmids containing green and red fluorescent protein
reporter genes were constructed using gene cloning methods. Gene-carrying
cationic nanoliposomes were prepared based on the electrostatic adherence
principle and then transfected into dendritic cells (DC), which were transplanted
into colon cancer cells. RESULTS: Recombinant plasmids containing green or red
fluorescent protein reporter genes were successfully constructed by gene cloning
and confirmed by restriction enzyme digestion and sequencing. Gene-carrying
cationic nanoliposomes were transfected into colon cancer cells, and good gene
expression was detected. A better level of apoptosis was observed in the combined
group of tyrosine kinase receptor 3 ligand (FL) and tumor necrosis factor-related
apoptosis-inducing ligand (TRAIL), while the lowest level was detected in the
control group. The parameters in the FL and TRAIL groups were between the above
mentioned combined group. CONCLUSION: Cationic nanoliposomes have the advantage
of being gene carriers. The joint therapeutic effects of the two genes are
superior to those of a single gene. Gene therapy combined with immunotherapy has
significant implications for cancer treatment.
PMID- 22071224
TI - Vascular endothelial growth factor-D promotes growth, lymphangiogenesis and
lymphatic metastasis in gallbladder cancer.
AB - Lymph node metastasis is a major prognostic factor for patients with gallbladder
cancer (GBC), and greater understanding of the molecule mechanism of lymph node
metastasis in GBC is needed to improve prognosis. VEGF-D has been implicated in
the control of lymphangiogenesis in many carcinomas, but the biological function
of VEGF-D in human GBC remains unclear. In this study, we analyzed the role of
the VEGF-D in human GBC cells and addressed the functional role of VEGF-D using a
xenograft mouse model. We examined the expression of VEGF-D in three human
gallbladder cancer cell lines. A lentivirus-based effective VEGF-D siRNA vector
was infected into GBC NOZ cells. The effect of VEGF-D siRNA on GBC NOZ cells was
investigated by cell proliferation assay and invasion assay. Furthermore, we
examined the role of VEGF-D-SiRNA on GBC NOZ cells in the mice of subcutaneous
and orthotopic xenograft tumor. Our results are as follows: VEGF-D mRNA and
protein were expressed in all three GBC cell lines (GBC-SD, NOZ, and SGC-996). We
successfully selected D-3/siRNA as the most effective siRNA to silence VEGF-D
expression after four VEGF-D siRNA plasmid transfection in NOZ cells. VEGF-D mRNA
and protein expression were suppressed by lentivirus-mediated D-3/siRNA. D-3-RNAi
LV inhibited NOZ cells proliferation and invasion ability in vitro. D-3-RNAi-LV
inhibited tumor growth and lymphangiogenesis in the NOZ cell subcutaneous
xenograft model. D-3-RNAi-LV inhibited lymphangiogenesis and lymphatic metastasis
in the NOZ cell orthotopic xenograft model. Furthermore, D-3-RNAi-LV inhibited
tumor ascites and hepatic invasion in the NOZ cell orthotopic xenograft model. In
conclusion, VEGF-D is involved and plays an important role in GBC progression,
suggesting that VEGF-D may be a potential molecular target in the treatment of
GBC.
PMID- 22071225
TI - Massive bleeding: Are we doing our best?
AB - Massive bleeding accounts for more than 50% of all trauma-related deaths within
the first 48h following hospital admission and it can significantly raise the
mortality rate of any kind of surgery. Despite this great clinical relevance,
evidence on the management of massive bleeding is surprisingly scarce, and its
treatment is often based on empirical grounds. Successful treatment of massive
haemorrhage depends on better understanding of the associated physiological
changes as well as on good team work among the different specialists involved in
the management of such a complex condition.
PMID- 22071226
TI - Plasma in Poland: Production, use and safety.
PMID- 22071227
TI - Low prevalence of occult HBV infection among HIV-infected patients in Southern
Spain.
AB - INTRODUCTION: The aim of this study was to assess the prevalence of occult HBV
infection in HIV-positive patients in a centre in Southern Spain. METHODS: The
HBV serological markers were investigated in all the patients and the presence of
HBV-DNA was tested by PCR in patients with isolated anti-HBc. RESULTS: An
isolated anti-HBc pattern was detected in 144/520 (27.7%) patients. HBV-DNA was
detected in one of these patients (0.7%). CONCLUSIONS: In Southern Spain, there
is a low prevalence of occult HBV infection among HIV-infected patients, despite
increasing immigration from endemic countries.
PMID- 22071228
TI - Effects of gait pattern and arm swing on intergirdle coordination.
AB - Mature locomotion in humans is characterized by an anti-phase coordination
(moving in opposite directions) between the pelvic and the scapular girdles. This
pattern involves a specific relationship between the arm and leg motion is deemed
to be most flexible and dynamically efficient. Still, when the arms are involved
in another task, like a field player running with a ball in the hands, locomotion
is still possible. In order to probe the flexibility of the locomotor synergy,
the present study aimed to determine the persistence and the strength of the
coordination patterns between the pelvic and scapular girdles when no arm swing
was allowed during walking and running. Relative phase, the time difference
between the girdle rotations, measured the ongoing inter-girdle coordination of
eight healthy participants asked to walk and run with or without arm swing.
Results showed that an absence of arm swing led to a change from an anti-phase to
in-phase pattern (girdles moving in the same direction) and that an increase in
velocity strengthened the adopted pattern. Moreover, the frequency distribution
of relative phase for all gait patterns with arm swing proved to be bimodal,
indicating that the prevailing anti-phase pattern was always mixed with a
noticeable proportion of in-phase coordination. The presence of the in-phase
pattern in the easy, natural locomotion with arm swing manifests its persistence
and its stability, perhaps pertaining to its prevalence in earlier times in
ontogeny or evolution.
PMID- 22071229
TI - Severity of pediatric blepharokeratoconjunctivitis in Asian eyes.
AB - PURPOSE: To describe and evaluate the severity of pediatric
blepharokeratoconjunctivitis in Asia. DESIGN: Retrospective case series. METHODS:
Clinical records of patients diagnosed with pediatric
blepharokeratoconjunctivitis at a tertiary referral center in Singapore from 1991
through 2010 were reviewed. Patients were graded as having mild (corneal
involvement without scarring), moderate (corneal scarring), or severe (corneal
scarring with thinning or perforation) disease based on recorded clinical
findings. RESULTS: Fifty-one patients were diagnosed with pediatric
blepharokeratoconjunctivitis. The mean age at presentation was 10.2 +/- 3.6
years, most patients were female (80.4%), and the mean duration of follow-up was
58.9 +/- 44.0 months. Chinese (56.9%) subjects made up most of the cases. Most
subjects had moderate (56.9%), followed by severe (37.4%) and mild (5.9%),
disease. Four patients (7.9%) had an associated dermatologic disease. All
patients were treated with topical antibiotics, and 98% were treated with topical
steroids. Nineteen (37.3%) patients received systemic antibiotic therapy, and 1
received systemic steroid therapy. Three patients required deep lamellar
keratoplasty (2 tectonic and 1 optical), and 2 underwent cornea gluing alone; all
5 of them were Chinese. Patients graded as having severe disease were more likely
to undergo surgical intervention (26.3%) than patients who were graded as having
moderate (0%) and mild (0%) disease (P < .05). The main complication of treatment
was raised intraocular pressure in 7 (13.7%) patients requiring medical therapy.
Overall, best-corrected visual acuity improved by 0.10 logarithm of the minimal
angle of resolution units (P < .001) after appropriate medical and surgical
intervention. CONCLUSIONS: Pediatric blepharokeratoconjunctivitis patients in
Asia seem to have a more severe clinical presentation and course. Early and
adequate management can arrest the disease process and can minimize visual
morbidity.
PMID- 22071230
TI - Determinants of fixation in eyes with neovascular age-related macular
degeneration treated with intravitreal ranibizumab.
AB - PURPOSE: To correlate the anatomic features of the macula with functional
parameters like location and stability of fixation in patients with neovascular
age-related macular degeneration treated with intravitreal ranibizumab
injections. DESIGN: Retrospective analysis. METHODS: The location and stability
of fixation were determined in 41 eyes of 41 patients treated with ranibizumab
for neovascular age-related macular degeneration for at least 12 months. All
patients underwent 3 injections of ranibizumab 1 month apart and were retreated
according to predefined criteria. The fixation parameters measured with
microperimetry were correlated to visual acuity, qualitative measures on optical
coherence tomography, and patterns of autofluorescence. RESULTS: The location of
fixation was predominantly central in 68.29%, poor central in 2.4%, and
predominantly eccentric in 29.27%. The fixation was stable in 80.5%, relatively
unstable in 7.3%, and unstable in 12.2%. The factors that determined central and
stable location of fixation were better visual acuity (P = .004), absence of
subretinal thickening (P = .003), intact subfoveal third hyperreflective band (P
= .006), and intact external limiting membrane (P = .036). Autofluorescence
pattern within the 4-degree circle of fovea did not correlate with fixation
characteristics. However, complete absence of autofluorescence in this area was a
poor prognostic indicator for central fixation. CONCLUSIONS: Anatomic
characteristics of the macula determine fixation patterns in patients with
neovascular age-related macular degeneration treated with intravitreal
ranibizumab injections. Further studies focused on eyes with complete absence of
autofluorescence in the central 4-degree circle of fovea may help to define the
disease characteristics in this group.
PMID- 22071231
TI - Incomplete posterior vitreous detachment: prevalence and clinical relevance.
AB - PURPOSE: To investigate the prevalence and clinical relevance of incomplete
posterior vitreous detachment (PVD). DESIGN: Prospective, observational cohort
study. METHODS: SETTING: Institutional. PATIENTS: Consecutive patients without
previous ocular history who were diagnosed with acute uncomplicated PVD.
OBSERVATIONS: Baseline kinetic ultrasound evaluation differentiated posterior
vitreous separation as complete or incomplete. Prospective follow-up searched for
complications related to PVD. Multivariate analysis evaluated associations of
baseline demographic and clinical characteristics to incomplete PVD. A Kaplan
Meier analysis evaluated the probability and its standard error of experiencing
an adverse outcome. The log-rank test determined whether incomplete PVD modifies
the natural history of PVD. MAIN OUTCOME MEASURES: Prevalence of incomplete PVD
and the estimated incidence of late adverse outcomes such as new retinal tears,
epimacular membranes, or both. RESULTS: A total of 54 of 207 patients had
incomplete PVD (prevalence, 26.1%). Younger age and lattice degeneration were
associated independently with incomplete PVD. After a mean follow-up of 5 years
(range, 4 to 8 years), 16 patients (9.7%) experienced some adverse outcome. In 5
patients (2.7%), new retinal tears and 1 retinal detachment developed. In 12
patients (7.6%), epimacular membranes developed. Patients with incomplete PVD at
baseline experienced significantly more adverse outcomes than patients with
complete PVD (Kaplan-Meier estimated probability and standard error, 19.2% and
0.061 vs 5.4% and 0.02; P = .01, log-rank test). CONCLUSIONS: Up to one fourth of
symptomatic, acute, and uncomplicated PVDs show incomplete posterior vitreous
separation. Delayed complications related to PVD, like retinal tears and
epimacular membranes, develop more frequently in patients showing incomplete PVD.
PMID- 22071232
TI - Classification of early dry-type myopic maculopathy with macular choroidal
thickness.
AB - PURPOSE: To compare the macular choroidal thickness in 2 types of early dry-type
myopic maculopathy. DESIGN: Prospective, observational, comparative study.
METHODS: Patients with a refractive error of less than -8 diopters were included
and were classified into 2 groups. Group 1 consisted of 24 eyes with a
tessellated fundus, and group 2 consisted of 33 eyes with diffuse chorioretinal
atrophy, but not to the extent of patchy chorioretinal atrophy. These 2 groups
were compared with regard to their clinical characteristics, refractive error,
axial length, macular choroidal thickness, and best-corrected visual acuity
(BCVA). Linear regression was used to evaluate the explanatory variables in terms
of macular choroidal thickness and BCVA. RESULTS: Patients in group 1 were
significantly younger and had better BCVA, less myopia, shorter axial length, and
less staphyloma than those in group 2. Refractive error, axial length, and BCVA
correlated significantly with macular choroidal thickness in group 2. However, no
such significant correlations were observed in group 1. Multiple linear
regression analysis showed that age and macular choroidal thickness were the
variables that associated most strongly with BCVA, whereas neither refractive
error nor axial length was a significant predictor of BCVA. In group 2, eyes with
lacquer cracks showed worse BCVA and thinner macular choroidal thickness than
eyes without lacquer cracks. CONCLUSIONS: Macular choroidal thickness is an
important factor in myopic maculopathy and can be a better indicator of its
severity. These findings suggest that BCVA reduction in eyes with dry-type myopic
maculopathy can be related to a thinner macular choroidal thickness and to the
development of lacquer cracks.
PMID- 22071233
TI - Malabsorption and nutritional balance in the ICU: fecal weight as a biomarker: a
prospective observational pilot study.
AB - INTRODUCTION: Malabsorption, which is frequently underdiagnosed in critically ill
patients, is clinically relevant with regard to nutritional balance and
nutritional management. We aimed to validate the diagnostic accuracy of fecal
weight as a biomarker for fecal loss and additionally to assess fecal
macronutrient contents and intestinal absorption capacity in ICU patients.
METHODS: This was an observational pilot study in a tertiary mixed medical
surgical ICU in hemodynamically stable adult ICU patients, without clinically
evident gastrointestinal malfunction. Fecal weight (grams/day), fecal energy (by
bomb calorimetry in kcal/day), and macronutrient content (fat, protein, and
carbohydrate in grams/day) were measured. Diagnostic accuracy expressed in terms
of test sensitivity, specificity, positive (PPV) and negative predictive value
(NPV), and receiver operator curves (ROCs) were calculated for fecal weight as a
marker for energy malabsorption. Malabsorption was a priori defined as < 85%
intestinal absorption capacity. RESULTS: Forty-eight patients (63 +/- 15 years;
58% men) receiving full enteral feeding were included. A cut-off fecal production
of > 350 g/day (that is, diarrhea) was linked to the optimal ROC (0.879), showing
a sensitivity and PPV of 80%, respectively. Specificity and NPV were both 96%.
Fecal weight (grams/day) and intestinal energy-absorption capacity were inversely
correlated (r = -0.69; P < 0.001). Patients with > 350 g feces/day had a
significantly more-negative energy balance compared with patients with < 350 g
feces/day (loss of 627 kcal/day versus neutral balance; P = 0.012). CONCLUSIONS:
A fecal weight > 350 g/day in ICU patients is a biomarker applicable in daily
practice, which can act as a surrogate for fecal energy loss and intestinal
energy absorption. Daily measurement of fecal weight is a feasible means of
monitoring the nutritional status of critically ill patients and, in those
identified as having malabsorption, can monitor responses to changes in dietary
management.
PMID- 22071234
TI - The use of routinely collected patient data for research: a critical review.
AB - Over recent years in the UK there has been growing interest in the potential for
routinely collected NHS (National Health Service) patient data to be used for
secondary purposes, facilitated by the potential of increasingly sophisticated
electronic databases. This article is based on a critically reflective literature
review which analyses the key debates pertaining to this issue. The work arose in
the context of a programme of research concerning routine patient data use in
neonatal care. The article includes analysis of commentary (opinion and ethical
inquiry) as well as empirically derived claims. It aims to deconstruct the
knowledge assumptions on which relevant research studies have been based or are
proposed and it also incorporates ontological position and moral argument.
Results are presented according to three predominant debates: the prevailing
claim that all health research benefits civic society; the varieties of informed
consent and choices open to patients regarding secondary uses of their data; and
the 'rights and responsibilities' of patients when it comes to their data being
used for research purposes. It examines the relevance of these themes
specifically to the neonatal context and the implications for our own research,
concluding that employing an alternative ethical model to the traditional
professional one might be useful in order to provide a further perspective on the
issue.
PMID- 22071235
TI - Is elevation of the serum beta-d-glucan level a paradoxical sign for trichosporon
fungemia in patients with hematologic disorders?
AB - The detection of serum 1,3-beta-d-glucan (BDG) has been reported to be useful for
the diagnosis and therapeutic monitoring of various invasive fungal infections.
Although Trichosporon fungemia is increasingly recognized as a fatal mycosis in
immunocompromised patients, the utility of this assay for Trichosporon fungemia
is still unknown. In our experience (28 cases), the level of BDG rose in about
half of the patients with hematologic disorders who developed Trichosporon
fungemia. Among them, early death from this infection was more frequently seen in
BDG-negative patients than in BDG-positive patients. In addition, overall
survival was also significantly worse in BDG-negative patients than in BDG
positive patients. There were no significant differences between these two
patient groups in terms of clinical background. Unlike for other invasive fungal
infections, elevation of BDG level may indicate a paradoxical sign for
Trichosporon fungemia in patients with hematologic disorders.
PMID- 22071236
TI - Tolerability of dipeptidyl peptidase-4 inhibitors: a review.
AB - BACKGROUND: Oral glucose-lowering agents are used to treat patients with type 2
diabetes mellitus (T2DM). Most patients require multiple agents to maintain
glycemic targets. Dipeptidyl peptidase-4 (DPP-4) inhibitors are administered as
monotherapy and in combination therapy for the treatment of T2DM. OBJECTIVE: The
aim of this article was to provide a thorough review of published tolerability
data on 5 DPP-4 inhibitors. METHODS: PubMed and Web of Science were searched for
English-language clinical trials published from January 2000 to June 2001, using
the following key words: dipeptidyl peptidase-4 inhibitor, vildagliptin,
alogliptin, sitagliptin, saxagliptin, linagliptin, safety, tolerability,
efficacy, effect, AE, and adverse effect. Studies were considered for inclusion
if they were randomized, double-blind trials performed in patients >=18 years of
age with T2DM and with a hemoglobin A(1c) of >=6.5%; included >=1 arm that
received monotherapy with DPP-4; and reported adverse events (AEs). Studies in
patients with a history of type 1 or secondary forms of diabetes, significant
diabetic complications or cardiovascular disease within the 6 months before the
start of the study, hepatic disease or abnormalities, and/or renal abnormalities
were excluded. RESULTS: A total of 45 clinical trials, 5 pharmacokinetic studies,
and 28 meta-analyses or reviews were included. The duration of studies ranged
from 7 days to 104 weeks. The most commonly reported AEs were nasopharyngitis,
upper respiratory infections, all-cause infections, headache, gastrointestinal
symptoms, and musculoskeletal pain. Based on the findings from the studies, the
DPP-4 inhibitors had minimal impact on weight and were not associated with an
increased risk for hypoglycemia relative to placebo. Rates of nasopharyngitis
were higher with the DDP-4 inhibitors than with placebo. Pancreatitis was
reported at lower rates with the DPP-4 inhibitors compared with other oral
antihyperglycemic agents. Cardiovascular events were limited, and postmarketing
studies are ongoing. CONCLUSIONS: The tolerability of DPP-4 inhibitors is
supported by published clinical trials. The rates of weight gain,
gastrointestinal AEs, and hypoglycemia were minimal with the DPP-4 inhibitors
studied.
PMID- 22071237
TI - The economic impact and cost-effectiveness of urinary neutrophil gelatinase
associated lipocalin after cardiac surgery.
AB - BACKGROUND: Acute kidney injury (AKI) is common after cardiac surgery, and
expeditious recognition with specific biomarkers may help improve outcome.
OBJECTIVE: Because the economic impact of a biomarker-based diagnostic strategy
is unknown, we assessed the cost-effectiveness of using urinary neutrophil
gelatinase-associated lipocalin (NGAL) for the diagnosis of AKI after cardiac
surgery compared with current diagnostic methods. METHODS: A decision analysis
model was developed using the societal perspective to evaluate the cost
effectiveness of NGAL. Cost per quality-adjusted life-year (QALY) was determined
for NGAL and standard strategies. The base case was a 67-year-old male patient
undergoing coronary artery bypass graft surgery in the United Kingdom. Multiple
sensitivity analyses were performed to determine how cost-effectiveness would
vary with changes in the underlying clinical and economic variables. RESULTS: The
base case yielded expected costs of L4244 and 11.86 QALYs for the NGAL strategy
compared with L4672 and 11.79 QALYs for the standard therapy. The cost
effectiveness ratio for the NGAL strategy was L358/QALY compared with L396/QALY
for the standard regimen. Cost-effectiveness increased as the treatment effect
defined as the ability to prevent progression of established AKI (kidney injury
or failure)-for the therapy triggered by an elevated NGAL level rose. Sensitivity
analysis demonstrated that the model was most responsive to the probability of
developing AKI and least sensitive to the test cost for NGAL. Probabilistic
sensitivity analysis supported the NGAL strategy as the most cost-effective
option. Because this study was a decision analysis model incorporating a
nonspecific treatment for AKI (as opposed to an observational study or controlled
trial), model structural assumptions may therefore have underestimated mortality
and the likelihood of developing AKI, although these were tested in multiple
sensitivity analyses. Indirect costs were also not explicitly factored.
CONCLUSION: The use of urinary NGAL after cardiac surgery appears to be cost
effective in the early diagnosis of AKI.
PMID- 22071238
TI - Azilsartan medoxomil: a new Angiotensin receptor blocker.
AB - BACKGROUND: Azilsartan medoxomil is an angiotensin receptor blocker, approved on
February 25, 2011 by the US Food and Drug Administration (FDA) for hypertension
management. OBJECTIVE: The purpose of this study was to review the pharmacology,
pharmacokinetics, efficacy, safety profile, and role of azilsartan for
hypertension management. METHODS: Peer-reviewed clinical trials, review articles,
and relevant treatment guidelines were identified from MEDLINE and Current
Contents (both 1966 to August 31, 2011) using the search terms azilsartan, TAK
491, TAK-536, pharmacology, pharmacokinetics, pharmacodynamics,
pharmacoeconomics, and cost-effectiveness. The FDA Web site and manufacturer
prescribing information were also reviewed to identify other relevant
information. RESULTS: Compared with olmesartan 40 mg daily, azilsartan 80 mg
reduced mean systolic blood pressure (SBP) by an additional 2.1 mm Hg (P =
0.038), whereas azilsartan 40 mg was noninferior to olmesartan 40 mg. Azilsartan
40 mg or 80 mg added to chlorthalidone 25 mg daily significantly reduced SBP to a
greater extent than did chlorthalidone alone (P < 0.05), but there was no
difference between azilsartan 40 mg and 80 mg (40 mg: -31.72 mm Hg; 80 mg: -31.3
mm Hg [P > 0.05]). When coadministered with amlodipine 5 mg daily, both
azilsartan 40 mg and 80 mg + amlodipine decreased SBP significantly more than
amlodipine alone (amlodipine: -13.6 mm Hg; with azilsartan 40 mg: -24.79 mm Hg;
with azilsartan 80 mg: -24.51 mm Hg [P < 0.05]). Compared with ramipril 10 mg
daily, both azilsartan 40 mg and 80 mg resulted in significantly (P < 0.001)
greater reductions in mean SBP (-20.63 and -21.24 mm Hg, respectively; ramipril:
12.22 mm Hg). The most common adverse events reported were dizziness (4%),
dyslipidemia (3.3%), and diarrhea (2%). CONCLUSIONS: At the recommended dose of
80 mg once daily, azilsartan is reported to be an efficacious BP-lowering agent.
With once-daily dosing and a favorable side-effect profile, azilsartan is an
attractive option for the treatment of hypertension. There is a lack of data
supporting the use of azilsartan for improvement in cardiovascular outcomes;
therefore, azilsartan is not approved for indications other than the treatment of
hypertension.
PMID- 22071239
TI - Markers of extracellular matrix turnover and the development of right ventricular
failure after ventricular assist device implantation in patients with advanced
heart failure.
AB - BACKGROUND: Cardiac extracellular matrix (ECM) is a dynamic and metabolically
active collagenous network that responds to mechanical strain. The association
between ECM turnover and right ventricular failure (RVF) development after left
ventricular assist device (LVAD) implantation in patients with advanced heart
failure (HF) was investigated. METHODS: Circulating levels of osteopontin,
metalloproteinases (MMP)-2 and MPP-9, and tissue inhibitor of MMP (TIMP)-1 and
TIMP-4 were measured in 61 patients at LVAD implantation and explantation and in
10 control subjects. RVF was defined as the need for RVAD, nitric oxide
inhalation > 48 hours and/or inotropic support > 14 days. RESULTS: All ECM
markers were elevated in patients with HF compared with controls (all p < 0.05).
RVF developed in 23 patients (37.7%) on LVAD support. All ECM markers decreased
on LVAD support in patients without RVF (all p < 0.05), but serum MMP-2, TIMP-1,
TIMP-4, and osteopontin remained elevated in RVF patients. Multivariate analysis
identified that right ventricular stroke work index (RVSWI), circulating B-type
natriuretic peptide, and osteopontin were associated with RVF (all p < 0.05).
Osteopontin correlated inversely with RVSWI (r = -0.44, p < 0.001). Osteopontin
levels > 260 ng/ml discriminate patients who develop RVF from those without RVF
(sensitivity, 83%; specificity, 82%). CONCLUSIONS: Marked elevation of
osteopontin levels before LVAD placement is associated with RVF development.
Persistent elevation of circulating ECM markers after LVAD implantation
characterizes patients who develop RVF. These novel biomarkers would have a
potential role in the prediction of RVF development in patients undergoing LVAD
implantation.
PMID- 22071240
TI - Relationship of right- and left-sided filling pressures in patients with advanced
heart failure: a 14-year multi-institutional analysis.
AB - BACKGROUND: Jugular venous pressure (JVP) is assessed to estimate volume status
in patients with heart failure because right atrial pressure (RAP) reflects
pulmonary capillary wedge pressure (PCWP). In a large cohort of heart failure
patients spanning 14 years, we sought to further characterize the relationship
between RAP and PCWP, including identifying temporal trends, to optimize
estimates of PCWP by JVP. We also sought to determine whether the RAP to PCWP
relationship impacts post-transplant mortality. METHODS: Hemodynamic data were
obtained from 4,079 patients before cardiac transplantation. Elevated RAP was
defined as >=10 mm Hg and elevated PCWP >=22 mm Hg. Hemodynamics were
"concordant" when both RAP and PCWP were elevated or when both were not elevated.
The frequency of concordant hemodynamics was assessed over 3 eras (1993 to 1997,
1998 to 2002, 2003 to 2007). Baseline characteristics were compared among
quartiles of the ratio (RAP+1)/PCWP. The association of (RAP+1)/PCWP with 2-year
mortality after cardiac transplantation was assessed using multivariate models.
RESULTS: The frequency of concordant hemodynamics over time was stable (74%, 72%,
73%; p = 0.4). Increasing (RAP+1)/PCWP was associated with the following
variables: female gender; cardiomyopathy etiology besides ischemic or non
ischemic; prior sternotomies; and lower creatinine clearance (p < 0.01 for all).
Elevated (RAP+1)/PCWP was associated with post-transplant mortality (relative
risk 1.2, 95% confidence interval 1.02 to 1.37, p = 0.02). CONCLUSIONS:
[corrected] RAP and PCWP remain concordant in most heart failure patients,
supporting the ongoing use of JVP to estimate PCWP. Easily identifiable patient
characteristics were associated with an increased RAP/PCWP ratio, and their
presence should alert clinicians that PCWP may be overestimated by JVP
assessment. A higher RAP/PCWP ratio was an adverse risk factor for post-cardiac
transplant survival.
PMID- 22071241
TI - Denitrification capacity of a landfilled refuse in response to the variations of
COD/NO3--N in the injected leachate.
AB - Effects of different chemical oxygen demand (COD) to nitrate concentration ratios
in the injected leachate on the denitrification capacity of landfilled municipal
solid waste were evaluated. Results showed that the 6-year-old refuse possessed
high denitrification capacity. The nitrate reduction rate increased with the
increasing COD concentration in the injected leachate. When the initial COD
concentration increased to 6500 mg l(-1), nitrate reduction rate could reach up
to 6.85 mg NO3--N l(-1) h(-1). At the initial biodegradable COD/NO3--N ratio
lower than the stoichiometric ratio of heterotrophic denitrification, autotrophic
bacteria was the dominant microbial communities for denitrification. With the
increase of COD/NO3--N ratio, the primary functional denitrifier would shift from
autotrophic Thiobacillus denitrificans to heterotrophic Azoarcus tolulyticus.
These results suggested that the initial biodegradable COD/NO3--N ratio in the
injected leachate should be adjusted to higher than 6.0 for rapid in situ
denitrification of 500 mg NO3--Nl(-1).
PMID- 22071242
TI - Exploiting metagenomic diversity for novel polyhydroxyalkanoate synthases:
production of a terpolymer poly(3-hydroxybutyrate-co-3-hydroxyhexanoate-co-3
hydroxyoctanoate) with a recombinant Pseudomonas putida strain.
AB - A metagenomic library of 2.1*10(6) clones was constructed using oil-contaminated
soil from Gujarat (India). One of the fosmid clones, 40N22, encodes a
polyhydroxyalkanoate synthase showing 76% identity with an Alcaligenes sp.
synthase. The corresponding gene was expressed in Pseudomonas putida KT2440
DeltaphaC1 which is impaired in PHA production. The gene conferred the
recombinant strain PpKT-40N22 with the ability to produce copolymers with up to
21% in medium-chain-length content. Thus, 37% and 45% of poly(3-hydroxybutyrate
co-3-hydroxyvalerate) and poly(3-hydroxybutyrate-co-3-hydroxyhexanoate-co-3
hydroxyoctanoate), respectively were obtained when using sodium heptanoate and
oleic acid as carbon sources. These 3-hydroxybutyrate-(3HB)-based polymers are of
interest since they incorporate the properties of medium chain length polymers
and thus increase the range of applications of PHAs.
PMID- 22071243
TI - Treatment of tetracycline antibiotics by laccase in the presence of 1
hydroxybenzotriazole.
AB - Tetracycline antibiotics are widely used in human and veterinary medicine;
however, residual amounts of these antibiotics in the environment are of concern
since they could contribute to selection of resistant bacteria. In this study,
tetracycline (TC), chlortetracycline (CTC), doxycycline (DC) and oxytetracycline
(OTC) were treated with laccase from the white rot fungus Trametes versicolor in
the presence of the redox mediator 1-hydroxybenzotriazole (HBT). High performance
liquid chromatography demonstrated that DC and CTC were completely eliminated
after 15 min, while TC and CTC were eliminated after 1 h. This system also
resulted in a complete loss of inhibition of growth of Escherichia coli and
Bacillus subtilis and the green alga Pseudokirchneriella subcapitata with
decreasing tetracycline antibiotic concentration. These results suggest that the
laccase-HBT system is effective in eliminating tetracycline antibiotics and
removing their ecotoxicity.
PMID- 22071244
TI - Effects of initial lactic acid concentration, HRTs, and OLRs on bio-hydrogen
production from lactate-type fermentation.
AB - A batch test and continuous operation were performed to identify the effect of
lactate on hydrogen production at pH 4.5. When the initial lactic acid
concentration was increased from 0 to 8 g/L in the batch test, the hydrogen yield
also increased from 1.41 to 1.72 mol-H2/mol-glucose. The system exhibited a long
lag time and an insignificant hydrogen yield with 16 g-lactic acid/L. A
continuous stirred tank reactor (CSTR) was operated at different organic loading
rates (OLRs: 10, 15, 20 and 40 g/L/day) and hydraulic retention times (HRTs: 6,
12 and 24 h). At an OLR of 20 g-glucose/L/day and 12 h of HRT, the hydrogen yield
was 1.2 mol-H2/mol-glucose. The yield decreased with a 24 h HRT. Even though
lactate was one of the major constituents of volatile fatty acids (VFAs),
hydrogen production was feasible throughout the operation. Clostridium sp. was
the dominant hydrogen-producing bacteria in the system.
PMID- 22071245
TI - In the arms of Morpheus.
PMID- 22071246
TI - The betaine-GABA transporter (BGT1, slc6a12) is predominantly expressed in the
liver and at lower levels in the kidneys and at the brain surface.
AB - The Na(+)- and Cl(-)-dependent GABA-betaine transporter (BGT1) has received
attention mostly as a protector against osmolarity changes in the kidney and as a
potential controller of the neurotransmitter GABA in the brain. Nevertheless, the
cellular distribution of BGT1, and its physiological importance, is not fully
understood. Here we have quantified mRNA levels using TaqMan real-time PCR,
produced a number of BGT1 antibodies, and used these to study BGT1 distribution
in mice. BGT1 (protein and mRNA) is predominantly expressed in the liver
(sinusoidal hepatocyte plasma membranes) and not in the endothelium. BGT1 is also
present in the renal medulla, where it localizes to the basolateral membranes of
collecting ducts (particularly at the papilla tip) and the thick ascending limbs
of Henle. There is some BGT1 in the leptomeninges, but brain parenchyma, brain
blood vessels, ependymal cells, the renal cortex, and the intestine are virtually
BGT1 deficient in 1- to 3-mo-old mice. Labeling specificity was assured by
processing tissue from BGT1-deficient littermates in parallel as negative
controls. Addition of 2.5% sodium chloride to the drinking water for 48 h induced
a two- to threefold upregulation of BGT1, tonicity-responsive enhancer binding
protein, and sodium-myo-inositol cotransporter 1 (slc5a3) in the renal medulla,
but not in the brain and barely in the liver. BGT1-deficient and wild-type mice
appeared to tolerate the salt treatment equally well, possibly because betaine is
one of several osmolytes. In conclusion, this study suggests that BGT1 plays its
main role in the liver, thereby complementing other betaine-transporting carrier
proteins (e.g., slc6a20) that are predominantly expressed in the small intestine
or kidney rather than the liver.
PMID- 22071247
TI - A preclinical assessment of d.l-govadine as a potential antipsychotic and
cognitive enhancer.
AB - Tetrahydroprotoberberines (THPBs) are compounds derived from traditional Chinese
medicine and increasing preclinical evidence suggests efficacy in treatment of a
wide range of symptoms observed in schizophrenia. A receptor-binding profile of
the THPB, d.l-govadine (d.l-Gov), reveals high affinity for dopamine and
noradrenaline receptors, efficacy as a D2 receptor antagonist, brain penetrance
in the 10-300 ng/g range, and thus motivated an assessment of the antipsychotic
and pro-cognitive properties of this compound in the rat. Increased dopamine
efflux in the prefrontal cortex and nucleus accumbens, measured by microdialysis,
is observed following subcutaneous injection of the drug. d.l-Gov inhibits both
conditioned avoidance responding (CAR) and amphetamine-induced locomotion (AIL)
at lower doses than clozapine (CAR ED50: d.l-Gov 0.72 vs. clozapine 7.70 mg/kg;
AIL ED50: d.l-Gov 1.70 vs. clozapine 4.27 mg/kg). Catalepsy is not detectable at
low biologically relevant doses, but is observed at higher doses. Consistent with
previous reports, acute d-amphetamine disrupts latent inhibition (LI) while a
novel finding of enhanced LI is observed in sensitized animals. Treatment with
d.l-Gov prior to conditioned stimulus (CS) pre-exposure restores LI to levels
observed in controls in both sensitized animals and those treated acutely with d
amphetamine. Finally, possible pro-cognitive properties of d.l-Gov are assessed
with the spatial delayed win-shift task. Subcutaneous injection of 1.0 mg/kg d.l
Gov failed to affect errors at a 30-min delay, but decreased errors observed at a
12-h delay. Collectively, these data provide the first evidence that d.l-Gov may
have antipsychotic properties in conjunction with pro-cognitive effects, lending
further support to the hypothesis that THPBs are a class of compounds which merit
serious consideration as novel treatments for schizophrenia.
PMID- 22071248
TI - Effectiveness and cost-effectiveness of first BCG vaccination against
tuberculosis in school-age children without previous tuberculin test (BCG-REVAC
trial): a cluster-randomised trial.
AB - BACKGROUND: Neonatal BCG vaccination is part of routine vaccination schedules in
many developing countries; vaccination at school age has not been assessed in
trials in low-income and middle-income countries. Catch-up BCG vaccination of
school-age children who missed neonatal BCG vaccination could be indicated if it
confers protection and is cost-effective. We did a cluster-randomised trial (BCG
REVAC) to estimate the effectiveness (efficacy given in routine settings) of
school-age vaccination. METHODS: We assessed the effectiveness of BCG vaccination
in school-age children (aged 7-14 years) with unknown tuberculin status who did
not receive neonatal BCG vaccination (subpopulation of the BCG REVAC cluster
randomised trial), between July, 1997, and June, 2006, in Salvador, Brazil, and
between January, 1999, and December, 2007, in Manaus, Brazil. 763 schools were
randomly assigned into BCG vaccination group or a not-vaccinated control group.
Neither allocation nor intervention was concealed. Incidence of tuberculosis was
the primary outcome. Cases were identified via the Brazilian Tuberculosis Control
Programme. Study staff were masked to vaccination status when identified cases
were linked to the study population. We estimated cost-effectiveness in Salvador
by comparison of the cost for vaccination to prevent one case of tuberculosis
(censored at 9 years) with the average cost of treating one case of tuberculosis.
Analysis of all included children was by intention to treat. For calculation of
the incidence rate we used generalised estimating equations and correlated
observations over time. FINDINGS: We randomly assigned 20,622 children from 385
schools to the BCG vaccination group and 18,507 children from 365 schools to the
control group. The crude incidence of tuberculosis was 54.9 (95% CI 45.3-66.7)
per 100,000 person-years in the BCG vaccination group and 72.7 (62.8-86.8) per
100,000 person-years in the control group. The overall vaccine effectiveness of a
first BCG vaccination at school age was 25% (3-43%). In Salvador, where vaccine
effectiveness was 34% (8-53%), vaccination of 381 children would prevent one case
of tuberculosis and was cheaper than treatment. The frequency of adverse events
was very low with only one axillary lymphadenitis and one ulcer greater than 1 cm
in 11,980 BCG vaccinations. INTERPRETATION: Vaccination of school-age children
without previous tuberculin testing can reduce the incidence of tuberculosis and
could reduce the costs of tuberculosis control. Restriction of BCG vaccination to
the first year of life is not in the best interests of the public nor of
programmes for tuberculosis control. FUNDING: UK Department for International
Development, National Health Foundation.
PMID- 22071249
TI - Immunogenicity of supplemental doses of poliovirus vaccine for children aged 6-9
months in Moradabad, India: a community-based, randomised controlled trial.
AB - BACKGROUND: The continued presence of polio in northern India poses challenges to
the interruption of wild poliovirus transmission and the management of poliovirus
risks in the post-eradication era. We aimed to assess the current immunity
profile after routine doses of trivalent oral poliovirus vaccine (OPV) and
numerous supplemental doses of type-1 monovalent OPV (mOPV1), and compared the
effect of five vaccine formulations and dosages on residual immunity gaps.
METHODS: We did a community-based, randomised controlled trial of healthy infants
aged 6-9 months at ten sites in Moradabad, India. Serum neutralising antibody was
measured before infants were randomly assigned to a study group and given
standard-potency or higher-potency mOPV1, intradermal fractional-dose inactivated
poliovirus vaccine (IPV, GlaxoSmithKline), or intramuscular full-dose IPV from
two different manufacturers (GlaxoSmithKline or Panacea). Follow-up sera were
taken at days 7 and 28. Our primary endpoint was an increase of more than four
times in antibody titres. We did analyses by per-protocol in children with a
blood sample available before, and 28 days after, receiving study vaccine (or who
completed study procedures). This trial is registered with Current Controlled
Trials, number ISRCTN90744784. FINDINGS: Of 1002 children enrolled, 869 (87%)
completed study procedures (ie, blood sample available at day 0 and day 28). At
baseline, 862 (99%), 625 (72%), and 418 (48%) had detectable antibodies to
poliovirus types 1, 2, and 3, respectively. In children who were type-1
seropositive, an increase of more than four times in antibody titre was detected
28 days after they were given standard-potency mOPV1 (5/13 [38%]), higher-potency
mOPV1 (6/21 [29%]), intradermal IPV (9/16 [56%]), GlaxoSmithKline intramuscular
IPV (19/22 [86%]), and Panacea intramuscular IPV (11/13 [85%]). In those who were
type-2 seronegative, 42 (100%) of 42 seroconverted after GlaxoSmithKline
intramuscular IPV, and 24 (59%) of 41 after intradermal IPV (p<0.0001). 87 (90%)
of 97 infants who were type-3 seronegative seroconverted after intramuscular IPV,
and 21 (36%) of 49 after intradermal IPV (p<0.0001). INTERPRETATION: Supplemental
mOPV1 resulted in almost total seroprevalence against poliovirus type 1, which is
consistent with recent absence of poliomyelitis cases; whereas seroprevalence
against types 2 and 3 was expected for routine vaccination histories. The
immunogenicity of IPV produced in India (Panacea) was similar to that of an
internationally manufactured IPV (GSK). Intradermal IPV was less immunogenic.
PMID- 22071250
TI - Inactivated polio vaccine and global polio eradication.
PMID- 22071251
TI - New studies of BCG: implications for tuberculosis vaccines.
PMID- 22071252
TI - An effort to discover the preferred conformation of the potent AMG3 cannabinoid
analog when reaching the active sites of the cannabinoid receptors.
AB - Most of current 3D-QSAR algorithms use alignments of compounds at the training
set based on reference active ligands in the first step of the construction of
the pharamacophore modeling. This first step mostly defines the success of
constructed pharmacophore models. In this step, it is essential to find the
bioactive conformation for solid and reliable 3D-QSAR models. Therefore, we have
proceeded through different approaches for revealing the preferred conformations
of Delta(8)-THC derivative AMG-3 at CB1 and CB2 receptors. In the first approach,
we have applied conformational search methods in gas and in solvent phases for
the ligand. The derived low energy conformers using these methodologies have been
modeled through 3D-QSAR studies (first generation model). In the second approach,
the low energy conformers derived from molecular docking studies have been used
as input for 3D-QSAR studies (second generation model). In the current study, a
new approach using MD calculations in a simulated biological environment, thus
the CB receptors surrounded by a lipid bilayer environment has been used (third
generation). The obtained results for different environments were compared and
the approach deriving the highest statistic results was used for the generation
of the novel AMG3 analogs for optimal and selective binding affinities at CB1 and
CB2 receptors by the de novo drug design modeling.
PMID- 22071253
TI - Synthesis, crystal structure and pharmacological evaluation of two new Cu(II)
complexes of 2-oxo-1,2-dihydroquinoline-3-carbaldehyde (benzoyl) hydrazone: a
comparative investigation.
AB - Two new copper(II) complexes have been synthesized by reacting 2-oxo-1,2
dihydroquinoline-3-carbaldehyde (benzoyl) hydrazone (H(2)L) with CuCl(2).2H(2)O
or Cu(NO(3))(2).3H(2)O. The structures of the complexes have been determined by
single crystal X-ray diffraction studies. Results obtained using spectroscopic
methods strongly suggested that the ligand and its Cu(II) complexes could
interact with calf thymus DNA through intercalation. In the case of protein
binding, the obtained results indicated that all the three compounds could quench
the intrinsic fluorescence of bovine serum albumin through static quenching
process. In addition, antioxidant activity tests showed that H(2)L and its
copper(II) complexes possess significant scavenging effect against free radicals.
Further, the two copper(II) complexes exhibited effective cytotoxic activity
against a panel of human cancer cell lines.
PMID- 22071254
TI - Synthesis, anti-inflammatory activity and molecular docking studies of 2,5
diarylfuran amino acid derivatives.
AB - A series of 2,5-diaryl substituted furans functionalized with several amino acids
were synthesized and evaluated as the cyclooxygenases COX-1 and COX-2 enzymes
inhibitors. The proline-substituted compound inhibited PGE(2) secretion by LPS
stimulated neutrophils, suggesting selectivity for COX-2. Molecular docking
studies in the binding site of COX-2 were performed.
PMID- 22071256
TI - 1,2,3-Triazole tethered beta-lactam-chalcone bifunctional hybrids: synthesis and
anticancer evaluation.
AB - The manuscript describes the synthesis of novel 1,2,3-triazole tethered beta
lactam-chalcone bifunctional hybrids via click chemistry approach utilizing azide
alkyne cycloaddition reactions and their evaluation as anticancer agents against
four human cancer cell lines. The presence of a cyclohexyl substituent at N-1 of
beta-lactam ring and methoxy substituents, preferably ortho on ring A and para on
ring B on chalcones markedly improved the anticancer profiles of the synthesized
scaffolds with the most potent of the test compound exhibiting an IC(50) value of
<1, 67.1, <1 and 6.37 MUM against A-549(lung), PC-3(prostate), THP-1(leukemia),
and Caco-2(colon) cell lines, respectively.
PMID- 22071255
TI - Molecular mechanism of serotonin transporter inhibition elucidated by a new
flexible docking protocol.
AB - The two main groups of antidepressant drugs, the tricyclic antidepressants (TCAs)
and the selective serotonin reuptake inhibitors (SSRIs), as well as several other
compounds, act by inhibiting the serotonin transporter (SERT). However, the
binding mode and molecular mechanism of inhibition in SERT are not fully
understood. In this study, five classes of SERT inhibitors were docked into an
outward-facing SERT homology model using a new 4D ensemble docking protocol.
Unlike other docking protocols, where protein flexibility is not considered or is
highly dependent on the ligand structure, flexibility was here obtained by side
chain sampling of the amino acids of the binding pocket using biased probability
Monte Carlo (BPMC) prior to docking. This resulted in the generation of multiple
binding pocket conformations that the ligands were docked into. The docking
results showed that the inhibitors were stacked between the aromatic amino acids
of the extracellular gate (Y176, F335) presumably preventing its closure. The
inhibitors interacted with amino acids in both the putative substrate binding
site and more extracellular regions of the protein. A general structure-docking
based pharmacophore model was generated to explain binding of all studied classes
of SERT inhibitors. Docking of a test set of actives and decoys furthermore
showed that the outward-facing ensemble SERT homology model consistently and
selectively scored the majority of active compounds above decoys, which indicates
its usefulness in virtual screening.
PMID- 22071257
TI - Novel octahedral Pt(IV) complex with di-n-propyl-(S,S)-ethylenediamine-N,N'-di-2
(3-cyclohexyl)propanoato ligand exerts potent immunomodulatory effects.
AB - We have recently reported that a novel octahedral Pt(IV) complex with di-n-propyl
(S,S)-ethylenediamine-N,N'-di-2-(3-cyclohexyl)propanoato ligand has a potent
cytotoxic effect on glioma, melanoma and fibrosarcoma cell lines. In this work,
we investigated the influence of the Pt(IV) compound on immune cells. We
determined its effect on the viability of spleen cells and lymph node cells and
on their capability to produce interferon (IFN)-gamma and interleukin (IL)-17.
Also, we researched the compound's impact on peritoneal macrophages and
generation of NO in these cells. Our results show that the complex has limited
influence on cell viability of immune cells, but profound inhibitory effect on
the production of examined immune mediators. These results are valuable as they
show that the novel Pt(IV) complex applied in concentrations which are effective
against tumor cells do not affect immune cell viability. Moreover, they also
imply that the complex has immunomodulatory properties.
PMID- 22071258
TI - Effect of inorganic and organic ligands on the sorption/desorption of arsenate
on/from Al-Mg and Fe-Mg layered double hydroxides.
AB - This paper describes the sorption of arsenate on Al-Mg and Fe-Mg layered double
hydroxides as affected by pH and varying concentrations of inorganic and organic
ligands, and the effect of residence time on the desorption of arsenate by
ligands. The capacity of ligands to inhibit the fixation of arsenate followed the
sequence: nitrate=1:2) in the first 4 h and compared to patients receiving a lower ratio.
Outcomes studied were associations with mortality, hours in the intensive care
unit and hours of mechanical ventilation. RESULTS: Of 4164 eligible patients, 374
received a massive transfusion and 179 (49.7%) patients who did not have
coagulopathy were included for analysis. There were 66 patients who received a
high ratio of FFP:PRBC, and were similar in demographics and presentation to 113
patients who received a lower ratio. There was no significant difference in
mortality between the two groups (p=0.80), and the FFP:PRBC ratio was not
significantly associated with mortality, ICU length of stay or mechanically
ventilated hours. CONCLUSIONS: A small proportion of major trauma patients
received a massive blood transfusion in the absence of acute traumatic
coagulopathy. Aggressive FFP transfusion in this group of patients was not
associated with significantly improved outcomes. FFP transfusion carries inherent
risks with substantial costs and the population most likely to benefit from a
high FFP:PRBC ratio needs to be clearly defined.
PMID- 22071286
TI - The potential of biodetoxification activity as a probiotic property of
Lactobacillus reuteri.
AB - Previous work on the metabolism of Lactobacillus reuteri ATCC 55730 anticipated a
variability in the use of organic electron acceptors as a means to relieve
metabolic redox problems. Therefore, investigations focusing on this unique
metabolism of L. reuteri may reveal a basis for new probiotic properties. For
instance, L. reuteri may use reactive aldehydes and ketones as electron acceptors
to balance their redox metabolism, which opens the possibility to exploit this
bacterium for in vivo bioreduction of deleterious compounds in the
gastrointestinal tract (GIT). Herein we demonstrate that L. reuteri ATCC 55730
cultures on glucose are able to use furfural (1g/L), and hydroxymethylfurfural
(HMF) (0.5g/L), as electron acceptors. The former enhances the growth rate by
about 25% and biomass yield by 15%, whereas the latter is inhibitory. Furfural is
stoichiometrically reduced to furfuryl alcohol by the culture. The conversion of
furfural had no effect on the flux distribution between the simultaneously
operating phosphoketolase and Embden-Meyerhof pathways, but initiated a flux to
acetate production. In addition to furfural and HMF, cellular extracts showed
potential to reoxidize NADH and/or NADPH with acrolein, crotonaldehyde, and
diacetyl, indicating that conversion reactions take place intracellularly,
however, utilization mechanisms for the latter compounds may not be present in
this strain. The strain did not reduce other GIT-related reactive compounds,
including acrylamide, glyoxal, and furan.
PMID- 22071287
TI - A rapid procedure for the detection and isolation of enterohaemorrhagic
Escherichia coli (EHEC) serogroup O26, O103, O111, O118, O121, O145 and O157
strains and the aggregative EHEC O104:H4 strain from ready-to-eat vegetables.
AB - Human infections with Enterohaemorrhagic Escherichia coli strains (EHEC) as
agents of Haemorrhagic Colitis (HC) and Haemolytic Uraemic Syndrome (HUS) are
frequently associated with the consumption of EHEC contaminated foodstuffs of
different origins. EHEC O26, O103, O111, O118, O121, O145 and O157 strains are
responsible for the majority of HC and HUS cases worldwide. In May 2011, the
emerging aggregative EHEC O104:H4 strain caused a large outbreak with high HUS
incidence in northern Germany. Contaminated sprouted seeds were suspected to be
the vehicles of transmission. The examination of vegetables retailed for raw
consumption revealed low numbers of E. coli (<100 cfu/g) together with high
titres of Enterobacteriaceae and Pseudomonas (approx. 5.6 * 107 cfu/g). Specific
methods of EHEC detection adapted to vegetables are not yet published. Therefore,
we have developed a rapid and sensitive method for detecting low EHEC
contamination in vegetables (1-10 cfu/25 g) with artificially EHEC contaminated
ready-to-eat salads. A 6-hour enrichment period in BRILA-broth was sufficient to
detect 1-10 EHEC from spiked samples after plating 0.1 ml portions of enrichment
culture on selective TBX-agar and CHROMagar STEC plates that were incubated at 44
degrees C overnight. Unlike EHEC strains, the growth of bacteria of the plant
flora was substantially inhibited at 44 degrees C. DNA for real-time PCR
detection of EHEC characteristic genes (stx(1), stx(2), eae, ehxA, and O-antigen
associated) was prepared with bacteria grown on TBX-agar plates. The storage of
EHEC inoculated salad samples for 72 h at 6 degrees C resulted in a significant
reduction (mean value 14.6%) of detectable EHEC, suggesting interference of EHEC
with the resident plant microflora. CHROMagar STEC was evaluated as a selective
medium for the detection of EHEC strains. Growth on CHROMagar STEC was closely
associated with EHEC O26:[H11], O111:[H8], O118:H16, O121:[H19], O145:[H28],
O157:[H7] and aggregative EHEC O104:H4 strains and with the presence of the terB
gene (tellurite resistance). TerB sequences were found in 87.2% of 235 EHEC but
only in only 12.5% of 567 non-EHEC strains. EHEC strains which did not grow on
CHROMagar STEC were negative for terB as frequently observed with EHEC O103:H2
(52.9%) and sorbitol-fermenting O157:NM strains (100%). The enrichment and
detection method was applied in the examination of sprouted seeds incriminated as
vehicles in the EHEC O104:H4 outbreak in Germany. Aggregative EHEC O104:H4 could
be detected and isolated from a sample of sprouted seeds which was suspected as
vector of transmission of EHEC O104 to humans.
PMID- 22071288
TI - Antimicrobial resistance and resistance genes in Escherichia coli strains
isolated from commercial fish and seafood.
AB - The purpose of this study was to investigate the antimicrobial resistance and to
characterize the implicated genes in Escherichia coli isolated from commercial
fish and seafood. Fish and seafood samples (n=2663) were collected from wholesale
and retail markets in Seoul, Korea between 2005 and 2008. A total of 179 E. coli
isolates (6.7%) from those samples were tested for resistance to a range of
antimicrobial agents. High rates of resistance to the following drugs were
observed: tetracycline (30.7%), streptomycin (12.8%), cephalothin (11.7%),
ampicillin (6.7%) and ticarcillin (6.1%). No resistances to amikacin,
amoxicillin/clavulanic acid and cefoxitin were observed. Seventy out of 179
isolates which were resistant to one or more drugs were investigated by PCR for
the presence of 3 classes of antimicrobial resistance genes (tetracycline,
aminoglycosides and beta-lactams), class 1, 2 and 3 integrons. Gene cassettes of
classes 1 and 2 integrons were further characterized by amplicon sequencing. The
tetracycline resistance genes tetB and tetD were found in 29 (41.4%) isolates and
14 (20%) isolates, respectively. The beta-lactam resistance gene, bla(TEM) was
found in 15 (21.4%) isolates. The aminoglycoside resistance gene, aadA was found
in 18 (25.7%) isolates. Class 1 integron was detected in 41.4% (n=29) of the
isolates, while only 2.9% (n=2) of the isolates were positive for the presence of
class 2 integron. Two different gene cassettes arrangements were identified in
class 1 integron-positive isolates: dfrA12-aadA2 (1.8 kb, five isolates) and aadB
aadA2 (1.6 kb, four isolates). One isolate containing class 2 integron presented
the dfrA1-sat-aadA1 gene cassette array. These data suggest that commercial fish
and seafood may act as the reservoir for multi-resistant bacteria and facilitate
the dissemination of the resistance genes.
PMID- 22071289
TI - Sub-nanosecond switching of a tantalum oxide memristor.
AB - We report sub-nanosecond switching of a metal-oxide-metal memristor utilizing a
broadband 20 GHz experimental setup developed to observe fast switching dynamics.
Set and reset operations were successfully performed in the tantalum oxide
memristor using pulses with durations of 105 and 120 ps, respectively.
Reproducibility of the sub-nanosecond switching was also confirmed as the device
switched over consecutive cycles.
PMID- 22071290
TI - Oral nutritional support in malnourished elderly decreases functional limitations
with no extra costs.
AB - BACKGROUND & AIMS: Older people are vulnerable to malnutrition which leads to
increased health care costs. The aim of this study was to evaluate the cost
effectiveness of nutritional supplementation from a societal perspective. DESIGN:
This randomized controlled trial included hospital admitted malnourished elderly
(>= 60 y) patients. Patients in the intervention group received nutritional
supplementation (energy and protein enriched diet, oral nutritional support,
calcium-vitamin D supplement, telephone counselling by a dietician) until three
months after discharge from hospital. Patients in the control group received
usual care (control). Primary outcomes were Quality Adjusted Life Years (QALYs),
physical activities and functional limitations. Measurements were performed at
hospital admission and three months after discharge. Data were analyzed according
to the intention-to-treat principle and multiple imputation was used to impute
missing data. Incremental cost-effectiveness ratios were calculated and
bootstrapping was applied to evaluate cost-effectiveness. Cost-effectiveness was
expressed by cost-effectiveness planes and cost-effectiveness acceptability
curves. RESULTS: 210 patients were included, 105 in each group. After three
months, no statistically significant differences in quality of life and physical
activities were observed between groups. Functional limitations decreased
significantly more in the intervention group (mean difference -0.72, 95% CI-1.15;
-0.28). There were no differences in costs between groups. Cost-effectiveness for
QALYs and physical activities could not be demonstrated. For functional
limitations we found a 0.95 probability that the intervention is cost-effective
in comparison with usual care for ceiling ratios > ?6500. CONCLUSIONS: A multi
component nutritional intervention to malnourished elderly patients for three
months after hospital discharge leads to significant improvement in functional
limitations and is neutral in costs. A follow-up of three months is probably too
short to detect changes in QALYs or physical activities.
PMID- 22071291
TI - Prediction of all-cause mortality by B group vitamin status in the elderly.
AB - BACKGROUND & AIMS: Little is known about the direct relationship of B vitamins to
mortality in the elderly. All-cause mortality by vitamin B status, using dietary
(B-1, B-2, niacin, B-6) or biochemical data (erythrocyte transketolase reductase,
erythrocyte glutathione reductase, plasma pyridoxal-phosphate, folate and serum B
12) was evaluated. METHODS: The Taiwanese Elderly Nutrition and Health Survey
(1999-2000) provided 1747 participants 65 years and over. Dietary and biochemical
data were collected at baseline. Survivorship was determined until December 31,
2008. RESULTS: Survivors had higher vitamin B-1 and niacin intakes and pyridoxal
phosphate and folate concentrations. Controlled for confounders, and relative to
the lowest tertile of vitamin B-1 or B-6 intakes, the hazard ratios (95%
confidence interval) for tertile 3 were 0.74 (0.58-0.95) and 0.74 (0.57-0.97);
both p for trend values were <0.05. Further adjustment for dietary diversity led
to insignificant findings. For pyridoxal-phosphate, compared to those with
deficiency levels, the multivariable-adjusted hazard ratios (95% confidence
interval) for adequacy was 0.52 (0.38-0.71) with p for trend <0.0001 and
unchanged with dietary diversity adjustment. CONCLUSIONS: Higher vitamin B-1 and
B-6 intakes and plasma pyridoxal-phosphate were associated with lower risk of
mortality up to 10 years and could be achieved by increased dietary diversity.
PMID- 22071292
TI - Prevalence of Borrelia burgdorferi infection in a series of 98 primary cutaneous
lymphomas.
AB - Borrelia burgdorferi has been variably associated with different forms of primary
cutaneous lymphoma. Differences in prevalence rates among reported studies could
be a result of geographic variability or heterogeneity in the molecular
approaches that have been employed. In the present study, we investigated the
prevalence of Borrelia burgdorferi sensu lato DNA in diagnostic tissue samples
from fresh cutaneous biopsies of 98 primary cutaneous lymphomas and 19 normal
skin controls. Three different polymerase chain reaction (PCR) protocols
targeting the hbb, flagellin, and Osp-A genes were used. Direct sequencing of
both sense and antisense strands of purified PCR products confirmed the
specificity of the amplified fragments. Sequence specificity was assessed using
the Basic Local Alignment Search Tool, and MultAlin software was used to
investigate the heterogeneity of target gene sequences across the different
samples. Borrelia DNA was not detected in 19 controls, 23 cases of follicular
lymphoma, 31 cases of extranodal marginal zone lymphoma, or 30 cases of mycosis
fungoides. A single case of 14 diffuse large B-cell lymphoma cases was positive
for B. burgdorferi. This study does not support a pathogenic role of B.
burgdorferi in primary cutaneous B- and T-cell lymphomas from areas nonendemic
for this microorganism and the consequent rationale for the adoption of
antibiotic therapy in these patients.
PMID- 22071293
TI - [Editorial comment: Theme issue on diabetology].
PMID- 22071294
TI - [Prediction and prevention of type 1 diabetes mellitus: initial results and
recent prospects].
AB - Epidemiological studies indicate that the incidence and prevalence of type 1
diabetes mellitus is rising worldwide. The increase in incidence has been most
prominent in the youngest age group of childhood. Prediction of type 1a
autoimmune diabetes can be established by a positive family history or by
genetic, immunological or metabolic markers. Prevention of type 1 diabetes can be
implemented at three different levels of pathogenesis: primary prevention in
individuals without any sign of beta-cell damage, secondary prevention in
individuals with signs of beta-cell destruction and tertiary prevention in
patients with newly diagnosed type 1 diabetes. In recent years our knowledge of
the disease pathogenesis has grown quickly, and several new prevention trials
have been initiated worldwide. Immunologic intervention for type 1 diabetes will
prove to be probably the most effective.
PMID- 22071296
TI - [Patient education: an indispensable element of care of patients with diabetes
mellitus].
AB - Diabetes is a chronic and progressive disorder that impacts upon almost every
aspect of life. The number of people with diabetes is continuously growing and
diabetes is associated with a high mortality rate. Diabetes education is a
critical element of care of people with diabetes in order to improve clinical
outcomes. The therapeutic patient education is a planned and structured program
that is comprehensive in scope, flexible in content, responsive to an
individual's clinical and psychological needs, and adaptable to patients'
educational and cultural background. The diabetes educator should control the
implementation of education and should evaluate the patient's knowledge. The
educator should be trained for care of patients with chronic diseases and for
education of patients with diabetes mellitus.
PMID- 22071297
TI - [The Bible--through the eyes of the physician II. ].
PMID- 22071295
TI - [Incretin-based therapy for treating patients with type 2 diabetes].
AB - In the last couple of years, a new class of antidiabetic drugs became available
for the clinical practice. Due to the intensive research, several new drugs
reached the market. Among the incretinmimetics both the GLP-1 (glucagon like
peptide-1)-receptor agonist exenatide and the GLP-1-analogue liraglutide can be
used for treatment. As for incretin enhancers (dipeptidyl-peptidase-4 [DPP-4]
inhibitors), sitagliptin, vildagliptin and saxagliptin are available in Hungary,
linagliptin will be introduced to the market in the near future. In clinical
practice, any incretin-based new drugs can be used for treating patients with
type 2 diabetes, preferably in combination with metformin. The clinical
experiences with these new drugs are reviewed focusing on both the benefits and
the potential side-effects of the particular compounds.
PMID- 22071299
TI - Inhibitory activity of novel kojic acid derivative containing trolox moiety on
melanogenesis.
AB - A novel kojic acid derivative containing a trolox moiety, (+/-)-5-hydroxy-4-oxo
4H-pyran-2-yl methyl 6-hydroxy-2,5,7,8-tetramethylchroman-2-carboxylate (3a), was
synthesized. The two biologically active compounds, namely, kojic acid and
trolox, were conjugated via an ester bond as they are expected to behave
synergistically. The antioxidant activity and the tyrosinase inhibitory activity
of this novel kojic acid derivative on melanogenesis were evaluated. Compound 3a
exhibited potent tyrosinase inhibitory activity and radical scavenging activity.
Limited structure-activity relationship (SAR) investigations indicated that the
tyrosinase inhibitory activities may originate from the kojic acid moiety, and
the radical scavenging activity may be due to the phenolic hydroxyl group of
trolox. Compound 3a also exhibited potent depigmenting activity in a cell-based
assay. The limited SAR investigations revealed that the depigmenting activity of
3a may be due to the synergistic activities of kojic acid and its trolox moiety.
PMID- 22071300
TI - Design and synthesis of pyridone inhibitors of non-nucleoside reverse
transcriptase.
AB - Next generation NNRTIs are sought which possess both broad spectrum antiviral
activity against key mutant strains and a high genetic barrier to the selection
of new mutant viral strains. Pyridones were evaluated as an acyclic
conformational constraint to replace the aryl ether core of MK-4965 (1) and the
more rigid indazole constraint of MK-6186 (2). The resulting pyridone compounds
are potent inhibitors of HIV RT and have antiviral activity in cell culture that
is superior to other next generation NNRTI's.
PMID- 22071301
TI - Renin inhibitors for the treatment of hypertension: design and optimization of a
novel series of spirocyclic piperidines.
AB - The discovery and SAR of a novel series of spirocyclic renin inhibitors are
described herein. It was found that by restricting the northern aromatic plate to
the bioactive conformation through spirocyclization, increase in renin potency
and decrease in hERG affinity could both be realized. When early members of this
series were found to be potent time-dependent CYP3A4 inhibitors, two distinct
strategies to address this liability were explored and this effort culminated in
the identification of compound 31 as an optimized renin inhibitor.
PMID- 22071302
TI - A new antifungal briarane diterpenoid from the gorgonian Junceella juncea Pallas.
AB - A new 8-hydroxy briarane diterpenoid (compound 1) with antifungal activity was
isolated along with known compounds (2-5) from the gorgonian coral
Junceellajuncea. On the basis of spectroscopic data (1D and 2D NMR, MS), the
structure of the compound 1 was established as (1S,2S,8S,9S,10S,11R,12R,14S,17R)
11,20-epoxy-14-(3-methylbutanoyl)-2,9,12-triacetoxy-8-hydroxybriar-5(16)-en-18,7
olide. Compound 1 exhibited significant activity against fungi (Aspergillus
niger, Candida albicans and Penicillium notatum) but the other compounds (2-5)
did not exhibit the activity against fungi.
PMID- 22071303
TI - Molecular properties prediction and synthesis of novel 1,3,4-oxadiazole analogues
as potent antimicrobial and antitubercular agents.
AB - In the present investigation, a series of 1,5-dimethyl-2-phenyl-4-{[(5-aryl-1,3,4
oxadiazol-2-yl)methyl]amino}-1,2-dihydro-3H-pyrazol-3-one were subjected to
molecular properties prediction, drug-likeness by Molinspiration (Molinspiration,
2008) and MolSoft (MolSoft, 2007) software, lipophilicity and solubility
parameters using ALOGPS 2.1 program. The compounds followed the Lipinski 'Rule of
five' were synthesized for antimicrobial and antitubercular screening as oral
bioavailable drugs/leads. Maximum drug-likeness model score (0.95) was found for
compound, 4a. All the synthesized compounds were characterized by IR, NMR and
mass spectral analysis followed by antimicrobial and antimycobacterial screening.
Among the title compounds, compound 4d showed pronounced activity against
Mycobacterium tuberculosis H(37)Rv and isoniazid resistant M. tuberculosis (INHR
TB) with minimum inhibitory concentrations (MICs) 0.78MUM and 1.52 MUM,
respectively. The compound, 4a showed maximum activity against all bacterial
strains with MIC 4-8 MUg/mL comparable to standard drug ciprofloxacin, while the
compounds, 4e and 4k showed maximum antifungal activity with MIC 8-16 MUg/mL less
active than standard drug fluconazole.
PMID- 22071304
TI - Chemical constituents of the rhizomes of Hedychium coronarium and their
inhibitory effect on the pro-inflammatory cytokines production LPS-stimulated in
bone marrow-derived dendritic cells.
AB - The rhizomes of Hedychium coronarium have been used for the treatment of
inflammation, skin diseases, headache, and sharp pain due to rheumatism in
traditional medicine. From this plant, three new labdane-type diterpenes 1-3,
named coronarins G-I as well as seven known 4-10, coronarin D, coronarin D methyl
ether, hedyforrestin C, (E)-nerolidol, beta-sitosterol, daucosterol, and
stigmasterol were isolated. Their chemical structures were elucidated by mass, 1D
and 2D-nuclear magnetic resonance spectroscopy. They were evaluated for
inhibitory effects on lipopolysaccharide-stimulated production of pro
inflammatory cytokines in bone marrow-derived dendritic cells. Among of them,
compounds 1, 2, and 6 were significant inhibitors of LPS-stimulated TNF-alpha, IL
6, and IL-12 p40 productions with IC(50) ranging from 0.19+/-0.11 to 10.38+/-2.34
MUM. The remains of compounds showed inactivity or due to cytotoxicity. These
results warrant further studies concerning the potential anti-inflammatory
benefits of labdane-type diterpenes from H. coronarium.
PMID- 22071305
TI - Rational design and synthesis of aminopiperazinones as beta-secretase (BACE)
inhibitors.
AB - Aminopiperazinone inhibitors of BACE were identified by rational design.
Structure based design guided idea prioritization and initial racemic hit 18a
showed good activity. Modification in decoration and chiral separation resulted
in the 40 nM inhibitor, (-)-37, which showed in vivo reduction of amyloid beta
peptides. The crystal structure of 18a showed a binding mode driven by
interaction with the catalytic aspartate dyad and distribution of the biaryl
amide decoration towards S1 and S3 pockets.
PMID- 22071306
TI - Cytological screening for cervical cancer prevention.
AB - Historically, the incidence and mortality of cervical cancer has declined in
countries that have instituted and sustained mass-organised cytology-based
screening programmes. These programmes, however, required frequent repeats of the
screening tests. They also require a functioning healthcare infrastructure, with
laboratories for smear processing and interpretation, mechanisms for quality
control, referral for colposcopy, treatment of precursors, and follow-up to
detect failures of treatment. Although this approach has been successful in
preventing cervical cancer where implemented correctly, it has proved
inordinately complex and expensive for developing countries. Consequently, no
successful screening programmes have been established in poor countries, and
cervical cancer remains the most common cancer among women in developing
countries, despite the existence of cytology and the knowledge of cervical cancer
prevention. New technologies, specifically the development of liquid-based
cytology, have improved the performance of cytology as a screening test, but do
not obviate the infrastructural challenges posed to health systems by cytology
based screening programmes. In this chapter, the history of cytological screening
and the challenges posed by secondary prevention strategies are reviewed.
PMID- 22071308
TI - Comment on "Musculoskeletal stress marker (MSM) differences in the modern
American upper limb and pectoral girdle in relation to activity level and body
mass index (BMI)" by K. Godde and R. Wilson Taylor.
PMID- 22071307
TI - Mitral regurgitation in patients referred for transcatheter aortic valve
implantation using the Edwards Sapien prosthesis: mechanisms and early
postprocedural changes.
AB - BACKGROUND: Transcatheter aortic valve implantation (TAVI) is an alternative to
conventional surgery in high-risk patients with severe aortic stenosis (AS), but
data regarding mitral regurgitation (MR) characteristics and changes after TAVI
are sparse. METHODS: A total of 254 patients with severe AS referred for TAVI
were prospectively enrolled. Comprehensive echocardiography was performed at
baseline and at 7 days and 1 month in patients who underwent TAVI. MR was
semiquantitatively graded from 0 to 4. Overlap of the anterior mitral leaflet and
the device was measured using transesophageal echocardiography immediately after
TAVI. RESULTS: At screening, MR was absent in 26%, grade 1 in 44%, grade 2 in
25%, and grade >=3 in 5% and was organic in 68% and functional in 32%. TAVI was
finally performed using the Edwards Sapien valve in 119 patients, including four
with MR grade >= 3. MR grade significantly decreased at 7 days (P = .003) but
remained unchanged at 1 month (P = .55), whereas reverse remodeling occurred only
at 1 month (improvements in left ventricular [LV] end-systolic diameter and
ejection fraction; P < .05 for both). MR changes over time significantly differed
according to ejection fraction and LV diameters (all P values for interaction <
.005) but not according to aortic mean gradient, MR etiology, or overlap of the
anterior mitral leaflet and the device (all P values for interaction > .15).
CONCLUSIONS: In patients referred for TAVI, MR is common, mainly organic, and
rarely severe. After TAVI, MR improved within 7 days in both organic and
functional MR, was not influenced by overlap of the anterior mitral leaflet and
the device, but was associated with improvement in LV ejection fraction. Possible
MR improvement should be taken into account in patient selection for TAVI
especially, in cases of LV dysfunction or enlargement and MR of borderline
severity.
PMID- 22071309
TI - The discrimination potential of diffuse-reflectance ultraviolet-visible-near
infrared spectrophotometry for the forensic analysis of paper.
AB - The application of diffuse reflectance UV-VIS-NIR spectroscopy is proposed to
differentiate 20 office paper samples, which had been deemed similar by a
preliminary visual examination under several different lighting sources. The
samples were firstly screened on the basis of the qualitative appearance of their
spectra. A further discrimination was obtained by taking into account three
parameters: the average reflectivity of the paper samples in the range 680-900nm,
and the integrated intensity of the absorption peak in the UV range at 272nm and
at 360nm. The homogeneity of these parameters on both sides of the paper sheets
was assessed, detecting a very uniform distribution of the optical brighteners. A
special focus was posed on the determination of the discriminative power, in
order to give a quantitative parameter on the proposed procedure, which is
important when reporting results to the Court. The remarkable achievement of
differentiating all the examined samples was obtained by UV-VIS spectroscopy, a
very less expensive technique which is readily available in practically all
forensic laboratories.
PMID- 22071310
TI - Comparison of extraction procedures for benzodiazepines determination in hair by
LC-MS/MS.
AB - INTRODUCTION: The use of a LC-MS/MS system for benzodiazepines detection
remarkably increased the analytical sensitivity of these drugs in biological
matrices, in particular in non-conventional ones such as hair. Since the amount
of hair sample available for the analysis is frequently limited and, moreover, it
needs to be checked for many other drugs and compounds of forensic interest, it
is important to develop a sample preparation procedure able to detect either
benzodiazepines and as many as possible other substances. The aim of this study
was to compare the sensitivity of two different hair sample preparation
procedures for benzodiazepines detection in hair. METHODS: About 20mg hair,
previously washed with organic solvent and cut into small pieces, were
ultrasonicated with a phosphate buffer (pH 8.4) up to 1h and then extracted with
dichloromethane/diethyl ether. The organic solvent was then dried under nitrogen
flow and samples were reconstituted with 60MUl methanol. Finally a 5MUl aliquot
was injected in the LC-MS/MS system. The second procedure consisted of an
ultrasonication of hair samples in 700MUl of methanol. Samples were then directly
analyzed. Both the methods were fully validated. RESULTS: Thirty-five compounds
among benzodiazepines and their metabolites were screened using both the
procedures. The methods fulfilled all the validation parameters and were applied
on either spiked blank hair and real positive samples. While phosphate extraction
allowed to reach a LOQ for almost all the substances ranging from 0.1 to 5pg/mg,
thus guaranteeing to evaluate even a single dose administration (as confirmed by
real positive cases) the sensitivity of the methanol extraction showed a LOQ
ranging from 1 to 20pg/mg, still enough to assess a therapeutic use of almost all
the benzodiazepines; yet the methanolic incubation allows a simple and rapid
analytical procedure due to the direct injection of the extraction solvent.
CONCLUSION: Even though a methanol extraction procedure for benzodiazepines
determination is useful for forensic toxicological purposes also when a wider
range of substances is needed and in case of a small amount of hair available, it
is advisable to prefer a phosphate extraction when detection of a single dose
administration is required.
PMID- 22071311
TI - Cognitive performance after postoperative pituitary radiotherapy: a dosimetric
study of the hippocampus and the prefrontal cortex.
AB - OBJECTIVE: The hippocampus and prefrontal cortex (PFC) are important for memory
and executive functioning and are known to be sensitive to radiotherapy (RT).
Radiation dosimetry relates radiation exposure to specific brain areas. The
effects of various pituitary RT techniques were studied by relating detailed
dosimetry of the hippocampus and PFC to cognitive performance. METHODS: In this
cross-sectional design, 75 non-functioning pituitary macroadenoma (NFA) patients
(61+/-10 years) participated and were divided into irradiated (RT+, n=30) and non
irradiated (RT-, n=45) groups. The RT+ group (who all received 25 fractions of
1.8 Gy; total dose: 45 Gy) consisted of three RT technique groups: three-field
technique, n=10; four-field technique, n=15; and five-field technique, n=5.
Memory and executive functioning were assessed by standardized neuropsychological
tests. A reconstruction of the dose distributions for the three RT techniques was
made. The RT doses on 30, 50, and 70% of the volume of the left and right
hippocampus and PFC were calculated. RESULTS: Cognitive test performance was not
different between the four groups, despite differences in radiation doses applied
to the hippocampi and PFC. Age at RT, time since RT, and the use of thyroid
hormone varied significantly between the groups; however, they were not related
to cognitive performance. CONCLUSION: This study showed that there were no
significant differences on cognitive performance between the three-, four-, and
five-field RT groups and the non-irradiated patient group. A dose-response
relationship could not be established, even with a radiation dose that was higher
on most of the volume of the hippocampus and PFC in case of a four-field RT
technique compared with the three- and five-field RT techniques.
PMID- 22071312
TI - Quantitative trait loci for biofortification traits in maize grain.
AB - Detecting genes that influence biofortification traits in cereal grain could help
increase the concentrations of bioavailable mineral elements in crops to solve
the global mineral malnutrition problem. The aims of this study were to detect
the quantitative trait loci (QTLs) for phosphorus (P), iron (Fe), zinc (Zn), and
magnesium (Mg) concentrations in maize grain in a mapping population, as well as
QTLs for bioavailable Fe, Zn, and Mg, by precalculating their respective ratios
with P. Elemental analysis of grain samples was done by coupled plasma-optical
emission spectrometry in 294 F(4) lines of a biparental population taken from
field trials of over 3 years. The population was mapped using sets of 121
polymorphic markers. QTL analysis revealed 32 significant QTLs detected for 7
traits, of which some were colocalized. The Additive-dominant model revealed
highly significant additive effects, suggesting that biofortification traits in
maize are generally controlled by numerous small-effect QTLs. Three QTLs for
Fe/P, Zn/P, and Mg/P were colocalized on chromosome 3, coinciding with simple
sequence repeats marker bnlg1456, which resides in close proximity to previously
identified phytase genes (ZM phys1 and phys2). Thus, we recommend the ratios as
bioavailability traits in biofortification research.
PMID- 22071313
TI - Population genetics and morphological comparisons of migratory European (Hirundo
rustica rustica) and sedentary East-Mediterranean (Hirundo rustica transitiva)
barn swallows.
AB - Speciation processes are largely determined by the relative strength of divergent
selection versus the magnitude of gene flow. The barn swallow (Hirundo rustica)
has a broad geographic distribution that encompasses substantial geographic
variation in morphology and behavior. The European (H. r. rustica) and East
Mediterranean (H. r. transitiva) subspecies are closely related, despite
differing in morphological and life-history traits. To explore patterns of
genetic differentiation and gene flow, we compared morphological and genetic
variation among the nonmigratory breeding population of H. r. transitiva from
Israel and the migratory population of H. r. rustica that passes through Israel
and compared it with the genetic differentiation between H. r. transitiva from
Israel and a breeding population of H. r. rustica from the United Kingdom that
uses a different migratory flyway. Mitochondrial haplotype network analysis
suggests that the European and East-Mediterranean populations are intermixed,
although there was low but significant genetic differentiation between the
subspecies based on both mitochondrial (F(ST) = 0.025-0.033) and microsatellite
(F(ST) = 0.009-0.014) loci. Coalescent-based analyses suggest recent divergence
and substantial gene flow between these populations despite their differences in
morphological and behavioral traits. The results suggest that these subspecies
are undergoing a differentiation process in the face of gene flow, with selection
possibly operating on sexually selected traits.
PMID- 22071314
TI - Multiple domains of MASP-2, an initiating complement protease, are required for
interaction with its substrate C4.
AB - The complement system is fundamental to both innate and adaptive immunity and can
be initiated via the classical, lectin or alternative pathways. Cleavage of C4 by
MASP-2, the initiating protease of the lectin pathway, is a crucial event in the
activation of this pathway, preceding the eventual formation of the C3 convertase
(C4bC2a) complex on the pathogen surface. Interactions required for the cleavage
of C4 by MASP-2 are likely to be facilitated by the initial binding of C4 to an
exosite on the protease. We have shown that both proteolytically active and
catalytically inactive CCP1-CCP2-serine protease (CCP1-CCP2-SP) forms bind C4
with similar affinity. Interestingly, proteins containing the CCP1-CCP2 domains
or the SP domain alone bound C4 with much lower affinity than the CCP1-CCP2-SP
protein, suggesting that the CCP domains cooperate positively with the active
site to mediate efficient binding and cleavage of C4. In addition, mutation of
residue K342 to alanine in the CCP1 domain abolished binding to both C4 and C4b
in its CCP1-CCP2 form, suggesting a key electrostatic role for this amino acid.
The presented data indicates that all of the domains are required in order to
mediate high affinity interaction with C4.
PMID- 22071315
TI - Treatment of neurogenic diabetes insipidus.
AB - Central or neurogenic diabetes insipidus results from a deficiency in
antidiuretic hormone (ADH) or arginine-vasopressin (AVP). Treatment is based on
replacement therapy with the hormone analog desmopressin (d-DAVP). d-DAVP can be
administered subcutaneously to infants or patients with postoperative or
posttraumatic brain injury being monitored for transient diabetes insipidus.
Intranasal and oral forms are also available. The recently introduced
lyophilisate, which melts under the tongue, has replaced the tablet form
(recently withdrawn from the market in France) and provides better
bioavailability. Irrespective of the mode of administration, it is usually the
patient who finds the effective minimal dose necessary for a normal life, i.e.
without excessive polyuria, particularly at night. Patient education is necessary
to avoid the risk of water intoxication and hyponatremia.
PMID- 22071316
TI - Potential benefits of using cardiac gated images to reduce the dose to the left
anterior descending coronary during radiotherapy of left breast and internal
mammary nodes.
AB - PURPOSE: To assess the benefits of using cardiac gated images for treatment
planning of breast and internal mammary nodes. PATIENTS AND METHODS: Inspiration
breath hold computed tomography (CT) series acquired at prospectively gated
diastolic phase were used for planning. Three different techniques were compared.
Technique A used tangents and an internal mammary nodes field covering the three
first inter-rib spaces; technique B used an extended internal mammary nodes
including part of the medial breast in junction with tangential fields; the 3(rd)
technique used helical tomotherapy. For each technique, two treatment plans were
performed: one plan (plan-01) where mean dose and V(25) to the heart were
considered for plan evaluation and a second plan (plan-02) where the irradiation
of the left anterior descending artery was minimized. RESULTS: V(25) to the heart
was found to be less than 5% for all six plans. Mean doses to the heart were
within 4.8 to 7.2 Gy. By attempting to lower the dose to the left anterior
descending artery, heart D(mean) was decreased by 20-30% for the two techniques A
and B while being unchanged for tomotherapy. Regarding target coverage, there was
no marked difference between plans where only heart dose was considered (plans
01) and plans where the left anterior descending artery dose was minimized (plans
02). When the left anterior descending artery dose was part of plan evaluation,
D(mean) to the left anterior descending artery could be decreased by 24, 19 and
9% for techniques A, B and tomotherapy respectively. The three techniques exposed
segments of the left coronary to different levels of dose. CONCLUSION: This study
showed that evaluation of the dose to the left anterior descending artery
coronary may change the treatment strategy. Cardiac gated images without IV
contrast permitted a good visualization of the coronaries in order to optimize
the dose on these structures. In addition to heart V(25,) the dose to the
coronaries should be included in prospective studies on radiotherapy related
heart toxicity in association with all additional risk factors.
PMID- 22071317
TI - Does age matter in song bird vocal interactions? Results from interactive
playback experiments.
AB - The song of oscines provides an extensively studied model of age-dependent
behaviour changes. Male and female receivers might use song characteristics to
obtain information about the age of a signaller, which is often related to its
quality. Whereas most of the age-dependent song changes have been studied in solo
singing, the role of age in vocal interactions is less well understood. We
addressed this issue in a playback study with common nightingales (Luscinia
megarhynchos). Previous studies showed that male nightingales had smaller
repertoires in their first year than older males and males adjusted their
repertoire towards the most common songs in the breeding population. We now
compared vocal interaction patterns in a playback study in 12 one year old and 12
older nightingales (cross-sectional approach). Five of these males were tested
both in their first and second breeding season (longitudinal approach). Song
duration and latency to respond did not differ between males of different ages in
either approach. In the cross-sectional approach, one year old nightingales
matched song types twice as often as did older birds. Similarly, in the
longitudinal approach all except one bird reduced the number of song type matches
in their second season. Individuals tended to overlap songs at higher rates in
their second breeding season than in their first. The higher levels of song type
matches in the first year and song overlapping by birds in their second year
suggest that these are communicative strategies to establish relationships with
competing males and/or choosy females.
PMID- 22071318
TI - Unpacking the burden: understanding the relationships between chronic pain and
comorbidity in the general population.
AB - We investigated the association of chronic pain with physical and mental
comorbidity in the New Zealand population by measuring chronic pain status
separate from comorbid conditions. Models of allostatic load provided a
conceptual basis for considering multi-morbidity as accumulated comorbid load and
for using both discrete conditions and cumulative measures in analyses. The
nationally representative cross-sectional survey data included self-reported
doctor-diagnosed chronic physical and mental health conditions, Kessler 10-item
scale scores, an independent measure of chronic pain, and sociodemographic
characteristics. The population prevalence of chronic pain is 16.9%, and a
quarter (26%) of the population report 2 or more comorbid physical conditions
statistically associated with chronic pain (unadjusted P<0.01). Results indicate
that accumulated comorbid load is independently associated with chronic pain. Six
physical conditions independently associated with chronic pain (adjusted odds
range from 1.4 to 3.9) increase the risk of chronic pain in an additive manner,
and residual accumulated load further increases risk for 2 or more conditions
(adjusted odds 1.6). Anxiety/depression interacts synergistically with arthritis
and neck/back disorders to increase the odds of reporting chronic pain beyond an
additive model. This synergistic effect is not apparent for other conditions or
for additional comorbid load. Results imply that measurement of chronic pain
independent of comorbid conditions and adjustment for comorbid conditions is
important for more accurate prevalence estimates and understanding relationships
between conditions. Future epidemiological research might usefully incorporate
independent measurement of chronic pain alongside adjustment for specific
physical and mental health conditions as well as accumulated comorbid load.
PMID- 22071319
TI - Galpha(q/11) signaling tonically modulates nociceptor function and contributes to
activity-dependent sensitization.
AB - Peripheral injury or inflammation leads to a release of mediators capable of
binding to a variety of ion channels and receptors. Among these are the 7
transmembrane receptors (G protein-coupled receptors) coupling to G(s), G(i/o),
G12/13, or G(q/11) G proteins. Each of the G protein-coupled receptor pathways is
involved in nociceptive modulation and pain processing, but the relative
contribution of individual signaling pathways in vivo has not yet been worked
out. The G(q)/G11 signaling branch is of particular interest because it leads to
the activation of phospholipase C-beta, protein kinase C, the release of calcium
from intracellular stores, and it modulates extracellular regulated kinases. To
investigate the contribution of the entire G(q/11)-signaling pathway in
nociceptors towards regulation of pain, we generated double-deficient mice
lacking G(q/11) selectively in nociceptors using a conditional gene-targeting
approach. We observed that nociceptor-specific loss of G(q) and G11 results in
reduced pain hypersensitivity following paw inflammation or spared nerve injury.
Surprisingly, our behavioral and electrophysiological experiments also indicated
defects in basal mechanical sensitivity in G(q/11) mutant mice, suggesting a
novel function for G(q/11) in tonic modulation of acute nociception. Patch-clamp
recordings revealed changes in voltage-dependent tetrodotoxin-resistant and
tetrodotoxin-sensitive sodium channels in nociceptors upon a loss of G(q/11),
whereas potassium currents remained unchanged. Our results indicate that the
functional role of the G(q)/G11 branch of G-protein signaling in nociceptors in
vivo not only spans sensitization mechanisms in pathological pain states, but is
also operational in tonic modulation of basal nociception and acute pain.
PMID- 22071321
TI - Ge-Si-O phase separation and Ge nanocrystal growth in Ge:SiO(x)/SiO(2)
multilayers--a new dc magnetron approach.
AB - Ge:SiO(x)/SiO(2) multilayers are fabricated using a new reactive dc magnetron
sputtering approach. The influence of the multilayer stoichiometry on the ternary
Ge-Si-O phase separation and the subsequent size-controlled Ge nanocrystal
formation is explored by means of x-ray absorption spectroscopy, x-ray
diffraction, electron microscopy and Raman spectroscopy. The ternary system Ge-Si
O reveals complete Ge-O phase separation at 400 degrees C which does not differ
significantly to the binary Ge-O system. Ge nanocrystals of < 5 nm size are
generated after subsequent annealing below 700 degrees C. It is shown that Ge
oxides contained in the as-deposited multilayers are reduced by a surrounding
unsaturated silica matrix. A stoichiometric regime was found where almost no
GeO(2) is present after annealing. Thus, the Ge nanocrystals become completely
embedded in a stoichiometric silica matrix favouring the use for photovoltaic
applications.
PMID- 22071320
TI - Aryl hydrocarbon receptor modulation of estrogen receptor alpha-mediated gene
regulation by a multimeric chromatin complex involving the two receptors and the
coregulator RIP140.
AB - Although crosstalk between aryl hydrocarbon receptor (AhR) and estrogen receptor
alpha (ERalpha) is well established, the mechanistic basis and involvement of
other proteins in this process are not known. Because we observed an enrichment
of AhR-binding motifs in ERalpha-binding sites of many estradiol (E2)-regulated
genes, we investigated how AhR might modulate ERalpha-mediated gene transcription
in breast cancer cells. Gene regulations were categorized based on their pattern
of stimulation by E2 and/or dioxin and were denoted E2-responsive, dioxin
responsive, or responsive to either ligand. ERalpha, AhR, aryl hydrocarbon
receptor translocator, and receptor interacting protein 140 (RIP140) were
recruited to gene regulatory regions in a gene-specific and E2/dioxin ligand
specific manner. Knockdown of AhR markedly increased the expression of ERalpha
mediated genes upon E2 treatment. This was not attributable to a change in
ERalpha level, or recruitment of ERalpha, phosphoSer5-RNA Pol II, or several
coregulators but rather was associated with greatly diminished recruitment of the
coregulator RIP140 to gene regulatory sites. Changing the cellular level of
RIP140 revealed coactivator or corepressor roles for this coregulator in E2- and
dioxin-mediated gene regulation, the choice of which was determined by the
presence or absence of ERalpha at gene regulatory sites. Coimmunoprecipitation
and chromatin immunoprecipitation (ChIP)-reChIP studies documented that E2- or
dioxin-promoted formation of a multimeric complex of ERalpha, AhR, and RIP140 at
ERalpha-binding sites of genes regulated by either E2 or dioxin. Our findings
highlight the importance of cross-regulation between AhR and ERalpha and a novel
mechanism by which AhR controls, through modulating the recruitment of RIP140 to
ERalpha-binding sites, the kinetics and magnitude of ERalpha-mediated gene
stimulation.
PMID- 22071322
TI - Modeling the cultural evolution of language.
AB - The paper surveys recent research on language evolution, focusing in particular
on models of cultural evolution and how they are being developed and tested using
agent-based computational simulations and robotic experiments. The key challenges
for evolutionary theories of language are outlined and some example results are
discussed, highlighting models explaining how linguistic conventions get shared,
how conceptual frameworks get coordinated through language, and how hierarchical
structure could emerge. The main conclusion of the paper is that cultural
evolution is a much more powerful process that usually assumed, implying that
less innate structures or biases are required and consequently that human
language evolution has to rely less on genetic evolution.
PMID- 22071323
TI - Monte Carlo simulation of pore blocking phenomena in cross-flow microfiltration.
AB - An off-lattice Monte Carlo method was developed to examine the pore blocking
phenomena in cross-flow microfiltration. Membranes were generated by randomly
distributed pores with a given pore size distribution on a two-dimensional plane.
The permeability of particles through the membrane pores was calculated, and the
effects of crucial factors on the reduction of permeability were discussed.
Reasonable fouling rules for simulations were examined and selected. At the
initial stage the flux decreases sharply as the filtration time increases and
then a steady state is reached. The results fit the pore blocking mechanism well.
The simulation model developed in this study can be effectively used for
analyzing a number of factors influencing physical fouling of membranes.
PMID- 22071324
TI - Relationships between sand and water quality at recreational beaches.
AB - Enterococci are used to assess the risk of negative human health impacts from
recreational waters. Studies have shown sustained populations of enterococci
within sediments of beaches but comprehensive surveys of multiple tidal zones on
beaches in a regional area and their relationship to beach management decisions
are limited. We sampled three tidal zones on eight South Florida beaches in Miami
Dade and Broward counties and found that enterococci were ubiquitous within South
Florida beach sands although their levels varied greatly both among the beaches
and between the supratidal, intertidal and subtidal zones. The supratidal sands
consistently had significantly higher (p < 0.003) levels of enterococci (average
40 CFU/g dry sand) than the other two zones. Levels of enterococci within the
subtidal sand correlated with the average level of enterococci in the water
(CFU/100mL) for the season during which samples were collected (r(s) = 0.73). The
average sand enterococci content over all the zones on each beach correlated with
the average water enterococci levels of the year prior to sand samplings (r(s) =
0.64) as well as the average water enterococci levels for the month after sand
samplings (r(s) = 0.54). Results indicate a connection between levels of
enterococci in beach water and sands throughout South Florida's beaches and
suggest that the sands are one of the predominant reservoirs of enterococci
impacting beach water quality. As a result, beaches with lower levels of
enterococci in the sand had fewer exceedences relative to beaches with higher
levels of sand enterococci. More research should focus on evaluating beach sand
quality as a means to predict and regulate marine recreational water quality.
PMID- 22071325
TI - Transformation of arsenic in offshore sediment under the impact of anaerobic
microbial activities.
AB - Sediment bound arsenic usually undergoes phase transformation processes when it
is transported and buried in deeper settings. This work investigated anaerobic
microbial mediated speciation change of the arsenic in offshore sediment and
monitored the transformation process of oxyhydroxide associated arsenate to
sulfide associated forms. The fate of arsenic and possible pathways of
transformation were discussed based on quantitative analysis of aqueous and solid
arsenic and iron, and qualitative characterization using X-ray absorption near
edge spectroscopy (XANES). Arsenic was released and reduced upon development of
anoxic conditions but was resequestered by authigenic minerals later. Most of the
arsenic in the sediment was converted to orpiment-like material. Sulfide may have
played double roles in arsenic redistribution process, i.e. promoting arsenic
release from host oxyhydroxides in early stage and removal of arsenite from
solution in the form of arsenic sulfide in later stage. The findings have
implications about the pathways of arsenic transformation when arsenate is
transported and buried below redox boundaries in offshore sediment.
PMID- 22071326
TI - Diesel exhaust exposure and nasal response to attenuated influenza in normal and
allergic volunteers.
AB - RATIONALE: Diesel exhaust enhances allergic inflammation, and pollutants are
associated with heightened susceptibility to viral respiratory infections. The
effects of combined diesel and virus exposure in humans are unknown. OBJECTIVES:
Test whether acute exposure to diesel modifies inflammatory responses to
influenza virus in normal humans and those with allergies. METHODS: We conducted
a double-blind, randomized, placebo-controlled study of nasal responses to live
attenuated influenza virus in normal volunteers and those with allergic rhinitis
exposed to diesel (100 MUg/m(3)) or clean air for 2 hours, followed by standard
dose of virus and serial nasal lavages. Endpoints were inflammatory mediators
(ELISA) and virus quantity (quantitative reverse-transcriptase polymerase chain
reaction). To test for exposure effect, we used multiple regression with exposure
group (diesel vs. air) as the main explanatory variable and allergic status as an
additional factor. MEASUREMENTS AND MAIN RESULTS: Baseline levels of mediators
did not differ among groups. For most postvirus nasal cytokine responses, there
was no significant diesel effect, and no significant interaction with allergy.
However, diesel was associated with significantly increased IFN-gamma responses
(P = 0.02), with no interaction with allergy in the regression model. Eotaxin-1
(P = 0.01), eosinophil cationic protein (P < 0.01), and influenza RNA sequences
in nasal cells (P = 0.03) were significantly increased with diesel exposure,
linked to allergy. CONCLUSIONS: Short-term exposure to diesel exhaust leads to
increased eosinophil activation and increased virus quantity after virus
inoculation in those with allergic rhinitis. This is consistent with previous
literature suggesting a diesel "adjuvant" effect promoting allergic inflammation,
and our data further suggest this change may be associated with reduced virus
clearance.Clinical trial registered with www.clinicaltrials.gov (NCT00617110).
PMID- 22071327
TI - Acquisition and processing of endobronchial ultrasound-guided transbronchial
needle aspiration specimens in the era of targeted lung cancer chemotherapy.
AB - Recent advances in therapy for non-small cell lung carcinoma have shown that a
personalized approach to treatment has the potential to significantly reduce lung
cancer mortality. Concurrently, endoscopic ultrasound transbronchial needle
aspiration has emerged as an accurate and sensitive tool for the diagnosis and
staging of this disease. As knowledge of the molecular mechanisms that drive lung
cancer progression increases, the amount of information that must be derived from
a tumor specimen will also increase. Recent clinical studies have demonstrated
that small specimens acquired by endoscopic ultrasound transbronchial needle
aspiration are sufficient for molecular testing if specimen acquisition and
processing are done with these needs in mind. Optimum use of this procedure
requires a coordinated effort between the bronchoscopist and the cytopathologist
to collect and triage specimens for diagnostic testing. When feasible, rapid
onsite evaluation should be performed to assess the specimen for both diagnostic
quality and quantity and to allocate the specimen for cell-block and possible
immunohistochemistry and molecular studies. It is necessary for pulmonologists
and bronchoscopists to understand the rationale for histologic and molecular
testing of lung cancer diagnostic specimens and to ensure that specimens are
acquired and processed in a fashion that provides information from small
cytologic specimens that is sufficient to guide treatment in this era of targeted
therapy.
PMID- 22071328
TI - Alveolarization continues during childhood and adolescence: new evidence from
helium-3 magnetic resonance.
AB - RATIONALE: The current hypothesis that human pulmonary alveolarization is
complete by 3 years is contradicted by new evidence of alveolarization throughout
adolescence in mammals. OBJECTIVES: We reexamined the current hypothesis using
helium-3 ((3)He) magnetic resonance (MR) to assess alveolar size noninvasively
between 7 and 21 years, during which lung volume nearly quadruples. If new
alveolarization does not occur, alveolar size should increase to the same extent.
METHODS: Lung volumes were measured by spirometry and plethysmography in 109
healthy subjects aged 7-21 years. Using (3)HeMR we determined two independent
measures of peripheral airspace dimensions: apparent diffusion coefficient (ADC)
of (3)He at FRC (n = 109), and average diffusion distance of helium (X(rms)) by q
space analysis (n = 46). We compared the change in these parameters with lung
growth against a model of lung expansion with no new alveolarization.
MEASUREMENTS AND MAIN RESULTS: ADC increased by 0.19% for every 1% increment in
FRC (95% confidence interval [CI], 0.13-0.25), whereas the expected change in the
absence of neoalveolarization is 0.41% (95% CI, 0.31-0.52). Similarly, increase
of (X(rms)) with FRC was significantly less than the predicted increase in the
absence of neoalveolarization. The number of alveoli is estimated to increase
1.94-fold (95% CI, 1.64-2.30) across the age range studied. CONCLUSIONS: Our
observations are best explained by postulating that the lungs grow partly by
neoalveolarization throughout childhood and adolescence. This has important
implications: developing lungs have the potential to recover from early life
insults and respond to emerging alveolar therapies. Conversely, drugs, diseases,
or environmental exposures could adversely affect alveolarization throughout
childhood.
PMID- 22071330
TI - Physiological risk factors for severe high-altitude illness: a prospective cohort
study.
AB - RATIONALE: An increasing number of persons, exposed to high altitude for leisure,
sport, or work, may suffer from severe high-altitude illness. OBJECTIVES: To
assess, in a large cohort of subjects, the association between physiological
parameters and the risk of altitude illness and their discrimination ability in a
risk prediction model. METHODS: A total of 1,326 persons went through a hypoxic
exercise test before a sojourn above 4,000 m. They were then monitored up at high
altitude and classified as suffering from severe high-altitude illness (SHAI) or
not. Analysis was stratified according to acetazolamide use. MEASUREMENTS AND
MAIN RESULTS: Severe acute mountain sickness occurred in 314 (23.7%), high
altitude pulmonary edema in 22 (1.7%), and high-altitude cerebral edema in 13
(0.98%) patients. Among nonacetazolamide users (n = 917), main factors
independently associated with SHAI were previous history of SHAI (adjusted odds
ratios [aOR], 12.82; 95% confidence interval [CI], 6.95-23.66; P < 0.001), ascent
greater than 400 m/day (aOR, 5.89; 95% CI, 3.78-9.16; P < 0.001), history of
migraine (aOR, 2.28; 95% CI, 1.28-4.07; P = 0.005), ventilatory response to
hypoxia at exercise less than 0.78 L/minute/kg (aOR, 6.68; 95% CI, 3.83-11.63; P
< 0.001), and desaturation at exercise in hypoxia equal to or greater than 22%
(aOR, 2.50; 95% CI, 1.52-4.11; P < 0.001). The last two parameters improved
substantially the discrimination ability of the multivariate prediction model (C
statistic rose from 0.81 to 0.88; P < 0.001). Preventive use of acetazolamide
reduced the relative risk of SHAI by 44%. CONCLUSIONS: In a large population of
altitude visitors, chemosensitivity parameters (high desaturation and low
ventilatory response to hypoxia at exercise) were independent predictors of
severe high-altitude illness. They improved the discrimination ability of a risk
prediction model.
PMID- 22071329
TI - CD8+ T cells provide an immunologic signature of tuberculosis in young children.
AB - RATIONALE: The immunologic events surrounding primary Mycobacterium tuberculosis
infection and development of tuberculosis remain controversial. Young children
who develop tuberculosis do so quickly after first exposure, thus permitting
study of immune response to primary infection and disease. We hypothesized that
M. tuberculosis-specific CD8(+) T cells are generated in response to high
bacillary loads occurring during tuberculosis. OBJECTIVES: To determine if M.
tuberculosis-specific T cells are generated among healthy children exposed to M.
tuberculosis and children with tuberculosis. METHODS: Enzyme-linked immunosorbent
spot assays were used to measure IFN-gamma production in response to M.
tuberculosis-specific proteins ESAT-6/CFP-10 by peripheral blood mononuclear
cells and CD8(+) T cells isolated from Ugandan children hospitalized with
tuberculosis (n = 96) or healthy tuberculosis contacts (n = 62). MEASUREMENTS AND
MAIN RESULTS: The proportion of positive CD8(+) T-cell assays and magnitude of
CD8(+) T-cell responses were significantly greater among young (<5 yr)
tuberculosis cases compared with young contacts (P = 0.02, Fisher exact test, P =
0.01, Wilcoxon rank-sum, respectively). M. tuberculosis-specific T-cell responses
measured in peripheral blood mononuclear cells were equivalent between groups.
CONCLUSIONS: Among young children, M. tuberculosis-specific CD8(+) T cells
develop in response to high bacillary loads, as occurs during tuberculosis, and
are unlikely to be found after M. tuberculosis exposure. T-cell responses
measured in peripheral blood mononuclear cells are generated after M.
tuberculosis exposure alone, and thus cannot distinguish exposure from disease.
In young children, IFN-gamma-producing M. tuberculosis-specific CD8(+) T cells
provide an immunologic signature of primary M. tuberculosis infection resulting
in disease.
PMID- 22071331
TI - Overexpression of miR-125b, a novel regulator of innate immunity, in eosinophilic
chronic rhinosinusitis with nasal polyps.
AB - RATIONALE: Eosinophilic chronic rhinosinusitis (CRS) with nasal polyps (CRSwNP)
represents a hard-to-treat subtype of CRS. OBJECTIVES: To determine the pattern
of expression and biologic role of microRNAs (miRNAs) in CRS, particularly in
eosinophilic CRSwNP. METHODS: Global miRNA expression in sinonasal mucosa from
controls, CRS without nasal polyps (CRSsNP), and patients with eosinophilic
CRSwNP was compared using miRNA microarrays. MiR-125b expression was detected by
means of quantitative reverse-transcriptase polymerase chain reaction. The
cellular localization of miR-125b was determined by in situ hybridization. MiR
125b functional assays were performed on airway epithelial cells and mice. MiR
125b expression regulation was studied by tissue and cell culture. MEASUREMENTS
AND MAIN RESULTS: CRSsNP and eosinophilic CRSwNP exhibited distinct miRNA
expression profiles. MiR-125b was specifically up-regulated in eosinophilic
CRSwNP. MiR-125b was mainly expressed by sinonasal and bronchial epithelial
cells. EIF4E-binding protein 1 (4E-BP1) was identified as a direct target of miR
125b. MiR-125b mimic or inhibitor enhanced or decreased IFN-alpha/beta production
elicited by dsRNA in vitro or in vivo, respectively. 4E-BP1 expression was
decreased, whereas IFN regulatory factor-7 and IFN-beta expression was increased,
in eosinophilic CRSwNP. IFN-beta mRNA levels positively correlated with IL-5 mRNA
levels and eosinophil infiltration in sinonasal mucosa. IFN-beta stimulated B
cell-activating factor of the tumor necrosis factor family production in airway
epithelial cells. miR-125b could be induced by lipopolysaccharide, dsRNA, and IL
10. CONCLUSIONS: The up-regulated expression of miR-125b may enhance type I IFN
expression through suppressing 4E-BP1 protein expression in airway epithelial
cells, which potentially contributes to mucosal eosinophilia in eosinophilic
CRSwNP.
PMID- 22071332
TI - Blood glutathione decrease in subjects carrying lamin A/C gene mutations is an
early marker of cardiac involvement.
AB - Dominant inherited Emery-Dreifuss muscular dystrophy and limb-girdle muscular
dystrophy type 1B are due to mutations in the LMNA gene encoding lamin A/C and
present similar life-threatening cardiac disease, the early diagnosis of which
lacks reliable biomarkers. Glutathione depletion characterizes subjects with
cardiac diseases of non-genetic aetiology. We examined blood glutathione in 22
LMNA-mutated subjects without altered left ventricular ejection fraction
(LVEF>40%) measured by conventional echocardiography. Left and right ventricular
(LV/RV) contractility was evaluated using echocardiography implemented with
tissue-Doppler echography. Blood glutathione was positively correlated with LV
and RV contractility (p<0.05), and was decreased by 23% in subjects with reduced
LV/RV contractility compared to subjects with normal contractility. ROC analysis
showed that blood glutathione reliably detected reduced LV/RV contractility (AUC
95% CI: 0.90 [0.76-1.04]; p=0.01). Blood glutathione decrease may allow the
detection of reduced contractility in muscular dystrophic LMNA-mutated patients
with still preserved LVEF.
PMID- 22071333
TI - A critical smn threshold in mice dictates onset of an intermediate spinal
muscular atrophy phenotype associated with a distinct neuromuscular junction
pathology.
AB - Spinal muscular atrophy (SMA) is caused by mutations/deletions within the SMN1
gene and characterized by loss of lower motor neurons and skeletal muscle
atrophy. SMA is clinically heterogeneous, with disease ranging from severe to
mild. Here, we identify a critical threshold of Smn that dictates onset of SMA in
the intermediate Smn(2B/-) mouse model. With about 15% normal level of Smn
protein, Smn(2B/-) mice display reduced body weight, motor neuron loss and motor
defects. Importantly, these mice are phenotype-free until P10 with a median life
expectancy of 28 days. They show neuromuscular junction (NMJ) pathology with an
inter-muscular differential vulnerability and an association between pre- and
post-synaptic defects. Our work suggests that increasing Smn protein levels only
minimally could be of significant benefit since Smn(2B/2B) mice are
phenotypically normal. Further, the finding that NMJ pathology varies between
severe and intermediate SMA mouse models, suggests that future therapies be
adapted to the severity of SMA.
PMID- 22071335
TI - Gamma Knife, CyberKnife, TomoTherapy: gadgets or useful tools?
AB - PURPOSE OF REVIEW: This review provides information and an update on stereotactic
radiosurgery (SRS) equipment, with a focus on intracranial lesions and brain
neoplasms. RECENT FINDINGS: Gamma Knife radiosurgery represents the gold standard
for intracranial radiosurgery, using a dedicated equipment, and has recently
evolved with a newly designed technology, Leksell Gamma Knife Perfexion. Linear
accelerator-based radiosurgery is more recent, and originally based on existing
systems, either adapted or dedicated to radiosurgery. Equipment incorporating
specific technologies, such as the robotic CyberKnife system, has been developed.
Novel concepts in radiation therapy delivery techniques, such as intensity
modulated radiotherapy, were also developed; their integration with computed
tomography imaging and helical delivery has led to the TomoTherapy system. Recent
data on the management of intracranial tumors with radiosurgery illustrate the
trend toward a larger use and acceptance of this therapeutic modality. SUMMARY:
SRS has become an important alternative treatment for a variety of lesions. Each
radiosurgery system has its advantages and limitations. The 'perfect' and
ubiquitous system does not exist. The choice of a radiosurgery system may vary
with the strategy and needs of specific radiosurgery programs. No center can
afford to acquire every technology, and strategic choices have to be made.
Institutions with large neurosurgery and radiation oncology programs usually have
more than one system, allowing optimization of the management of patients with a
choice of open neurosurgery, radiosurgery, and radiotherapy. Given its minimally
invasive nature and increasing clinical acceptance, SRS will continue to progress
and offer new advances as a therapeutic tool in neurosurgery and radiotherapy.
PMID- 22071336
TI - Bibliography. Degenerative and cognitive diseases. Current world literature.
PMID- 22071334
TI - Evolution of the diagnostic criteria for degenerative and cognitive disorders.
AB - PURPOSE OF REVIEW: This review describes the evolution of the clinical criteria
for Alzheimer's disease over the past 25 years, with special emphasis on those
recently published that have incorporated the use of biomarkers. RECENT FINDINGS:
One of the most important advances in the knowledge of Alzheimer's disease was
the development of cerebrospinal fluid, PET and MRI biomarkers. These have shown
that the Alzheimer's disease is present in cognitively normal individuals,
suggesting that there is a long incubation process that precedes the onset of the
symptoms. Although there are diagnostic criteria for Alzheimer's disease, the
National Institute on Aging and the Alzheimer's Association has proposed a set of
diagnostic criteria oriented to provide a unified vision of the pathological
process from preclinical, to mild cognitive impairment, and to full-blown
dementia. These new criteria take advantage of different biomarkers to support
the clinical diagnosis of the different stages of the disease. SUMMARY: The new
guidelines provide a definition of the dementia syndrome and core diagnostic
features to be used in research and clinical practice, although they caution
about the use of biomarkers, since they still require validation, and the
longitudinal interaction and dynamics of these biomarkers in relationship to the
manifestation of the symptoms are not fully understood.
PMID- 22071338
TI - Does the eHealth Literacy Scale (eHEALS) measure what it intends to measure?
Validation of a Dutch version of the eHEALS in two adult populations.
AB - BACKGROUND: The Internet increases the availability of health information, which
consequently expands the amount of skills that health care consumers must have to
obtain and evaluate health information. Norman and Skinner in 2006 developed an 8
item self-report eHealth literacy scale to measure these skills: the eHealth
Literacy Scale (eHEALS). This instrument has been available only in English and
there are no data on its validity. OBJECTIVES: The objective of our study was to
assess the internal consistency and the construct and predictive validity of a
Dutch translation of the eHEALS in two populations. METHODS: We examined the
translated scale in a sample of patients with rheumatic diseases (n = 189; study
1) and in a stratified sample of the Dutch population (n = 88; study 2). We
determined Cronbach alpha coefficients and analyzed the principal components.
Convergent validity was determined by studying correlations with age, education,
and current (health-related) Internet use. Furthermore, in study 2 we assessed
the predictive validity of the instrument by comparing scores on the eHEALS with
an actual performance test. RESULTS: The internal consistency of the scale was
sufficient: alpha = .93 in study 1 and alpha = .92 in study 2. In both studies
the 8 items loaded on 1 single component (respectively 67% and 63% of variance).
Correlations between eHEALS and age and education were not found. Significant,
though weak, correlations were found between the eHEALS and quantity of Internet
use (r = .24, P = .001 and r = .24, P = .02, respectively). Contrary to
expectations, correlations between the eHEALS and successfully completed tasks on
a performance test were weak and nonsignificant: r = .18 (P = .09). The t tests
showed no significant differences in scores on the eHEALS between participants
who scored below and above median scores of the performance test. CONCLUSIONS:
The eHEALS was assessed as unidimensional in a principal component analysis and
the internal consistency of the scale was high, which makes the reliability
adequate. However, findings suggest that the validity of the eHEALS instrument
requires further study, since the relationship with Internet use was weak and
expected relationships with age, education, and actual performance were not
significant. Further research to develop a self-report instrument with high
correlations with people's actual eHealth literacy skills is warranted.
PMID- 22071339
TI - Correlation of histologic grade with other clinicopathological parameters,
intrinsic subtype, and patients' clinical outcome in Taiwanese women.
AB - OBJECTIVE: This study aimed to reveal the relationships between histologic grade
and other clinicopathologic parameters including intrinsic subtype in Taiwanese
women with breast cancer. METHODS: There were 1302 women diagnosed with breast
cancer recruited for this study. Histologic grade was scored according to the
Nottingham-modified Bloom-Richardson grading system. RESULTS: Higher tumor grade
was associated with larger tumor size (P = 0.021), a larger number of lymph node
metastases (P = 0.001), advanced clinical stage (P = 0.010), higher human
epithelial growth receptor-2 positivity (P < 0.001), negative estrogen receptor
and progesterone receptor (P < 0.0001) status. Triple negative breast cancer
(56.6%) and human epithelial growth receptor-2 (44.3%) subtypes were associated
with more Grade III breast cancer in contrast to luminal A (22.3%) and B (29.9%)
breast cancer. In multivariate Cox regression analysis for cancer-specific
survival, histologic grade (hazard ratio = 1.78) was a significant prognostic
factor. CONCLUSIONS: This study demonstrated that histologic grade is highly
correlated with some valuable biomarkers and confirmed the significance of
histologic grade in Taiwanese female breast cancers.
PMID- 22071340
TI - Diagnostic accuracy of MRI in adults with suspect brachial plexus lesions: a
multicentre retrospective study with surgical findings and clinical follow-up as
reference standard.
AB - OBJECTIVE: To evaluate brachial plexus MRI accuracy with surgical findings and
clinical follow-up as reference standard in a large multicentre study. MATERIALS
AND METHODS: The research was approved by the Institutional Review Boards, and
all patients provided their written informed consent. A multicentre retrospective
trial that included three centres was performed between March 2006 and April
2011. A total of 157 patients (men/women: 81/76; age range, 18-84 years) were
evaluated: surgical findings and clinical follow-up of at least 12 months were
used as the reference standard. MR imaging was performed with different equipment
at 1.5 T and 3.0 T. The patient group was divided in five subgroups: mass lesion,
traumatic injury, entrapment syndromes, post-treatment evaluation, and other.
Sensitivity, specificity with 95% confidence intervals (CIs), positive predictive
value (PPV), pre-test-probability (the prevalence), negative predictive value
(NPV), pre- and post-test odds (OR), likelihood ratio for positive results (LH+),
likelihood ratio for negative results (LH-), accuracy and post-test probability
(post-P) were reported on a per-patient basis. RESULTS: The overall sensitivity
and specificity with 95% CIs were: 0.810/0.914; (0.697-0.904). Overall PPV, pre
test probability, NPV, LH+, LH-, and accuracy: 0.823, 0.331, 0.905, 9.432, 0.210,
0.878. CONCLUSIONS: The overall diagnostic accuracy of brachial plexus MRI
calculated on a per-patient base is relatively high. The specificity of brachial
plexus MRI in patients suspected of having a space-occupying mass is very high.
The sensitivity is also high, but there are false-positive interpretations as
well.
PMID- 22071341
TI - Combining longitudinal studies showed prevalence of disease differed throughout
older adulthood.
AB - OBJECTIVES: Disease prevalence rates are often generalized across the older adult
age range. By pooling self-reported health data from five Australian longitudinal
studies of aging, we were able to present disease prevalence rates by 5-year age
bands and sex. We also investigated the influence of education on prevalence at
each age range and compared our observed prevalence rates with those from the
2001 National Health Survey (NHS) to see if existing data could be used to
augment national estimates. STUDY DESIGN AND SETTING: We used data on 12,718
adults between 60 and 105 years of age from the Dynamic Analyses to Optimise
Ageing (DYNOPTA) project. RESULTS: Hypertension and arthritis were the most
prevalent diseases, with approximately 30% of males and 45% of females having
either condition. Nearly all diseases were most prevalent amongst older adults in
their 70s and lower for individuals in their 60s, and 80s and older. The effect
of education varied by disease and older age group. Prevalence rates from DYNOPTA
were generally similar to those reported by the NHS. CONCLUSION: Disease
prevalence is not consistent across older adulthood. Combining longitudinal
studies provided a sufficient sample to estimate precise age divisions and can be
used to supplement national estimates for specific populations.
PMID- 22071342
TI - Medical journal editors lacked familiarity with scientific publication issues
despite training and regular exposure.
AB - OBJECTIVE: To characterize medical editors by determining their demographics,
training, potential sources of conflict of interest (COI), and familiarity with
ethical standards. STUDY DESIGN AND SETTING: We selected editors of clinical
medical journals with the highest annual citation rates. One hundred eighty-three
editors were electronically surveyed (response rate, 52%) on demographics and
experiences with editorial training, publication ethics, industry, and scientific
publication organizations. RESULTS: Editors reported formal (76%) and informal
(89%) training in medical editing topics. Most editors saw publication ethics
issues (e.g., authorship, COIs) at least once a year. When presented with four
questions about editorial issues discussed in commonly cited authoritative policy
sources, performance was poor on topics of authorship (30% answered correctly),
COI (15%), peer review (16%), and plagiarism (17%). Despite this, confidence
level in editorial skills on a Likert scale from the beginning to the end of the
survey dropped only slightly from 4.2 to 3.9 (P<0.0001). CONCLUSION: Our study
presents a current look at editors of major clinical medical journals. Most
editors reported training in medical editing topics, saw ethical issues
regularly, and were aware of scientific publication organizations, but their
knowledge of four common and well-disseminated publication ethics topics appears
poor.
PMID- 22071343
TI - Methanogenic archaea are globally ubiquitous in aerated soils and become active
under wet anoxic conditions.
AB - The prototypical representatives of the Euryarchaeota--the methanogens--are
oxygen sensitive and are thought to occur only in highly reduced, anoxic
environments. However, we found methanogens of the genera Methanosarcina and
Methanocella to be present in many types of upland soils (including dryland
soils) sampled globally. These methanogens could be readily activated by
incubating the soils as slurry under anoxic conditions, as seen by rapid methane
production within a few weeks, without any additional carbon source. Analysis of
the archaeal 16S ribosomal RNA gene community profile in the incubated samples
through terminal restriction fragment length polymorphism and quantification
through quantitative PCR indicated dominance of Methanosarcina, whose gene copy
numbers also correlated with methane production rates. Analysis of the delta(13)C
of the methane further supported this, as the dominant methanogenic pathway was
in most cases aceticlastic, which Methanocella cannot perform. Sequences of the
key methanogenic enzyme methyl coenzyme M reductase retrieved from the soil
samples before incubation confirmed that Methanosarcina and Methanocella are the
dominant methanogens, though some sequences of Methanobrevibacter and
Methanobacterium were also detected. The global occurrence of only two active
methanogenic archaea supports the hypothesis that these are autochthonous members
of the upland soil biome and are well adapted to their environment.
PMID- 22071344
TI - Spotlight on the Thaumarchaeota.
PMID- 22071345
TI - The Western English Channel contains a persistent microbial seed bank.
AB - Robust seasonal dynamics in microbial community composition have previously been
observed in the English Channel L4 marine observatory. These could be explained
either by seasonal changes in the taxa present at the L4 site, or by the
continuous modulation of abundance of taxa within a persistent microbial
community. To test these competing hypotheses, deep sequencing of 16S rRNA from
one randomly selected time point to a depth of 10,729,927 reads was compared with
an existing taxonomic survey data covering 6 years. When compared against the 6
year survey of 72 shallow sequenced time points, the deep sequenced time point
maintained 95.4% of the combined shallow OTUs. Additionally, on average, 99.75%+/
0.06 (mean+/-s.d.) of the operational taxonomic units found in each shallow
sequenced sample were also found in the single deep sequenced sample. This
suggests that the vast majority of taxa identified in this ecosystem are always
present, but just in different proportions that are predictable. Thus observed
changes in community composition are actually variations in the relative
abundance of taxa, not, as was previously believed, demonstrating extinction and
recolonization of taxa in the ecosystem through time.
PMID- 22071346
TI - Analysis of the community structure of abyssal kinetoplastids revealed similar
communities at larger spatial scales.
AB - Knowledge of the spatial scales of diversity is necessary to evaluate the
mechanisms driving biodiversity and biogeography in the vast but poorly
understood deep sea. The community structure of kinetoplastids, an important
group of microbial eukaryotes belonging to the Euglenozoa, from all abyssal
plains of the South Atlantic and two areas of the eastern Mediterranean was
studied using partial small subunit ribosomal DNA gene clone libraries. A total
of 1364 clones from 10 different regions were retrieved. The analysis revealed
statistically not distinguishable communities from both the South-East Atlantic
(Angola and Guinea Basin) and the South-West Atlantic (Angola and Brazil Basin)
at spatial scales of 1000-3000 km, whereas all other communities were
significantly differentiated from one another. It seems likely that multiple
processes operate at the same time to shape communities of deep-sea
kinetoplastids. Nevertheless, constant and homogenous environmental conditions
over large spatial scales at abyssal depths, together with high dispersal
capabilities of microbial eukaryotes, maintain best the results of statistically
indistinguishable communities at larger spatial scales.
PMID- 22071347
TI - The energy-diversity relationship of complex bacterial communities in Arctic deep
sea sediments.
AB - The availability of nutrients and energy is a main driver of biodiversity for
plant and animal communities in terrestrial and marine ecosystems, but we are
only beginning to understand whether and how energy-diversity relationships may
be extended to complex natural bacterial communities. Here, we analyzed the link
between phytodetritus input, diversity and activity of bacterial communities of
the Siberian continental margin (37-3427 m water depth). Community structure and
functions, such as enzymatic activity, oxygen consumption and carbon
remineralization rates, were highly related to each other, and with energy
availability. Bacterial richness substantially increased with increasing sediment
pigment content, suggesting a positive energy-diversity relationship in
oligotrophic regions. Richness leveled off, forming a plateau, when mesotrophic
sites were included, suggesting that bacterial communities and other benthic
fauna may be structured by similar mechanisms. Dominant bacterial taxa showed
strong positive or negative relationships with phytodetritus input and allowed us
to identify candidate bioindicator taxa. Contrasting responses of individual taxa
to changes in phytodetritus input also suggest varying ecological strategies
among bacterial groups along the energy gradient. Our results imply that
environmental changes affecting primary productivity and particle export from the
surface ocean will not only affect bacterial community structure but also
bacterial functions in Arctic deep-sea sediment, and that sediment bacterial
communities can record shifts in the whole ocean ecosystem functioning.
PMID- 22071348
TI - Fabrication and material properties of fibrous PHBV scaffolds depending on the
cross-ply angle for tissue engineering.
AB - Fibrous PHBV cross-ply scaffolds were fabricated using the electrospinning
technique. The electrospun fibers were arranged depending on angles of alignment,
which were 180 degrees , 90 degrees , 60 degrees , and 45 degrees . The stress
and strain values of the fibrous PHBV cross-ply scaffolds increased as the cross
ply angle increased. At 180 degrees , the strength and strain values of the
fibers depended on tensile loading directions. At an alignment of 90 degrees ,
the PHBV scaffolds had a stress value of 3.5 MPa, which was more than two times
higher than the random structure. The cell morphology and proliferation of L-929
cells was strongly dependant on the fiber alignment and the best results were
observed when the angle alignment was high. The results of this study showed that
the cross-ply structure of the PHBV scaffold affected not only the cell adhesion
and spreading properties but also dictated the mechanical properties, which were
dependent on the angles of alignment.
PMID- 22071349
TI - Alveolar bone regeneration using poly-(lactic acid-co-glycolic acid-co-epsilon
caprolactone) porous membrane with collagen sponge containing basic fibroblast
growth factor: an experimental study in the dog.
AB - The aim of this study was to evaluate the effects of combining porous poly-lactic
acid-co-glycolic acid-co-epsilon-caprolactone (PLGC) as a barrier membrane and
collagen sponge containing basic fibroblast growth factor (bFGF) to promote bone
regeneration in the canine mandible. In six beagle dogs, two lateral bone defects
per side were created in the mandible. The lateral bone defects on the left side
were treated with a PLGC membrane plus a collagen sponge containing bFGF. In half
of these, the collagen sponge contained 50 ug of bFGF. In the other half, it
contained 250 ug of bFGF. As a control, we treated the right-side bone defects in
each animal with the same PLGC membrane but with a collagen sponge containing
phosphate buffered saline. Computed tomography (CT) images were recorded at 3 and
6 months post-op to evaluate regeneration of the bone defects. After a healing
period of 6 months, whole mandibles were removed for micro-CT and histological
analyses. The post-op CT images showed that more bone had formed at all
experimental sites than at control sites. At 3 months post-op, the volume of bone
at defect sites covered with PLGC membrane plus 250 ug of bFGF was significantly
greater than it was at defect sites covered with PLGC membrane plus 50 ug of
bFGF. At 6 months post-op, however, this difference was smaller and not
statistically significant. Micro-CT measurement showed that the volume of new
bone regenerated at bone-defect sites, covered with PLGC membrane plus bFGF, was
significantly greater than that of control sites. However, the presence or
absence of bFGF in the collagen sponge did not significantly affect the bone
density of new bone. These results suggest that the macroporous bioresorbable
PLGC membrane plus collagen sponge containing bFGF effectively facilitates
healing in GBR procedures.
PMID- 22071350
TI - Fabrication and biocompatibility of novel bilayer scaffold for skin tissue
engineering applications.
AB - In this study, a novel bilayer scaffold composed of electrospun polycaprolactone
and poly(lacto-co-glycolic acid) (PCL/PLGA) membrane and glutaraldehyde (3.5%
v/v) cross-linked chitosan/gelatin hydrogel was fabricated using two methods:
electrospinning of the membrane onto the lyophilized hydrogel (BS-1) and membrane
underlaying and casting method (BS-2). The morphology of the fabricated scaffolds
was examined by scanning electron microscope (SEM). Mechanical strength,
porosity, swelling capacity, and biodegradation rates of the scaffolds were also
characterized. The in vitro biocompatibility of the materials was investigated by
assessing cytotoxicity and cell proliferation on the material was measured using
MTT assay. In addition, cell adhesion on the material was investigated by SEM.
The BS-2 was grafted in Sprague-Dawley rats to determine its in vivo behavior and
biocompatibility. The experimental results showed that the addition of the
membrane layer to the hydrogel decreased swelling and degradation rates and
provided ease of handling during implantation. Grafted BS-2 showed normal wound
healing and no major inflammatory reaction was observed.
PMID- 22071351
TI - Effect of ionic products of dicalcium silicate coating on osteoblast
differentiation and collagen production via TGF-beta1 pathway.
AB - In this work, the medium containing ionic products of dicalcium silicates
(Ca(2)SiO(4)) for culturing MG63 cells was prepared by immersing a titanium alloy
plate with the plasma sprayed Ca(2)SiO(4) coatings in DMEM solution. The effect
of the ionic products on cellular differentiation, collagen production, and local
growth factors (prostaglandin E(2) [PGE(2)] and transforming growth factor-beta
[TGF-beta1]) of osteoblast-like MG63 cells were investigated. The normal DMEM was
also used to culture MG63 cells as the control group. Differentiation of cell was
evaluated by detecting alkaline phosphatase (ALP) activity and osteocalcin (OC)
synthesis as well as their gene expression. Collagen production was analyzed by
Sircol assay. The levels of PGE(2) and TGF-beta1 in culture medium were measured
using enzyme-linked immunosorbent assay (ELISA). The gene expressions of TGF-beta
receptors (TGF-beta RI and TGF-beta RII) were also measured by real-time PCR
technology. MG63 cells cultured in DMEM containing ionic products of Ca(2)SiO(4)
coating showed enhanced differentiation and increased collagen production. The
results obtained from ELISA showed that the levels of PGE(2) and TGF-beta1 in
experimental group were higher than that in control. The gene expression of TGF
beta receptors was upregulated, indicating that more TGF-beta1 bonded to their
receptors which produce more effects on the osteoblastic activity, leading to
enhanced differentiation and synthetic activity of osteoblast. It is concluded
that ionic products of Ca(2)SiO(4) coating may enhance cellular differentiation
and collagen production by influencing TGF-beta1 pathway.
PMID- 22071353
TI - Cytotoxicity of release products from magnetic nanocomposites in targeted drug
delivery.
AB - The efficacy of chemotherapy can be significantly improved if the therapeutic
agent remains localized at the afflicted area and released at controlled rates.
Such a targeted drug delivery can be achieved using magnetic nanocomposite (MNC),
which incorporates drug and magnetic nanoparticles in biodegradable polymer
microspheres. Reported here are results from an in vitro study on drug release
rate and cytotoxicity of other release products from MNC. The model system
contains an anti-cancer chemotherapy agent 5-flurouracil (5-FU) and
(Co(0.5)Zn(0.5))Fe(2)O(4) in poly(lactic-co-glycolic acid) (PLGA) matrix produced
by an oil/oil emulsion technique. Cell proliferation data indicate a sustained
release of 5-FU for mouse macrophage cell eradication, whereas other microsphere
components of magnetic nanoparticles and PLGA have little cytotoxic effects.
PMID- 22071352
TI - Composite PLA scaffolds reinforced with PDO fibers for tissue engineering.
AB - Novel composite scaffolds were produced using long continuous bidirectional
fibers embedded in an electrospun matrix, with the aim of using them in soft
tissue engineering applications. The fibers are of polydioxanone and the matrix
of polylactic acid. The novel manufacturing method consists of direct
electrospinning performed on both sides of a collector that supports the already
arranged fibers. The scaffolds were tested in vitro using 3T3 mouse fibroblasts
as-obtained or functionalized with biotin or poly (dopamine). Functionalization
did not significantly affect cells attachment, metabolic activity, or
proliferation, but poly (dopamine) was proven to be effective in inducing
hydrophilicity to the surface.
PMID- 22071355
TI - Experimental antibacterial and mineralizing calcium phosphate-based treatment for
dentin surfaces.
AB - OBJECTIVES: This study aimed to determine the efficacy of experimental calcium
phosphate-based solutions (sCaP) containing fluoride (F), with and without zinc
(Zn) ions on reducing susceptibility to acid dissolution and Streptococcus mutans
(S. mutans) colonization of dentin surfaces. METHODS: Dentin sections were
treated with double distilled water (control) and with sCaP solutions differing
in pH and in F(-) and/or Zn(2+) ion concentrations. Solutions A (pH 7); B, C, and
D (pH 5.5); solution C, twice Zn(2+) and F(-) ion concentration compared to B;
solution D is similar to C but without Zn(2+). The dentin surfaces were
characterized using scanning electron microscopy (SEM), x-ray diffraction, and
Fourier Transform Infrared spectroscopy. Dissolution was determined in acidic
buffer. Bacterial (S. mutans) attachment and growth were evaluated using SEM and
Bioquant. Statistical analyses applied analysis of variance (ANOVA) and Duncan's
multiple Range test. RESULTS: Compared to control, dentin surfaces treated with
sCaP solutions showed: (a) occluded dentin tubules; (b)reduced susceptibility to
acid dissolution; and (c) Zn(2+) ions were more effective than F(-) ions in
inhibiting bacterial colonization. SIGNIFICANCE: Acidic sCaP containing both F
and Zn ions have mineralizing, acid resistance, and antibacterial effects and may
be potentially useful as a strategy against dentin caries formation and
progression.
PMID- 22071354
TI - Effects of biodegradable Mg-6Zn alloy extracts on cell cycle of intestinal
epithelial cells.
AB - In this study, intestinal epithelial cells (IEC)-6 were cultured in different
concentration extracts of Mg-6Zn alloys for different time periods. We studied
the indirect effects of Mg-6Zn alloys on cell cycle of IEC-6 cells. The cell
cycle of IEC-6 cells was measured using flow cytometry. And, the cell cycle of
IEC-6 cells was evaluated by investigating the expression of cyclin D1, CDK4, and
P21 using real-time polymerase chain reaction (PCR) and Western blotting tests.
It was found that the IEC-6 cells displayed better cell functions in 20% extract
of the Mg-6Zn alloy extracts, compared to the 100% or 60% extract. The in vitro
results indicated that the conspicuous alkaline environment that is a result of
rapid corrosion of Mg-6Zn alloys is disadvantageous to cell cycle of IEC-6 cells.
PMID- 22071356
TI - The impact of optimality on maternal sensitivity in mothers with substance abuse
and psychiatric problems and their infants at 3 months.
AB - The main aim of this study was to investigate the predictive validity of four
different optimality indexes, as well as infant perinatal status, in relation to
maternal sensitivity in interaction at 3 months. The four optimality indexes
comprised items related to substance abuse, psychiatric condition, relational
experience and socioeconomic status (SES). Maternal sensitivity in mother-infant
interaction was assessed in two different groups of mothers. One group consisted
of mothers with substance abuse and psychiatric problems who underwent treatment
during pregnancy. The other group of mothers had neither substance abuse nor
psychiatric problems. The expectant mothers were interviewed in the third
trimester of pregnancy. Medical records and meconium were obtained from the
infants at birth. Three months after birth, maternal sensitivity in mother-infant
interaction was assessed. Altogether 79 mother-infant dyads participated in the
study. The mothers' optimality associated with relational experiences, as well as
the infants' perinatal status were found to predict maternal sensitivity in
mother-infant interaction at 3 months. The SES index was also significantly
related to maternal sensitivity. The relation between group and maternal
sensitivity was mediated by the mothers' optimality associated with relational
experiences. This study points to the importance of addressing the mothers' own
relational experiences and their current representations of motherhood during
treatment, in order to support and enhance maternal sensitivity.
PMID- 22071357
TI - Vitamin D deficiency and endothelial dysfunction in non-dialysis chronic kidney
disease patients.
AB - BACKGROUND: Cardiovascular (CV) events are common in patients with chronic kidney
disease (CKD) but inadequately explained by traditional risk factors. Vitamin D
deficiency is highly prevalent in CKD and has been proposed to be a non
traditional risk factor, but its relationship with vascular function is unknown.
METHODS AND RESULTS: The aim of this study was to investigate the relationship
between vitamin D levels and endothelial function in non-diabetes patients with
mild to moderate CKD. Endothelial function was measured non-invasively using
brachial artery flow mediated dilation (FMD). 25 hydroxy vitamin D levels were
measured using electrochemiluminescence immunoassay. In 50 CKD patients (age 56+/
11 years, BMI 25+/-4kg/m(2), 46% females, 14% smokers, 86% hypertensives, 52%
with dyslipidaemia) the mean vitamin D level was 53+/-33nmol/L (21+/-13ng/L). The
mean FMD was 3.8+/-2.4%. Decreasing 25 hydroxy vitamin D levels were associated
with decreasing FMD [r=0.44, p=0.001]. In multivariate analysis the association
remained independent after adjustment with traditional risk factors (adjusted
beta 0.451; t=3.46; p<0.002). Patients with low vitamin D (<=37.5nmol/L)
demonstrated low FMD compared to patients with vitamin D values >37.5nmol/L
(4.4+/-2.5% vs. 2.5+/-1.6%; p=0.007); however the traditional risk factors were
similar between the two groups. CONCLUSION: This is the first demonstration of an
association of vitamin D deficiency with abnormal vascular endothelial function
in non-dialysis CKD patients. Further studies with intervention and exploration
of the mechanism are needed to establish a cause effect relationship.
PMID- 22071358
TI - Lipid rafts: a signalling platform linking lipoprotein metabolism to
atherogenesis.
AB - Lipid rafts are microdomains of the plasma membrane which are enriched in
cholesterol and sphingolipids. They serve as a platform for signal transduction,
in particular during immune and inflammatory responses. As hypercholesterolemia
and inflammation are two key elements of atherogenesis, it is conceivable that
the cholesterol and cholesterol oxide content of lipid rafts might influence the
inflammatory signalling pathways, thus modulating the development of
atherosclerosis. In support of this emerging view, lipid rafts have been shown to
be involved in several key steps of atherogenesis, such as the oxysterol-mediated
apoptosis of vascular cells, the blunted ability of high density lipoproteins
(HDL) to exert anti-inflammatory effects, and the exacerbated secretion of pro
inflammatory cytokines by immune cells. Additional studies are now required to
address the relative contribution of lipid raft abnormalities to the
pathophysiology of atherosclerosis and cardiovascular disease.
PMID- 22071359
TI - Association between cytochrome P450 2C19 polymorphism and clinical outcomes in
Chinese patients with coronary artery disease.
AB - BACKGROUND: Cytochrome P450 (CYP)2C19 is expressed in vascular endothelium and
metabolizes arachidonic acid to biologically active epoxyeicosatrienoic acids,
which play a key role in regulating vascular tone. The aim of this study was to
investigate whether the genetic functional variant 681G>A (*2) of cytochrome
CYP2C19 is associated with adverse cardiovascular outcomes in Chinese patients
with coronary artery disease (CAD). METHODS: Between July 2008 and September
2009, 654 consecutive patients with CAD were enrolled in this study. All
participants underwent CYP2C19 genotyping. The primary study endpoint was a
composite of cardiovascular death, nonfatal myocardial infarction, and nonfatal
stroke. Secondary endpoints included the components of the primary endpoint,
death from any cause, and recurrent revascularization. RESULTS: The baseline
characteristics were well-balanced between carriers (heterozygous *1/*2, n=291;
homozygous *2/*2, n=57) and non-carriers (n=306) of the CYP2C19*2 variant. During
the follow-up period (11.42+/-4.23 months), the primary endpoint occurred more
frequently in homozygous *2/*2 than in non-carriers (n=306) of CYP2C19*2 variant
(12.28% versus 3.27%; adjusted hazard ratio [HR]=5.191; 95% confidence interval
[CI]=1.936-13.917; P=0.001); however, no such increase was evident in
heterozygous *1/*2 patients (4.12% versus 3.27%; adjusted HR=1.208; 95% CI 0.517
2.822; P=0.662). CONCLUSIONS: The homozygous CYP2C19*2/*2 genotype is an
independent determinant of adverse vascular events in Chinese patients with CAD.
PMID- 22071360
TI - Interaction of apolipoprotein E genotype with smoking and physical inactivity on
coronary heart disease risk in men and women.
AB - OBJECTIVE: Apolipoprotein E genotype (APOE) polymorphism affects lipid levels and
coronary heart disease (CHD) risk. However, these associations may be modified by
lifestyle factors. Therefore, we studied whether smoking, physical inactivity or
overweight interact with APOE on cholesterol levels and CHD risk. METHODS:
Combining two Swedish case-control studies yielded 1735 CHD cases and 4654
population controls (3747 men, 2642 women). Self-reported questionnaire lifestyle
data included smoking (ever [current or former regular] or never) and physical
inactivity (mainly sitting leisure time). We obtained LDL cholesterol levels and
APOE genotypes. CHD risk was modelled using logistic regression to obtain odds
ratios (ORs) and 95% confidence intervals (CIs), adjusted for relevant
covariates. RESULTS: Smoking interacted with APOE on CHD risk; adjusted ORs for
ever versus never smoking were 1.45 (95% CI 1.00-2.10) in E2 carriers, 2.25 (95%
CI 1.90-2.68) in E3 homozygotes and 2.37 (95% CI 1.85-3.04) in E4 carriers.
Female E4 carriers had OR 3.62 (95% CI 2.32-5.63). The adjusted ORs for physical
inactivity were 1.09 (95% CI 0.73-1.61), 1.34 (95% CI 1.12-1.61), and 1.79 (95%
CI 1.38-2.30) in E2, E3E3 and E4 groups, respectively. No interaction was seen
between overweight and APOE for CHD risk, or between any lifestyle factor and
APOE for LDL cholesterol levels. CONCLUSION: The APOE E2 allele counteracted CHD
risk from smoking in both genders, while the E4 allele was seen to potentiate
this risk mainly in women. Similar E2 protection and E4 potentiation was
suggested for CHD risk from physical inactivity.
PMID- 22071361
TI - Evaluation of the online-presence (homepage) of burn units/burn centers in
Germany, Austria and Switzerland.
AB - PURPOSE: A successful online presence is an important key factor in the
competition among hospitals today. However, little is known about the internet
presence and the quality of websites of burn units on the World Wide Web. The aim
was to assess the online presence of hospitals provided by specialized burn units
in German speaking countries with a focus on the rate and the performance of
actively run websites. METHODS: A multicenter, observational, cross-sectional
study was performed over a period of 1.5 month (October-December 2010). Forty
four burn units were assessed by using a previously generated criteria list. The
list included 36 criteria with following topics: "research and teaching";
"patient care"; "clinical emphases", "general information"; "information
brokerage". RESULTS: Overall, the websites examined offered a good overview about
their different online services with many multimedia-based elements included. All
websites consisted of hyperlinks, general multimedia-based elements and
information on means of communication with the hospital, respectively. In
contrast, the quality of specific information for burn patients was relatively
poor. With regard to the need of elderly people, the usability and the layout,
the different websites offer a lot of options for future improvements.
CONCLUSION: Burn centers in Germany, Austria and Switzerland already consider the
World Wide Web as an important tool for self-promotion and communication. The
potential of burn center websites to function as a knowledge base for first aid
as well as preventive measurements should be considered and realized in future
web site designs.
PMID- 22071362
TI - Distribution of oceanic 137Cs from the Fukushima Dai-ichi Nuclear Power Plant
simulated numerically by a regional ocean model.
AB - Radioactive materials were released to the environment from the Fukushima Dai
ichi Nuclear Power Plant as a result of the reactor accident after the Tohoku
earthquake and tsunami of 11 March 2011. The measured (137)Cs concentration in a
seawater sample near the Fukushima Dai-ichi Nuclear Power Plant site reached 68
kBq L(-1) (6.8 * 10(4)Bq L(-1)) on 6 April. The two major likely pathways from
the accident site to the ocean existed: direct release of high radioactive liquid
wastes to the ocean and the deposition of airborne radioactivity to the ocean
surface. By analysis of the (131)I/(137)Cs activity ratio, we determined that
direct release from the site contributed more to the measured (137)Cs
concentration than atmospheric deposition did. We then used a regional ocean
model to simulate the (137)Cs concentrations resulting from the direct release to
the ocean off Fukushima and found that from March 26 to the end of May the total
amount of (137)Cs directly released was 3.5 +/- 0.7 PBq ((3.5 +/- 0.7) *
10(15)Bq). The simulated temporal change in (137)Cs concentrations near the
Fukushima Daini Nuclear Power Plant site agreed well with observations. Our
simulation results showed that (1) the released (137)Cs advected southward along
the coast during the simulation period; (2) the eastward-flowing Kuroshio and its
extension transported (137)C during May 2011; and (3) (137)Cs concentrations
decreased to less than 10 BqL(-1) by the end of May 2011 in the whole simulation
domain as a result of oceanic advection and diffusion. We compared the total
amount and concentration of (137)Cs released from the Fukushima Dai-ichi reactors
to the ocean with the (137)Cs released to the ocean by global fallout. Even
though the measured (137)Cs concentration from the Fukushima accident was the
highest recorded, the total released amount of (137)Cs was not very large.
Therefore, the effect of (137)Cs released from the Fukushima Dai-ichi reactors on
concentration in the whole North Pacific was smaller than that of past release
events such as global fallout, and the amount of (137)Cs expected to reach other
oceanic basins is negligible comparing with the past radioactive input.
PMID- 22071364
TI - Men's experiences of gynaecomastia and corrective surgery: a qualitative report.
PMID- 22071363
TI - Monitoring of aerosols in Tsukuba after Fukushima Nuclear Power Plant incident in
2011.
AB - Artificial radionuclides were released into the atmosphere by the Fukushima Dai
ichi Nuclear Power Plant incident after a strong earthquake on 11 March 2011.
Aerosol monitoring at the Geological Survey of Japan, Tsukuba, was started 20 d
after the incident. Radionuclides such as (99)Mo/(99m)Tc, (132)Te/(132)I, (129
m)Te/(129)Te, (131)I, (137)Cs, (136)Cs, (134)Cs, (140)Ba/(140)La, (110 m)Ag, and
(95)Nb were observed and, with the exception of (137)Cs and (134)Cs, these
radionuclides decreased to below the limit of detection in the middle of June.
The activity ratio of atmospheric (134)Cs/(137)Cs in aerosols decreased over time
almost following physical decays. Therefore, the (134)Cs/(137)Cs activity ratio
in the averaged air mass in this study could be regarded as homogeneous although
those of several reactors in the Nuclear Power Plant were not ascertained. A
further research on the released (137)Cs and (134)Cs would be necessary for the
sedimentology of lake sediment.
PMID- 22071365
TI - Six-fold hexagonal symmetric nanostructures with various periodic shapes on GaAs
substrates for efficient antireflection and hydrophobic properties.
AB - We fabricated various periodic nanostructures with a six-fold hexagonal symmetry
on gallium arsenide (GaAs) substrates using simple process steps, together with a
theoretical analysis of their antireflective properties. Elliptical photoresist
(PR) nanopillars, which are inevitably generated by the asymmetric intensity
distribution of the laser interference, were converted to rounded lens-like
patterns by a thermal reflow process without any additional complex optic
systems, thus leading to an exact six-fold hexagonal symmetry. Various shaped
periodic nanostructures including nanorods, cones, truncated cones, and even
parabolic patterns were obtained under different etching conditions using the
rounded lens-like PR patterns formed by the reflow process. For the parabolic
structure, the calculated lowest average reflectance of ~ 2.3% was obtained. To
achieve better antireflection characteristics, an aluminum-doped zinc oxide (AZO)
film was deposited on the GaAs parabolas, which forms an AZO/GaAs parabolic
nanostructure. The structure exhibited a low average reflectance of ~ 1.2% over
a wide wavelength region of 350-1800 nm and a hydrophobic surface with a water
contact angle of theta(c) ~ 115 degrees . The calculated reflectances were
reasonably consistent with the measured results.
PMID- 22071367
TI - The interruptive effect of pain in a multitask environment: an experimental
investigation.
AB - Daily life is characterized by the need to stop, start, repeat, and switch
between multiple tasks. Here, we experimentally investigate the effects of pain,
and its anticipation, in a multitask environment. Using a task-switching
paradigm, participants repeated and switched between 3 tasks, of which 1
predicted the possible occurrence of pain. Half of the participants received low
intensity pain (N = 30), and half high intensity pain (N = 30). Results showed
that pain interferes with the performance of a simultaneous task, independent of
the pain intensity. Furthermore, pain interferes with the performance on a
subsequent task. These effects are stronger with high intensity pain than with
low intensity pain. Finally, and of particular importance in this study,
interference of pain on a subsequent task was larger when participants switched
to another task than when participants repeated the same task. PERSPECTIVE: This
article is concerned with the interruptive effect of pain on people's task
performance by using an adapted task-switching paradigm. This adapted paradigm
may offer unique possibilities to investigate how pain interferes with task
performance while people repeat and switch between multiple tasks in a multitask
environment.
PMID- 22071366
TI - Individual differences in the effects of music engagement on responses to painful
stimulation.
AB - Engaged attention, including music listening, has shown mixed results when used
as a method for reducing pain. Applying the framework of constructivism, we
extend the concept of engagement beyond attention/distraction to include all
cognitive and emotional/motivational processes that may be recruited in order to
construct an alternative experience to pain and thus reduce pain. Using a music
listening task varying in task demand, we collected stimulus-evoked potentials,
pupil dilation, and skin conductance responses to noxious electrocutaneous
stimulations as indicators of central and peripheral arousal, respectively. Trait
anxiety (Spielberger State-Trait Anxiety Inventory) and absorption (Tellegen
Absorption Scale) provided indicators of individual differences. One hundred and
fifty-three healthy, normal volunteers participated in a test session in which
they received 3 stimulus intensity levels while listening to background tones (No
Task) or performing a music-listening task. Linear slopes indicating net
engagement (change in stimulus arousal relative to task performance) decreased
with increasing task demand and stimulus level for stimulus-evoked potentials.
Slopes for pupil dilation response and skin conductance response varied with task
demand, anxiety, and absorption, with the largest engagement effect occurring for
high anxiety/high absorption participants. Music engagement reduces pain
responses, but personality factors like anxiety and absorption modulate the
magnitude of effect. PERSPECTIVE: Engaging in music listening can reduce
responses to pain, depending on the person: people who are anxious and can become
absorbed in activities easily may find music listening especially effective for
relieving pain. Clinicians should consider patients' personality characteristics
when recommending behavioral interventions like music listening for pain relief.
PMID- 22071368
TI - On the role of thermal activation in selective photochemistry: mechanistic
insight into the oxidation of propene on the V4O11- cluster.
AB - An experimental methodology for a mechanistic analysis of gas phase chemical
reactions is presented in the context of structure-reactivity relationships of
metal oxide clusters relevant to photocatalysis. The spectroscopic approach is
demonstrated with the investigation of the photoinduced oxygenation of propene on
the V(4)O(11)(-) cluster, where the thermal activation and subsequent
photoreaction are deduced with the information from (i) the temperature
dependency of the aggregation kinetics in the propene-seeded helium atmosphere of
an ion-trap reactor; (ii) the fluence dependency in the yield of different
product channels of the photoreaction and (iii) the intensity dependency in the
fragmentation of neutral reaction products that are probed via in situ multi
photon ionization. For the thermal reaction, selective hydrogen abstraction from
the allylic position of propene accompanied by the linkage to the cluster at the
dioxo moiety is postulated as the mechanism in the aggregation of propene on the
V(4)O(11)(-) cluster. In accordance with an insightful neutralization
reionization study (Schroder et al., J. Mass. Spectrom., 2010, 301, 84), the
subsequent photoinduced reaction is defined by an allylic oxidation in the
formation of acrolein from the initial allyloxy radical photoproduct. The
relevance of the observed selectivity is discussed in view of the electronic
structure and bond motifs offered by high valence oxide systems such as the
V(4)O(11)(-) cluster.
PMID- 22071369
TI - Mercury levels and trends (1993-2009) in bream (Abramis brama L.) and zebra
mussels (Dreissena polymorpha) from German surface waters.
AB - Mercury concentrations have been analysed in bream (Abramis brama L.) and zebra
mussels (Dreissena polymorpha) collected at 17 freshwater sites in Germany from
1993-2009 and 1994-2009, respectively, within the German Environmental Specimen
programme. Mercury concentrations in bream ranged from 21 to 881 ng g(-1) wet
weight with lowest concentrations found at the reference site Lake Belau and
highest in fish from the river Elbe and its tributaries. Statistical analysis
revealed site-specific differences and significant decreasing temporal trends in
mercury concentrations at most of the sampling sites. The decrease in mercury
levels in bream was most pronounced in fish from the river Elbe and its tributary
Mulde, while in fish from the river Saale mercury levels increased. Temporal
trends seem to level off in recent years. Mercury concentrations in zebra mussels
were much lower than those in bream according to their lower trophic position and
varied by one order of magnitude from 4.1 to 42 ng g(-1) wet weight (33-336 ng g(
1) dry weight). For zebra mussels, trend analyses were performed for seven
sampling sites at the rivers Saar and Elbe of which three showed significant
downward trends. There was a significant correlation of the geometric mean
concentrations in bream and zebra mussel over the entire study period at each
sampling site (Pearson's correlation coefficient=0.892, p=0.00002). A comparison
of the concentrations in bream with the environmental quality standard (EQS) of
20 ng g(-1) wet weight set for mercury in biota by the EU showed that not a
single result was in compliance with this limit value, not even those from the
reference site. Current mercury levels in bream from German rivers exceed the EQS
by a factor 4.5-20. Thus, piscivorous top predators are still at risk of
secondary poisoning by mercury exposure via the food chain. It was suggested
focusing monitoring of mercury in forage fish (trophic level 3 or 4) for
compliance checking with the EQS for biota and considering the age dependency of
mercury concentrations in fish in the monitoring strategy.
PMID- 22071370
TI - Electrochemical oxidation of nitrogen-heterocyclic compounds at boron-doped
diamond electrode.
AB - Nitrogen-heterocyclic compounds (NHCs) are toxic and bio-refractory contaminants
widely spread in environment. This study investigated electrochemical degradation
of NHCs at boron-doped diamond (BDD) anode with particular attention to the
effect of different number and position of nitrogen atoms in molecular structure.
Five classical NHCs with similar structures including indole (ID), quinoline
(QL), isoquinoline (IQL), benzotriazole (BT) and benzimidazole (BM) were selected
as the target compounds. Results of bulk electrolysis showed that degradation of
all NHCs was fit to a pseudo first-order equation. The five compounds were
degraded with the following sequence: ID>QL>IQL>BT>BM in terms of their rates of
oxidation. Quantum chemical calculation was combined with experimental results to
describe the degradation character of NHCs at BDD anode. A linear relationship
between degradation rate and delocalization energy was observed, which
demonstrated that electronic charge was redistributed through the conjugation
system and accumulated at the active sites under the attack of hydroxyl radicals
produced at BDD anode. Moreover, atom charge was calculated by semi empirical PM3
method and active sites of NHCs were identified respectively. Analysis of
intermediates by GC-MS showed agreement with calculation results.
PMID- 22071371
TI - Genotoxicity assessment of water soluble fractions of biodiesel and its diesel
blends using the Salmonella assay and the in vitro MicroFlow(r) kit (Litron)
assay.
AB - The designation of biodiesel as an environmental-friendly alternative to diesel
oil has improved its commercialization and use. However, most biodiesel
environmental safety studies refer to air pollution and so far there have been
very few literature data about its impacts upon other biotic systems, e.g. water,
and exposed organisms. Spill simulations in water were carried out with neat
diesel and biodiesel and their blends aiming at assessing their genotoxic
potentials should there be contaminations of water systems. The water soluble
fractions (WSF) from the spill simulations were submitted to solid phase
extraction with C-18 cartridge and the extracts obtained were evaluated carrying
out genotoxic and mutagenic bioassays [the Salmonella assay and the in vitro
MicroFlow(r) kit (Litron) assay]. Mutagenic and genotoxic effects were observed,
respectively, in the Salmonella/microsome preincubation assay and the in vitro MN
test carried out with the biodiesel WSF. This interesting result may be related
to the presence of pollutants in biodiesel derived from the raw material source
used in its production chain. The data showed that care while using biodiesel
should be taken to avoid harmful effects on living organisms in cases of water
pollution.
PMID- 22071372
TI - Transcriptional effects of perfluorinated compounds in rat hepatoma cells.
AB - Perfluorooctanesulfonate (PFOS) is the terminal degradation product of many
commercially used perfluorinated compounds, and most of the toxicity testing to
date has focused on its potential biological effects. While PFOS has been
extensively studied, other PFCs including replacement chemicals such as
perfluorobutanesulfonate (PFBS) and perfluorobutyric acid (PFBA), have not been
well characterized. Despite the relative lack of data available on these other
PFCs it has been assumed that they will cause similar or lesser effects than
PFOS. This study compared the effects of 10 PFCs routinely found in the
environment on mRNA abundance of 7 genes related to processes known to be
affected by PFOS, such as fatty acid and cholesterol synthesis, and thyroid
development. Rat H4IIE hepatoma cells were exposed and changes in mRNA abundance
were quantified by real-time PCR. Significant changes in mRNA abundance were
observed. The effects caused by the shorter chain replacement chemicals differed
significantly from those caused by PFOS or PFOA. Furthermore, not all of the PFCs
caused the same effects, and changes could not simply be attributed to chain
length or functional group. These differences could mean that these replacement
chemicals do not act through the same mechanisms as the more studied PFOS and
PFOA.
PMID- 22071373
TI - Henry's law constants of chlorinated solvents at elevated temperatures.
AB - Henry's law constants for 12 chlorinated volatile organic compounds (CVOCs) were
measured as a function of temperature ranging from 8 to 93 degrees C, using the
modified equilibrium partitioning in closed system (EPICS) method. The
chlorinated compounds include tetrachloroethylene, trichloroethylene, cis-1,2
dichloroethylene, vinyl chloride, 1,1,1-trichloroethane, 1,1-dichloroethane, 1,2
dichloroethane, chloroethane, carbon tetrachloride, chloroform, dichloromethane,
and chloromethane. The variation in Henry's constants for these compounds as a
function of temperature ranged from around 3-fold (chloroethane) to 30-fold (1,2
dichloroethane). Aqueous solubilities of the pure compounds were measured over
the temperature range of 8-75 degrees C. The temperature dependence of Henry's
constant was predicted using the ratio of pure vapor pressure to aqueous
solubility, both of which are functions of temperature. The calculated Henry's
constants are in a reasonable agreement with the measured results. With the
improved data on Henry's law constants at high temperatures measured in this
study, it will be possible to more accurately model subsurface remediation
processes that operate near the boiling point of water.
PMID- 22071374
TI - House crickets can accumulate polybrominated diphenyl ethers (PBDEs) directly
from polyurethane foam common in consumer products.
AB - Polybrominated diphenyl ether (PBDE) flame retardants are added at percent levels
to many polymers and textiles abundant in human spaces and vehicles, wherein they
have been long assumed to be tightly sequestered. However, the mgkg(-1) burdens
recently detected in indoor dust testify to substantial releases. The bulk of
released PBDEs remain in the terrestrial environment, yet comparatively little
research focuses on this compartment. There, insects/arthropods, such as
crickets, are the most abundant invertebrate organisms and facilitate the trophic
transfer of contaminants by breaking down complex organic matter (including
discarded polymers) and serving as food for other organisms. Our experiments
revealed that house crickets (Acheta domesticus) provided uncontaminated food and
free access to PUF containing Penta-BDE (8.7%drywt) for 28 d accumulated
substantial PBDE body burdens. Crickets allowed to depurate gut contents
exhibited whole body burdens of up to 13.4 mg kg(-1) lipid SigmaPenta-BDE, 1000
fold higher than typically reported in humans. Non-depurated crickets and molted
exoskeletons incurred even higher SigmaPenta-BDE, up to 80.6 and 63.3 mg kg(-1)
lipid, respectively. Congener patterns of whole crickets and molts resembled
those of PUF and the commercial Penta-BDE formulation, DE-71, indicative of
minimal discrimination or biotransformation. Accumulation factor (AF)
calculations were hampered by uncertainties in determining actual PUF ingestion.
However, estimated AFs were low, in the range of 10(-4)-10(-3), suggesting that
polymer-PBDE interactions limited uptake. Nonetheless, results indicate that
substantial PBDE burdens may be incurred by insects in contact with current-use
and derelict treated polymers within human spaces and solid waste disposal sites
(e.g. landfills, automotive dumps, etc.). Once ingested, even burdens not
absorbed across the gut wall may be dispersed within proximate terrestrial food
webs via the insect's movements and/or predation.
PMID- 22071375
TI - Measurement of pyrene in the gills of exposed fish using synchronous fluorescence
spectroscopy.
AB - A synchronous fluorescence spectroscopy (SFS) method was developed for
determination of pyrene in the gills of exposed fish. The wavelength differences
(Deltalambda) of 50 nm was maintained between excitation and emission wavelengths
and it was found to be suitable for the effective determination of pyrene in fish
gills; the peak were observed at lambda(ex) 334.5 nm. Linear relationships
between SFS intensity and the concentration of pyrene in n-hexane solution were
established. It was demonstrated that the SFS method was effective, simple, and
less expensive, providing an attractive alternative for the rapid analysis of
pyrene in fish gills.
PMID- 22071376
TI - Molecular-targeted nanotherapies in cancer: enabling treatment specificity.
AB - Chemotherapy represents a mainstay and powerful adjuvant therapy in the treatment
of cancer. The field has evolved from drugs possessing all-encompassing cell
killing effects to those with highly targeted, specific mechanisms of action; a
direct byproduct of enhanced understanding of tumorigenic processes. However,
advances regarding development of agents that target key molecules and
dysregulated pathways have had only modest impacts on patient survival. Several
biological barriers preclude adequate delivery of drugs to tumors, and remain a
formidable challenge to overcome in chemotherapy. Currently, the field of
nanomedicine is enabling the delivery of chemotherapeutics, including
repositioned drugs and siRNAs, by giving rise to carriers that provide for
protection from degradation, prolonged circulation times, and increased tumor
accumulation, all the while resulting in reduced patient morbidity. This review
aims to highlight several innovative, nanoparticle-based platforms with the
potential of providing clinical translation of several novel chemotherapeutic
agents. We will also summarize work regarding the development of a multistage
drug delivery strategy, a robust carrier platform designed to overcome several
biological barriers while en route to tumors.
PMID- 22071377
TI - Predictors of suicidal ideation with sub-optimal health status and anxiety
symptom among Chinese adolescents.
AB - Evidences in respect to the predictors of suicide ideation are uncertain and most
associations only have been identified in cross-sectional studies. More
information is needed to identify whether these predictors are true risk factors
and can predict the development of suicidal ideation independently. Using the
data from a prospective, longitudinal study (n = 2348), we examined the
predictors of suicide ideation with demographic variety and psychological well
being of adolescents. Positive items of sub-optimal health status and anxiety
symptom at baseline could strongly predict the incidence of self-reported
suicidal ideation on a 1-year follow-up study. These results have implications
for programs aimed at identifying school students at risk for suicide.
PMID- 22071378
TI - Association of the CHRNA5-A3-B4 gene cluster with heaviness of smoking: a meta
analysis.
AB - INTRODUCTION: Variation in the CHRNA5-A3-B4 gene cluster is a promising candidate
region for smoking behavior and has been linked to multiple smoking-related
phenotypes (e.g., nicotine dependence) and diseases (e.g., lung cancer). Two
single nucleotide polymorphisms (SNPs), rs16969968 in CHRNA5 and rs1051730 in
CHRNA3, have generated particular interest. METHODS: We evaluated the published
evidence for association between rs16969968 (k = 27 samples) and rs1051730 (k =
44 samples) SNPs with heaviness of smoking using meta-analytic techniques. We
explored which SNP provided a stronger genetic signal and investigated study
level characteristics (i.e., ancestry, disease state) to establish whether the
strength of association differed across populations. We additionally tested for
small study bias and explored the impact of year of publication. RESULTS AND
CONCLUSIONS: Meta-analysis indicated compelling evidence of an association
between the rs1051730/rs16966968 variants and daily cigarette consumption (fixed
effects: B = 0.91, 95% CI = 0.77, 1.06, p < .001; random effects: B = 1.01, 95%
CI = 0.81, 1.22, p < .001), equivalent to a per-allele effect of approximately 1
cigarette/day. SNP rs1051730 was found to provide a stronger signal than
rs16966968 in stratified analyses (p(diff) = .028), although this difference was
only qualitatively observed in the subset of samples that provided data on both
SNPs. While the functional relevance of rs1051730 is unknown, it may be a strong
tagging SNP for functional haplotypes in this region.
PMID- 22071380
TI - Long-term follow-up of DDD and VDD pacing: a prospective non-randomized single
centre comparison of patients with symptomatic atrioventricular block.
AB - AIMS: This prospective non-randomized single-centre registry compared clinical
outcome, pacing parameters, and long-term survival in patients receiving VDD or
DDD pacemaker (PMs) for symptomatic atrioventricular (AV) block. METHODS AND
RESULTS: Single-lead VDD (n= 166) and DDD (n= 254) PMs were implanted in 420
successive patients with isolated AV block between January 2001 and December
2009. At the end of the follow-up period [median 25 (1-141) months], there was no
difference in the incidence of atrial fibrillation [11.2% in the VDD group; 11.4%
in the DDD group (P= 0.95)], myocardial infarction [31.1% in the VDD group; 25.2%
in the DDD group (P= 0.20)], or dilated cardiomyopathy [9.9% in the VDD group;
8.9% in the DDD group (P= 0.74)]. At last follow-up, 65.9% of the VDD PMs and
89.3% of the DDD PMs were still programmed in their original mode with good
atrial sensing. Due to permanent atrial fibrillation, 7.9% patients out of the
VDD group had been switched to VVIR mode and 8.7% patients out of the DDD group
to VVIR or DDIR mode. The P-wave amplitude was poor (sensed P-wave <0.5 mV) in
19.1% of the VDD PM and 1.6% of the DDD PM (P< 0.001) and 7.1% of the VDD
patients and 0.4% of the DDD patients had been switched to VVIR pacing mode due
to P-wave undersensing and AV dissociation (P= 0.003). Symptomatic atrial
undersensing requiring upgrading was similar in both groups. The overall
survival, adjusted for age, was not significantly different in the VDD and the
DDD group (log rank: 0.26). Moreover, Cox survival analysis excluded the pacing
mode as a significant predictor of mortality [hazard ratio (HR) = 0.79,
confidence interval (CI) (0.46-1.35), P= 0.39]. CONCLUSION: Comparing VDD and DDD
pacing, a significantly larger number of VDD-paced patients developed poor atrial
signal detection without clinical impact. However, atrial under sensing did not
influence the incidence of atrial fibrillation, myocardial infarction, dilated
cardiomyopathy, or mortality.
PMID- 22071381
TI - Unusual failure of left ventricular lead implantation diagnosed by multidetector
computed tomography.
PMID- 22071382
TI - Women and minorities are less likely to receive an implantable cardioverter
defibrillator for primary prevention of sudden cardiac death.
AB - INTRODUCTION: Implantable cardioverter defibrillators (ICDs) improve survival in
patients with depressed left ventricular ejection fraction (EF). We investigated
whether women and minorities are as likely as white men to receive an ICD for
primary prevention of sudden cardiac death. METHODS AND RESULTS: We reviewed the
electronic medical records of patients with cardiomyopathy by nuclear single
photon emission computed tomography imaging (EF <= 35%), who had no prior history
of sustained ventricular arrhythmias. Clinical and demographic data were
collected and the Charlson comorbidity index (CCI) was calculated for each
patient. A total of 233 non-selected patients (age = 68 +/- 12 years, 29% women,
21% black, EF 24 +/- 6%, CCI 6.62 +/- 2.9) were included in this analysis of whom
111 (48%) received an ICD. In univariate analysis, ICD recipients were more
likely to be Caucasian men compared with black men or women from all races. After
adjusting for race, gender, EF, and the CCI in a multivariate logistic regression
model, women were 61% less likely than men [odds ratio (OR) = 0.39, 95%
confidence interval (CI) 0.20-0.74, P= 0.004] and blacks were 72% less likely
than whites (OR = 0.28, 95% CI 0.13-0.59, P= 0.001) to receive an ICD.
CONCLUSIONS: Even after adjusting for comorbid conditions, gender, and racial
discrepancies in the implantation of ICDs for the primary prevention of sudden
cardiac death exist. Further investigations into the root causes of these
discrepancies are needed before any corrective measures can be adopted.
PMID- 22071383
TI - Implantable loop recorders are cost-effective when used to investigate transient
loss of consciousness which is either suspected to be arrhythmic or remains
unexplained.
AB - AIMS: To assess the cost-effectiveness of implantable loop recorders (ILRs) in
people with transient loss of consciousness (TLoC), which, after initial
assessment and specialist cardiovascular assessment, is either suspected to be
arrhythmic in origin or remains unexplained. This analysis was conducted to
inform clinical guideline recommendations made by the National Institute for
Health and Clinical Excellence (NICE) on the management of TLoC. METHODS AND
RESULTS: Decision analytic modelling was used to estimate the costs and benefits
of using ILRs compared with a strategy of no further diagnostic testing.
Diagnostic outcomes were estimated from a systematic review and used to populate
a decision tree model. To capture the main consequences of diagnosis, the costs
and benefits of treatment for several clinically significant arrhythmias were
estimated within the model. We used a cost-utility approach, in which benefits
are measured using quality adjusted life years (QALYs), and took a UK National
Health Service (NHS) and personal social services perspective. The cost per QALY
was L17,400 in patients with unexplained syncope and L16,400 in patients with
suspected arrhythmic syncope. Sensitivity analysis found that the cost
effectiveness estimates are fairly robust despite the areas of uncertainty
identified in the evidence and assumptions used to inform the model. CONCLUSIONS:
Implantable loop recorder monitoring is likely to be a cost-effective strategy in
people presenting to the UK NHS who are experiencing infrequent episodes of TLoC
which either remain unexplained or are suspected to be arrhythmic after initial
assessment and specialist cardiovascular assessment. Implantable loop recorder
monitoring has been recommended by NICE for these populations.
PMID- 22071384
TI - The influence of bacille Calmette-Guerin vaccine strain on the immune response
against tuberculosis: a randomized trial.
AB - RATIONALE: Approximately 100 million doses of bacille Calmette-Guerin (BCG)
vaccine are given each year to protect against tuberculosis (TB). More than 20
genetically distinct BCG vaccine strains are in use worldwide. Previous studies
suggest that BCG vaccine strain influences the immune response and protection
against TB. Current data on which BCG vaccine strain induces the optimal immune
response in humans are insufficient. OBJECTIVES: To compare the immune response
to three different BCG vaccine strains given to infants at birth. METHODS:
Newborn infants in a tertiary women's hospital were immunized at birth with one
of three BCG vaccine strains. A stratified randomization according to the
mother's region of birth was used. MEASUREMENTS AND MAIN RESULTS: The presence of
mycobacterial-specific polyfunctional CD4 T cells measured by flow cytometry 10
weeks after immunization. Of the 209 infants immunized, data from 164 infants
were included in the final analysis (BCG-Denmark, n = 54; BCG-Japan, n = 54; BCG
Russia, n = 57). The proportion of polyfunctional CD4 T cells was significantly
higher in infants immunized with BCG-Denmark (0.013%) or BCG-Japan (0.016%) than
with BCG-Russia (0.007%) (P = 0.018 and P = 0.003, respectively). Infants
immunized with BCG-Japan had higher concentrations of secreted Th1 cytokines;
infants immunized with BCG-Denmark had higher proportions of CD107-expressing
cytotoxic CD4 T cells. CONCLUSIONS: There are significant differences in the
immune response induced by different BCG vaccine strains in newborn infants.
Immunization with BCG-Denmark or BCG-Japan induced higher frequencies of
mycobacterial-specific polyfunctional and cytotoxic T cells and higher
concentrations of Th1 cytokines. These findings have potentially important
implications for global antituberculosis immunization policies and future
tuberculosis vaccine trials.
PMID- 22071386
TI - Empyema necessitatis due to Actinomyces israelii.
PMID- 22071385
TI - A mediation model linking body weight, cognition, and sleep-disordered breathing.
AB - RATIONALE: Academic success involves the ability to use cognitive skills in a
school environment. Poor academic performance has been linked to disrupted sleep
associated with sleep-disordered breathing (SDB). In parallel, poor sleep is
associated with increased risk for obesity, and weight management problems have
been linked to executive dysfunction, suggesting that interactions may be
operational between SDB and obesity to adversely affect neurocognitive outcomes.
OBJECTIVES: To test whether mediator relationships exist between body weight,
SDB, and cognition. METHODS: Structural equation modeling was conducted on data
from 351 children in a community-based cohort assessed with the core subtests of
the Differential Abilities Scales after an overnight polysomnogram. Body mass
index, apnea-hypopnea index, and cognitive abilities were modeled as latent
constructs. MEASUREMENTS AND MAIN RESULTS: In a sample of predominantly white
children 6 to 10 years of age, SDB amplified the adverse cognitive and weight
outcomes by 0.55- to 0.46-fold, respectively. Weight amplified the risk by 0.39-
to 0.40-fold for SDB and cognitive outcomes, respectively. Poor ability to
perform complex mental processing functions increased the risk of adverse weight
and SDB outcomes by 2.9- and 7.9-fold, respectively. CONCLUSIONS: Cognitive
functioning in children is adversely affected by frequent health-related
problems, such as obesity and SDB. Furthermore, poorer integrative mental
processing may place a child at a bigger risk for adverse health outcomes.
PMID- 22071387
TI - ACSM Clinician Profile.
PMID- 22071388
TI - Placebos, expectations, and the power of words.
PMID- 22071390
TI - Sport physicians should practice the full gamut of their profession: moving from
sports medicine to sports and exercise medicine.
PMID- 22071391
TI - Sideline acupuncture for acute pain control: a case series.
PMID- 22071392
TI - Head injuries, heading, and the use of headgear in soccer.
AB - Soccer has more than 265 million players around the world and is the only contact
sport with purposeful use of the head for controlling and advancing the ball.
Head contact in soccer has the potential to cause acute traumatic brain injury
including concussion or, potentially, a pattern of chronic brain injury. Although
early retrospective research on the effects of soccer heading seemed to suggest
that purposeful heading may contribute to long-term cognitive impairment,
prospective controlled studies do not support this and, in fact, suggest that
purposeful heading may not be a risk factor for cognitive impairment. Headgear
has not been shown to be effective in reducing ball impact but may be helpful in
reducing the force of non-ball-related impacts to the head. There are concerns
that universal use of headgear may cause more aggressive heading and head
challenges, leading to increased risk of injury.
PMID- 22071393
TI - Nutrition update for the ultraendurance athlete.
AB - Participation in ultraendurance events has been increasing. Appropriate nutrition
in training and fueling while racing within the confines of gastrointestinal
tolerability is essential for optimal performance. Unfortunately, there has been
a paucity of studies looking at this special population of athletes. Recent field
studies have helped to clarify appropriate fluid intake and dispel the myth that
moderate dehydration while racing is detrimental. Additional current nutrition
research has looked at the role of carbohydrate manipulation during training and
its effect on macronutrient metabolism, as well as of the benefits of the
coingestion of multiple types of carbohydrates for race fueling. The use of
caffeine and sodium ingestion while racing is common with ultraendurance
athletes, but more research is needed on their effect on performance. This
article will provide the clinician and the athlete with the latest nutritional
information for the ultraendurance athlete.
PMID- 22071394
TI - Snowboarding injuries.
AB - Snowboarding has gained immense popularity during the past 30 years and continues
to appeal to many young participants. Injury patterns and characteristics of
injuries seen commonly in snowboarders have rapidly evolved during this time.
Risk factors have emerged, and various methods of reducing injuries to
snowboarders have been investigated. It is important that medical providers are
knowledgeable about this growing sport and are prepared to adequately evaluate
and treat snowboarding injuries. This article will review the issues and discuss
diagnostic and treatment principles regarding injuries seen commonly in
snowboarders. Injury prevention should be emphasized, particularly with young
riders and beginners.
PMID- 22071395
TI - Review and role of plyometrics and core rehabilitation in competitive sport.
AB - Core stability and plyometric training have become common elements of training
programs in competitive athletes. Core stability allows stabilization of the
spine and trunk of the body in order to allow maximal translation of force to the
extremities. Plyometric training is more dynamic and involves explosive-strength
training. Integration of these exercises theoretically begins with core
stabilization using more static exercises, allowing safe and effective transition
to plyometric exercises. Both core strengthening and plyometric training have
demonstrated mixed but generally positive results on injury prevention
rehabilitation of certain types of injuries. Improvement in performance compared
to other types of exercise is unclear at this time. This article discusses the
theory and strategy behind core stability and plyometric training; reviews the
literature on injury prevention, rehabilitation of injury, and performance
enhancement with these modalities; and discusses the evaluation and
rehabilitation of core stability.
PMID- 22071396
TI - Physical activity prescription for childhood cancer survivors.
AB - Physical activity can play a vital role in the treatment and prevention of many
of the long-term effects of childhood cancer and cancer therapy. Specifically,
physical activity may attenuate the long-term risk for adverse cardiovascular
effects, low bone density, obesity, and poor quality-of-life measures. Physicians
caring for long-term survivors of cancer should be prepared to evaluate the
survivor's risk for long-term effects and provide accurate advice regarding the
prescription of physical activity for the management and prevention of these
problems. Knowing when physical activity prescription can help mitigate the late
effects of childhood cancer and cancer therapy, and the barriers to physical
activity for survivors, will help physicians provide quality care to childhood
cancer survivors.
PMID- 22071397
TI - Do youth sports prevent pediatric obesity? A systematic review and commentary.
AB - Sport is a promising setting for obesity prevention among youth, but little is
known about whether it prevents obesity. We reviewed research comparing sport
participants with nonparticipants on weight status, physical activity, and diet.
Among 19 studies, we found no clear pattern of association between body weight
and sport participation. Among 17 studies, we found that sport participants are
more physically active than those who do not participate. We found seven studies
that compared the diet of sport participants with non-participants. These studies
reported that youth involved in sport were more likely to consume fruits,
vegetables, and milk, and also more likely to eat fast food and drink sugar
sweetened beverages and consume more calories overall. It is unclear from these
results whether sports programs, as currently offered, protect youth from
becoming overweight or obese. Additional research may foster understanding about
how sport, and youth sport settings, can help promote energy balance and healthy
body weight.
PMID- 22071398
TI - Posterior ankle impingement in the dancer.
AB - Dancers spend a lot of time in the releve position in demi-pointe and en pointe
in their training and their careers. Pain from both osseous and soft tissue
causes may start to occur in the posterior aspect of their ankle. This article
reviews the potential causes of posterior ankle impingement in dancers. It will
discuss the clinical evaluation of a dancer and the appropriate workup and
radiographic studies needed to further evaluate a dancer with suspected posterior
ankle impingement.
PMID- 22071399
TI - Cardiovascular risk factors in football players.
AB - Cardiovascular disease is a leading cause of death in the United States. Football
players represent a subpopulation that may have a unique risk profile pattern.
Studies have suggested that football players may be at increased risk for
cardiovascular disease. Paradoxically, there may be a cardioprotective effect
associated with activity in general and, specifically, participation at higher
levels of football. Our review will attempt to outline the pertinent evidence in
regards to cardiovascular risk factors in football players. Specifically,
hypertension, hypercholesterolemia, obesity, and sedentary lifestyle will be
considered. In addition, we will discuss potential risk factors for investigation
including C-reactive protein, homocysteine, insulin resistance, and sleep
disordered breathing. Studies at all levels of competition will be considered,
including retired players whose findings may represent lifelong changes that
occur as a result of participation in football. Further investigation will be
needed to help clarify the relationship between football participation and
cardiovascular risk.
PMID- 22071400
TI - Consortium for Health and Military Performance and American College of Sports
Medicine consensus paper on extreme conditioning programs in military personnel.
AB - A potential emerging problem associated with increasingly popularized extreme
conditioning programs (ECPs) has been identified by the military and civilian
communities. That is, there is an apparent disproportionate musculoskeletal
injury risk from these demanding programs, particularly for novice participants,
resulting in lost duty time, medical treatment, and extensive rehabilitation.
This is a significant and costly concern for the military with regard to
effectively maintaining operational readiness of the Force. While there are
certain recognized positive aspects of ECPs that address a perceived and/or
actual unfulfilled conditioning need for many individuals and military units,
these programs have limitations and should be considered carefully. Moreover,
certain distinctive characteristics of ECPs appear to violate recognized accepted
standards for safely and appropriately developing muscular fitness and are not
uniformly aligned with established and accepted training doctrine. Accordingly,
practical solutions to improve ECP prescription and implementation and reduce
injury risk are of paramount importance.
PMID- 22071401
TI - Glycogen replenishment with chocolate milk consumption.
PMID- 22071402
TI - Response to the article on baseline neuropsychological testing: throwing away
clinical gold with the statistical bathwater.
PMID- 22071408
TI - Muscle strength and soccer practice as major determinants of bone mineral density
in adolescents.
AB - OBJECTIVES: To analyse the relationship between isokinetic strength of the lower
limb muscles and bone mineral density and content (BMD, BMC) of adolescent male
soccer players and age-matched controls not involved in sport (12-15 years).
METHODS: A random sample of 151 young males was divided into soccer players (SG;
n=117) and control subjects (CG; n=34). Peak torque of knee extensors (PTE) and
flexors (PTF) was measured during isokinetic knee joint movement (90 degrees /s)
of the dominant and non-dominant lower limbs. BMD and BMC of the whole-body,
lumbar spine, dominant/non-dominant lower limb were determined by dual-energy X
ray absorptiometry. Physical activity was monitored with accelerometers during 5
days. Estimated maturity offset was used as an indicator of biological maturity
status. RESULTS: Whole-body BMD (1.03+/-0.01 vs. 0.98+/-0.01 g/cm2, P=0.003) and
dominant (1.09+/-0.01 vs. 1.02+/-0.01 g/cm2, P<0.001) and non-dominant (1.09+/
0.01 vs. 1.01+/-0.01 g/cm2, P<0.001) lower limb BMD was greater in SG compared to
CG. No significant differences were found for BMC. Compared to CG, SG performed
better in the YY-IE2 test (780+/-40 vs. 625+/-31 m), exhibited higher PTE
(dominant limb: 155.2+/-30.3 vs. 123.4+/-37.0N m; non-dominant limb: 156.2+/-36.1
vs. 120.4+/-41.1 N m) and PTF muscles (dominant limb: 79.0+/-25.3 vs. 57.1+/-25.3
Nm; non-dominant limb: 73.3+/-20.7 vs. 57.0+/-24.2N m). Moreover, the PTE, soccer
participation and maturity status were positively associated with the BMD at all
body sites (r2=0.57-0.73, P<0.05). CONCLUSIONS: Muscle strength of knee extensors
is associated with BMD and BMC at all body sites. Muscle-skeletal structures
respond positively to the weight-bearing and impact-loading imposed by soccer
practice. Soccer seemed to be a multilateral balanced sport activity.
PMID- 22071409
TI - An analysis of the pharmacokinetic parameter ratios in DCE-MRI using the
reference region model.
AB - Dynamic contrast-enhanced magnetic resonance imaging (DCE-MRI) is performed by
obtaining sequential MRI images, before, during and after the injection of a
contrast agent. It is usually used to observe the exchange of contrast agent
between the vascular space and extravascular extracellular space (EES), and
provide information about blood volume and microvascular permeability. To
estimate the kinetic parameters derived from the pharmacokinetic model, accurate
knowledge of the arterial input function (AIF) is very important. However, the
AIF is usually unknown, and it remains very difficult to obtain such information
noninvasively. In this article, without knowledge of the AIF, we applied a
reference region (RR) model to analyze the kinetic parameters. The RR model
usually depends on kinetic parameters found in previous studies of a reference
region. However, both the assignment of reference region parameters (intersubject
variation) and the selection of the reference region itself (intrasubject
variation) may confound the results obtained by RR methods. Instead of using
literature values for those pharmacokinetic parameters of the reference region,
we proposed to use two pharmacokinetic parameter ratios between the tissue of
interest (TOI) and the reference region. Specifically, one parameter K(R) is
calculated as the ratio between the volume transfer constant K(trans) of the TOI
and RR. Similarly, another parameter V(R) is calculated as the ratio between the
extravascular extracellular volume fraction v(e) of the TOI and RR. To
investigate the consistency of the two ratios, the K(trans) of the RR was varied
ranging from 0.1 to 1.0 min(-1), covering the cited literature values. A
simulated dataset with different levels of Gaussian noises and an in vivo dataset
acquired from five canine brains with spontaneous occurring brain tumors were
used to study the proposed ratios. It is shown from both datasets that these
ratios are independent of K(trans) of the RR, implying that there is potentially
no need to obtain information about literature values from the reference region
for future pharmacokinetic modeling and analysis.
PMID- 22071410
TI - Automatic segmentation of brain white matter and white matter lesions in normal
aging: comparison of five multispectral techniques.
AB - White matter loss, ventricular enlargement and white matter lesions are common
findings on brain scans of older subjects. Accurate assessment of these different
features is therefore essential for normal aging research. Recently, we developed
a novel unsupervised classification method, named 'Multispectral Coloring
Modulation and Variance Identification' (MCMxxxVI), that fuses two different
structural magnetic resonance imaging (MRI) sequences in red/green color space
and uses Minimum Variance Quantization (MVQ) as the clustering technique to
segment different tissue types. Here we investigate how this method performs
compared with several commonly used supervised image classifiers in segmenting
normal-appearing white matter, white matter lesions and cerebrospinal fluid in
the brains of 20 older subjects with a wide range of white matter lesion load and
brain atrophy. The three tissue classes were segmented from T(1)-, T(2)-, T(2)*-
and fluid attenuation inversion recovery (FLAIR)-weighted structural MRI data
using MCMxxxVI and the four supervised multispectral classifiers available in the
Analyze package, namely, Back-Propagated Neural Networks, Gaussian classifier,
Nearest Neighbor and Parzen Windows. Bland-Altman analysis and Jaccard index
values indicated that, in general, MCMxxxVI performed better than the supervised
multispectral classifiers in identifying the three tissue classes, although final
manual editing was still required to deliver radiologically acceptable results.
These analyses show that MVQ, as implemented in MCMxxxVI, has the potential to
provide quick and accurate white matter segmentations in the aging brain,
although further methodological developments are still required to automate fully
this technique.
PMID- 22071411
TI - Imaging longitudinal changes in articular cartilage and bone following
doxycycline treatment in a rabbit anterior cruciate ligament transection model of
osteoarthritis.
AB - OBJECTIVE: The development of osteoarthritis following traumatic anterior
cruciate ligament (ACL) injury is well established. However, few reliable
indicators of early osteoarthritic changes have been established, which has
limited the development of effective therapies. T(1rho) and T(2) mapping
techniques have the ability to provide highly accurate and quantitative
measurements of articular cartilage degeneration in vivo. Relating these
cartilaginous changes to high-resolution bone-densitometric evaluations of the
late-stage osteoarthritic bone is crucial in elucidating the mechanisms of
development of traumatic osteoarthritis (OA) and potential therapies for early-
or late-stage intervention. METHODS: Twelve rabbits were monitored with in vivo
magnetic resonance imaging (MRI) scans following ACL transection surgery with a
contralateral leg sham operation. Six of the rabbits were treated with oral
doxycycline for the duration of the experiment. At 12 weeks, the excised knees
from three animals from each group (n=6 overall) were subjected to micro-computed
tomography (CT) analysis. RESULTS: Consistent with previous studies, initial
elevations in T(1rho) and T(2) values in ACL-transected animals were observed
with relative normalization towards values see in sham-operated legs over the 12
week study. This biphasic pattern could hold diagnostic potential to
differentiate osteoarthritic cartilage by tracking the relative proportions of
T(1rho) and T(2) values as they rise with inflammation then fall as collagen and
proteoglycan loss leads to further dehydration. The addition of doxycycline
resulted in inconclusive, yet potentially interesting, cartilaginous changes in
several compartments of the rabbit legs. Micro-CT studies demonstrated decreased
bone densitometrics in ACL-transected knees. Correlation studies suggest that the
cartilaginous changes may be associated with some aspects of bony change and the
development of OA. CONCLUSION: We conclude that there are definite relationships
between cartilaginous changes as seen on MRI and late-stage microstructural bony
changes after traumatic ACL injury in rabbits. In addition, doxycycline may show
promise in mitigating early-stage cartilage damage that may serve to lessen late
stage osteoarthritic changes. This study demonstrates the ability to track OA
progression and therapeutic efficacy with imaging modalities in vivo.
PMID- 22071412
TI - Quantitative measurement of radial head fracture location.
AB - BACKGROUND: The most common location of a displaced fracture of part of the
radial head is often described as the anterior lateral aspect of the radial head
with the forearm in neutral position, based on observation rather than precise
measurements. The purpose of our study was to measure the exact location of
fractures involving part of the radial head using quantitative 3-dimensional
computed tomography (CT). MATERIALS AND METHODS: We measured the fracture lines
with respect to the biceps tuberosity in 24 patients with a displaced articular
fracture of part of the radial head (Mason type 2). Two observers preformed each
measurement twice. Reliability was measured using the concordance correlation
coefficient according to Lin. RESULTS: The average start of the fracture was 97
degrees (standard deviation [SD]) 48.3 degrees ; range 31 degrees -254 degrees )
clockwise from the biceps tuberosity, the average end of the fracture was 241.6
degrees (SD, 61.0; range 19 degrees -330 degrees ), and the average fracture
subtends was 170 degrees (SD, 32.8 degrees ; range 99 degrees -252 degrees ).
The fracture was through the anterolateral quadrant of the radial head in 22 of
the 24 patients and through the posteromedial quadrant in 2 patients. CONCLUSION:
This quantitative analysis of CT scans of displaced articular fractures of part
of the radial head (Mason type 2) confirms that the most common location is the
anterolateral quadrant with the forearm in neutral rotation. Given the important
role of the radial head in elbow stability, more accurate characterization of
incomplete radial head fractures may improve our understanding of treatment and
outcome of these fractures.
PMID- 22071413
TI - Genetic variations in the CYP17A1 and NT5C2 genes are associated with a reduction
in visceral and subcutaneous fat areas in Japanese women.
AB - Visceral fat accumulation has an important role in increasing the morbidity and
mortality rates, by increasing the risk of developing several metabolic
disorders, such as type 2 diabetes, dyslipidemia and hypertension. New genetic
loci that are associated with increased systolic and diastolic blood pressures
have been identified by genome-wide association studies in Caucasian populations.
This study investigates whether single nucleotide polymorphisms (SNPs) that
confer susceptibility to high blood pressure are also associated with visceral
fat obesity. We genotyped 1279 Japanese subjects (556 men and 723 women) who
underwent computed tomography for measuring the visceral fat area (VFA) and
subcutaneous fat area (SFA) at the following SNPs: FGF5 rs16998073, CACNB2
rs11014166, C10orf107 rs1530440, CYP17A1 rs1004467, NT5C2 rs11191548, PLEKHA7
rs381815, ATP2B1 rs2681472 and rs2681492, ARID3B rs6495112, CSK rs1378942, PLCD3
rs12946454, and ZNF652 rs16948048. In an additive model, risk alleles of the
CYP17A1 rs1004467 and NT5C2 rs11191548 were found to be significantly associated
with reduced SFA (P=0.00011 and 0.0016, respectively). When the analysis was
performed separately in men and women, significant associations of rs1004467
(additive model) and rs11191548 (recessive model) with reduced VFA (P=0.0018 and
0.0022, respectively) and SFA (P=0.00039 and 0.00059, respectively) were observed
in women, but not in men. Our results suggest that polymorphisms in the CYP17A1
and NT5C2 genes influence a reduction in both visceral and subcutaneous fat mass
in Japanese women.
PMID- 22071414
TI - In vitro evaluation of cytotoxicity of permanent prosthetic materials.
AB - OBJECTIVES: To assess qualitative and quantitative cytotoxicity effect on
permanent prosthetic materials to human gingival fibroblasts. METHODS: Human
gingival tissues were collected (with informed consent) from patients undergoing
periodontal surgical procedures and fibroblasts were cultured in vitro. Cell type
was determined by performing proteomic analysis. Selected prosthetic materials
including titanium, feldspathic ceramic, gold and chrome-cobalt alloy specimens
(5*2 mm) were fabricated. The toxicity of prepared specimens was tested by
exposing them to cell culture medium for 48, 72, 96 and 120 hours at 37 degrees C
under sterile conditions. Cell viability was estimated using MTT (3-[4,5
dimethylthiazol-2-yl]-2,5-diphenyltetrazolium bromide) assay. The data concerning
cell viability were statistically analyzed using two-way ANOVA test and Tukey
multiple comparison test. RESULTS: Results obtained after 48 hours showed no
toxic effect of titanium compared to control group. Cytotoxic effect was observed
in gold alloy and feldspathic ceramic, however, it was not significant compared
to control group. Chrome-cobalt alloy significantly reduced cell viability
compared to control group (p<=0.001). Cytotoxicity diminished with increasing
incubation time of specimens. After 120 hours of incubation all tested materials,
except chrome-cobalt alloy, had no cytotoxicity. CONCLUSIONS: Titanium proved to
be non-toxic. Gold alloy and feldspathic ceramic had short-term cytotoxic effect.
Chrome-cobalt alloy had highest cytotoxic effect on fibroblast cells.
PMID- 22071415
TI - Specific signaling molecule expressions in the interradicular septum in different
age groups.
AB - INTRODUCTION: Orthodontic teeth movement is accompanied by the remodeling of
alveolar bone, including the interradicular septum. Bone contains three cell
types, osteoblasts, osteocytes, and osteoclasts that are in direct contact with
all of the cellular elements in the bone marrow. Marrow is the source of both
bone-building osteoblasts and bone destroying osteoclasts, and the turnover of
bone occurs throughout life. Bone signalling molecules have important functions
during osteogenesis, and they are active in the bone remodelling process.
Patients involved in orthodontic treatment belong to different age groups:
therefore age must be considered as a contributing factor compromising the
osteogenetic potential of bone. The aim of the current study was to investigate
the specific expression of signalling molecules in the interradicular septum in
different age groups. MATERIALS AND METHODS: The study group included 17 patients
to whom the extraction of teeth was recommended as part of further orthodontic
treatment. Patients (9 males and 8 females) - were divided into 3 groups 1st
group - 12-14 years old); 2nd group - 15-22 years old; 3rd group - 23 years old
or older. Expression of BMP 2/4, TGF-alpha, IL-1, IL-8, OPG, MMP-1, MMP-2, MMP-8,
MMP-9, MMP-13, NGFR, NKpB 105, osteocalcin, and osteopontin in interradicular
septum tissues was examined. TUNEL staining was also completed. The distribution
of these factors was evaluated semi quantitalively. RESULTS: In the
interradicular septum bone structure, the expression levels of osteocalcin,
osteoprotegerin, matrix metalloproteinases 8 and 9, and nuclear factor kappa B
were determined in all samples. TUNEL staining was also done. Age related
decreases in the mean values of signalling factors and the number of apoptotic
cells were statistically significant. CONCLUSION: Specific to interradicular
septum osteoblasts and osteoclasts factors include osteoprotegerin, osteocalcin,
matrix metalloproteinase 8, matrix metallproteniase 9, and nuclear factors kappa
B. The mean expression levels of these proteins and the mean TUNEL staining
statistically significantly decreased with age. This is preliminary study and
more patients are necessary for more precise statistical analysis in the future.
PMID- 22071416
TI - Soft tissue thickness changes after correcting Class III malocclusion with
bimaxillar surgery.
AB - THE AIM OF THIS STUDY was to evaluate and analyse soft tissue thickness changes
after bimaxillary orthognathic surgery. MATERIALS AND METHODS: Eighty three
consecutive patients (54 males and 29 females) with Class III malocclusion
operated with bimaxillary orthognathic surgery were enrolled in this study.
Standardized lateral cephalograms of adequate quality were analysed. RESULTS: The
mean upper lip thickness decreased as a result of the surgery and statistically
significant differences were recorded (p<0.01). The statistically significant
difference in the post surgical tissue thickness of the lower lip also was
recorded (p<0.05). Subjects with thick upper lips compared to patients with thin
upper lips demonstrated greater (0.7 mm) and statistically significant (p<0.01)
increase of vertical nasal projection. Vertical growth pattern had an influence
only on B point to lower lip distance, which exhibited greater (2.2 mm; p<0.01)
decrease during observation period. CONCLUSION: It was found differences in the
soft tissue responses between patients with thick or thin soft tissues after
bimaxillary surgery and it should be taken into account while planning operation.
PMID- 22071417
TI - Postmenopausal osteoporosis and tooth loss.
AB - OBJECTIVE: The aim of this study was to determine relation between tooth loss and
general body bone mineral density in postmenopausal female who were seeking for
prosthetic treatment. MATERIAL AND METHODS: There were included 79 women in this
study (age from 49-81 years, mean age 62.9 years) with partial tooth loss. For
all patients bone mineral density measurements for lumbar spine and both femoral
necks by dual energy X-ray absorptiometry (Lunar DEXA DPX-NT, GE Medical Systems)
were performed. Based on DEXA results patients were divided into 3 groups: normal
bone density (T-score >=-1.0), osteopenia (T-score from -1.0 till -2.5) and
osteoporosis (T-score <=-2.5). Dental investigation was performed to detect
existing teeth. ANOVA analysis of variance was used to determine relationship
between different variables by group. To test correlation between different
values Pearson correlation was used. RESULTS: The number of teeth in different
bone mineral density groups is almost similar. There are no statistically
significant differences between groups according the number of the all teeth
present and according the number of teeth in maxilla and mandible. There is no
significant correlation between the number of the teeth and DEXA readings, except
there is weak correlation between the number of maxillary posterior teeth and
bone mineral density in femoral neck. CONCLUSION: There is no correlation between
number of the teeth and general bone mineral density.
PMID- 22071418
TI - Growth factors, genes, bone proteins and apoptosis in the temporomandibular joint
(TMJ) of children with ankylosis and during disease recurrence.
AB - AIM OF STUDY was complex detection of appearance and distribution of growth
factors, facial bone growth stimulating genes, ground substance proteins and
apoptosis in bone of ankylotic TMJ in primary and repeatedly operated children.
MATERIALS AND METHODS: Ankylotic tissue was obtained during the arthroplastic
surgery from two 6 years old children (boy and girl) with osseous type of
disease. The girl underwent the repeated surgery in TMJ due to the same diagnosis
in age of 12 years. Ankylotic tissue was proceeded for detection of BMP2/4,
TGFbeta, Msx2, osteopontin, osteocalcin immunohistochemically, and apoptosis.
RESULTS demonstrated massive bone formation intermixed by neochondrogenesis the
lack of BMP 2/4, but abundant number of TGFbeta-containing cells in bone of all
tested cases. Despite rich osteopontin positive structures in bone obtained from
both - primary and repeated surgery, osteocalcin demonstrated variable appearance
in 6 years aged children, but was abundant in joint 5 years later during disease
recurrence. Expression of Msx2 varied widely before, but with tendency to
decrease stabilized until few positive cells in bone of 12 years old girl.
Apoptosis practically was not detected in primarily operated TMJ, but massively
affected the supportive tissue in girl with recurrent ankylosis. CONCLUSIONS: The
lack of BMP2/4 expression in ankylotic bone proves the disorders in cellular
differentiation with simultaneous compensatory intensification of cellular
proliferation and/or growth by rich expression of TGFbeta leading to the
remodelling of TMJ. Mainly rich distribution of osteocalcin and osteopontin
indicate the intensive mineralization processes of ankylotic bone. Persistent
Msx2 expression is characteristic for the supportive tissue of recurrent
ankylosis of TMJ and indicates the persistent stimulation of bone growth
compensatory limitated by massive increase of programmed cell death.
PMID- 22071419
TI - Focal epithelial hyperplasia: Case report.
AB - The purpose of the present article is to present a 15 year-old patient with focal
epithelial hyperplasia and to review the references on the subject-related
etiological, pathological, diagnostic and treatment aspects. Focal epithelial
hyperplasia is a rare human papilloma virus (HPV) related to oral lesion with
very low frequency within our population. Surgical treatment with a biopsy was
performed, acanthosis and parakeratosis are consistent histopathological
features, since the patient had no history of sexual contact and HIV infection,
the virus was probably acquired from environmental sources.
PMID- 22071420
TI - Effect of ZnCl2 on plaque growth and biofilm vitality.
AB - OBJECTIVE: The aim of this study was to evaluate the effects of ZnCl(2) on plaque
growth and vitality pattern of dental biofilm and to determine the optimum zinc
concentration for the inhibition of plaque formation. DESIGN: Data were collected
from nine volunteers for whom a special-designed acrylic appliance was prescribed
after a careful dental check up. The volunteers rinsed twice daily for 2min with
ZnCl(2) of 2.5, 5, 10, 20mM as treatment and double distilled water (DDW) as
control in respective assigned test weeks. The plaque index (PI) was assessed
after 48h of appliance wearing. The glass discs with the adhered biofilm were
removed from the splints and stained with two fluorescent dyes. The biofilm
thickness (BT) and bacterial vitality of the whole biofilm as well as the mean
bacterial vitality (BV) of the inner, middle and outer layers of biofilm were
evaluated under confocal laser scanning microscope (CLSM). RESULTS: PI, BT and BV
of biofilms treated by various concentrations of ZnCl(2) were reduced
significantly when compared with the DDW group (p<0.05). PI, BT and BV of the
2.5mM ZnCl(2) group was significantly higher than groups of 5, 10, 20mM ZnCl(2)
(p<0.05). The mean BV of the 3 layers (inner, middle and outer layers) showed
that 2.5mM ZnCl(2) was the lowest concentration to inhibit BV in the outer layer,
5mM was the lowest concentration to extend this inhibition of BV to the middle
layer, and none of the concentrations investigated in this study has shown any
effect on bacteria inhibition in the inner layer. CONCLUSION: Zinc ions exhibited
possible inhibitory effects on plaque formation, and have a promising potential
to be used as an antibacterial agent in future dentifrices and mouthrinses.
PMID- 22071421
TI - Editorial on "Capillary and microchip electrophoresis: challenging the common
conceptions" by M.C. Breadmore.
PMID- 22071422
TI - Generalized and rapid supramolecular solvent-based sample treatment for the
determination of annatto in food.
AB - A supramolecular solvent (SUPRA) made up of octanoic acid aggregates is proposed
for the microextraction of bixin and norbixin, the two major components of the
natural food colouring annatto, in food. The procedure involved the extraction of
sub-gram quantities (200mg) of homogenized food with 0.8mL of the supramolecular
solvent. The overall sample treatment took about 20 min, and several samples
could be simultaneously treated using conventional lab equipment. No clean-up or
solvent evaporation were required. Extraction efficiencies mainly depended on the
major components making up the SUPRAS (i.e. octanoic acid and tetrahydrofuran)
and were not affected by the pH or the temperature in the ranges studied (1-4 and
10-80 degrees C, respectively). Bixin and norbixin in the extracts were
quantified by liquid chromatography (LC) and diode array detection (DAD). They
were separated in a Hypersil C18 column using a mobile phase consisting of 5%
acetic acid and methanol (15:85, v/v). The retention times for norbixin and bixin
standards were 5.1 and 8.6 min, respectively. Recoveries in samples ranged
between about 78% and 113%. The precision of the method, expressed as relative
standard deviation, was about 1.5% and the quantitation limits for bixin and
norbixin were 0.19 and 0.23 mg kg(-1), respectively, which were far below the
maximum limits permitted by the European Union for the level of addition to food.
Concentration of norbixin in samples belonging to the five major groups of food
commodities defined in the literature, ranged between 3.75 and 43.8 mg kg(-1)
whereas bixin was only found in one snack sample (6.6 mg kg(-1)). The method is
simple and rapid, while delivering accurate and precise results, and can be used
for the routine determination of annatto in food for the control of the
compliance of current legislation.
PMID- 22071423
TI - Technology trends in antibody purification.
AB - This article reviews technology trends in antibody purification. Section 1
discusses non-chromatography methods, including precipitation, liquid-liquid
extraction, and high performance tangential flow filtration. The second addresses
chromatography methods. It begins with discussion of fluidized and fixed bed
formats. It continues with stationary phase architecture: diffusive particles,
perfusive particles, membranes and monoliths. The remainder of the section
reviews recent innovations in size exclusion, anion exchange, cation exchange,
hydrophobic interaction, immobilized metal affinity, mixed-mode, and bioaffinity
chromatography. Section 3 addresses an emerging trend of formulating process
buffers to prevent or correct anomalies in the antibodies being purified. Methods
are discussed for preventing aggregate formation, dissociating antibody
contaminant complexes, restoring native antibody from aggregates, and conserving
or restoring native disulfide pairing.
PMID- 22071424
TI - Effervescence-assisted dispersive micro-solid phase extraction.
AB - Extraction techniques are surface dependent processes since their kinetic
directly depends on the contact area between the sample and the extractant phase.
The dispersion of the extractant (liquid or solid) increases this area improving
the extraction efficiency. In this article, the dispersion of the sorbent at the
very low milligram level is achieved by effervescence thanks to the in situ
generation of carbon dioxide. For this purpose a special tablet containing the
effervescence precursors (sodium carbonate as carbon dioxide source and sodium
dihydrogen phosphate as proton donor) and the sorbent (OASIS-HLB) is fabricated.
All the microextraction process takes place in a 10 mL-glass syringe and the
solid, enriched with the extracted analytes, is recovered by filtration.
Acetonitrile was selected to elute the retained analytes. The extraction mode is
characterized and optimized using the determination of five nitroaromatic
compounds in water. The absolute recoveries of the analytes were in the range 61
85% while relative recoveries close to 100% in all cases, which demonstrates the
absence of matrix effect on the extraction. These values permit the determination
of these analytes at the microgram per liter range with good precision (relative
standard deviations lower than 6.1%) using ultra performance liquid
chromatography (UPLC) combined with ultraviolet (UV) detection as instrumental
technique.
PMID- 22071425
TI - Liquid phase chromatography on microchips.
AB - Over the past twenty years, the field of microfluidics has emerged providing one
of the main enabling technologies to realize miniaturized chemical analysis
systems, often referred to as micro-Total Analysis Systems (uTAS), or, more
generally, Lab-on-a-Chip Systems (LOC) [1,2]. While microfluidics was driven
forward a lot from the engineering side, especially with respect to ink jet and
dispensing technology, the initial push and interest from the analytical
chemistry community was through the desire to develop miniaturized sensors,
detectors, and, very early on, separation systems. The initial almost explosive
development of, in particular, chromatographic separation systems on microchips,
has, however, slowed down in recent years. This review takes a closer, critical
look at how liquid phase chromatography has been implemented in miniaturized
formats over the past several years, what is important to keep in mind when
developing or working with separations in a miniaturized format, and what
challenges and pitfalls remain.
PMID- 22071427
TI - Biomechanical analysis of pedicle screw thread differential design in an
osteoporotic cadaver model.
AB - BACKGROUND: Pedicle screw fixation, the standard surgical care for posterior
stabilization in the thoraco-lumbar spine has a high rate of failure in
osteoporotic individuals. Screw design factors and insertion techniques have been
shown to influence the biomechanical performance of pedicle screws. Our objective
was to investigate the biomechanical characteristics of pedicle screw fixation in
osteoporotic bone by comparing standard screws with newly designed differential
crest thickness dual lead screws. METHODS: An in-vitro spinal-level paired
factorial study design was used to examine thoraco-lumbar spine biomechanical
outcomes for differential pedicle screw thread designs. Six cadaveric human
spines (T8-L5) were tested for six groups (n=20) consisting of 2 different crest
thickness and 3 different insertion techniques. Bone mineral density was assessed
and peak insertion torque measured while placing one screw of new design and
control on the contralateral side. Screw pullout properties were measured from
classical American Society for Testing and Materials protocols. FINDINGS: The
screws designed specifically for osteoporotic bone showed significantly larger
insertion torque compared with the standard screw design irrespective of
insertion technique. Much of the variability in pullout failure and stiffness was
explained by bone mineral density. The osteoporotic screws of different crest
thickness were statistically similar to each other in all outcome measures.
INTERPRETATION: Compared with standard pedicle screws, the dual lead osteoporotic
specific pedicle screws demonstrated significantly larger insertion torques and
similar pullout properties. Non-significant increased biomechanical strength was
observed for thin crest compared to thick crest dual lead pedicle screws
indicating their enhanced purchase in osteoporotic bone.
PMID- 22071426
TI - Proximal and distal kinematics in female runners with patellofemoral pain.
AB - BACKGROUND: Female runners have a high incidence of developing patellofemoral
pain. Abnormal mechanics are thought to be an important contributing factor to
patellofemoral pain. However, the contribution of abnormal trunk, hip, and foot
mechanics to the development of patellofemoral pain within this cohort remains
elusive. Therefore the aim of this study was to determine if significant
differences during running exist in hip, trunk and foot kinematics between
females with and without patellofemoral pain. METHODS: 32 female runners (16
patellofemoral pain, 16 healthy control) participated in this study. All
individuals underwent an instrumented gait analysis. Between-group comparisons
were made for hip adduction, hip internal rotation, contra-lateral pelvic drop,
contra-lateral trunk lean, rearfoot eversion, tibial internal rotation, as well
as forefoot dorsiflexion and abduction FINDINGS: The patellofemoral pain group
had significantly greater peak hip adduction and hip internal rotation. No
differences in contra-lateral pelvic drop were found. A trend towards reduced
contra-lateral trunk lean was found in the patellofemoral pain group. No
significant differences were found in any of the rearfoot or forefoot variables
but significantly greater shank internal rotation was found in the patellofemoral
pain group. INTERPRETATION: We found greater hip adduction, hip internal rotation
and shank internal rotation in female runners with patellofemoral pain. We also
found less contra-lateral trunk lean in the patellofemoral pain group. This may
be a potential compensatory mechanism for the poor hip control seen.
Rehabilitation programs that correct abnormal hip and shank kinematics are
warranted in this population.
PMID- 22071428
TI - Cortical bone drilling and thermal osteonecrosis.
AB - BACKGROUND: Bone drilling is a common step in operative fracture treatment and
reconstructive surgery. During drilling elevated bone temperature is generated.
Temperatures above 47 degrees C cause thermal osteonecrosis which contributes to
screw loosening and subsequently implant failures and refractures. METHODS: The
current literature on bone drilling and thermal osteonecrosis is reviewed. The
methodologies involved in the experimental and clinical studies are described and
compared. FINDINGS: Areas which require further investigation are highlighted and
the potential use of more precise experimental setup and future technologies are
addressed. INTERPRETATION: Important drill and drilling parameters that could
cause increase in bone temperature and hence thermal osteonecrosis are reviewed
and discussed: drilling speed, drill feed rate, cooling, drill diameter, drill
point angle, drill material and wearing, drilling depth, pre-drilling, drill
geometry and bone cortical thickness. Experimental methods of temperature
measurement during bone drilling are defined and thermal osteonecrosis is
discussed with its pathophysiology, significance in bone surgery and methods for
its minimization.
PMID- 22071429
TI - Are the kinematics of the knee joint altered during the loading response phase of
gait in individuals with concurrent knee osteoarthritis and complaints of joint
instability? A dynamic stereo X-ray study.
AB - BACKGROUND: Joint instability has been suggested as a risk factor for knee
osteoarthritis and a cause of significant functional decline in those with
symptomatic disease. However, the relationship between altered knee joint
mechanics and self-reports of instability in individuals with knee osteoarthritis
remains unclear. METHODS: Fourteen subjects with knee osteoarthritis and
complaints of joint instability and 12 control volunteers with no history of knee
disease were recruited for this study. Dynamic stereo X-ray technology was used
to assess the three-dimensional kinematics of the knee joint during the loading
response phase of gait. FINDINGS: Individuals with concurrent knee osteoarthritis
and joint instability demonstrated significantly reduced flexion and
internal/external rotation knee motion excursions during the loading response
phase of gait (P<0.01), while the total abduction/adduction range of motion was
increased (P<0.05). In addition, the coronal and transverse plane alignment of
the knee joint at initial contact was significantly different (P<0.05) for
individuals with concurrent knee osteoarthritis and joint instability. However,
the anteroposterior and mediolateral tibiofemoral joint positions at initial
contact and the corresponding total joint translations were similar between
groups during the loading phase of gait. INTERPRETATIONS: The rotational patterns
of tibiofemoral joint motion and joint alignments reported for individuals with
concurrent knee osteoarthritis and joint instability are consistent with those
previously established for individuals with knee osteoarthritis. Furthermore, the
findings of similar translatory tibiofemoral motion between groups suggest that
self-reports of episodic joint instability in individuals with knee
osteoarthritis may not necessarily be associated with adaptive alterations in
joint arthrokinematics.
PMID- 22071430
TI - Simulated effect of reaction force redirection on the upper extremity mechanical
demand imposed during manual wheelchair propulsion.
AB - BACKGROUND: Manual wheelchair propulsion is associated with overuse injuries of
the shoulder. Reaction force redirection relative to upper extremity segments was
hypothesized as a means to redistribute mechanical load imposed on the upper
extremity without decrements in wheelchair propulsion performance. METHODS: Two
individuals performed wheelchair propulsion under simulated inclined (graded)
conditions using self-selected control strategies. Upper extremity kinematics and
reaction forces applied to the wheel were quantified and used as input into an
experiment-based multi-link inverse dynamics model that incorporates participant
specific experimental results. Reaction force direction was systematically
modified to determine the mechanical demand imposed on the upper extremity (elbow
and shoulder net joint moments and net joint forces) during wheelchair
propulsion. Results were presented as solution spaces to examine the upper
extremity load distribution characteristics within and between participants
across a range of reaction force directions. FINDINGS: Redirection of the
reaction force relative to the upper extremity segments provides multiple
solutions for redistributing mechanical demand across the elbow and shoulder
without decrements in manual wheelchair propulsion performance. The distribution
of load across RF directions was participant specific and was found to vary with
time during the push phase. INTERPRETATION: Solution spaces provide a mechanical
basis for individualized interventions that aim to maintain function and
redistribute load away from structures at risk for injury (e.g. reduce demand
imposed on shoulder flexors (reduce shoulder net joint moment) or reduce
potential for impingement (reduce shoulder net joint force).
PMID- 22071431
TI - Type 2 diabetes control and complications in specialised diabetes care centres of
six sub-Saharan African countries: the Diabcare Africa study.
AB - AIM: The Diabcare Africa project was carried out across six sub-Saharan African
countries to collect standardised and comparable information for the evaluation
of diabetes control, management and late complications in diabetic populations at
specialist clinics. METHODS: A cross-sectional, descriptive study of 2352 type-2
diabetes patients who were treated at specialist clinics for at least 12 months
prior to the study. RESULTS: The mean age of patients was 53.0+/-16.0 years and
had 8.0+/-6.0 years known duration of diabetes. 47% had their HbA1c assessed in
the past year (mean 8.2+/-2.4%) with 29% achieving a level <6.5%. 21% had BP
within 130/80 mmHg and 65% were treated for hypertension. Fasting lipids were
assessed in 45% of the patients with mean cholesterol level of 4.9+/-1.2 mmol/L,
HDL-cholesterol of 1.3+/-0.7 mmol/L and triglycerides of 1.2+/-0.7 mmol/L. 13% of
the patients were treated for hyperlipidaemia, mostly with statins. Background
retinopathy (18%) and cataract (14%) were the most common eye complications.
Macrovascular disease was rare, and 48% had neuropathy. CONCLUSIONS: Half of the
patients benefitted from standard care, and a third had appropriate glycaemic
control - attributed to access to, rather than quality of care. This study
provided evidence to support appropriate interventions to diabetic populations of
sub-Saharan origin.
PMID- 22071432
TI - Self-rated diabetes control in a Canadian population with type 2 diabetes:
associations with health behaviours and outcomes.
AB - AIMS: Diabetes control is a multifaceted process involving successful adherence
to a self-care regimen as indicated by improved health outcomes. The aim of this
study was to ascertain the construct validity of self-reported diabetes control
in a population-based survey. METHODS: This study assessed 1848 participants with
type 2 diabetes who took part in the Montreal Diabetes Health and Wellbeing Study
in Quebec, Canada. Participants were administered the diabetes complications
index as well as sociodemographic and health questions. RESULTS: Fair/poor
diabetes control was associated with being less likely to check blood glucose
weekly, being less likely to drink alcohol, being more likely to report being
physically inactive, reporting fair/poor eating habits, being obese and having 1
or more diabetes complications. When all variables were included in a regression
model the two variables most strongly associated with poor fair/poor diabetes
control were reporting fair/poor eating habits (odds ratio 1.36, 95% CI 1.00
1.85) and having 2 or more diabetes complications (odds ratio 1.60, 95% CI 1.06
2.40). CONCLUSIONS: Results from this study indicate that self-rated diabetes
control has associations with diabetes-specific self-care behaviours and
outcomes, and is a general indicator of self-care and diabetes-related
complications in a population-based survey.
PMID- 22071433
TI - Carotid intima-media thickness, but not visceral fat area or adiponectin,
correlates with intracoronary stenosis detected by multislice computed tomography
in people with type 2 diabetes and hypertension.
AB - We investigated the relationship between intracoronary stenosis detected by
multislice computed tomography and various clinical parameters in type 2 diabetic
patients with hypertension treated with candesartan (n=42). The results showed
that carotid intima-media thickness, but not visceral fat area or adiponectin,
correlated significantly with intracoronary stenosis (p<0.05).
PMID- 22071434
TI - Usefulness of hemoglobin A1c as a criterion of dysglycemia in the definition of
metabolic syndrome in Koreans.
AB - To explore the utility of the HbA1c criterion in the definition of metabolic
syndrome (MS) in Koreans, we cross-sectionally analyzed clinical and laboratory
data on 11,293 non-diabetic Korean adults (aged 20-89 years, 34% women) collected
during regular health checkups. Dysglycemia was defined as either fasting plasma
glucose (FPG) >= 5.6 mmol/l or HbA1c >= 5.7%. The prevalence of MS as judged by
the HbA1c criterion alone (17.8%) was significantly less than that determined by
FPG level alone (24.5%). Use of a combination of both criteria slightly increased
the prevalence of MS (26.0%). Among the 2953 subjects categorized as having MS
using the combined criteria, 929 (31%) were diagnosed by the FPG criterion alone,
177 (6%) by the HbA1c criterion alone, and 1847 (63%) using both criteria. The
group diagnosed using FPG values alone had significantly higher BMI, waist
circumference, blood pressure, fasting plasma insulin levels, and insulin
resistance index compared with those in the group diagnosed using HbA1c levels
alone. In men, the brachial-ankle pulse wave velocity was significantly higher
and the HDL-cholesterol level was lower in the HbA1c-alone group. Therefore,
employment of the HbA1c criterion may be useful to define MS in subjects at
increased risk for atherosclerosis.
PMID- 22071435
TI - One-step synthesis of cubic FeS2 and flower-like FeSe2 particles by a
solvothermal reduction process.
AB - In this paper, for the first time a simple batch process was utilized for the
facile synthesis of cubic FeS(2) and flower-like FeSe(2). By adjusting the amount
of solvents and surfactants added, pure pyrite FeS(2) with a defined crystalline
structure was obtained. It was found that the reaction temperatures and iron
sources had significant influence on the purities and morphologies of FeS(2) and
FeSe(2) particles. Raman spectra of the FeS(2) and FeSe(2) samples presented
characteristic peaks of S-S and Se-Se active modes at 337, 372 cm(-1), and 180,
217, 254 cm(-1), respectively. The absorption properties of the FeS(2) and
FeSe(2) samples were also investigated and the results demonstrated that these
samples had broad optical absorption in NIR. Moreover, the synthetic approach
demonstrated here may be of great potential for the controlled synthesis of other
metal chalcogenides.
PMID- 22071436
TI - Effects of localised and global convection on thermal explosion in a parallel
plate geometry.
AB - During an exothermic reaction in a fluid, convection may ensue on a local scale
and then develop to the scale of the entire vessel. In this work, we study the
effects of both localised and global convection on thermal explosions occurring
between parallel plates. Analytical relations are derived for the various
transitions in regimes of convective and thermal behaviours. We show that these
relations agree well with previous numerical work and with new simulations in the
present investigation. We also determine analytically the time for onset of
convection, as well as the temperature increase at that time, for stable and
explosive systems. The effects of the Prandtl number of the fluid on the
transitions between regimes are noted.
PMID- 22071437
TI - Does magnetoreception mediate biological effects of power-frequency magnetic
fields?
AB - The question of possible biological effects of power-frequency magnetic fields
(PF-MF) remains controversial, notably because no valid mechanism of interaction
could be proposed so far for intensities relevant to human and animal exposure
(e.g. such as near high-tension power lines). In rodents, however, a few
consistent effects of weak PF-MF have been reported. These are, notably,
influence on spatial memory and partial inhibition of melatonin secretion under
long-lasting exposure. Recent developments in study of magnetoreception in
mammals justify reviving the hypothesis previously proposed of the intervention
of the magnetic sense in melatonin disruption by PF-MF. We revisit this
hypothesis and revise and extend it with respect to current knowledge and,
particularly, with respect to reported effects on spatial memory. Proposals are
made for experimental testing of the hypothesis. We argue that these tests may
provide further insight into mechanisms of biological interactions of PF-MF and
also, into mechanisms of magnetoreception per se.
PMID- 22071438
TI - Transport behavior and rice uptake of radiostrontium and radiocesium in flooded
paddy soils contaminated in two contrasting ways.
AB - In order to investigate the transport behavior and rice uptake of radiostrontium
and radiocesium in flooded rice fields, lysimeter experiments with two paddy
soils were performed in a greenhouse. A solution containing (85)Sr and (137)Cs
was applied in two different ways - being mixed with the top soil 27 d before
transplanting or being dropped to the surface water 1d after transplanting. Rice
uptake was quantified with two kinds of transfer factor - TF(m) (dimensionless)
and TF(a) (m(2)kg(-1)-dry) for the pre- and post-transplanting depositions,
respectively. For brown rice, the TF(m) values of (85)Sr and (137)Cs differed
between the soils by factors of 2 (1.6*10(-2) and 2.5*10(-2)) and 7 (2.2*10(-2)
and 1.5*10(-1)), respectively. Corresponding factors by the TF(a) values were 2
(2.5*10(-4) and 4.4*10(-4)) for (85)Sr and 3 (1.1*10(-3) and 2.9*10(-3)) for
(137)Cs. Straws had several times higher TF(m) and TF(a) values of (85)Sr than of
(137)Cs. The surface-water concentrations were substantially higher for the TF(a)
than for the TF(m), indicating the possibility of a much higher plant-base uptake
for the TF(a). In the TF(a) soils, (137)Cs and, to a lesser degree, (85)Sr were
severely localized towards the soil surface, probably leading to an increased
root uptake. The activity loss due to plant uptake and water percolation was
generally inconsiderable. Time-dependent K(d) values of (85)Sr measured in a
parallel experiment ranged from 20 to 170, whereas (137)Cs had much higher K(d)
values. The use of TF(a) values instead of TF(m) values turned out to be a
reasonable approach to the evaluation of a vegetation-period deposition.
PMID- 22071439
TI - Survival following lung transplantation for silicosis and other occupational lung
diseases.
AB - BACKGROUND: Information is scant assessing outcomes in lung transplantation (LT)
in advanced occupational lung diseases (OLD). AIMS: To analyse survival after LT
for OLD. METHODS: Using data from the US Organ Procurement and Transplantation
Network Registry (OPTN-R), we identified subjects aged >= 18 years transplanted
for OLD from 2005 to 2010. OPTN-R selected referents of corresponding age, sex
and body mass index (BMI) who underwent LT for other diagnoses were also
identified. Post-LT survival time was estimated with Cox proportional hazard
models. Baseline age, BMI, forced expiratory volume in 1 s, creatinine, lung
allocation score, donor age, donor lung ischaemic time and transplant type
(single versus bilateral) were included as covariates. Time-dependent covariates
were used to model differences in relative risk over time. RESULTS: Thirty-seven
males underwent LT for silicosis (n = 19) or other OLD (n = 18) during the
analytic period (0.5% of all LTs). For non-silicotic OLD, 6-month and 1- and 3
year survival estimates were 66, 55 and 55%, compared with the silicotic group
(86, 86 and 76%) and referent group (89, 84 and 67%). During the first year post
transplant, those with OLD (silicosis and others combined) manifested an overall
2-fold increased mortality risk [hazard ratio (HR) 2.3, 95% CI 1.3-4.4; P < 0.05]
compared to referents. In stratified analysis, this increased risk of death was
restricted to those with non-silicotic OLD (HR 3.1, 95% CI 1.5-6.6; P < 0.01).
Poorer survival was limited to the first year post-LT. CONCLUSIONS: Subjects
undergoing LT for OLD other than silicosis may be at increased risk of death in
the first year post-transplantation.
PMID- 22071440
TI - [Long-term results of surgical treatment of scaphoid non union: influence of the
correction of dorsal intercalated segment instability].
AB - OBJECTIVES: The publications dealing with scaphoid non-union emphasize importance
of correction of the Dorsal Intercalated Segment Instability (DISI) to achieve
good functional results and to prevent osteoarthritis. The purpose of this study
was to assess, over 10 years follow-up, the functional outcomes of 25 patients
with scaphoid non-union surgery. X-ray and DISI deformity were assessed. METHODS:
Between 1994 and 1998, 53 patients underwent surgery for scaphoid non-union. Over
10 years follow-up, functional evaluation based on pain, QuickDASH and Mayo Wrist
Score was performed, and a physical examination including mobility, strength and
pain on the region of the scaphoid. Outcomes on X-rays were assessed by searching
osteoarthritis damages and by measuring carpal height index and intracarpal
angles. RESULTS: Eight patients had pain on the scaphotrapeziotrapezoidal joint
without degenerative lesions on radiography. Compared to other patients, they had
worse functional results, decrease of scapholunate angle, decrease of the DISI
and increase of carpal height. CONCLUSIONS: The correction of the DISI is not
always associated with good functional results. Although there were no
osteoarthritic changes on the radiography, residual pain may be related to
affection of the scapho-trapezo-trapezoidal joint. Surgical treatment with
osteotomy of the scaphoid seems to be dangerous because of the moderate impact on
function for these patients.
PMID- 22071441
TI - Support for self-management of cardiovascular disease by people with learning
disabilities.
AB - BACKGROUND: Cardiovascular disease (CVD) is the second most common cause of death
among people with learning disabilities (LD), and lifestyle has been linked to
risk factors. With a shift towards illness prevention and self-management
support, it is important to know how people with LD can be involved in this
process. OBJECTIVE: To elicit the perceptions of people with LD, carers and
health professionals regarding supported self-management of CVD. METHODS: A
qualitative approach used in-depth semi-structured interviews based on vignettes
with accompanying pictures. Fourteen people with LD, 11 carers/care staff and 11
health professionals were recruited and interviewed. Thematic framework analysis
was used to analyse interview data. RESULTS: In total, 11 men and 25 women were
interviewed. All respondents contributed views of self-management with a wide
range of opinions expressed within each participant group. Four key themes
encompassed: strategies for self-management; understanding the prerequisites for
self-management support; preferred supporters and challenges for self-management
implementation. Facilitated service user involvement in self-management decision
making was highly valued in all groups. Service users wished for co-ordinated
incremental support from across agencies and individuals. CONCLUSIONS: People
with LD can be effectively consulted regarding health management and their views
can inform service development. Promoting joined-up support across health and
social care and families will require investment in resources, education and
dismantling of professional barriers.
PMID- 22071442
TI - Evaluation of laser diode thermal desorption (LDTD) coupled with tandem mass
spectrometry (MS/MS) for support of in vitro drug discovery assays: increasing
scope, robustness and throughput of the LDTD technique for use with chemically
diverse compound libraries.
AB - Within the drug discovery environment, the key process in optimising the
chemistry of a structural series toward a potential drug candidate is the design,
make and test cycle, in which the primary screens consist of a number of in vitro
assays, including metabolic stability, cytochrome P450 inhibition, and time
dependent inhibition assays. These assays are often carried out using multiple
drug compounds with chemically diverse structural features, often in a 96 well
plate format for maximum time-efficiency, and are supported using rapid liquid
chromatographic (LC) sample introduction with a tandem mass spectrometry (MS/MS)
selected reaction monitoring (SRM) endpoint, taking around 6.5 h per plate. To
provide a faster time-to-decision at this critical point, there exists a
requirement for higher sample throughput and a robust, well-characterized
analytical alternative. This paper presents a detailed evaluation of laser diode
thermal desorption (LDTD), a relatively new ambient sample ionization technique,
for compound screening assays. By systematic modification of typical LDTD
instrumentation and workflow, and providing deeper understanding around
overcoming a number of key issues, this work establishes LDTD as a practical,
rapid alternative to conventional LC-MS/MS in drug discovery, without need for
extensive sample preparation or expensive, scope-limiting internal standards.
Analysis of both the five and three cytochrome P450 competitive inhibition assay
samples by LDTD gave improved sample throughput (0.75 h per plate) and provided
comparable data quality as the IC50 values obtained were within 3 fold of those
calculated from the LC-MS/MS data. Additionally when applied generically to a
chemically diverse library of over 250 proprietary compounds from the AstraZeneca
design, make and test cycle, LDTD demonstrated a success rate of 98%.
PMID- 22071443
TI - Simultaneous quantification of polymethoxylated flavones and coumarins in Fructus
aurantii and Fructus aurantii immaturus using HPLC-ESI-MS/MS.
AB - The major lipid-soluble constituents in Fructus aurantii (zhiqiao) and Fructus
aurantii immaturus (zhishi) are polymethoxylated flavones (PMFs) and coumarins.
In the present study, a high-performance liquid chromatography with electrospray
ionization tandem mass spectrometry method was developed to quantify PMFs
(nobiletin, tangeretin, 5-hydroxy-6,7,8,4'-tetramethoxyflavone, and natsudaidai)
and coumarins (marmin, meranzin hydrate, and auraptene) simultaneously. PMFs and
coumarins were detected by electrospray ionization tandem mass spectrometry in
positive ion mode and quantified with multiple reaction monitor. Samples were
separated on a Diamonsil C18 (150 mm * 4.6 mm, 5 MUm) column using acetonitrile
and formic acid-water solution as a mobile phase in gradient mode with a flow
rate at 0.5 mL/min. All calibration curves showed good linearity (r2 > 0.9977)
within the test ranges. Variations of the intraday and interday precisions were
less than 4.07%. The recoveries of the components were within the range of 95.79%
105.04% and the relative standard deviations were less than 3.82%. The method
developed was validated with acceptable accuracy, precision, and extraction
recoveries and can be applied for the identification and quantification of four
PMFs and three coumarins in citrus herbs.
PMID- 22071444
TI - Qualitative and quantitative analysis of the major constituents in Chinese
medicinal preparation Guan-Xin-Ning injection by HPLC-DAD-ESI-MS(n).
AB - Guan-Xin-Ning (GXN) injection, a traditional Chinese medicinal preparation
consisting of Radix Salvia miltiorrhiza and Rhizoma Ligusticum chuanxiong, has
been used to treat coronary heart disease and angina pectoris in China for
decades. In this paper, a HPLC/DAD/ESI-MS(n) method was successfully developed
for qualitative and quantitative analysis of the active components in GXN
injection for the first time. 28 compounds were identified by comparison of their
retention times and MS spectra (HPLC/DAD/ESI-MS(n)) with those elucidated
standards or recorded literature. 19 of them (danshensu, furoic acid, 3-O
caffeoylquinic acid, protocatechuic aldehyde, p-hydroxybenzoic acid, chlorogenic
acid, caffeic acid, 4-O-caffeoylquinic acid, vanillin, 1,3-dicaffeoylquinic acid,
4-hydroxycinnamic acid, ferulic acid, senkyunolide I, senkyunolide H,
isosalvianolic acid A, rosmarinic acid, salvianolic acid B, salvianolic acid A
and isosalvianolic acid C) were simultaneously determined by HPLC-DAD
quantitatively. The analytical method was validated and successfully applied for
simultaneous determination of major components in GXN injections from seven
different production batches, indicating that the proposed approach was
applicable for the routine analysis and quality control of GXN injection.
PMID- 22071445
TI - Development and validation of reversed phase high performance liquid
chromatographic method for determination of moxonidine in the presence of its
impurities.
AB - A simple, rapid, isocratic reversed-phase high-performance liquid chromatographic
method was developed and validated for the analysis of moxonidine and its
impurities in tablet formulations. The chromatographic separation was achieved on
a Symmetry shield C18 column (250 mm * 4.6 mm, 5 MUm) by employing a mobile phase
consisting of methanol-potassium phosphate buffer (0.05 M) mixture (15:85, v/v)
(pH 3.5) at a flow rate of 1 ml min-1; detection at 255 nm. Central composite
design technique and response surface method were used to evaluate the effects of
variations of selected factors (buffer pH value, column temperature, methanol
content) in order to achieve the best isocratic separation within short analysis
time (less than 10 min), as well as for robustness test considerations. The
method fulfilled the validation criteria: specificity, linearity, accuracy,
precision, limit of detection and limit of quantitation. The method was
successfully applied for the analysis of commercial moxonidine tablets.
PMID- 22071446
TI - Antimicrobial prospect of newly synthesized 1,3-thiazole derivatives.
AB - A new series of 1,3-thiazole and benzo[d]thiazole derivatives 10-15 has been
developed, characterized, and evaluated for in vitro antimicrobial activity at
concentrations of 25-200 MUg/mL against Gram+ve organisms such as methicillin
resistant Staphylococcus aureus (MRSA), Gram-ve organisms such as Escherichia
coli (E. coli), and the fungal strain Aspergillus niger (A. niger) by the cup
plate method. Ofloxacin and ketoconazole (10 MUg/mL) were used as reference
standards for antibacterial and antifungal activity, respectively. Compounds 11
and 12 showed notable antibacterial and antifungal activities at higher
concentrations (125-200 MUg/mL), whereas benzo[d]thiazole derivatives 13 and 14
were found to display significant antibacterial or antifungal activity (50-75
MUg/mL) against the Gram+ve, Gram-ve bacteria, or fungal cells used in the
present study. In addition, a correlation between calculated and determined
partition coefficient (log P) was established which allows future development of
compounds within this series to be carried out based on calculated log P values.
Moreover, compounds 13 and 14 show that the optimum logarithm of partition
coefficient (log P) should be around 4.
PMID- 22071447
TI - Chemical constituents of the Mexican mistletoe (Psittacanthus calyculatus).
AB - A phytochemical study of the methanol-soluble fraction of an aqueous extract of a
sample of Psittacanthus calyculatus collected from the host plant Prosopsis
laevigata (Smooth Mesquite) using several techniques, including co-chromatography
coupled with UV detection, chromatographic purifications and IR, NMR and MS
studies, resulted in the identification of gallic acid, two flavonol-3-biosides
and the nonprotein amino acid N-methyl-trans-4-hydroxy-L-proline.
PMID- 22071448
TI - Home versus ambulatory blood pressure monitoring in the diagnosis of clinic
resistant and true resistant hypertension.
AB - Ambulatory blood pressure (ABP) monitoring is recommended as a standard method
for the evaluation of resistant hypertension (RH). This study assessed the
diagnostic value of home blood pressure (HBP) monitoring in RH. Subjects on
stable treatment with >=3 antihypertensive drugs were included. Clinic RH (CRH)
was defined as elevated clinic blood pressure and true RH (TRH) as elevated ABP.
The diagnosis of CRH was verified by ABP and HBP monitoring. The diagnostic value
of HBP was assessed by taking ABP as reference method. Threshold for hypertension
diagnosis was >=135/85 mm Hg (systolic and/or diastolic) for HBP and awake ABP
and >=140/90 mm Hg for clinic blood pressure. Among 73 subjects on >=3
antihypertensive drugs, 44 (60%) had CRH and 40 (55%) TRH. There was agreement
between ABP and HBP in diagnosing CRH in 82% of the cases (26 subjects (59%) with
CRH and 10 (23%) without CRH; kappa 0.59). Regarding the diagnosis of TRH, there
was agreement between ABP and HBP in 74% of the cases (36 subjects (49%) with TRH
and 18 (25%) without TRH; kappa 0.46). The sensitivity, specificity, and positive
and negative predictive values of HBP in detecting CRH were 93%, 63%, and 81% and
83%, respectively, and TRH were 90%, 55%, and 71%, and 82%, respectively (ABP
taken as reference method). These data suggest that HBP is a reliable alternative
to ABP in the evaluation of RH. These methods are necessary in both uncontrolled
and controlled subjects on triple therapy to detect the white coat phenomenon and
also masked RH.
PMID- 22071449
TI - Planar chiral (eta6-arene)Cr(CO)3 containing carboxylic acid derivatives:
synthesis and use in the preparation of organometallic analogues of the
antibiotic platensimycin.
AB - With more and more organometallic compounds receiving attention for applications
in medicinal organometallic chemistry, the need arises for stereoselective
syntheses of more complicated structures containing organometallic moieties, for
example as isosteric substitutes for organic drug candidates. Herein, the
synthesis and characterization of both diastereomers of a planar chiral (eta(6)
arene)Cr(CO)(3) containing carboxylic acid derivative, namely, 3-{eta(6)-(1, 2,
3, 4-tetrahydro-1-endo/exo-methyl-2-oxonaphthalen-1-yl)
tricarbonylchromium(0)}propanoic acid (7 and 8) is reported. The molecular
structures of both were confirmed by single crystal X-ray diffraction. The degree
of diastereoselectivity in Cr(CO)(3) complexation with methyl/tert-butyl-3
(1,2,3,4-tetrahydro-1-methyl-2-oxonaphthalen-1-yl)propanoate (4a/4b) vs. the
Michael addition of methyl/tert-butyl acrylate to (eta(6)-1-methyl-2
tetralone)Cr(CO)(3) (9) was also examined. In the latter case the alkylation was
found to be completely diastereoselective and gave methyl/tert-butyl-3-{eta(6)
(1, 2, 3, 4-tetrahydro-1-endo-methyl-2-oxonaphthalen-1-yl)-tricarbonylchromium
(0)}propanoate (5a and 5b) in excellent yield. Both the carboxylic acids 7 and 8
were coupled with the aminoresorcyclic acid core to achieve diastereomeric
bioorganometallics 15a and 15b based on the naturally occurring antibiotic
platensimycin lead structure (1a, see Fig. 1). The newly synthesized
bioorganometallics were tested against various Gram-positive and Gram-negative
bacterial strains but show no promising antibacterial activity.
PMID- 22071450
TI - Ventricular septal necrosis after blunt chest trauma.
AB - Ventricular Septal Defect (VSD) after blunt chest trauma is a very rare traumatic
affection. We report here a case of blunt chest injury-related VSD and
pseudoaneurysm. A 30-year old male truck driver was referred from a trauma
center to our hospital seven days after a blunt chest trauma and rib fracture.
The patient had severe pulmonary edema and echocardiography showed large VSD.
Several mechanisms are involved in the pathogenesis of this affection including
an acute compression of the heart muscle between the sternum and the spine,
leading to excessive changes in the intrathoracic and most likely the
intracardiac pressure after blunt chest injury. Traumatical patients with the
same symptoms may be at risk of sudden death. Therefore, a high grade of
suspicion is mandatory even without solid evidence of myocardial damage on the
initial evaluation. In continue some hidden angles of this case was discussed.
Given the prognostic implications of traumatic VSD with associated
pseudoaneurysm, its detection has critical value for preventing its clinical
sequelae.
PMID- 22071451
TI - Cancer treatment-induced oral mucositis: a critical review.
AB - Head and neck cancer represents one of the main oncological problems. Its
treatment, radiotherapy and chemotherapy leads to mucositis, and other side
effects. The authors reviewed high-quality evidence published over the last 25
years on the treatment of cancer treatment-induced oral mucositis. A Medline
search for double blind randomized controlled clinical trials between 1985 and
2010 was carried out. The keywords were oral mucositis, radiotherapy,
chemotherapy, and head and neck. The different therapeutic approaches found for
cancer treatment-induced oral mucositis included: intensive oral hygiene care;
use of topical antiseptics and antimicrobial agents; use of anti-inflammatory
agents; cytokines and growth factors; locally applied non-pharmacological
methods; antioxidants; immune modulators; and homoeopathic agents. To date, no
intervention has been able to prevent and treat oral mucositis on its own. It is
necessary to combine interventions that act on the different phases of mucositis.
It is still unclear which strategies reduce oral mucositis, as there is not
enough evidence that describes a treatment with a proven efficiency and is
superior to the other treatments for this condition.
PMID- 22071452
TI - Pituitary volume mediates the relationship between pubertal timing and depressive
symptoms during adolescence.
AB - Early timing of puberty (i.e., advanced pubertal maturation relative to peers)
has been linked to the onset of depressive symptoms during the early adolescent
phase. However, the precise neurobiological mechanisms linking early pubertal
timing to adolescent depressive symptoms are not clear. We investigated whether
the volume of the pituitary gland, a key component of the hypothalamic-pituitary
gonadal (HPG) and hypothalamic-pituitary-adrenal (HPA) axes, mediated the
relationship between pubertal timing and depressive symptoms in 155 adolescents
(72 females) both cross-sectionally and longitudinally. At baseline (M age 12.7,
SD 0.5 years), early pubertal timing predicted larger pituitary gland volume and
higher depressive symptoms (especially for girls), but there was no mediation
effect. Longitudinally, however, larger pituitary gland volume at baseline was
found to mediate the relationship between early pubertal timing and increased
depressive symptoms over time (M follow-up period=2.57 years, SD=0.26) for both
boys and girls. Our findings suggest that neurobiological mechanisms are partly
responsible for the link between early pubertal timing and depressive symptoms in
adolescents. We speculate that an enlarged pituitary gland in adolescents with
early pubertal timing might be associated with hyperactivation of the hormonal
stress response, leading to increased susceptibility to environmental stressors,
and subsequent development of depressive symptoms. Given the well-established
relationship between increasing depressive symptoms in adolescence and later
disorder, these findings have implications for targeted prevention and early
intervention strategies for depressive disorders in adolescence.
PMID- 22071453
TI - Subtle regulation of the micro- and nanostructures of electrospun polystyrene
fibers and their application in oil absorption.
AB - In this study, we conducted a subtle regulation of micro- and nanostructures of
electrospun polystyrene (PS) fibers via tuning the molecular weights of the
polymers with different sources, solvent compositions, and solution
concentration. The surface morphology and porous structures of as-prepared PS
fibers were characterized, and a full and intuitive observation of the porous
structures as well as a tentative account of the formation of porous structures
was presented. Additionally, the porous PS fibrous mats showed much higher oil
absorption capacities than those of commercial polypropylene fibers in the form
of a non-woven fabric, which displays a bight future for oil spill cleanups. We
believe that such regulation of micro- and nanostructures of the PS fibers will
widen the range of their applications in self-cleaning materials, ultra-high
sensitivity sensors, tissue engineering, ion exchange materials, etc.
PMID- 22071454
TI - Integrated care for chronic conditions: the contribution of the ICCC Framework.
AB - OBJECTIVE: The aim of this research is to highlight the current relevance of the
Innovative Care for Chronic Conditions (ICCC) Framework, as a model for change in
health systems towards better care for chronic conditions, as well as to assess
its impact on health policy development and healthcare redesign to date. METHODS:
The authors reviewed the literature to identify initiatives designed and
implemented following the ICCC Framework. They also reviewed the evidence on the
effectiveness, cost-effectiveness and feasibility of the ICCC and the earlier
Chronic Care Model (CCM) that inspired it. RESULTS: The ICCC Framework has
inspired a wide range of types of intervention and has been applied in a number
of countries with diverse healthcare systems and socioeconomic contexts. The
available evidence supports the effectiveness of this framework's components,
although no study explicitly assessing its comprehensive implementation at a
health system level has been found. CONCLUSIONS: As awareness of the need to
reorient health systems towards better care for chronic patients grows, there is
great potential for the ICCC Framework to serve as a road map for transformation,
with its special emphasis on integration, and on the role of the community and of
a positive political environment.
PMID- 22071455
TI - Equity and equality in the use of GP services for elderly people: the Spanish
case.
AB - OBJECTIVES: To present new evidence both on the horizontal inequity in the
delivery of primary health care and on the factors driving inequalities in the
use of GP services for Spanish population aged 50 years and over. METHODS: Cross
sectional study based on the Spanish sample of the Survey of Health, Aging and
Retirement in Europe (SHARE) for 2006-07. We use the index proposed by Wagstaff
and van Doorslaer (HI(WV)) to compute health care inequity. The concentration
index measuring income related inequality in health care use is decomposed into
the contribution of each determinant. RESULTS: Our results show the presence of
pro-poor inequality in both the access and the frequency of use for GP services,
which is mainly explained by unequal distribution of need factors. The
contribution of non-need factors to income related inequality is quite higher for
the conditional number of GP visits (48.13%) than for the probability of positive
use (17.55%). We have also found significant pro-poor inequity in the probability
of access to a GP and in the conditional number of visits for elderly people.
CONCLUSIONS: The relevance of social determinants of health is confirmed, and
hence the need for wide-scoped public policies to reduce health inequalities. At
equal levels of need, rich and poor elderly people are not treated equally. As
much as appropriateness of care provided is unknown, we cannot conclude that
inequity in GP services really favours the lower income individuals in terms of
health gains.
PMID- 22071456
TI - Postauricular fascial flap and suture otoplasty: a prospective outcome study of
227 patients.
AB - The anterior scoring technique is criticised for a higher risk of haematoma
related complications while the suture techniques for suture-extrusion and
recurrence. Horlock et. al. described a suture otoplasty with addition of a
postauricular fascial flap to reduce suture extrusion and noted recurrence rates
of 8%. We report the senior author's experience with this technique in 227
consecutive cases. All cases were done by or under supervision of the senior
author and the data collected prospectively. Complications, recurrence, revision
rate and results as recorded were analysed. A total of 10 (7 early 3 late)
complications were recorded (4.4%). Suture extrusion (n = 6, 2.64%), Keloids (n =
3, 1.32%), Infection, anterior skin necrosis (n = 1 each, 0.44%). A total of 6
unilateral and 5 bilateral cases had a recurrence (3.67% total ears) and 97% of
recorded outcomes were reported as good or excellent results. The low
complication rate seems to support the hypothesis that the fascial flap prevents
suture extrusion and reduces risk of recurrence. Lack of Anterior dissection
avoids risks of bleeding and haematoma.
PMID- 22071457
TI - A novel distraction technique to facilitate daycase paediatric surgery under
local anaesthesia.
PMID- 22071458
TI - Treatment of pectoralis major flap myospasms with botulinum toxin type A in head
and neck reconstruction.
AB - BACKGROUND: Treatment options for muscle spasm complications of the pectoralis
major (PM) flap in head and neck reconstruction have hardly been investigated.
The authors report their experience using botulinum toxin (BTX-A) injections as a
treatment of PM flap myospasm complications in head and neck reconstruction.
METHODS: From January 2005 to May 2009, 83 patients underwent PM flap
reconstruction. Eleven of them reported muscle twitching as a post-operative
complication and are therefore included in this study. As all 11 patients refused
a second surgery, they were offered BTX-A injections. This group of patients was
followed up at regular intervals with clinical examinations, digital photography,
range of motion (ROM) of the neck in different vectors, and neck disability
system (NDS) questionnaires. RESULTS: After the first BTX-A infiltration, muscle
twitching decreased, the ROM values increased and, according to the NDS,
patients' discomfort progressively decreased. Only two of the 11 patients
required two additional BTX-A sessions. CONCLUSION: BTX-A infiltration is an
effective non-invasive procedure that significantly reduces the PM muscular
contraction in head and neck reconstruction.
PMID- 22071459
TI - Primary restoration of elbow flexion in adult post-traumatic plexopathy patients.
AB - Restoration of elbow flexion is one of the priorities in brachial plexus palsy,
as this function brings the hand to the mouth. This study analyses the results of
musculocutaneous nerve reconstruction in 194 patients with devastating paralysis.
Results were analyzed in relation to denervation time, severity score, length of
nerve grafts, and donor nerves used. Between 1978 and 2006, 194 post-traumatic
plexopathy patients underwent musculocutaneous nerve reconstruction. 298 motor
donors were used in 175 patients while 19 patients had microneurolysis. There
were 104 intraplexus motor donors; 124 intercostal nerves were transferred in 39
patients; direct coaptation was performed in 31 patients and three or more
intercostals were transferred in 33 patients; 16 patients underwent
musculocutaneous to musculocutaneous repair. 144 patients had interposition nerve
grafts. The mean follow-up was 4.48 +/- 2.78 years. Results were good or
excellent (>=M3+) in 52.53% of patients with more than 70 degrees of elbow
flexion. Patients, who were operated on less than 4 months from injury, with high
severity score, attained significant better results than late cases with multiple
root avulsions. Intraplexus donors have direct influence on biceps recovery,
achieving significant better results than extraplexus donors. Intercostal nerves
are an alternative source in avulsion injuries. The use of 3 intercostals with
direct coaptation yielded optimal elbow flexion. Musculocutaneous nerve
reconstruction is one of the priorities in upper limb reanimation. Functional
outcomes are influenced by the age of the patient, severity of plexus lesion,
denervation time, and type of reconstruction. Early surgery is recommended.
PMID- 22071460
TI - Levetiracetam-induced interstitial nephritis in a patient with glioma.
AB - A 45-year-old man with a new diagnosis of low grade glioma was started on an
escalating dose of levetiracetam (Lev) for seizure management. He gradually
developed intractable nausea/vomiting and a high creatinine concentration due to
acute renal failure which was attributed to Lev-induced interstitial nephritis.
The medication was changed and his renal function rapidly improved to his
baseline.
PMID- 22071461
TI - The impact of changing intracranial aneurysm practice on the education of
cerebrovascular neurosurgeons.
AB - Endovascular repair of intracranial aneurysms has transformed the practice of
cerebrovascular surgery. We reviewed the National Hospital Morbidity Database in
Australia for the years 2000 to 2008 and investigated the changing trends of
aneurysm practice. During this period 7,503 craniotomies for aneurysm repair and
7,863 endovascular coiling procedures were performed. The number of aneurysm
procedures performed surgically reduced from 9 cases per neurosurgeon per year to
4.2 cases, a reduction of 53.3%. The number of endovascular treatments increased
2.1 fold, from 3.6 aneurysms per neurosurgeon in 2000 to 7.5 in 2008. The
implications of reduced numbers of surgically treated aneurysms were considered
for the education of cerebrovascular neurosurgeons in Australia.
PMID- 22071462
TI - Diagnosis and management of optic nerve glioma.
AB - Optic nerve gliomas are highly variable tumours with an unpredictable clinical
course. Consequently, the diagnosis and management of these tumours remains
complex and a standardised management strategy does not exist. In this paper we
describe a patient with optic nerve glioma treated at our institution and then
review recent advances made in the diagnosis and treatment of these tumours over
the past 10 years. Our aim is to clarify current best practice in the management
of optic nerve gliomas.
PMID- 22071463
TI - Biologics in relapsing polychondritis: a literature review.
AB - BACKGROUND: There is no standardized therapeutic protocol for relapsing
polychondritis (RP). Emergence of biologics holds much hope in the management of
this connective tissue disease. OBJECTIVES: To evaluate the efficacy and safety
of biologics in patients with active RP. METHODS: A systematic review of the
literature using PubMed was performed through December 2010. MeSH terms and
keywords were used relating to RP and biologics. All papers reporting the
efficacy and/or safety of biologics in RP were selected. Reference lists of
included papers were also searched. RESULTS: All publications relate to case
series or isolated case reports. No randomized controlled trial has been
performed. Thirty papers that included 62 patients were published. These patients
were treated with TNFalpha blockers (n = 43), rituximab (n = 11), anakinra (n =
5), tocilizumab (n = 2), and abatacept (n = 1). The endpoint of treatment differs
from 1 publication to the other and therefore makes the comparison of efficacy
among the various biologics difficult. Biologics were effective in 27 patients,
partially effective in 5 patients, and not effective in 29 patients. Safety
appeared to be good. However, 4 deaths were recorded (2 sepsis, 1 postoperatively
after aortic aneurysm surgery, and 1 after accidental dislocation of the
tracheostomy device). CONCLUSIONS: The experience with biologics in RP is very
limited and their real efficacy and indications need to be better defined.
Randomized controlled trials, although difficult to perform because of the rarity
of RP, are needed to determine the place of biologics in the treatment strategy
of this orphan disease.
PMID- 22071464
TI - Electron transfer processes in potassium collisions with 5-fluorouracil and 5
chlorouracil.
AB - Electron transfer to uracil (U), 5-chlorouracil (5-ClU) and 5-fluorouracil (5-FU)
yielding anion formation has been investigated in 30-100 eV potassium-molecule
collisions. The rich fragmentation patterns of all three molecules suggest that
electron transfer in collisions with electronegative neutrals may cause efficient
damage to RNA. The main ring fragment anion in all the mass spectra was NCO(-)
while the production of X(-) (X = F, Cl) was a strong decomposition of the
halouracil temporary negative ions. Cl(-) was the most intense fragment anion in
the 5-chlorouracil measurements, whereas NCO(-) production dominated in the U and
5-FU data. Arguments based on energetics and vibrational dynamics have been
proposed to explain these differences. Electronic coupling between dipole- and
valence-bound states may play a particularly important role in the fragmentation
pathways of the 5-ClU parent anion. The stabilizing influence of the potassium
cation following electron transfer (ionic scattering) on the observed
fragmentation patterns is discussed, notably in the context of comparisons with
free electron attachment processes.
PMID- 22071465
TI - High intrafamilial variability in autoimmune polyendocrinopathy-candidiasis
ectodermal dystrophy: a case study.
AB - INTRODUCTION: Autoimmune polyendocrinopathy- candidiasis-ectodermal-dystrophy
syndrome (APECED) is a monogenic disease whose phenotype may reveal wide
heterogeneity. The reasons of this variability still remain obscure. PATIENTS AND
METHODS: Two APECED siblings with identical genotype and extremely different
phenotype were compared with regard to exposure to infectious triggers,
autoantibodies' profile, mechanisms of peripheral tolerance, and human leukocyte
antigen (HLA) haplotype. The following infectious markers were evaluated:
rubella, Epstein Barr virus, cytomegalovirus, toxoplasma, varicella zoster virus,
parvovirus B19, herpes simplex virus, and parainfluenza virus. APECED-related
autoantibodies were detected by indirect immunofluorescence or complement
fixation or enzyme- linked immunosorbent assay or radioimmunoassay. Resistance to
Fas-induced apoptosis was evaluated on peripheral blood mononuclear cells (PBMC)
activated with phytohemoagglutinin, the number of TCD4+CD25+ regulatory cells
(Treg) was evaluated through flow-cytometry and natural killer (NK) activity
through Wallac method. Perforin (PRF1) was amplified by PCR and sequenced.
RESULTS: No difference was observed between the siblings in common infectious
triggers, extent of Fas-induced apoptosis, NK-cell activity and PRF1 sequence,
the number of Tregs and HLA haplotypes. CONCLUSION: Although APECED is a
monogenic disease, its expressivity may be extremely different even in the same
family. This variability cannot be explained by common triggering infectious
agents or functional alterations of mechanisms governing peripheral tolerance.
PMID- 22071468
TI - Cannulation of the right axillary artery for extracorporeal circulation in aortic
surgery through a left thoracotomy: a novel technique.
AB - Extensive aortic disease, such as atherosclerosis with aneurysms or dissections
that involve the ascending aorta, can complicate the choice of a cannulation site
for cardiopulmonary bypass. Antegrade selective cerebral perfusion through the
right axillary artery has proved to be a reliable and valuable method for
cerebral protection in aortic surgery. In the supine position it is an artery
straightforward to access, it is more complicated in the right thoracoabdominal
position. We present an innovative method of axillary artery cannulation for
patients requiring surgery through a left thoracotomy.
PMID- 22071470
TI - Timing of gangrene tissue debridement after autologous bone marrow cell
implantation in patients with superficial femoral arterial occlusion: preliminary
experiences.
AB - AIM: Although implantation of bone marrow mononuclear cells (BMI) was shown to
improve outcomes in patients with severe peripheral arterial occlusive disease
(PAOD), little experience has been reported in patients with an arterial
occlusion level above the knee, ischemic gangrene, and high cardiovascular risk.
This study sought to investigate the timing of gangrene tissue debridement and
the safety of BMI in these patients. METHODS: Six "no-option" PAOD patients were
enrolled with an arterial occlusion level above the knee, ischemic gangrene, and
3 systemic diseases related to a high cardiovascular risk. The ischemic status
was evaluated by measuring the ankle-brachial index (ABI), transcutaneous oxygen
pressure (TcPO2), and wound healing after BMI. RESULTS: All patients safely
underwent the procedures with intravenous general anesthesia by titrating
propofol. Major lower extremity amputation, minor debridement amputation, and
debridement surgery were performed in 2 (33.3%), 1 (16.7%), and 2 (33.3%)
patients, respectively, 3.1 2.8 months after BMI. Compared to the amputation
group (N=3), the salvage group (N=3) had a significantly higher baseline ABI
(P=0.02) and a shorter distance between the gangrene site and arterial occlusion
site (P=0.01). In the 3 patients who underwent debridement, ABI and TcPO2
significantly improved 1 month after BMI, and gangrenous tissues were debrided
3.8 +/- 3.6 (range, 1~8) months after BMI with complete healing within 1 month.
CONCLUSION: Autologous BMI therapy is safe in patients at high cardiovascular
risk with an arterial occlusion level above the knee and ischemic gangrene.
Effective predictors of BMI include the baseline ABI and distance to the
ischemia. Gangrene tissue should be debrided at least 1 month after BMI.
PMID- 22071471
TI - BRAVISSIMO study: 12-month results from the TASC A/B subgroup.
AB - AIM: The BRAVISSIMO study is a prospective, non-randomized, multicenter,
multinational, monitored trial, conducted at 12 hospitals in Belgium and 11
hospitals in Italy. This manuscript reports on the BRAVISSIMO TASC A&B iliac
lesion cohort, based on data collected up to the 13-month time point. The primary
endpoint of the study is primary patency at 12 months, defined as a target lesion
without a hemodynamically significant stenosis on duplex ultrasound (>50%,
systolic velocity ratio no greater than 2.0) and without Target Lesion
Revascularization (TLR) within 12 months. METHODS: Between July 2009 and February
2010, a total of 190 patients who presented with TASC A or TASC B aorto-iliac
lesions were included, of which 140 patients were enrolled in Belgium and 50 in
Italy. RESULTS: The demographic data were comparable for the TASC A and TASC B
patients groups. The number of occlusions, the average degree of stenosis, the
average lesion length was significantly higher in the group of TASC B lesions,
which is congruent with the TASC lesions definitions. Similarly, there were
significantly more unilateral lesions in the TASC A group, compared to the TASC B
group. The 12-month primary patency rate was 94.0% for TASC A lesions and 96.5%
for TASC B lesions, which is not statistically significant. The 12-month primary
patency rate was 92.9% in lesions treated with the Omnilink Elite stent, 97.1% in
lesions treated with the Absolute Pro stent groups, and 100% in lesions treated
with both stents. CONCLUSION: Our findings confirm that endovascular therapy, and
more specifically primary stenting, is the preferred treatment for patients with
TASC A/B aortoiliac lesions. Currently, we are collecting the 12-month data for
the TASC C/D subgroup in the BRAVISSIMO study. Upon release of the results from
this subgroup, we will be able to compare the results from both arms of this
large-scale international study.
PMID- 22071472
TI - Supramolecular isomerism and solvatomorphism in a novel coordination compound.
AB - Three different crystal forms were obtained from the crystallisation of a
flexible imidazole based ligand and CdBr(2) under different conditions.
Structural analysis reveals that the correlation between the three metallocyclic
compounds can be described in terms of isostructurality, solvatomorphism and
supramolecular isomerism.
PMID- 22071473
TI - Intragenic copy number variation within filaggrin contributes to the risk of
atopic dermatitis with a dose-dependent effect.
AB - Loss-of-function variants within the filaggrin gene (FLG) increase the risk of
atopic dermatitis. FLG also demonstrates intragenic copy number variation (CNV),
with alleles encoding 10, 11, or 12 filaggrin monomers; hence, CNV may affect the
amount of filaggrin expressed in the epidermis. A total of 876 Irish pediatric
atopic dermatitis cases were compared with 928 population controls to test the
hypothesis that CNV within FLG affects the risk of atopic dermatitis
independently of FLG-null mutations. Cases and controls were screened for CNV and
common FLG-null mutations. In this population the 11-repeat allele was most
prevalent (allele frequency 51.5%); the 10-repeat allele frequency was 33.9% and
the 12-repeat allele frequency was 14.6%. Having excluded FLG mutation carriers,
the control group had a significantly higher number of repeats than cases (chi(2)
P=0.043), and the odds ratio of disease was reduced by a factor of 0.88 (95%
confidence interval 0.78-0.98, P=0.025) for each additional unit of copy number.
Breakdown products of filaggrin were quantified in tape-stripped stratum corneum
from 31 atopic dermatitis patients and urocanic acid showed a positive
correlation with total copy number. CNV within FLG makes a significant, dose
dependent contribution to atopic dermatitis risk, and therefore treatments to
increase filaggrin expression may have therapeutic utility.
PMID- 22071474
TI - The contribution of health services research to improved dermatologic care.
AB - To translate scientific discovery into improved health, we must study health care
itself; i.e., how people access health care, costs or other barriers to the
provision of good care, and what happens to patients as a result of this care.
Health services research (HSR) is the interdisciplinary field that studies health
care and its effects. This paper reviews different types of HSR and highlights
some dermatologic examples that have resulted in improved health-care systems or
have helped us understand access to existing systems. The paper also addresses
some of the political and systematic challenges for health services research
overall, and for individual investigators and program leaders.
PMID- 22071475
TI - High soluble CD30, CD25, and IL-6 may identify patients with worse survival in
CD30+ cutaneous lymphomas and early mycosis fungoides.
AB - Histopathology alone cannot predict the outcome of patients with CD30+ primary
cutaneous lymphoproliferative disorders (CD30CLPD) and early mycosis fungoides
(MF). To test the hypothesis that serum cytokines/cytokine receptors provide
prognostic information in these disorders, we measured soluble CD30 (sCD30),
sCD25, and selected cytokines in cell cultures and sera of 116 patients with
CD30CLPD and 96 patients with early MF followed up to 20 years. Significant
positive correlation was found between sCD30 levels and sCD25, CD40L, IL-6, and
IL-8, suggesting that CD30+ neoplastic cells secrete these cytokines, but not Th2
cytokines. In vitro studies confirmed that sCD30, sCD25, IL-6, and IL-8 are
secreted by CD30CLPD-derived cell lines. CD30CLPD patients with above normal
sCD30 and sCD25 levels had worse overall and disease-related survivals, but only
sCD30 retained significance in Cox models that included advanced age. High sCD30
also identified patients with worse survival in early MF. Increased IL-6 and IL-8
levels correlated with poor disease-related survival in CD30CLPD patients. We
conclude that (1) neoplastic cells of some CD30CLPD patients do not resemble Th2
cells, and that (2) high serum sCD30, sCD25, IL-6, and perhaps IL-8 levels may
provide prognostic information useful for patient management.
PMID- 22071476
TI - Lymphatic dysfunction impairs antigen-specific immunization, but augments tissue
swelling following contact with allergens.
AB - The lymph transports tissue-resident dendritic cells (DCs) to regional lymph
nodes (LNs), having important roles in immune function. The biological effects on
tissue inflammation following lymphatic flow obstruction in vivo, however, are
not fully known. In this study, we investigated the role of the lymphatic system
in contact hypersensitivity (CHS) responses using k-cyclin transgenic (kCYC(+/-))
mice, which demonstrate severe lymphatic dysfunction. kCYC(+/-) mice showed
enhanced ear swelling to both DNFB and FITC, as well as stronger irritant
responses to croton oil compared with wild-type littermates. Consistently,
challenged ears of kCYC(+/-) mice exhibited massive infiltrates of inflammatory
cells. In contrast, DC migration to regional LNs, drainage of cell-free antigen
to LNs, antigen-specific IFN-gamma production, and lymphocyte proliferation were
impaired during the sensitization phase of CHS in kCYC(+/-) mice. Transfer
experiments using lymphocytes from sensitized mice and real-time PCR analysis of
cytokine expression using challenged ear revealed that ear swelling was enhanced
because of impaired lymphatic flow. Collectively, we conclude that insufficient
lymphatic drainage augments apparent inflammation to topically applied allergens
and irritants. The findings add insight into the clinical problem of allergic and
irritant contact dermatitis that commonly occurs in humans with peripheral edema
of the lower legs.
PMID- 22071478
TI - Development of lentigines in German and Japanese women correlates with variants
in the SLC45A2 gene.
PMID- 22071477
TI - A subset of methylated CpG sites differentiate psoriatic from normal skin.
AB - Psoriasis is a chronic inflammatory immune-mediated disorder affecting the skin
and other organs including joints. Over 1,300 transcripts are altered in
psoriatic involved skin compared with normal skin. However, to our knowledge,
global epigenetic profiling of psoriatic skin is previously unreported. Here, we
describe a genome-wide study of altered CpG methylation in psoriatic skin. We
determined the methylation levels at 27,578 CpG sites in skin samples from
individuals with psoriasis (12 involved, 8 uninvolved) and 10 unaffected
individuals. CpG methylation of involved skin differed from normal skin at 1,108
sites. Twelve mapped to the epidermal differentiation complex, upstream or within
genes that are highly upregulated in psoriasis. Hierarchical clustering of 50 of
the top differentially methylated (DM) sites separated psoriatic from normal skin
samples with uninvolved skin exhibiting intermediate methylation. CpG sites where
methylation was correlated with gene expression are reported. Sites with inverse
correlations between methylation and nearby gene expression include those of
KYNU, OAS2, S100A12, and SERPINB3, whose strong transcriptional upregulation is
an important discriminator of psoriasis. Pyrosequencing of bisulfite-treated DNA
from skin biopsies at three DM loci confirmed earlier findings and revealed
reversion of methylation levels toward the non-psoriatic state after 1 month of
anti-TNF-alpha therapy.
PMID- 22071479
TI - Complex roles for VEGF in dermal wound healing.
PMID- 22071481
TI - A magnetically separable photocatalyst based on nest-like gamma-Fe2O3/ZnO double
shelled hollow structures with enhanced photocatalytic activity.
AB - Magnetic nest-like gamma-Fe(2)O(3)/ZnO double-shelled hollow nanostructures have
been successfully synthesized via a multi-step process. The materials have been
thoroughly characterized by different techniques. These interesting nest-like
hollow nanostructures are composed of ZnO nanoflakes grown on the surface of
gamma-Fe(2)O(3) hollow spheres. Importantly, these magnetic hollow nanostructures
show very high visible-light photocatalytic activity for the degradation of
different organic dyes including methylene blue (MB), Rhodamine-B (RhB), and
methyl orange (MO). It is further demonstrated that these gamma-Fe(2)O(3)/ZnO
hybrid photocatalysts are highly stable and can be used repeatedly.
PMID- 22071480
TI - Sleep and immune function.
AB - Sleep and the circadian system exert a strong regulatory influence on immune
functions. Investigations of the normal sleep-wake cycle showed that immune
parameters like numbers of undifferentiated naive T cells and the production of
pro-inflammatory cytokines exhibit peaks during early nocturnal sleep whereas
circulating numbers of immune cells with immediate effector functions, like
cytotoxic natural killer cells, as well as anti-inflammatory cytokine activity
peak during daytime wakefulness. Although it is difficult to entirely dissect the
influence of sleep from that of the circadian rhythm, comparisons of the effects
of nocturnal sleep with those of 24-h periods of wakefulness suggest that sleep
facilitates the extravasation of T cells and their possible redistribution to
lymph nodes. Moreover, such studies revealed a selectively enhancing influence of
sleep on cytokines promoting the interaction between antigen presenting cells and
T helper cells, like interleukin-12. Sleep on the night after experimental
vaccinations against hepatitis A produced a strong and persistent increase in the
number of antigen-specific Th cells and antibody titres. Together these findings
indicate a specific role of sleep in the formation of immunological memory. This
role appears to be associated in particular with the stage of slow wave sleep and
the accompanying pro-inflammatory endocrine milieu that is hallmarked by high
growth hormone and prolactin levels and low cortisol and catecholamine
concentrations.
PMID- 22071482
TI - Determinants of progression of aortic valve stenosis and outcome of adverse
events in hemodialysis patients.
AB - BACKGROUND: Hemodialysis (HD) is an important risk factor for progression of
aortic valve stenosis (AS). However, there are varying degrees of disease
progression among patients with AS on HD. The aim of this study was to find
determinants of rapid progression of AS in patients on HD. METHODS: We enrolled
30 patients with AS on HD with a mean follow-up period of 4 years. The peak
pressure gradient (PPG) between the initial echocardiography and the last
echocardiography at least 3 months interval (DeltaPPG) was adopted as the
indicator of AS progression. We divided the patients into two groups according to
DeltaPPG per year [rapid progression (DeltaPPG>4.5 mmHg/year), slow progression
(DeltaPPG<4.5 mmHg/year)] and compared the clinical characteristics between the
two groups. RESULTS: Overall mean DeltaPPG was 4.5 mmHg/year. Systolic blood
pressure (SBP), serum calcium, and calcium-phosphate product were significantly
higher in rapid progression group compared with slow progression group (p<0.05).
CONCLUSION: High systolic blood pressure, serum calcium, and calcium-phosphate
product were associated with rapid progression of AS in patients on chronic HD.
PMID- 22071483
TI - The effect of growth hormone deficiency on size-corrected bone mineral measures
in pre-pubertal children.
AB - Growth hormone deficiency (GHD) in children has been frequently perceived to be a
cause of low bone mass accrual. The confounding effects of poor growth limit the
interpretation of prior studies of bone health in GHD. We studied size-corrected
bone mineral measures in 30 pre-pubertal GHD children and 75 healthy controls.
Our study shows that size-corrected whole-body bone mineral content of GHD
children were comparable with controls. INTRODUCTION: The purpose of this study
is to evaluate the effects of GHD on size-corrected bone measures at the lumbar
spine (LS) and the whole body (WB). METHODS: LS bone area (BA), LS bone mineral
content (BMC), WB BA, WB BMC, and lean body mass (LBM) were measured in 30 pre
pubertal GHD children and 75 controls by dual-energy X-ray absorptiometry.
Multiple linear regressions were used to calculate size-corrected (Sc) LS BA(Sc),
LS BMC(Sc), WB BA(Sc), and WB BMC(Sc) from control subjects using height and age
as independent variables. Furthermore, the relationship between muscle and bone
was studied by first assessing LBM for height (LBM(Ht)) and then determining WB
BMC for LBM (WB BMC(LBM)). All values were converted to Z-scores and compared
with the control. RESULTS: At diagnosis, WB BMC(Sc) Z-score of GHD children was
not significantly different from controls. However, mean Z-scores of LS BA(Sc) (
0.89 +/- 0.84, p < 0.0001), LS BMC(Sc) (-0.70 +/- 1.1, p < 0.001), WB BA(Sc) (
0.65 +/- 1.0, p < 0.006), and LBM(Ht) (-0.66 +/- 1.7, p < 0.01) were
significantly reduced, and WB BMC(Lbm) (0.78 +/- 1.5, p < 0.003) was
significantly higher in GHD children than controls. CONCLUSION: Size-corrected WB
BMC of GHD children were comparable with controls, and bones were normally
adapted for muscle mass. Determinants of bone strength which may primarily be
affected by GHD are muscle mass, bone size, and geometry rather than bone mass.
PMID- 22071484
TI - Assessing attentional systems in children with Attention Deficit Hyperactivity
Disorder.
AB - The aim of this study was to evaluate the efficiency and interactions of
attentional systems in children with Attention Deficit Hyperactivity Disorder
(ADHD) by considering the effects of reinforcement and auditory warning on each
component of attention. Thirty-six drug-naive children (18 children with ADHD/18
typically developing children) performed two revised versions of the Attentional
Network Test, which assess the efficiency of alerting, orienting, and executive
systems. In feedback trials, children received feedback about their accuracy,
whereas in the no-feedback trials, feedback was not given. In both conditions,
children with ADHD performed more slowly than did typically developing children.
They also showed impairments in the ability to disengage attention and in
executive functioning, which improved when alertness was increased by
administering the auditory warning. The performance of the attentional networks
appeared to be modulated by the absence or the presence of reinforcement. We
suggest that the observed executive system deficit in children with ADHD could
depend on their low level of arousal rather than being an independent disorder.
PMID- 22071485
TI - [Hospital emergencies and the prison population].
PMID- 22071486
TI - [Assessment of health benefits from a nutrition program aimed at inmates with
cardiovascular risk factors at Huelva Prison].
AB - BACKGROUND: Diet and lifestyle are important factors in improving cardiovascular
health and preventing chronic diseases. OBJECTIVES: Assessment of changes brought
about in cardiovascular risk (CVR) and metabolic syndrome (MS) after inclusion in
a nutritional program. MATERIALS AND METHODS: Intervention, nonrandomized
prospective cohort study carried out at Huelva prison in a one year period.
Information about quarterly and bi-annual anthropometric and blood biochemical
variables was obtained to assess changes in diet. A descriptive analysis with LC
95% and pre-post study was also completed, using T-Student quantitative variables
and Wilcoxon test averages. Qualitative testing was performed using the Chi
square test. RESULTS: The sample consisted of 139 subjects, 44 patients were lost
in the follow-up study and 95 completed the program. Diet modification took place
in 86.3% of the cases. We obtained significant improvements in weight, body mass,
fat mass, abdominal perimeter and diastolic blood pressure rate index variables
(Table 3). We see a reduction in high and low CVR vs. medium CVR according to
features of Framingham and REGICOR (without significance), remaining stable in
the SCORE model (Table 4). CONCLUSIONS: Health education and proper diet improved
anthropometric and biochemical parameters in these patients. This may imply a new
tool in the health care repertoire that can be applied to other centres.
PMID- 22071487
TI - [Infection by human papilloma virus amongst female inmates in a social re
adaptation centre in South-West Mexico].
AB - INTRODUCTION: The aim of this work is describe the epidemiology of HVP amongst
female inmates. MATERIAL AND METHODS: A total of 82 women were studied in a cross
sectional study. Epidemiological data were collected through a direct interview.
Samples of cervical cells were taken. HPV and genotypes were identified by
molecular test. RESULTS: Global HPV prevalence was 20.7%. Fifteen different
genotypes were identified 60% low risk HPV, 26.7% high risk HPV and 13.3% were
not classified in any of the two groups. Types 6/11 were the most common. 23.5%
(04/17) of HPV positives samples had multiple infections, 3 with 2 genotypes and
one with 3. Association between infection with HPV and smoking was found, p=
0.0258, OR 3.79 IC 95% (1.01-15.58).
PMID- 22071488
TI - [Psychologica well-being, perceived organizational support and job satisfaction
amongst Chilean prison employees].
AB - This research aims to identify the relationship between job satisfaction,
psychological well-being and Perceived Organizational Support amongst prison
officials. 190 officials working in one state prison and one privately-run prison
were evaluated using the Job Satisfaction Questionnaire1, Psychological Well
being Scale2 and Scale of Perceived Organizational Support3. The main results
show a significant positive correlation between job satisfaction, psychological
well-being and perceived organizational support, so that those who are satisfied
with their jobs tend to feel better psychologically and perceive that they
receive support from their organizations. Furthermore the study variables showed
no significant differences between officials at both prisons. As regards socio
demographic figures, gender differences were found in terms of job satisfaction
and psychological well-being, while no differences were found according to unit.
PMID- 22071489
TI - [Health care strategies for mental health problems in the prison environment, the
Spanish case in a European context].
AB - INTRODUCTION: A review was carried out of scientific literature on health care
strategies for mental health problems in the prison environment. Data is given
about the main activities put into practice by prison administrations as a
response to the worrying information that has come to light in recent
epidemiological studies on mental disorders in prison, with figures that, when
compared to the general population, give results of double the number of cases of
Common Mental Illness (CMI) and four times the number of cases of Severe Mental
Illness (SMI) amongst prison inmates. MATERIALS AND METHODS: A review was made of
the most important bibliographical databases containing health care policies for
mental health problems in prison published by prison administrations in the last
10 years. This information was completed with other data obtained from an
analysis of the indicators available in Health Care Coordination on its health
care strategies for mental health in centres run by the Secretary General of
Prisons, in Spain. RESULTS: There is little in the way of scientific literature
that clearly states health care policies for mental illness in the prison
environment. Those that do tend to agree with a number of affirmations that
include the obligation to offer a therapeutic response of equal quality to that
received by patients in the community, the need for a multi-disciplinary team
responsible for caring for this type of patient, along with a coordinated effort
between the medical, social, legal and prison administrations that at a given
time have to care for them.
PMID- 22071490
TI - [Giant hairy congenital pigmented nevus].
PMID- 22071491
TI - [Letter to the director from D. Julio Garcia-Guerrero and d. Enrique J. Vera
Remartinez].
PMID- 22071492
TI - [Integration of prison health care].
PMID- 22071495
TI - Quantitative force and dissipation measurements in liquids using piezo-excited
atomic force microscopy: a unifying theory.
AB - The use of a piezoelectric element (acoustic excitation) to vibrate the base of
microcantilevers is a popular method for dynamic atomic force microscopy. In air
or vacuum, the base motion is so small (relative to tip motion) that it can be
neglected. However, in liquid environments the base motion can be large and
cannot be neglected. Yet it cannot be directly observed in most AFMs. Therefore,
in liquids, quantitative force and energy dissipation spectroscopy with acoustic
AFM relies on theoretical formulae and models to estimate the magnitude of the
base motion. However, such formulae can be inaccurate due to several effects. For
example, a significant component of the piezo excitation does not mechanically
excite the cantilever but rather transmits acoustic waves through the surrounding
liquid, which in turn indirectly excites the cantilever. Moreover, resonances of
the piezo, chip and holder can obscure the true cantilever dynamics even in well
designed liquid cells. Although some groups have tried to overcome these
limitations (either by theory modification or better design of piezos and liquid
cells), it is generally accepted that acoustic excitation is unsuitable for
quantitative force and dissipation spectroscopy in liquids. In this paper the
authors present a careful study of the base motion and excitation forces and
propose a method by which quantitative analysis is in fact possible, thus opening
this popular method for quantitative force and dissipation spectroscopy using
dynamic AFM in liquids. This method is validated by experiments in water on mica
using a scanning laser Doppler vibrometer, which can measure the actual base
motion. Finally, the method is demonstrated by using small-amplitude dynamic AFM
to extract the force gradients and dissipation on solvation shells of
octamethylcyclotetrasiloxane (OMCTS) molecules on mica.
PMID- 22071496
TI - Clinical recommendation for treatment planning of sinus augmentation procedures
by using presurgical CAT scan images: a preliminary report.
AB - PURPOSE: To propose a clinical recommendation based on anatomy of maxillary sinus
before sinus augmentation procedure using presurgical computerized axial
tomography (CAT) scan images. MATERIALS AND METHODS: CAT scan images were
randomly selected from previous completed implant cases. Proposed area for the
lateral window osteotomy was outlined on the panorex image of the CAT scan.
Sagittal section on the CAT scan that was in the center of the outlined window
was selected for sinus measurement analysis. On CAT scan, 2 lines were drawn to
measure the dimensions of sinus. One line measured the horizontal width and the
other line measured the vertical height. RESULTS: Based on the measurement data,
a classification of the maxillary sinus anatomy was proposed. Narrow sinus cavity
indicates favorable type anatomy in terms of bone regeneration healing and wide
sinus cavity as less favorable anatomy for patient treatment planning.
CONCLUSION: A narrow sinus and greater exposure to the blood supply should
require shorter healing times after grafting. Conversely, wider sinus cavities
and less exposure to the blood supply would require a longer healing time before
implant placement.
PMID- 22071497
TI - Bone-added osteotome technique versus lateral approach for sinus floor elevation:
a comparative radiographic study.
AB - PURPOSE: The aim of this study was to evaluate and compare the radiographic
results of bone-added osteotome sinus floor elevation (BAOSFE) and lateral
approach sinus floor elevation techniques. METHODS: The 43 patients who had
undergone implant procedure with either BAOSFE or lateral approach method on
their maxillary molar edentulous area were included. Their dental records were
confirmative and the radiographic-changes using orthopantomographs were
consistently checked up during 2 years after the procedure (immediately after
procedure and 6 months, 12 months, and 24 months after implant placement).
RESULTS: The radiographic evaluation after 2 years of implantation with sinus
elevation showed the significant amount of bone formation (6.75 mm for BAOSFE and
11.36 mm for lateral approach method). Largest amount of grafted height loss
occurred during the first 6 months (62.8% of total amount of bone loss), but the
resorption was minimal (1.35 mm for BAOSFE and 1.36 mm for lateral approach
method) for overall 24 months. CONCLUSION: Long-term stability of graft height
was achieved using both BAOSFE and lateral approach sinus floor elevation.
Overall, graft height decreased gradually during 2 years after procedures, but
the changes were minimal.
PMID- 22071498
TI - Treatment of severe sinus infection after sinus lift procedure: a case report.
AB - Maxillary sinus floor augmentation may have a variety of postoperative
complications including infection, sequestration of bone, and maxillary
sinusitis. Complications can also occur due to a preexisting sinus condition
called ostium stenosis. This case report presents a complication after sinus lift
and grafting procedure due to an unrecognized ostium stenosis. CASE REPORT: A 50
year-old male patient had sinus augmentation on his right side. However,
postoperatively, his symptoms were protracted. A CT scan showed thickening of the
Schneiderian membrane and scattered graft material. Management included
endoscopic nasal examination and ostium enlargement, antibiotic coverage, and
full enucleation of the graft and diseased tissue. CONCLUSION: Patency of the
sinus ostium should be carefully evaluated before sinus lift/grafting procedure
using CT technology. Radiology and otolaryngology consultations may be necessary
to rule out ostium stenosis before surgery.
PMID- 22071500
TI - The association of plasma free amino acids with liver enzymes in Type 2 diabetic
patients.
AB - BACKGROUND: Elevated gamma-glutamyl transpeptidase (GGT) and alanine
aminotransferase (ALT) could predict the risk of Type 2 diabetes (T2D), but the
reason for their elevation was unclear. GGT and ALT mediated the metabolism of
many amino acids (AA). Up to now, it was not investigated whether abnormal AA
concentration was associated with elevated liver enzymes. AIM: This study was to
investigate the status of AA in T2D and their relationship with liver enzymes.
SUBJECTS AND METHODS: A total of 132 T2D patients and 137 healthy controls were
recruited. Plasma free AA were measured by high-performance liquid
chromatography. The contribution of individual AA to liver enzymes was assessed
by stepwise linear regression. RESULTS: a) The levels of alanine, valine,
leucine, isoleucine, phenylalanine, tyrosine, methionine, glutamate, and lysine
were higher while the level of glycine was lower in T2D than in controls (all
p<0.01). b) Glutamate contributed to GGT in T2D, and its contribution was even
greater than that of waist circumference. Leucine, lysine, and glutamate
contributed to ALT. CONCLUSION: There were significant differences in plasma AA
profile between T2D and controls. Abnormal AA might contribute to elevated liver
enzymes. The interaction between AA, liver enzymes, and the risk of T2D should be
further investigated by prospective studies.
PMID- 22071501
TI - Conservative treatment with spontaneous stabilization of Grade II isthmic
spondylolisthesis L5/S1 in a forty-four-year old woman, with a six-year follow
up: a case report.
AB - Spondylolisthesis is a pathological condition caused by the slipping of a
vertebral body, compared to the underlying structure, following structural and/or
degenerative changes to the spine. Studies have attempted evidence to the
connection between the natural history of spondylolisthesis, the degree and
progression of the slip factor, as well as the pain and disability. Studies have
reported a high level of heterogeneity of these factors in different patients as
well as difficulty in predicting behaviour. It has been suggested that vertebral
instability, independent of the slip factor, could be considered the most
important factor to be treated conservatively or surgically. Furthermore, it
appears that some patients may manifest complete disk degeneration over time,
with vertebral bodies shifting closer and spontaneous stabilisation. This case
study reports a forty-four-year old woman, with isthmic spondylolisthesis, where
the spine surgeon recommended physiotherapy for conservative treatment, with a
prognosis of possible spontaneous stabilization. The case was followed for six
years, both clinically and radiologically. Treatment was based on a specific
stabilising training program (motor control), immediately aimed to improve the
disability and pain factors while waiting for a possible spontaneous
stabilisation, that the latest radiological exams revealed with an attempt of
arthrodesis. The Oswestry Disability Index (ODI) and the Roland Morris Disability
Questionnaire (RMDQ) to measure disability, and the Numeric Rating Scale (NRS) to
measure pain, were carried out at the beginning, during and at the end of
treatment. They were compared with the radiographic material documenting the
evolution of the spondylolisthesis over time. This case study appears to confirm
that the hypothesis that a specific aimed approach of rehabilitation may improve
the disability and pain levels without compromising the process of spontaneous
arthrodesis. The evolution was documented radiographically and clinically over a
six-year period.
PMID- 22071502
TI - The effect of variable practice on wheelchair propulsive efficiency and
propulsive timing.
AB - AIM: The net mechanical efficiency of wheelchair propulsion is very low,
approximately 13%. It is necessary to look for effective practice methods to
obtain greater output with less energy expenditure during wheelchair propulsions.
Literature indicates that variable practice (VP) is more effective than constant
practice (CP) in motor-skill learning. However, it is unknown if VP is more
effective than CP in improving wheelchair propulsive efficiency. The purpose of
the study was to determine how propulsive efficiency and propulsive timing were
affected by variable practice and constant practice. DESIGN: This was an
observational and experimental study. SETTING: The experiment was conducted in a
well-controlled university research laboratory. POPULATION: A total of 33 able
bodied subjects participated in this study. METHODS: Participants were randomly
placed into one of the three training groups, two constant practice groups and
one variable practice group. One constant group practiced wheelchair propulsion
on a roller system with a single speed, 30% of the maximum speed, while the other
constant group practiced using 55% of the maximum speed. The variable group
practiced with both speeds. Three dependent variables, propulsive efficiency,
timing, and intercycle variability of the timing, were measured. RESULTS: All
groups improved the three dependent variables significantly after the training,
and in general the VP group had greater improvement than the others in improving
the propulsive efficiency. CONCLUSION: This study is the first to demonstrate the
advantage of the VP over the CP in improving the propulsive efficiency. CLINICAL
REHABILITATION IMPACT: This finding has great implication for paraplegics because
they require greater workloads for upper-extremity activities.
PMID- 22071504
TI - The importance of surface morphology in controlling the selectivity of
polycrystalline copper for CO2 electroreduction.
AB - This communication examines the effect of the surface morphology of
polycrystalline copper on electroreduction of CO(2). We find that a copper
nanoparticle covered electrode shows better selectivity towards hydrocarbons
compared with the two other studied surfaces, an electropolished copper electrode
and an argon sputtered copper electrode. Density functional theory calculations
provide insight into the surface morphology effect.
PMID- 22071503
TI - Combined therapeutic application of botulinum toxin type A, low-frequency rTMS,
and intensive occupational therapy for post-stroke spastic upper limb
hemiparesis.
AB - BACKGROUND: For spastic upper limb hemiparesis after stroke, we developed triple
element protocol of botulinum toxin type A (BoNTA) injection, low-frequency
repetitive transcranial magnetic stimulation (LF-rTMS), and intensive
occupational therapy (OT). Aim. To investigate the safety and feasibility of the
protocol. Design. A preliminary study. Setting. At a university hospital.
Population. Fourteen post-stroke patients with spastic upper limb hemiparesis
(mean age: 54.9+/-9.2 years, time after onset: 87.1+/-48.2 months, +/-SD).
METHODS: In all patients, BoNTA was injected into spastic muscles of the affected
upper limb (maximum total dose: 240 units). Four weeks later, they were
hospitalized to receive 22 sessions of 20-min LF-rTMS and 120-min intensive OT
daily over 15 days. Motor function of the affected upper limb was evaluated
mainly using Fugl-Meyer Assessment (FMA), Wolf Motor Function Test (WMFT), motor
activity log (MAL), and the severity of spasticity was measured with modified
Ashworth scale (MAS) at BoNTA injection, discharge and four weeks post-discharge.
RESULTS: All patients completed the protocol without any adverse effects. The FMA
score and MAL scores, but not WMFT performance time, improved significantly at
discharge. The MAS score of all examined muscles decreased significantly between
BoNTA and discharge. The beneficial effect of the protocol on motor function and
spasticity was almost maintained until four weeks after discharge. CONCLUSION:
The protocol is safe and feasible, although further larger studies are needed to
confirm its efficacy. CLINICAL REHABILITATION IMPACT: The protocol is a
potentially useful neurorehabilitative approach for this patient population.
PMID- 22071505
TI - Toxic effects of amyloid fibrils on cell membranes: the importance of ganglioside
GM1.
AB - The interaction of amyloid aggregates with the cell plasma membrane is currently
considered among the basic mechanisms of neuronal dysfunction in amyloid
neurodegeneration. We used amyloid oligomers and fibrils grown from the yeast
prion Sup35p, responsible for the specific prion trait [PSI(+)], to investigate
how membrane lipids modulate fibril interaction with the membranes of cultured H
END cells and cytotoxicity. Sup35p shares no homology with endogenous mammalian
polypeptide chains. Thus, the generic toxicity of amyloids and the molecular
events underlying cell degeneration can be investigated without interference with
analogous polypeptides encoded by the cell genome. Sup35 fibrils bound to the
cell membrane without increasing its permeability to Ca(2+). Fibril binding
resulted in structural reorganization and aggregation of membrane rafts, with GM1
clustering and alteration of its mobility. Sup35 fibril binding was affected by
GM1 or its sialic acid moiety, but not by cholesterol membrane content, with
complete inhibition after treatment with fumonisin B1 or neuraminidase. Finally,
cell impairment resulted from caspase-8 activation after Fas receptor
translocation on fibril binding to the plasma membrane. Our observations suggest
that amyloid fibrils induce abnormal accumulation and overstabilization of raft
domains in the cell membrane and provide a reasonable, although not unique,
mechanistic and molecular explanation for fibril toxicity.
PMID- 22071506
TI - Detection of allosteric signal transmission by information-theoretic analysis of
protein dynamics.
AB - Allostery offers a highly specific way to modulate protein function. Therefore,
understanding this mechanism is of increasing interest for protein science and
drug discovery. However, allosteric signal transmission is difficult to detect
experimentally and to model because it is often mediated by local structural
changes propagating along multiple pathways. To address this, we developed a
method to identify communication pathways by an information-theoretical analysis
of molecular dynamics simulations. Signal propagation was described as
information exchange through a network of correlated local motions, modeled as
transitions between canonical states of protein fragments. The method was used to
describe allostery in two-component regulatory systems. In particular, the
transmission from the allosteric site to the signaling surface of the receiver
domain NtrC was shown to be mediated by a layer of hub residues. The location of
hubs preferentially connected to the allosteric site was found in close agreement
with key residues experimentally identified as involved in the signal
transmission. The comparison with the networks of the homologues CheY and FixJ
highlighted similarities in their dynamics. In particular, we showed that a
preorganized network of fragment connections between the allosteric and
functional sites exists already in the inactive state of all three proteins.
PMID- 22071507
TI - Gender differences in cancer screening beliefs, behaviors, and willingness to
participate: implications for health promotion.
AB - Men have higher cancer mortality rates for all sites combined compared with
women. Cancer screening (CS) participation is important for the early detection
of cancer. This study explores gender differences in CS beliefs, behaviors, and
willingness to participate. The data were collected from a stratified, random
digit dial survey of adults living in New York, Maryland, and Puerto Rico. Chi
square tests and logistic regressions were computed to analyze gender
associations among CS beliefs, behaviors, and willingness variables. Men and
women believed that CSs were effective, though a higher percentage of men had
never had a past CS. Men were less willing to participate in a CS at the present
time and in a skin cancer exam; however, when given descriptions of screening
conditions, men indicated more willingness to participate. These gender
differences highlight the need for health professionals to examine their efforts
in providing enhanced CS promotion and education among men.
PMID- 22071508
TI - The effect of sex and severity of aggression on formal and informal social
agents' involvement in partner violence.
AB - Involvement by formal and informal social agents plays a major role in coping
with the problem of partner violence. Based on data from the First National
Israeli Survey of Family Violence, the present study attempted to answer whether
the sex of the aggressor and the severity of his or her aggression affect formal
and informal social involvement in partner violence. Data were obtained from a
stratified probability sample of 2,544 women drawn from the general population in
Israel. Findings show that the severity of aggression by both sexes affects
informal social involvement similarly. But in regard to formal involvement,
gender is of top importance, and only the severity of men's aggression influences
formal social involvement. The findings indicate society's perceptions of partner
violence and how it copes with the problem. These perceptions and their
theoretical and practical implications are discussed. The discussion focuses on
examining the factors that promote social construction of partner violence as
identified in this study and the implications of this construction on dealing
with the problem.
PMID- 22071509
TI - Examining the cross-reactivity and neutralization mechanisms of a panel of mAbs
against adeno-associated virus serotypes 1 and 5.
AB - Neutralizing antibodies play a central role in the prevention and clearance of
viral infections, but can be detrimental to the use of viral capsids for gene
delivery. Antibodies present a major hurdle for ongoing clinical trials using
adeno-associated viruses (AAVs); however, relatively little is known about the
antigenic epitopes of most AAV serotypes or the mechanism(s) of antibody-mediated
neutralization. We developed panels of AAV mAbs by repeatedly immunizing mice
with AAV serotype 1 (AAV1) capsids, or by sequentially immunizing with AAV1
followed by AAV5 capsids, in order to examine the efficiency and mechanisms of
antibody-mediated neutralization. The antibodies were not cross-reactive between
heterologous AAV serotypes except for a low level of recognition of AAV1 capsids
by the AAV5 antibodies, probably due to the initial immunization with AAV1. The
neutralization efficiency of different IgGs varied and Fab fragments derived from
these antibodies were generally poorly neutralizing. The antibodies appeared to
display various alternative mechanisms of neutralization, which included
inhibition of receptor-binding and interference with a post-attachment step.
PMID- 22071510
TI - Generation of T-cell receptors targeting a genetically stable and immunodominant
cytotoxic T-lymphocyte epitope within hepatitis C virus non-structural protein 3.
AB - Hepatitis C virus (HCV) is a major cause of severe liver disease, and one major
contributing factor is thought to involve a dysfunction of virus-specific T
cells. T-cell receptor (TCR) gene therapy with HCV-specific TCRs would increase
the number of effector T-cells to promote virus clearance. We therefore took
advantage of HLA-A2 transgenic mice to generate multiple TCR candidates against
HCV using DNA vaccination followed by generation of stable T-cell-BW (T-BW)
tumour hybrid cells. Using this approach, large numbers of non-structural protein
3 (NS3)-specific functional T-BW hybrids can be generated efficiently. These
predominantly target the genetically stable HCV genotype 1 NS3(1073-1081) CTL
epitope, frequently associated with clearance of HCV in humans. These T-BW hybrid
clones recognized the NS3(1073) peptide with a high avidity. The hybridoma
effectively recognized virus variants and targeted cells with low HLA-A2
expression, which has not been reported previously. Importantly, high-avidity
murine TCRs effectively redirected human non-HCV-specific T-lymphocytes to
recognize human hepatoma cells with HCV RNA replication driven by a subgenomic
HCV replicon. Taken together, TCR candidates with a range of functional
avidities, which can be used to study immune recognition of HCV-positive targets,
have been generated. This has implications for TCR-related immunotherapy against
HCV.
PMID- 22071511
TI - Diversity of murine norovirus in wild-rodent populations: species-specific
associations suggest an ancient divergence.
AB - A survey of wild-rodent populations has revealed that murine norovirus (MNV) is
present and diverse in wild-house mice Mus musculus. This virus is genetically
similar to MNV infecting show mice and previously described variants circulating
in laboratory mice. The detection of MNV in wild-mouse populations suggests that
MNV infection of laboratory mice and show mice (from which laboratory mice are
derived) derives from contact with or their origins from wild-mouse progenitors.
The survey additionally identified frequent infection of wood mice (Apodemus
sylvaticus) with genetically divergent variants of MNV. These viruses are
distinct from previously described MNV variants, differing by 22-23 % over the
complete genome sequence compared with a maximum of 13 % between M. musculus
derived strains. Comparison with other noroviruses reveals that the Apodemus MNV
groups with MNV in genogroup V and shares the same overall genome organization,
predicted lengths of proteins encoded by ORFs 1-3 and the existence of a
conserved alternative reading frame in VP1 encoding a homologue of the MNV ORF4.
Different Apodemus MNV isolates were as variable as MNV isolates and showed
evidence for inter-isolate recombination. Our observation of species-specific
associations of MNV variants in wild populations suggests that murine noroviruses
have an ancient origin, a feature that they may share with other norovirus
genogroups.
PMID- 22071512
TI - JC virus promoter/enhancers contain TATA box-associated Spi-B-binding sites that
support early viral gene expression in primary astrocytes.
AB - JC virus (JCV) is the aetiological agent of the demyelinating disease progressive
multifocal leukoencephalopathy, an AIDS defining illness and serious complication
of mAb therapies. Initial infection probably occurs in childhood. In the working
model of dissemination, virus persists in the kidney and lymphoid tissues until
immune suppression/modulation causes reactivation and trafficking to the brain
where JCV replicates in oligodendrocytes. JCV infection is regulated through
binding of host factors such as Spi-B to, and sequence variation in the non
coding control region (NCCR). Although NCCR sequences differ between sites of
persistence and pathogenesis, evidence suggests that the virus that initiates
infection in the brain disseminates via B-cells derived from latently infected
haematopoietic precursors in the bone marrow. Spi-B binds adjacent to TATA boxes
in the promoter/enhancer of the PML-associated JCV Mad-1 and Mad-4 viruses but
not the non-pathogenic, kidney-associated archetype. The Spi-B-binding site of
Mad-1/Mad-4 differs from that of archetype by a single nucleotide, AAAAGGGAAGGGA
to AAAAGGGAAGGTA. Point mutation of the Mad-1 Spi-B site reduced early viral
protein large T-antigen expression by up to fourfold. Strikingly, the reverse
mutation in the archetype NCCR increased large T-antigen expression by 10-fold.
Interestingly, Spi-B protein binds the NCCR sequence flanking the viral
promoter/enhancer, but these sites are not essential for early viral gene
expression. The effect of mutating Spi-B-binding sites within the JCV
promoter/enhancer on early viral gene expression strongly suggests a role for Spi
B binding to the viral promoter/enhancer in the activation of early viral gene
expression.
PMID- 22071513
TI - The evolutionary rate of citrus tristeza virus ranks among the rates of the
slowest RNA viruses.
AB - Citrus tristeza virus (CTV) has been studied intensively at the molecular level.
However, knowledge regarding the dynamics of its evolution is practically non
existent. In the past, diverse authors have referred to CTV as a highly variable
virus, implying rapid evolution. Others have, in recent times, referred to CTV as
an exceptionally slowly evolving virus. In this work, we used the capsid protein
(CP) gene to estimate the rate of evolution. This was obtained from a large set
of heterochronous CP gene sequences using a bayesian coalescent approach. The
best-fitting evolutionary and population models pointed to an evolutionary rate
of 1.58*10(-4) nt per site year(-1) (95 % highest posterior density, 1.73*10(-5)
3.16*10(-4) nt per site year(-1)). For an unbiased comparison with other plant
and animal viruses, the evolutionary rate of synonymous substitutions was
considered. In a series of 88 synonymous evolutionary rates, ranging from 5.2*10(
6) to 6.2*10(-2) nt per site year(-1), CTV ranks in the 10th percentile, embedded
among the slowest animal RNA viruses. At the time of citrus dissemination to
Europe and the New World, the major clades that led to the current phylogenetic
groups were already defined, which may explain the absence nowadays of
geographical speciation.
PMID- 22071514
TI - Identification of a novel single-stranded, circular DNA virus from bovine stool.
AB - We report the identification of a novel single-stranded, circular DNA virus
isolated from bovine stool. The virus, named bovine stool-associated circular DNA
virus (BoSCV), has a genome comprising 2600 bases of circular ssDNA, with two
putative ORFs encoding replicase and capsid proteins, arranged inversely. The
stem-loop structure was located between the 3' ends of the two putative ORFs, as
in chimpanzee stool-associated circular virus (ChimpSCV) and unlike other
circular DNA viruses, including members of the families Circoviridae, Nanoviridae
and Geminiviridae. BoSCV was also genetically similar to ChimpSCV, with
approximately 30 % identity in the replicase and capsid proteins. A phylogenetic
analysis based on the replicase protein showed that BoSCV and ChimpSCV are in the
same clade. A field survey using BoSCV-specific PCRs targeting ORF1 detected
BoSCV and BoSCV-like sequences in bovine and porcine stool samples. BoSCV appears
to belong to a new genus of circular DNA viruses.
PMID- 22071515
TI - Tax1-expressing feline 8C cells are useful to monitor the life cycle of human T
cell leukemia virus type I.
AB - Extremely low infectivity has hampered direct (cell-free) infection studies of
human T-cell leukemia virus type I (HTLV-I). In order to break through this
barrier, we examined the susceptibility of many kinds of cells to HTLV-I and
found a feline kidney cell line, 8C, that is highly susceptible to HTLV-I and
produced remarkable amounts of infectious progeny viruses. Tax1 protein encoded
by HTLV-I is known as a transcription activator for viral and cellular genes. We
found that the 8C cells expressing the Tax1 protein (8C/TaxWT cells) can produce
more progeny viruses than 8C cells when the cells were exposed to cell-free HTLV
I. A large number of syncytia were also induced in these cells. Here, we propose
8C/TaxWT cells as a useful tool to study the cell-free HTLV-I infection.
PMID- 22071516
TI - Synthesis and electrocatalytic activity of Au/Pt bimetallic nanodendrites for
ethanol oxidation in alkaline medium.
AB - Gold/Platinum (Au/Pt) bimetallic nanodendrites were successfully synthesized
through seeded growth method using preformed Au nanodendrites as seeds and
ascorbic acid as reductant. Cyclic voltammograms (CVs) of a series of Au/Pt
nanodendrites modified electrodes in 1M KOH solution containing 1M ethanol showed
that the electrocatalyst with a molar ratio (Au:Pt) of 3 exhibited the highest
peak current density and the lowest onset potential. The peak current density of
ethanol electro-oxidation on the Au(3)Pt(1) nanodendrites modified glassy carbon
electrode (Au(3)Pt(1) electrode) is about 16, 12.5, and 4.5 times higher than
those on the polycrystalline Pt electrode, polycrystalline Au electrode, and Au
nanodendrites modified glassy carbon electrode (Au dendrites electrode),
respectively. The oxidation peak potential of ethanol electro-oxidation on the
Au(3)Pt(1) electrode is about 299 and 276 mV lower than those on the
polycrystalline Au electrode and Au dendrites electrode, respectively. These
results demonstrated that the Au/Pt bimetallic nanodendrites may find potential
application in alkaline direct ethanol fuel cells (ADEFCs).
PMID- 22071517
TI - Enhancement of DNA compaction by negatively charged nanoparticles: effect of
nanoparticle size and surfactant chain length.
AB - We study the compaction of genomic DNA by a series of alkyltrimethylammonium
bromide surfactants having different hydrocarbon chain lengths n: dodecyl-(DTAB,
n=12), tetradecyl-(TTAB, n=14) and hexadecyl-(CTAB, n=16), in the absence and in
the presence of negatively charged silica nanoparticles (NPs) with a diameter in
the range 15-100 nm. We show that NPs greatly enhance the ability of all cationic
surfactants to induce DNA compaction and that this enhancement increases with an
increase in NP diameter. In the absence of NP, the ability of cationic
surfactants to induce DNA compaction increases with an increase in n. Conversely,
in the presence of NPs, the enhancement of DNA compaction increases with a
decrease in n. Therefore, although CTAB is the most efficient surfactant to
compact DNA, maximal enhancement by NPs is obtained for the largest NP diameter
(here, 100 nm) and the smallest surfactant chain length (here, DTAB). We suggest
a mechanism where the preaggregation of surfactants on NP surface mediated by
electrostatic interactions promotes cooperative binding to DNA and thus enhances
the ability of surfactants to compact DNA. We show that the amplitude of
enhancement is correlated with the difference between the surfactant
concentration corresponding to aggregation on DNA alone and that corresponding to
the onset of adsorption on nanoparticles.
PMID- 22071518
TI - Transparent polymeric hybrid film of ZnO nanoparticle quantum dots and PMMA with
high luminescence and tunable emission color.
AB - ZnO nanoparticle quantum dots (QDs)/poly(methyl methacrylate) (PMMA) composites
are synthesized by conventional radical polymerization in the presence of 3
(trimethoxysilyl)propylmethacrylate (TPM)-modified ZnO nanoparticle QDs. Although
unmodified ZnO nanoparticle QDs were precipitated in tetrahydrofuran (THF) and
show only weak emissions under UV irradiation, ZnO nanoparticle QDs/PMMA
composite is well dispersed in THF and shows high emissions. TPM acts as the
stabilizer and promotes the compatibility between the ZnO nanoparticle QDs and
the PMMA matrix. After evaporation of THF from the ZnO nanoparticle QDs/PMMA
composite solution, transparent polymeric hybrid films of ZnO nanoparticle QDs
and PMMA are obtained. These polymeric hybrid films are characterized by
photoluminescence (PL) spectroscopy, Fourier transform infrared (FT-IR)
spectroscopy, transmission electron microscopy (TEM), and thermogravimetric
analysis. The hybrid film exhibited a high quantum yield and PL emission under
ultraviolet excitation. PL emission has been successfully tuned from blue to
yellow.
PMID- 22071519
TI - Squaramide-catalyzed enantioselective Michael addition of malononitrile to
chalcones.
AB - A highly enantioselective Michael addition of malononitrile to chalcones
catalyzed by a chiral quinine-derived squaramide catalyst has been developed.
This organocatalytic reaction at a very low catalyst loading (0.5 mol%) led to
chiral gamma-cyano carbonyl compounds in good yields with high
enantioselectivities (up to 96% ee) under mild reaction conditions.
PMID- 22071520
TI - Cosmomycin C inhibits signal transducer and activator of transcription 3 (STAT3)
pathways in MDA-MB-468 breast cancer cell.
AB - The signal transducer and activator of transcription 3 (STAT3) is constitutively
activated in cancer cells. Therefore, blocking the aberrant activity of STAT3 in
tumor cells is a validated therapeutic strategy. To discover novel inhibitors of
STAT3 activity, we screened against microbial natural products using a dual
luciferase assay. Using the microbial metabolome library, we identified
cosmomycin C (CosC), which was isolated from the mycelium extract of Streptomyces
sp. KCTC19769, as a STAT3 pathway inhibitor. CosC inhibited STAT3 (Tyr705)
phosphorylation and subsequent nuclear translocation in MDA-MB-468 breast cancer
cells. CosC-mediated inhibition of STAT3 signaling pathway was confirmed by
suppressed expression of STAT3 downstream target proteins including cyclin D1,
Bcl-xL, survivin, Mcl-1, and VEGF in CosC-treated MDA-MB-468 cells. Flow
cytometry showed that CosC caused accumulation in the G(0)-G(1) phase of the cell
cycle and induced apoptosis via PARP cleavage and caspase-3 activation. Based on
these findings, CosC may be a potential candidate for modulation of STAT3
pathway.
PMID- 22071521
TI - Camptothecin (CPT) directly binds to human heterogeneous nuclear
ribonucleoprotein A1 (hnRNP A1) and inhibits the hnRNP A1/topoisomerase I
interaction.
AB - Camptothecin (CPT) is an anti-tumor natural product that forms a ternary complex
with topoisomerase I (top I) and DNA (CPT-top I-DNA). In this study, we
identified the direct interaction between CPT and human heterogeneous nuclear
ribonucleoprotein A1 (hnRNP A1) using the T7 phage display technology. On an
avidin-agarose bead pull down assay, hnRNP A1 protein was selectively pulled down
in the presence of C20-biotinylated CPT derivative (CPT-20-B) both in vitro and
in vivo. The interaction was also confirmed by an analysis on a quartz-crystal
microbalance (QCM) device, yielding a K(D) value of 82.7 nM. A surface plasmon
resonance (SPR) analysis revealed that CPT inhibits the binding of hnRNP A1 to
top I (K(D): 260 nM) in a non-competitive manner. Moreover, an in vivo drug
evaluation assay using Drosophila melanogaster showed that the knockout of the
hnRNP A1 homolog Hrb87F gene showed high susceptibility against 5-50 MUM of CPT
as compared to a wild-type strain. Such susceptibility was specific for CPT and
not observed after treatment with other cytotoxic drugs. Collectively, our data
suggests that CPT directly binds to hnRNP A1 and non-competitively inhibits the
hnRNP A1/top I interaction in vivo. The knockout strain loses the hnRNP A1
homolog as a both CPT-binding partner and naive brakes of top I, which enhances
the formation of the CPT-top I-DNA ternary complexes and subsequently sensitizes
the growth inhibitory effect of CPT in D. melanogaster.
PMID- 22071522
TI - Aza vinyl sulfones: synthesis and evaluation as antiplasmodial agents.
AB - A series of novel aza vinyl sulfones were designed, synthesized in good yields
and evaluated as antiplasmodial agents. Tested compounds did not show activity
against papain or the Plasmodium falciparum cysteine protease falcipain-2.
However, a number of the new compounds effectively inhibited the in vitro
development of P. falciparum. Compounds containing a squaramide group were the
most active, with IC(50) values between 0.95 and 4.5 MUM, suggesting that these
are potential lead compounds for the development of new antimalarial agents.
PMID- 22071523
TI - Triterpenoids as inhibitors of erythrocytic and liver stages of Plasmodium
infections.
AB - Bioassay-guided fractionation of the methanol extract of Momordica balsamina led
to the isolation of two new cucurbitane-type triterpenoids, balsaminol F (1) and
balsaminoside B (2), along with the known glycosylated cucurbitacins, cucurbita
5,24-diene-3beta,23(R)-diol-7-O-beta-D-glucopyranoside (3) and kuguaglycoside A
(4). Compound 1 was acylated yielding two new triesters, triacetylbalsaminol F
(5) and tribenzoylbalsaminol F (6). The structures were elucidated based on
spectroscopic methods including 2D-NMR experiments (COSY, HMQC, HMBC and NOESY).
Compounds 1-6, were evaluated for their antimalarial activity against the
erythrocytic stages of the Plasmodium falciparum chloroquine-sensitive strain 3D7
and the chloroquine-resistant clone Dd2. Assessment of compounds (1-3 and 5, 6)
activity against the liver stage of Plasmodium berghei was also performed,
measuring the luminescence intensity in Huh-7 cells infected with a firefly
luciferase-expressing P. berghei line, PbGFP-Luc(con). Active compounds were
shown to inhibit the parasite's intracellular development rather than its ability
to invade hepatic cells. Toxicity of compounds (1-3 and 5, 6) was assessed on the
same cell line and on mouse primary hepatocytes through the fluorescence
measurement of cell confluency. Furthermore, toxicity of compounds 1-6 towards
human cells was also investigated in the MCF-7 breast cancer cell line, showing
that they were not toxic or exhibited weak toxicity. In blood stages of P.
falciparum, compounds 1-5 displayed antimalarial activity, revealing
triacetylbalsaminol F (5) the highest antiplasmodial effects (IC(50) values:
0.4MUM, 3D7; 0.2MUM, Dd2). The highest antiplasmodial activity against the liver
stages of P.berghei was also displayed by compound 5, with high inhibitory
activity and no toxicity.
PMID- 22071524
TI - Molecular insights into human monoamine oxidase (MAO) inhibition by 1,4
naphthoquinone: evidences for menadione (vitamin K3) acting as a competitive and
reversible inhibitor of MAO.
AB - Monoamine oxidase (MAO) catalyzes the oxidative deamination of biogenic and
exogenous amines and its inhibitors have therapeutic value for several conditions
including affective disorders, stroke, neurodegenerative diseases and aging. The
discovery of 2,3,6-trimethyl-1,4-naphthoquinone (TMN) as a nonselective and
reversible inhibitor of MAO, has suggested 1,4-naphthoquinone (1,4-NQ) as a
potential scaffold for designing new MAO inhibitors. Combining molecular modeling
tools and biochemical assays we evaluate the kinetic and molecular details of the
inhibition of human MAO by 1,4-NQ, comparing it with TMN and menadione. Menadione
(2-methyl-1,4-naphthoquinone) is a multitarget drug that acts as a precursor of
vitamin K and an inducer of mitochondrial permeability transition. Herein we show
that MAO-B was inhibited competitively by 1,4-NQ (K(i)=1.4 MUM) whereas MAO-A was
inhibited by non-competitive mechanism (K(i)=7.7 MUM). Contrasting with TMN and
1,4-NQ, menadione exhibited a 60-fold selectivity for MAO-B (K(i)=0.4 MUM) in
comparison with MAO-A (K(i)=26 MUM), which makes it as selective as rasagiline.
Fluorescence and molecular modeling data indicated that these inhibitors interact
with the flavin moiety at the active site of the enzyme. Additionally, docking
studies suggest the phenyl side groups of Tyr407 and Tyr444 (for MAO-A) or Tyr398
and Tyr435 (for MAO-B) play an important role in the interaction of the enzyme
with 1,4-NQ scaffold through forces of dispersion as verified for menadione, TMN
and 1,4-NQ. Taken together, our findings reveal the molecular details of MAO
inhibition by 1,4-NQ scaffold and show for the first time that menadione acts as
a competitive and reversible inhibitor of human MAO.
PMID- 22071525
TI - Recent advances in the biology and chemistry of the flavaglines.
AB - The flavaglines are a family of plant natural products that induce potent
anticancer and neuroprotective activities. This review summarizes recent
synthetic approaches to flavaglines and the current status of their
pharmacological properties.
PMID- 22071526
TI - Design and synthesis of simplified taxol analogs based on the T-Taxol bioactive
conformation.
AB - A series of compounds designed to adopt a conformation similar to the tubulin
binding T-Taxol conformation of the anticancer drug paclitaxel has been
synthesized. Both the internally bridged analogs 37-39, 41 and the open-chain
analogs 27-29 and 43 were prepared. The bridged analogs 37-39 and 41 were
synthesized by Grubbs' metatheses of compounds 30-32 and 33, which, in turn, were
prepared by coupling beta-lactams 24-26 with alcohols 22 and 23. Both the bridged
and the open-chain analogs showed moderate to good cytotoxicity.
PMID- 22071527
TI - Synthesis, structure-activity relationships, and mechanism of action of anti-HIV
1 lamellarin alpha 20-sulfate analogues.
AB - Lamellarin alpha and six different types of lamellarin alpha 20-sulfate analogues
were synthesized and their structure-activity relationships were investigated
using a single round HIV-1 vector infection assay. All lamellarin sulfates having
pentacyclic lamellarin core exhibited anti-HIV-1 activity at a 10 MUM
concentration range regardless of the number and position of the sulfate group.
On the other hand, non-sulfated lamellarin alpha and ring-opened lamellarin
sulfate analogues did not affect HIV-1 vector infection in similar
concentrations. The lamellarin sulfates utilized in this study did not exhibit
unfavorable cytotoxic effect under the concentrations tested (IC(50)>100 MUM).
Confocal laser scanning microscopic analysis indicated that hydrophilic
lamellarin sulfates were hardly incorporated in the cell. HIV-1 Env-mediated cell
cell fusion was suppressed by lamellarin sulfates. These results suggested that
lamellarin sulfates have a novel anti-HIV-1 activity besides the previously
reported integrase activity inhibition, possibly at a viral entry step of HIV-1
replication.
PMID- 22071528
TI - The impact of electronic health records on care of heart failure patients in the
emergency room.
AB - OBJECTIVE: To evaluate if electronic health records (EHR) have observable effects
on care outcomes, we examined quality and efficiency measures for patients
presenting to emergency departments (ED). MATERIALS AND METHODS: We conducted a
retrospective study of 5166 adults with heart failure in three metropolitan EDs.
Patients were termed internal if prior information was in the EHR upon ED
presentation, otherwise external. Associations of internality with
hospitalization, mortality, length of stay (LOS), and numbers of tests,
procedures, and medications ordered in the ED were examined after adjusting for
age, gender, race, marital status, comorbidities and hospitalization as a proxy
for acuity level where appropriate. RESULTS: At two EDs internals had lower odds
of mortality if hospitalized (OR 0.55; 95% CI 0.38 to 0.81 and 0.45; 0.21 to
0.96), fewer laboratory tests during the ED visit (-4.6%; -8.9% to -0.1% and
14.0%; -19.5% to -8.1%) as well as fewer medications (-33.6%; -38.4% to -28.4%
and -21.3%; -33.2% to -7.3%). At one of these two EDs, internals had lower odds
of hospitalization (0.37; 0.22 to 0.60). At the third ED, internal patients only
experienced a prolonged ED LOS (32.3%; 6.3% to 64.8%) but no other differences.
There was no association with hospital LOS or number of procedures ordered.
DISCUSSION: EHR availability was associated with salutary outcomes in two of
three ED settings and prolongation of ED LOS at a third, but evidence was mixed
and causality remains to be determined. CONCLUSIONS: An EHR may have the
potential to be a valuable adjunct in the care of heart failure patients.
PMID- 22071529
TI - Detecting pregnancy use of non-hormonal category X medications in electronic
medical records.
AB - OBJECTIVES: To determine whether a rule-based algorithm applied to an outpatient
electronic medical record (EMR) can identify patients who are pregnant and
prescribed medications proved to cause birth defects. DESIGN: A descriptive study
using the University of Pennsylvania Health System outpatient EMR to simulate a
prospective algorithm to identify exposures during pregnancy to category X
medications, soon enough to intervene and potentially prevent the exposure. A
subsequent post-hoc algorithm was also tested, working backwards from pregnancy
endpoints, to search for possible exposures that should have been detected.
MEASUREMENTS: Category X medications prescribed to pregnant patients. RESULTS:
The alert simulation identified 2201 pregnancies with 16,969 pregnancy months
(excluding abortions and ectopic pregnancies). Of these, 30 appeared to have an
order for a non-hormone category X medication during pregnancy. However, none of
the 30 'exposed pregnancies' were confirmed as true exposures in medical records
review. The post-hoc algorithm identified 5841 pregnancies with 64 exposed
pregnancies in 52,569 risk months, only one of which was a confirmed case.
CONCLUSIONS: Category X medications may indeed be used in pregnancy, although
rarely. However, most patients identified by the algorithm as exposed in
pregnancy were not truly exposed. Therefore, implementing an electronic warning
without evaluation would have inconvenienced prescribers, possibly hurting some
patients (leading to non-use of needed drugs), with no benefit. These data
demonstrate that computerized physician order entry interventions should be
selected and evaluated carefully even before their use, using alert simulations
such as that performed here, rather than just taken off the shelf and accepted as
credible without formal evaluation.
PMID- 22071530
TI - Patient reported barriers to enrolling in a patient portal.
AB - BACKGROUND: Previous studies of patient portals have found low rates of
enrollment and significant disparities in enrollment by race and ethnicity. As
the reasons for these findings are unclear, we sought to identify patient
reported barriers to enrollment in a patient portal. METHODS: We conducted a
telephone survey of patients in one urban general internal medicine clinic.
Patients were eligible if they did not enroll within 30 days of receiving an
electronic order inviting participation. Our primary outcomes were: (a) reasons
for not enrolling in the patient portal; (b) reasons for not attempting
enrollment; and (c) perceived benefits of the portal. RESULTS: Participants'
(N=159) mean age was 51 years, 48% were black, 72% female, and 70% had a college
degree or greater. 63% of respondents not enrolling reported never attempting
enrollment despite remembering receiving an order. Most of these 63% did not
attempt enrollment because of lack of information or motivation. Smaller
proportions reported not attempting enrollment because of negative attitudes
toward the portal (30%) or computer related obstacles (8%). Overall, respondents
favorably viewed most patient portal features, however black respondents were
less likely than white respondents to consider features assisting self-management
such as getting test results (69% vs 86%; p<0.05) as important. Adjusting for
age, gender, education, and chronic disease did not substantially change results.
CONCLUSION: Strategies to increase enrollment in patient portals need to ensure
patients understand patient portal features and receive follow-up reminders.
Interventions to reduce racial disparities in enrollment must address attitudinal
barriers and not focus solely on improving access.
PMID- 22071531
TI - The Hub Population Health System: distributed ad hoc queries and alerts.
AB - The Hub Population Health System enables the creation and distribution of queries
for aggregate count information, clinical decision support alerts at the point-of
care for patients who meet specified conditions, and secure messages sent
directly to provider electronic health record (EHR) inboxes. Using a
metronidazole medication recall, the New York City Department of Health was able
to determine the number of affected patients and message providers, and
distribute an alert to participating practices. As of September 2011, the system
is live in 400 practices and within a year will have over 532 practices with 2500
providers, representing over 2.5 million New Yorkers. The Hub can help public
health experts to evaluate population health and quality improvement activities
throughout the ambulatory care network. Multiple EHR vendors are building these
features in partnership with the department's regional extension center in
anticipation of new meaningful use requirements.
PMID- 22071532
TI - What is the real effect of 1-Hz repetitive transcranial magnetic stimulation on
hallucinations? Controlling for publication bias in neuromodulation trials.
PMID- 22071533
TI - Ifenprodil for the treatment of flashbacks in female posttraumatic stress
disorder patients with a history of childhood sexual abuse.
PMID- 22071534
TI - Clinical snippets.
PMID- 22071537
TI - Local actions of thyrotropin-releasing hormone regulate hair color.
PMID- 22071538
TI - Toward the isolation and culture of melanocyte stem cells.
AB - A new level of understanding of pigment cell biology and pathology will require
the ability to culture and manipulate melanocyte stem cells (MCSCs) in vitro. In
this issue, Nishikawa-Torikai et al. report progress toward this end. MCSCs
isolated from mouse hair follicles can be expanded in vitro in a feeder-layer
culture system. Application to human systems can be expected.
PMID- 22071539
TI - Axl of evil?
AB - Receptor tyrosine kinases (RTKs) play key roles in the initiation and progression
of human skin cancers, yet the importance of some RTKs remains poorly understood.
In this issue, Sensi et al. provide new insights into the expression and function
of the RTK, Axl, in melanoma. The investigators show that Axl is frequently
expressed in melanoma cell lines, particularly mutant NRAS-harboring lines, and
that Axl activation by its ligand, Gas6, probably occurs via both autocrine and
paracrine mechanisms. Gene signatures from Axl-expressing cell lines are similar
to published signatures from poorly differentiated tumors displaying high
metastatic potential. Functionally, Axl was required for the invasive and
migratory properties of Axl-expressing melanoma cell lines. These data emphasize
that targeting the Gas6-Axl signaling axis should be investigated as a strategy
to inhibit prometastatic properties in poorly differentiated melanomas.
PMID- 22071540
TI - Infantile hemangioma research: looking backward and forward.
AB - This is a remarkable time to be a student of infantile hemangiomas (IHs). IH is a
common tumor, estimated to occur in approximately 4% of infants. Studied for many
decades, the acquisition of knowledge and pace of IH research are accelerating.
The article by Greenberger et al. in this issue is a welcome addition to the
literature. It examines rapamycin as a possible treatment for IH that could
potentially be curative because suppression of self-renewal of stem cells might
deplete hemangiomas of the stem cells from which they originate. However, before
we get too enthusiastic about using rapamycin for IHs, it is important to reflect
on lessons learned from previous hemangioma therapies.
PMID- 22071543
TI - Mn monolayer modified Rh for syngas-to-ethanol conversion: a first-principles
study.
AB - Rh is unique in its ability to convert syngas to ethanol with the help of
promoters. We performed systematic first-principles computations to examine the
catalytic performance of pure and Mn modified Rh(100) surfaces for ethanol
formation from syngas. CO dissociation on the surface as well as CO insertion
between the chemisorbed CH(3) and the surface are the two key steps. The CO
dissociation barrier on the Mn monolayer modified Rh(100) surface is remarkably
lowered by ~1.5 eV compared to that on Rh(100). Moreover, the reaction barrier of
CO insertion into the chemisorbed CH(3) group on the Mn monolayer modified
Rh(100) surface is 0.34 eV lower than that of methane formation. Thus the present
work provides new mechanistic insight into the role of Mn promoters in improving
Rh's selectivity to convert syngas to ethanol.
PMID- 22071550
TI - Transition to seizure in photosensitive epilepsy.
AB - Photosensitive epilepsy (PSE) offers a highly reproducible model to investigate
whether changes in neuronal activity preceding the transition to an epileptic
photoparoxysmal response (PPR) may be detected. We investigated this possibility
in patients with idiopathic PSE using MEG, as well as normal controls and non
photosensitive epileptic patients of the same age group. Spectral analysis of the
MEG signals recorded during intermittent light stimulation revealed relevant
information in the phase spectrum. To quantify this effect, we introduced a
second order response feature of the stimulus-triggered visual response preceding
the PPR: the phase clustering index, which measures how close the phases of
successive periods are grouped for each frequency component for all periods of
the stimuli applied. We found that an enhancement of phase synchrony in the gamma
band (30-120Hz), harmonically related to the frequency of stimulation, preceded
the stimulation trials that evolved into PPRs, and differed significantly from
that encountered in trials not followed by PPR or in control subjects. Thus this
index can be considered a valuable index of the pro-ictal transition to seizures
in photosensitive epilepsy.
PMID- 22071549
TI - Zinc and human health: an update.
AB - The importance of micronutrients in health and nutrition is undisputable, and
among them, zinc is an essential element whose significance to health is
increasingly appreciated and whose deficiency may play an important role in the
appearance of diseases. Zinc is one of the most important trace elements in the
organism, with three major biological roles, as catalyst, structural, and
regulatory ion. Zinc-binding motifs are found in many proteins encoded by the
human genome physiologically, and free zinc is mainly regulated at the single
cell level. Zinc has critical effect in homeostasis, in immune function, in
oxidative stress, in apoptosis, and in aging, and significant disorders of great
public health interest are associated with zinc deficiency. In many chronic
diseases, including atherosclerosis, several malignancies, neurological
disorders, autoimmune diseases, aging, age-related degenerative diseases, and
Wilson's disease, the concurrent zinc deficiency may complicate the clinical
features, affect adversely immunological status, increase oxidative stress, and
lead to the generation of inflammatory cytokines. In these diseases, oxidative
stress and chronic inflammation may play important causative roles. It is
therefore important that status of zinc is assessed in any case and zinc
deficiency is corrected, since the unique properties of zinc may have significant
therapeutic benefits in these diseases. In the present paper, we review the zinc
as a multipurpose trace element, its biological role in homeostasis,
proliferation and apoptosis and its role in immunity and in chronic diseases,
such as cancer, diabetes, depression, Wilson's disease, Alzheimer's disease, and
other age-related diseases.
PMID- 22071551
TI - Genetic linkage analysis of a large family with photoparoxysmal response.
AB - In this study, we report the results of a genetic linkage analysis of a large
family with photoparoxysmal response, defined by the presence of a
photoparoxysmal response (PPR) on EEG. The participants were genotyped using an 8
cM whole genome wide scan, and both parametric and non-parametric linkage
analysis were carried out. The parametric analysis by MLINK did not identify any
definite conclusion but a region of interest on chromosome 1 near marker D1S2865;
and non-parametric linkage analysis found a locus of interest on chromosome 16,
near marker D16S2621. The possible confounding factors for, and pathogenic
implication of, and the results are discussed.
PMID- 22071552
TI - Localization of ictal onset zones in Lennox-Gastaut syndrome (LGS) based on
information theoretical time delay analysis of intracranial
electroencephalography (iEEG).
AB - Precise localization of ictal onset zones is of great clinical importance for
successful surgery in patients with intractable drug-resistant epilepsy. Time
delay analysis has been one of the most reliable and most widely used
computational electroencephalogram (EEG) analysis methods for localizing ictal
onset zones. However, the majority of previous studies have only been applied to
the localization of ictal onset zones in focal epilepsy. In the present study, we
analyzed intracranial EEG (iEEG) recordings acquired from patients with Lennox
Gaustaut syndrome (LGS), which is a type of intractable, pediatric, secondary
generalized epilepsies with bilaterally synchronous ictal epileptiform
discharges. To estimate the ictal onset zones from ictal iEEG recordings, we
estimated time delays among iEEG signals based on the information theoretical
approach. The results of the time delay analysis applied to the iEEG data of four
successfully treated LGS patients corresponded well with the surgical resection
areas identified by experienced epileptologists and multiple neuroimaging
modalities, suggesting that the time delay analysis may provide useful
information on the precise locations of ictal onset zones prior to epilepsy
surgery in LGS patients.
PMID- 22071553
TI - Ligand based pharmacophore model development for the identification of novel
antiepileptic compound.
AB - Epilepsy is a common neurological disorder throughout the world which is
characterized by recurrent unprovoked epileptic seizures. A need exists for the
development of new antiseizure drugs with improved efficacy and tolerability, as
several of the currently available antiepileptic drugs (AEDs) have been
associated with severe side effects. A ligand based pharmacophore approach has
been generated for 44 new antiepileptic compounds with emphasis on the
development of new drugs by using LigandScout software and distance estimation
using Jmol. The pharmacophore of the compounds contained three features
hydrophobic unit, hydrogen bonding domain and electron donor. The pharmacophore
models derived were then filtered using the Lipinski's rule of five criteria and
orally bio-available compounds were obtained. Thus, this approach was able to
reclaim few leads which had projected inhibitory activity alike to most active
compounds with suitable calculated drug-like properties and therefore they could
be recommended for further studies.
PMID- 22071554
TI - Effect of low-frequency electrical stimulation parameters on its anticonvulsant
action during rapid perforant path kindling in rat.
AB - Low frequency stimulation (LFS) may be considered as a new potential therapy for
drug-resistant epilepsy. However, the relation between LFS parameters and its
anticonvulsant effects is not completely determined. In this study, the effect of
some LFS parameters on its anticonvulsant action was investigated in rats. In all
animals, stimulating and recording electrodes were implanted into the perforant
path and dentate gyrus, respectively. In one group of animals, kindling
stimulations were applied until rats achieved a fully kindled state. In other
groups, different patterns of LFS were applied at the end of kindling
stimulations during twenty consecutive days. In the first experiment the effect
of LFS pulse numbers was investigated on its anticonvulsant action. Animals were
divided randomly into three groups and 1, 4, and 8 packages of LFS (each pack
contains 200 pulses, 0.1 ms pulse duration at 1 Hz) were applied five minutes
after termination of kindling stimulations. Obtained results showed that 4
packages of LFS had the strongest anticonvulsant effects. Therefore, this pattern
(4 packages) was used in the next experiment. In the second experiment, 4
packages of LFS were applied at intervals of 30 s and 30 min after termination of
kindling stimulations. The strongest anticonvulsant effect was observed in the
group received LFS at the interval of 30 s. Therefore, this pattern was selected
for the third experiment. In the third experiment the effect of LFS at
frequencies of 0.25 Hz and 5 Hz was investigated. The group of animals which
received LFS at the frequency of 0.25 Hz showed somehow stronger anticonvulsant
effect. The results indicate that different parameters of LFS have important role
in induction of LFS anticonvulsant effects. Regarding this view, it seems that
the slower LFS frequency and the shorter interval between LFS and kindling
stimulations, the stronger anticonvulsant effect will be observed. But there is
no direct relation between number of pulses and the magnitude of anticonvulsant
effect of LFS.
PMID- 22071555
TI - Early clinical features in Dravet syndrome patients with and without SCN1A
mutations.
AB - BACKGROUND: SCN1A is the most clinically relevant epilepsy gene, most mutations
causing Dravet syndrome (also known as severe myoclonic epilepsy of infancy or
SMEI). We evaluated clinical differences, if any, between young patients with and
without a SCN1A mutations and a definite clinical diagnosis of Dravet syndrome.
METHODS: Twenty-five patients with a diagnosis of Dravet Syndrome (7 males, 18
females; mean age at inclusion: 10.3; median: 9+/-7; range: 18 months-30 years)
were retrospectively studied. A clinical and genetic study focusing on SCN1A was
performed, using DHPLC, gene sequencing and MLPA to detect genomic
deletions/duplications. A formal cognitive and behavioral assessment was
available for all patients. RESULTS: Analysis revealed SCN1A mutations comprising
missense, truncating mutations and genomic deletions/duplications in eighteen
patients and no mutation in seven. The phenotype of mutation positive patients
was characterized by a higher number of seizures/month in the first year of life,
an earlier seizure onset and a higher frequency of episodes of status
epilepticus. The cognitive and behavioral profile was slightly worst in mutation
positive patients. CONCLUSIONS: These findings confirm that SCN1A gene mutations
are strongly associated to a more severe phenotype in patients with Dravet
syndrome.
PMID- 22071556
TI - Transformation of Solanum tuberosum plastids allows high expression levels of
beta-glucuronidase both in leaves and microtubers developed in vitro.
AB - Plastid genome transformation offers an attractive methodology for transgene
expression in plants, but for potato, only expression of gfp transgene (besides
the selective gene aadA) has been published. We report here successful expression
of beta-glucuronidase in transplastomic Solanum tuberosum (var. Desiree) plants,
with accumulation levels for the recombinant protein of up to 41% of total
soluble protein in mature leaves. To our knowledge, this is the highest
expression level reported for a heterologous protein in S. tuberosum.
Accumulation of the recombinant protein in soil-grown minitubers was very low, as
described in previous reports. Interestingly, microtubers developed in vitro
showed higher accumulation of beta-glucuronidase. As light exposure during their
development could be the trigger for this high accumulation, we analyzed the
effect of light on beta-glucuronidase accumulation in transplastomic tubers.
Exposure to light for 8 days increased beta-glucuronidase accumulation in soil
grown tubers, acting as a light-inducible expression system for recombinant
protein accumulation in tuber plastids. In this paper we show that plastid
transformation in potato allows the highest recombinant protein accumulation in
foliar tissue described so far for this food crop. We also demonstrate that in
tubers high accumulation is possible and depends on light exposure. Because
tubers have many advantages as protein storage organs, these results could lead
to new recombinant protein production schemes based on potato.
PMID- 22071557
TI - Pattern electroretinogram progression in glaucoma suspects.
AB - PURPOSE: To prospectively monitor progressive changes of retinal ganglion cell
function in early glaucoma using the pattern electroretinogram (PERG). METHODS:
Fifty-nine patients enrolled as glaucoma suspects were observed untreated over an
average of 5.7+/-1.4 years, during which they were tested with PERG (PERGLA
paradigm) and standard automated perimetry (SAP) 2 times per year. PERG amplitude
and phase were normalized for physiological age-related changes, and linear
regressions fitted to the data to calculate progression slopes (signal), slope SE
(noise), and corresponding signal-to-noise ratios (SNR=slope/SE). Linear
regressions were also used to fit SAP global indices mean deviation (MD) and
pattern standard deviation (PSD). RESULTS: On average, progression slopes of PERG
amplitude/phase were skewed toward negative values, their mean being
significantly (P<0.01) different from zero. In contrast, mean slopes of SAP-MD
and PSD were not significantly different from zero. SNRs were higher for PERG
than SAP (P<0.01). A substantial number of eyes displayed significant (P<0.05)
progression of PERG amplitude (15% to 20%) or PERG phase (16% to 25%). Fewer eyes
displayed significant progression of SAP-MD (0% to 2%) or SAP-PSD (4% to 8%).
CONCLUSIONS: The PERG displayed clear longitudinal loss of signal (diminished
amplitude, phase delay, or both) in a substantial number of eyes of patients,
indicating progressive deterioration of retinal ganglion cell function.
Progression of SAP global indices MD and PSD was found in a relatively smaller
number of eyes. It remains to be established whether PERG progression has
predictive value for developing visual dysfunction.
PMID- 22071558
TI - Occurrence of infection by Platynosomum illiciens (Braun, 1901) in captive
neotropical primates.
AB - Platynosomum illiciens (Trematoda, Plagiorchida) is a trematode parasite reported
in felids and falconiforms. It was identified in the gall bladder of eight
captive neotropical necropsied primates from the National Primate Center (CENP),
Ananindeua, State of Para, Brazil. This is the first description of Platynosomum
illiciens as a parasite of primates.
PMID- 22071562
TI - Deep tissue bio-imaging using two-photon excited CdTe fluorescent quantum dots
working within the biological window.
AB - A new approach to deep tissue imaging is presented based on 8 nm CdTe
semiconductor quantum dots (QDs). The characteristic 800 nm emission was found to
be efficiently excited via two-photon absorption of 900 nm photons. The fact that
both excitation and emission wavelengths lie within the "biological window"
allows for high resolution fluorescence imaging at depths close to 2 mm. These
penetration depths have been used to obtain the first deep tissue multiphoton
excited fluorescence image based on CdTe-QDs. Due to the large thermal
sensitivity of CdTe-QDs, one may envisage, in the near future, their use in high
resolution deep-tissue thermal imaging.
PMID- 22071563
TI - Functional expression of ionotropic glutamate receptors in the rabbit retinal
ganglion cells.
AB - It has been known that retinal ganglion cells (RGCs) with distinct morphologies
have different physiological properties. It was hypothesized that different
functions of RGCs may in part result from various expressions of N-methyl-d
aspartate (NMDA), alpha-amino-3-hydroxyl-5-methyl-isoxazole-4-propinoic acid
(AMPA), and kainic acid (KA) receptors on their dendrites. In the present study,
we aimed to characterize the functional expression of AMPA and NMDA receptors of
morphologically identified RGCs in the wholemount rabbit retina. The agmatine
(AGB) activation assay was used to reveal functional expression of ionotropic
glutamate receptors after the RGCs were targeted by injecting Neurobiotin. To
examine the excitability of these glutamate receptors in an agonist specific
manner, the lower concentrations of AMPA (2 MUM) and NMDA (100 MUM) were chosen
to examine G7 (ON-OFF direction selective ganglion cells) and G11 (alpha ganglion
cells) types of RGCs. We found that less than 40% of G7 type RGCs had salient AGB
activation when incubated with 2 MUM AMPA or 100 MUM NMDA. The G11 type RGCs also
showed similar activation frequencies, except that all of the OFF subtype
examined had no AGB permeation under the same AMPA concentration. These results
suggest that RGCs with large somata (G7 and G11 types) may express various
heterogeneous functional ionotropic glutamate receptors, thus in part rendering
their functional diversity.
PMID- 22071564
TI - Integration and transmission of distributed deterministic neural activity in feed
forward networks.
AB - A ten layer feed-forward network characterized by diverging/converging patterns
of projection between successive layers of regular spiking (RS) neurons is
activated by an external spatiotemporal input pattern fed to Layer 1 in presence
of stochastic background activities fed to all layers. We used three dynamical
systems to derive the external input spike trains including the temporal
information, and three types of neuron models for the network, i.e. either a
network formed either by neurons modeled by exponential integrate-and-fire
dynamics (RS-EIF, Fourcaud-Trocme et al., 2003), or by simple spiking neurons (RS
IZH, Izhikevich, 2004) or by multiple-timescale adaptive threshold neurons (RS
MAT, Kobayashi et al., 2009), given five intensities for the background activity.
The assessment of the temporal structure embedded in the output spike trains was
carried out by detecting the preferred firing sequences for the reconstruction of
de-noised spike trains (Asai and Villa, 2008). We confirmed that the RS-MAT model
is likely to be more efficient in integrating and transmitting the temporal
structure embedded in the external input. We observed that this structure could
be propagated not only up to the 10th layer but in some cases it was retained
better beyond the 4th downstream layers. This study suggests that
diverging/converging network structures, by the propagation of synfire activity,
could play a key role in the transmission of complex temporal patterns of
discharges associated to deterministic nonlinear activity. This article is part
of a Special Issue entitled Neural Coding.
PMID- 22071565
TI - Undirected thought: neural determinants and correlates.
AB - While goal-directed thinking has received the lion's share of neuroscientific
attention, its counterpart--the undirected thought flow that comes to mind
unbidden and without effort--has remained largely on the sidelines of scientific
research. Such undirected thought, however, forms a large part of our mental
experience. The last decade of neuroscientific investigations marked a resurgence
of interest and work into the neural basis of undirected thought. This article
reviews the current status of the field and examines the research on the three
most frequently discussed categories of undirected thought: spontaneous thought,
stimulus-independent thought, and mind wandering. The terminology and paradigms
for investigating undirected thought are still being developed, while research is
gradually moving beyond strictly task- and rest-based paradigms and towards
incorporating introspective first-person reports in order to better understand
this phenomenon. It is impossible to say at this point that undirected thinking
is preferentially linked to any one particular brain system. Although its
connection to the default network has been disproportionately emphasized in the
literature, other brain networks such as the executive system and the temporal
lobe memory network appear to be equally involved. In addition to reviewing the
literature, this article also presents novel findings regarding the functional
connectivity between large-scale brain networks during mind wandering. These
findings reveal the presence of positive functional connectivity between regions
of the default and executive networks and negative functional connectivity
between the default network and primary sensory cortices. Thus, the default and
executive networks can closely cooperate in supporting undirected thought
processes, and seem to do so at times when the primary sensory cortices are not
busy with the processing of perceptual information from the external environment.
This article is part of a Special Issue entitled The Cognitive Neuroscience of
Thought.
PMID- 22071566
TI - Clinical use of the STOP-BANG questionnaire in patients undergoing sedation for
endoscopic procedures.
PMID- 22071567
TI - Trends in life jacket wear among recreational boaters: a dozen years (1999-2010)
of US observational data.
AB - We report results from 12 years of US observational data on life jacket use among
recreational boaters based on more than 480,000 boaters in 175,000 boats between
1999 and 2010. The overall wear rate was 21.7 per cent, with sharp differences by
age and boat types. We found strong increasing trends in wear rates among youth
boaters, but among adults, the only increase was on sailboats. The increasing
trend among youth is probably due to a combination of expanding laws for
mandatory life jacket use among this age group, and targeted educational
campaigns promoting life jacket use for children. Future efforts to increase the
prevalence of life jacket wear should target groups with low wear rates and
boaters on boats most likely to capsize. Policymakers should give serious
consideration to regulations requiring adult boaters to wear life jackets while
boating.
PMID- 22071568
TI - Laboratory equipment maintenance: a critical bottleneck for strengthening health
systems in sub-Saharan Africa?
AB - Properly functioning laboratory equipment is a critical component for
strengthening health systems in developing countries. The laboratory can be an
entry point to improve population health and care of individuals for targeted
diseases - prevention, care, and treatment of TB, HIV/AIDS, and malaria, plus
maternal and neonatal health - as well as those lacking specific attention and
funding. We review the benefits and persistent challenges associated with
sustaining laboratory equipment maintenance. We propose equipment management
policies as well as a comprehensive equipment maintenance strategy that would
involve equipment manufacturers and strengthen local capacity through pre-service
training of biomedical engineers. Strong country leadership and commitment are
needed to assure development and sustained implementation of policies and
strategies for standardization of equipment, and regulation of its procurement,
donation, disposal, and replacement.
PMID- 22071569
TI - Dietary L-tryptophan alters aggression in juvenile matrinxa Brycon amazonicus.
AB - This study evaluated the effect of dietary supplementation with L: -tryptophan (L
TRP), a serotonin precursor, on the aggressiveness of juvenile matrinxa Brycon
amazonicus. Fish were kept in individual aquaria for 7 days receiving the diets:
D1 (control: 0.47% of TRP), D2 (0.94% of TRP), D3 (1.88% of TRP), and D4 (3.76%
of TRP). After this, they were grouped with an intruder fish to establish a
resident-intruder relationship during periods of 20 min. Blood cortisol, glucose,
chloride, sodium and calcium; hemoglobin, hematocrit, red blood cell count and
volume; liver glycogen and lipids were measured. Territoriality had significant
effect on the aggressiveness of matrinxa (the residents were more aggressive than
intruders, P < 0.001) and tryptophan significantly affected their behavior. Fish
fed with the D2 diet presented a longer latency until the first attack (P =
0.0069) and bit the intruder fewer times (P = 0.0136) during the period of
observation, compared to the control group. The frequency of bites and chases
after the first attack was not affected by the dietary supplementation of TRP.
Physiological variables were not significantly affected by the diet, except for a
moderate increase in cortisol level in fish fed with D2 diet after the fight,
indicating slight activation of the hypothalamus-pituitary-interrenal axis. The
results show that juvenile matrinxa have aggressive and territorial behavior and
that a diet containing 9.4 g TRP kg(-1) alter their aggressiveness, without
affecting the stress-related physiological parameters.
PMID- 22071571
TI - Optical absorption and excitonic coupling in azobenzenes forming self-assembled
monolayers: a study based on density functional theory.
AB - Based on the analysis of optical absorption spectra, it has recently been
speculated that the excitonic coupling between individual azobenzene
functionalized alkanethiols arranged in a self-assembled monolayer (SAM) on a
gold surface could be strong enough to hinder collective trans-cis isomerization
on top of steric hindrance [Gahl et al., J. Am. Chem. Soc., 2010, 132, 1831].
Using models of SAMs of increasing complexity (dimer, linear N-mers, and two
dimensionally arranged N-mers) and density functional theory on the (TD-) B3LYP/6
31G* level, we determine optical absorption spectra, the nature and magnitude of
excitonic couplings, and the corresponding spectral shifts. It is found that at
inter-monomer distances of about 20 A and above, TD-B3LYP excitation frequencies
(and signal intensities) can be well described by the frequently used point
dipole approximation. Further, calculated blue shifts in optical absorption
spectra account for the experimental observations made for azobenzene/gold SAMs,
and hint to the fact that they can indeed be responsible for reduced switching
probability in densely packed self-assembled structures.
PMID- 22071570
TI - Clinical significance of metabolic tumor volume by PET/CT in stages II and III of
diffuse large B cell lymphoma without extranodal site involvement.
AB - The objective of this study was to investigate whether metabolic tumor volume
(MTV) by positron emission tomography (PET) can be a potential prognostic tool
when compared with Ann Arbor stage, in stages II and III nodal diffuse large B
cell lymphoma (DLBCL). We evaluated 169 patients with nodal stages II and III
DLBCL who underwent measurements with PET prior to rituximab combined with
cyclophosphamide, adriamycin, vincristine, and prednisone (R-CHOP). Cutoff point
of MTV was measured using the receiver operating characteristic (ROC) curve.
During a median period of 36 months, stage II was 59.2% and III was 40.8%. Using
the ROC curve, the MTV of 220 cm(3) was the cutoff value. The low MTV group (<220
cm(3)) had longer progression-free survival (PFS) and overall survival (OS),
compared with the high MTV group (>=220 cm(3)) (p < 0.001, p < 0.001). Stage II
patients had longer survival than those in stage III (PFS, p = 0.011; OS, p =
0.001). The high MTV group had lower PFS and OS patterns, regardless of stage,
compared with the low MTV group (p < 0.001, p < 0.001). Multivariate analysis
revealed an association of the high MTV group with lower PFS and OS (PFS, hazard
ratio (HR) = 5.300, p < 0.001; OS, HR = 7.009, p < 0.001), but not stage III
(PFS, p = 0.187; OS, p = 0.054). Assessment of MTV by PET had more potential
predictive power than Ann Arbor stage in the patients that received R-CHOP.
PMID- 22071572
TI - Gas nanosensor design packages based on tungsten oxide: mesocages, hollow
spheres, and nanowires.
AB - Achieving proper designs of nanosensors for highly sensitive and selective
detection of toxic environmental gases is one of the crucial issues in the field
of gas sensor technology, because such designs can lead to the enhancement of gas
sensor performance and expansion of their applications. Different geometrical
designs of porous tungsten oxide nanostructures, including the mesocages, hollow
spheres and nanowires, are synthesized for toxic gas sensor applications.
Nanosensor designs with small crystalline size, large specific surface area, and
superior physical characteristics enable the highly sensitive and selective
detection of low concentration (ppm levels), highly toxic NO(2) among CO, as
well as volatile organic compound gases, such as acetone, benzene, and ethanol.
The experimental results showed that the sensor response was not only dependent
on the specific surface area, but also on the geometries and crystal size of
materials. Among the designed nanosensors, the nanowires showed the highest
sensitivity, followed by the mesocages and hollow spheres-despite the fact that
mesocages had the largest specific surface area of 80.9 m(2) g( - 1), followed by
nanowires (69.4 m(2) g( - 1)), and hollow spheres (6.5 m(2) g( - 1)). The
nanowire sensors had a moderate specific surface area (69.4 m(2) g( - 1)) but
they exhibited the highest sensitivity because of their small diameter (~5 nm),
which approximates the Debye length of WO(3). This led to the depletion of the
entire volume of the nanowires upon exposure to NO(2), resulting in an enormous
increase in sensor resistance.
PMID- 22071573
TI - Palliative care for the terminally ill in America: the consideration of QALYs,
costs, and ethical issues.
AB - The drive for cost-effective use of medical interventions has advantages, but can
also be challenging in the context of end-of-life palliative treatments. A
quality-adjusted life-year (QALY) provides a common currency to assess the extent
of the benefits gained from a variety of interventions in terms of health-related
quality of life and survival for the patient. However, since it is in the nature
of end-of-life palliative care that the benefits it brings to its patients are of
short duration, it fares poorly under a policy of QALY-maximization.
Nevertheless, we argue that the goals of palliative care and QALY are not
incompatible, and optimal integration of palliative care into the calculation of
QALY may reveal a mechanism to modify considerations of how optimal quality of
life can be achieved, even in the face of terminal illness. The use of QALYs in
resource allocation means that palliative care will always compete with
alternative uses of the same money. More research should be conducted to evaluate
choices between palliative care and more aggressive therapies for the terminally
ill. However, current limited data show that investing in palliative care makes
more sense not only ethically, but also financially.
PMID- 22071575
TI - The end of health.
PMID- 22071574
TI - High-dose rapamycin induces apoptosis in human cancer cells by dissociating mTOR
complex 1 and suppressing phosphorylation of 4E-BP1.
AB - mTOR, the mammalian target of rapamycin, has been widely implicated in signals
that promote cell cycle progression and survival in cancer cells. Rapamycin,
which inhibits mTOR with high specificity, has consequently attracted much
attention as an anti-cancer therapeutic. Rapamycin suppresses phosphorylation of
S6 kinase at nano-molar concentrations, however at higher micro-molar doses,
rapamycin induces apoptosis in several human cancer cell lines. While much is
known about the effect of low dose rapamycin treatment, the mechanistic basis for
the apoptotic effects of high-dose rapamycin treatment is not understood. We
report here that the apoptotic effects of high-dose rapamycin treatment correlate
with suppressing phosphorylation of the mTOR complex 1 substrate, eukaryotic
initiation factor 4E (eIF4E) binding protein-1 (4E-BP1). Consistent with this
observation, ablation of eIF4E also resulted in apoptorsis in MDA-MB 231 breast
cancer cells. We also provide evidence that the differential dose effects of
rapamycin are correlated with partial and complete dissociation of Raptor from
mTORC1 at low and high doses, respectively. In contrast with MDA-MB-231 cells,
MCF-7 breast cancer cells survived rapamycin-induced suppression of 4E-BP1
phosphorylation. We show that survival correlated with a hyper-phosphorylation of
Akt at S473 at high rapamycin doses, the suppression of which conferred rapamycin
sensitivity. This study reveals that the apoptotic effect of rapamycin requires
doses that completely dissociate Raptor from mTORC1 and suppress that
phosphorylation of 4E-BP1 and inhibit eIF4E.
PMID- 22071576
TI - Commercially available antibodies against human and murine histamine H4-receptor
lack specificity.
AB - Antibodies are important tools to detect expression and localization of proteins
within the living cell. However, for a series of commercially available
antibodies which are supposed to recognize G-protein-coupled receptors (GPCR),
lack of specificity has been described. In recent publications, antisera against
the histamine H4-receptor (H4R), which is a member of the GPCR family, have been
used to demonstrate receptor expression. However, a comprehensive
characterization of these antisera has not been performed yet. Therefore, the
purpose of our study was to evaluate the specificity of three commercially
available H4R antibodies. Sf9 insect cells and HEK293 cells expressing
recombinant murine and human H4R, spleen cells obtained from H4-/- and from wild
type mice, and human CD20+ and CD20- peripheral blood cells were analyzed by flow
cytometry and Western blot using three commercially available H4R antibodies. Our
results show that all tested H4R antibodies bind to virtually all cells,
independently of the expression of H4R, thus in an unspecific fashion. Also in
Western blot, the H4R antibodies do not bind to the specified protein. Our data
underscore the importance of stringent evaluation of antibodies using valid
controls, such as cells of H4R-/- mice, to show true receptor expression and
antigen specificity. Improved validation of commercially available antibodies
prior to release to the market would avoid time-consuming and expensive
validation assays by the user.
PMID- 22071578
TI - A comparison of InVivoStat with other statistical software packages for analysis
of data generated from animal experiments.
AB - InVivoStat is a free-to-use statistical software package for analysis of data
generated from animal experiments. The package is designed specifically for
researchers in the behavioural sciences, where exploiting the experimental design
is crucial for reliable statistical analyses. This paper compares the analysis of
three experiments conducted using InVivoStat with other widely used statistical
packages: SPSS (V19), PRISM (V5), UniStat (V5.6) and Statistica (V9). We show
that InVivoStat provides results that are similar to those from the other
packages and, in some cases, are more advanced. This investigation provides
evidence of further validation of InVivoStat and should strengthen users'
confidence in this new software package.
PMID- 22071577
TI - Enalapril reduces germ cell toxicity in streptozotocin-induced diabetic rat:
investigation on possible mechanisms.
AB - Diabetes mellitus, a state of persistent hyperglycemia, is a major cause of micro
and macrovascular diseases. It affects nearly every system in the body including
the reproductive system. Abnormalities in spermatogenesis and sexual function
have been documented in animal models for both types of diabetes. The purpose of
the present study is to determine the possible protective effects of enalapril
against the germ cell toxicity in diabetic rat. Sprague-Dawley rats were divided
into four groups: (1) control, (2) control + enalapril, (3) diabetic, and (4)
diabetic + enalapril. Enalapril was administered per orally for 4 and 8 weeks
continuously. After the treatment, animals were sacrificed and blood glucose
level, sperm count, sperm DNA damage, apoptotic cell death, immunohistochemistry
of 8-oxo- 7,8-dihydro- 2'-deoxyguanosine, and the cellular toxicity were
performed. Furthermore, western blotting was performed to evaluate the expression
of NFkappaB and COX-2 in testes. The results of the present study indicate that
intervention of enalapril ameliorates the sperm DNA damage, reduces the oxidative
stress, and down-regulates the expression of NFkappaB and COX-2 expression in
streptozotocin-induced diabetic rat.
PMID- 22071579
TI - TLE1 is expressed in the majority of primary pleuropulmonary synovial sarcomas.
AB - Pleuropulmonary synovial sarcoma (PPSS) is a rare entity, similar to synovial
sarcoma of soft tissue (STSS). There are 120 published cases of PPSS, but no
studies have explored the expression of TLE1. In soft tissues, it has been proven
a useful marker, but in tumors of other sites, its expression has not been
explored. The main objective was to study the expression and diagnostic
sensitivity and specificity of TLE1 in a group of PPSS, of which the diagnosis
was corroborated by fluorescence in situ hybridization confirming t(X;18) in a
tissue microarray. Immunohistochemistry including TLE1, vimentin, CD99, CD56, bcl
2, AE1-AE3, EMA, CD34, CK7, CK19, calponin, and S-100 was performed on all PPSS
and on 25 control cases (five carcinomas, ten mesotheliomas, and ten thoracic
sarcomas). TLE1 was positive in 11 cases (73.3%); bcl-2 and vimentin in 100%;
calponin and CD56 in 26.6%; CD99, CK AE1-AE3, CK19, CK7, and EMA in 80%; and S100
negative in all. The only biphasic PPSS was positive for epithelial markers only
in the epithelial component. TLE1 was negative in all control cases. TLE1 is
expressed in 73% of PPSS, a value inferior to that reported in STSS, but is
highly specific for PPSS. TLE1 may therefore be of value in the differential
diagnosis of PPSS, but should be used in a panel of antibodies.
PMID- 22071580
TI - Blood longitudinal (T1) and transverse (T2) relaxation time constants at 11.7
Tesla.
AB - OBJECT: The goal of the study was to determine blood T(1) and T(2) values as
functions of oxygen saturation (Y), temperature (Temp) and hematocrit (Hct) at an
ultrahigh MR field (11.7 T) and explore their impacts on physiological
measurements, including cerebral blood flow (CBF), blood volume (CBV) and
oxygenation determination. MATERIALS AND METHODS: T(1) and T(2) were
simultaneously measured. Temperature was adjusted from 25 to 40 degrees C to
determine Temp dependence; Hct of 0.17-0.51 to evaluate Hct dependence at 25 and
37 degrees C; and Y of 40-100% to evaluate Y dependence at 25 and 37 degrees C.
Comparisons were made with published data obtained at different magnetic field
strengths (B(0)). RESULTS: T(1) was positively correlated with Temp, independent
of Y, and negatively correlated with Hct. T(2) was negatively correlated with
Temp and Hct, but positively correlated with Y, in a non-linear fashion. T(1)
increased linearly with B(0), whereas T(2) decreased exponentially with B(0).
CONCLUSION: This study reported blood T(1) and T(2) measurements at 11.7 T for
the first time. These blood relaxation data could have implications in numerous
functional and physiological MRI studies at 11.7 T.
PMID- 22071581
TI - Orientational dependent sensitivities of T2 and T1rho towards trypsin degradation
and Gd-DTPA2- presence in bovine nasal cartilage.
AB - OBJECTIVE: To study the orientational dependencies of T(2) and T(1rho) in native
and trypsin-degraded bovine nasal cartilage, with and without the presence of 1
mM Gd-DTPA(2-). MATERIALS AND METHODS: Sixteen specimens were prepared in two
orthogonal fibril directions (parallel and perpendicular), treated using
different protocols (native, Gd treated, trypsin-treated, and combination), and
imaged using MUMRI at 0 degrees and 55 degrees (the magic angle) fibril
orientations with respect to the magnetic field B(0). Two-dimensional (2D) T(2)
and T(1rho) images were then calculated quantitatively. RESULTS: Without Gd,
native perpendicular tissues demonstrated significant T(1rho) dispersion
(including T(2) at the zero spin-lock field) at 0 degrees and less dispersion at
55 degrees , while native parallel specimens exhibited smaller T(1rho) dispersion
at both 0 degrees and 55 degrees . Trypsin degradation caused a minimum 50%
increase in T(1rho). With Gd, trypsin degradation caused significant reduction in
T(1rho) values up to 60%. CONCLUSION: The collagen orientation in nasal cartilage
can influence T(2) and T(1rho) MRI of cartilage. Without Gd, T(1rho) was
sensitive to the proteoglycan content and its sensitivity was nearly constant
regardless of fibril orientation. In comparison, the T(2) sensitivity to
proteoglycan was dependant upon fibril orientation, i.e., more sensitive at 55
degrees than 0 degrees . When Gd ions were present, both T(2) and T(1rho) became
insensitive to the proteoglycan content.
PMID- 22071582
TI - A correction method for streak artifacts in gradient-echo EPI using spin-echo EPI
reference data.
AB - OBJECTIVE: To analyze the streak artifacts in a gradient-echo echo planar imaging
(GE-EPI) sequence and to propose a correction method for the Nyquist ghost
artifacts that does not cause streak artifacts in the GE-EPI imaging. MATERIALS
AND METHODS: Several GE-EPI imaging experiments with various reference scans,
using both GE-EPI and SE-EPI scan data, were performed to analyze the streak
artifacts and to investigate the spin dephasing phenomena of the GE-EPI reference
scan. In addition, the analysis based on the spin dephasing was undertaken in
order to demonstrate that the SE-EPI reference data can be used for the
correction of the GE-EPI main scan data. RESULTS: The experimental results
confirmed that the improvement of the reference data using either signal
averaging or a large flip angle cannot guarantee perfect correction of the streak
artifact if the noise is not completely removed. Due to the main field
inhomogeneity, the spins of the GE-EPI reference data were dephased in multiple
echo signals. The proposed correction method, which uses a SE-EPI reference scan
for the GE-EPI images, eliminates the N/2 ghost artifacts without producing
streak artifacts. CONCLUSION: It is believed that the proposed phase error
correction scheme can improve the EPI performance in high field MRIs with higher
magnetic field inhomogeneities.
PMID- 22071583
TI - Recent changes to UK newborn resuscitation guidelines.
PMID- 22071584
TI - Depression and cognitive deficits in geriatric schizophrenia.
AB - OBJECTIVE: Past reports have found patients with comorbid depression and
schizophrenia spectrum disorders exhibit greater deficits in memory and attention
compared to schizophrenia spectrum disorder patients without depressive symptoms.
However, in contrast to younger schizophrenia patients, the few past studies
using cognitive screens to examine the relationship between depression and
cognition in inpatient geriatric schizophrenia have found that depressive
symptomatology was associated with relatively enhanced cognitive performance. In
the current study we examined the relationship between depressive symptoms and
cognitive deficits in geriatric schizophrenia spectrum disorder patients (n=71;
mean age=63.7) on an acute psychiatric inpatient service. METHOD: Patients
completed a battery of cognitive tests assessing memory, attention and global
cognition. Symptom severity was assessed via the PANSS and Calgary Depression
Scale for Schizophrenia. RESULTS: Results revealed that geriatric patients'
depression severity predicted enhancement of their attentional and verbal memory
performance. Patients' global cognitive functioning and adaptive functioning were
not associated with their depression severity. CONCLUSION: Contrary to patterns
typically seen in younger patients and non-patient groups, increasing depression
severity is associated with enhancement of memory and attention in geriatric
schizophrenia spectrum disorder patients. Also, diverging from younger samples,
depression severity was unassociated with patients adaptive and global cognitive
functioning.
PMID- 22071585
TI - Social mixing patterns within a South African township community: implications
for respiratory disease transmission and control.
AB - A prospective survey of social mixing patterns relevant to respiratory disease
transmission by large droplets (e.g., influenza) or small droplet nuclei (e.g.,
tuberculosis) was performed in a South African township in 2010. A total of 571
randomly selected participants recorded the numbers, times, and locations of
close contacts (physical/nonphysical) and indoor casual contacts met daily. The
median number of physical contacts was 12 (interquartile range (IQR), 7-18), the
median number of close contacts was 20 (IQR, 13-29), and the total number of
indoor contacts was 30 (IQR, 12-54). Physical and close contacts were most
frequent and age-associative in youths aged 5-19 years. Numbers of close contacts
were 40% higher than in corresponding populations in industrialized countries (P
< 0.001). This may put township communities at higher risk for epidemics of acute
respiratory illnesses. Simulations of an acute influenza epidemic predominantly
involved adolescents and young adults, indicating that control strategies should
be directed toward these age groups. Of all contacts, 86.2% occurred indoors with
potential exposure to respiratory droplet nuclei, of which 27.2%, 20.1%, 20.0%,
and 8.0% were in transport, own household, creche/school, and work locations,
respectively. Indoor contact time was long in households and short during
transport. High numbers of indoor contacts and intergenerational mixing in
households and transport may contribute to exceptionally high rates of
tuberculosis transmission reported in the community.
PMID- 22071586
TI - Birth size and childhood growth as determinants of physical functioning in older
age: the Helsinki Birth Cohort Study.
AB - The study reports on the associations of infant and childhood anthropometric
measurements, early growth, and the combined effect of birth weight and childhood
body mass index with older age physical functioning among 1,999 individuals born
in 1934-1944 and belonging to the Helsinki Birth Cohort Study. Physical
functioning was assessed by the Short Form 36 scale. Anthropometric data from
infancy and childhood were retrieved from medical records. The risk of lower
Short Form 36 physical functioning at the mean age of 61.6 years was increased
for those with birth weight less than 2.5 kg compared with those weighing 3.0-3.5
kg at birth (odds ratio (OR) = 2.73, 95% confidence interval (CI): 1.57, 4.72).
The gain in weight from birth to age 2 years was associated with decreased risk
of lower physical functioning for a 1-standard deviation increase (OR = 0.84, 95%
CI: 0.75, 0.94). The risk of lower physical functioning was highest for
individuals with birth weight in the lowest third and body mass index at 11 years
of age in the highest third compared with those whose birth weight was in the
middle third and body mass index at age 11 years was in the highest third (OR =
3.08, 95% CI: 1.83, 5.19). The increasing prevalence of obesity at all ages and
the aging of populations warrant closer investigation of the role of weight
trajectories in old age functional decline.
PMID- 22071587
TI - Childhood and adult socioeconomic position, cumulative lead levels, and pessimism
in later life: the VA Normative Aging Study.
AB - Pessimism, a general tendency toward negative expectancies, is a risk factor for
depression and also heart disease, stroke, and reduced cancer survival. There is
evidence that individuals with higher lead exposure have poorer health. However,
low socioeconomic status (SES) is linked with higher lead levels and greater
pessimism, and it is unclear whether lead influences psychological functioning
independently of other social factors. The authors considered interrelations
among childhood and adult SES, lead levels, and psychological functioning in data
collected on 412 Boston area men between 1991 and 2002 in a subgroup of the VA
Normative Aging Study. Pessimism was measured by using the Life Orientation Test.
Cumulative (tibia) lead was measured by x-ray fluorescence. Structural equation
modeling was used to quantify the relations as mediated by childhood and adult
SES, controlling for age, health behaviors, and health status. An interquartile
range increase in lead quartile was associated with a 0.37 increase in pessimism
score (P < 0.05). Low childhood and adult SES were related to higher tibia lead
levels, and both were also independently associated with higher pessimism. Lead
maintained an independent association with pessimism even after childhood and
adult SES were considered. Results demonstrate an interrelated role of lead
burden and SES over the life course in relation to psychological functioning in
older age.
PMID- 22071589
TI - Quitting smoking among adults--United States, 2001-2010.
AB - Quitting smoking is beneficial to health at any age, and cigarette smokers who
quit before age 35 years have mortality rates similar to those who never smoked.
From 1965 to 2010, the prevalence of cigarette smoking among adults in the United
States decreased from 42.4% to 19.3%, in part because of an increase in the
number who quit smoking. Since 2002, the number of former U.S. smokers has
exceeded the number of current smokers. Mass media campaigns, increases in the
prices of tobacco products, and smoke-free policies have been shown to increase
smoking cessation. In addition, brief cessation advice by health-care providers;
individual, group, and telephone counseling; and cessation medications are
effective cessation treatments. To determine the prevalence of 1) current
interest in quitting smoking, 2) successful recent smoking cessation, 3) recent
use of cessation treatments, and 4) trends in quit attempts over a 10-year
period, CDC analyzed data from the 2001--2010 National Health Interview Surveys
(NHIS). This report summarizes the results of that analysis, which found that, in
2010, 68.8% of adult smokers wanted to stop smoking, 52.4% had made a quit
attempt in the past year, 6.2% had recently quit, 48.3% had been advised by a
health professional to quit, and 31.7% had used counseling and/or medications
when they tried to quit. The prevalence of quit attempts increased during 2001-
2010 among smokers aged 25--64 years, but not among other age groups. Health-care
providers should identify smokers and offer them brief cessation advice at each
visit; counseling and medication should be offered to patients willing to make a
quit attempt.
PMID- 22071590
TI - Global routine vaccination coverage, 2010.
AB - The Expanded Program on Immunization was established by the World Health
Organization (WHO) in 1974 to ensure universal access to routinely recommended
childhood vaccines. Six vaccine-preventable diseases initially were targeted:
tuberculosis, poliomyelitis, diphtheria, tetanus, pertussis, and measles. In
1974, fewer than 5% of the world's infants were fully immunized; by 2005, global
coverage with the third dose of diphtheria-tetanus-pertussis (DTP) vaccine (DTP3)
was 79%, but many children, especially those living in poorer countries, still
were not being reached. That year, WHO and the United Nations Children's Fund
(UNICEF) developed the Global Immunization Vision and Strategy (GIVS), with the
aim of decreasing vaccine-preventable disease--related morbidity and mortality by
improving national immunization programs. One goal of GIVS was for all countries
to achieve 90% national DTP3 coverage by 2010. This report summarizes the status
of vaccination coverage globally and regionally in 2010 and progress toward
meeting the GIVS goal. In 2010, 130 (67%) countries had achieved 90% DTP3
coverage, and an estimated 85% of infants worldwide had received at least 3 doses
of DTP vaccine. However, 19.3 million children were not fully vaccinated and
remained at risk for diphtheria, tetanus, and pertussis and other vaccine
preventable causes of morbidity and mortality; approximately 50% of these
children live in India, Nigeria, and the Democratic Republic of Congo. Despite
the overall improvement in vaccination coverage during the past 37 years, routine
vaccination programs need to be strengthened globally, especially in countries
with the greatest numbers of unvaccinated children.
PMID- 22071588
TI - The Nox family of NADPH oxidases: friend or foe of the vascular system?
AB - NADPH (nicotinamide adenine dinucleotide phosphate) oxidases are important
sources of reactive oxygen species (ROS). In the vascular system, ROS can have
both beneficial and detrimental effects. Under physiologic conditions, ROS are
involved in signaling pathways that regulate vascular tone as well as cellular
processes like proliferation, migration and differentiation. However, high doses
of ROS, which are produced after induction or activation of NADPH oxidases in
response to cardiovascular risk factors and inflammation, contribute to the
development of endothelial dysfunction and vascular disease. In vascular cells,
the NADPH oxidase isoforms Nox1, Nox2, Nox4, and Nox5 are expressed, which differ
in their activity, response to stimuli, and the type of ROS released. This review
focuses on the specific role of different NADPH oxidase isoforms in vascular
physiology and their potential contributions to vascular diseases.
PMID- 22071591
TI - Progress toward poliomyelitis eradication--Afghanistan and Pakistan, January 2010
September 2011.
AB - Indigenous transmission of wild poliovirus (WPV) has never been interrupted in
Afghanistan, Pakistan, India, and Nigeria. Among those countries, Afghanistan and
Pakistan represent a common epidemiologic reservoir. This report updates previous
reports (1,4) and describes polio eradication activities and progress in
Afghanistan and Pakistan during January 2010--September 2011, as of October 31,
2011, and planned activities during 2011--2012 to address challenges to polio
eradication. In Afghanistan, WPV transmission during 2010--2011 predominantly
occurred in the conflict-affected South Region and the adjacent Farah Province of
the West Region. During 2010, 25 WPV cases were confirmed in Afghanistan,
compared with 38 in 2009; 42 WPV cases were confirmed during January--September
2011, compared with 19 for the same period in 2010. In Pakistan, WPV transmission
during 2010--2011occurred both in conflict-affected, inaccessible areas along the
common border with Afghanistan and in accessible areas; 144 WPV cases were
confirmed in 2010, compared with 89 in 2009, and 120 WPV cases were confirmed
during January--September 2011, compared with 93 during the same period in 2010.
In Pakistan, the president launched a National Emergency Action Plan for polio
eradication in January 2011, emphasizing the key role and responsibility of
political and health-care leaders at the district and subdistrict (union council)
levels. Enhanced commitment, management, and oversight by provincial and district
authorities will be needed to achieve further progress toward interruption of WPV
transmission in Pakistan. Continued efforts also will be needed to enhance the
safety of vaccination teams within insecure areas of both countries.
PMID- 22071592
TI - Update on herpes zoster vaccine: licensure for persons aged 50 through 59 years.
AB - Herpes zoster vaccine (Zostavax, Merck & Co., Inc.) was licensed and recommended
in 2006 for prevention of herpes zoster among adults aged 60 years and older. In
March 2011, the Food and Drug Administration (FDA) approved the use of Zostavax
in adults aged 50 through 59 years. In June 2011, the Advisory Committee on
Immunization Practices (ACIP) declined to recommend the vaccine for adults aged
50 through 59 years and reaffirmed its current recommendation that herpes zoster
vaccine be routinely recommended for adults aged 60 years and older.
PMID- 22071595
TI - Excited-state dynamics of phenol-pyridinium biaryl.
AB - The excited-state dynamics of a donor-acceptor phenol-pyridinium biaryl cation
was investigated in various solvents by femtosecond transient absorption
spectroscopy and temperature dependent steady-state emission measurements. After
excitation to a near-planar Franck-Condon delocalized excited S(1)(DE) state with
mesomeric character, three fast relaxation processes are well resolved:
solvation, intramolecular rearrangement leading to a twisted charge-shift (CSh)
S(1) state with localized character, and excited-state proton transfer (ESPT) to
the solvent leading to the phenoxide-pyridinium zwitterion. The proton transfer
kinetics depends on the proton accepting character of the solvent whereas the
interring torsional kinetics depends on the solvent polarity and viscosity. In
nitriles, ESPT does not occur and interring twisting arises with no significant
intrinsic barrier, but still slower than solvation. The CSh state is notably
fluorescent. In alcohols and water, ESPT is faster than the solvation and DE ->
CSh relaxation processes and yields the zwitterion hot ground state, which
strongly quenches the fluorescence. In THF, solvation and interring twisting
occur first, leading to the fully relaxed, weakly fluorescent CSh state, followed
by slow ESPT towards the zwitterion. At low temperature (77 K), the large viscous
barrier of the solvent inhibits the torsional relaxation but ESPT still arises to
some extent. Strong emission from the DE geometry and planar zwitterion is thus
observed. Finally, quantum chemical calculations were performed on the ground and
excited state of model phenol-pyridinium and phenoxide-pyridinium compounds.
Strong S(1) state energy stabilization is predicted upon twisting in both cases,
consistent with a fast relaxation towards the perpendicular geometry. A
substantial S(0)-S(1) energy gap is still present for the twisted cationic
species, which can explain the long-lived emission of the CSh state in nitriles.
A quite different situation arises with the zwitterion for which the S(0)-S(1)
energy gap predicted at the twisted geometry is very small. This suggests a close
lying conical intersection and can account for the strong fluorescence quenching
observed in solvents where the zwitterion is produced by ESPT.
PMID- 22071594
TI - Aristolactam-DNA adducts are a biomarker of environmental exposure to
aristolochic acid.
AB - Endemic (Balkan) nephropathy is a chronic tubulointerstitial disease frequently
accompanied by urothelial cell carcinomas of the upper urinary tract. This
disorder has recently been linked to exposure to aristolochic acid, a powerful
nephrotoxin and human carcinogen. Following metabolic activation, aristolochic
acid reacts with genomic DNA to form aristolactam-DNA adducts that generate a
unique TP53 mutational spectrum in the urothelium. The aristolactam-DNA adducts
are concentrated in the renal cortex, thus serving as biomarkers of internal
exposure to aristolochic acid. Here, we present molecular epidemiologic evidence
relating carcinomas of the upper urinary tract to dietary exposure to
aristolochic acid. DNA was extracted from the renal cortex and urothelial tumor
tissue of 67 patients that underwent nephroureterectomy for carcinomas of the
upper urinary tract and resided in regions of known endemic nephropathy. Ten
patients from nonendemic regions with carcinomas of the upper urinary tract
served as controls. Aristolactam-DNA adducts were quantified by (32)P
postlabeling, the adduct was confirmed by mass spectrometry, and TP53 mutations
in tumor tissues were identified by chip sequencing. Adducts were present in 70%
of the endemic cohort and in 94% of patients with specific A:T to T:A mutations
in TP53. In contrast, neither aristolactam-DNA adducts nor specific mutations
were detected in tissues of patients residing in nonendemic regions. Thus, in
genetically susceptible individuals, dietary exposure to aristolochic acid is
causally related to endemic nephropathy and carcinomas of the upper urinary
tract.
PMID- 22071596
TI - Phase I study of continuous afatinib (BIBW 2992) in patients with advanced non
small cell lung cancer after prior chemotherapy/erlotinib/gefitinib (LUX-Lung 4).
AB - PURPOSE: This Phase I study determined the maximum-tolerated dose (MTD) of
afatinib (Afatinib is an investigational compound and its safety and efficacy
have not yet been established) (BIBW 2992; trade name not yet approved by FDA),
an irreversible inhibitor of epidermal growth factor receptor (EGFR)/human
epidermal growth factor receptor (HER)1 and 2, up to a dose of 50 mg/day in
advanced non-small cell lung cancer (NSCLC), to establish the recommended dose
for Phase II. METHODS: Patients with advanced NSCLC who had received prior
platinum-doublet chemotherapy and/or erlotinib/gefitinib therapy, or who were
ineligible for, or not amenable to, treatment with established therapies,
received oral afatinib once daily. The MTD was determined based on dose-limiting
toxicities (DLTs); other assessments included safety, pharmacokinetic profile,
antitumour activity according to response evaluation criteria in solid tumours
and EGFR/HER1 mutation analysis where possible. RESULTS: Twelve evaluable
patients were treated at doses of 20-50 mg/day. One DLT was observed at 50 mg/day
in Course 1 (Grade 3 mucositis). The most frequent drug-related adverse events
were diarrhoea, dry skin, stomatitis, rash, paronychia and anorexia; most were
Grade 1 or 2. Six out of 12 patients had tumour size reductions; durable stable
disease was achieved in three patients including one with EGFR/HER1 exon 19 and
T790 M mutations. Peak plasma concentrations of afatinib were reached 3-4 h after
administration and declined with a half-life of 30-40 h. Afatinib 50 mg/day was
well tolerated with an acceptable safety profile during Phase I. CONCLUSION:
Recommended dose for Phase II was defined as 50 mg/day for Japanese patients; the
same as for non-Japanese patients.
PMID- 22071597
TI - Sorafenib for hepatocellular carcinoma according to Child-Pugh class of liver
function.
PMID- 22071599
TI - SERS assisted ultra-fast peptidic screening: a new tool for drug discovery.
AB - Herein we present a direct label-free ultra-fast method for the identification
and classification of the active members of a combinatorial library directly on
the solid support used for their synthesis. The method is based on the
appropriate functionalization of polyethylene glycol grafted polystyrene
(TentaGel(r)) microbeads with Au@Ag nanoparticles, the use of these materials
directly as solid-phase supports for the synthesis of combinatorial libraries of
peptides and the subsequent SERS analysis for identification of each peptide on
each bead.
PMID- 22071600
TI - Image-quality assessment method for digital phase-contrast imaging based on two
dimensional power spectral analysis.
AB - With use of the phase shift of X-rays that occurs when they pass through an
object, phase-contrast imaging (herein referred to as "phase imaging") can
produce images different from those of conventional contact imaging (herein
referred to as "conventional imaging"). For this reason, assessment of the image
quality based on noise-equivalent quanta (NEQ) and detective quantum efficiency
(DQE) which does not include object-based information may not be appropriate for
comparison of image quality between phase and conventional images. As an
alternative method, we conceived a new image-quality assessment method with
images that contain information about an object. First, we constructed images
with an object and without an object under the same imaging parameters; then, we
obtained two-dimensional power spectra by Fourier transform of those images.
Second, we calculated the radial direction distribution function with the power
spectra, and the distribution of signal intensity, which we defined as a signal
intensity distribution function (SIDF). In this way, differences in image quality
were evaluated relatively based on the SIDF of the imaged object. In our study,
we first confirmed that phase-imaging evaluation was not appropriate by comparing
NEQ and DQE of conventional, magnification, and phase imaging. Further, comparing
the image quality of projected plant seeds by employing conventional,
magnification, and phase imaging, we found that the phase-imaging method provided
a higher image quality regarding edge sharpness than did conventional and
magnification imaging. Therefore, based on these results, our image assessment
method is considered useful for evaluation of images which include object-based
information.
PMID- 22071601
TI - Outcomes of infants exposed to multiple antidepressants during pregnancy: results
of a cohort study.
AB - BACKGROUND: A single study has been published documenting an increased risk for
adverse pregnancy outcomes following use of more than one antidepressant during
pregnancy. OBJECTIVE: To examine whether multiple antidepressant use is
associated with increased rates of major malformations, spontaneous abortions
(SA), therapeutic abortions (TA), stillbirths, preterm birth, low birth weight,
small for gestational age (SGA) and admission to the neonatal intensive care unit
(NICU). METHODS: Information from the Motherisk Program's prospectively collected
database of 1243 women with gestational exposure to antidepressants. We compared
pregnancy outcomes of 89 women exposed to >1 antidepressants, 89 taking one
antidepressant, and 89 women not exposed to antidepressants (n= 267). Women were
matched for maternal age, smoking and alcohol use. Groups were compared using
odds ratios and ANOVA. RESULTS: 11/89 (12%) took 3 and 78 (88%) took 2
antidepressants. There were no statistically significant differences in any of
the outcomes analyzed among the 3 groups except for a lower mean gestational age
at birth in the multi-antidepressant group (0.9 week, P=0.036). There were 9
admissions to NICU from the antidepressant groups and 3 from the non-exposed
group; but this did not reach statistical significance. CONCLUSIONS: There is a
small risk of preterm delivery that is associated with exposure to antidepressant
therapy, although the clinical relevance remains to be determined.
PMID- 22071602
TI - Creation of stable molecular junctions with a custom-designed scanning tunneling
microscope.
AB - The scanning tunneling microscope break junction (STMBJ) technique is a powerful
approach for creating single-molecule junctions and studying electrical transport
in them. However, junctions created using the STMBJ technique are usually
mechanically stable for relatively short times (<1 s), impeding detailed studies
of their charge transport characteristics. Here, we report a custom-designed
scanning tunneling microscope that enables the creation of metal-single molecule
metal junctions that are mechanically stable for more than 1 minute at room
temperature. This stability is achieved by a design that minimizes thermal drift
as well as the effect of environmental perturbations. The utility of this
instrument is demonstrated by performing transition voltage spectroscopy-at the
single-molecule level-on Au-hexanedithiol-Au, Au-octanedithiol-Au and Au
decanedithiol-Au junctions.
PMID- 22071604
TI - Experiences as visiting professor at King Saud University, Riyadh, Saudi Arabia.
PMID- 22071603
TI - Up-regulation of microRNA-155 promotes cancer cell invasion and predicts poor
survival of hepatocellular carcinoma following liver transplantation.
AB - PURPOSE: MicroRNAs play important roles in cancer development, progression, and
metastasis. The aim of this study was to determine whether altered microRNA-155
expression is associated with hepatocellular carcinoma (HCC) recurrence and
prognosis following orthotopic liver transplantation (OLT). METHODS: Tissue
specimens from 100 HCC patients following OLT were recruited. MicroRNA-155
expression levels were detected using quantitative reverse transcription
polymerase chain reaction (qRT-PCR). Kaplan-Meier and Cox proportional regression
analyses were utilized to determine the association of microRNA-155 expression
with survival of patients. MicroRNA-155 expression levels of two HCC cell lines
(HepG2 and SMMC-7721) and normal liver tissue were quantified using qRT-PCR. The
potential function of miR-155 on invasiveness was evaluated in the above HCC cell
lines. RESULTS: We found that microRNA-155 expression levels were high in tumor
tissues in patients with post-OLT HCC recurrence (n = 45) compared with those in
patients with non-recurrence (n = 55) (P = 0.001) and correlated with micro
vascular invasion of HCC tissue samples (P = 0.001). Patients with higher miR-155
expression had significantly poorer recurrence-free survival (RFS, log rank P <
0.001) and overall survival (OS, log rank P < 0.001). Multivariate analysis
revealed that high miR-155 expression was an independent predictor of poor
prognosis (HR 2.748, P = 0.001 for RFS; HR 5.752, P < 0.001 for OS). In addition,
the invasiveness of HCC cells was significantly increased by higher microRNA-155
expression. CONCLUSIONS: MicroRNA-155 is a candidate oncogenic microRNA and plays
an important role in promoting HCC cells invasion. Our findings suggest that
microRNA-155 may serve as a novel biomarker for tumor recurrence and survival of
HCC patients following OLT.
PMID- 22071605
TI - Comprehensive study of proteins that interact with microcystin-LR.
AB - We carried out a comprehensive study of proteins that exhibit specific
interactions with a naturally occurring toxin, microcystin (MC)-LR, in order to
gain insight into the unknown underlying mechanism of MC virulence. This
audacious study employed a simple affinity test that used MC-LR immobilized on an
original ethylene oxide based monolithic solid phase (Moli-gel), and swine liver
lysate. Some of the proteins that interacted with MC-LR on this original affinity
resin were separated by SDS-PAGE, measured by nano-LC/MS/MS after trypsin
digestion, and identified using a Mascot database search. Protein sequence
analyses revealed that glutathione S-transferase (GST) was one of the candidate
target proteins for MC-LR. This protein was confirmed as a target protein for MC
LR based on the results of for the inhibition of an enzymatic reaction by Dhb-MC
LR. Moreover, L-3-hydroxyacyl coenzyme A dehydrogenase (HDHA) was shown to be one
of the proteins that specifically interacts with MC-LR. Our results demonstrated
that our analytical systems based on an original affinity resin and nano-LC/MS/MS
were effective for target protein research.
PMID- 22071606
TI - Raman-spectroscopy-based noninvasive microanalysis of native lignin structure.
AB - A new robust, noninvasive, Raman microspectroscopic method is introduced to
analyze the structure of native lignin. Lignin spectra of poplar, Arabidopsis,
and Miscanthus were recovered and structural differences were unambiguously
detected. Compositional analysis of 4-coumarate-CoA ligase suppressed transgenic
poplar showed that the syringyl-to-guaiacyl ratio decreased by 35% upon the
mutation. A cell-specific compositional analysis of basal stems of Arabidopsis
showed similar distributions of S and G monolignols in xylary fiber cells and
interfascicular cells.
PMID- 22071607
TI - Determination of perfluorinated chemicals in food and drinking water using high
flow solid-phase extraction and ultra-high performance liquid
chromatography/tandem mass spectrometry.
AB - For this study, we developed methods of determining ten perfluorinated chemicals
in drinking water, milk, fish, beef, and pig liver using high-flow automated
solid-phase extraction (SPE) and ultra-high performance liquid
chromatography/tandem mass spectrometry. The analytes were separated on a core
shell Kinetex C18 column. The mobile phase was composed of methanol and 10-mM N
methylmorpholine. Milk was digested with 0.5 N potassium hydroxide in Milli-Q
water, and was extracted with an Atlantic HLB disk to perform automated SPE at a
flow rate ranged from 70 to 86 mL/min. Drinking water was directly extracted by
the SPE. Solid food samples were digested in alkaline methanol and their
supernatants were diluted and also processed by SPE. The disks were washed with
40% methanol/60% water and then eluted with 0.1% ammonium hydroxide in methanol.
Suppression of signal intensity of most analytes by matrixes was lower than 50%;
it was generally lower in fish and drinking water but higher in liver. Most
quantitative biases and relative standard deviations were lower than 15%. The
limits of detection for most analytes were sub-nanograms per liter for drinking
water and sub-nanograms per gram for solid food samples. This method greatly
shortened the time and labor needed for digestion, SPE, and liquid
chromatography. This method has been applied to analyze 14 types of food samples.
Perfluorooctanoic acid was found to be the highest among the analytes (median at
3.2-64 ng/g wet weight), followed by perfluorodecanoic acid (0.7-25 ng/g) and
perfluorododecanoic acid (0.6-15 ng/g).
PMID- 22071608
TI - Development of a multiplex DNA-based traceability tool for crop plant materials.
AB - The authenticity of food is of increasing importance for producers, retailers and
consumers. All groups benefit from the correct labelling of the contents of food
products. Producers and retailers want to guarantee the origin of their products
and check for adulteration with cheaper or inferior ingredients. Consumers are
also more demanding about the origin of their food for various socioeconomic
reasons. In contrast to this increasing demand, correct labelling has become much
more complex because of global transportation networks of raw materials and
processed food products. Within the European integrated research project 'Tracing
the origin of food' (TRACE), a DNA-based multiplex detection tool was developed
the padlock probe ligation and microarray detection (PPLMD) tool. In this paper,
this method is extended to a 15-plex traceability tool with a focus on products
of commercial importance such as the emmer wheat Farro della Garfagnana (FdG) and
Basmati rice. The specificity of 14 plant-related padlock probes was determined
and initially validated in mixtures comprising seven or nine plant
species/varieties. One nucleotide difference in target sequence was sufficient
for the distinction between the presence or absence of a specific target. At
least 5% FdG or Basmati rice was detected in mixtures with cheaper bread wheat or
non-fragrant rice, respectively. The results suggested that even lower levels of
(un-)intentional adulteration could be detected. PPLMD has been shown to be a
useful tool for the detection of fraudulent/intentional admixtures in premium
foods and is ready for the monitoring of correct labelling of premium foods
worldwide.
PMID- 22071609
TI - Last issue for the 25th anniversary year for the Journal of Perinatal and
Neonatal Nursing.
PMID- 22071610
TI - "Pit to distress": is this an evidence-based strategy?
PMID- 22071611
TI - Strategies for increasing parent participation in the neonatal intensive care
unit.
PMID- 22071612
TI - Social media: friend and foe.
PMID- 22071613
TI - Internet resources.
PMID- 22071614
TI - Periodontal disease in pregnancy: review of the evidence and prevention
strategies.
AB - This article reviews the current research on periodontal disease and treatment
and its potential impact on maternal and newborn outcomes and provides
recommendations for care management and prevention. These guidelines will be
helpful for nurse professionals who are in a unique position to counsel pregnant
women to improve the oral health of expectant mothers.
PMID- 22071615
TI - African American women's infant feeding choices: prenatal breast-feeding self
efficacy and narratives from a black feminist perspective.
AB - PURPOSE: Examining prenatal breast-feeding self-efficacy and infant feeding
decisions among African American women using a mixed-method approach. A black
feminist philosophy was used to keep women's experiences as the central research
focus. METHOD: The Prenatal Breast-feeding Self-efficacy Scale was used to
determine differences between intended breast-feeders and formula users among 59
women. Seventeen narrative interviews were conducted to analyze postpartum
accounts of actual feeding practices. RESULTS: Both groups (intended breast- or
formula-feeders) demonstrated confidence in their ability to breast-feed. Women
planning to breast-feed (M = 82.59, SD = 12.53) scored significantly higher than
anticipated formula users (M = 70, SD = 15.45), P = .001 (2-tailed). Four of the
six themes emerging from narrative analysis were similar to categories of self
efficacy: performance accomplishments, vicarious experiences, verbal persuasions,
and physiological reactions. In addition, themes of social embarrassment and
feelings of regret were identified. CONCLUSION: Although African American women
in this study rated themselves overall as confident with breast-feeding, several
narratives about actual feeding choices indicated ambivalence. Women planning to
breast-feed need continued support from their healthcare providers throughout the
childbearing year. Furthermore, prenatal and immediate postpartum opportunities
may exist for nurses to encourage breast-feeding among individuals who initially
plan formula use.
PMID- 22071617
TI - Developing a bereavement program in the newborn intensive care unit.
AB - The mortality rate for newborns in the United States is 4.56 per 1000 live
births. Newborn intensive care unit staff is an integral part in helping families
deal with bereavement over the loss of their child. This article describes how
one newborn intensive care unit worked through the process to develop a
comprehensive program to help families in their time of grief. On the basis of
personal experiences shared by families and staff, the current practice was
updated. Presented also will be 2 case studies and photos of the bereavement
materials used.
PMID- 22071618
TI - O father, where art thou? Parental NICU visiting patterns during the first 28
days of life of very low-birth-weight infants.
AB - To study the frequency and duration of parental visits to neonatal intensive care
units (NICU) during the first 28 days of life of preterm infants in relation to
medical variables, sociodemographic factors, and subsequent outpatient follow-up
examinations. Retrospective chart review of 127 infants with a birth weight less
than 1500 g born between Jan 1, 2009, and Dec 31, 2009, at 2 tertiary NICUs. The
average frequency of parental visits during the first 28 days of life declined
significantly over time (P < .05) while the duration of visits remained constant.
Average frequency and duration of visits per day were consistently lower in
fathers than in mothers (median [interquartile range]: 0.6 [0.4-1.0] per day vs
1.1 [0.9-1.4] per day, 72.5 [32.1-108.9 [min/d vs 133.4 [75.4-174.3] min/d).
While a history of treatment for infertility was correlated with significantly
more frequent and longer parental NICU visits in the first 2 weeks, having older
children at home was correlated with shorter visits during the first week.
Visiting patterns showed no relation to attendance at follow-up examinations at 6
months corrected age. Mothers spend more time with their preterm infants in NICUs
than fathers. We suggest measures to increase paternal involvement with
hospitalized preterm infants.
PMID- 22071619
TI - Supporting oral feeding in fragile infants: an evidence-based method for quality
bottle-feedings of preterm, ill, and fragile infants.
AB - Successful oral feeding of preterm and other ill and fragile infants is an
interactive process that requires (1) sensitive, ongoing assessment of an
infant's physiology and behavior, (2) knowledgeable decisions that support
immediate and long-term enjoyment of food, and (3) competent skill in feeding.
Caregivers can support feeding success by using the infant's biological and
behavioral channels of communication to inform their feeding decisions and
actions. The Supporting Oral Feeding in Fragile Infants (SOFFI) Method is
described here with text, algorithms, and reference guides. Two of the algorithms
and the reference guides are published separately as Philbin, Ross. SOFFI
Reference Guides: Text, Algorithms, and Appendices (in review). The information
in all of these materials is drawn from sound research findings and, rarely, when
such findings are not available, from expert, commonly accepted clinical
practice. If the quality of a feeding takes priority over the quantity ingested,
feeding skill develops pleasurably and at the infant's own pace. Once physiologic
organization and behavioral skills are established, an affinity for feeding and
the ingestion of sufficient quantity occur naturally, often rapidly, and at
approximately the same postmenstrual age as volume-focused feedings. Nurses,
therapists, and parents alike can use the SOFFI Method to increase the likelihood
of feeding success in the population of infants at risk for feeding problems that
emerge in infancy and extend into the preschool years.
PMID- 22071622
TI - After 25 years of JPNN: are we facing the same old-same old?
PMID- 22071621
TI - The SOFFI Reference Guide: text, algorithms, and appendices: a manualized method
for quality bottle-feedings.
AB - The Support of Oral Feeding for Fragile Infants (SOFFI) method of bottle-feeding
rests on quality evidence along with implementation details drawn from clinical
experience. To be clear, the SOFFI Method is not focused on the amount of food
taken in but on the conduct of the feeding and the development of competent
infant feeding behavior that, consequently, assures the intake of food necessary
for growth. The unique contribution of the SOFFI method is the systematic
organization of scientific findings into clinically valid and reliable, easily
followed algorithms, and a manualized Reference Guide for the assessments,
decisions, and actions of a quality feeding.A quality feeding is recognized by a
stable, self-regulated infant and a caregiver who sensitively (responsively)
adjusts to the infant's physiology and behavior to realize an individualized
feeding experience in which the infant remains comfortable and competent using
his nascent abilities to ingest a comfortable amount of milk/formula. The SOFFI
Reference Guide and Algorithms begin with prefeeding adjustments of the
environment and follow step by step through a feeding with observations of
specific infant behavior, decisions based on that behavior, and specific actions
to safeguard emerging abilities and the quality of the experience. An important
aspect the SOFFI Reference Guide and Algorithms is the clarity about pausing and
stopping the feeding on the basis of the infant's physiology and behavior rather
than on the basis of the amount ingested. The specificity of each observation,
decision, and action enables nurses at all levels of experience to provide
quality, highly individualized, holistic feedings. Throughout the course of
feeding in the NICU, the nurse conveys to parents the integrated details
(observations, decisions, and actions) particular to their infant, thus passing
on the means for parents to become competent in quality feeding, to enjoy feeding
time into the future, and to gain in confidence as they watch their infants grow.
PMID- 22071623
TI - Gender, educational and age differences in meanings that underlie global self
rated health.
AB - OBJECTIVES: The single-item question on self-rated health has been widely used in
surveys. This study aims to explore which frames of reference are used by
respondents when answering this question, to describe differences in the used
frame of reference according to gender, educational background and age, and to
determine whether subgroup differences can be explained by differences in prior
health experiences. METHODS: Face-to-face interviews were conducted in a sample
of 310 adults who were asked to rate their health using a single-item question
with closed-ended answering categories and to explain the reasons for the rating
they gave with open-ended probes. Different indicators of prior health
experiences were taken into account. RESULTS: Physical health problems were the
most utilized referents. However, participants also mentioned reasons that go
beyond the physical dimension of health. Subgroup differences were found. Prior
health experiences partly explained subgroup differences for some referents, but
not for others. CONCLUSIONS: Investigators using the single question on self
rated health for comparing health across different population groups should be
aware that the meaning of the question varies across different socio-demographic
groups.
PMID- 22071624
TI - The disposable soma theory revisited: time as a resource in the theories of
aging.
AB - All life processes are subject to time constraints. At the cellular level, damage
repair and cell cycle arrest are interrelated, allowing sufficient time for
repair prior to cell cycle progression. Organisms have evolved so that
developmental timing is linked to environmental conditions, such as nutrient
availability and predation. Recent results in mammals regarding species-specific
differences in cell cycle arrest and DNA damage suggest that a stable cell cycle
arrest is a feature of longer-lived species. The implication of these results is
that longer-lived species delay cell cycle progression to a greater degree than
shorter-lived species, allowing for higher fidelity repair. We suggest that the
ability to devote longer periods of time to repair and maintenance is a key
feature of longer-lived species, and that evolutionary pressure to complete
repair and resume cell division is a determinant of species lifespan. Thus, time
is a resource that must be managed by the organism to attempt to maximize the
fidelity of repair while completing development and reproduction in the limited
window of opportunity afforded by environmental pressures. This viewpoint on time
as a resource has implications for theories regarding the aging process and the
development of species lifespan.
PMID- 22071625
TI - MET-dependent cancer invasion may be preprogrammed by early alterations of p53
regulated feedforward loop and triggered by stromal cell-derived HGF.
AB - MET, a receptor protein tyrosine kinase activated by hepatocyte growth factor
(HGF), is a crucial determinant of metastatic progression. Recently, we have
identified p53 as an important regulator of MET-dependent cell motility and
invasion. This regulation occurs via feedforward loop suppressing MET expression
by miR-34-dependent and -independent mechanisms. Here, by using Dicer conditional
knockout, we provide further evidence for microRNA-independent MET regulation by
p53. Furthermore, we show that while MET levels increase immediately after p53
inactivation, mutant cells do not contain active phosphorylated MET and remain
non-invasive for a long latency period at contrary to cell culture observations.
Evaluation of mouse models of ovarian and prostate carcinogenesis indicates that
formation of desmoplastic stroma, associated production of HGF by stromal cells
and coinciding MET phosphorylation precede cancer invasion. Thus, initiation
mutation of p53 is sufficient for preprogramming motile and invasive properties
of epithelial cells, but the stromal reaction may represent a critical step for
their manifestation during cancer progression.
PMID- 22071626
TI - The centrosome and bipolar spindle assembly: does one have anything to do with
the other?
AB - In vertebrate somatic cells the centrosome functions as the major microtubule
organizing center (MTOC), which splits and separates to form the poles of the
mitotic spindle. However, the role of the centriole-containing centrosome in the
formation of bipolar mitotic spindles continues to be controversial. Cells
normally containing centrosomes are still able to build bipolar spindles after
their centrioles have been removed or ablated. In naturally occurring cellular
systems that lack centrioles - such as plant cells and many oocytes - bipolar
spindles form in the complete absence of canonical centrosomes. These
observations have led to the notion that centrosomes play no role during mitosis.
However, recent work has re-examined spindle assembly in the absence of
centrosomes, both in cells that naturally lack them, and those that have had them
experimentally removed. The results of these studies suggest that an appreciation
of microtubule network organization- both before and after nuclear envelope
breakdown (NEB) - is the key to understanding the mechanisms that regulate
spindle assembly and the generation of bipolarity.
PMID- 22071627
TI - Ceramide triggers metacaspase-independent mitochondrial cell death in yeast.
AB - The activation of ceramide-generating enzymes, the blockade of ceramide
degradation, or the addition of ceramide analogues can trigger apoptosis or
necrosis in human cancer cells. Moreover, endogenous ceramide plays a decisive
role in the killing of neoplastic cells by conventional anticancer
chemotherapeutics. Here, we explored the possibility that membrane-permeable C2
ceramide might kill budding yeast (Saccharomyces cerevisiae) cells under
fermentative conditions, where they exhibit rapid proliferation and a Warburg
like metabolism that is reminiscent of cancer cells. C2-ceramide efficiently
induced the generation of reactive oxygen species (ROS), as well as apoptotic and
necrotic cell death, and this effect was not influenced by deletion of the sole
yeast metacaspase. However, C2-ceramide largely failed to cause ROS
hypergeneration and cell death upon deletion of the mitochondrial genome. Thus,
mitochondrial function is strictly required for C2-ceramide-induced yeast
lethality. Accordingly, mitochondria from C2-ceramide-treated yeast cells
exhibited major morphological alterations including organelle fragmentation and
aggregation. Altogether, our results point to a pivotal role of mitochondria in
ceramide-induced yeast cell death.
PMID- 22071628
TI - Proteasome inhibitors suppress expression of NPM and ARF proteins.
AB - Proteasome inhibitors stabilize numerous proteins by inhibiting their
degradation. Previously we have demonstrated that proteasome inhibitors
thiostrepton, MG132 and bortezomib paradoxically inhibit transcriptional activity
and mRNA/protein expression of FOXM1. Here we demonstrate that, in addition to
FOXM1, the same proteasome inhibitors also decrease mRNA and protein expression
of NPM and ARF genes. These data suggest that proteasome inhibitors may suppress
gene expression by stabilizing their transcriptional inhibitors.
PMID- 22071629
TI - Developmental relationships between B-1 and B-2 progenitors.
PMID- 22071630
TI - Neural correlates of giving support to a loved one.
AB - OBJECTIVE: Social support may benefit mental and physical well-being, but most
research has focused on the receipt, rather than the provision, of social
support. We explored the potentially beneficial effects of support giving by
examining the neural substrates of giving support to a loved one. We focused on a
priori regions of interest in the ventral striatum and septal area (SA) because
of their role in maternal caregiving behavior in animals. METHODS: Twenty
romantic couples completed a functional magnetic resonance imaging session in
which the female partner underwent a scan while her partner stood just outside
the scanner and received unpleasant electric shocks. RESULTS: Support giving
(holding a partner's arm while they experienced physical pain), compared with
other control conditions, led to significantly more activity in the ventral
striatum, a reward-related region also involved in maternal behavior (p values <
.05). Similar effects were observed for the SA, a region involved in both
maternal behavior and fear attenuation. Greater activity in each of these regions
during support giving was associated with greater self-reported support giving
effectiveness and social connection (r values = 0.55-0.64, p values < .05). In
addition, in line with the SA's role in fear attenuation (presumably to
facilitate caregiving during stress), increased SA activity during support giving
was associated with reduced left (r = -0.44, p < .05) and right (r = -0.42, p <
.05) amygdala activity. CONCLUSIONS: Results suggest that support giving may be
beneficial not only for the receiver but also for the giver. Implications for the
possible stress-reducing effects of support giving are discussed.
PMID- 22071631
TI - Physiological regulation of MMPs and tPA/PAI in the arterial wall of rats by
noradrenergic tone and angiotensin II.
AB - The interactions between the sympathetic nervous system (SNS) and angiotensin II
(ANG II), and their direct effects in vitro on the enzymes involved in vascular
extracellular matrix (ECM) degradation, were examined. Rats were treated with
guanethidine, losartan or the combined treatments. mRNA, protein and activity of
matrix metalloproteinase (MMP)-2 and MMP-9 and mRNA of tissue plasminogen
activator (t-PA) and plasminogen activator inhibitor 1 (PAI-1) were quantified in
abdominal aorta (AA) and femoral artery (FA). Norepinephrine (NE) or ANG II with
adrenergic (beta, alpha1 and alpha2) or losartan antagonists was tested for MMP
mRNA response in cultured vascular smooth muscle cells (VSMCs). Combined
treatment enhances the inhibition of MMP-2 mRNA and protein level induced by
simple treatment in AA. However MMP-9 in AA and MMP mRNA in FA were reduced in
the same order by treatments. MMP activities were not affected by treatments. The
t-PA/PAI-1 ratio, which reflects the fibrinolytic balance, remained higher after
treatments. In cultured VSMCs, NE induced stimulation of MMP mRNA via alpha2 and
beta adrenergic receptors and MMP-2 activity via beta adrenergic receptors, while
ANG II-induced stimulation was abrogated by losartan. Overall, there is a
synergic inhibition of both systems on the level of MMP-2 in AA.
PMID- 22071632
TI - Mcl-1 levels need not be lowered for cells to be sensitized for ABT-263/737
induced apoptosis.
PMID- 22071636
TI - Structures of medium sized tin cluster anions.
AB - The structures of medium sized tin cluster anions Sn(n)(-) (n = 16-29) were
determined by a combination of density functional theory, trapped ion electron
diffraction and collision induced dissociation (CID). Mostly prolate structures
were found with a structural motif based on only three repeatedly appearing
subunit clusters, the Sn(7) pentagonal bipyramid, the Sn(9) tricapped trigonal
prism and the Sn(10) bicapped tetragonal antiprism. Sn(16)(-) and Sn(17)(-) are
composed of two face connected subunits. In Sn(18)(-)-Sn(20)(-) the subunits form
cluster dimers. For Sn(21)(-)-Sn(23)(-) additional tin atoms are inserted between
the building blocks. Sn(24)(-) and Sn(25)(-) are composed of a Sn(9) or Sn(10)
connected to a Sn(15) subunit, which closely resembles the ground state of
Sn(15)(-). Finally, in the larger clusters Sn(26)(-)-Sn(29)(-) additional
bridging atoms again connect the building blocks. The CID experiments reveal
fission as the main fragmentation channel for all investigated cluster sizes.
This rather unexpected "pearl-chain" cluster growth mode is rationalized by the
extraordinary stability of the building blocks.
PMID- 22071634
TI - Labdane diterpenes protect against anoxia/reperfusion injury in cardiomyocytes:
involvement of AKT activation.
AB - Several labdane diterpenes exert anti-inflammatory and cytoprotective actions;
therefore, we have investigated whether these molecules protect cardiomyocytes in
an anoxia/reperfusion (A/R) model, establishing the molecular mechanisms involved
in the process. The cardioprotective activity of three diterpenes (T1, T2 and T3)
was studied in the H9c2 cell line and in isolated rat cardiomyocyte subjected to
A/R injury. In both cases, treatment with diterpenes T1 and T2 protected from A/R
induced apoptosis, as deduced by a decrease in the percentage of apoptotic and
caspase-3 active positive cells, a decrease in the Bcl-2/Bax ratio and an
increase in the expression of antiapoptotic proteins. Analysis of cell survival
signaling pathways showed that diterpenes T1 and T2 added after A/R increased
phospho-AKT and phospho-ERK 1/2 levels. These cardioprotective effects were lost
when AKT activity was pharmacologically inhibited. Moreover, the labdane-induced
cardioprotection involves activation of AMPK, suggesting a role for energy
homeostasis in their mechanism of action. Labdane diterpenes (T1 and T2) also
exerted cardioprotective effects against A/R-induced injury in isolated
cardiomyocytes and the mechanisms involved activation of specific survival
signals (PI3K/AKT pathways, ERK1/2 and AMPK) and inhibition of apoptosis.
PMID- 22071633
TI - Neurodegenerative processes in Huntington's disease.
AB - Huntington's disease (HD) is a complex and severe disorder characterized by the
gradual and the progressive loss of neurons, predominantly in the striatum, which
leads to the typical motor and cognitive impairments associated with this
pathology. HD is caused by a highly polymorphic CAG trinucleotide repeat
expansion in the exon-1 of the gene encoding for huntingtin protein. Since the
first discovery of the huntingtin gene, investigations with a consistent number
of in-vitro and in-vivo models have provided insights into the toxic events
related to the expression of the mutant protein. In this review, we will
summarize the progress made in characterizing the signaling pathways that
contribute to neuronal degeneration in HD. We will highlight the age-dependent
loss of proteostasis that is primarily responsible for the formation of
aggregates observed in HD patients. The most promising molecular targets for the
development of pharmacological interventions will also be discussed.
PMID- 22071637
TI - Antidiabetic plants of Iran.
AB - To identify the antidiabetic plants of Iran, a systematic review of the published
literature on the efficacy of Iranian medicinal plant for glucose control in
patients with type 2 diabetes mellitus was conducted. We performed an electronic
literature search of MEDLINE, Science Direct, Scopus, Proquest, Ebsco,
Googlescholar, SID, Cochrane Library Database, from 1966 up to June 2010. The
search terms were complementary and alternative medicine (CAM), diabetes
mellitus, plant (herb), Iran, patient, glycemic control, clinical trial, RCT,
natural or herbal medicine, hypoglycemic plants, and individual herb names from
popular sources, or combination of these key words. Available Randomized
Controlled Trials (RCT) published in English or Persian language examined effects
of an herb (limited to Iran) on glycemic indexes in type 2 diabetic patients were
included. Among all of the articles identified in the initial database search, 23
trials were RCT, examining herbs as potential therapy for type 2 diabetes
mellitus. The key outcome for antidiabetic effect was changes in blood glucose or
HbA(1) c, as well as improves in insulin sensitivity or resistance. Available
data suggest that several antidiabetic plants of Iran need further study. Among
the RCT studies, the best evidence in glycemic control was found in Citrullus
colocynthus, Ipomoea betatas, Silybum marianum and Trigonella foenum graecum.
PMID- 22071638
TI - Effects of continuous and interrupted forces on gene transcription in periodontal
ligament cells in vitro.
AB - The biological mechanisms of tooth movement are based on the response of
periodontal tissues to mechanical forces. The final result of these responses is
remodeling of the extracellular matrix. Tissue reactions may vary depending upon
the type, magnitude and duration of the applied forces. The purpose of the
present study was to analyze the effects of centrifugal force on the
transcription of collagen type-I (Col-I), matrix metalloproteinase-1 (MMP-1), and
tissue inhibitor of metalloproteinase- 1 (TIMP-1) genes in human periodontal
ligament (PDL) fibroblasts. Human fibroblasts obtained from the PDL were cultured
and subjected to centrifugal forces (36.3 g/cm(2)) for 30, 60 and 90 min
continuously. This was also carried out interruptedly, three times for 30 min and
six times for 15 min. The mRNAs encoding for Col-I, MMP-1, and TIMP-1 were
quantified using RT-PCR. The mRNA levels of Col-I and MMP-1 were increased when
continuous force was applied for 30 min and 60 min respectively. The interrupted
force had almost no effect on Col-I, MMP-1 and TIMP-1 genes. These results
indicate that continuous forces may have a greater effect in inducing gene
expression during the remodeling process of PDL compared to interrupted forces
with short rest periods.
PMID- 22071639
TI - Comparison of the results of Cox proportional hazards model and parametric models
in the study of length of stay in a tertiary teaching hospital in Tehran, Iran.
AB - Survival analysis is a set of methods used for analysis of the data which exist
until the occurrence of an event. This study aimed to compare the results of the
use of the semi-parametric Cox model with parametric models to determine the
factors influencing the length of stay of patients in the inpatient units of
Women Hospital in Tehran, Iran. In this historical cohort study all 3421 charts
of the patients admitted to Obstetrics, Surgery and Oncology units in 2008 were
reviewed and the required patient data such as medical insurance coverage types,
admission months, days and times, inpatient units, final diagnoses, the number of
diagnostic tests, admission types were collected. The patient length of stay in
hospital 'leading to recovery' was considered as a survival variable. To compare
the semi-parametric Cox model and parametric (including exponential, Weibull,
Gompertz, log-normal, log-logistic and gamma) models and find the best model
fitted to studied data, Akaike's Information Criterion (AIC) and Cox-Snell
residual were used. P<0.05 was considered as statistically significant. AIC and
Cox-Snell residual graph showed that the gamma model had the lowest AIC
(4288.598) and the closest graph to the bisector. The results of the gamma model
showed that factors affecting the patient length of stay were admission day,
inpatient unit, related physician specialty, emergent admission, final diagnosis
and the number of laboratory tests, radiographies and sonographies (P<0.05). The
results showed that the gamma model provided a better fit to the studied data
than the Cox proportional hazards model. Therefore, it is better for researchers
of healthcare field to consider this model in their researches about the patient
length of stay (LOS) if the assumption of proportional hazards is not fulfilled.
PMID- 22071640
TI - Renal power Doppler ultrasonographic evaluation of children with acute
pyelonephritis.
AB - Urinary tract infections are common in children. The available gold standard
method for diagnosis, Tc-99m dimercaptosuccinic acid scan is expensive and
exposes patients to considerable amount of radiation. This study was performed to
compare and assess the efficacy of Power Doppler Ultrasound versus Tc-99m DMSA
scan for diagnosis of acute pyelonephritis. A quasi experimental study was
conducted on 34 children with mean age of 2.8 +/- 2.7 years who were hospitalized
with their first episode of febrile urinary tract infection. All children were
evaluated in the first 3 days of admission by Doppler Ultrasound and Tc-99m DMSA
scan. Patients with congenital structural anomalies were excluded. Each kidney
was divided into three zones. The comparison between efficacy of Doppler
Ultrasound and DMSA scan was carried out based on number of patients and on
classified renal units. Based on the number of patients enrolled; the
sensitivity, specificity, positive and negative predictive values and accuracy of
Doppler Ultrasound were 89%, 53%, 70%, 80% and 74%, respectively but based on the
renal units, it was 66%, 81%, 46%, 91% and 79% , respectively. Although Doppler
Ultrasound has the potential for identifying acute pyelonephritis in children,
but it is still soon to replace DMSA scan.
PMID- 22071641
TI - Role of liver function enzymes in diagnosis of choledocholithiasis in biliary
colic patients.
AB - Liver functional tests due to inflammatory process which induced by cholecystitis
might changed and some clinicians suggested that these changes might help us to
stone prediction in common bile ducts and decrease hazards of performing ERCP and
other invasive procedures. Present study was performed for assessment of role of
liver functional test in diagnosis of common bile duct stone in patients with
cholecystitis and help in their management. Present prospective study was
performed between April 2010 and March 2011 on 350 patients who come to our
hospital with cholecystitis or biliary colic diagnosis. Patients with
cholesistitis diagnosis were underwent operation for removing gall bladder stone
and retrograde cholangiopancreatography (ERCP) was performed for patients with
suspicious to biliary colic and common bile duct (CBD) stones. Ultrasonography,
aspartate aminotransferases (AST), alanine aminotransferases (ALT), alkaline
phosphatase (ALP) and direct and total serum bilirubin were measured for all of
participated patients. Mean of AST. ALT, ALP and total and direct bilirubin were
had no significant differences between two study groups. In logistic regression
analysis, after entering into the model only CBD diameter (OR: 20; P=0.00) and
elevated serum level of ALT (OR: 2; P=0.04) were remained into the model and were
known as independent predictor of cholelithiasis. Elevated level of liver enzymes
had not main role in CBD diagnosis and ERCP had no to perform for suspicious CBD
stone only with elevated liver enzyme and even with normal ultrasonography
findings. Endosonography as non invasive procedure recommend for patients before
ERCP.
PMID- 22071643
TI - Characterization of anticancer, antimicrobial, antioxidant properties and
chemical compositions of Peperomia pellucida leaf extract.
AB - Peperomia pellucida leaf extract was characterized for its anticancer,
antimicrobial, antioxidant activities, and chemical compositions. Anticancer
activity of P. pellucida leaf extract was determined through Colorimetric MTT
(tetrazolium) assay against human breast adenocarcinoma (MCF-7) cell line and the
antimicrobial property of the plant extract was revealed by using two-fold broth
micro-dilution method against 10 bacterial isolates. Antioxidant activity of the
plant extract was then characterized using alpha, alpha-diphenyl-beta
picrylhydrazyl (DPPH) radical scavenging method and the chemical compositions
were screened and identified using gas chromatography-mass spectrometry (GC-MS).
The results of present study indicated that P. pellucida leaf extract possessed
anticancer activities with half maximal inhibitory concentration (IC(50)) of 10.4
+/- 0.06 ug/ml. The minimum inhibitory concentration (MIC) values were ranged
from 31.25 to 125 mg/l in which the plant extract was found to inhibit the growth
of Edwardsiella tarda, Escherichia coli, Flavobacterium sp., Pseudomonas
aeruginosa and Vibrio cholerae at 31.25 mg/l; Klebsiella sp., Aeromonas
hydrophila and Vibrio alginolyticus at 62.5 mg/l; and it was able to control the
growth of Salmonella sp. and Vibrio parahaemolyticus at 125 mg/l. At the
concentration of 0.625 ppt, the plant extract was found to inhibit 30% of DPPH,
free radical. Phytol (37.88%) was the major compound in the plant extract
followed by 2-Naphthalenol, decahydro- (26.20%), Hexadecanoic acid, methyl ester
(18.31%) and 9,12-Octadecadienoic acid (Z,Z)-, methyl ester (17.61%). Findings
from this study indicated that methanol extract of P. pellucida leaf possessed
vast potential as medicinal drug especially in breast cancer treatment.
PMID- 22071642
TI - Determination of trace elements in patients with chronic hepatitis B.
AB - Chronic hepatitis B virus (HBV) infection is a major liver disease worldwide and
its clinical manifestations are linked to immune response. The purpose of this
study was to evaluate the relationship between selenium, copper, and zinc in
comparison with transaminase level in chronic HBV patients. Serum samples of the
HBV infected patients were obtained from Tooba medical center, Sari, Iran. Sixty
patients were enrolled in this study (36 men and 24 women), mean age: 39.6 +/-
12.2 years. The concentration of zinc, selenium, copper and transaminases were
determined using an autoanalyzer system. Concentrations of selenium (0.273 +/-
0.056 MUg/dl) and zinc (2.1 +/- 0.037) was elevated in patients with low
transaminase levels as were significantly different in comparison with patients
with high transaminase level (P<0.05). Serum copper concentration was similar in
two groups of patients. Elevated levels of transaminase concentrations were
independently associated with low zinc and selenium concentrations in chronic HBV
patients. It is concluded that serum zinc and selenium levels are associated with
less hepatic damage in chronic HBV patients and might have a protective role
during liver injury.
PMID- 22071644
TI - Characterization of Pseudomonas aeruginosa strains isolated from burned patients
hospitalized in a major burn center in Tehran, Iran.
AB - Pseudomonas aeruginosa is an important life-threatening nosocomial pathogen and
plays a prominent role in serious infections in burned patients. The current
study was undertaken to characterize P. aeruginosa strains isolated from burned
patients in Tehran, Iran. The study was conducted in a major burn center in
Tehran, Iran in 2007. A total of seventy specimens obtained from different
clinical origin with positive culture results for P. aeruginosa were included in
the study. Antimicrobial susceptibility test was performed according to the
standard CLSI guideline. The relationship between the strains was also determined
using antimicrobial drug resistance pattern analysis and plasmid profiling. All
strains were multi drug resistant. The percentage of resistance to tested
antibiotics was: imipenem 97.5%, amikacin 90%, piperacillin 87.5%, ceftizoxime
72.7%, gentamicin 67.5%, ciprofloxacin 65%, ceftriaxone 60%, and ceftazidime
57.5%. Thirteen resistant phenotypes were recognized, R3 (TET, IPM, AMK, CIP,
PIP, GM, CAZ, CRO, CT) was the predominant resistance pattern seen in 27.5% of
isolates. Results obtained from E-test showed that 100% of P. aeruginosa strains
were resistant to cefoxitin, 97% to cefotetan, 93% to ticarcillin, 89% to
ticarcillin/clav, 76% to gentamicin and imipenem, 63% to piperacillin, 49% to
tetracycline, and 20% to meropenem. Nine different plasmid profiles were observed
among the strains. The current study showed an increase rate of resistance for
some antibiotics tested among P. aeruginosa strains isolated from burned patients
in Tehran. A combination of antibiotic susceptibility testing and profile plasmid
analysis, which are relatively cheap and available methods, showed to be useful
to characterize the clinical strains of P. aeruginosa isolated from burned
patients in Iran.
PMID- 22071645
TI - Prevalence rates of obsessive-compulsive symptoms and psychiatric comorbidity
among adolescents in Iran.
AB - Recent epidemiological studies show that obsessive-compulsive disorder (OCD) and
its comorbidity with psychiatric problems is more prevalent among children and
adolescents than was previously believed. The primary aim of the current study is
to investigate the point-prevalence rate of obsessive compulsive symptoms in a
sample of adolescent high school student in Iran. A two-stage epidemiological
study was carried out through a clustered random sampling method. All
participants went through a two-stage assessment procedure, in the first
screening phase, the Maudsley Obsessive-Compulsive Inventory (MOCI) was
administered to 909 randomly selected students (in the age range 14-18 years).
Participants were considered possible sub-clinical or clinical OCD cases, if they
obtained a score of MOCI>=15. In the second stage, the Symptoms Checklist -90
revised (SCL-90-R) was administered to student who fulfilled the screening
criteria. The prevalence of OC symptoms was found to be 11.2 percent for the
total sample. The most prevalent comorbid conditions were depression and anxiety
with prevalence rates of 91.2 and 78.4 percent respectively. Gender, age, birth
order, parent's education and family income had no statistically significant
association with OC symptoms. Further research in this area is warranted in order
to establish a set of comprehensive global assessment and measurement tools,
which would allow cross-cultural studies in the field of OCD.
PMID- 22071646
TI - A bulking agent may lead to adrenal insufficiency crisis: a case report.
AB - Adrenal insufficiency is a life-threatening disorder which must be treated with
glucocorticoid replacement and needs permanent dose adjustment during patient's
different somatic situations. Insufficient glucocorticoid doses result in adrenal
crisis and must be treated with intravenous hydrocortisone. The patient was known
with Adrenal insufficiency and was treated optimally with fludrocortisone and
prednisolone since seven years with no history of adrenal crisis. The patient was
admitted with abdominal pain, weakness, fatigue and nausea developed 3-4 days
after taking psyllium, a bulking agent, prescribed by a surgeon to diagnose anal
fissure. Detailed medical history, physical examinations, laboratory and imaging
examinations did not approve any other cause of adrenal crisis. Psyllium may
interfere with gastrointestinal absorption of prednisolone and/or fludrocortisone
and trigger acute adrenal crisis in patients with adrenal insufficiency.
PMID- 22071647
TI - Large omental cyst: a case report and review of the literature.
AB - Omental cysts occur rarely. Patients with omental cysts usually present with
abdominal distention and a painless abdominal mass. In children it may present as
an acute abdomen due to intestinal obstruction. The most common physical finding
of an omental cyst is a freely movable abdominal mass, which should be considered
in differential diagnosis of these cases. The diagnostic procedures include
ultrasonography and computed tomography (CT) scans. Complete excision of the cyst
is considered as the treatment of choice. Recurrence and malignant deterioration
of omental cysts are rare. We describe a 32- year-old female who presented with
complaints of vague abdominal pain and distension. The patient underwent
laparotomy with preoperative diagnosis of the ovarian cyst. The diagnosis of
omental cyst was established by intraoperative findings. Thus, complete excision
of the cyst was performed. The diagnosis was confirmed by pathological
examination.
PMID- 22071648
TI - Primary bilateral intrapelvic hydatid cyst presenting with adnexal cystic mass: a
case report.
AB - Hydatid disease, caused by Echinococcus granulosus, is a common parasitic
infection of the liver. Disseminated intra-abdominal hydatid disease may occur
with the rupture of the hydatid cyst into the peritoneal cavity, producing
secondary echinococcosis. But primary hydatid cyst in the pelvis is rare. We
report a case of bilateral hydatid cyst of the pelvis in a 53 years old woman
presented with adnexal cystic mass.
PMID- 22071649
TI - Lumbar vertebral hemangioma with extradural extension, causing neurogenic
claudication: a case report.
AB - The authors present a rare case of lumbar vertebral hemangioma extending to the
epidural space with a bisected appearance and impinging on thecal sac. This 52
year-old lady presented with one year history of low back pain and bilateral leg
radiation. Plain radiography showed vertical linear streaks at L2 vertebral body
and axial computed tomography (CT) scan revealed small "polka dot" appearance
within the vertebral body. Magnetic resonance imaging (MRI) showed low signal
intensity on T1-weighted images in L2 vertebral body which was not characteristic
for hemangioma. The patient underwent an L2 laminectomy, spinal canal
decompression and posterior spinal instrumentation. This study indicates that
lumbar vertebral hemangioma can extend to the epidural space and cause neurologic
symptoms. Magnetic resonance imaging may not show diagnostic features, especially
in active lesions and plain radiography and CT scan may be helpful.
PMID- 22071650
TI - Implementing multiplexed genotyping of non-small-cell lung cancers into routine
clinical practice.
AB - BACKGROUND: Personalizing non-small-cell lung cancer (NSCLC) therapy toward
oncogene addicted pathway inhibition is effective. Hence, the ability to
determine a more comprehensive genotype for each case is becoming essential to
optimal cancer care. METHODS: We developed a multiplexed PCR-based assay
(SNaPshot) to simultaneously identify >50 mutations in several key NSCLC genes.
SNaPshot and FISH for ALK translocations were integrated into routine practice as
Clinical Laboratory Improvement Amendments-certified tests. Here, we present
analyses of the first 589 patients referred for genotyping. RESULTS: Pathologic
prescreening identified 552 (95%) tumors with sufficient tissue for SNaPshot; 51%
had >=1 mutation identified, most commonly in KRAS (24%), EGFR (13%), PIK3CA (4%)
and translocations involving ALK (5%). Unanticipated mutations were observed at
lower frequencies in IDH and beta-catenin. We observed several associations
between genotypes and clinical characteristics, including increased PIK3CA
mutations in squamous cell cancers. Genotyping distinguished multiple primary
cancers from metastatic disease and steered 78 (22%) of the 353 patients with
advanced disease toward a genotype-directed targeted therapy. CONCLUSIONS: Broad
genotyping can be efficiently incorporated into an NSCLC clinic and has great
utility in influencing treatment decisions and directing patients toward relevant
clinical trials. As more targeted therapies are developed, such multiplexed
molecular testing will become a standard part of practice.
PMID- 22071651
TI - A new method for choosing the computational cell in stochastic reaction-diffusion
systems.
AB - How to choose the computational compartment or cell size for the stochastic
simulation of a reaction-diffusion system is still an open problem, and a number
of criteria have been suggested. A generalized measure of the noise for finite
dimensional systems based on the largest eigenvalue of the covariance matrix of
the number of molecules of all species has been suggested as a measure of the
overall fluctuations in a multivariate system, and we apply it here to a
discretized reaction-diffusion system. We show that for a broad class of first
order reaction networks this measure converges to the square root of the
reciprocal of the smallest mean species number in a compartment at the steady
state. We show that a suitably re-normalized measure stabilizes as the volume of
a cell approaches zero, which leads to a criterion for the maximum volume of the
compartments in a computational grid. We then derive a new criterion based on the
sensitivity of the entire network, not just of the fastest step, that predicts a
grid size that assures that the concentrations of all species converge to a
spatially-uniform solution. This criterion applies for all orders of reactions
and for reaction rate functions derived from singular perturbation or other
reduction methods, and encompasses both diffusing and non-diffusing species. We
show that this predicts the maximal allowable volume found in a linear problem,
and we illustrate our results with an example motivated by anterior-posterior
pattern formation in Drosophila, and with several other examples.
PMID- 22071653
TI - A finite-element model for healing of cutaneous wounds combining contraction,
angiogenesis and closure.
AB - A simplified finite-element model for wound healing is proposed. The model takes
into account the sequential steps of dermal regeneration, wound contraction,
angiogenesis and wound closure. An innovation in the present study is the
combination of the aforementioned partially overlapping processes, which can be
used to deliver novel insights into the process of wound healing, such as
geometry related influences, as well as the influence of coupling between the
various existing subprocesses on the actual healing behavior. The model confirms
the clinical observation that epidermal closure proceeds by a crawling and
climbing mechanism at the early stages, and by a stratification process in layers
parallel to the skin surface at the later stages. The local epidermal oxygen
content may play an important role here. The model can also be used to
investigate the influence of local injection of hormones that stimulate partial
processes occurring during wound healing. These insights can be used to improve
wound healing treatments.
PMID- 22071654
TI - The hydra effect, bubbles, and chaos in a simple discrete population model with
constant effort harvesting.
AB - We analyze the effects of a strategy of constant effort harvesting in the global
dynamics of a one-dimensional discrete population model that includes density
independent survivorship of adults and overcompensating density dependence. We
discuss the phenomenon of bubbling (which indicates that harvesting can magnify
fluctuations in population abundance) and the hydra effect, which means that the
stock size gets larger as harvesting rate increases. Moreover, we show that the
system displays chaotic behaviour under the combination of high per capita
recruitment and small survivorship rates.
PMID- 22071655
TI - An interaction stress analysis of nanoscale elastic asperity contacts.
AB - A new contact mechanics model is presented and experimentally examined at the
nanoscale. The current work addresses the well-established field of contact
mechanics, but at the nanoscale where interaction stresses seem to be effective.
The new model combines the classic Hertz theory with the new interaction stress
concept to provide the stress field in contact bodies with adhesion. Hence, it
benefits from the simplicity of non-adhesive models, while offering the same
applicability as more complicated models. In order to examine the model, a set of
atomic force microscopy experiments were performed on substrates made from single
walled carbon nanotube buckypaper. The stress field in the substrate was obtained
by superposition of the Hertzian stress field and the interaction stress field,
and then compared to other contact models. Finally, the effect of indentation
depth on the stress field was studied for the interaction model as well as for
the Hertz, Derjaguin-Muller-Toporov, and Johnson-Kendall-Roberts models. Thus,
the amount of error introduced by using the Hertz theory to model contacts with
adhesion was found for different indentation depths. It was observed that in the
absence of interaction stress data, the Hertz theory predictions led to smaller
errors compared to other contact-with-adhesion models.
PMID- 22071660
TI - Analgesic and anti-inflammatory effect of aqueous extract of the stem bark of
Allanblackia gabonensis (Guttiferae).
AB - Allanblackia gabonensis (Guttiferae) is a plant used in the African traditional
medicine as remedies against pain, rheumatism, inflammations. In the present
work, the analgesic effect of aqueous extract has been evaluated using acetic
acid, formalin, hot-plate test, tail immersion and paw-pressure test. The anti
inflammatory effect of this extract was also investigated on carrageenan,
histamine or serotonin induced by paw oedema. Aqueous extract of stem bark of A.
gabonensis administrated p.o. showed significant activity against paw oedema
induced by carrageenan, with a maximum percentage of inhibition reaching the
74.01% at the preventive test at a dose of 200 mg/kg. A. gabonensis exhibited a
significant reduction of paw oedema induced by both histamine and serotonin with
a maximal inhibition of 56.94% (200 mg/kg) and 40.83% (100 mg/kg), respectively.
It showed significant protective effects against chemical stimuli (acetic acid
and formalin) in the mouse. Administered orally at the doses of 100-400 mg/kg,
exhibited protective effect of at least 69.78% on the pain induced by acetic acid
and also reduced first (67.18% at 200 mg/kg) and second (83.87% at 400 mg/kg)
phase of pain-induced par formalin. It also produced a significant increase of
the threshold of sensitivity to pressure and hot plate-induced pain in the rats.
These results suggest a peripheral and central analgesic activities as well as an
anti-inflammatory effect of the stem bark of A. gabonensis.
PMID- 22071661
TI - Are Th17 cells in the gut pathogenic or protective?
AB - Th17 cells are abundant in multiple chronic inflammatory and autoimmune diseases.
Clinical trials with antibodies to interleukin (IL)-17A, one of the Th17-cell
signature cytokines, have recently reported therapeutic benefit in multiple
patient populations; however, in Crohn's disease the role of Th17 cells and IL
17A appears to be more complicated. The development of different subsets of Th17
cells and their relative pathogenic activities with a focus on the gut
environment will be discussed.
PMID- 22071662
TI - Voriconazole, combined with amphotericin B, in the treatment for pulmonary
cryptococcosis caused by C. neoformans (serotype A) in mice with severe combined
immunodeficiency (SCID).
AB - Cryptococcosis is a subacute or chronic systemic mycosis with a cosmopolitan
nature, caused by yeast of the genus Cryptococcus neoformans. The model of
systemic cryptococcosis in mice with severe combined immunodeficiency (SCID) is
useful for immunological and therapeutic study of the disease in immunodeficient
hosts. Amphotericin B, fluconazole and flucytosine are the drugs most commonly
used to treat cryptococcosis. Voriconazole is a triazole with high
bioavailability, large distribution volume, and excellent penetration of the
central nervous system. The objective of this study was to evaluate treatment
with amphotericin B (AMB), voriconazole (VRC), and AMB, used in combination with
VRC, of experimental pulmonary cryptococcosis in a murine model (SCID). The
animals were inoculated intravenously (iv) with a solution containing 3.0 * 10(5)
viable cells of C. neoformans ATCC 90112, (serotype A). Treatments were performed
with amphotericin B (1.5 mg/kg/day), voriconazole (40.0 mg/kg/day) and AMB (1.5
mg/kg/day) combined with VRC (40.0 mg/kg/day); began 1 day after the initial
infection; were daily; and lasted 15 days. Evaluations were performed using
analysis of the survival curve and isolation of yeast in the lung tissue. There
was a significant increase in survival in groups treated with AMB combined with
VRC, compared with the untreated group and groups receiving other treatments (P <
0.05). In the group treated only with VRC and AMB combined with VRC, there was a
significant reduction (P < 0.05) in the isolation of C. neoformans in lung
tissue. Amphotericin B combined with voriconazole may be an effective alternative
to increasing survival and may reduce yeast in the lung tissue of mice with
pulmonary cryptococcosis and SCID.
PMID- 22071663
TI - Extra-scalp black dot ringworm caused by Trichophyton tonsurans among contact
sports players.
AB - We describe here two patients with tinea corporis exhibiting black dot ringworm
(BDR). A cluster of black dots was observed on the extensor surfaces of the
extremities of two rather hairy male patients, a 15-year-old judo practitioner
and a 26-year-old combined martial arts fighter, during treatment of tinea
corporis with topical antimycotics. Direct KOH examination showed that the black
dots were composed of degenerated hair with numerous arthroconidia and were
indistinguishable from BDR of tinea capitis. Trichophyton tonsurans was isolated
from the dots of both patients. Although they were diagnosed with tinea corporis,
they required 2-3 months of treatment with oral terbinafine. Dermatologists
should be aware that BDR can appear on areas of the skin other than the scalp.
PMID- 22071665
TI - Preliminary assessment of ecological exposure of adult residents in Fukushima
Prefecture to radioactive cesium through ingestion and inhalation.
AB - OBJECTIVE: This study aims to estimate the ecological exposure of adult residents
of Fukushima Prefecture to 134cesium (Cs) and 137Cs through ingestion and
inhalation between July 2 and July 8, 2011. METHODS: Fifty-five sets of meals
with tap water, each representing one person's daily intake, were purchased in
local towns in Fukushima Prefecture. Locally produced cow's milk (21 samples) and
vegetables (43 samples) were also purchased. In parallel, air sampling was
conducted at 12 different sites using a high-volume sampler. Nineteen sets of
control meals were collected in Kyoto in July 2011. 134Cs and 137Cs levels in the
samples were measured using a germanium detector. RESULTS: Radioactivity was
detected in 36 of the 55 sample meals from Fukushima, compared with one of 19
controls from Kyoto. The median estimated dose level (MUSv/year) was 3.0, ranging
from not detectable to 83.1. None of the cow's milk (21) or vegetable (49)
samples showed levels of contamination above the current recommended limits
(Bq/kg) of 200 for milk and 500 for vegetables. The total effective dose levels
by inhalation were estimated to be <3 MUSv/year at nine locations, but samples at
three other locations close to the edge of the 20-km radius from the crippled
nuclear power plant showed higher levels of contamination (MUSv/year): 14.7 at
Iitate, 76.9 at Namie, and 27.7 at Katsurao. CONCLUSIONS: Levels of exposure to
134Cs and 137Cs in Fukushima by ingestion and inhalation are discernible, but
generally within recommended limits.
PMID- 22071666
TI - [Quality indicators of drug therapy at hospital admission among elderly
patients].
AB - OBJECTIVE: Increased morbidity and higher prevalence of medication use commonly
coexists among the elderly. When managed appropriately, older patients can
benefit from drug therapy. However, drug related problems are more frequent and
more serious in the elderly. The aim of the study was to assess the quality of
medication use in older people at hospital admission. MATERIAL AND METHODS: A
retrospective medical record review was performed for patients 70 years and older
who had an unplanned admission to the internal medicine and geriatric units at
Landspitali University Hospital in 2007. Among the sampled medical records, 913
met inclusion criteria. Assessment was carried out using 15 drug-specific quality
indicators. RESULTS: Mean age was 80.9 years and 54.5% were women. Mean number of
drugs at admission was 7.0 for women and 6.5 for men (p=0.047). The prevalence of
having one or more quality indicators on admission was 48.4%. Women were more
likely to have a quality indicator than men (women 56.2%, men 39.9%). The
probability also increased with increasing age and number of drugs. CONCLUSIONS:
The quality of drug therapy among older patients at hospital admission appears to
be suboptimal. A more accurate estimate of the problem could be obtained through
a prospective study where drug regimens are correlated with symptoms and reason
for admission. Additional studies are also needed in the outpatient setting. Such
studies could provide more accurate evidence and assist policy making towards
improved quality of drug prescribing for a growing number of older patients.
KEYWORDS: elderly, quality indicators, hospitalization, drugs, inappropriate
prescribing.
PMID- 22071667
TI - [Efficacy of cognitive behavioral therapy in the treatment of mood and anxiety
disorders in adults].
AB - Cognitive behavioral therapy (CBT) represents that form of psychotherapy which
has most research data to build on in the treatment of mood and anxiety disorders
for adults. In this review we will introduce CBT and present the results of
pertinent outcome research. Efficacy at the end of treatment is discussed, as
well as long term effectiveness and the efficacy of combined treatment with
medication and CBT. In addition, we discuss the pros and cons of group CBT
compared to CBT in individual format, and comorbidity of mental disorders.
According to this review CBT is efficacious for major depressive disorder,
generalized anxiety disorder, panic disorder, post-traumatic stress disorder,
obsessive compulsive disorder, social phobia and specific phobia. Efficacy of CBT
is equal to or better than efficacy of drugs in the treatment of the above
disorders, but there is less access to CBT. Longterm effectiveness of CBT appears
to be good, but research on combined treatment is yet in its infancy and
conclusions are premature on its place in treatment. Key words: Cognitive
behavioral therapy, psychotropic treatment, efficacy, long-term effects, combined
treatment, mental disorders, adults.
PMID- 22071668
TI - [Landspitali, national university hospital, cuts or rationalization?].
PMID- 22071669
TI - [The United Nations and non-communicable diseases].
PMID- 22071670
TI - [Aortic valve replacement for aortic stenosis in Iceland 2002-2006: Long term
complications and survival].
AB - OBJECTIVE: To investigate long-term complications and survival following aortic
valve replacement (AVR) in patients with aortic stenosis (AS) in Iceland.
MATERIAL AND METHODS: Included were 156 patients (average age 71.7 yrs, 64.7%
males) that underwent AVR for AS at Landspitali between 2002 and 2006. A
mechanical prosthesis was used in 29 patients (18.6%) and a bioprosthesis in 127.
Long-term complications and operation-related admissions were registered from
hospital and outpatient records until April 1, 2010. Overall survival was
estimated and compared with the Icelandic population of the same age and gender.
RESULTS: The mean preop. EuroSCORE(st) was 6.9%, the max. transvalvular pressure
gradient 74.1 mmHg and the left ventricular ejection fraction (LVEF) (57.2%). At
six months following AVR the maximal pressure gradient was 19.8 mmHg (range; 2.5
38). Echocardiography results were not available for 23.6% of the patients 6
months postoperatively. In the follow-up period one in four patients was admitted
due to valve-related problems. Re-admission rate was 6.0/100 patient-years (pt
y); most commonly due to cardiac failure (1.7/100 pt-y), emboli (1.6/100 pt-y),
hemorrhage (1.6/100 pt-y), endocarditis (0.7/100 pt-y) and myocardial infarction
(0.4/100 pt-y). Survival at 1 and 5 year was 89.7% and 78.2%, respectively,
making survival comparable to the estimated survival of Icelanders of the same
age and gender. CONCLUSIONS: The rate of long-term complications following AVR in
Iceland is in line with other studies. The same applies to long-term survival,
which was similar to that of the Icelandic population of the same age and gender.
Key words: Aortic valve replacement, aortic stenosis, heart surgery, results,
long-term complication, survival.
PMID- 22071671
TI - [Health-related quality of life during a clinical behavior weight loss
intervention therapy].
AB - INTRODUCTION: The aim of this study was to assess the effect of a
multidisciplinary, behavioural obesity treatment program on weight management,
physical improvements and health-related quality of life. MATERIAL AND METHODS:
The participants counted 47 women aged 20-60 years. The measurement period was
from October 2007-July 2009 and a median time between measurements was six
months. MEASUREMENTS: height (cm), weight (kg), body mass index (BMI), waist
circumference (WC), bioelectrical impedance analysis (BIA), cycle ergometer
fitness test (w/kg), electrocardiogram, maximal oxygen uptake (VO2max), blood
pressure (mmHg) and heart rate (bpm). Different dimensions of mental and physical
health were assessed using validated questionnaires: SF-36v2TM of health-related
quality of life, OP scale of psychosocial functioning in the obese, BAI anxiety
inventory scale and BDI-II depression inventory scale. RESULTS: BMI decreased on
average by 3.9 kg/m2 (p<0.001). The total maximum output (watts) during the
fitness test increased on average by 12% (p<0.001), fitness (w/kg) increased by
21% (p<0.001) and VO2max (ml/kg/min) by 18% (p<0.001). A decrease was noted in
blood pressure as well as resting heart rate (p<0.05) at the end of the program.
The results from the questionnaires showed improvements in quality of life and
psychological well being (p<0.001). CONCLUSION: These results highlight the
importance of comprehensive weight management and emphasize the importance of
permanent lifestyle changes for the patients. KEYWORDS: Obesity, women, behavior
therapy, ergometric.
PMID- 22071672
TI - Maternal inheritance of plastids and mitochondria in Cycas L. (Cycadaceae).
AB - Cycas is often considered a living fossil, thereby providing a unique model for
revealing the evolution of spermatophytes. To date, the genetic inheritance of
these archaic plants is not fully understood. The present study seeks to document
the process of organelle inheritance in an interspecific cross of Cycas species.
Extranuclear organelle DNA from chloroplasts and mitochondria was analyzed using
both polymerase chain reaction-restriction fragment length polymorphism analysis
and microscopy. Here, we show that the chloroplasts and mitochondria in the
progeny of interspecific crosses between Cycas taitungensis and Cycas ferruginea
were exclusively inherited from the female parent. Epifluorescence microscopic
analyses of the pollen cells from Cycas elongata indicated that there was a
significant degradation of organelle DNA in male reproductive cells following
maturation; the DNA fluorescent signals were only seen after pollen mitosis two,
but not detectable at mature stage. Lack of organelle DNA fluorescent signal in
prothallial cells was confirmed by the absence of plastids and mitochondria in
electronic microscopic images. In conclusion, these data suggest that the
maternal plastid and mitochondrial inheritance in Cycas, native to the old world,
are the same as seen in seed plants.
PMID- 22071674
TI - Accuracy of non-ECG-gated computed tomography angiography of the chest in
assessment of left-sided cardiac chamber enlargement.
AB - PURPOSE: To determine whether measurement of left ventricular (LV) and left
atrial (LA) diameters on nongated computed tomography angiography (CTA) can
detect left atrial and left ventricular enlargement (LAE and LVE) with high
specificity. MATERIALS AND METHODS: Ninety-nine patients who had undergone both
nongated CTA of the chest and echocardiography within 1 week constituted the
pilot group. On CTA, LA and LV diameters were measured in the axial plane and on
a 3-chamber-view multiplanar reconstruction. These measurements were compared
with echocardiography results. Receiver operating characteristic (ROC) curves
were then generated to determine optimal cutoff values for diagnosis of LAE and
LVE. These thresholds were applied to a validation group (n = 203), and
sensitivities and specificities for cutoff values were determined. Simple kappa
coefficients were calculated for interobserver agreement. RESULTS: In the pilot
group, axial measurements of the LA and LV diameters were superior to multiplanar
reconstruction measurements based on areas under the ROC (LV: 0.82 vs. 0.76, LA:
0.87 vs. 0.82). Using the ROC results, cutoff values of 5.5 and 4.5 cm were
chosen for LVE and LAE, respectively. These thresholds were applied to the
validation group, achieving an average sensitivity and specificity for LAE of 53%
[confidence interval (CI): 37%-71% and 94% (89%-97%)], respectively. Average
sensitivity and specificity for LVE were 41% (CI: 23%-59%) and 99% (CI: 96%
100%), respectively. Kappa coefficients for diagnosis of LAE and LVE were 0.70
and 0.81, respectively. CONCLUSION: Thresholds for LA and LV diameter on nongated
CTA can be chosen to provide specific, but not sensitive, detection of LAE and
LVE.
PMID- 22071673
TI - Differential contributions of impaired corneal sensitivity and reduced tear
secretion to corneal epithelial disorders.
AB - BACKGROUND/AIMS: To determine the possible roles of impaired corneal sensitivity
and reduced tear secretion in various types of corneal epithelial disorders.
METHODS: A total of 99 patients (179 eyes) with corneal epithelial disorders
classified as persistent epithelial defects (PED), corneal erosion, or
superficial punctate keratopathy (SPK) and 115 individuals (230 eyes) without
apparent ocular surface disorders (controls) were enrolled in a prospective
study. Corneal sensitivity was measured with a Cochet-Bonnet esthesiometer, and
tear secretion was measured by the Schirmer test in each subject. RESULTS:
Corneal sensitivity of eyes in the PED and corneal erosion groups was
significantly lower than that in the control group. Schirmer test values for eyes
in the SPK group were significantly reduced compared with those in the control
group. CONCLUSION: A loss of corneal sensitivity may contribute to the
development of PED and corneal erosion, whereas reduced tear secretion may be a
contributing factor for SPK. Both results indicate the importance of corneal
sensory innervation to the maintenance of corneal integrity.
PMID- 22071675
TI - Limited, fast magnetic resonance imaging as an alternative for preoperative
evaluation of pectus excavatum: a feasibility study.
AB - OBJECTIVE: The purpose of this study was to determine the reliability,
feasibility, and image quality of a limited, fast magnetic resonance imaging
(MRI) protocol for preoperative evaluation of pectus excavatum in a pediatric
population referred for presurgical imaging. MATERIALS AND METHODS: A total of 47
patients, median age 14 years, referred for preoperative imaging of pectus
excavatum, underwent axial balanced steady-state free precession MRI of the
chest, with a limited patient charge. Two pediatric radiologists independently
conducted a blinded retrospective study. The Haller and asymmetry indices were
calculated at the level of greatest anterior-posterior chest narrowing. In
addition, right heart compression and image quality were subjectively assessed,
and scan duration was determined. RESULTS: Intraclass correlation coefficient
reliability was between 0.85 and 0.98, indicating almost perfect agreement for
quantitative measurements. Subjective evaluation of right heart compression and
image quality showed moderate interreader agreement. Image quality was graded as
good or excellent by both readers for all studies. No difference in the Haller
index was observed between modalities in 3 patients on both computed tomographic
scan and MRI (P = 0.2697). The median scan duration was 8 minutes. CONCLUSIONS:
Limited MRI is a reliable and cost-effective alternative for preoperative
assessment of pectus excavatum. It is fast, free of ionizing radiation, and there
is excellent interreader reliability for measurements of chest wall deformity.
PMID- 22071676
TI - Angiomyolipomas of the mediastinum and the lung.
AB - Angiomyolipomas are benign neoplasms composed of various tissues, including
components of fat, abnormal blood vessels, and smooth muscle cells. They most
commonly occur in the kidney, but on rare occasions they occur in extrarenal
sites. We present a case of angiomyolipomas in the mediastinum and lung, possibly
associated with lymphangioleiomyomatosis and tuberous sclerosis complex.
PMID- 22071677
TI - High-resolution computed tomography findings in a case of severe leptospira
infection (Weil disease) complicated with Jarisch-Herxheimer reaction.
AB - In this report, we describe a case of Weil disease. Chest x-ray and computed
tomography (CT) findings showed temporary deterioration 1 day after the
initiation of antibiotic treatment, and high-resolution CT findings with the
patient's physical findings made us suspect pulmonary alveolar hemorrhage (PAH).
We believed that the PAH had been induced by Weil disease and subsequently caused
Jarisch-Herxheimer reaction. We confirmed the patient's contact history with
mice, and symptoms improved immediately after starting appropriate treatments.
Leptospirosis is a relatively rare cause of PAH. Therefore, the possibility of
this disease should be included in the differential diagnosis, especially when
high-resolution CT findings indicate PAH, and the imaging findings deteriorate
rapidly after antibiotic therapy.
PMID- 22071678
TI - Witnessed pulmonary vein arrest-endoscopically observed conversion of atrial
fibrillation into sinus rhythm by laser balloon ablation.
AB - The endoscopic laser balloon ablation system affords a unique view of the beating
heart for visual guidance in pulmonary vein (PV) isolation. A 66-year-old patient
was admitted for catheter ablation of atrial fibrillation (AF). While encircling
the left superior PV, AF terminated into sinus rhythm, which was diagnosed by
observing sudden regularization of previously rapidly fibrillating atrial tissue
demonstrating the unique endoscopic video function.
PMID- 22071679
TI - Aneurysmatic disease in patients with Takayasu disease: a case review.
AB - Takayasu disease is a non-specific inflammatory disease of the arterial system.
Although various etiopathogenetic hypotheses have been formulated, its etiology
remains unknown. The aorta and its main branches are predominantly involved in
the disease, which is a form of panarteritis, starting with inflammation of the
adventitia followed by involvement of the media and intima. It has been more
frequently described in young oriental female patients. However, a worldwide
distribution is being recognized. Arterial stenosis represents the most
frequently diagnosed manifestation. Progression of the flogistic process may lead
to stenosis of the aorta and supraaortic vessels, compromising arterial
circulation to the brain and upper limbs. Aneurysm presentation may also rarely
occur. Based on a recently treated case, the authors report on the clinical
presentation, concomitant inflammatory diseases, current diagnostic methods, and
management of this disease.
PMID- 22071680
TI - Modeling electrical conductivities of nanocomposites with aligned carbon
nanotubes.
AB - We have developed an improved three-dimensional (3D) percolation model to
investigate the effect of the alignment of carbon nanotubes (CNTs) on the
electrical conductivity of nanocomposites. In this model, both intrinsic and
contact resistances are considered, and a new method of resistor network
recognition that employs periodically connective paths is developed. This method
leads to a reduction in the size effect of the representative cuboid in our Monte
Carlo simulations. With this new technique, we were able to effectively analyze
the effects of the CNT alignment upon the electrical conductivity of
nanocomposites. Our model predicted that the peak value of the conductivity
occurs for partially aligned rather than perfectly aligned CNTs. It has also
identified the value of the peak and the corresponding alignment for different
volume fractions of CNTs. Our model works well for both multi-wall CNTs (MWCNTs)
and single-wall CNTs (SWCNTs), and the numerical results show a quantitative
agreement with existing experimental observations.
PMID- 22071682
TI - Hypofractionated radiation therapy in the treatment of early-stage breast cancer.
AB - Hypofractionated radiation refers to the use of fewer, larger-dose radiation
treatments that are usually given over a shorter time period compared to
conventional radiation fraction sizes. Randomized trials of hypofractionated
whole breast irradiation (WBI) have demonstrated comparable outcomes as
conventional fractionation. For a higher-risk population for local recurrence, a
phase 3 trial by the Radiation Therapy Oncology Group (RTOG) is currently
studying hypofractionated WBI with a concurrent tumor bed boost over 3 weeks.
Accelerated partial breast irradiation limits radiation to the region of the
tumor bed for 1-3 weeks and is the subject of an ongoing randomized trial by the
National Surgical Breast and Bowel Project and RTOG. Questions remain for
hypofractionation about optimal patient selection, radiation techniques, and the
risk of late toxicity. But results from current trials could make
hypofractionation more widely accepted for patients with early-stage breast
cancer.
PMID- 22071681
TI - Management of brain metastasis: past lessons, modern management, and future
considerations.
AB - Brain metastasis is a major challenge for patients, physicians, and the broader
health care system, with approximately 170,000 new cases per year. After a
diagnosis of brain metastasis, patients have a poor prognosis, but modern
management has made significant advances in the past two decades to improve
palliative efficacy and patient survival through a multidisciplinary approach. A
number of factors must be taken into consideration in the treatment approach,
including the number of intracranial lesions, the control of extracranial
disease, and the patient's overall health, while weighing the benefits of
treatment against the toxicities, both acute and chronic. With quality of life as
an emphasis, emerging concepts for modern management of brain metastasis have
sought to minimize long-term toxicities. The economic impact of such strategies
for patients and the health care system has been demonstrated in some studies,
but has not been a consistent area of focus. Each of these strategies, as well as
novel therapeutics, has embraced the concept of personalized treatment. This
review will discuss the current knowledge of modern multidisciplinary management
of brain metastasis and look forward to emerging concepts.
PMID- 22071683
TI - An intracranial event-related potential study on transformational apparent
motion. Does its neural processing differ from real motion?
AB - How the brain processes visual stimuli has been extensively studied using scalp
surface electrodes and magnetic resonance imaging. Using these and other methods,
complex gratings have been shown to activate the ventral visual stream, whereas
moving stimuli preferentially activate the dorsal stream. In the current study, a
first experiment assessed brain activations evoked by complex gratings using
intracranial electroencephalography in 10 epileptic patients implanted with
subdural electrodes. These stimuli of intermediate levels of complexity were
presented in such a way that transformational apparent motion (TAM) was
perceived. Responses from both the ventral and the dorsal pathways were obtained.
The response characteristics of visual area 4 and the fusiform cortex were of
similar amplitudes, suggesting that both ventral areas are recruited for the
processing of complex gratings. On the other hand, TAM-induced responses of
dorsal pathway areas were relatively noisier and of lower amplitudes, suggesting
that TAM does not activate motion-specific structures to the same extent as does
real motion. To test this hypothesis, we examined the activity evoked by TAM in
comparison to the one produced by real motion in a patient implanted with the
same subdural electrodes. Findings demonstrated that neural response to real
motion was much stronger than that evoked by TAM, in both the primary visual
cortex (V1) and other motion-sensitive areas within the dorsal pathway. These
results support the conclusion that apparent motion, even if perceptually similar
to real motion, is not processed in a similar manner.
PMID- 22071684
TI - Static prehension of a horizontally oriented object in three dimensions.
AB - We studied static prehension of a horizontally oriented object. Specific
hypotheses were explored addressing such issues as the sharing patterns of the
total moment of force across the digits, presence of mechanically unnecessary
digit forces, and trade-off between multi-digit synergies at the two levels of
the assumed control hierarchy. Within the assumed hierarchy, at the upper level,
the task is shared between the thumb and virtual finger (an imagined finger
producing a wrench equal to the sum of the wrenches of individual fingers). At
the lower level, action of the virtual finger is shared among the four actual
fingers. The subjects held statically a horizontally oriented handle instrumented
with six-component force/torque sensors with different loads and torques acting
about the long axis of the handle. The thumb acted from above while the four
fingers supported the weight of the object. When the external torque was zero,
the thumb produced mechanically unnecessary force of about 2.8 N, which did not
depend on the external load magnitude. When the external torque was not zero,
tangential forces produced over 80% of the total moment of force. The normal
forces by the middle and ring fingers produced consistent moments against the
external torque, while the normal forces of the index and little fingers did not.
Force and moment variables at both hierarchical levels were stabilized by
covaried across trials adjustments of forces/moments produced by individual
digits with the exception of the normal force analyzed at the lower level of the
hierarchy. There was a trade-off between synergy indices computed at the two
levels of the hierarchy for the three components of the total force vector, but
not for the moment of force components. Overall, the results have shown that task
mechanics are only one factor that defines forces produced by individual digits.
Other factors, such as loading sensory receptors may lead to mechanically
unnecessary forces. There seems to be no single rule (for example, ensuring
similar safety margin values) that would describe sharing of the normal and
tangential forces and be valid across tasks. Fingers that are traditionally
viewed as less accurate (e.g., the ring finger) may perform more consistently in
certain tasks. The observations of the trade-off between the synergy indices
computed at two levels for the force variables but not for the moment of force
variables suggest that the degree of redundancy (the number of excessive
elemental variables) at the higher level is an important factor.
PMID- 22071686
TI - Nucleation reaction dynamics of Pt nanoparticles observed by the heterodyne
transient grating method.
AB - The nucleation reaction dynamics of platinum nanoparticles in the photoreduction
process of H(2)Pt(IV)Cl(6) solution were investigated by the heterodyne transient
grating (HD-TG) method. The formation mechanism of platinum nanoparticles was
considered, supported by information obtained from UV/VIS absorption spectroscopy
during the reaction and SEM images of the generated nanoparticles. In particular,
the roles of poly(N-vinyl-2-pyrrolidone) (PVP) as a protective polymer and
ethanol as a solvent were studied. The chemical species involved in the reaction
can be identified from the diffusion coefficients obtained from HD-TG
measurements; the species observed by UV pulse irradiation were assigned to
H(2)Pt(IV)Cl(6) as a reactant species and H(2)Pt(II)Cl(4) and Pt nuclei as
product species. It was observed that the amounts of the reactant and product
species increased, and many homogeneous nanoparticles were generated, by an
increase in PVP concentration. The addition of ethanol to the solvent showed a
larger effect on the enhancement of the reduction of H(2)Pt(IV)Cl(6) than that of
PVP; however, it did not lead to Pt nuclei formation in the order of seconds.
Nevertheless, because nanoparticle formation was confirmed by UV/VIS absorption
spectroscopy and SEM images, the formation of nanoparticles following nuclei
formation must have proceeded via a slow reaction. Therefore, nucleation and
nanoparticle formation are considered to occur on a longer time scale than 10 s
in water/ethanol solvent.
PMID- 22071685
TI - Suppression of proprioceptive feedback control in movement sequences through
intermediate targets.
AB - Simple movements can be seen as building blocks for complex action sequences, and
neural control of an action sequence can be expected to preserve some control
features of its constituent blocks. It was previously found that during single
joint elbow movements to a single target, the proprioceptive feedback control is
initially suppressed, and we tested this feedback suppression in a two-segment
sequence during which subjects momentarily slowed down at an intermediate target
at a 30 degrees distance (first segment) and then immediately moved another 30
degrees to the final target (second segment). Either the first or second segment
was unexpectedly perturbed; the latency of the earliest response to the
perturbation in the muscle surface electromyogram was analyzed. The perturbations
were delivered either at the onset of each segment or about 0.1 s later. We found
that in both segments, the response latency to the late perturbation was shorter
than the latency to the early perturbation, which suggests that the
proprioceptive feedback control is suppressed in the beginning of each segment.
Next, we determined the latency of the response to unexpected perturbations in 30
degrees movements to a single target. We found that the response latency was not
significantly different in the movement to a single target and in each segment in
the sequence. This result suggests that the initial suppression of the
proprioceptive feedback control in movements to single targets is preserved in
movements through intermediate targets and supports the idea of modular
organization of neural control of movement sequences.
PMID- 22071687
TI - Exhaustive oxidation of a nickel dithiolate complex: some mechanistic insights en
route to sulfate formation.
AB - A study of the step-wise oxidation of a Ni(II) diaminodithiolate complex through
the formation of sulfate, the ultimate sulfur oxygenate, is reported. Controlled
oxygenations or peroxidations of a neutral, planar, tetracoordinate, low-spin
Ni(II) complex of a N(2)S(2)-donor ligand, (N,N'-dimethyl-N-N'-bis(2
mecaptoethyl)-1,3-propanediaminato) nickel(ii) (1), led to a series of sulfur
oxygenates that have been isolated and characterized by ESI-MS and single-crystal
X-ray diffraction. A monosulfenate complex (2) was detected by ESI-MS as a
product of oxidation with one equivalent of H(2)O(2). However, this complex
proved too unstable to isolate. Reaction of the dithiolate (1) with two
equivalents of H(2)O(2) or one O(2) molecule leads to the formation of a
monosulfinate complex (3), which was isolated and fully characterized by
crystallography. The oxidation product of the monosulfinate (3) produced with
either O(2) or H(2)O(2) is an interesting dimeric complex containing both
sulfonate and thiolate ligands (4), this complex was fully characterized by
crystallography, details of which were reported earlier by us. A disulfonate
complex (7) is produced by reaction of 1 in the presence of O(2) or by reaction
with exactly six equivalents of H(2)O(2). This complex was isolated and also
fully characterized by crystallography. Possible intermediates in the conversion
of the monosulfinate complex (3) to the disulfonate complex (7) include complexes
with mixed sulfonate/sulfenate (5) or sulfonate/sulfinate (6) ligands. Complex 5,
a four-oxygen adduct of 1, was not detected, but the sulfonate/sulfinate complex
(6) was isolated and characterized. The oxidation chemistry of 1 is very
different from that reported for other planar cis-N(2)S(2) Ni(ii) complexes
including N,N'-dimethyl-N-N'-bis(2-mecaptoethyl)-1,3-ethylenediaminato)
nickel(II), (8), and N,N'-bis(mercaptoethyl)-1,5-diazacyclooctane nickel(II). To
address the structural aspects of the reactivity differences, the crystal
structure of 8 was also determined. A comparison of the structures of planar
Ni(II) complexes containing cis-dithiolate ligands, strongly suggests that the
differences in reactivity are determined in part by the degree of flexibility
that is allowed by the NN' chelate ring.
PMID- 22071688
TI - Assessment of quality of life and depression in spouses of patients with
ankylosing spondylitis.
AB - The objective of this study is to investigate the quality of life and the rates
of depression in spouses/partners of patients with AS compared with
spouses/partners of healthy controls". Twenty-five persons with AS and their 25
spouses (21 women and 4 men) and 25 healthy controls were recruited
consecutively. All the subjects completed 36-item Short Form Health Survey (SF
36) questionnaire forms and 17-item Hamilton Depression Rating Scale (HAM-D17).
Mean age was 35 +/- 6.47 years in spouse group (SG) and 36.26 +/- 5.93 in control
group (CG). In SG and CG, the SF-36 subscale scores were compared using Mann
Whitney U test. Social functioning, mental health, emotional role, and general
health were significantly (P < 0.05) lower in SG compared with CG. The average
score of social functioning was found to be 65.41 in spouses of patients compared
with healthy controls (90.75). Depression scores were significantly (P < 0.001)
higher in SG compared with CG. Among SF-36 subgroups in spouses, general health
perception had a negatively significant correlation with depression scores (P <
0.05) and duration of ankylosing spondylitis (P < 0.05). A positively significant
correlation has been identified between bodily pain and depression scores in
spouses (P < 0.05). Therefore, female partners of male patients were found to be
more depressive. Being a spouse of a patient with AS significantly interferes
with quality of life and increases the depression frequency.
PMID- 22071689
TI - Hysterical paralysis after spinal surgery.
AB - This letter is to report and discuss two cases of psychogenic paralysis after
spinal surgery in order to increase the vigilance and assist in the diagnosis and
treatment of this uncommon disorder. The medical records for two middle-aged men
who presented complete loss of extremities function after spinal surgery were
reviewed retrospectively. None had a history of a previous hysterical seizure.
Both of the patients' symptoms and signs differ from the correct anatomic pattern
of a neurological deficit. Both of them spontaneously recovered and discharged
from the hospital uneventful eventually. The orthopedic surgeons must recognize
hysterical paralysis to avoid unnecessary surgery. A heightened awareness of a
nonanatomic functional deficit on physical examination could help to reduce
frustration and delay in diagnosis associated with this disorder. Rapid recovery
should be expected, but the surgeon should administrate proper treatment.
PMID- 22071690
TI - Function of the origin recognition complex 1 (ORC1) outside DNA replication in
Drosophila.
AB - The origin recognition complex (ORC) is an essential component of the pre
replicative complex (pre-RC) that binds to replication origins for licensing.
Levels of the largest ORC subunit, ORC1, oscillate during the mitotic cell cycle
and regulate origin usage. In Drosophila, ORC1 levels increase at the G(1)/S
transition following E2F-dependent transcriptional activation, remain high until
the end of M phase and then decrease at the M/G(1) transition when ORC1 is
targeted for proteolysis by the anaphase-promoting complex (APC). A function, if
any, for Drosophila ORC1 after S phase has not been described. Here, we
determined the role of ORC1 at stages outside S phase by generating ORC1
derivatives with a modified ORC1 degradation box (the O-box) and examining the
effects in vivo. These modifications either stabilized ORC1 by mutating the O-box
(ORC1(Omut)) so that it is no longer targeted by APC or changed its degradation
profile by replacing the O-box with the D-box of human cyclin B (ORC1(O->D)), so
that degradation would occur earlier. We determined the distribution and tested
the function of these ORC1 derivatives in an orc1 mutant background so that only
the mutated protein was expressed. Stable version of ORC1, ORC1 (Omut), showed no
effects on cell cycle progression; however, ORC1(O->D), which is degraded early
at the G(2)/M transition, led to a higher frequency of M-phase cells but not S
phase cells. Taken together, our results indicate the timing of ORC1 degradation
is required for timely progression in M phase.
PMID- 22071692
TI - Phosphorylation of von Hippel-Lindau protein by checkpoint kinase 2 regulates p53
transactivation.
AB - von-Hippel Lindau protein (pVHL) suppresses tumorigenesis in the kidney, in part
through regulation of hypoxia-inducible factor alpha (HIF alpha). However, HIF
has been proposed to be necessary but insufficient for renal tumorigenesis. p53
was implicated as a transcription factor that is regulated by pVHL, but the
molecular mechanism by which pVHL regulates p53 on DNA damage is unknown. We
demonstrated that checkpoint kinase-2 (Chk2) binds to the beta-domain of pVHL and
phosphorylates Ser 111 on DNA damage. Notably, this modification enhances pVHL
mediated transactivation of p53 by recruiting p300 and Tip60 to the chromatin of
p53 target gene. Further, the naturally occurring pVHL mutants pVHL-S111R and
pVHL-S111C showed diminished binding to coactivators, ultimately retarding p53
mediated growth arrest and apoptosis. In this study, we determined the molecular
mechanism by which pVHL transactivates p53 on DNA damage and demonstrated that
p53-related pVHL subtype mutants regulate tumorigenecity in VHL diseases.
PMID- 22071693
TI - Nuclear import of a lipid-modified transcription factor: mobilization of NFAT5
isoform a by osmotic stress.
AB - Lipid-modified transcription factors (TFs) are biomolecular oddities since their
reduced mobility and membrane attachment appear to contradict nuclear import
required for their gene-regulatory function. NFAT5 isoform a (selected from an in
silico screen for predicted lipid-modified TFs) is shown to contribute about half
of all endogenous expression of human NFAT5 isoforms in the isotonic state. Wild
type NFAT5a protein is indeed myristoylated and palmitoylated on its transport to
the plasmalemma via the endoplasmic reticulum and the Golgi. In contrast, its
lipid anchor-deficient mutants as well as isoforms NFAT5b/c are diffusely
localized in the cytoplasm without preference to vesicular structures.
Quantitative/live microscopy shows the plasmamembrane-bound fraction of NFAT5a
moving into the nucleus upon osmotic stress despite the lipid anchoring. The
mobilization mechanism is not based on proteolytic processing of the lipid
anchored N-terminus but appears to involve reversible palmitoylation. Thus,
NFAT5a is an example of TFs immobilized with lipid anchors at cyotoplasmic
membranes in the resting state and that, nevertheless, can translocate into the
nucleus upon signal induction.
PMID- 22071694
TI - Cyclin B1 interacts with the BH3-only protein Bim and mediates its
phosphorylation by Cdk1 during mitosis.
AB - Protracted mitotic arrest leads to cell death; however, the molecular signals
that link these distinct processes remain poorly understood. Here we report that
the pro-apoptotic BH3-only family member Bim undergoes phosphorylation in K562
cells following treatment with the microtubule targeting agents Taxol and
Nocodazole. The phosphorylation of two Bim isoforms, BimEL and BimL, at the
mitochondria correlates with mitotic arrest and precedes cell death induced by
Taxol. It was also found that Bim undergoes transient phosphorylation during
normal mitosis in K562 cells. In addition, siRNA silencing of Bim reduces
sensitivity to Taxol-induced cell death. The transition of K562 cells from
mitosis to G1 results in the loss of BimEL and BimL phosphorylation and
correlates with the degradation of cyclin B1. The Cdk1 inhibitors, RO-3306 and
Purvalanol A, block Bim phosphorylation in mitotically arrested cells.
Importantly, it was found that cyclin B1 co-immunoprecipitates with endogenous
Bim in mitotic extracts. Furthermore, active recombinant Cdk1/cyclin B1
phosphorylates BimEL and BimL in vitro and Serine 44 on BimL has been identified
as a Cdk1 phosphorylation site. Collectively, these results suggest that
Cdk1/cyclin B1-dependent hyper-phosphorylation of Bim during prolonged mitotic
arrest is an important cell death signal.
PMID- 22071691
TI - Phospho-DeltaNp63alpha/miR-885-3p axis in tumor cell life and cell death upon
cisplatin exposure.
AB - The cisplatin-induced ATM-dependent phosphorylated (p)-DeltaNp63alpha plays an
important role in transcriptional regulation of specific genes encoding mRNAs and
microRNAs (miRs) implicated in cell death, cell survival, and chemoresistance.
The p-DeltaNp63alpha-induced miR-885-3p functions as a critical regulator of
MDM4, ATK1, BCL2, ATG16L2, ULK2, CASP2, and CASP3 mRNAs via pairing with their
respective 'recognition' sequences. Cisplatin exposure modulated the levels of
target proteins (reduced BCL2, AKT1, ATG16L2, and ULK2, while activated MDM4) in
cisplatin-sensitive wild type DeltaNp63alpha cells leading to distinct changes in
cell viability. Finally, miR-885-3p modulated the cisplatin-induced TP53
dependent mitochondrial apoptosis by up regulation of MDM4 levels and down
regulation of BCL2 levels in mitochondria. Altogether, our results support the
notion that miR-885-3p might contribute in regulation of cell viability,
apoptosis and/or autophagy in squamous cell carcinoma cells upon cisplatin
exposure.
PMID- 22071695
TI - Mitotic chromosome size scaling in Xenopus.
AB - As rapid divisions without growth generate progressively smaller cells within an
embryo, mitotic chromosomes must also decrease in size to permit their proper
segregation, but this scaling phenomenon is poorly understood. We demonstrated
previously that nuclear and spindle size scale between egg extracts of the
related frog species Xenopus tropicalis and Xenopus laevis, but show here that
dimensions of isolated mitotic sperm chromosomes do not differ. This is
consistent with the hypothesis that chromosome scaling does not occur in early
embryonic development when cell and spindles sizes are large and anaphase B
segregates chromosomes long distances. To recapitulate chromosome scaling during
development, we combined nuclei isolated from different stage Xenopus laevis
embryos with metaphase-arrested egg extracts. Mitotic chromosomes derived from
nuclei of cleaving embryos through the blastula stage were similar in size to
replicated sperm chromosomes, but decreased in area approximately 50% by the
neurula stage, reproducing the trend in size changes observed in fixed embryos.
Allowing G2 nuclei to swell in interphase prior to mitotic condensation did not
increase mitotic chromosome size, but progression through a full cell cycle in
egg extract did, suggesting that epigenetic mechanisms determining chromosome
size can be altered during DNA replication. Comparison of different sized mitotic
chromosomes assembled in vitro provides a tractable system to elucidate
underlying molecular mechanisms.
PMID- 22071696
TI - Pharmacology of the new treatments for lower gastrointestinal motility disorders
and irritable bowel syndrome.
PMID- 22071697
TI - NANOG priming before full reprogramming may generate germ cell tumours.
AB - Reprogramming somatic cells into a pluripotent state brings patient-tailored,
ethical controversy-free cellular therapy closer to reality. However, stem cells
and cancer cells share many common characteristics; therefore, it is crucial to
be able to discriminate between them. We generated two induced pluripotent stem
cell (iPSC) lines, with NANOG pre-transduction followed by OCT3/4, SOX2, and
LIN28 overexpression. One of the cell lines, CHiPS W, showed normal pluripotent
stem cell characteristics, while the other, CHiPS A, though expressing
pluripotency markers, failed to differentiate and gave rise to germ cell-like
tumours in vivo. Comparative genomic hybridisation analysis of the generated iPS
lines revealed that they were genetically more stable than human embryonic stem
cell counterparts. This analysis proved to be predictive for the differentiation
potential of analysed cells. Moreover, the CHiPS A line expressed a lower ratio
of p53/p21 when compared to CHiPS W. NANOG pre-induction followed by OCT3/4,
SOX2, MYC, and KLF4 induction resulted in the same tumour-inducing phenotype.
These results underline the importance of a re-examination of the role of NANOG
during reprogramming. Moreover, this reprogramming method may provide insights
into primordial cell tumour formation and cancer stem cell transformation.
PMID- 22071698
TI - Transplantatation of scaffold-free spheroids composed of synovium-derived cells
and chondrocytes for the treatment of cartilage defects of the knee.
AB - Autologous chondrocyte implantation (ACI) is the treatment of choice for
osteoarthritis. However, to regenerate articular cartilage using this method, the
procedure paradoxically demands that the cell source of the articular
chondrocytes (ACs) for ex vivo expansion be from the patient's own healthy
cartilage, which can result in donor site morbidity. Accordingly, it is essential
to develop a substitute for AC. In the present study, we investigated whether
synovium-derived cells (SYs) could be used as a partial replacement for ACs in
ACI. ACs and SYs from the knees of rabbits were isolated and cultured, and the
growth rates of the cells were compared. To manufacture the cellular transplants,
we developed a high-density suspension-shaking culture method (HDSS), which
circulates the cells in culture media, promoting self-assembly of scaffold-free
cellular aggregates. ACs and SYs were mixed in various ratios using HDSS.
Injectable cellular transplants were harvested and transplanted into full
thickness osteochondral defects. Simultaneously, histological evaluations were
conducted with toluidine blue and safranin O, and immunohistochemistry of
collagen type I and II was conducted. Gene expression to evaluate chondrocyte
specific differentiation was also performed. We successfully prepared a large
quantity of spheroids (spheroidal cell aggregates) in a short time using mixed
ACs and SYs, for all cellular composition ratios. Our data showed that the
minimal therapeutic unit for the transplants contributed to in situ regeneration
of cartilage. In summary, SYs can be used as a replacement for ACs in clinical
cases of ACI in patients with broad areas of osteoarthritic lesions.
PMID- 22071699
TI - Continuous glucose monitoring to assess the ecologic validity of dietary glycemic
index and glycemic load.
AB - BACKGROUND: The circumstances under which the glycemic index (GI) and glycemic
load (GL) are derived do not reflect real-world eating behavior. Thus, the
ecologic validity of these constructs is incompletely known. OBJECTIVE: This
study examined the relation of dietary intake to glycemic response when foods are
consumed under free-living conditions. DESIGN: Participants were 26 overweight or
obese adults with type 2 diabetes who participated in a randomized trial of
lifestyle modification. The current study includes baseline data, before
initiation of the intervention. Participants wore a continuous glucose monitor
and simultaneously kept a food diary for 3 d. The dietary variables included GI,
GL, and intakes of energy, fat, protein, carbohydrate, sugars, and fiber. The
glycemic response variables included AUC, mean and SD of continuous glucose
monitoring (CGM) values, percentage of CGM values in euglycemic and hyperglycemic
ranges, and mean amplitude of glycemic excursions. Relations between daily
dietary intake and glycemic outcomes were examined. RESULTS: Data were available
from 41 d of monitoring. Partial correlations, controlled for energy intake,
indicated that GI or GL was significantly associated with each glycemic response
outcome. In multivariate analyses, dietary GI accounted for 10% to 18% of the
variance in each glycemic variable, independent of energy and carbohydrate
intakes (P < 0.01). CONCLUSIONS: The data support the ecologic validity of the GI
and GL constructs in free-living obese adults with type 2 diabetes. GI was the
strongest and most consistent independent predictor of glycemic stability and
variability.
PMID- 22071700
TI - Palm oil and LDL cholesterol.
PMID- 22071701
TI - Effects of iron supplementation on serum hepcidin and serum erythropoietin in low
birth-weight infants.
AB - BACKGROUND: The iron-regulatory hormone hepcidin has not been studied in infants,
who experience large physiologic changes in iron status. OBJECTIVE: The objective
was to study hepcidin and erythropoietin and their correlation with iron status
in iron-replete and iron-deficient low-birth-weight (LBW) infants-a group at
particular risk of iron deficiency (ID). DESIGN: We randomly assigned 285
otherwise healthy LBW infants to receive, from 6 wk to 6 mo of age, 3 doses of
iron supplements: 0 (placebo), 1, or 2 mg/kg daily. Hepcidin, erythropoietin,
hemoglobin, and variables of iron status were analyzed. RESULTS: Serum hepcidin
did not change over time in the placebo group, despite a rapid decrease in serum
ferritin. In iron-supplemented infants, hepcidin increased significantly,
reaching a mean (+/-SD) concentration of 19.2 +/- 2.5 ng/mL in the 2-mg/kg group
compared with 13.0 +/- 2.6 ng/mL in the placebo group at age 6 mo (P < 0.001).
The difference was even larger between iron-deficient and iron-replete infants.
Hepcidin was independently positively correlated with ferritin at all ages and
was negatively correlated with the transferrin receptor concentration at age 6 wk
and with transferrin at age 6 mo. Erythropoietin was initially similar between
groups but decreased significantly in iron-supplemented infants. In addition to
being negatively correlated with hemoglobin, it was also independently negatively
correlated with indicators of iron status. CONCLUSIONS: Hepcidin is closely
associated with iron status and may be a useful indicator of iron stores and ID
in infants. Erythropoietin is negatively correlated with iron status, which
suggests a feedback mechanism that needs further study. This trial is registered
at clinicaltrials.gov as NCT00558454.
PMID- 22071702
TI - Prospective associations between appetitive traits and weight gain in infancy.
AB - BACKGROUND: Differences in appetitive traits such as food-cue or satiety
responsiveness have been hypothesized to contribute to variability in weight
gain. However, existing data were largely cross-sectional and could not exclude
the possibility that differences in appetitive traits were consequences of
differences in weight. OBJECTIVE: We tested whether prospective associations
between appetitive traits and subsequent weight were stronger than associations
between weight and subsequent appetitive traits. DESIGN: Data were from Gemini,
which is a population-based cohort of 2402 families with twins. Parents completed
a Baby Eating Behavior Questionnaire to assess 4 appetitive traits for each twin
at ages 3 and 15 mo. We obtained infant weights at 3, 9, and 15 mo from records
of health professionals. Weight SD scores were calculated by using UK 1990
reference data. A path analysis was used to examine prospective associations in
each direction over sequential 6-mo intervals and over the same 12-mo period,
with the significance of differences between the 2 paths established with
bootstrapping. RESULTS: Path analyses included 2213 infants. For each appetitive
trait, the path to subsequent weight (standardized coefficients: 0.17-0.33) was
significantly larger than the path from weight to subsequent appetite
(coefficients: 0.07-0.13). Results were confirmed when both associations were
analyzed by using changes from 3 to 15 mo. CONCLUSION: Longitudinal analyses
showed that associations between appetitive traits and subsequent weight were
stronger than between weight and subsequent appetite, which supports the idea
that differences in appetitive traits, in conjunction with environmental
opportunities to overeat, influence weight gain in early childhood.
PMID- 22071703
TI - Nutrient requirements to optimize neonatal growth.
PMID- 22071704
TI - Mediterranean-style diet and risk of ischemic stroke, myocardial infarction, and
vascular death: the Northern Manhattan Study.
AB - BACKGROUND: A dietary pattern common in regions near the Mediterranean appears to
reduce risk of all-cause mortality and ischemic heart disease. Data on blacks and
Hispanics in the United States are lacking, and to our knowledge only one study
has examined a Mediterranean-style diet (MeDi) in relation to stroke. OBJECTIVE:
In this study, we examined an MeDi in relation to vascular events. DESIGN: The
Northern Manhattan Study is a population-based cohort to determine stroke
incidence and risk factors (mean +/- SD age of participants: 69 +/- 10 y; 64%
women; 55% Hispanic, 21% white, and 24% black). Diet was assessed at baseline by
using a food-frequency questionnaire in 2568 participants. A higher score on a 0
9 scale represented increased adherence to an MeDi. The relation between the MeDi
score and risk of ischemic stroke, myocardial infarction (MI), and vascular death
was assessed with Cox models, with control for sociodemographic and vascular risk
factors. RESULTS: The MeDi-score distribution was as follows: 0-2 (14%), 3 (17%),
4 (22%), 5 (22%), and 6-9 (25%). Over a mean follow-up of 9 y, 518 vascular
events accrued (171 ischemic strokes, 133 MIs, and 314 vascular deaths). The MeDi
score was inversely associated with risk of the composite outcome of ischemic
stroke, MI, or vascular death (P-trend = 0.04) and with vascular death
specifically (P-trend = 0.02). Moderate and high MeDi scores were marginally
associated with decreased risk of MI. There was no association with ischemic
stroke. CONCLUSIONS: Higher consumption of an MeDi was associated with decreased
risk of vascular events. Results support the role of a diet rich in fruit,
vegetables, whole grains, fish, and olive oil in the promotion of ideal
cardiovascular health.
PMID- 22071705
TI - Intake of fruit, vegetables, and carotenoids in relation to risk of uterine
leiomyomata.
AB - BACKGROUND: US black women have higher rates of uterine leiomyomata (UL) and
lower intakes of fruit and vegetables than do white women. Whether fruit and
vegetable intake is associated with UL in black women has not been studied.
OBJECTIVE: We assessed the association of dietary intake of fruit, vegetables,
carotenoids, folate, fiber, and vitamins A, C, and E with UL in the Black Women's
Health Study. DESIGN: In this prospective cohort study, we followed 22,583
premenopausal women for incident UL (1997-2009). Diet was estimated by using food
frequency questionnaires in 1995 and 2001. Cox regression was used to derive
incidence rate ratios (IRRs) and 95% CIs for the association between each dietary
variable (in quintiles) and UL. RESULTS: There were 6627 incident cases of UL
diagnosed by ultrasonography (n = 4346) or surgery (n = 2281). Fruit and
vegetable intake was inversely associated with UL (>=4 compared with <1
serving/d; IRR: 0.90; 95% CI: 0.82, 0.98; P-trend = 0.03). The association was
stronger for fruit (>=2 servings/d compared with <2 servings/wk; IRR: 0.89; 95%
CI: 0.81, 0.98; P-trend = 0.07) than for vegetables (>=2 servings/d compared with
<4 servings/wk: IRR: 0.97; 95% CI: 0.89, 1.05; P-trend = 0.51). Citrus fruit
intake was inversely associated with UL (>=3 servings/wk compared with <1
serving/mo: IRR: 0.92; 95% CI: 0.86, 1.00; P-trend = 0.01). The inverse
association for dietary vitamin A (upper compared with lower quintiles: IRR:
0.89; 95% CI: 0.83, 0.97; P-trend = 0.01) appeared to be driven by preformed
vitamin A (animal sources), not provitamin A (fruit and vegetable sources). UL
was not materially associated with dietary intake of vitamins C and E, folate,
fiber, or any of the carotenoids, including lycopene. CONCLUSION: These data
suggest a reduced risk of UL among women with a greater dietary intake of fruit
and preformed vitamin A.
PMID- 22071706
TI - The relation of dietary choline to cognitive performance and white-matter
hyperintensity in the Framingham Offspring Cohort.
AB - BACKGROUND: Choline is the precursor to the neurotransmitter acetylcholine. Loss
of cholinergic neurons is associated with impaired cognitive function,
particularly memory loss and Alzheimer disease (AD). Brain atrophy and white
matter hyperintensity (WMH) are also associated with impaired cognitive function
and AD. OBJECTIVE: The objective was to determine whether a relation exists
between dietary choline intake, cognitive function, and brain morphology in a
large, nondemented community-based cohort. DESIGN: A dementia-free cohort of 1391
subjects (744 women, 647 men; age range: 36-83 y; mean +/- SD age: 60.9 +/- 9.29
y) from the Framingham Offspring population completed a food-frequency
questionnaire administered from 1991 to 1995 (exam 5; remote intake) and from
1998 to 2001 (exam 7; concurrent intake). Participants underwent
neuropsychological evaluation and brain MRI at exam 7. Four neuropsychological
factors were constructed: verbal memory (VM), visual memory (VsM), verbal
learning, and executive function. MRI measures included WMH volume (WMHV).
RESULTS: Performance on the VM and VsM factors was better with higher concurrent
choline intake in multivariable-adjusted models for VM (average change in
neuropsychological factor per 1-unit change in choline = 0.60; 95% CI: 0.29,
0.91; P < 0.01) and VsM (0.66; 95% CI: 0.19, 1.13; P < 0.01). Remote choline
intake was inversely related to log-transformed WMHV (average change in log WMHV
per 1-unit change in choline = -0.05; 95% CI: -0.10, -0.01; P = 0.02).
Furthermore, an inverse association was observed between remote higher choline
intake and presence of large WMVH (OR: 0.56; 95% CI: 0.34, 0.92; P = 0.01).
CONCLUSION: In this community-based population of nondemented individuals, higher
concurrent choline intake was related to better cognitive performance, whereas
higher remote choline intake was associated with little to no WMHV.
PMID- 22071707
TI - Biomarker-calibrated dietary energy and protein intake associations with diabetes
risk among postmenopausal women from the Women's Health Initiative.
AB - BACKGROUND: Self-report of dietary energy and protein intakes has been shown to
be systematically and differentially underreported. OBJECTIVE: We assessed and
compared the association of diabetes among postmenopausal women with biomarker
calibrated and uncalibrated dietary energy and protein intakes from food
frequency questionnaires (FFQs). DESIGN: The analyses were performed for 74,155
participants of various race-ethnicities from the Women's Health Initiative.
Uncalibrated and calibrated energy and protein intakes from FFQs were assessed
for associations with incident diabetes by using HR estimates based on Cox
regression. RESULTS: A 20% increment in uncalibrated energy consumption was
associated with increased diabetes risk (HR) of 1.03 (95% CI: 1.01, 1.05), 2.41
(95% CI: 2.06, 2.82) with biomarker calibration, and 1.30 (95% CI: 0.96, 1.76)
after adjustment for BMI. A 20% increment in uncalibrated protein (g/d) resulted
in an HR of 1.05 (95% CI: 1.03, 1.07), 1.82 (95% CI: 1.56, 2.12) with
calibration, and 1.16 (95% CI: 1.05, 1.28) with adjustment for BMI. A 20%
increment in uncalibrated protein density (% of energy from protein) resulted in
an HR of 1.13 (95% CI: 1.09, 1.17), 1.01 (95% CI: 0.75, 1.37) with calibration,
and 1.19 (95% CI: 1.07, 1.32) with adjustment for BMI. CONCLUSIONS: Higher
protein and total energy intakes (calibrated) appear to be associated with a
substantially increased diabetes risk that may be mediated by an increase in body
mass over time. Diet-disease associations without correction of self-reported
measurement error should be viewed with caution. This trial is registered at
clinicaltrials.gov as NCT00000611.
PMID- 22071708
TI - Effect of fatty acid status in cord blood serum on children's behavioral
difficulties at 10 y of age: results from the LISAplus Study.
AB - BACKGROUND: Little is known about the effect of fatty acid (FA) concentrations in
cord blood on long-term behavioral outcomes. OBJECTIVE: We assessed the effect of
FAs in cord blood serum on children's behavioral difficulties at the age of 10 y.
DESIGN: A longitudinal study of 416 children from the population-based Influences
of Lifestyle-Related Factors on the Immune System and the Development of
Allergies in Childhood (LISAplus) birth cohort from Munich was conducted.
Individual glycerophospholipid FAs in blood were analyzed in venous cord blood.
Data on children's behavior were collected with a parent-reported Strength and
Difficulties Questionnaire at 10 y of age. Zero-inflated Poisson regression
models were applied and adjusted for sex, parental income, smoking during
pregnancy, and dietary intake of arachidonic acid (AA) and DHA at 10 y. RESULTS:
A 1% increase in DHA in cord blood serum was found to decrease total difficulties
by (exp)beta(adj) = 0.93 (SE = 0.02, P < 0.0001) and hyperactivity or inattention
by (exp)beta(adj) = 0.94 (SE = 0.03, P < 0.04). Higher long-chain (LC) PUFA
concentrations in cord blood serum were associated with fewer emotional symptoms
[(exp)beta(adj) = 0.95, SE = 0.03, P = 0.01], and similarly higher AA
concentrations were associated with fewer emotional symptoms [(exp)beta(adj) =
0.94, SE = 0.03, P = 0.03]. CONCLUSION: Increased concentrations of DHA, LC
PUFAs, and AA in cord blood serum were associated with lower scores on a parent
completed behavioral screen. An appropriate FA supply to the developing fetus may
be essential for optimal long-term behavioral outcomes in children.
PMID- 22071709
TI - The role of leptin in human lipid and glucose metabolism: the effects of acute
recombinant human leptin infusion in young healthy males.
AB - BACKGROUND: Obese and lean humans treated with leptin have not experienced
convincing weight-loss results compared with the dramatic weight losses observed
in obese rodents. OBJECTIVE: We sought to investigate the effect of acutely
elevating leptin to concentrations observed in obese individuals on muscle and
adipose tissue metabolism and muscle signaling in healthy lean males. DESIGN:
Healthy, lean, postabsorptive males were infused with either recombinant human
leptin (rhleptin; n = 8) or saline (control; n = 8) for 4 h, which elicited
leptin concentrations of ~ 20 and ~ 1 ng/mL, respectively. Systemic, skeletal
muscle, and adipose tissue fat and glucose metabolism in vivo were assessed
before, during, and 2 h after cessation of the infusion. Skeletal muscle biopsy
specimens were obtained to quantify changes in signal transducers and activators
of transcription-5'AMP-activated protein kinase (STAT-AMPK) signaling. RESULTS:
During the infusion of rhleptin, no differences in either systemic, skeletal
muscle, or adipose tissue glucose or fat metabolism were observed. These
observations were made despite increased activation of STAT (~ 17-fold) and AMPK
(1.43-fold) after 1 h of rhleptin infusion. After the rhleptin infusion, an
increase in systemic palmitate and fat oxidation was observed (P < 0.0003), which
likely was caused by a concomitant increase in skeletal muscle palmitate
oxidation (P < 0.02). This was observed despite lowered leptin concentrations and
basal skeletal muscle STAT-AMPK signaling. CONCLUSIONS: Elevating circulating
leptin concentrations to concentrations comparable with those of obese
individuals increases human in vivo skeletal muscle signaling through the AMPK
pathway and causes an increase in skeletal muscle fatty acid oxidation. Abdominal
adipose tissue was unaffected by the acute physiologic increase in leptin
concentrations.
PMID- 22071710
TI - Maternal vitamin A and beta-carotene supplementation and risk of bacterial
vaginosis: a randomized controlled trial in rural Bangladesh.
AB - BACKGROUND: Bacterial vaginosis (BV) in pregnancy is linked to preterm birth, but
its risk factors are not well understood. Micronutrient deficiencies may be
associated with an increased risk of this condition. OBJECTIVE: We assessed the
effect of weekly vitamin A or beta-carotene supplementation during pregnancy
until 3 mo postpartum on BV risk in rural northeastern Bangladesh. DESIGN: In
this cluster-randomized, placebo-controlled trial, 33 clusters (n = 33) were
randomly assigned to 3 groups. Women (n = 1812) were examined for BV by using
self-administered swabs and the Nugent scoring method in early pregnancy, at 32
wk of gestation, and at 3 mo postpartum. RESULTS: The prevalence of BV in early
pregnancy, before supplementation, was 7.6% (95% CI: 6.3%, 9.1%) overall. Neither
the prevalence nor the incidence of BV in the third trimester differed by
supplement group. However, the prevalence (OR: 0.71; 95% CI: 0.52, 0.98) and
incidence (RR: 0.58; 95% CI: 0.41, 0.81) of BV at 3 mo postpartum was lower among
women in the vitamin A group (9.1% and 6.7%, respectively) than in the placebo
group (12.4% and 11.8%, respectively), but not in the beta-carotene group. Both
vitamin A and beta-carotene reduced the prevalence and incidence of BV at both
time points (ie, third trimester and 3 mo postpartum) by 30-40% compared with
placebo (all P < 0.05). CONCLUSIONS: Weekly vitamin A supplementation reduced the
risk of maternal BV in this rural Bangladeshi population. Enhancement of vitamin
A status before and during pregnancy may reduce the risk of BV in areas with
vitamin A deficiency. This trial is registered at clinicaltrials.gov as
NCT00198822.
PMID- 22071711
TI - Palm olein increases plasma cholesterol moderately compared with olive oil in
healthy individuals.
AB - BACKGROUND: Despite the high content of palmitic acid, palm olein has been shown
to have a neutral effect on plasma cholesterol concentrations when compared with
olive oil, which is suggested to be attributable to palmitic acid in the sn-1 and
sn-3 position. In contrast, palmitic acid is in the sn-2 position in lard.
OBJECTIVE: The objective was to investigate the effects of a diet rich in palm
olein, fractionated palm oil, olive oil, and lard on plasma blood lipids,
inflammatory markers, glucose, and insulin. DESIGN: A controlled double-blinded,
randomized 3 * 3 wk crossover dietary intervention study included 32 healthy men
who daily replaced part of their habitual dietary fat intake with ~ 17% of energy
from palm olein, olive oil, or lard, respectively. RESULTS: Compared with intake
of olive oil, palm olein and lard increased total cholesterol and LDL cholesterol
(P < 0.0001). Palm olein resulted in a lower plasma triacylglycerol concentration
than did olive oil (P < 0.01). No difference in effects was observed in plasma
HDL-cholesterol, high-sensitivity C-reactive protein, plasminogen activator-1,
insulin, and glucose concentrations. CONCLUSIONS: The current study did not
support the previous finding that the effect of palm olein on total plasma
cholesterol and LDL cholesterol in healthy individuals with normal plasma
cholesterol concentrations is neutral compared with that of olive oil. Thus, sn
positioning was not confirmed to be important with regard to the effect on plasma
cholesterol. The relatively lower plasma triacylglycerol concentration after the
palm olein diet than after the olive oil diet was unexpected. This trial is
registered at clinicaltrials.gov as NCT00743301.
PMID- 22071712
TI - Soy intake is associated with lower lung cancer risk: results from a meta
analysis of epidemiologic studies.
AB - BACKGROUND: Although several in vitro and animal in vivo studies have suggested
that soy or soy isoflavones may exert inhibitory effects on lung carcinogenesis,
epidemiologic studies have reported inconclusive results on the association
between soy intake and lung cancer. OBJECTIVE: The aim of this meta-analysis was
to investigate whether an association exists between soy and lung cancer in
epidemiologic studies. DESIGN: We searched PubMed, EMBASE, and the Cochrane
Library from their inception to February 2011 for both case-control and cohort
studies that assessed soy consumption and lung cancer risk. Study-specific risk
estimates were combined by using fixed-effect or random-effect models. RESULTS: A
total of 11 epidemiologic studies that consisted of 8 case-control and 3
prospective cohort studies were included. A significantly inverse association was
shown between soy intake and lung cancer with an overall RR of 0.77 (95% CI:
0.65, 0.92). Findings were slightly different when analyses were restricted to 5
high-quality studies (RR: 0.70; 95% CI: 0.45, 0.99). In a subgroup meta-analysis,
a statistically significant protective effect of soy consumption was observed in
women (RR: 0.79; 95% CI: 0.67, 0.93), never smokers (RR: 0.62; 95% CI: 0.51,
0.76), and Asian populations (RR: 0.86; 95% CI: 0.74, 0.98). CONCLUSIONS: Our
findings indicate that the consumption of soy food is associated with lower lung
cancer risk. Because of different methods used to assess soy consumption across
studies, more well-designed cohort studies or intervention studies that use
unified measures of soy intake are needed to fully characterize such an
association.
PMID- 22071713
TI - Changes in genetic and environmental effects on growth during infancy.
AB - BACKGROUND: Accelerated infant growth is a possible explanation for the relation
between birth weight and adult diseases. OBJECTIVE: The aim of this study was to
estimate the heritability of infant growth and to examine whether the genetic
contribution changes with increasing or decreasing birth weight and gestational
age. DESIGN: Growth (change in weight z score) was analyzed in 522 infants from
the East Flanders Prospective Twin Survey for age windows of 0-1, 1-6, 6-12, and
12-24 mo. Structural equation modeling was performed to estimate the relative
importance of additive genetic, shared environmental, and unique environmental
sources of variance. RESULTS: We showed no genetic contribution to growth in the
0-1-mo growth period. However, at later ages, the heritability of growth was high
at 94% (95% CI: 90%, 96%) from 1 to 6 mo, 85% (95% CI: 80%, 89%) from 6 to 12 mo,
and 86% (95% CI: 77%, 91%) in the 12-24-mo growth period. Nevertheless, in the
last age window, a model without genetic factors was also statistically
plausible. From 0 to 1 mo, the genetic contribution to growth was low in the
average birth weight range but higher at both extremes of birth weight. The
genetic contribution from 0 to 1 mo increased with increasing gestational age
from 36 wk of gestation onward. CONCLUSIONS: This study shows that genetic
factors are not important in early infant growth (0-1 mo), whereas heritability
is high after 1 mo. Because many (nutritional) interventions are aimed at
influencing early postnatal growth, to target long-term health, these
interventions may be most successful if implemented in the first month of
postnatal growth.
PMID- 22071714
TI - Low-grade adipose tissue inflammation in patients with mild-to-moderate chronic
obstructive pulmonary disease.
AB - BACKGROUND: Low-grade systemic inflammation is common in chronic obstructive
pulmonary disease (COPD), but its source remains unclear. Adipose tissue is a
potent producer of inflammatory mediators and may contribute to systemic
inflammation in COPD, possibly via hypoxia. OBJECTIVE: We studied the influence
of COPD and exercise-induced oxygen desaturation on adipose tissue inflammation
(ATI) and its contribution to systemic inflammation. DESIGN: Subcutaneous adipose
tissue biopsies were investigated in 28 clinically stable COPD patients [forced
expiratory volume in 1 s: 58 +/- 16% predicted; BMI (in kg/m(2)): 24.9 +/- 2.9]
and 15 age-, sex-, and body composition-matched healthy control subjects. Fat
mass was measured with dual-energy X-ray absorptiometry. Patients were
prestratified by oxygen desaturation assessed by incremental cycle ergometry. The
adipocyte size and adipose tissue expression of 19 inflammatory and hypoxia
related genes were measured, and adipose tissue macrophages (ATMs) were
histologically quantified. Systemic inflammatory markers included C-reactive
protein (CRP) and a panel of 20 adipokines. RESULTS: COPD patients had comparable
fat mass but higher CRP and HOMA-IR than did control subjects. COPD patients and
control subjects had comparable adipose tissue gene expression, adipocyte size,
ATM infiltration, and systemic adipokine concentrations. Desaturating COPD
patients had no different ATI status than did nondesaturating COPD patients. COPD
patients with high CRP had significantly greater ATM infiltration than did
patients with low CRP, which was independent of BMI and fat mass. CONCLUSIONS: In
COPD patients, mild-to-moderate COPD, per se, does not enhance ATI or its
contribution to systemic inflammation compared with in well-matched healthy
control subjects. However, to our knowledge, our study provides a first
indication for a possible role of ATMs in the systemic inflammatory response in
COPD that requires additional investigation. This trial was registered at
www.trialregister.nl as NTR1402.
PMID- 22071715
TI - Adolescent and mid-life diet: risk of colorectal cancer in the NIH-AARP Diet and
Health Study.
AB - BACKGROUND: Colorectal cancer has a natural history of several decades;
therefore, the diet consumed decades before diagnosis may aid in understanding
this malignancy. OBJECTIVE: The objective was to investigate diet during
adolescence and 10 y before baseline (ages 40-61 y) in relation to colorectal
cancer. DESIGN: Participants in the NIH-AARP Diet and Health Study (n = 292,797)
completed a 124-item food-frequency questionnaire (FFQ) about diet in the past 12
mo and two 37-item FFQs about diet at ages 12-13 y and 10 y previously. Cox
regression was used to estimate multivariate HRs and 95% CIs for colon (n = 2794)
and rectal (n = 979) cancers within quintiles of exposures. RESULTS: Colon cancer
risk was lower in the highest than in the lowest quintile of vitamin A (HR: 0.82;
95% CI: 0.72, 0.92) and vegetable (HR: 0.81, 0.70, 0.92) intakes during
adolescence. Those in the highest intake category 10 y previously for calcium
(HR: 0.83; 95% CI: 0.73, 0.94), vitamin A (HR: 0.81; 95% CI: 0.71, 0.92), vitamin
C (HR: 0.83; 95% CI: 0.72, 0.95), fruit (HR: 0.84; 95% CI: 0.73, 0.97), and milk
(HR: 0.78; 95% CI: 0.67, 0.90) had a lower risk of colon cancer, but a higher
risk was observed for total fat (HR: 1.15; 95% CI: 1.01, 1.30), red meat (HR:
1.31; 95% CI: 1.12, 1.53), and processed meat (HR: 1.24; 95% CI: 1.06, 1.45). For
rectal cancer, milk was inversely associated (HR: 0.75; 95% CI: 0.58, 0.96) with
risk. CONCLUSION: Adolescent and midlife diet may play a role in colorectal
carcinogenesis.
PMID- 22071716
TI - Surgical repair of scrolled descemet's membrane detachment with intracameral
injection of 1.8% sodium hyaluronate.
AB - We report the case of a 76-year-old woman with scrolled Descemet's membrane
detachment (DMD) that was successfully treated by an intracameral injection of
1.8% sodium hyaluronate. During phacoemulsification cataract surgery, an
undulating DMD involving the central cornea was observed and air tamponade into
the anterior chamber was performed. The patient underwent surgical repair 2 weeks
after the unsuccessful intracameral air injection. To increase the tamponade
pressure and surface tension on the scrolled flap, viscoelastic material was
injected into the air-filled anterior chamber. Corneal edema started subsiding
clinically after the injection of sodium hyaluronate. Descemetopexy with sodium
hyaluronate can successfully repair scrolled DMD and injection of viscoelastic
material into the air-filled anterior chamber facilitates unfolding of the
scrolled flap of DMD.
PMID- 22071717
TI - Improving sexual risk communication with adolescents using event history
calendars.
AB - This study was conducted to explore the effects of an event history calendar
(EHC) approach on adolescent sexual risk communication and sexual activity.
Adolescent school-linked health clinic patients (n = 30) who reported sexual
activity self-administered the EHC that was used by nurse practitioners (NPs; n =
2) during a clinic visit. Immediately pre- and post-visit, and at 1 and 3 months,
adolescents reported sexual risk behaviors and perceptions about EHC
communication on questionnaires and by interview. NPs reported their perceptions
of EHCs by questionnaire after the visit and poststudy interview. The EHC
approach facilitated communication and adolescent awareness of their risk
behaviors. Scores increased on Amount of Communication, t(29) = 8.174, p < .001;
Satisfaction with Communication, t(29) = 3.112, p = .004; Client Involvement in
Decision Making, t(29) = 3.901, p = .001, and Client Satisfaction with
Interpersonal Style, t(29) = 3.763, p = .001. Adolescents reported decreased
sexual intercourse at 1 month, p = .031. School nurses could use the EHC approach
to facilitate adolescent communication and tailoring of interventions.
PMID- 22071718
TI - Effect of surface pressurization on the growth of alpha-Fe2O3 nanostructures.
AB - By suitably pressurizing iron substrates under different conditions, the
resulting alpha-Fe(2)O(3) nanostructures, formed by its direct thermal oxidation,
can gradually change in succession from nanowires to nanoleaves and to
micropillars as the pressure is increased. The inter-relation between the
pressure conditions and the resulting nanostructure is studied by density
functional calculations using ultrasoft pseudopotentials with a plane-wave basis
method and with the generalized gradient approximation (GGA). It is shown that
the shape of the formed nanostructures is primarily determined by the anisotropic
activation energy and, as the latter is lowered, there is a shape change from
wire to pillar. A simulation model of diffusion using the Monte Carlo method is
applied in the 3-D (dimensional) case to show how the anisotropic activation
energy influences the growth process of the alpha-Fe(2)O(3) nanostructure. The
present study provides a way to control the shape of the nanostructures grown by
the thermal-oxidation method.
PMID- 22071719
TI - Land use and wetland spatial position jointly determine amphibian parasite
communities.
AB - Land use change is one of the most commonly cited contributing factors to
infectious disease emergence, yet the mechanisms responsible for such changes and
the spatial scales at which they operate are rarely identified. The distributions
of parasites with complex life cycles depend on interactions between multiple
host species, suggesting the net effects of land use on infection patterns may be
difficult to predict a priori. Here, we used an information-theoretic approach to
evaluate the importance of land use and spatial scale (local, watershed, and
regional) in determining the presence and abundance of multi-host trematodes of
amphibians. Among 40 wetlands and 160 hosts sampled, trematode abundance, species
richness, and the presence and abundance of pathogenic species were strongly
influenced by variables at the watershed and regional scales. Based on model
averaging results, overall parasite richness and abundance were higher in
forested wetlands than in agricultural areas; however, this pattern was
influenced by a wetland's proximity to the Mississippi Flyway at the regional
scale. These patterns likely reflect the activity of trematode definitive hosts,
such as mammals and especially birds, such that infections decreased with
increasing distance from the Mississippi River. Interestingly, despite lower mean
infections, agricultural wetlands had higher variances and maximum infections. At
the wetland scale, phosphorus concentrations and the abundances of intermediate
hosts, such as snails and larval amphibians, positively affected parasite
distributions. Taken together, these results contribute to our understanding of
how altered landscapes affect parasite communities and inform further research on
the environmental drivers of amphibian parasite infections.
PMID- 22071721
TI - Innovative vision.
PMID- 22071720
TI - Phylogeny, life history, and ecology contribute to differences in amphibian
susceptibility to ranaviruses.
AB - Research that identifies the potential host range of generalist pathogens as well
as variation in host susceptibility is critical for understanding and predicting
the dynamics of infectious diseases within ecological communities. Ranaviruses
have been linked to amphibian die-off events worldwide with the greatest number
of reported mortality events occurring in the United States. While reports of
ranavirus-associated mortality events continue to accumulate, few data exist
comparing the relative susceptibility of different species. Using a series of
laboratory exposure experiments and comparative phylogenetics, we compared the
susceptibilities of 19 amphibian species from two salamander families and five
anurans families for two ranavirus isolates: frog virus 3 (FV3) and an FV3-like
isolate from an American bullfrog culture facility. We discovered that
ranaviruses were capable of infecting 17 of the 19 larval amphibian species
tested with mortality ranging from 0 to 100%. Phylogenetic comparative methods
demonstrated that species within the anuran family Ranidae were generally more
susceptible to ranavirus infection compared to species from the other five
families. We also found that susceptibility to infection was associated with
species that breed in semi-permanent ponds, develop rapidly as larvae, and have
limited range sizes. Collectively, these results suggest that phylogeny, life
history characteristics, and habitat associations of amphibians have the
potential to impact susceptibility to ranaviruses.
PMID- 22071722
TI - Academic freedom.
PMID- 22071723
TI - Hubble cleared.
PMID- 22071724
TI - The road to fraud starts with a single step.
PMID- 22071738
TI - Time is running out for the leap second.
PMID- 22071737
TI - Fresh dispute about MMR 'fraud'.
PMID- 22071739
TI - Palestinian membership puts UN projects at risk.
PMID- 22071740
TI - A struggle for power.
PMID- 22071742
TI - Aid organizations tap into social-science expertise.
PMID- 22071743
TI - The pollinator crisis: What's best for bees.
PMID- 22071744
TI - Seth Stein: The quake killer.
PMID- 22071745
TI - Lost in translation: Mystery of the missing text solved.
PMID- 22071750
TI - Tropical forests: Still vital when degraded.
PMID- 22071751
TI - Nobels: Fundamental biology misses out.
PMID- 22071752
TI - Nobels: Maintaining Israel's record.
PMID- 22071753
TI - Nobels: Toll pioneers deserve recognition.
PMID- 22071754
TI - Tropical forests: Include Congo basin.
PMID- 22071755
TI - Tropical forests: Try holistic conservation.
PMID- 22071756
TI - Scientists as capitalists: More than one fund for US entrepreneurs.
PMID- 22071757
TI - HPV vaccination: Clarifying the use of 'prepubescent'.
PMID- 22071758
TI - Evolutionary biology: The path to sociality.
PMID- 22071759
TI - Planetary science: Ancient lunar dynamo.
PMID- 22071760
TI - Animal behaviour: Why promiscuity pays.
PMID- 22071762
TI - Ageing: Old cells under attack.
PMID- 22071763
TI - Nanotechnology: A molecular four-wheel drive.
PMID- 22071764
TI - Two types of luminescence blinking revealed by spectroelectrochemistry of single
quantum dots.
AB - Photoluminescence blinking--random switching between states of high (ON) and low
(OFF) emissivities--is a universal property of molecular emitters found in dyes,
polymers, biological molecules and artificial nanostructures such as nanocrystal
quantum dots, carbon nanotubes and nanowires. For the past 15 years, colloidal
nanocrystals have been used as a model system to study this phenomenon. The
occurrence of OFF periods in nanocrystal emission has been commonly attributed to
the presence of an additional charge, which leads to photoluminescence quenching
by non-radiative recombination (the Auger mechanism). However, this 'charging'
model was recently challenged in several reports. Here we report time-resolved
photoluminescence studies of individual nanocrystal quantum dots performed while
electrochemically controlling the degree of their charging, with the goal of
clarifying the role of charging in blinking. We find that two distinct types of
blinking are possible: conventional (A-type) blinking due to charging and
discharging of the nanocrystal core, in which lower photoluminescence intensities
correlate with shorter photoluminescence lifetimes; and a second sort (B-type),
in which large changes in the emission intensity are not accompanied by
significant changes in emission dynamics. We attribute B-type blinking to charge
fluctuations in the electron-accepting surface sites. When unoccupied, these
sites intercept 'hot' electrons before they relax into emitting core states. Both
blinking mechanisms can be electrochemically controlled and completely suppressed
by application of an appropriate potential.
PMID- 22071765
TI - Electrically driven directional motion of a four-wheeled molecule on a metal
surface.
AB - Propelling single molecules in a controlled manner along an unmodified surface
remains extremely challenging because it requires molecules that can use light,
chemical or electrical energy to modulate their interaction with the surface in a
way that generates motion. Nature's motor proteins have mastered the art of
converting conformational changes into directed motion, and have inspired the
design of artificial systems such as DNA walkers and light- and redox-driven
molecular motors. But although controlled movement of single molecules along a
surface has been reported, the molecules in these examples act as passive
elements that either diffuse along a preferential direction with equal
probability for forward and backward movement or are dragged by an STM tip. Here
we present a molecule with four functional units--our previously reported rotary
motors--that undergo continuous and defined conformational changes upon
sequential electronic and vibrational excitation. Scanning tunnelling microscopy
confirms that activation of the conformational changes of the rotors through
inelastic electron tunnelling propels the molecule unidirectionally across a
Cu(111) surface. The system can be adapted to follow either linear or random
surface trajectories or to remain stationary, by tuning the chirality of the
individual motor units. Our design provides a starting point for the exploration
of more sophisticated molecular mechanical systems with directionally controlled
motion.
PMID- 22071766
TI - A long-lived lunar dynamo driven by continuous mechanical stirring.
AB - Lunar rocks contain a record of an ancient magnetic field that seems to have
persisted for more than 400 million years and which has been attributed to a
lunar dynamo. Models of conventional dynamos driven by thermal or compositional
convection have had difficulty reproducing the existence and apparently long
duration of the lunar dynamo. Here we investigate an alternative mechanism of
dynamo generation: continuous mechanical stirring arising from the differential
motion, due to Earth-driven precession of the lunar spin axis, between the solid
silicate mantle and the liquid core beneath. We show that the fluid motions and
the power required to drive a dynamo operating continuously for more than one
billion years and generating a magnetic field that had an intensity of more than
one microtesla 4.2 billion years ago are readily obtained by mechanical stirring.
The magnetic field is predicted to decrease with time and to shut off naturally
when the Moon recedes far enough from Earth that the dissipated power is
insufficient to drive a dynamo; in our nominal model, this occurred at about 48
Earth radii (2.7 billion years ago). Thus, lunar palaeomagnetic measurements may
be able to constrain the poorly known early orbital evolution of the Moon. This
mechanism may also be applicable to dynamos in other bodies, such as large
asteroids.
PMID- 22071767
TI - An impact-driven dynamo for the early Moon.
AB - The origin of lunar magnetic anomalies remains unresolved after their discovery
more than four decades ago. A commonly invoked hypothesis is that the Moon might
once have possessed a thermally driven core dynamo, but this theory is
problematical given the small size of the core and the required surface magnetic
field strengths. An alternative hypothesis is that impact events might have
amplified ambient fields near the antipodes of the largest basins, but many
magnetic anomalies exist that are not associated with basin antipodes. Here we
propose a new model for magnetic field generation, in which dynamo action comes
from impact-induced changes in the Moon's rotation rate. Basin-forming impact
events are energetic enough to have unlocked the Moon from synchronous rotation,
and we demonstrate that the subsequent large-scale fluid flows in the core,
excited by the tidal distortion of the core-mantle boundary, could have powered a
lunar dynamo. Predicted surface magnetic field strengths are on the order of
several microteslas, consistent with palaeomagnetic measurements, and the
duration of these fields is sufficient to explain the central magnetic anomalies
associated with several large impact basins.
PMID- 22071770
TI - Antarctic accumulation seasonality.
AB - The resemblance of the orbitally filtered isotope signal from the past 340 kyr in
Antarctic ice cores to Northern Hemisphere summer insolation intensity has been
used to suggest that the northern hemisphere may drive orbital-scale global
climate changes. A recent Letter by Laepple et al. suggests that, contrary to
this interpretation, this semblance may instead be explained by weighting the
orbitally controlled Antarctic seasonal insolation cycle with a static (present
day) estimate of the seasonal cycle of accumulation. We suggest, however, that
both time variability in accumulation seasonality and alternative stable
seasonality can markedly alter the weighted insolation signal. This indicates
that, if the last 340 kyr of Antarctic accumulation has not always looked like
the estimate of precipitation and accumulation seasonality made by Laepple et
al., this particular accumulation weighting explanation of the Antarctic orbital
scale isotopic signal might not be robust.
PMID- 22071768
TI - Stepwise evolution of stable sociality in primates.
AB - Although much attention has been focused on explaining and describing the
diversity of social grouping patterns among primates, less effort has been
devoted to understanding the evolutionary history of social living. This is
partly because social behaviours do not fossilize, making it difficult to infer
changes over evolutionary time. However, primate social behaviour shows strong
evidence for phylogenetic inertia, permitting the use of Bayesian comparative
methods to infer changes in social behaviour through time, thereby allowing us to
evaluate alternative models of social evolution. Here we present a model of
primate social evolution, whereby sociality progresses from solitary foraging
individuals directly to large multi-male/multi-female aggregations (approximately
52 million years (Myr) ago), with pair-living (approximately 16 Myr ago) or
single-male harem systems (approximately 16 Myr ago) derivative from this second
stage. This model fits the data significantly better than the two widely accepted
alternatives (an unstructured model implied by the socioecological hypothesis or
a model that allows linear stepwise changes in social complexity through time).
We also find strong support for the co-evolution of social living with a change
from nocturnal to diurnal activity patterns, but not with sex-biased dispersal.
This supports suggestions that social living may arise because of increased
predation risk associated with diurnal activity. Sociality based on loose
aggregation is followed by a second shift to stable or bonded groups. This
structuring facilitates the evolution of cooperative behaviours and may provide
the scaffold for other distinctive anthropoid traits including coalition
formation, cooperative resource defence and large brains.
PMID- 22071772
TI - Redox imbalance of red blood cells impacts T lymphocyte homeostasis: implication
in carotid atherosclerosis.
AB - Oxidative stress and immune/inflammatory responses are key pathogenetic factors
of atherosclerotic disease. In this contest, mechanisms that regulate survival
and death of immune cells may be relevant. Previous studies have demonstrated
that red blood cells (RBCs) are physiologically able to inhibit apoptosis and to
promote proliferation of activated T lymphocytes from healthy subjects. The aim
of the present study was to evaluate whether RBCs from patients with carotid
atherosclerosis maintain their property to modulate T cell homeostasis.
Peripheral blood lymphocytes (PBLs) obtained from healthy subjects were activated
in vitro by phytohemagglutinin in the presence/absence of RBCs from patients
with carotid atherosclerosis or of in vitro oxidised RBCs from healthy
subjects. Levels of reactive oxygen species (ROS) and aging markers of RBCs as
well as susceptibility to apoptosis of PBLs were evaluated by flow cytometry. PBL
proliferation was evaluated by 3H-methyl-thymidine incorporation assay whereas
secretion of cytokines, analysed in view of their key role in T cell function,
was assessed by ELISA. Levels of ROS and phosphatidyl-serine externalisation, a
sign of RBC aging, resulted significantly higher in RBCs from patients than in
those from healthy subjects, whereas surface glycophorin A expression and reduced
glutathione content did the opposite. Unlike RBCs obtained from healthy subjects,
RBCs from patients and in vitro oxidised RBCs did not protect activated T
lymphocytes from apoptosis. Hence, RBCs from patients with carotid
atherosclerosis, probably due to their oxidative imbalance, impact T cell
integrity and function. Our results suggest a new regulatory role for RBCs in
atherosclerosis.
PMID- 22071773
TI - The application of statistical methods using VOCs to identify patients with lung
cancer.
AB - In this work, an attempt was made to determine a group of lung cancer biomarkers.
For this study, breath samples collected from 137 patients with confirmed lung
cancer were analyzed by the SPME-GC/MS method. As a reference group, exhaled air
from 143 healthy volunteers with different smoking habits (active smokers,
passive smokers and nonsmokers) was applied. Statistical methods such as
discriminant analysis (DA) and the CHAID model tree were used for data processing
and evaluation. In the breath of patients with lung cancer, increased
concentration of ethanol, acetone, butane, dimethyl sulfide, isoprene, propanal,
1-propanol, 2-pentanone, furan, o-xylene and ethylbenzene was observed in
comparison to healthy nonsmokers. Furthermore, pentanal, hexanal and nonane were
identified only in the breath of people who suffered from cancer. DA confirmed
the importance of these compounds and allowed us to identify patients with lung
cancer from healthy volunteers. In the exhaled air of healthy smokers (passive
and active), a higher concentration of acetonitrile, benzene and furan
derivatives was observed than in nonsmokers. DA revealed that in order to
recognize healthy volunteers with different smoking habits by breath analysis,
butyrolactone, carbon disulfide and dimethyl sulfide have to be considered.
PMID- 22071774
TI - Association of variants in BAT1-LTA-TNF-BTNL2 genes within 6p21.3 region show
graded risk to leprosy in unrelated cohorts of Indian population.
AB - Host immune response against Mycobacterium leprae plays an important role in
providing resistance to infection and disease progression. Genome-wide linkage
and association studies suggest the possibility of multiple risk loci within HLA
(6p21.3) region. Any systematic study of relevance within the histocompatibility
complex of importance in host immune response would be pertinent because of non
replication of the known loci and unavailable information on some of the
unexplored genes and regions. A systematic scan was performed of the selected
region involving LTA-TNF-LTB genes within 6p21.3 with a resolution of 1SNP/127
bp; and the SNPs in flanking BAT1, NFKBIL and BTNL2-DRA genes on the basis of
their tag status or their presence in promoter/exonic regions with MAF of >5%.
Nine SNPs located in BAT1, LTA, TNF genes and BTNL2-DRA interval showed strong
association with leprosy susceptibility in two independent sets of North Indian
population which was replicated in a geographically distinct East Indian
population. Conditional logistic regression showed at least one functional SNP
remaining significant in each gene, suggesting an independent role of each of the
disease associated SNPs. In vitro reporter assay revealed that two SNPs located
at BAT1 promoter and 13 kb upstream to LTA gene affected the transcription factor
binding site, hence the gene expression. We unravel the role of unexplored
immunologically important genes, BAT1 and BTNL2, in addition to known LTA and TNF
genes, and the haplotypes of the significantly associated SNPs therein, to
understand susceptibility to the disease, leprosy and its differential severity.
PMID- 22071775
TI - Comment on: Stenting versus aggressive medical therapy for intracranial arterial
stenosis : Chimowitz MI, Lynn MJ, Derdeyn CP, et al. N Engl J Med. 2011;365:993
1003.
PMID- 22071776
TI - Percutaneous stereotactic radiofrequency ablation of colorectal liver metastases.
AB - OBJECTIVES: To evaluate the outcome of patients with colorectal liver metastasis
(CRLM) treated with stereotactic radiofrequency ablation (SRFA). METHODS:
Following IRB approval, a retrospective evaluation of 98 SRFA treatment sessions
of 189 CRLMs in 63 consecutive patients was performed. Local recurrence rate
(LR), overall survival (OS) and disease-free survival (DFS) were analysed.
RESULTS: LR was identified in 16% of the tumours (31/189), with no significant
differences (P = 0.635) when comparing tumour sizes <3 cm (17.7%), 3-5 cm (11.1%)
and >5 cm (17.4%). The median OS from SRFA treatment was 33.2 months after a mean
follow-up of 25 months (range 2-66); the corresponding 1-, 3- and 5- year
survival rates were 87%, 44% and 27%. The median OS was significantly different
when comparing unresectable and resectable patients (27 vs. 58 months, P = 0.002)
with OS rates of 92%, 66% and 48% at 1, 3 and 5 years in resectable patients.
Tumour size did not affect OS and DFS. CONCLUSION: Due to the favourable outcome,
SRFA challenges resection as first-line local treatment of patients with CRLM. As
long as randomised studies are pending, we recommend entering an individual
decision-making process with every patient. KEY POINTS: Large colorectal liver
metastases can be effectively treated by stereotactic radiofrequency ablation
(SRFA). Using SRFA the overall survival is not affected by tumour size. SRFA
achieves similar overall and disease-free survival rates as surgical resection.
SRFA challenges surgical resection as the first-line treatment for colorectal
liver metastases.
PMID- 22071778
TI - Increase in perceived case suspiciousness due to local contrast optimisation in
digital screening mammography.
AB - OBJECTIVES: To determine the influence of local contrast optimisation on
diagnostic accuracy and perceived suspiciousness of digital screening mammograms.
METHODS: Data were collected from a screening region in the Netherlands and
consisted of 263 digital screening cases (153 recalled,110 normal). Each case was
available twice, once processed with a tissue equalisation (TE) algorithm and
once with local contrast optimisation (PV). All cases had digitised previous
mammograms. For both algorithms, the probability of malignancy of each finding
was scored independently by six screening radiologists. Perceived case
suspiciousness was defined as the highest probability of malignancy of all
findings of a radiologist within a case. Differences in diagnostic accuracy of
the processing algorithms were analysed by comparing the areas under the receiver
operating characteristic curves (A(z)). Differences in perceived case
suspiciousness were analysed using sign tests. RESULTS: There was no significant
difference in A(z) (TE: 0.909, PV 0.917, P = 0.46). For all radiologists,
perceived case suspiciousness using PV was higher than using TE more often than
vice versa (ratio: 1.14-2.12). This was significant (P <0.0083) for four
radiologists. CONCLUSIONS: Optimisation of local contrast by image processing may
increase perceived case suspiciousness, while diagnostic accuracy may remain
similar. KEY POINTS: Variations among different image processing algorithms for
digital screening mammography are large. Current algorithms still aim for optimal
local contrast with a low dynamic range. Although optimisation of contrast may
increase sensitivity, diagnostic accuracy is probably unchanged. Increased local
contrast may render both normal and abnormal structures more conspicuous.
PMID- 22071777
TI - Dynamic contrast-enhanced micro-CT on mice with mammary carcinoma for the
assessment of antiangiogenic therapy response.
AB - OBJECTIVE: To evaluate the potential of in vivo dynamic contrast-enhanced micro
computed tomography (DCE micro-CT) for the assessment of antiangiogenic drug
therapy response of mice with mammary carcinoma. METHODS: 20 female mice with
implanted MCF7 tumours were split into control group and therapy group treated
with a known effective antiangiogenic drug. All mice underwent DCE micro-CT for
the 3D analysis of functional parameters (relative blood volume [rBV], vascular
permeability [K], area under the time-enhancement curve [AUC]) and morphology.
All parameters were determined for total, peripheral and central tumour volumes
of interest (VOIs). Immunohistochemistry was performed to characterise tumour
vascularisation. 3D dose distributions were determined. RESULTS: The mean AUCs
were significantly lower in therapy with P values of 0.012, 0.007 and 0.023 for
total, peripheral and central tumour VOIs. K and rBV showed significant
differences for the peripheral (P(per)(K) = 0.032, P(per) (rBV) = 0.029), but not
for the total and central tumour VOIs (P(total)(K) = 0.108, P(central)(K) =
0.246, P(total) (rBV) = 0.093, P(central) (rBV) = 0.136). Mean tumour volume was
significantly smaller in therapy (P (in vivo) = 0.001, P (ex vivo) = 0.005).
Histology revealed greater vascularisation in the controls and central tumour
necrosis. Doses ranged from 150 to 300 mGy. CONCLUSIONS: This study indicates the
great potential of DCE micro-CT for early in vivo assessment of antiangiogenic
drug therapy response. KEY POINTS: Dynamic contrast enhanced micro-CT (computed
tomography) is a new experimental laboratory technique. DCE micro-CT allows early
in vivo assessment of antiangiogenic drug therapy response. Pharmaceutical drugs
can be tested before translation to clinical practice. Both morphological and
functional parameters can be obtained using DCE micro-CT. Antiangiogenic effects
can be visualised with DCE micro-CT.
PMID- 22071779
TI - Switching of +/- 360 degrees domain wall states in a nanoring by an azimuthal
Oersted field.
AB - We demonstrate magnetic switching between two 360 degrees domain wall vortex
states in cobalt nanorings, which are candidate magnetic states for robust and
low power magnetoresistive random access memory (MRAM) devices. These 360 degrees
domain wall (DW) or 'twisted onion' states can have clockwise or
counterclockwise circulation, the two states for data storage. Reliable switching
between the states is necessary for any realistic device. We accomplish this
switching by applying a circular Oersted field created by passing current through
a metal atomic force microscope tip placed at the center of the ring. After
initializing in an onion state, we rotate the DWs to one side of the ring by
passing a current through the center, and can switch between the two twisted
states by reversing the current, causing the DWs to split and meet again on the
opposite side of the ring. A larger current will annihilate the DWs and create a
perfect vortex state in the rings.
PMID- 22071781
TI - Lung adenocarcinomas with HER2-activating mutations are associated with distinct
clinical features and HER2/EGFR copy number gains.
AB - INTRODUCTION: A fraction of lung adenocarcinomas harbor activating mutations in
the HER2 kinase domain. HER2-targeted therapies have shown minimal benefit in
molecularly unselected patients. We investigated clinical and potential molecular
factors associated with HER2-mutant lung adenocarcinoma. METHODS: A total of 224
lung adenocarcinoma samples were examined for activating mutations in epidermal
growth factor receptor (EGFR; exons 18-22), V-Ki-ras2 Kirsten rat sarcoma (KRAS;
exons 2 and 3), and HER2 (exons 18-21) by direct sequencing. Gene copy number and
protein expression of both EGFR and HER2 were further explored in samples
harboring HER2 mutations using fluorescence in situ hybridization and
immunohistochemistry, respectively. RESULTS: The mutation rates of EGFR, KRAS,
HER2 were 63.39% (142/224), 4.46% (10/224), and 3.57% (8/224), respectively. All
mutations were mutually exclusive. All eight HER2 mutations occurred in never
smokers and seven were in women. The HER2 mutation rate in samples without EGFR
and KRAS mutations was 11.11% (8/72). Seven of eight HER2-mutated tumors showed
HER2 copy number gains (CNGs) and five showed EGFR CNGs. All of the HER2-mutated
samples showed either HER2 or EGFR CNGs. Gene amplification of HER2 and EGFR was
mutually exclusive in HER2-mutated samples. CONCLUSION: HER2 mutations in lung
adenocarcinoma predominantly occurred in women and never smokers. Most HER2
mutated tumors showed HER2 CNGs. As all of the samples with HER2 mutation showed
either HER2 or EGFR CNGs, these patients could potentially benefit from novel
EGFR/HER2 dual or pan-erythroblastic leukemia viral oncogene homolog tyrosine
kinase inhibitors.
PMID- 22071780
TI - Exhaled breath analysis with a colorimetric sensor array for the identification
and characterization of lung cancer.
AB - INTRODUCTION: The pattern of exhaled breath volatile organic compounds represents
a metabolic biosignature with the potential to identify and characterize lung
cancer. Breath biosignature-based classification of homogeneous subgroups of lung
cancer may be more accurate than a global breath signature. Combining breath
biosignatures with clinical risk factors may improve the accuracy of the
signature. OBJECTIVES: To develop an exhaled breath biosignature of lung cancer
using a colorimetric sensor array and to determine the accuracy of breath
biosignatures of lung cancer characteristics with and without the inclusion of
clinical risk factors. METHODS: The exhaled breath of 229 study subjects, 92 with
lung cancer and 137 controls, was drawn across a colorimetric sensor array.
Logistic prediction models were developed and statistically validated based on
the color changes of the sensor. Age, sex, smoking history, and chronic
obstructive pulmonary disease were incorporated in the prediction models.
RESULTS: The validated prediction model of the combined breath and clinical
biosignature was moderately accurate at distinguishing lung cancer from control
subjects (C-statistic 0.811). The accuracy improved when the model focused on
only one histology (C-statistic 0.825-0.890). Individuals with different
histologies could be accurately distinguished from one another (C-statistic 0.864
for adenocarcinoma versus squamous cell carcinoma). Moderate accuracies were
noted for validated breath biosignatures of stage and survival (C-statistic 0.785
and 0.693, respectively). CONCLUSIONS: A colorimetric sensor array is capable of
identifying exhaled breath biosignatures of lung cancer. The accuracy of breath
biosignatures can be optimized by evaluating specific histologies and
incorporating clinical risk factors.
PMID- 22071783
TI - Modest improvements of survival for patients with small cell lung cancer aged 45
to 59 years only, diagnosed in the Netherlands, 1989 to 2008.
AB - INTRODUCTION: Lung cancer was a major epidemic in the last decades; 10 to 15% of
lung cancer consists of small cell lung cancer (SCLC). Several changes in the
diagnostic and treatment procedures took place during the last 20 years. This
article focuses on trends in incidence, treatment, and survival of SCLC observed
since the 1990 s. METHODS: All cases with SCLC diagnosed in 1989 to 2009 in the
Netherlands were included (n = 34,100). Follow-up was complete until January 1,
2010. RESULTS: The proportion of patients with extensive disease increased from
47 to 63%. The proportion of patients with limited disease receiving
chemoradiation increased from 22% in 1989 to 2003 to 72% in 2004 to 2009 among
those younger than 45 to 59 years, from 15 to 58% among those aged 60 to 74
years, and from 7 to 27% among those 75 years or older. Among patients with
extensive disease, the proportion receiving chemotherapy remained stable over
time (84, 75, and almost 50% for the above mentioned age groups, respectively).
Significant improvements in 1-year relative survival occurred for patients aged
45 to 59 years, but not for the other age groups. Relative survival has
significantly increased for both stage groups. CONCLUSION: Improved staging
resulted in improved survival for both stage groups, whereas survival of the
total group has only significantly improved for patients aged 45 to 59 years. The
latter is possibly related with improved treatment strategies. As survival is
still very poor, prevention of lung cancer remains important.
PMID- 22071782
TI - Results of a multicentric in silico clinical trial (ROCOCO): comparing
radiotherapy with photons and protons for non-small cell lung cancer.
AB - INTRODUCTION: This multicentric in silico trial compares photon and proton
radiotherapy for non-small cell lung cancer patients. The hypothesis is that
proton radiotherapy decreases the dose and the volume of irradiated normal
tissues even when escalating to the maximum tolerable dose of one or more of the
organs at risk (OAR). METHODS: Twenty-five patients, stage IA-IIIB, were
prospectively included. On 4D F18-labeled fluorodeoxyglucose-positron emission
tomography-computed tomography scans, the gross tumor, clinical and planning
target volumes, and OAR were delineated. Three-dimensional conformal radiotherapy
(3DCRT) and intensity-modulated radiotherapy (IMRT) photon and passive scattered
conformal proton therapy (PSPT) plans were created to give 70 Gy to the tumor in
35 fractions. Dose (de-)escalation was performed by rescaling to the maximum
tolerable dose. RESULTS: Protons resulted in the lowest dose to the OAR, while
keeping the dose to the target at 70 Gy. The integral dose (ID) was higher for
3DCRT (59%) and IMRT (43%) than for PSPT. The mean lung dose reduced from 18.9 Gy
for 3DCRT and 16.4 Gy for IMRT to 13.5 Gy for PSPT. For 10 patients, escalation
to 87 Gy was possible for all 3 modalities. The mean lung dose and ID were 40 and
65% higher for photons than for protons, respectively. CONCLUSIONS: The treatment
planning results of the Radiation Oncology Collaborative Comparison trial show a
reduction of ID and the dose to the OAR when treating with protons instead of
photons, even with dose escalation. This shows that PSPT is able to give a high
tumor dose, while keeping the OAR dose lower than with the photon modalities.
PMID- 22071784
TI - Dual IHC and FISH testing for ALK gene rearrangement in lung adenocarcinomas in a
routine practice: a French study.
AB - INTRODUCTION: In 2011, the French National Cancer Institute recommended ALK
fluorescence in situ hybridization (FISH) testing in all EGFR/KRAS-negative
adenocarcinomas by all the hospital molecular genetics platforms of cancers;
however, this technique remains time and cost consuming and not suitable for a
large-scale screening, in contrast to immunohistochemistry (IHC). METHODS: To
evaluate IHC as a prescreening tool, 441 specimens, including small biopsies and
surgical specimens, were analyzed prospectively on the Grenoble molecular
genetics platform. EGFR and KRAS mutation analyses and ALK IHC, using the 5A4 mAb
on an automated staining module, were performed on all specimens; 100 were tested
by both ALK IHC and FISH (break-apart probe). RESULTS: Twenty-seven cases out of
441 were strongly positive (3+ intensity in more than 60% of cells) with ALK mAb,
two additional cases exhibited a faint staining (1+) in less than 30% of the
cells. Among the 100 cases analyzed by IHC and FISH, 19 were not interpretable by
FISH, but 21 were positive with both techniques. Sensitivity and specificity of
IHC when compared with FISH were 95 and 100%, respectively. Eleven patients were
included in crizotinib trials. Among the 352 analyzable specimens for mutations,
7% were EGFR and 29% were KRAS mutated. CONCLUSIONS: Our IHC protocol, using a
commercially available antibody and an amplification step on an automated
staining module, led to intense cytoplasmic staining in 6.5% of the
adenocarcinomas screened. Our results favor ALK IHC prescreening on a daily
routine on surgical specimens and on small biopsies before FISH testing.
PMID- 22071786
TI - DeltaNp63 (p40) and thyroid transcription factor-1 immunoreactivity on small
biopsies or cellblocks for typing non-small cell lung cancer: a novel two-hit,
sparing-material approach.
AB - INTRODUCTION: Diagnosing non-small cell lung cancer on biopsy/cellblock samples
by morphology may be demanding. As sparing material for molecular testing is
mandatory, a minimalist immunohistochemistry (IHC)-based diagnostic approach is
warranted by means of novel, reliable, and easy-to-assess biomarkers. METHODS:
Forty-six consecutive biopsy/cellblock samples and the corresponding resection
specimens (as the gold standard for morphology and IHC) from 30 adenocarcinomas
(AD), 10 squamous carcinomas (SQC), 5 adenosquamous carcinomas (ADSQC), and 1
sarcomatoid carcinoma (SC) were IHC-evaluated for p40 [corresponding to
nontransactivating DeltaNp63 isoforms] and thyroid transcription factor-1 (TTF1)
by semiquantitative assessment. For p40, also immunodecoration intensity was
taken into account and dichotomized as strong or low. RESULTS: Nonrandom and
overlapping distributions of the relevant markers were found in biopsy/cellblock
and surgical specimens, which closely correlated with each other and the diverse
tumor categories, with no differences in area under curve-receiver-operating
characteristic curves for each marker between any two samples, including p40 and
p63. Diagnostic combinations were p40-/TTF1+ or TTF1- for AD (where p40 was
negative, apart from 5/30 AD showing at the best 1-2% tumor cells with low
intensity); p40+/TTF1- (p40 strong and by far higher than 50%) for SQC; and
p40+/TTF1+ or p40+/TTF1- (p40 strong and less than 50%) for ADSQC. The single SC
case was p40-/TTF1-, suggesting glandular lineage. Practically, 41/46 (89%)
tumors were correctly classified by IHC on small samples, including 30 AD, 10
SQC, 1/5 ADSQC, and no SC. Underdiagnosis of ADSQC was actually because of
sampling error of biopsies/cellblocks rather than insufficient biomarker
robustness, whereas underdiagnosis of SC was really because of the failure of
either marker to highlight epithelial-mesenchymal transition. CONCLUSIONS: This
minimalist IHC-based model of p40 and TTF1 on biopsy/cellblock samples was
effective to correctly subtype most cases of lung cancer.
PMID- 22071785
TI - Phase I clinical study of the angiogenesis inhibitor TSU-68 combined with
carboplatin and paclitaxel in chemotherapy-naive patients with advanced non-small
cell lung cancer.
AB - INTRODUCTION: TSU-68 is an oral small-molecule inhibitor that targets vascular
endothelial growth factor receptor 2, platelet-derived growth factor receptor
beta, and fibroblast growth factor receptor 1. An open-label, single-arm, phase I
study was performed to evaluate escalating doses of TSU-68 in combination with
standard chemotherapy in patients with advanced non-small cell lung cancer.
METHODS: Eligible patients received TSU-68 at 200 or 400 mg twice daily and
continuously in combination with carboplatin (area under the curve, 6 mg .
min/mL) plus paclitaxel (200 mg/m2) on day 1 every 21 days. RESULTS: Thirty-seven
patients were enrolled at the two dose levels of TSU-68. No dose-limiting
toxicities were observed with TSU-68 at the 200 mg twice a day dose level. At 400
mg twice a day, one of six patients experienced a dose-limiting toxicity
(anorexia of grade 3) during the first cycle. The 400 mg twice a day dose level
was determined to be the recommended dose, and a total of 34 patients were
treated at this dose. Overall, adverse events were mild to moderate in severity,
with the most frequently observed such events being myelosuppression, neuropathy,
and gastrointestinal disorders. No drug-related bleeding was observed. The
objective response rate was 39.4% (95% confidence interval, 22.9-57.9%), and
median progression-free survival was 5.6 months (95% confidence interval, 3.6-7.2
months). Coadministration of TSU-68, carboplatin, and paclitaxel had no
substantial impact on the pharmacokinetics of these drugs. CONCLUSIONS: TSU-68
can be safely combined with standard doses of carboplatin-paclitaxel, with the
combination manifesting promising antitumor activity.
PMID- 22071787
TI - Urine utilisation by microbial fuel cells; energy fuel for the future.
AB - This communication reports for the first time the direct utilisation of urine in
MFCs for the production of electricity. Different conversion efficiencies were
recorded, depending on the amount treated. Elements such as N, P, K can be locked
into new biomass, thus removed from solution, resulting in recycling without
environmental pollution.
PMID- 22071788
TI - Behavioral and physiological responses to child-directed speech of children with
autism spectrum disorders or typical development.
AB - Young boys with autism were compared to typically developing boys on responses to
nonsocial and child-directed speech (CDS) stimuli. Behavioral (looking) and
physiological (heart rate and respiratory sinus arrhythmia) measures were
collected. Boys with autism looked equally as much as chronological age-matched
peers at nonsocial stimuli, but less at CDS stimuli. Boys with autism and
language age-matched peers differed in patterns of looking at live versus
videotaped CDS stimuli. Boys with autism demonstrated faster heart rates than
chronological age-matched peers, but did not differ significantly on respiratory
sinus arrhythmia. Reduced attention during CDS may restrict language-learning
opportunities for children with autism. The heart rate findings suggest that
young children with autism have a nonspecific elevated arousal level.
PMID- 22071789
TI - Negative correlation between expression level and evolutionary rate of long
intergenic noncoding RNAs.
AB - Mammalian genomes contain numerous genes for long noncoding RNAs (lncRNAs). The
functions of the lncRNAs remain largely unknown but their evolution appears to be
constrained by purifying selection, albeit relatively weakly. To gain insights
into the mode of evolution and the functional range of the lncRNA, they can be
compared with much better characterized protein-coding genes. The evolutionary
rate of the protein-coding genes shows a universal negative correlation with
expression: highly expressed genes are on average more conserved during evolution
than the genes with lower expression levels. This correlation was conceptualized
in the misfolding-driven protein evolution hypothesis according to which
misfolding is the principal cost incurred by protein expression. We sought to
determine whether long intergenic ncRNAs (lincRNAs) follow the same evolutionary
trend and indeed detected a moderate but statistically significant negative
correlation between the evolutionary rate and expression level of human and mouse
lincRNA genes. The magnitude of the correlation for the lincRNAs is similar to
that for equal-sized sets of protein-coding genes with similar levels of sequence
conservation. Additionally, the expression level of the lincRNAs is significantly
and positively correlated with the predicted extent of lincRNA molecule folding
(base-pairing), however, the contributions of evolutionary rates and folding to
the expression level are independent. Thus, the anticorrelation between
evolutionary rate and expression level appears to be a general feature of gene
evolution that might be caused by similar deleterious effects of protein and RNA
misfolding and/or other factors, for example, the number of interacting partners
of the gene product.
PMID- 22071790
TI - A model for the effect of homologous recombination on microbial diversification.
AB - The effect of homologous recombination (HR) on the evolution of microbial genomes
remains contentious as competing hypotheses seek to explain the evolutionary
dynamics of microbial species. Evidence for HR between microbial genomes is
widespread, and this process has been proposed to act as a cohesive force that
can constrain the diversification of microbial lineages. We seek to characterize
the evolutionary dynamics of sympatric populations to explore the impact of HR on
microbial speciation. We describe a simple equation for quantifying the cohesive
effect of HR on microbial populations as a function of their nucleotide
divergence, MU/rho=pig10(-20 pig). The model was verified using a forward-time
microbial population simulator that can explore the evolutionary dynamics of
sympatric populations in nonoverlapping niche space. The model was also evaluated
using multilocus sequence data from a range of microbial species, providing
criteria for dividing them into either cohesively recombining or clonally
diverging lineages. We conclude that models of microbial diversification that
appear contradictory can be explained in a unified manner as the natural and
predictable consequence of variation in a small number of population parameters.
PMID- 22071791
TI - Multifocal presentation of medulloblastoma in adulthood.
AB - Medulloblastoma in adulthood is uncommon but not rare; annual incidence is 2
20/1,000,000. Some peculiarities characterize medulloblastoma in adult patients
compared with the child type: lateral cerebellar location, heterogeneous signal
intensity on magnetic resonance imaging, desmoplastic histological variant, and
more favourable prognosis. Preoperative diagnosis is crucial for correct
management of these patients. However, because of the low incidence of
medulloblastoma in the adult population, preoperative diagnosis remains
challenging and prognostic factors and best treatment options are still
controversial. In this setting, some unusual findings, for example multifocal
presentation and extra-axial location, can confound diagnosis and make treatment
difficult. We present a short case-illustrated review on these remarkable issues.
PMID- 22071792
TI - Combined gene expression and protein interaction analysis of dynamic modularity
in glioma prognosis.
AB - Because of the variety of factors affecting glioma prognosis, prediction of
patient survival is particularly difficult. Protein-protein interaction (PPI)
networks have been considered with regard to how their spatial characteristics
relate to glioma. However, the dynamic nature of PPIs in vivo makes them
temporally and spatially complex events. Integration of prognosis-specific co
expression information adds further dynamic features to these networks. Although
some biomarkers for glioma prognosis have been identified, none is sufficient for
accurate prediction of either prognosis or improved survival. We have established
co-expressed protein-interaction networks that integrate protein-protein
interactions with glioma gene-expression profiles related to different survival
times. Biomarkers related to glioma prognosis were identified by comparative
analysis of the dynamic features of the glioma prognosis network, particularly
subnetworks. Four significantly differently expressed genes (SDEGs) are
upregulated and ten SDEGs downregulated as lifetime is extended. In addition, 97
enhanced differently co-expressed protein interactions (DCPIs) and 99 weakened
DCPIs were associated with glioma patient lifetime extension. We propose a method
for estimating glioma prognosis on the basis of the construction of a dynamic
modular network. We have used this method to identify dynamic genes and
interactions related to glioma prognosis. Among these, enhanced MYC expression
was related to lifetime extension, as were interactions between E2F1 and RB1 and
between EGFR and p38. This method is a novel means of studying the molecular
mechanisms determining prognosis in glioma.
PMID- 22071793
TI - "Visual sensory trick" in patient with cervical dystonia.
AB - Sensory tricks are clinical maneuvers that may partially relieve dystonic
contractions. Any clinical maneuver that modulates afferent sensory and efferent
motor pathways could be used as a sensory trick in patients with cervical
dystonia. Although various sensory tricks have been described to reduce cervical
dystonia, little is known about the exact mechanisms by which they operate. We
report a case of cervical dystonia that was alleviated through the use of a
visual-sensory trick. Our findings suggest that visual stimulation might be an
effective sensory trick in cervical dystonia by compensating for a defective
sensory system, or because visual pathways might be also affected by sensory
interactions in cervical dystonia.
PMID- 22071794
TI - Concordance between severity of disease, prevalence of nonmotor symptoms, patient
reported quality of life and disability and use of medication in Parkinson's
disease.
AB - The aim of this study was to test the concordance between disease severity,
prevalence of nonmotor symptoms, age, health-related quality of life (HRQoL),
disability and medication use in patients with Parkinson's disease (PD). Severity
was classified with the Hoehn and Yahr (HY) scale and Levodopa Equivalent Daily
Dose (LEDD) calculated. HRQoL was evaluated with the SF-36, disability with the
WHO-DAS II and nonmotor symptoms with the NMSQuest. Patients were clustered using
SF-36 and WHO-DAS II into three groups covering the continuum from low disability
and HRQoL, to severe disability and HRQoL decrement. Contingency Coefficient were
used to verify the relationships between clusters and HY stage; ANOVA to evaluate
differences in NMS, age and LEDD between clusters; odds ratio to test the
likelihood of taking levodopa or dopamine agonist and being member of the three
clusters; t test to evaluate differences in LEDD between patients with HY >=3 or
<=2. Eighty-six patients were clustered: 48 had low disability and HRQoL
decrement, 18 intermediate disability and HRQoL decrement and 20 high disability
and HRQoL decrement. A significant relationship was found between PD severity
groups, HRQoL and disability profiles. No differences for age and LEDD were
observed in the three groups, and those with more disability and lower HRQoL
reported a higher number of nonmotor symptoms; patients in HY >=3 were prescribed
higher doses of drugs. In conclusion, we found a substantial concordance between
PD staging, prevalence of nonmotor symptoms and patient-reported HRQoL and
disability measures. In our opinion, the SF-36 and the WHO-DAS II can be used for
profiling patients.
PMID- 22071795
TI - Whole-gland salvage high-intensity focused ultrasound therapy for localized
prostate cancer recurrence after external beam radiation therapy.
AB - BACKGROUND: Whole-gland high-intensity focused ultrasound (HIFU) has been used as
salvage therapy for local recurrence following external beam radiation therapy
for decades. This article describes the use of the Sonablate 500 HIFU system in
the salvage setting. METHODS: An evaluation was performed of a consecutive group
of men with biochemical failure after external beam radiation therapy with
histologically proven local recurrence and bone-scan and pelvic magnetic
resonance imaging to exclude macroscopic metastases, and who chose to have whole
gland salvage HIFU (Sonablate 500) at 2 centers (3 expert HIFU surgeons at each
center). The modified Clavien system was used to categorize adverse events and
validated questionnaires for functional outcomes. Progression following HIFU
treatment was defined as ASTRO-Phoenix criteria (prostate serum antigen [PSA]
>nadir+2 ng/mL) and/or a positive biopsy and/or start of hormone therapy.
RESULTS: Eighty-four men underwent whole-gland salvage HIFU (2004-2009). Median
age, pretreatment serum PSA, and biopsy Gleason score was 68 years (range, 64-72
years), 4.3 ng/mL (range, 1.9-7.9 ng/mL), and 7 (range, 6-7), respectively. Mean
follow-up was 19.8 months (range, 3.0-35.1 months). After salvage HIFU, 62% of
the men were pad-free and leak-free. Mean International Index of Erectile
Function-5 point score fell from 8.8 to 4.7 (P < .001). International Prostate
Symptoms Score and RAND-SF36 scores were not affected. Two men developed
rectourethral fistulae after 1 salvage procedure. A further 2 fistulae occurred
in the 6 men undergoing a second salvage HIFU. Intervention for bladder outlet
obstruction was needed in 20% (17 of 84 patients). If PSA nonresponders were
included, 1- and 2-year progression-free survival rates were 59% (50 of 84
patients) and 43% (36 of 84 patients), respectively. If PSA nonresponders were
excluded, 1- and 2-year progression-free survival rates were 62% (48 of 77
patients) and 48% (37 of 77 patients), respectively. CONCLUSIONS: Salvage whole
gland HIFU is a high-risk procedure. Although its use in early cancer control is
promising, strategies to better identify metastatic disease prior to salvage
therapy and reduce local toxicity are needed to improve on this.
PMID- 22071796
TI - Homocysteine and the risk of nursing home admission and mortality in older
persons.
AB - BACKGROUND/OBJECTIVES: This study aimed to investigate whether higher
homocysteine and lower vitamin B12 concentrations increase the risk of future
nursing home (NH) admission and all-cause mortality in independently living older
persons. SUBJECTS/METHODS: In total, 1117 independently living participants (mean
age=75.1, s.d.=6.4) were included in this prospective sub-study of the
Longitudinal Aging Study Amsterdam. EDTA plasma samples, collected in 1995-1996,
were analysed for total homocysteine (MUmol/l). Time to NH admission was assessed
using a follow-up until 2002-2003. In addition, we studied mortality until 1 June
2007. Cox proportional hazards models were used to examine the association
between homocysteine in quartiles and risk of NH admission and mortality.
RESULTS: During follow-up, 126 persons (11.3%) were admitted to NHs, and 513
persons (45.9%) deceased. In men, no significant associations were observed. In
women, after adjustment for confounding, the highest quartile of homocysteine was
associated with a significantly higher risk of NH admission compared with the
first quartile (hazard ratio (HR)=2.97, 95% confidence interval (CI)=1.36-6.49).
Both women in the third and the fourth quartile of homocysteine had a
significantly higher mortality risk (HR=1.70, 95% CI=1.08-2.65 and HR=1.91, 95%
CI=1.22-3.00, respectively) compared with the first quartile. Vitamin B12 was not
related to an increased risk of NH admission and mortality. CONCLUSIONS: Elevated
plasma homocysteine is associated with an increased risk of NH admission and
mortality in older women, but not in older men.
PMID- 22071797
TI - Calcium channel blockers for neuroleptic-induced tardive dyskinesia.
AB - BACKGROUND: Schizophrenia and related disorders affect a sizable proportion of
any population. Neuroleptic (antipsychotic) medications are the primary treatment
for these disorders. Neuroleptic medications are associated with a variety of
side effects including tardive dyskinesia. Dyskinesia is a disfiguring movement
disorder of the orofacial region that can be tardive (having a slow or belated
onset). Tardive dyskinesia is difficult to treat, despite experimentation with
several treatments. Calcium channel blockers (diltiazem, nifedipine, nimodipine,
verapamil) have been among these experimental treatments. OBJECTIVES: To
determine the effects of calcium-channel blocker drugs (diltiazem, nifedipine,
nimodipine, verapamil) for treatment of neuroleptic-induced tardive dyskinesia in
people with schizophrenia, schizoaffective disorder or other chronic mental
illnesses. SEARCH STRATEGY: We updated previous searches in May 2010 by searching
the Cochrane Schizophrenia Group Register using the Cochrane Schizophrenia Group
search strategy. SELECTION CRITERIA: Randomised clinical trials comparing calcium
channel blockers with placebo, no intervention or any other intervention for
people with both tardive dyskinesia and schizophrenia or serious mental illness.
DATA COLLECTION AND ANALYSIS: We planned to extract and analyse data on an
intention-to-treat (ITT) basis. We intended to calculate the relative risk (RR)
and 95% confidence intervals (CI) of homogeneous dichotomous data using a random
effects model, and, where possible, calculate the number needed to treat. We
planned to calculate mean differences (MD) for continuous data. MAIN RESULTS: We
did not include any trials in this review. We excluded 15 studies; eight were not
randomised, one did not use calcium channel blockers, five small, randomised,
studies reported no usable data and one did not include people with both tardive
dyskinesia and schizophrenia. AUTHORS' CONCLUSIONS: The effects of calcium
channel blockers for antipsychotic induced tardive dyskinesia are unknown. Their
use is experimental and should only be given in the context of well designed
randomised clinical trials.
PMID- 22071798
TI - Low versus high haemoglobin concentration threshold for blood transfusion for
preventing morbidity and mortality in very low birth weight infants.
AB - BACKGROUND: Infants of very low birth weight often receive multiple transfusions
of red blood cells, usually in response to predetermined haemoglobin or
haematocrit thresholds. In the absence of better indices, haemoglobin levels are
imperfect but necessary guides to the need for transfusion. Chronic anaemia in
premature infants may, if severe, cause apnoea, poor neurodevelopmental outcomes
or poor weight gain.On the other hand, red blood cell transfusion may result in
transmission of infections, circulatory or iron overload, or dysfunctional oxygen
carriage and delivery. OBJECTIVES: To determine if erythrocyte transfusion
administered to maintain low as compared to high haemoglobin thresholds reduces
mortality or morbidity in very low birth weight infants enrolled within three
days of birth. SEARCH METHODS: Two review authors independently searched the
Cochrane Central Register of Controlled Trials (The Cochrane Library) ,
MEDLINE,EMBASE, and conference proceedings through June 2010. SELECTION CRITERIA:
We selected randomised controlled trials (RCTs) comparing the effects of early
versus late, or restrictive versus liberal erythrocyte transfusion regimes in low
birth weight infants applied within three days of birth, with mortality or major
morbidity as outcomes.
PMID- 22071799
TI - Human albumin solution for resuscitation and volume expansion in critically ill
patients.
AB - BACKGROUND: Human albumin solutions are used for a range of medical and surgical
problems. Licensed indications are the emergency treatment of shock and other
conditions where restoration of blood volume is urgent, such as in burns and
hypoproteinaemia. Human albumin solutions are more expensive than other colloids
and crystalloids. OBJECTIVES: To quantify the effect on mortality of human
albumin and plasma protein fraction (PPF) administration in the management of
critically ill patients. SEARCH METHODS: We searched the Cochrane Injuries Group
Specialised Register (searched 31 May 2011), the Cochrane Central Register of
Controlled Trials (CENTRAL) (The Cochrane Library 2011, Issue 2), MEDLINE (Ovid)
(1948 to week 3 May 2011), EMBASE (Ovid) (1980 to Week 21 2011), CINAHL (EBSCO)
(1982 to May 2011), ISI Web of Science: Science Citation Index Expanded (SCI
EXPANDED) (1970 to May 2011), ISI Web of Science: Conference Proceedings Citation
Index - Science (CPCI-S) (1990 to May 2011), PubMed
(www.ncbi.nlm.nih.gov/sites/entrez/) (searched 10 June 2011, limit: last 60
days). Reference lists of trials and review articles were checked, and authors of
identified trials were contacted. SELECTION CRITERIA: Randomised controlled
trials comparing albumin or PPF with no albumin or PPF or with a crystalloid
solution in critically ill patients with hypovolaemia, burns or hypoalbuminaemia.
DATA COLLECTION AND ANALYSIS: We collected data on the participants, albumin
solution used, mortality at the end of follow up, and quality of allocation
concealment. Analysis was stratified according to patient type. MAIN RESULTS: We
found 38 trials meeting the inclusion criteria and reporting death as an outcome.
There were 1,958 deaths among 10,842 trial participants.For hypovolaemia, the
relative risk of death following albumin administration was 1.02 (95% confidence
interval (CI) 0.92 to 1.13). This estimate was heavily influenced by the results
of the SAFE trial, which contributed 75.2% of the information (based on the
weights in the meta-analysis). For burns, the relative risk was 2.93 (95% CI 1.28
to 6.72) and for hypoalbuminaemia the relative risk was 1.26 (95% CI 0.84 to
1.88). There was no substantial heterogeneity between the trials in the various
categories (Chi(2) = 26.66, df = 31, P = 0.69). The pooled relative risk of death
with albumin administration was 1.05 (95% CI 0.95 to 1.16). AUTHORS' CONCLUSIONS:
For patients with hypovolaemia, there is no evidence that albumin reduces
mortality when compared with cheaper alternatives such as saline. There is no
evidence that albumin reduces mortality in critically ill patients with burns and
hypoalbuminaemia. The possibility that there may be highly selected populations
of critically ill patients in which albumin may be indicated remains open to
question. However, in view of the absence of evidence of a mortality benefit from
albumin and the increased cost of albumin compared to alternatives such as
saline, it would seem reasonable that albumin should only be used within the
context of well concealed and adequately powered randomised controlled trials.
PMID- 22071800
TI - Teaching critical appraisal skills in healthcare settings.
AB - BACKGROUND: Critical appraisal is the process of assessing and interpreting
evidence by systematically considering its validity, results and relevance to an
individual's work. Within the last decade critical appraisal has been added as a
topic to many medical school and UK Royal College curricula, and several
continuing professional development ventures have been funded to provide further
training. This is an update of a Cochrane review first published in 2001.
OBJECTIVES: To assess the effects of teaching critical appraisal skills to health
professionals on the process of care, patient outcomes and knowledge of health
professionals. SEARCH METHODS. We updated the search (see Appendix 1 for search
strategies by database) and used those search strategies to search the Cochrane
Central Register of Controlled Trials (1997 to June 2011) and MEDLINE (from 1997
to June 2011). We also searched EMBASE, CINAHL and PsycINFO (up to January 2010).
We searched LISA (up to January 2010), ERIC (up to January 2010), SIGLE (up to
January 2010) and Web of Knowledge (up to January 2010). We also searched the
Cochrane Database of Systematic Reviews (CDSR), Database of Abstracts of Reviews
of Effects (DARE) and the Cochrane Effective Practice and Organisation of Care
(EPOC) Group Specialised Register up to January 2010. SELECTION CRITERIA:
Randomised trials, controlled clinical trials, controlled before and after
studies and interrupted time series analyses that examined the effectiveness of
educational interventions teaching critical appraisal to health professionals.
The outcomes included process of care, patient mortality, morbidity, quality of
life and satisfaction. We included studies reporting on health professional
knowledge/awareness only when based upon objective, standardised, validated
instruments. We did not consider studies involving students. DATA COLLECTION AND
ANALYSIS: Two review authors independently extracted data and assessed risk of
bias. We contacted authors of included studies to obtain missing data. MAIN
RESULTS: In total, we reviewed a total of 11,057 titles and abstracts, of which
148 appeared potentially relevant to the review. We included three studies
involving 272 people in this review. None of the included studies evaluated
process of care or patient outcomes. Statistically significant improvements in
participants' knowledge were reported in domains of critical appraisal (variable
approaches across studies) in two of the three studies. We determined risk of
bias to be 'unclear' and as such considered this to be 'plausible bias that
raises some doubt about the results'. AUTHORS' CONCLUSIONS: Low-intensity
critical appraisal teaching interventions in healthcare populations may result in
modest gains. Improvements to research examining the effectiveness of
interventions in healthcare populations are required; specifically rigorous
randomised trials employing interventions using appropriate adult learning
theories.
PMID- 22071801
TI - Antiplatelet agents for intermittent claudication.
AB - BACKGROUND: Peripheral arterial disease (PAD) is common and is a marker of
systemic atherosclerosis. Patients with symptoms of intermittent claudication
(IC) are at increased risk of cardiovascular events (myocardial infarction (MI)
and stroke) and of both cardiovascular and all cause mortality. OBJECTIVES: To
determine the effectiveness of antiplatelet agents in reducing mortality (all
cause and cardiovascular) and cardiovascular events in patients with intermittent
claudication. SEARCH METHODS: The Cochrane Peripheral Vascular Diseases group
searched their Specialised Register (last searched April 2011) and CENTRAL (2011,
Issue 2) for publications on antiplatelet agents and IC. In addition reference
lists of relevant articles were also searched. SELECTION CRITERIA: Double-blind
randomised controlled trials comparing oral antiplatelet agents versus placebo,
or versus other antiplatelet agents in patients with stable intermittent
claudication were included. Patients with asymptomatic PAD (stage I Fontaine),
stage III and IV Fontaine PAD, and those undergoing or awaiting endovascular or
surgical intervention were excluded. DATA COLLECTION AND ANALYSIS: Data on
methodological quality, participants, interventions and outcomes including all
cause mortality, cardiovascular mortality, cardiovascular events, adverse events,
pain free walking distance, need for revascularisation, limb amputation and ankle
brachial pressure indices were collected. For each outcome, the pooled risk ratio
(RR) or mean difference (MD) with 95% confidence intervals (CI) was calculated.
MAIN RESULTS: A total of 12 studies with a combined total of 12,168 patients were
included in this review. Antiplatelet agents reduced all cause (RR 0.76, 95% CI
0.60 to 0.98) and cardiovascular mortality (RR 0.54, 95% CI 0.32 to 0.93) in
patients with IC compared with placebo. A reduction in total cardiovascular
events was not statistically significant (RR 0.80, 95% CI 0.63 to 1.01). Data
from two trials (which tested clopidogrel and picotamide respectively against
aspirin) showed a significantly lower risk of all cause mortality (RR 0.73, 95%
CI 0.58 to 0.93) and cardiovascular events (RR 0.81, 95% CI 0.67 to 0.98) with
antiplatelets other than aspirin compared with aspirin. Antiplatelet therapy was
associated with a higher risk of adverse events, including gastrointestinal
symptoms (dyspepsia) (RR 2.11, 95% CI 1.23 to 3.61) and adverse events leading to
cessation of therapy (RR 2.05, 95% CI 1.53 to 2.75) compared with placebo; data
on major bleeding (RR 1.73, 95% CI 0.51, 5.83) and on adverse events in trials of
aspirin versus alternative antiplatelet were limited. Risk of limb deterioration
leading to revascularisation was significantly reduced by antiplatelet treatment
compared with placebo (RR 0.65, 95% CI 0.43 to 0.97). AUTHORS' CONCLUSIONS:
Antiplatelet agents have a beneficial effect in reducing all cause mortality and
fatal cardiovascular events in patients with IC. Treatment with antiplatelet
agents in this patient group however is associated with an increase in adverse
effects, including GI symptoms, and healthcare professionals and patients need to
be aware of the potential harm as well as the benefit of therapy; more data are
required on the effect of antiplatelets on major bleeding. Evidence on the
effectiveness of aspirin versus either placebo or an alternative antiplatelet
agent is lacking. Evidence for thienopyridine antiplatelet agents was
particularly compelling and there is an urgent need for multicentre trials to
compare the effects of aspirin against thienopyridines.
PMID- 22071802
TI - Continuous nasogastric milk feeding versus intermittent bolus milk feeding for
premature infants less than 1500 grams.
AB - BACKGROUND: Milk feedings can be given via nasogastric tube either
intermittently, typically over 10 to 20 minutes every two or three hours, or
continuously, using an infusion pump. Although theoretical benefits and risks of
each method have been proposed, effects on clinically important outcomes remain
uncertain. OBJECTIVES: To examine the evidence regarding the effectiveness of
continuous versus intermittent bolus nasogastric milk feeding in premature
infants less than 1500 grams. SEARCH METHODS: Searches were performed of the
Cochrane Central Register of Controlled Trials (CENTRAL, The Cochrane Library,
Issue 3, 2011), MEDLINE, CINAHL and HealthSTAR up to July 2011. SELECTION
CRITERIA: Randomised and quasi-randomised clinical trials comparing continuous
versus intermittent bolus nasogastric milk feeding in premature infants less than
1500 grams. DATA COLLECTION AND ANALYSIS: Two review authors independently
assessed all trials for relevance and methodologic quality. The standard methods
of the Cochrane Neonatal Review Group were used to extract data. MAIN RESULTS:
Overall, the seven included trials, involving 511 infants, found no differences
in time to achieve full enteral feeds between feeding methods (weighted mean
difference (WMD) 2 days; 95% CI -0.3 to 3.9) . In the subgroup analysis of those
studies comparing continuous nasogastric versus intermittent bolus nasogastric
milk feedings the findings remained unchanged (WMD 2 days, 95% CI -0.4 to 4.1).
There was no significant difference in somatic growth and incidence of NEC
between feeding methods irrespective of tube placement. One study noted a trend
toward more apneas during the study period in infants fed by the continuous tube
feeding method compared to those fed by intermittent feedings delivered
predominantly by orogastric tube placements [mean difference (MD) 14.0 apneas
during study period; 95% CI -0.2 to 28.2]. In subgroup analysis based on weight
groups, one study suggested that infants less than 1000 grams and 1000 to 1250
grams birth weight gained weight faster when fed by the continuous nasogastric
tube feeding method compared to intermittent nasogastric tube feeding method (MD
2.0 g/day; 95% CI 0.5 to 3.5; MD 2.0 g/day; 95% CI 0.2 to 3.8, respectively). A
trend toward earlier discharge for infants less than 1000 grams birth weight fed
by the continuous tube feeding method compared to intermittent nasogastric tube
feeding method (MD -11 days; 95% CI -21.8 to -0.2). AUTHORS' CONCLUSIONS: Small
sample sizes, methodologic limitations, inconsistencies in controlling variables
that may affect outcomes, and conflicting results of the studies to date make it
difficult to make universal recommendations regarding the best tube feeding
method for premature infants less than 1500 grams. The clinical benefits and
risks of continuous versus intermittent nasogastric tube milk feeding cannot be
reliably discerned from the limited information available from randomised trials
to date.
PMID- 22071803
TI - Operative procedures for fissure in ano.
AB - BACKGROUND: Reports of direct comparisons between operative techniques for anal
fissure are variable in their results. These reports are either subject to
selection bias (in non-randomized studies) or observer bias (in all studies) or
have inadequate numbers of patients enrolled to answer the question of efficacy.
OBJECTIVES: To determine the best technique for fissure surgery. SEARCH METHODS:
The Cochrane Central Register of Controlled Trials and MEDLINE (1965-2011),
Medline (Pubmed) and Embase were searched March to 2011. The list of cited
references in all included reports and several study authors also were helpful in
finding additional comparative studies.A total of four new trials were included
in this update of the review. SELECTION CRITERIA: All reports in which there was
a direct comparison between at least two operative techniques were reviewed and
when more than one report existed for any given pair, that report was included.
All studies must also be randomised. If crude data were not presented in the
report, the authors were contacted and crude data obtained. DATA COLLECTION AND
ANALYSIS: The two most commonly used end points in all reported studies were
treatment failure and post-operative incontinence both to flatus and faeces.
These are the only two endpoints included in the meta-analysis. MAIN RESULTS:
Four trials, encompassing 406 patients were included in this update, with now a
total of 2056 patients in the review from 27 studies that describe and analyze 13
different operative procedures. These operative techniques used by these studies
include closed lateral sphincterotomy, open lateral internal sphincterotomy, anal
stretch, balloon dilation, wound closure, perineoplasty, length of sphincterotomy
and fissurectomy. Two new procedures in the update, similar to anal stretch were
described- sphincterolysis and controlled intermittent anal dilatation. A new
comparison was described, comparing the effects of unilateral internal
sphincterotomy and bilateral internal sphincterotomy.Manual Anal stretch has a
higher risk of fissure persistence than internal sphincterotomy and also a
significantly higher risk of minor incontinence than sphincterotomy. The combined
analyses of open versus closed partial lateral internal sphincterotomy show
little difference between the two procedures both in fissure persistence and risk
of incontinence Unilateral internal sphincterotomy was shown to be more likely to
result in treatment failure compared to bilateral internal sphincterotomy, but
there is no significant difference in the risk of incontinence.Sphincterotomy was
less likely to result in treatment failure when compared to fissurectomy, but
there was no significant difference when considering post-operative
incontinence.When comparing sphincterotomy to sphincterolysis, there was no
significant difference between the two procedures both in treatment failure and
risk of incontinence; the same is the case when comparing sphincterotomy with
controlled anal dilation. AUTHORS' CONCLUSIONS: Manual anal stretch should
probably be abandoned in the treatment of chronic anal fissure in adults. For
those patients requiring surgery for anal fissure, open and closed partial
lateral internal sphincterotomy appear to be equally efficacious. More data are
needed to assess the effectiveness of posterior internal sphincterotomy, anterior
levatorplasty, wound suture or papilla excision. Bilateral internal
sphincterotomy shows promise, but needs further research into its efficacy.
PMID- 22071804
TI - Medical methods for first trimester abortion.
AB - BACKGROUND: Surgical abortion by vacuum aspiration or dilatation and curettage
has been the method of choice for early pregnancy termination since the 1960s.
Medical abortion became an alternative method of first trimester pregnancy
termination with the availability of prostaglandins in the early 1970s and anti
progesterones in the 1980s. The most widely researched drugs are prostaglandins
(PGs) alone, mifepristone alone, methotrexate alone, mifepristone with
prostaglandins and methotrexate with prostaglandins. OBJECTIVES: To compare
different medical methods for first trimester abortion. SEARCH METHODS: The
Cochrane Controlled Trials Register, MEDLINE and Popline were systematically
searched. Reference lists of retrieved papers were also searched. Experts in
WHO/HRP were contacted. SELECTION CRITERIA: Types of studies Randomised
controlled trials comparing different medical methods for abortion during first
trimester (e.g. single drug, combination) were considered. Trials were assessed
and included if they had adequate concealment of allocation, randomisation
procedure and follow-up. Women, pregnant during the first trimester, undergoing
medical abortion were the participants. The outcomes were mortality, failure to
achieve complete abortion, surgical evacuation, ongoing pregnancy at follow-up,
time until passing of conceptus, blood transfusion, side effects and women's
dissatisfaction with the procedure. DATA COLLECTION AND ANALYSIS: Two reviewers
independently selected trials for inclusion from the results of the search
strategy described previously.The selection of trials for inclusion in the review
was performed independently by two reviewers after employing the search strategy
described previously. Trials under consideration were evaluated for
appropriateness for inclusion and methodological quality without consideration of
their results. Data were processed using Revman software. MAIN RESULTS: Fifty
eight trials were included in the review. The effectiveness outcomes below refer
to 'failure to achieve complete abortion' with the intended method unless
otherwise stated. 1) Combined regimen mifepristone/prostaglandin: Mifepristone
600 mg compared to 200 mg shows similar effectiveness in achieving complete
abortion (4 trials, RR 1.07, 95% CI 0.87 to 1.32). Misoprostol administered
orally is less effective (more failures) than the vaginal route (RR 3.00, 95% CI
1.44 to 6.24) and may be associated with more frequent side effects such as
nausea and diarrhoea. Sublingual and buccal routes were similarly effective
compared to the vaginal route, but had higher rates of side effects. 2)
Mifepristone alone is less effective when compared to the combined regimen
mifepristone/prostaglandin (RR 3.76 95% CI 2.30 to 6.15). 3) Five trials compared
prostaglandin alone to the combined regimen (mifepristone/prostaglandin). All but
one reported higher effectiveness with the combined regimen. The results of these
studies could not be combined but the RR of failure with prostaglandin alone is
reportedly between 1.4 to 3.75 with the 95% confidence intervals indicating
statistical significance. 4) In one trial comparing gemeprost 0.5 mg with
misoprostol 800 mcg, misoprostol was more effective (failure with gemeprost: RR
2.86, 95% CI 1.14 to 7.18). 5) There was no difference in effectiveness with use
of a divided dose compared to a single dose of prostaglandin. 6) Combined regimen
methotrexate/prostaglandin demonstrates similar rates of failure to complete
abortion when comparing intramuscular to oral methotrexate administration (RR
2.04, 95% CI 0.51 to 8.07). Similarly, day 3 vs. day 5 administration of
prostaglandin following methotrexate administration showed no significant
differences (RR 0.72, 95% CI 0.36 to 1.43). One trial compared the effect of
tamoxifen vs. methotrexate and no statistically significant differences were
observed in effectiveness between the groups. AUTHORS' CONCLUSIONS: Safe and
effective medical abortion methods are available. Combined regimens are more
effective than single agents. In the combined regimen, the dose of mifepristone
can be lowered to 200 mg without significantly decreasing the method
effectiveness. Vaginal misoprostol is more effective than oral administration,
and has less side effects than sublingual or buccal. Some results are limited by
the small numbers of participants on which they are based. Almost all trials were
conducted in settings with good access to emergency services, which may limit the
generalizability of these results.
PMID- 22071805
TI - Opioid therapy for treating rheumatoid arthritis pain.
AB - BACKGROUND: Despite improvements in the management of rheumatoid arthritis (RA),
pain control is often inadequate even when inflammation is well controlled.
OBJECTIVES: To assess the efficacy and safety of opioid analgesics for treating
pain in patients with RA. SEARCH METHODS: We searched the Cochrane Central
Register of Controlled Trials (CENTRAL) (The Cochrane Library), MEDLINE and
EMBASE for studies to May 2010. We also searched the 2008 to 2009 American
College of Rheumatology (ACR) and European League against Rheumatism (EULAR)
abstracts and performed a handsearch of the reference lists of articles.
SELECTION CRITERIA: Studies were included if they were randomized or quasi
randomized controlled trials (RCTs or CCTs) which compared opioid therapy to
another therapy (active or placebo) for pain in patients with RA. Outcomes of
interest were pain, adverse effects, function and quality of life. DATA
COLLECTION AND ANALYSIS: Two review authors independently selected the studies
for inclusion, extracted the data, and performed a risk of bias assessment. MAIN
RESULTS: Eleven studies (672 participants) were included in the review. Four
studies assessed the efficacy of single doses of various opioid and non-opioid
analgesics; a pooled analysis of these studies was not performed but in each
study opioids reduced pain more than placebo. There were no differences between
analgesic drugs in these studies.Seven studies were between one and six weeks in
duration and assessed six different oral opioids (dextropropoxyphene, codeine,
tramadol, tilidine, pentazocine, morphine), either alone or combined with non
opioid analgesics. The only strong opioid investigated was controlled-release
morphine sulphate, in a single study with 20 participants. Six studies compared
an opioid to placebo. Opioids were superior to placebo in patient-reported global
impression of change (3 studies, 324 participants: relative risk (RR) 1.44, 95%
CI 1.03 to 2.03) but not for the number of withdrawals due to inadequate
analgesia (4 studies, 345 participants: RR 0.82, 95% CI 0.34 to 2.0). Adverse
events (most commonly nausea, vomiting, dizziness and constipation) were more
frequent in patients receiving opioids compared to placebo (4 studies, 371
participants: odds ratio 3.90, 95% CI 2.31 to 6.56); the pooled risk ratio for
withdrawal due to adverse events was 2.67 (3 studies, 331 participants: 95% CI
0.52 to 13.75). One study compared an opioid (codeine with paracetamol) to an
NSAID (diclofenac) and found no difference in efficacy or safety between
interventions. AUTHORS' CONCLUSIONS: There is limited evidence that weak oral
opioids may be effective analgesics for some patients with RA, but adverse
effects are common and may offset the benefits of this class of medications.
There is insufficient evidence to draw conclusions regarding the use of weak
opioids for longer than six weeks, or the role of strong opioids.
PMID- 22071806
TI - Physical fitness training for stroke patients.
AB - BACKGROUND: Levels of physical fitness are low after stroke. It is unknown
whether improving physical fitness after stroke reduces disability. OBJECTIVES:
To determine whether fitness training after stroke reduces death, dependence, and
disability. The secondary aims were to determine the effects of training on
physical fitness, mobility, physical function, quality of life, mood, and
incidence of adverse events. SEARCH METHODS: We searched the Cochrane Stroke
Group Trials Register (last searched April 2010), the Cochrane Central Register
of Controlled Trials (CENTRAL) (The Cochrane Library, July 2010), MEDLINE (1966
to March 2010), EMBASE (1980 to March 2010), CINAHL (1982 to March 2010),
SPORTDiscus (1949 to March 2010), and five additional databases (March 2010). We
also searched ongoing trials registers, handsearched relevant journals and
conference proceedings, screened reference lists, and contacted experts in the
field. SELECTION CRITERIA: Randomised trials comparing either cardiorespiratory
training or resistance training, or both, with no intervention, a non-exercise
intervention, or usual care in stroke survivors. DATA COLLECTION AND ANALYSIS:
Two review authors independently selected trials, assessed quality, and extracted
data. We analysed data using random-effects meta-analyses. Diverse outcome
measures limited the intended analyses. MAIN RESULTS: We included 32 trials,
involving 1414 participants, which comprised cardiorespiratory (14 trials, 651
participants), resistance (seven trials, 246 participants), and mixed training
interventions (11 trials, 517 participants). Five deaths were reported at the end
of the intervention and nine at the end of follow-up. No dependence data were
reported. Diverse outcome measures made data pooling difficult. The majority of
the estimates of effect were not significant. Cardiorespiratory training
involving walking improved maximum walking speed (mean difference (MD) 8.66
metres per minute, 95% confidence interval (CI) 2.98 to 14.34), preferred gait
speed (MD 4.68 metres per minute, 95% CI 1.40 to 7.96) and walking capacity (MD
47.13 metres per six minutes, 95% CI 19.39 to 74.88) at the end of the
intervention. These training effects were retained at the end of follow-up. Mixed
training, involving walking, increased preferred walking speed (MD 2.93 metres
per minute, 95% CI 0.02 to 5.84) and walking capacity (MD 30.59 metres per six
minutes, 95% CI 8.90 to 52.28) but effects were smaller and there was
heterogeneity amongst the trial results. There were insufficient data to assess
the effects of resistance training. The variability in the quality of included
trials hampered the reliability and generalizability of the observed results.
AUTHORS' CONCLUSIONS: The effects of training on death, dependence, and
disability after stroke are unclear. There is sufficient evidence to incorporate
cardiorespiratory training involving walking within post-stroke rehabilitation
programmes to improve speed, tolerance, and independence during walking. Further
well-designed trials are needed to determine the optimal exercise prescription
and identify long-term benefits.
PMID- 22071807
TI - Triphasic versus monophasic oral contraceptives for contraception.
AB - BACKGROUND: Side effects of oral contraceptive (OC) pills discourage adherence to
and continuation of OC regimens. Strategies to decrease adverse effects led to
the introduction of the triphasic OC in the 1980s. Whether triphasic OCs have
higher accidental pregnancy rates than monophasic pills is unknown. Nor is it
known if triphasic pills give better cycle control and fewer side effects than
the monophasic pills. OBJECTIVES: To compare triphasic OCs with monophasic OCs in
terms of efficacy, cycle control, and discontinuation due to side effects. SEARCH
METHODS: We searched the computerized databases of the Cochrane Central Register
of Controlled Trials (CENTRAL) (The Cochrane Library), MEDLINE, POPLINE, EMBASE,
and LILACS, as well as clinical trials databases (ClinicalTrials.gov and the
World Health Organization Clinical Trials Registry Platform (ICTRP)) in May 2011.
Additionally, we searched the reference lists of relevant articles. We also
contacted researchers and pharmaceutical companies to identify other trials not
found in our search. SELECTION CRITERIA: We included randomized controlled trials
(RCTs) comparing any triphasic OC with any monophasic pill used to prevent
pregnancy. Interventions had to include at least three treatment cycles. DATA
COLLECTION AND ANALYSIS: We assessed the studies found in the literature searches
for possible inclusion and for their methodological quality. We contacted the
authors of all included studies and of possibly randomized trials for
supplemental information about the methods used and outcomes studied. We entered
the data into RevMan and calculated odds ratios for the outcome measures of
efficacy, breakthrough bleeding, spotting, withdrawal bleeding and
discontinuation. MAIN RESULTS: Of 23 trials included, 19 examined contraceptive
effectiveness. The triphasic and monophasic preparations did not differ
significantly. Several trials reported favorable bleeding patterns, that is less
spotting, breakthrough bleeding or amenorrhea, in triphasic versus monophasic OC
users. However, meta-analysis was generally not possible due to differences in
measuring and reporting the cycle disturbance data as well as differences in
progestogen type and hormone dosages. No significant differences were found in
the numbers of women who discontinued due to medical reasons, cycle disturbances,
intermenstrual bleeding or adverse events. AUTHORS' CONCLUSIONS: The available
evidence is insufficient to determine whether triphasic OCs differ from
monophasic OCs in effectiveness, bleeding patterns or discontinuation rates.
Therefore, we recommend monophasic pills as a first choice for women starting OC
use. Large, high-quality RCTs that compare triphasic and monophasic OCs with
identical progestogens are needed to determine whether triphasic pills differ
from monophasic OCs. Future studies should follow the recommendations of Belsey
or Mishell on recording menstrual bleeding patterns and the CONSORT reporting
guidelines.
PMID- 22071808
TI - Oral immunoglobulin for the prevention of rotavirus infection in low birth weight
infants.
AB - BACKGROUND: Rotavirus is a common neonatal nosocomial viral infection and
epidemics with the newer P(6)G9 strains have been reported. Local mucosal
immunity in the intestine to rotavirus is important in the resolution of
infection and protection against subsequent infections. Oral administration of
anti-rotaviral immunoglobulin preparations might be a useful strategy in
preventing rotaviral infections, especially in low birth weight babies.
OBJECTIVES: To determine the effectiveness and safety of oral immunoglobulin
preparations for the prevention of rotavirus infection in hospitalized low
birthweight infants (birthweight < 2500 g) SEARCH METHODS: The Cochrane Central
Register of Controlled Trials (CENTRAL, The Cochrane Library), MEDLINE, EMBASE,
CINAHL, biological Abstracts (BIOSIS), Science Citation Index for articles citing
Barnes 1982 and the proceedings of the Pediatric Academic Societies from 1991
onwards were searched in July 2011. Ongoing trials were also searched at
clinicaltrials.gov and controlled-trials.com SELECTION CRITERIA: The criteria
used to select studies for inclusion were: 1) design: randomized or quasi
randomized controlled trials; 2) participants: hospitalized low birthweight
infants; 3) intervention: oral immunoglobulin preparations for prevention of
rotavirus infection compared to placebo OR no intervention; 4) at least one of
the following outcomes were reported: all cause mortality during hospital stay,
mortality due to rotavirus infection during hospital stay, rotavirus infection ,
duration of diarrhea, need for rehydration, duration of viral excretion, duration
of infection control measures, length of hospital stay in days, recurrent
diarrhea or chronic diarrhea. DATA COLLECTION AND ANALYSIS: The two review
authors independently abstracted data from the included trials. MAIN RESULTS: One
published study (Barnes 1982) was eligible for inclusion in this review. Barnes
1982 found no significant difference in the rates of rotavirus infection after
oral gammaglobulin versus placebo in hospitalized low birthweight babies [RR 1.27
(95% CI 0.65 to 2.37)]. In the subset of infants who became infected with
rotavirus after receiving gammaglobulin or placebo for prevention of rotavirus
infection, there was no significant difference in the duration of rotavirus
excretion between the group who had gammaglobulin (mean 2 days, range 1 to 4
days) and the group who had placebo (mean 3 days, range 1 to 6 days). Barnes 1982
reported no adverse effects after administration of oral immunoglobulin
preparations. AUTHORS' CONCLUSIONS: Current evidence does not support the use of
oral immunoglobulin preparations to prevent rotavirus infection in low
birthweight infants. Researchers are encouraged to conduct well-designed neonatal
trials using the newer preparations of anti-rotaviral immunoglobulins (colostrum,
egg yolk immunoglobulins) and include cost effectiveness evaluations.
PMID- 22071809
TI - Gauze and tape and transparent polyurethane dressings for central venous
catheters.
AB - BACKGROUND: Central venous catheters (CVCs) facilitate venous access, allowing
the intravenous administration of complex drug treatments, blood products and
nutritional support, without the trauma associated with repeated venepuncture.
However, CVCs are associated with a risk of infection. Some studies have
indicated that the type of dressing used with them may affect the risk of
infection. Gauze and tape, transparent polyurethane film dressings such as
Tegaderm(r) and Opsite(r), and highly vapour-permeable transparent polyurethane
film dressings such as Opsite IV3000(r), are the most common types of dressing
used to secure CVCs. Currently, it is not clear which type of dressing is the
most appropriate. OBJECTIVES: To compare gauze and tape with transparent
polyurethane CVC dressings in terms of catheter-related infection, catheter
security, tolerance to dressing material and dressing condition in hospitalised
adults and children. SEARCH METHODS: For this third update, we searched The
Cochrane Wounds Group Specialised Register (10 May 2011); The Cochrane Central
Register of Controlled Trials (CENTRAL; The Cochrane Library 2011, Issue 2), Ovid
MEDLINE (1950 to April Week 4 2011); Ovid MEDLINE (In-Process & Other Non-Indexed
Citations, May 11, 2011); Ovid EMBASE (1980 to 2011 Week 18); and EBSCO CINAHL
(1982 to 6 May 2011). SELECTION CRITERIA: All randomised controlled trials (RCTs)
evaluating the effects of dressing type (e.g. gauze and tape versus transparent
polyurethane dressings) on CVC-related infection, catheter security, tolerance to
dressing material and dressing condition in hospitalised patients. DATA
COLLECTION AND ANALYSIS: Two review authors independently assessed trial quality
and extracted data. We contacted study authors for missing information. MAIN
RESULTS: Six studies were included in earlier versions of the review. In this
update two of the previously included papers have been excluded and two new
trials have been added. Of these six trials, four compared gauze and tape with
transparent polyurethane dressings (total participants = 337) and two compared
different transparent polyurethane dressings (total participants = 126). Catheter
related bloodstream infection was higher in the transparent polyurethane group
when compared with gauze and tape; OR 4.19 (95%CI 1.02 to 17.23) however these
small trials were at risk of bias so this evidence is graded low quality. There
was no evidence of a difference between highly permeable polyurethane dressings
and other polyurethane dressings in the prevention of catheter-related
bloodstream infection (low quality evidence). No other significant differences
were found. AUTHORS' CONCLUSIONS: We found a four-fold increase in the rate of
catheter related blood stream infection when a polyurethane dressing was used to
secure the central venous catheter however this research was at risk of bias and
the confidence intervals were wide indicating high uncertainty around this
estimate; so the true effect could be as small as 2% or as high as 17-fold. More,
better quality research is needed regarding the relative effects of gauze and
tape versus polyurethane dressings for central venous catheter sites.
PMID- 22071810
TI - Non-legislative interventions for the promotion of cycle helmet wearing by
children.
AB - BACKGROUND: Helmets reduce bicycle-related head injuries, particularly in single
vehicle crashes and those where the head strikes the ground. We aimed to identify
non-legislative interventions for promoting helmet use among children, so future
interventions can be designed on a firm evidence base. OBJECTIVES: To assess the
effectiveness of non-legislative interventions in increasing helmet use among
children; to identify possible reasons for differences in effectiveness of
interventions; to evaluate effectiveness with respect to social group; to
identify adverse consequences of interventions. SEARCH METHODS: We searched the
following databases: Cochrane Injuries Group Specialised Register; the Cochrane
Central Register of Controlled Trials (CENTRAL); MEDLINE; EMBASE; PsycINFO
(Ovid); PsycEXTRA (Ovid); CINAHL (EBSCO); ISI Web of Science: Science Citation
Index Expanded (SCI-EXPANDED); Social Sciences Citation Index (SSCI); Conference
Proceedings Citation Index-Science (CPCI-S); and PubMed from inception to April
2009; TRANSPORT to 2007; and manually searched other sources of data. SELECTION
CRITERIA: We included RCTs and CBAs. Studies included participants aged 0 to 18
years, described interventions promoting helmet use not requiring enactment of
legislation and reported observed helmet wearing, self reported helmet ownership
or self reported helmet wearing. DATA COLLECTION AND ANALYSIS: Two independent
review authors selected studies for inclusion and extracted data. We used random
effects models to estimate pooled odds ratios (ORs) (with 95% confidence interval
(CI)). We explored heterogeneity with subgroup analyses. MAIN RESULTS: We
included 29 studies in the review, 21 of which were included in at least one meta
analysis. Non-legislative interventions increased observed helmet wearing (11
studies: OR 2.08, 95% CI 1.29 to 3.34). The effect was most marked amongst
community-based interventions (four studies: OR 4.30, 95% 2.24 to 8.25) and those
providing free helmets (two studies: OR 4.35, 95% CI 2.13 to 8.89). Significant
effects were also found amongst school-based interventions (eight studies: OR
1.73, CI 95% 1.03 to 2.91), with a smaller effect found for interventions
providing education only (three studies: OR 1.43, 95% CI 1.09 to 1.88). No
significant effect was found for providing subsidised helmets (seven studies: OR
2.02, 95% CI 0.98 to 4.17). Interventions provided to younger children (aged
under 12) may be more effective (five studies: OR 2.50, 95% CI 1.17 to 5.37) than
those provided to children of all ages (five studies: OR 1.83, 95% CI 0.98 to
3.42).Interventions were only effective in increasing self reported helmet
ownership where they provided free helmets (three studies: OR 11.63, 95% CI 2.14
to 63.16).Interventions were effective in increasing self reported helmet wearing
(nine studies: OR 3.27, 95% CI 1.56 to 6.87), including those undertaken in
schools (six studies: OR 4.21, 95% CI 1.06 to 16.74), providing free helmets
(three studies: OR 7.27, 95% CI 1.28 to 41.44), providing education only (seven
studies: OR 1.93, 95% CI 1.03 to 3.63) and in healthcare settings (two studies:
OR 2.78, 95% CI 1.38 to 5.61). AUTHORS' CONCLUSIONS: Non-legislative
interventions appear to be effective in increasing observed helmet use,
particularly community-based interventions and those providing free helmets.
Those set in schools appear to be effective but possibly less so than community
based interventions. Interventions providing education only are less effective
than those providing free helmets. There is insufficient evidence to recommend
providing subsidised helmets at present. Interventions may be more effective if
provided to younger rather than older children. There is evidence that
interventions offered in healthcare settings can increase self reported helmet
wearing.Further high-quality studies are needed to explore whether non
legislative interventions increase helmet wearing, and particularly the effect of
providing subsided as opposed to free helmets, and of providing interventions in
healthcare settings as opposed to in schools or communities. Alternative
interventions (e.g. those including peer educators, those aimed at developing
safety skills including skills in decision making and resisting peer pressure or
those aimed at improving self esteem or self efficacy) need developing and
testing, particularly for 11 to 18 year olds. The effect of interventions in
countries with existing cycle helmet legislation and in low and middle-income
countries also requires investigation.
PMID- 22071811
TI - Effects of low sodium diet versus high sodium diet on blood pressure, renin,
aldosterone, catecholamines, cholesterol, and triglyceride.
AB - BACKGROUND: In spite of more than 100 years of investigations the question of
reduced sodium intake as a health prophylaxis initiative is still unsolved.
OBJECTIVES: To estimate the effects of low sodium versus high sodium intake on
systolic and diastolic blood pressure (SBP and DBP), plasma or serum levels of
renin, aldosterone, catecholamines, cholesterol, high-density lipoprotein (HDL),
low-density lipoprotein (LDL) and triglycerides. SEARCH METHODS: PUBMED, EMBASE
and Cochrane Central and reference lists of relevant articles were searched from
1950 to July 2011. SELECTION CRITERIA: Studies randomizing persons to low sodium
and high sodium diets were included if they evaluated at least one of the above
outcome parameters. DATA COLLECTION AND ANALYSIS: Two authors independently
collected data, which were analysed with Review Manager 5.1. MAIN RESULTS: A
total of 167 studies were included in this 2011 update.The effect of sodium
reduction in normotensive Caucasians was SBP -1.27 mmHg (95% CI: -1.88, -0.66;
p=0.0001), DBP -0.05 mmHg (95% CI: -0.51, 0.42; p=0.85). The effect of sodium
reduction in normotensive Blacks was SBP -4.02 mmHg (95% CI:-7.37, -0.68;
p=0.002), DBP -2.01 mmHg (95% CI:-4.37, 0.35; p=0.09). The effect of sodium
reduction in normotensive Asians was SBP -1.27 mmHg (95% CI: -3.07, 0.54;
p=0.17), DBP -1.68 mmHg (95% CI:-3.29, -0.06; p=0.04). The effect of sodium
reduction in hypertensive Caucasians was SBP -5.48 mmHg (95% CI: -6.53, -4.43;
p<0.00001), DBP -2.75 mmHg (95% CI: -3.34, -2.17; p<0.00001). The effect of
sodium reduction in hypertensive Blacks was SBP -6.44 mmHg (95% CI:-8.85, -4.03;
p=0.00001), DBP -2.40 mmHg (95% CI:-4.68, -0.12; p=0.04). The effect of sodium
reduction in hypertensive Asians was SBP -10.21 mmHg (95% CI:-16.98, -3.44;
p=0.003), DBP -2.60 mmHg (95% CI: -4.03, -1.16; p=0.0004).In plasma or serum
there was a significant increase in renin (p<0.00001), aldosterone (p<0.00001),
noradrenaline (p<0.00001), adrenaline (p<0.0002), cholesterol (p<0.001) and
triglyceride (p<0.0008) with low sodium intake as compared with high sodium
intake. In general the results were similar in studies with a duration of at
least 2 weeks. AUTHORS' CONCLUSIONS: Sodium reduction resulted in a 1% decrease
in blood pressure in normotensives, a 3.5% decrease in hypertensives, a
significant increase in plasma renin, plasma aldosterone, plasma adrenaline and
plasma noradrenaline, a 2.5% increase in cholesterol, and a 7% increase in
triglyceride. In general, these effects were stable in studies lasting for 2
weeks or more.
PMID- 22071812
TI - Preoperative hair removal to reduce surgical site infection.
AB - BACKGROUND: Although preparation of people for surgery has traditionally included
removal of hair from the incision site, some studies claim that preoperative hair
removal is harmful, causes surgical site infections (SSIs), and should be
avoided. OBJECTIVES: To determine if routine pre-operative hair removal (compared
with no removal) and the timing or method of hair removal influence rates of
SSI.. SEARCH METHODS: For this second update we searched the Cochrane Wounds
Group Specialised Register (searched 12 August 2011); The Cochrane Central
Register of Controlled Trials (CENTRAL) (The Cochrane Library 2011, Issue 3);
Ovid MEDLINE (1950 to August Week 1 2011); Ovid MEDLINE (In-Process & Other Non
Indexed Citations August 11, 2010); Ovid EMBASE (1980 to 2011 Week 31) and EBSCO
CINAHL (1982 to 11August 2011). No date or language restrictions were applied.
SELECTION CRITERIA: Randomised controlled trials (RCTs) or quasi randomised
trials (QRCTs) that compared:1) hair removal with no hair removal; 2) different
methods of hair removal; 3) hair removal at different times before surgery; and,
4) hair removal in different settings (e.g. ward, anaesthetic room). DATA
COLLECTION AND ANALYSIS: Three authors independently assessed relevance and
quality of each trial. Data were extracted independently by two authors and cross
checked. MAIN RESULTS: We included 14 trials (17 comparisons) in the review;
three trials involved multiple comparisons. Six trials, two of which had three
comparison arms, (972 participants) compared hair removal (shaving, clipping, or
depilatory cream) with no hair removal and found no statistically significant
difference in SSI rates however the comparison is underpowered. Three trials
(1343 participants) that compared shaving with clipping showed significantly more
SSIs associated with shaving (RR 2.09, 95% CI 1.15 to 3.80). Seven trials (1213
participants) found no significant difference in SSI rates when hair removal by
shaving was compared with depilatory cream (RR 1.53, 95% CI 0.73 to 3.21),
however this comparison is also underpowered. One trial compared two groups that
shaved or clipped hair on the day of surgery compared with the day before
surgery; there was no statistically significant difference in the number of SSIs
between groups however this comparison was also underpowered.We identified no
trials that compared clipping with depilatory cream; or investigated application
of depilatory cream at different pre-operative time points, or hair removal in
different settings (e.g. ward, anaesthetic room). AUTHORS' CONCLUSIONS: Whilst
this review found no statistically significant effect on SSI rates of hair
removal insufficient numbers of people have been involved in this research to
allow confidence in a conclusion. When it is necessary to remove hair, the
existing evidence suggests that clippers are associated with fewer SSIs than
razors. There was no significant difference in SSI rates between depilatory
creams and shaving, or between shaving or clipping the day before surgery or on
the day of surgery however studies were small and more research is needed.
PMID- 22071813
TI - Public release of performance data in changing the behaviour of healthcare
consumers, professionals or organisations.
AB - BACKGROUND: It is becoming increasingly common to release information about the
performance of hospitals, health professionals or providers, and healthcare
organisations into the public domain. However, we do not know how this
information is used and to what extent such reporting leads to quality
improvement by changing the behaviour of healthcare consumers, providers and
purchasers, or to what extent the performance of professionals and providers can
be affected. OBJECTIVES: To determine the effectiveness of the public release of
performance data in changing the behaviour of healthcare consumers, professionals
and organisations. SEARCH METHODS: We searched the Cochrane Central Register of
Controlled Trials (CENTRAL), Cochrane Effective Practice and Organisation of Care
(EPOC) Trials Register, MEDLINE Ovid (from 1966), EMBASE Ovid (from 1979),
CINAHL, PsycINFO Ovid (from 1806) and DARE up to 2011. SELECTION CRITERIA: We
searched for randomised or quasi-randomised trials, interrupted time series and
controlled before-after studies of the effects of publicly releasing data
regarding any aspect of the performance of healthcare organisations or
individuals. The papers had to report at least one main outcome related to
selecting or changing care. Other outcome measures were awareness, attitude,
views and knowledge of performance data and costs. DATA COLLECTION AND ANALYSIS:
Two review authors independently screened studies for eligibility and extracted
data. For each study, we extracted data about the target groups (healthcare
consumers, healthcare providers and healthcare purchasers), performance data,
main outcomes (choice of healthcare provider and improvement by means of changes
in care) and other outcomes (awareness, attitude, views, knowledge of performance
data and costs). MAIN RESULTS: We included four studies containing more than
35,000 consumers, and 1560 hospitals. Three studies were conducted in the USA and
examined consumer behaviour after the public release of performance data. Two
studies found no effect of Consumer Assessment of Healthcare Providers and
Systems information on health plan choice in a Medicaid population. One
interrupted time series study found a small positive effect of the publishing of
data on patient volumes for coronary bypass surgery and low-complication outliers
for lumbar discectomy, but these effects did not persist longer than two months
after each public release. No effects on patient volumes for acute myocardial
infarction were found.One cluster-randomised controlled trial, conducted in
Canada, studied improvement changes in care after the public release of
performance data for patients with acute myocardial infarction and congestive
heart failure. No effects for the composite process-of-care indicators for either
condition were found, but there were some improvements in the individual process
of-care indicators. There was an effect on the mortality rates for acute
myocardial infarction. More quality improvement activities were initiated in
response to the publicly-released report cards. No secondary outcomes were
reported. AUTHORS' CONCLUSIONS: The small body of evidence available provides no
consistent evidence that the public release of performance data changes consumer
behaviour or improves care. Evidence that the public release of performance data
may have an impact on the behaviour of healthcare professionals or organisations
is lacking.
PMID- 22071814
TI - Probiotics for the prevention of pediatric antibiotic-associated diarrhea.
AB - BACKGROUND: Antibiotics alter the microbial balance within the gastrointestinal
tract. Probiotics may prevent antibiotic-associated diarrhea (AAD) via
restoration of the gut microflora. Antibiotics are prescribed frequently in
children and AAD is common in this population. OBJECTIVES: The primary objectives
were to assess the efficacy and safety of probiotics (any specified strain or
dose) used for the prevention of AAD in children. SEARCH METHODS: MEDLINE,
EMBASE, CENTRAL, CINAHL, AMED, and the Web of Science (inception to May 2010)
were searched along with specialized registers including the Cochrane IBD/FBD
review group, CISCOM (Centralized Information Service for Complementary
Medicine), NHS Evidence, the International Bibliographic Information on Dietary
Supplements as well as trial registries. Letters were sent to authors of included
trials, nutra/pharmaceutical companies, and experts in the field requesting
additional information on ongoing or unpublished trials. Conference proceedings,
dissertation abstracts, and reference lists from included and relevant articles
were also searched. SELECTION CRITERIA: Randomized, parallel, controlled trials
in children (0 to 18 years) receiving antibiotics, that compare probiotics to
placebo, active alternative prophylaxis, or no treatment and measure the
incidence of diarrhea secondary to antibiotic use were considered for inclusion.
DATA COLLECTION AND ANALYSIS: Study selection, data extraction as well as
methodological quality assessment using the risk of bias instrument was conducted
independently and in duplicate by two authors. Dichotomous data (incidence of
diarrhea, adverse events) were combined using a pooled relative risk and risk
difference (adverse events), and continuous data (mean duration of diarrhea, mean
daily stool frequency) as weighted mean differences, along with their
corresponding 95% confidence intervals. For overall pooled results on the
incidence of diarrhea, sensitivity analyses included available case versus
extreme-plausible analyses and random- versus fixed-effect models. To explore
possible explanations for heterogeneity, a priori subgroup analysis were
conducted on probiotic strain, dose, definition of antibiotic-associated
diarrhea, antibiotic agent as well as risk of bias. MAIN RESULTS: Sixteen studies
(3432 participants) met the inclusion criteria. Trials included treatment with
either Bacillus spp., Bifidobacterium spp., Lactobacilli spp., Lactococcus spp.,
Leuconostoc cremoris, Saccharomyces spp., or Streptococcus spp., alone or in
combination. Nine studies used a single strain probiotic agent, four combined two
probiotic strains, one combined three probiotic strains, one product included ten
probiotic agents, and one study included two probiotic arms that used three and
two strains respectively. The risk of bias was determined to be high in 8 studies
and low in 8 studies. Available case (patients who did not complete the studies
were not included in the analysis) results from 15/16 trials reporting on the
incidence of diarrhea show a large, precise benefit from probiotics compared to
active, placebo or no treatment control. The incidence of AAD in the probiotic
group was 9% compared to 18% in the control group (2874 participants; RR 0.52;
95% CI 0.38 to 0.72; I(2) = 56%). This benefit was not statistically significant
in an extreme plausible (60% of children loss to follow-up in probiotic group and
20% loss to follow-up in the control group had diarrhea) intention to treat (ITT)
sensitivity analysis. The incidence of AAD in the probiotic group was 16%
compared to 18% in the control group (3392 participants; RR 0.81; 95% CI 0.63 to
1.04; I(2) = 59%). An a priori available case subgroup analysis exploring
heterogeneity indicated that high dose (>=5 billion CFUs/day) is more effective
than low probiotic dose (< 5 billion CFUs/day), interaction P value = 0.010. For
the high dose studies the incidence of AAD in the probiotic group was 8% compared
to 22% in the control group (1474 participants; RR 0.40; 95% CI 0.29 to 0.55).
For the low dose studies the incidence of AAD in the probiotic group was 8%
compared to 11% in the control group (1382 participants; RR 0.80; 95% CI 0.53 to
1.21). An extreme plausible ITT subgroup analysis was marginally significant for
high dose probiotics. For the high dose studies the incidence of AAD in the
probiotic group was 17% compared to 22% in the control group (1776 participants;
RR 0.72; 95% CI 0.53 to 0.99; I(2) = 58%). None of the 11 trials (n = 1583) that
reported on adverse events documented any serious adverse events. Meta-analysis
excluded all but an extremely small non-significant difference in adverse events
between treatment and control (RD 0.00; 95% CI -0.01 to 0.02). AUTHORS'
CONCLUSIONS: Despite heterogeneity in probiotic strain, dose, and duration, as
well as in study quality, the overall evidence suggests a protective effect of
probiotics in preventing AAD. Using 11 criteria to evaluate the credibility of
the subgroup analysis on probiotic dose, the results indicate that the subgroup
effect based on dose (>=5 billion CFU/day) was credible. Based on high-dose
probiotics, the number needed to treat (NNT) to prevent one case of diarrhea is
seven (NNT 7; 95% CI 6 to 10). However, a GRADE analysis indicated that the
overall quality of the evidence for the primary endpoint (incidence of diarrhea)
was low due to issues with risk of bias (due to high loss to follow-up) and
imprecision (sparse data, 225 events). The benefit for high dose probiotics
(Lactobacillus rhamnosus or Saccharomyces boulardii) needs to be confirmed by a
large well-designed randomized trial. More refined trials are also needed that
test strain specific probiotics and evaluate the efficacy (e.g. incidence and
duration of diarrhea) and safety of probiotics with limited losses to follow-up.
It is premature to draw conclusions about the efficacy and safety of other
probiotic agents for pediatric AAD. Future trials would benefit from a standard
and valid outcomes to measure AAD.
PMID- 22071815
TI - Risk scoring systems for predicting preterm birth with the aim of reducing
associated adverse outcomes.
AB - BACKGROUND: Identification of pregnancies that are higher risk than average is
important to allow the possibility of interventions aimed at preventing adverse
outcomes like preterm birth. Many scoring systems designed to classify the risk
of a number of poor pregnancy outcomes (e.g. perinatal mortality, low
birthweight, and preterm birth) have been developed, but they have usually been
introduced without evaluation of their utility and validity. OBJECTIVES: To
determine whether the use of a risk-screening tool designed to predict preterm
birth (in combination with appropriate consequent interventions) reduces the
incidence of preterm birth and very preterm birth, and associated adverse
outcomes. SEARCH METHODS: We searched the Cochrane Pregnancy and Childbirth
Group's Trials Register (December 2010), CENTRAL (The Cochrane Library 2010,
Issue 4), MEDLINE (1966 to 17 December 2010), EMBASE (1974 to 17 December 2010),
and CINAHL (1982 to 17 December 2010). SELECTION CRITERIA: All randomised or
quasi-randomised (including cluster-randomised) or controlled clinical trials
that compared the incidence of preterm birth between groups that used a risk
scoring instrument to predict preterm birth with those who used an alternative
instrument, or no instrument; or that compared the use of the same instrument at
different gestations. The reports may have been published in peer reviewed or non
peer reviewed publications, or not published, and written in any language. DATA
COLLECTION AND ANALYSIS: All review authors planned to independently assess for
inclusion all the potential studies we identified as a result of the search
strategy. However, we identified no eligible studies. MAIN RESULTS: Extensive
searching revealed no trials of the use of risk scoring systems to prevent
preterm birth. AUTHORS' CONCLUSIONS: The role of risk scoring systems in the
prevention of preterm birth is unknown.There is a need for prospective studies
that evaluate the use of a risk-screening tool designed to predict preterm birth
(in combination with appropriate consequent interventions) to prevent preterm
birth, including qualitative and/or quantitative evaluation of their impact on
women's well-being. If these prove promising, they should be followed by an
adequately powered, well-designed randomised controlled trial.
PMID- 22071816
TI - Hydralazine for essential hypertension.
AB - BACKGROUND: Hypertension is associated with an increased risk of stroke,
myocardial infarction and congestive heart failure. Hydralazine is a direct
acting vasodilator which has been used for the treatment of hypertension since
the 1950's. Although it has largely been replaced by newer antihypertensive drugs
with more acceptable tolerability profiles, hydralazine is still widely used in
developing countries due to its lower cost. A review of its relative
effectiveness compared to placebo on surrogate and clinical outcomes is
justified. OBJECTIVES: To quantify the effect of hydralazine compared to placebo
in randomized controlled trials (RCTs) on all cause mortality, cardiovascular
mortality, serious adverse events, myocardial infarctions, strokes, withdrawals
due to adverse effects and blood pressure in patients with primary hypertension.
SEARCH METHODS: We searched the following databases: Cochrane Central Register of
Controlled Trials (2011, Issue 3), MEDLINE (1948-August 2011), International
Pharmaceutical Abstracts (1970-June 2009) and EMBASE (1980-August 2011).
Bibliographic citations from retrieved studies were also reviewed. No language
restrictions were applied. SELECTION CRITERIA: We selected RCTs studying the
effect of oral hydralazine compared to oral placebo in patients with primary
hypertension. We excluded studies of patients with secondary hypertension or
gestational hypertension. DATA COLLECTION AND ANALYSIS: Two reviewers
independently extracted data and assessed trial quality using the risk of bias
tool. Data synthesis and analysis was performed using RevMan 5. MAIN RESULTS: The
search strategy did not yield any randomized controlled trials comparing
hydralazine to placebo for inclusion in this review. There is insufficient
evidence to conclude on the effects of hydralazine versus placebo on mortality,
morbidity, withdrawals due to adverse effects, serious adverse events, or
systolic and diastolic blood pressure. Some of the adverse effects related to
hydralazine that have been reported in the literature include reflex tachycardia,
hemolytic anemia, vasculitis, glomerulonephritis, and a lupus-like syndrome.
AUTHORS' CONCLUSIONS: Hydralazine may reduce blood pressure when compared to
placebo in patients with primary hypertension, however this data is based on
before and after studies, not RCTs. Furthermore, its effect on clinical outcomes
remains uncertain.
PMID- 22071817
TI - Exercise for improving balance in older people.
AB - BACKGROUND: In older adults, diminished balance is associated with reduced
physical functioning and an increased risk of falling. This is an update of a
Cochrane review first published in 2007. OBJECTIVES: To examine the effects of
exercise interventions on balance in older people, aged 60 and over, living in
the community or in institutional care. SEARCH METHODS: We searched the Cochrane
Bone, Joint and Muscle Trauma Group Specialised Register, CENTRAL (The Cochrane
Library 2011, Issue 1), MEDLINE and EMBASE (to February 2011). SELECTION
CRITERIA: Randomised controlled studies testing the effects of exercise
interventions on balance in older people. The primary outcomes of the review were
clinical measures of balance. DATA COLLECTION AND ANALYSIS: Pairs of review
authors independently assessed risk of bias and extracted data from studies. Data
were pooled where appropriate. MAIN RESULTS: This update included 94 studies (62
new) with 9,917 participants. Most participants were women living in their own
home.Most trials were judged at unclear risk of selection bias, generally
reflecting inadequate reporting of the randomisation methods, but at high risk of
performance bias relating to lack of participant blinding, which is largely
unavoidable for these trials. Most studies only reported outcome up to the end of
the exercise programme.There were eight categories of exercise programmes. These
are listed below together with primary measures of balance for which there was
some evidence of a statistically significant effect at the end of the exercise
programme. Some trials tested more than one type of exercise. Crucially, the
evidence for each outcome was generally from only a few of the trials for each
exercise category. 1. Gait, balance, co-ordination and functional tasks (19
studies of which 10 provided primary outcome data): Timed Up & Go test (mean
difference (MD) -0.82 s; 95% CI -1.56 to -0.08 s, 114 participants, 4 studies);
walking speed (standardised mean difference (SMD) 0.43; 95% CI 0.11 to 0.75, 156
participants, 4 studies), and the Berg Balance Scale (MD 3.48 points; 95% CI 2.01
to 4.95 points, 145 participants, 4 studies).2. Strengthening exercise (including
resistance or power training) (21 studies of which 11 provided primary outcome
data): Timed Up & Go Test (MD -4.30 s; 95% CI -7.60 to -1.00 s, 71 participants,
3 studies); standing on one leg for as long as possible with eyes closed (MD 1.64
s; 95% CI 0.97 to 2.31 s, 120 participants, 3 studies); and walking speed (SMD
0.25; 95% CI 0.05 to 0.46, 375 participants, 8 studies).3. 3D (3 dimensional)
exercise (including Tai Chi, qi gong, dance, yoga) (15 studies of which seven
provided primary outcome data): Timed Up & Go Test (MD -1.30 s; 95% CI -2.40 to
0.20 s, 44 participants, 1 study); standing on one leg for as long as possible
with eyes open (MD 9.60 s; 95% CI 6.64 to 12.56 s, 47 participants, 1 study), and
with eyes closed (MD 2.21 s; 95% CI 0.69 to 3.73 s, 48 participants, 1 study);
and the Berg Balance Scale (MD 1.06 points; 95% CI 0.37 to 1.76 points, 150
participants, 2 studies).4. General physical activity (walking) (seven studies of
which five provided primary outcome data). 5. General physical activity (cycling)
(one study which provided data for walking speed). 6. Computerised balance
training using visual feedback (two studies, neither of which provided primary
outcome data). 7. Vibration platform used as intervention (three studies of which
one provided primary outcome data).8. Multiple exercise types (combinations of
the above) (43 studies of which 29 provided data for one or more primary
outcomes): Timed Up & Go Test (MD -1.63 s; 95% CI -2.28 to -0.98 s, 635
participants, 12 studies); standing on one leg for as long as possible with eyes
open (MD 5.03 s; 95% CI 1.19 to 8.87 s, 545 participants, 9 studies), and with
eyes closed ((MD 1.60 s; 95% CI -0.01 to 3.20 s, 176 participants, 2 studies);
walking speed (SMD 0.04; 95% CI -0.10 to 0.17, 818 participants, 15 studies); and
the Berg Balance Scale ((MD 1.84 points; 95% CI 0.71 to 2.97 points, 80
participants, 2 studies).Few adverse events were reported but most studies did
not monitor or report adverse events.In general, the more effective programmes
ran three times a week for three months and involved dynamic exercise in
standing. AUTHORS' CONCLUSIONS: There is weak evidence that some types of
exercise (gait, balance, co-ordination and functional tasks; strengthening
exercise; 3D exercise and multiple exercise types) are moderately effective,
immediately post intervention, in improving clinical balance outcomes in older
people. Such interventions are probably safe. There is either no or insufficient
evidence to draw any conclusions for general physical activity (walking or
cycling) and exercise involving computerised balance programmes or vibration
plates. Further high methodological quality research using core outcome measures
and adequate surveillance is required.
PMID- 22071818
TI - One dose per day compared to multiple doses per day of gentamicin for treatment
of suspected or proven sepsis in neonates.
AB - BACKGROUND: Animal studies and trials in older children and adults suggest that a
one dose per day regimen of gentamicin is superior to a multiple doses per day
regimen. OBJECTIVES: To compare the efficacy and safety of one dose per day
compared to multiple doses per day of gentamicin in suspected or proven sepsis in
neonates. SEARCH METHODS: Eligible studies were identified by searching the
Cochrane Central Register of Controlled Trials (CENTRAL, The Cochrane Library,
April 2011), MEDLINE (1966 to April 2011), EMBASE 1980 to April 2011, and CINAHL
(December 1982 to April 2011). Abstracts of the Society for Pediatric Research
were searched from 1980 to 2010 inclusive. SELECTION CRITERIA: All randomised or
quasi randomised controlled trials comparing one dose per day ( 'once a day')
compared to multiple doses per day ( 'multiple doses a day') of gentamicin to
newborn infants < 28 days of life. DATA COLLECTION AND ANALYSIS: Data collection
and analysis was performed according to the standards of the Cochrane Neonatal
Review Group. MAIN RESULTS: Eleven studies were included (N = 574) and nineteen
excluded. All infants in both 'once a day' as well as 'multiple doses a day'
regimen showed adequate clearance of sepsis [typical RD 0.00 (95% CI - 0.19 to
0.19); 3 trials; N = 36]. For the other primary outcome measures relating to
gentamicin pharmacokinetics 'once a day' dosing of gentamicin was superior. 'Once
a day' gentamicin regimen was associated with less failures to attain peak level
of at least 5 ug/ml [typical RR 0.22 (95% CI 0.11 to 0.47); 9 trials; N = 422]
and less failures to achieve trough levels of < 2 ug/ml [typical RR 0.38 (95% CI
0.27 to 0.55); 11 trials N = 503] compared to 'multiple doses a day'
regimen.Ototoxicity and nephrotoxicity were not noted with either of the
treatment regimens. AUTHORS' CONCLUSIONS: There is insufficient evidence from the
currently available RCTs to conclude whether 'once a day' or 'multiple doses a
day' regimen of gentamicin is superior in treating proven neonatal sepsis.
However, data suggests that pharmacokinetic properties of 'once a day' gentamicin
regimen are superior to 'multiple doses a day' regimen in that it achieves higher
peak levels while avoiding toxic trough levels. There is no change in
nephrotoxicity or auditory toxicity. Based on this assessment of
pharmacokinetics, 'once a day regimen' may be superior in treating neonatal
sepsis in neonates greater than 32 weeks gestation.
PMID- 22071819
TI - Creatine and creatine analogues in hypertension and cardiovascular disease.
AB - BACKGROUND: The creatine kinase system, the central regulatory system of cellular
energy metabolism, provides ATP in situ at ATP-ases involved in ion transport and
muscle contraction. Furthermore, the enzyme system provides relative protection
from tissue ischaemia and acidosis. The system could therefore be a target for
pharmacologic intervention. OBJECTIVES: To systematically evaluate evidence
regarding the effectiveness of interventions directly targeting the creatine
kinase system as compared to placebo control in adult patients with essential
hypertension or cardiovascular disease. SEARCH METHODS: Electronic databases
searched: Medline (1950 - Feb 2011), Embase (up to Feb 2011), the Cochrane
Controlled Trials Register (issue 3, Aug 2009), Latin-American/Caribbean databank
Lilacs; references from textbooks and reviews; contact with experts and
pharmaceutical companies; and searching the Internet. There was no language
restriction. SELECTION CRITERIA: Randomized controlled trials comparing creatine,
creatine phosphate, or cyclocreatine (any route, dose or duration of treatment)
with placebo; in adult patients with essential hypertension, heart failure, or
myocardial infarction. We did not include papers on the short-term use of
creatine during cardiac surgery. DATA COLLECTION AND ANALYSIS: The outcomes
assessed were death, total myocardial infarction (fatal or non-fatal),
hospitalizations for congestive heart failure, change in ejection fraction, and
changes in diastolic and systolic blood pressure in mm Hg or as percent change.
MAIN RESULTS: Full reports or abstracts from 1164 papers were reviewed, yielding
11 trials considering treatment with creatine or creatine analogues in 1474
patients with heart failure, ischemic heart disease or myocardial infarction. No
trial in patients with hypertension was identified. Eleven trials (1474 patients,
35 years or older) comparing add-on therapy of the creatine-based drug on
standard treatment to placebo control in patients with heart failure (6 trials in
1226 / 1474 patients ), or acute myocardial infarction (4 trials in 220 / 1474
patients) or 1 in ischemic heart disease (28 / 1474 patients) were identified.
The drugs used were either creatine, creatine phosphate (orally, intravenously,
or intramuscular) or phosphocreatinine. In the trials considering heart failure
all three different compounds were studied; creatine orally (Gordon 1995, Kuethe
2006), creatine phosphate via intravenous infusion (Ferraro 1996, Grazioli 1992),
and phosphocreatinine orally (Carmenini 1994, Maggi 1990). In contrast, the acute
myocardial infarction trials studied intravenous creatine phosphate only. In the
ischemic heart disease trial (Pedone 1984) creatine phosphate was given twice
daily through an intramuscular injection to outpatients and through an
intravenous infusion to inpatients. The duration of the study intervention was
shorter for the acute patients, from a two hour intravenous infusion of creatine
phosphate in acute myocardial infarction (Ruda 1988, Samarenko 1987), to six
months in patients with heart failure on oral phosphocreatinine therapy
(Carmenini 1994). In the acute myocardial infarction patients the follow-up
period varied from the acute treatment period (Ruda 1988) to 28 days after start
of the symptoms (Samarenko 1987) or end of the hospitalization period (Zochowski
1994). In the other trials there was no follow-up after discontinuation of
treatment, except for Gordon 1995 which followed the patients until four days
after stopping the intervention.Only two out of four trials in patients with
acute myocardial infarction reported mortality outcomes, with no significant
effect of creatine or creatine analogues (RR 0.73, CI: 0.22 - 2.45). In addition,
there was no significance on the progression of myocardial infarction or
improvement on ejection fraction. The main effect of the interventions seems to
be on improvement of dysrhythmia. AUTHORS' CONCLUSIONS: This review found
inconclusive evidence to decide on the use of creatine analogues in clinical
practice. In particular, it is not clear whether there is an effect on mortality,
progression of myocardial infarction and ejection fraction, while there is some
evidence that dysrhythmia and dyspnoea might improve. However, it is not clear
which analogue, dose, route of administration, and duration of therapy is most
effective. Moreover, given the small sample size of the discussed trials and the
heterogeneity of the population included in these reports, larger clinical
studies are needed to confirm these observations.
PMID- 22071820
TI - Ultrasound use for the placement of haemodialysis catheters.
AB - BACKGROUND: A significant proportion of patients starting dialysis do so with a
temporary or tunnelled haemodialysis catheter. Insertion of these catheters can
be achieved either by using the anatomical landmarks for the veins into which
they are inserted or using ultrasound guidance. It has been suggested that the
use of ultrasound guidance reduces the immediate complications of haemodialysis
catheter insertions such as pneumothorax or arterial puncture. OBJECTIVES: The
aim of the review was to compare the use of real-time 2-dimensional (2-D) Doppler
ultrasound venous imaging in the insertion of percutaneous central venous
catheters for dialysis versus the traditional "blind" landmark method. SEARCH
METHODS: We searched the Cochrane Renal Group's Specialised Register, MEDLINE,
EMBASE, Cochrane Central Register of Controlled Trials (CENTRAL). Reference lists
of identified studies and relevant narrative reviews were also screened. Search
date: January 2011. SELECTION CRITERIA: All randomised controlled trials (RCTs)
and quasi-RCTs evaluating ultrasound guidance in the percutaneous insertion of
central venous catheters for dialysis (both cuffed and uncuffed) against the
traditional blind landmark method. DATA COLLECTION AND ANALYSIS: Two authors
assessed risk of bias and extracted data. Statistical analyses were performed
using the random effects model and the results expressed as risk ratios (RR) for
dichotomous outcomes or mean difference (MD) for continuous data with 95%
confidence intervals (CI). MAIN RESULTS: We identified seven studies enrolling
767 patients and with 830 catheter insertions. Three of seven studies described
the method of random sequence generation, none described allocation concealment,
and blinding of participants and personnel was not possible. Real-time ultrasound
guidance was found to significantly reduce the risk of catheter placement failure
on the first attempt (5 studies, 595 catheters): RR 0.40, 95% CI 0.30 to 0.52),
significantly reduce the risk of arterial puncture (6 studies, 535 catheters: RR
0.13, 95% CI 0.04 to 0.37) and haematomas (4 studies, 323 catheters: RR 0.22, 95%
CI 0.06 to 0.81) when compared to the landmark method. The time taken for
successful cannulation was significantly lower with the use of real-time
ultrasound guidance (1 study, 73 catheters: MD -1.40 min, 95% CI -2.17 to -0.63)
and there were less attempts/catheter insertion (1 study, 110 catheters: -0.35,
95% CI -0.54 to -0.16). AUTHORS' CONCLUSIONS: Use of real-time 2-D Doppler
ultrasound guidance has significant benefits with respect to the number if
catheters successfully inserted on the first attempt, reduction in the risk of
arterial puncture and haematomas and the time taken for successful vein puncture.
PMID- 22071821
TI - Cognitive reframing for carers of people with dementia.
AB - BACKGROUND: The balance of evidence about whether psychosocial interventions for
caregivers of people with dementia could reduce carers' psychological morbidity
and delay their relatives' institutionalisation is now widely regarded as
moderately positive (Brodaty 2003; Spijker 2008). Multi-component, tailor-made
psychosocial interventions are considered to be particularly promising (Brodaty
2003; Spijker 2008). These interventions involve multiple mechanisms of action.
In this review we focused solely on the effectiveness of one element within
psychosocial interventions, cognitive reframing. Cognitive reframing is a
component of cognitive behavioral therapy (CBT). In dementia care, cognitive
reframing interventions focus on family carers' maladaptive, self-defeating or
distressing cognitions about their relatives' behaviors and about their own
performance in the caring role. OBJECTIVES: The objective of this review was to
evaluate the effectiveness of cognitive reframing interventions for family carers
of people with dementia on their psychological morbidity and stress. SEARCH
METHODS: The trials were identified by searching (5 April 2009) the Cochrane
Dementia and Cognitive Improvement Group Specialized Register, which contains
records from major healthcare databases: The Cochrane Library, MEDLINE, EMBASE,
PsycINFO, CINAHL and LILACS, ongoing trial databases and grey literature sources.
For more detailed information on what the Group's specialized register contains
and to view the search strategies see the Cochrane Dementia and Cognitive
Improvement Group methods used in reviews.The Cochrane Library, MEDLINE, EMBASE,
PsycINFO, CINAHL, LILACS and a number of trial registers and grey literature
sources were also searched separately on 5 April 2009. SELECTION CRITERIA:
Randomised controlled trials of cognitive reframing interventions for family
carers of people with dementia. DATA COLLECTION AND ANALYSIS: Three assessors
(MVD, ID, JmC) independently judged whether the intervention being studied was
documented in a trial; two assessors assessed trial quality. MAIN RESULTS: Pooled
data indicated a beneficial effect of cognitive reframing interventions on
carers' psychological morbidity, specifically anxiety (standardised mean
difference (SMD) -0.21; 95% confidence interval (CI) -0.39 to -0.04), depression
(SMD -0.66; 95% CI -1.27 to -0.05), and subjective stress (SMD -0.23; 95% CI
0.43 to -0.04). No effects were found for carers' coping, appraisal of the
burden, reactions to their relatives' behaviors, or institutionalization of the
person with dementia. AUTHORS' CONCLUSIONS: Cognitive reframing for family carers
of people with dementia seems to reduce psychological morbidity and subjective
stress but without altering appraisals of coping or burden. The results suggest
that it may be an effective component of individualised, multi-component
interventions for carers. Identifying studies with relevant interventions was a
challenge for this review. The impact of cognitive reframing might be higher when
used alongside other interventions because this offers better opportunities to
tailor cognitive reframing to actual everyday carer problems.
PMID- 22071822
TI - Intraperitoneal chemotherapy for the initial management of primary epithelial
ovarian cancer.
AB - BACKGROUND: Ovarian cancer tends to be chemosensitive and confine itself to the
surface of the peritoneal cavity for much of its natural history. These features
have made it an obvious target for intraperitoneal (IP) chemotherapy.
Chemotherapy for ovarian cancer is usually given as an intravenous (IV) infusion
repeatedly over five to eight cycles. Intraperitoneal chemotherapy is given by
infusion of the chemotherapeutic agent directly into the peritoneal cavity. There
are biological reasons why this might increase the anticancer effect and reduce
some systemic adverse effects in comparison to IV therapy. OBJECTIVES: To
determine if adding a component of the chemotherapy regime into the peritoneal
cavity affects overall survival, progression-free survival, quality of life (QOL)
and toxicity in the primary treatment of epithelial ovarian cancer. SEARCH
METHODS: We searched the Gynaecological Cancer Review Group's Specialised
Register, the Cochrane Central Register of Controlled Trials (CENTRAL) Issue 2,
2011, MEDLINE (1951 to May 2011) and EMBASE (1974 to May 2011). We updated these
searches in February 2007, August 2010 and May 2011. In addition, we handsearched
and cascade searched the major gynaecological oncology journals. SELECTION
CRITERIA: The analysis was restricted to randomised controlled trials (RCTs)
assessing women with a new diagnosis of primary epithelial ovarian cancer, of any
FIGO stage, following primary cytoreductive surgery. Standard IV chemotherapy was
compared with chemotherapy that included a component of IP administration. DATA
COLLECTION AND ANALYSIS: We extracted data on overall survival, disease-free
survival, adverse events and QOL and performed meta-analyses of hazard ratios
(HR) for time-to-event variables and relative risks (RR) for dichotomous outcomes
using RevMan software. MAIN RESULTS: Nine randomised trials studied 2119 women
receiving primary treatment for ovarian cancer. We considered six trials to be of
high quality. Women were less likely to die if they received an IP component to
chemotherapy (eight studies, 2026 women; HR = 0.81; 95% confidence interval (CI):
0.72 to 0.90). Intraperitoneal component chemotherapy prolonged the disease-free
interval (five studies, 1311 women; HR = 0.78; 95% CI: 0.70 to 0.86). There was
greater serious toxicity with regard to gastrointestinal effects, pain, fever and
infection but less ototoxicity with the IP than the IV route. AUTHORS'
CONCLUSIONS: Intraperitoneal chemotherapy increases overall survival and
progression-free survival from advanced ovarian cancer. The results of this meta
analysis provide the most reliable estimates of the relative survival benefits of
IP over IV therapy and should be used as part of the decision making process.
However, the potential for catheter related complications and toxicity needs to
be considered when deciding on the most appropriate treatment for each individual
woman. The optimal dose, timing and mechanism of administration cannot be
addressed from this meta-analysis. This needs to be addressed in the next phase
of clinical trials.
PMID- 22071823
TI - Interventions to reduce haemorrhage during myomectomy for fibroids.
AB - BACKGROUND: Uterine myomas (fibroids) are benign tumours of the uterus.
Myomectomy, the surgical removal of myomas, can be associated with life
threatening bleeding and prolonged postoperative stay. Knowledge of the
effectiveness of the interventions to reduce bleeding during myomectomy is
essential to enable evidence-based clinical decisions. This is an update of the
review published in The Cochrane Library Issue 3, 2009. OBJECTIVES: To assess the
effectiveness, safety, tolerability, and costs of interventions to reduce blood
loss during myomectomy. SEARCH STRATEGY: Electronic searches were undertaken in
the Cochrane Menstrual Disorders and Subfertility Group Specialised Register, the
Cochrane Central Register of Controlled Trials (CENTRAL) (The Cochrane Library
2011, Issue 3), MEDLINE (1950 to February 2011), EMBASE (1980 to February 2011),
CINAHL (1982 to February 2011), and PsycINFO (1801 to February 2011). SELECTION
CRITERIA: Only randomised controlled trials (RCTs) that compared the use of
interventions to reduce blood loss during myomectomy to placebo or no treatment
were included. DATA COLLECTION AND ANALYSIS: The two authors independently
selected RCTs for inclusion, assessed the methodological quality of trials, and
extracted data. We expressed study results as mean differences (MD) for
continuous data and odds ratios for dichotomous data, with 95% confidence
intervals (CI). MAIN RESULTS: Twelve RCTs with 674 participants met our inclusion
criteria. The interventions were intramyometrial vasopressin (two RCTs),
intravenous oxytocin (two RCTs), peri-cervical tourniquet (two RCTs), and one RCT
each for vaginal misoprostol, gelatin thrombin matrix, chemical dissection with
sodium-2-mercaptoethane sulfonate (mesna), intramyometrial bupivacaine plus
epinephrine, tranexamic acid, and myoma enucleation by morcellation. We found
significant reductions in blood loss with misoprostol (MD -149.00 ml, 95% CI
229.24 to -68.76), vasopressin (MD -298.72 ml, 95% CI -593.10 to -4.34; I(2) =
99%), bupivacaine plus epinephrine (MD -68.60 ml, 95% CI -93.69 to - 43.51),
tranexamic acid (MD -243 ml, 95% CI -460 to -25.98), peri-cervical tourniquet (MD
-289.44, 95% CI -406.55 to -172.32; I(2) = 95%), and gelatin-thrombin matrix (MD
545.00 ml, 95% CI -593.26 to -496.74). There was no evidence of an effect on
blood loss with oxytocin or morcellation. None of the interventions significantly
increased myomectomy-related complications. The trials did not assess the costs
of the different interventions. AUTHORS' CONCLUSIONS: There is limited evidence
that misoprostol, vasopressin, bupivacaine plus epinephrine, tranexamic acid,
gelatin thrombin matrix, peri-cervical tourniquet, and mesna may reduce bleeding
during myomectomy. Bupivacaine plus epinephrine has limited clinical importance
compared with other interventions as the clinical impact was small. There is no
evidence that oxytocin and morcellation reduce blood loss. Further well designed
studies are required to establish effectiveness, safety and the costs of
different interventions for reducing blood loss during myomectomy.
PMID- 22071824
TI - Laetrile treatment for cancer.
AB - BACKGROUND: Laetrile is the name for a semi-synthetic compound which is
chemically related to amygdalin, a cyanogenic glycoside from the kernels of
apricots and various other species of the genus Prunus. Laetrile and amygdalin
are promoted under various names for the treatment of cancer although there is no
evidence for its efficacy. Due to possible cyanide poisoning, laetrile can be
dangerous. OBJECTIVES: To assess the alleged anti-cancer effect and possible
adverse effects of laetrile and amygdalin. SEARCH METHODS: We searched the
following databases: CENTRAL (2011, Issue 1); MEDLINE (1951-2011); EMBASE (1980
2011); AMED; Scirus; CancerLit; CINAHL (all from 1982-2011); CAMbase (from 1998
2011); the MetaRegister; the National Research Register; and our own files. We
examined reference lists of included studies and review articles and we contacted
experts in the field for knowledge of additional studies. We did not impose any
restrictions of timer or language. SELECTION CRITERIA: Randomized controlled
trials (RCTs) and quasi-RCTs. DATA COLLECTION AND ANALYSIS: We searched eight
databases and two registers for studies testing laetrile or amygdalin for the
treatment of cancer. Two review authors screened and assessed articles for
inclusion criteria. MAIN RESULTS: We located over 200 references, 63 were
evaluated in the original review and an additional 6 in this update. However, we
did not identify any studies that met our inclusion criteria. AUTHORS'
CONCLUSIONS: The claims that laetrile or amygdalin have beneficial effects for
cancer patients are not currently supported by sound clinical data. There is a
considerable risk of serious adverse effects from cyanide poisoning after
laetrile or amygdalin, especially after oral ingestion. The risk-benefit balance
of laetrile or amygdalin as a treatment for cancer is therefore unambiguously
negative.
PMID- 22071825
TI - Chinese medicinal herbs for measles.
AB - BACKGROUND: Measles is an infectious disease caused by the Morbillivirus. Chinese
physicians believe that medicinal herbs are effective in alleviating symptoms and
preventing complications. Chinese herbal medicines are dispensed according to the
particular symptoms. This is the second update of a Cochrane Review first
published in 2006. OBJECTIVES: To assess the effectiveness and possible adverse
effects of Chinese medicinal herbs for measles. SEARCH METHODS: We searched the
Cochrane Central Register of Controlled Clinical Trials (CENTRAL Issue 1, 2011)
which contains the Cochrane Acute Respiratory Infections Group's Specialised
Register, MEDLINE (1966 to March week 5, 2011), EMBASE (1980 to April 2011), Web
of Science (2005 to 30 April 2011), AMED (1985 to 30 April 2011), Chinese
Biomedical Database (1976 to 30 June 2011), VIP Information (1989 to 30 June
2011), China National Knowledge Infrastructure (CNKI) (1976 to 30 June 2011),
Chinese Journals full-article database (1994 to 30 June 2011) and the
metaRegister of Controlled Trials for ongoing trials. SELECTION CRITERIA:
Randomised controlled trials (RCTs) of Chinese medicinal herbs in patients with
measles (without complications). DATA COLLECTION AND ANALYSIS: Two review authors
(SC, TW) independently assessed trial quality and extracted data. We telephone
interviewed the trial authors for missing information regarding participant
allocation. Some trials allocated participants according to the sequence they
were admitted to the trials, that is to say, by using a pseudo-random allocation
method. None of the trials concealed the allocation or used blinding methods.
MAIN RESULTS: We did not identify any suitable trials for inclusion. In this
updated review we identified 80 trials which claimed to use random allocation. We
contacted 32 trial authors by telephone and learned that the allocation methods
used were not randomised. We excluded 34 studies because the participants
experienced complications such as pneumonia. We excluded 10 trials because of non
random allocation and complications experienced by the participants. We were
unable to contact the remaining four trials' authors, so they require further
assessment and have been allocated to the 'Studies awaiting classification'
section. AUTHORS' CONCLUSIONS: There is no RCT evidence for or against Chinese
medicinal herbs as a treatment for measles. We hope high-quality, robust RCTs in
this field will be conducted in the future.
PMID- 22071826
TI - Prostaglandins for adult liver transplanted patients.
AB - BACKGROUND: Prostaglandins may reduce ischaemic injury after liver
transplantation. Several small randomised trials have evaluated the effects of
prostaglandins in patients undergoing liver transplantation. Results of these
trials are inconsistent, and none has enough power to reliably exclude effects of
prostaglandins. OBJECTIVES: To assess the benefits and harms of prostaglandin E1
or E2 in adult liver-transplanted patients. SEARCH METHODS: We searched The
Cochrane Hepato-Biliary Group Controlled Trials Register, the Cochrane Central
Register of Controlled Trials (CENTRAL) in The Cochrane Library, MEDLINE, EMBASE,
Science Citation Index Expanded, and LILACS (search on 20 April 2011). In
addition, we perused the reference lists of the identified studies and contacted
trials investigators, and national and international experts in order to identify
more trials for the review. SELECTION CRITERIA: We included randomised clinical
trials evaluating prostaglandin E1 or E2 initiated in the perioperative period
versus placebo or standard treatment for adult patients undergoing liver
transplantation. We did not apply any language or publication status
restrictions. DATA COLLECTION AND ANALYSIS: Two authors independently evaluated
methodological quality, ie, risk of bias of the included trials, and extracted
data using standardised data extraction forms. We contacted trial investigators
in attempt to retrieve information not available in the original manuscripts. We
used random-effects model meta-analyses and fixed-effect model meta-analyses to
estimate the odds ratio with 95% confidence interval (CI). MAIN RESULTS: We
included ten trials in which 652 patients were randomised. The risk of bias was
considered high in most trials. There was no significant effect of prostaglandins
on all-cause mortality (37/298[12.4%] in prostaglandin group versus 47/312[15.1%]
in control group; OR 0.84, 95% CI 0.53 to 1.37; I(2) = 0%), on primary non
function of the allograft (8/238 [3.4%] versus. 16/250[6.4%] ;OR 0.55, 95% CI
0.23 to 1.33; I(2) = 0%), and on liver re-transplantation (12/161[7.5%] versus
14/171[8.2%]; OR 0.99, 95% CI 0.44 to 2.25; I(2) = 0%). Prostaglandins seemed to
significantly decrease the risk of acute kidney failure requiring dialysis
(13/158[8.2%] versus 34/171[9.9%]; OR 0.37, 95% CI 0.18 to 0.75; I(2) = 0%).
There was no significant increase in the risk of adverse events with
prostaglandins. AUTHORS' CONCLUSIONS: We found no evidence that the
administration of prostaglandins to liver transplanted patients reduces the risk
of death, primary non-function of the allograft, or liver re-transplantation.
Prostaglandins might reduce the risk of acute kidney failure requiring dialysis,
but the quality of the evidence is considered only moderate due to high risk of
bias in most of the included trials. Moreover, there are risks of outcome measure
reporting bias and random errors. Therefore, further randomised, placebo
controlled trials are deemed necessary.
PMID- 22071827
TI - Patient isolation measures for infants with candida colonization or infection for
preventing or reducing transmission of candida in neonatal units.
AB - BACKGROUND: Candida is a common nosocomial infection and is associated with
increased healthcare costs. In neonates, candida infection is associated with
high mortality and morbidity and is transmitted by direct and indirect contact.
Patient isolation measures, i.e. single room isolation or cohorting, are usually
recommended for infections that spread by contact. OBJECTIVES: To determine the
effect of patient isolation measures (single room isolation and/or cohorting) for
infants with candida colonization or infection as an adjunct to routine infection
control measures on the transmission of candida to other infants in the neonatal
unit. SEARCH METHODS: Relevant trials in any language were searched in the
following databases in July 2011: The Cochrane Central Register of Controlled
Trials (CENTRAL, The Cochrane Library, Issue 2, 2011), MEDLINE, BIOSIS, EMBASE
and CINAHL. Proceedings of the Pediatric Academic Societies (from 1987) and
ongoing trials were searched. SELECTION CRITERIA: Types of studies: Cluster
randomized trials (where clusters may be defined by hospital, ward, or other
subunits of the hospital). TYPES OF PARTICIPANTS: Neonatal units caring for
infants colonized or infected with Candida. Types of interventions: A policy of
patient isolation measures (single room isolation or cohorting of infants with
Candida colonization or infection) compared to routine isolation measures. DATA
COLLECTION AND ANALYSIS: The standard methods of the Cochrane Neonatal Review
Group (CNRG) were used to identify studies and to assess the methodological
quality of eligible cluster-randomized trials. Infection rates and colonization
rates were to be expressed as rate ratios for each trial and if appropriate for
meta-analysis, the generic inverse variance method in RevMan was to be used. MAIN
RESULTS: No eligible trials were identified. AUTHORS' CONCLUSIONS: The review
found no evidence to either support or refute the use of patient isolation
measures (single room isolation or cohorting) in neonates with candida
colonization or infection.Despite the evidence for transmission of candida by
contact and evidence of cross-infection by health care workers, no standard
policy of patient isolation measures beyond routine infection control measures
exists in the neonatal unit. There is an urgent need to research the role of
patient isolation measures for preventing transmission of candida in the neonatal
unit. Well designed trials randomizing clusters of units or hospitals to a type
of patient isolation method intervention are needed.
PMID- 22071828
TI - Nutritional supplements for people being treated for active tuberculosis.
AB - BACKGROUND: Tuberculosis and malnutrition are linked in a complex relationship.
The infection may cause undernutrition through increased metabolic demands and
decreased intake, and nutritional deficiencies may worsen the disease, or delay
recovery by depressing important immune functions. At present, there are no
evidence-based nutritional guidance for adults and children being treated for
tuberculosis. OBJECTIVES: To assess the effects of oral nutritional supplements
(food, protein/energy supplements or micronutrients) on tuberculosis treatment
outcomes and recovery in people on antituberculous drug therapy for active
tuberculosis. SEARCH METHODS: We searched the Cochrane Infectious Disease Group
Specialized Register, CENTRAL (The Cochrane Library), MEDLINE, EMBASE, LILACS,
mRCT, and the Indian Journal of Tuberculosis to July 2011, and checked the
reference lists of all included studies. SELECTION CRITERIA: Randomized
controlled trials comparing any oral nutritional supplement given for at least
four weeks with no nutritional intervention, placebo, or dietary advice only for
people being treated for active tuberculosis. DATA COLLECTION AND ANALYSIS: Two
authors independently selected trials, extracted data, and assessed the risk of
bias. Results are presented as risk ratios (RR) for dichotomous variables, and
mean differences (MD) for continuous variables, with 95% confidence intervals
(CI). Where appropriate, data from trials with similar interventions and outcomes
have been pooled. The quality of evidence was assessed using the GRADE methods.
MAIN RESULTS: Twenty-three trials, with 6842 participants, were included.
Macronutrient supplementation Five trials assessed the provision of free food, or
high energy supplements, although none were shown to provide a total daily
kilocalorie intake above the current daily recommended intake for the non
infected population.The available trials were too small to reliably prove or
exclude clinically important benefits on mortality, cure, or treatment
completion. One small trial from India did find a statistically significant
benefit on treatment completion, and clearance of the bacteria from the sputum,
but these findings have not been confirmed in larger trials elsewhere (VERY LOW
quality evidence).The provision of free food or high-energy nutritional products
probably does produce a modest increase in weight gain during treatment for
active tuberculosis (MODERATE quality evidence). Two small studies provide some
evidence that physical function and quality of life may also be improved but the
trials were too small to have much confidence in the result (LOW quality
evidence). These effects were not seen in the one trial which included only human
immunodeficiency virus (HIV)-positive patients.Micronutrient supplementation Five
trials assessed multi-micronutrient supplementation in doses up to ten times the
dietary reference intake, and 12 trials assessed single or dual micronutrient
supplementation.There is insufficient evidence to judge whether multi
micronutrients have a beneficial effect on mortality in HIV- negative patients
with tuberculosis (VERY LOW quality evidence), but the available studies show
that multi-micronutrients probably have little or no effect on mortality in HIV
positive patients with tuberculosis (MODERATE quality evidence). No studies have
assessed the effects of multi-micronutrients on cure, or treatment
completion.Multi-micronutrient supplements may have little or no effect on the
proportion of tuberculosis patients remaining sputum positive during the first
eight weeks (LOW quality evidence), and probably have no effect on weight gain
during treatment (MODERATE quality evidence). No studies have assessed quality of
life.Plasma levels of vitamin A appear to increase following initiation of
tuberculosis treatment regardless of supplementation. In contrast, plasma levels
of zinc, vitamin D and E, and selenium may be improved by supplementation during
the early stages of tuberculosis treatment, but a consistent benefit on
tuberculosis treatment outcomes or nutritional recovery has not been
demonstrated. AUTHORS' CONCLUSIONS: There is insufficient research to know
whether routinely providing free food or energy supplements results in better
tuberculosis treatment outcomes, or improved quality of life. Further trials,
particularly from food insecure settings, should have adequate sample sizes to
identify, or exclude, clinically important benefits.Although blood levels of some
vitamins may be low in patients starting treatment for active tuberculosis, there
is currently no reliable evidence that routinely supplementing at or above
recommended daily amounts has clinical benefits.
PMID- 22071829
TI - Laparoscopic versus open nephrectomy for live kidney donors.
AB - BACKGROUND: Waiting lists for kidney transplantation continue to grow and live
organ donation has become more important as the number of brain stem dead
cadaveric organ donors continues to fall. The major disincentive to potential
kidney donors is the pain and morbidity associated with open surgery. OBJECTIVES:
To identify the benefits and harms of using laparoscopic compared to open
nephrectomy techniques to recover kidneys from live organ donors. SEARCH METHODS:
We searched the online databases CENTRAL (in The Cochrane Library 2010, Issue 2),
MEDLINE (January 1966 to January 2010) and EMBASE (January 1980 to January 2010)
and handsearched textbooks and reference lists. SELECTION CRITERIA: Randomised
controlled trials comparing laparoscopic donor nephrectomy (LDN) with open donor
nephrectomy (ODN). DATA COLLECTION AND ANALYSIS: Two review authors independently
screened titles and abstracts for eligibility, assessed study quality, and
extracted data. We contacted study authors for additional information where
necessary. MAIN RESULTS: Six studies were identified that randomised 596 live
kidney donors to either LDN or ODN arms. All studies were assessed as having low
or unclear risk of bias for selection bias, allocation bias, incomplete outcome
data and selective reporting bias. Four of six studies had high risk of bias for
blinding. Various different combinations of techniques were used in each study,
resulting in heterogeneity in the results. The conversion rate from LDN to ODN
ranged from 1% to 1.8%. LDN was generally found to be associated with reduced
analgesia use, shorter hospital stay, and faster return to normal physical
functioning. The extracted kidney was exposed to longer warm ischaemia periods (2
to 17 minutes) with no associated short-term consequences. ODN was associated
with shorter duration of procedure. For those outcomes that could be meta
analysed there were no significant differences between LDN or ODN for
perioperative complications (RR 0.87, 95% CI 0.47 to 4.59), reoperations (RR
0.57, 95% CI 0.09 to 3.64), early graft loss (RR 0.31, 95% CI 0.06 to 1.48),
delayed graft function (RR 1.09, 95% CI 0.52 to 2.30), acute rejection (RR 1.41,
95 % CI 0.87 to 2.27), ureteric complications (RR 1.51, 95% CI 0.69 to 3.31),
kidney function at one year (SMD 0.15, 95% CI -0.11 to 0.41) or graft loss at one
year (RR 0.76, 95% CI 0.15 to 3.85). AUTHORS' CONCLUSIONS: LDN is associated with
less pain compared with open surgery; however, there are equivalent numbers of
complications and occurrences of perioperative events that require further
intervention. Kidneys obtained using LDN procedures were exposed to longer warm
ischaemia periods than ODN-acquired grafts, although this has not been reported
as being associated with short-term consequences.
PMID- 22071830
TI - Antenatal breastfeeding education for increasing breastfeeding duration.
AB - BACKGROUND: Breastfeeding (BF) is well recognised as the best food for infants.
The impact of antenatal BF education on the duration of BF has not been
evaluated. OBJECTIVES: To evaluate the effectiveness of antenatal BF education
for increasing BF initiation and duration. SEARCH METHODS: We searched the
Cochrane Pregnancy and Childbirth Group's Trials Register (21 April 2010),
CENTRAL (The Cochrane Library 2010, Issue 2), MEDLINE (1966 to April 2010) and
SCOPUS (January 1985 to April 2010). We contacted experts and searched reference
lists of retrieved articles. We updated the search of the Pregnancy and
Childbirth Group's Trials Register on 28 September 2011 and added the results to
the awaiting classification section of the review. SELECTION CRITERIA: All
identified published, unpublished and ongoing randomised controlled trials (RCTs)
assessing the effect of formal antenatal BF education or comparing two different
methods of formal antenatal BF education, on duration of BF. We excluded RCTs
that also included intrapartum or postpartum BF education. DATA COLLECTION AND
ANALYSIS: We assessed all potential studies identified as a result of the search
strategy. Two review authors extracted data from each included study using the
agreed form and assessed risk of bias. We resolved discrepancies through
discussion. MAIN RESULTS: We included 17 studies with 7131 women in the review
and 14 studies involving 6932 women contributed data to the analyses. We did not
do any meta-analysis because there was only one study for each comparison.Five
studies compared a single method of BF education with routine care. Peer
counselling significantly increased BF initiation.Three studies compared one form
of BF education versus another. No intervention was significantly more effective
than another intervention in increasing initiation or duration of BF.Seven
studies compared multiple methods versus a single method of BF education.
Combined BF educational interventions were not significantly better than a single
intervention in initiating or increasing BF duration. However, in one trial a
combined BF education significantly reduced nipple pain and trauma.One study
compared different combinations of interventions. There was a marginally
significant increase in exclusive BF at six months in women receiving a booklet
plus video plus lactation consultation (LC) compared with the booklet plus video
only.Two studies compared multiple methods of BF education versus routine care.
The combination of BF booklet plus video plus LC was significantly better than
routine care for exclusive BF at three months. AUTHORS' CONCLUSIONS: Because
there were significant methodological limitations and the observed effect sizes
were small, it is not appropriate to recommend any antenatal BF education.There
is an urgent need to conduct RCTs study with adequate power to evaluate the
effectiveness of antenatal BF education.
PMID- 22071831
TI - The effects of anaesthetic agents on cortical mapping during neurosurgical
procedures involving eloquent areas of the brain.
AB - BACKGROUND: In patients presenting for surgical resection of lesions involving,
or adjacent to, the functionally important eloquent cortical areas, it is vital
to achieve complete or near complete resection of the pathology without damaging
the healthy surrounding tissues.The eloquent areas that the surgeons are
concerned with are the primary motor, premotor cortex, supplementary motor cortex
and speech areas. If the lesions are within these regions surgeons could either
take a biopsy or do a intracapsular decompression without damaging the mentioned
areas to avoid postoperative dysfunction. If the lesions are adjacent to the
above mentioned areas, the normal anatomy would get distorted. However, proper
identification of the above mentioned areas would enable the surgeon to radically
remove the tumours. Intraoperative mapping of the cortex with stimulating and
recording electrodes is termed as electrophysiological (EP) mapping.The EP
mapping of motor, sensory and language cortex is widely employed in the resection
of lesions involving or adjacent to the eloquent areas. Both intravenous and
inhalational agents are known to affect these EP mapping techniques. OBJECTIVES:
The aim of this review was to evaluate the effect of anaesthetic agents on intra
operative EP mapping in patients undergoing neurosurgical procedures involving,
or adjacent to, the functional areas of the cortex under general anaesthesia.
SEARCH METHODS: We searched the Cochrane Epilepsy Group Specialized Register (7
March 2011), The Cochrane Central Register of Controlled Trials (CENTRAL issue 1
of 4, The Cochrane Library 2011), MEDLINE (Ovid, 1948 to February week 4, 2011),
PsycINFO (EBSCOhost, 7 March 2011), and the National Research Register Archive
and UK Clinical Research Network (7 March 2011). We also contacted other
researchers in the field in an attempt to ascertain unpublished studies.
SELECTION CRITERIA: We planned to include randomised and quasi randomised
controlled trials irrespective of blinding in patients of any age or gender
undergoing neurosurgery under general anaesthesia where cortical mapping was
attempted to identify eloquent areas using either somatosensory evoked potentials
(SSEPs), or direct cortical stimulation (DCS) triggered muscle motor evoked
potentials (mMEPs), or both. We excluded patients from trials where the
anaesthetic effects were evaluated during spinal cord surgery or where MEPs were
recorded from modes other than direct cortical stimulation such as transcranial
electrical stimulation (TcMEPs), MEPs derived from epidural electrodes (D waves)
and magnetic stimulation and trials involving awake craniotomies or the asleep
awake-asleep technique during cortical mapping. DATA COLLECTION AND ANALYSIS: Two
review authors planned to independently apply the inclusion criteria and extract
data. MAIN RESULTS: No RCTs were found for this study population. AUTHORS'
CONCLUSIONS: This review highlights the need for well-designed randomised
controlled trials to assess the effect of anaesthetic agents on cortical mapping
during neurosurgical procedures involving eloquent areas of the brain.
PMID- 22071832
TI - Methods of decreasing infection to improve outcomes after liver resections.
AB - BACKGROUND: Infections cause both morbidity and mortality in patients undergoing
liver resection. Various methods have been advocated to decrease the infectious
complications after liver resection. We do not know if they are of any benefit to
the patient or the health-care funder. OBJECTIVES: To determine the benefits and
harms of different interventions in decreasing the infectious complications and
improving the outcomes after liver resection. SEARCH METHODS: We searched the
Cochrane Central Register of Controlled Trials (CENTRAL) in The Cochrane Library,
MEDLINE, EMBASE, and Science Citation Index Expanded until August 2011. SELECTION
CRITERIA: We included all randomised clinical trials that were performed to
compare interventions aimed at decreasing the infectious complications after
liver resection. DATA COLLECTION AND ANALYSIS: Two authors independently
identified the trials and extracted the data. We analysed the data with both the
fixed-effect and the random-effects model using RevMan Analysis. For each outcome
we calculated the risk ratio (RR), rate ratio, or mean difference (MD) with 95%
confidence intervals (CI) based on available patient data analysis. MAIN RESULTS:
We included seven trials including 521 patients for this review. The sample size
in the trials varied from 12 to 180 patients. All the trials were of high risks
of systematic errors and of random errors. Four trials included patients who
underwent liver resection only. In the remaining three trials, patients underwent
combined liver resection with extrahepatic biliary resection resulting in a
biliary enteric anastomosis. Four trials included only major liver resection. The
remaining three trials included a mixture of major and minor liver resections. It
appears that the proportion of cirrhotic patients in the trials was very low. The
comparisons performed included whether antibiotics are necessary routinely during
the peri-operative period of liver resection, the duration of antibiotics, the
use of prebiotics and probiotics in the perioperative period, use of recombinant
bactericidal-permeability increasing protein 21 (rBPI21), and the use of topical
povidone iodine gel at the time of wound closure. Only one or two trials were
included under each comparison. There was no significant differences in mortality
or severe morbidity in any of the comparisons. Quality of life was not reported
in any of the trials. AUTHORS' CONCLUSIONS: There is currently no evidence to
support or refute the use of any treatment to reduce infectious complications
after liver resections. Further well designed trials with low risk of systematic
error and low risk of random errors are necessary.
PMID- 22071833
TI - Xylitol for preventing acute otitis media in children up to 12 years of age.
AB - BACKGROUND: Acute otitis media (AOM) is the most common bacterial infection among
young children in the United States with limitations and concerns over its
treatment with antibiotics and surgery. Therefore, effective preventative
measures are attractive. A potential preventative measure is xylitol, a natural
sugar substitute that reduces the risk for dental decay. Xylitol can reduce the
adherence of Streptococcus pneumoniae (S. pneumoniae) and Haemophilus influenzae
(H. influenzae) to nasopharyngeal cells in vitro. OBJECTIVES: To assess the
efficacy and safety of xylitol to prevent AOM in children up to 12 years old.
SEARCH METHODS: We searched the Cochrane Central Register of Controlled Trials
(CENTRAL) (The Cochrane Library 2011, Issue 3) which contains the Cochrane Acute
Respiratory Infections Group's Specialised Register, MEDLINE (1950 to August Week
1, 2011), EMBASE (1974 to August 2011), CINAHL (1982 to August 2011), Health and
Psychosocial Instruments (1985 to August 2011), Healthstar (OVID) (1966 to August
2011) and International Pharmaceutical Abstracts (2000 to August 2011). SELECTION
CRITERIA: Randomised controlled trials (RCTs) or quasi-RCTs of children aged 12
years or younger where xylitol supplementation was compared to placebo or no
treatment to prevent AOM. DATA COLLECTION AND ANALYSIS: Two review authors
independently selected trials from search results, assessed and rated study
quality and extracted relevant data for inclusion in the review. We contacted
trial authors to request missing data. We noted data on any adverse events of
xylitol. We extracted data on relevant outcomes and estimated the effect size by
calculating risk ratio (RR), risk difference (RD) and associated 95% confidence
intervals (CI). MAIN RESULTS: We identified four studies of adequate
methodological quality that met our eligibility criteria. In three RCTs with a
total of 1826 healthy Finnish children attending day care, there was a reduced
risk of occurrence of AOM in the xylitol group (in any form) compared to the
control group (RR 0.75; 95% CI 0.65 to 0.88). The fourth RCT included 1277
Finnish day care children with a respiratory infection and found no effect of
xylitol on reducing the occurrence of AOM (RR 1.13; 95% CI 0.83 to 1.53). Xylitol
chewing gum was superior to xylitol syrup in preventing AOM among healthy
children (RR 0.59; 95% CI 0.39 to 0.89) but not during respiratory infection (RR
0.68; 95% CI 0.43 to 1.07). There was no difference between xylitol lozenges and
xylitol syrups in preventing AOM among healthy children (RR 0.77; 95% CI 0.53 to
1.11) or among children during respiratory infection (RR 0.74; 95% CI 0.47 to
1.14). Similarly, no difference was noted between xylitol chewing gum and xylitol
lozenges in preventing AOM among healthy children (RR 0.73; 95% CI 0.47 to 1.13)
or among children during respiratory infection (RR 0.92; 95% CI 0.59 to 1.46).
Among the reasons for drop-outs, there were no significant differences in
abdominal discomfort and rash between the xylitol and the control groups.
AUTHORS' CONCLUSIONS: There is fair evidence that the prophylactic administration
of xylitol among healthy children attending day care centres reduces the
occurrence of AOM by 25%. This meta-analysis is limited since the data arise from
a small number of studies, mainly from the same research group.
PMID- 22071834
TI - Lasers or light sources for treating port-wine stains.
AB - BACKGROUND: Port-wine stains are birthmarks caused by malformations of blood
vessels in the skin. Port-wine stains manifest themselves in infancy as a flat,
red mark and do not regress spontaneously but may, if untreated, become darker
and thicker in adult life. The profusion of various lasers and light sources
makes it difficult to decide which equipment is the best for treating port-wine
stains. OBJECTIVES: To study participant satisfaction, clinical efficacy, and
adverse effects of the treatment of port-wine stains by lasers and light sources.
SEARCH METHODS: We searched the following databases up to April 2010: the
Cochrane Skin Group Specialised Register, the Cochrane Central Register of
Controlled Trials (Clinical Trials) in The Cochrane Library, MEDLINE (from 2005),
EMBASE (from 2007), LILACS (Latin American and Caribbean Health Science
Information database, from 1982), and reference lists of articles. We also
searched online trials registries for ongoing trials and contacted trial authors
where appropriate. SELECTION CRITERIA: Randomised clinical trials (RCTs) of
lasers or light sources for the treatment of port-wine stains. DATA COLLECTION
AND ANALYSIS: Our outcomes of interest were participant satisfaction, reduction
in redness of the port-wine stain as determined by clinical evaluation, and short
and long-term adverse effects of the treatments. Three authors independently
extracted data and assessed trial quality. MAIN RESULTS: We included 5 RCTs
involving a total of 103 participants; all of the trials used a within
participant design. The interventions and outcomes were too varied to be combined
statistically. All trials used the pulsed dye laser for comparisons.None of the
studies focused on participant satisfaction, which was one of our primary
outcomes, but participant preference was evaluated in three of five studies.
Participants preferred the pulsed dye laser to intense pulsed light based on the
clinical effect. They marginally preferred the Neodymium:YAG (yttrium-aluminium
garnet) (Nd:YAG) laser to the pulsed dye laser due to shorter lasting purpura,
and pulsed dye laser in conjunction with cooling was preferred to treatment with
pulsed dye laser alone.All trials examined short-term efficacy of less than six
months after treatments with the pulsed dye laser, intense pulsed light, and
Nd:YAG laser. The pulsed dye laser was evaluated in all five trials. Depending
upon the setting of the pulsed dye laser, this resulted in more than 25%
reduction in redness. This was after 1 to 3 treatments for up to 4 to 6 months
postoperatively in 50% to 100% of the participants. There was only one study each
of intense pulsed light and Nd:YAG laser.Two trials had no occurrence of long
term adverse effects, i.e. six months after treatment. Three trials reported
pigmentary alterations in 3% to 24% of the participants, with the highest
percentage occurring in Chinese participants with darker skin types. In one study
one participant experienced scarring of the skin caused by a too-high dose of the
laser used. Short-term side-effects included pain, crusting, and blistering in
the first two weeks after treatment. AUTHORS' CONCLUSIONS: The pulsed dye laser
leads to clinically relevant clearance of port-wine stains. A limited number of
RCTs evaluated the efficacy from intense pulsed light and other laser types. High
quality RCTs are needed to assess individual efficacy from different lasers and
light sources, as well as participant satisfaction.
PMID- 22071835
TI - Colorectal stents for the management of malignant colonic obstructions.
AB - BACKGROUND: Colorectal cancer is one of the most common cancer in the western
world. Acute colonic obstruction is one of the common presentations of colon
cancer. Emergency surgical decompression is the traditional treatment of choice
but is associated with high morbidity and mortality. In recent years colonic
stents have been used to relieve the obstruction. OBJECTIVES: The aim was to
compare the colonic stenting versus emergency surgical decompression with regards
to benefits and risks. SEARCH METHODS: Searches were carried out May 2010 in the
Cochrane Colorectal Cancer Specialised Register, the Cochrane Central Register of
Controlled Trials, Ovid MEDLINE, Ovid EMBASE and Ovid CINAHL. SELECTION CRITERIA:
Randomised clinical trials comparing colonic stenting versus surgical
decompression for obstructing colorectal cancers were considered for inclusion.
DATA COLLECTION AND ANALYSIS: Data on the characteristics of the trial,
methodological quality of the trials, mortality, morbidity, technical and
clinical success rate, operating time, hospital stay and other measured secondary
outcomes from each trial were collected. And the data were analysed with both the
fixed-effect and the random-effects models using RevMan Analysis. For each
outcome, odds ratio (OR) with 95% confidence intervals (CI) based on available
data analysis was calculated. MAIN RESULTS: Five randomised trials were
identified with a total of 207 participants, 102 to colorectal stenting and 105
to emergency surgery. There was statistically significant higher clinical success
rate in the emergency surgery group. The average time of clinical relief of
obstruction was 0.66 day in the colonic stent group and was 3.55 days in the
emergency surgery group. The stent insertion was successful in 86.02% of
attempted stent placements. There was no statistically significant difference in
the 30-day mortality between two groups. The 30 day mortality rate was similar,
2.3% in both groups. The stent related perforation rate was 5.88%. The stent
migration rate was 2.13%. The stent obstruction rate was 2.13%. There was no
statistically significant difference in overall complication rate in both groups.
The complication rate was 39.22% in the colonic stent group and was 45.71% in the
emergency surgery group. The mean hospital stay was 11.53 days in the colonic
stent group and was 17.15 days in the emergency surgery group. The mean
procedure/operating time was 113.93 minutes in the colonic stent group compared
to 143.85 minutes in the emergency surgery group. The median blood loss was 50 ml
in the colonic stent group and 350 ml in the emergency surgery group. AUTHORS'
CONCLUSIONS: The use of colonic stent in malignant colorectal obstruction seems
to have no advantage over emergency surgery. The clinical success rate was
statistically higher in emergency surgery group. However, use of colorectal
stents seems to be as safe in the malignant colorectal obstruction as the
emergency surgery with no statistically significant difference in the mortality
and morbidity. Colorectal stents are associated with acceptable stent
perforation, migration and obstruction rates. The advantages of colorectal stent
includes shorter hospital stay and procedure time and less blood loss. However,
due to the variability in the sample size and trial designs in the included
studies, further randomised trials with bigger sample size and well defined trial
design are needed to achieve the robust evidence.
PMID- 22071836
TI - Mentoring adolescents to prevent drug and alcohol use.
AB - BACKGROUND: Many adolescents receive mentoring. There is no systematic review if
mentoring prevents alcohol and drug use. OBJECTIVES: Assess effectiveness of
mentoring to prevent adolescent alcohol/drug use. SEARCH METHODS: Cochrane
CENTRAL (issue 4), MEDLINE (1950-to July 2011), EMBASE (1980-to July 2011), 5
other electronic and 11 Grey literature electronic databases, 10 websites,
reference lists, experts in addictions and mentoring. SELECTION CRITERIA:
Randomised controlled trials (RCTs) of mentoring in adolescents to prevent
alcohol/drug use. DATA COLLECTION AND ANALYSIS: We identified 2,113 abstracts,
independently assessed 233 full-text articles, 4 RCTs met inclusion criteria. Two
reviewers independently extracted data and assessed risks of bias. We contacted
investigators for missing information. MAIN RESULTS: We identified 4 RCTs (1,194
adolescents). No RCT reported enough detail to assess whether a strong
randomisation method was used or allocation was concealed. Blinding was not
possible as the intervention was mentoring. Three RCTs provided complete data. No
selective reporting.Three RCTs provided evidence about mentoring and preventing
alcohol use. We pooled two RCTs (RR for mentoring compared to no intervention =
0.71 (95% CI = 0.57 to 0.90, P value = 0.005). A third RCT found no significant
differences.Three RCTs provided evidence about mentoring and preventing drug use,
but could not be pooled. One found significantly less use of "illegal" drugs,"
one did not, and one assessed only marijuana use and found no significant
differences.One RCT measured "substance use" without separating alcohol and
drugs, and found no difference for mentoring. AUTHORS' CONCLUSIONS: All four RCTs
were in the US, and included "deprived" and mostly minority adolescents.
Participants were young (in two studies age 12, and in two others 9-16). All
students at baseline were non-users of alcohol and drugs. Two RCTs found
mentoring reduced the rate of initiation of alcohol, and one of drug usage. The
ability of the interventions to be effective was limited by the low rates of
commencing alcohol and drug use during the intervention period in two studies
(the use of marijuana in one study increased to 1% in the experimental and to
1.6% in the control group, and in another study drug usage rose to 6% in the
experimental and 11% in the control group). However, in a third study there was
scope for the intervention to have an effect as alcohol use rose to 19% in the
experimental and 27% in the control group. The studies assessed structured
programmes and not informal mentors.
PMID- 22071837
TI - Active versus expectant management for women in the third stage of labour.
AB - BACKGROUND: Active management of the third stage of labour involves giving a
prophylactic uterotonic, early cord clamping and controlled cord traction to
deliver the placenta. With expectant management, signs of placental separation
are awaited and the placenta is delivered spontaneously. Active management was
introduced to try to reduce haemorrhage, a major contributor to maternal
mortality in low-income countries. OBJECTIVES: To compare the effectiveness of
active versus expectant management of the third stage of labour. SEARCH METHODS:
We searched the Cochrane Pregnancy and Childbirth Group Trials Register (15
February 2011). SELECTION CRITERIA: Randomised and quasi-randomised controlled
trials comparing active versus expectant management of the third stage of labour.
DATA COLLECTION AND ANALYSIS: Two review authors independently assessed the
studies for inclusion, assessed risk of bias and carried out data extraction.
MAIN RESULTS: We included seven studies (involving 8247 women), all undertaken in
hospitals, six in high-income countries and one in a low-income country. Four
studies compared active versus expectant management, and three compared active
versus a mixture of managements. We used random-effects in the analyses because
of clinical heterogeneity. There was an absence of high quality evidence for our
primary outcomes. The evidence suggested that for women at mixed levels of risk
of bleeding, active management showed a reduction in the average risk of maternal
primary haemorrhage at time of birth (more than 1000 mL) (average risk ratio (RR)
0.34, 95% confidence interval (CI) 0.14 to 0.87, three studies, 4636 women) and
of maternal haemoglobin (Hb) less than 9 g/dL following birth (average RR 0.50,
95% CI 0.30 to 0.83, two studies, 1572 women). We also found no difference in the
incidence in admission of infants to neonatal units (average RR 0.81, 95% CI 0.60
to 1.11, two studies, 3207 women) nor in the incidence of infant jaundice
requiring treatment (0.96, 95% CI 0.55 to 1.68, two studies, 3142 women). There
were no data on our other primary outcomes of very severe postpartum haemorrhage
(PPH) at the time of birth (more than 2500 mL), maternal mortality, or neonatal
polycythaemia needing treatment.Active management also showed a significant
decrease in primary blood loss greater than 500 mL, and mean maternal blood loss
at birth, maternal blood transfusion and therapeutic uterotonics during the third
stage or within the first 24 hours, or both and significant increases in maternal
diastolic blood pressure, vomiting after birth, after-pains, use of analgesia
from birth up to discharge from the labour ward and more women returning to
hospital with bleeding (outcome not pre-specified). There was also a decrease in
the baby's birthweight with active management, reflecting the lower blood volume
from interference with placental transfusion.In the subgroup of women at low risk
of excessive bleeding, there were similar findings, except there was no
significant difference identified between groups for severe haemorrhage or
maternal Hb less than 9 g/dL (at 24 to 72 hours).Hypertension and interference
with placental transfusion might be avoided by using modifications to the active
management package, e.g. omitting ergot and deferring cord clamping, but we have
no direct evidence of this here. AUTHORS' CONCLUSIONS: Although there is a lack
of high quality evidence, active management of the third stage reduced the risk
of haemorrhage greater than 1000 mL at the time of birth in a population of women
at mixed risk of excessive bleeding, but adverse effects were identified. Women
should be given information on the benefits and harms of both methods to support
informed choice. Given the concerns about early cord clamping and the potential
adverse effects of some uterotonics, it is critical now to look at the individual
components of third-stage management. Data are also required from low-income
countries.
PMID- 22071838
TI - Surgical interventions for diaphyseal fractures of the radius and ulna in
children.
AB - BACKGROUND: Diaphyseal forearm fractures in children are a common injury usually
resulting from a fall. The treatment options include non-surgical intervention
(manipulation and application of cast) and surgical options such as internal
fixation with intramedullary nails or with plate and screws. OBJECTIVES: To
assess the effects (benefits and harms) of a) surgical versus non-surgical
interventions, and b) different surgical interventions for the fixation of
diaphyseal fractures of the forearm bones in children. SEARCH METHODS: We
searched the Cochrane Bone, Joint and Muscle Trauma Group Specialised Register
(March 2011), the Cochrane Central Register of Controlled Trials (The Cochrane
Library, 2011 Issue 1), MEDLINE (1948 to February week 4 2011), EMBASE (1980 to
2011 week 09), trial registers and reference lists of articles. SELECTION
CRITERIA: Randomised or quasi-randomised controlled trials that compared surgical
with non-surgical intervention, or different types of surgical intervention for
the fixation of diaphyseal forearm fractures in children. DATA COLLECTION AND
ANALYSIS: All review authors independently examined the search results to
identify trials for inclusion. MAIN RESULTS: After screening of 163 citations, we
identified 15 potentially eligible studies of which 14 were excluded and one is
an ongoing trial. There were thus no studies suitable for inclusion in this
review. AUTHORS' CONCLUSIONS: There is a lack of evidence from randomised
controlled trials to inform on when surgery is required and what type of surgery
is best for treating children with fractures of the shafts of the radius, ulna or
both bones.
PMID- 22071839
TI - Omega-3 fatty acids supplementation for autism spectrum disorders (ASD).
AB - BACKGROUND: It has been suggested that impairments associated with autism
spectrum disorders (ASD) may be partially explained by deficits of omega-3 fatty
acids, and that supplementation of these essential fatty acids may lead to
improvement of symptoms. OBJECTIVES: To review the efficacy of omega-3 fatty
acids for improving core features of ASD (for example, social interaction,
communication, and stereotypies) and associated symptoms. SEARCH METHODS: We
searched the following databases on 2 June 2010: CENTRAL (2010, Issue 2), MEDLINE
(1950 to May Week 3 2010), EMBASE (1980 to 2010 Week 21), PsycINFO (1806 to
current), BIOSIS (1985 to current), CINAHL (1982 to current), Science Citation
Index (1970 to current), Social Science Citation Index (1970 to current),
metaRegister of Controlled Trials (20 November 2008) and ClinicalTrials.gov (10
December 2010). Dissertation Abstracts International was searched on 10 December
2008, but was no longer available to the authors or editorial base in 2010.
SELECTION CRITERIA: All randomised controlled trials of omega-3 fatty acids
supplementation compared to placebo in individuals with ASD. DATA COLLECTION AND
ANALYSIS: Three authors independently selected studies, assessed them for risk of
bias and extracted relevant data. We conducted meta-analysis of the included
studies for three primary outcomes (social interaction, communication, and
stereotypy) and one secondary outcome (hyperactivity). MAIN RESULTS: We included
two trials with a total of 37 children diagnosed with ASD who were randomised
into groups that received either omega-3 fatty acids supplementation or a
placebo. We excluded six trials because they were either non-randomised
controlled trials, did not contain a control group, or the control group did not
receive a placebo. Overall, there was no evidence that omega-3 supplements had an
effect on social interaction (mean difference (MD) 0.82, 95% confidence interval
(CI) -2.84 to 4.48, I(2) = 0%), communication (MD 0.62, 95% CI -0.89 to 2.14,
I(2) = 0%), stereotypy (MD 0.77, 95% CI -0.69 to 2.22, I(2) = 8%), or
hyperactivity (MD 3.46, 95% CI -0.79 to 7.70, I(2) = 0%). AUTHORS' CONCLUSIONS:
To date there is no high quality evidence that omega-3 fatty acids
supplementation is effective for improving core and associated symptoms of ASD.
Given the paucity of rigorous studies in this area, there is a need for large
well-conducted randomised controlled trials that examine both high and low
functioning individuals with ASD, and that have longer follow-up periods.
PMID- 22071840
TI - Lycopene for the prevention of prostate cancer.
AB - BACKGROUND: Prostate cancer is a common cause of death in developed countries,
yet the benefits of screening for prostate cancer still remain controversial. A
prostate-specific antigen (PSA) test result greater than 4 ng/mL
(nanograms/millilitre) has commonly been used as the cut-off level for seeking
further tests to diagnose the presence (or absence) of prostate cancer. An
increase in PSA levels may not necessarily be associated with an increased risk
of prostate cancer, as PSA levels may also be increased in men with benign
prostatic hyperplasia and prostatitis. Despite the uncertainty of the net benefit
of early detection and treatment, safe and effective methods to prevent prostate
cancer are of value. Consumers, seeking greater involvement in their healthcare,
are increasingly turning to lifestyle modification and complementary and
alternative medicines (CAMs) to maintain their health and prevent disease.
Lycopene is a member of the carotenoid family, which is found abundantly in
tomatoes, tomato-based products, strawberries, and watermelon. It has been
hypothesised that lycopene is a strong antioxidant, which may lower the risk of
cancer (including prostate cancer) in people who have diets rich in lycopene.
OBJECTIVES: To determine whether lycopene reduces the incidence of prostate
cancer and prostate cancer-specific mortality. Secondary objectives include
changes in PSA levels, prostate symptoms and the nature of adverse events
associated with lycopene use. SEARCH METHODS: Electronic searches were conducted
across MEDLINE, EMBASE and the Cochrane Central Register of Controlled Trials
(CENTRAL) databases. No language or other limitations were imposed. SELECTION
CRITERIA: Randomised controlled trials (RCTs) that investigated the use of
lycopene for the prevention of prostate cancer were eligible for inclusion in
this review. DATA COLLECTION AND ANALYSIS: A search of electronic databases,
performed in August 2011, identified 64 citations. All articles were selected for
full-text review. From these citations, three studies were identified as meeting
the inclusion criteria. Handsearching did not provide any additional studies.
MAIN RESULTS: Three RCTs, with a total of 154 participants were included in this
review. None of the studies reported data on prostate cancer mortality. All of
the included studies differed with respect to design, participants included and
allocation of lycopene. This clinical heterogeneity limits the value on the
pooled estimated of the meta-analyses. The methodological quality of two of the
three included studies was assessed as posing a 'high' risk of bias. Meta
analysis indicated no statistical difference in PSA levels between men randomised
to receive lycopene and the comparison group (MD (mean difference) -0.34, 95% CI
(confidence interval) -2.01, 1.32). Only one study reported incidence of prostate
cancer (10% in the lycopene group versus 30% in control group). The level of
lycopene was also not statistically different in men randomised to receive
lycopene and the comparison group (MD 0.39 ug/mL (micrograms/millilitre), 95% CI
0.19, 0.98). No other meta-analyses were possible since other outcomes assessed
only had one study contributing data. AUTHORS' CONCLUSIONS: Given that only three
RCTs were included in this systematic review, and the high risk of bias in two of
the three studies, there is insufficient evidence to either support, or refute,
the use of lycopene for the prevention of prostate cancer. Similarly, there is no
robust evidence from RCTs to identify the impact of lycopene consumption upon the
incidence of prostate cancer, prostate symptoms, PSA levels or adverse events.
PMID- 22071841
TI - Optimal intensity and type of leg exercise training for people with chronic
obstructive pulmonary disease.
AB - BACKGROUND: Intensity of exercise is considered a key determinant of training
response, however, no systematic review has investigated the effects of different
levels of training intensity on exercise capacity, functional exercise capacity
and health-related quality of life (HRQoL) in people with chronic obstructive
pulmonary disease (COPD). As type of training (continuous or interval) may also
affect training response, the effects of the type of training in COPD also
require investigation. OBJECTIVES: To determine the effects of training intensity
(higher versus lower) or type (continuous versus interval training) on primary
outcomes in exercise capacity and secondary outcomes in symptoms and HRQoL for
people with COPD. SEARCH METHODS: We searched for studies in any language from
the Cochrane Airways Group Specialised Register, CENTRAL, MEDLINE, EMBASE,
CINAHL, AMED, PsycINFO and PubMed. Searches were current as of June 2011.
SELECTION CRITERIA: We included randomised controlled trials comparing higher
training intensity to lower training intensity or comparing continuous training
to interval training in people with COPD. We excluded studies that compared
exercise training with no exercise training. DATA COLLECTION AND ANALYSIS: We
pooled results of comparable groups of studies and calculated the treatment
effect and 95% confidence intervals (CI) using a random-effects model. We made
two separate comparisons of effects between: 1) higher and lower training
intensity; 2) continuous and interval training. We contacted authors of missing
data. MAIN RESULTS: We analysed three included studies (231 participants) for
comparisons between higher and lower-intensity training and eight included
studies (367 participants) for comparisons between continuous and interval
training. Primary outcomes were outcomes at peak exercise (peak work rate, peak
oxygen consumption, peak minute ventilation and lactate threshold), at isowork or
isotime, endurance time on a constant work rate test and functional exercise
capacity (six-minute walk distance). When comparing higher versus lower-intensity
training, the pooled primary outcomes were endurance time and six-minute walk
distance. There were no significant differences in endurance time improvement
(mean difference (MD) 1.07 minutes; 95% CI -1.53 to 3.67) and six-minute walk
distance improvement (MD 2.8 metres; 95% CI -10.1 to 15.6) following higher or
lower-intensity training. However, heterogeneity of the endurance time results
between studies was significant. When comparing continuous and interval training,
there were no significant differences in any of the primary outcomes, except for
oxygen consumption at isotime (MD 0.08; 95% CI 0.01 to 0.16) but the treatment
effect was not considered clinically important. According to the GRADE system,
studies were of low to moderate quality. AUTHORS' CONCLUSIONS: Comparisons
between the higher and lower training intensity were limited due to the small
number of included studies and participants. Consequently, there are insufficient
data to draw any conclusions on exercise capacity, symptoms and HRQoL for this
comparison. For comparisons between continuous and interval training, both appear
to be equally effective in improving exercise capacity, symptoms and HRQoL.
PMID- 22071842
TI - Adjuvant gonadotropin-releasing hormone analogues for the prevention of
chemotherapy induced premature ovarian failure in premenopausal women.
AB - BACKGROUND: Chemotherapy has significantly improved prognosis for patients with
malignant and some non-malignant conditions. This treatment, however, is
associated with ovarian toxicity and gonadotropin-releasing hormone (GnRH)
analogues may have a protective effect on the ovaries. The mechanism of action of
GnRH is based on suppression of the gonadotropin levels to simulate pre-pubertal
hormonal milieu and decrease utero-ovarian perfusion. OBJECTIVES: To assess the
efficacy and safety of GnRH analogues given before or in parallel to chemotherapy
to prevent chemotherapy-related ovarian damage in premenopausal women with
malignant or non-malignant conditions. SEARCH METHODS: We searched the Cochrane
Gynaecological Cancer Group Specialized Register (up to July 2011), the Cochrane
Central Register of Controlled Trials (CENTRAL) (The Cochrane Library, Issue 2,
2011); MEDLINE (1950 to July 2011); EMBASE (1980 to July 2011); and the Chinese
Biomedicine Database (CBM) (1976 to July 2011). SELECTION CRITERIA: Randomized
controlled trials (RCTs), in all languages, which examined the effect of GnRH
analogues for chemotherapy-induced ovarian failure in premenopausal women, were
eligible for inclusion in the review. DATA COLLECTION AND ANALYSIS: The review
authors independently extracted data and assessed trial quality using the
Cochrane risk of bias tool. We analyzed binary data using risk ratios (RRs) with
95% confidence intervals (CI) and for continuous data, we used the standardized
mean difference (SMD) to combine trials. As there was substantial difference in
the types of chemotherapy used, we applied the random-effects model in our
analyses. We contacted study authors for additional information. MAIN RESULTS:
Included studies in this review showed that intramuscular/subcutaneous
administration of GnRH agonists was effective in protecting menstruation and
ovulation after chemotherapy (resumed menses: RR 1.90, 95% CI 1.30 to 2.79;
amenorrhoea: RR 0.08, 95% CI 0.01 to 0.58; ovulation: RR 2.70, 95% CI 1.52 to
4.79), whereas intranasal administration of GnRH agonists had no protective
effect on ovaries (resumed menses: RR 0.75, 95% CI 0.33 to 1.72; ovulation: RR
1.13, 95% CI 0.20 to 6.24). Pregnancy rates were not significantly different
between groups (intramuscular/subcutaneous GnRH agonist: RR 0.21, 95% CI 0.01 to
4.09; intranasal GnRH agonist: RR 0.41, 95% CI 0.02 to 8.84). Ultrasound antral
follicular count (AFC) was not significantly different between groups (SMD 1.11,
95% CI 0.32 to 1.90). AUTHORS' CONCLUSIONS: The use of GnRH agonists should be
considered in women of reproductive age receiving chemotherapy. Intramuscular or
subcutaneous GnRH analogues seem to be effective in protecting ovaries during
chemotherapy and should be given before or during treatment, although no
significant difference in pregnancy rates was seen.
PMID- 22071843
TI - Mechanical dilatation of the cervix at non-labour caesarean section for reducing
postoperative morbidity.
AB - BACKGROUND: During non-labour caesarean, some obstetricians routinely dilate the
cervix from above--using finger, sponge forceps or other instruments because they
believe that the cervix of women without labour pain is undilated and may cause
obstruction of blood or lochia drainage. However, mechanical cervical dilatation
using sponge forceps or a finger during caesarean section may result in
contamination by vaginal micro-organisms during dilatation, and increase the risk
of infection or cervical trauma. OBJECTIVES: To determine the effects of
mechanical dilatation of the cervix during elective/non-labour caesarean section
on postoperative morbidity. SEARCH METHODS: We searched the Cochrane Pregnancy
and Childbirth Group's Trials Register (31 August 2011). SELECTION CRITERIA: All
randomised or quasi-randomised controlled trials comparing intraoperative
cervical dilatation using a finger, sponge forceps or other instrument during non
labour caesarean section versus no mechanical dilatation. DATA COLLECTION AND
ANALYSIS: Two review authors independently assessed studies for inclusion,
assessed the risk of bias of each included study and extracted data. MAIN
RESULTS: We included three trials with a total of 735 women undergoing elective
caesarean section. Of these women, 338 underwent intraoperative cervical
dilatation with a double-gloved index digit inserted into the cervical canal to
dilate, and 397 did not undergo intraoperative cervical dilatation. Three
included trials had moderate-to-high risk of bias. None of the three trials
reported our primary outcome of postpartum haemorrhage. In one study of 400
women, blood loss was significantly lower in the cervical dilatation group
compared with the no dilatation group (mean difference (MD) -48.49 ml, 95%
confidence interval (CI) -88.75 to -8.23). The incidence of febrile morbidity and
haemoglobin concentrations in the postoperative period in women undergoing
intraoperative cervical dilatation was not significantly different from those who
did not receive cervical dilatation (risk ratio (RR) 1.07, 95% CI 0.52 to 2.21
(three trials, 735 women) and MD -0.05 g/dl, 95% CI -0.17 to 0.06 (two trials,
552 women), respectively. There were no significant differences in wound
infection, change of haemoglobin level, hematocrit level at postoperative period,
endometritis, infectious morbidity, or urinary tract infection. There was a
significant difference in operative time, which was reduced in cervical
dilatation group (MD -1.84 mins, 95% CI -2.21 to -1.47 (one study, 400 women),
but this is of doubtful clinical significance. AUTHORS' CONCLUSIONS: There was
insufficient evidence of mechanical dilatation of the cervix at non-labour
caesarean section for reducing postoperative morbidity. Further randomised
controlled trials with adequate methodological quality comparing intraoperative
cervical dilatation using a finger, sponge forceps or other instrument during non
labour caesarean section versus no mechanical dilatation for reducing
postoperative morbidity are needed.
PMID- 22071844
TI - Surgical versus non-surgical interventions for treating patellar dislocation.
AB - BACKGROUND: Patellar dislocation occurs when the patella disengages completely
from the trochlear (femoral) groove. Following reduction of the dislocation,
conservative rehabilitation with physiotherapy may be used. Since recurrence of
dislocation is common, some surgeons have advocated surgical intervention in
addition to rehabilitation. OBJECTIVES: The purpose of this review was to assess
the clinical and radiological outcomes of surgical, compared with non-surgical,
interventions for treating people with primary or recurrent patellar dislocation.
SEARCH METHODS: We searched the Cochrane Bone, Joint and Muscle Trauma Group's
Specialised Register, the Cochrane Central Register of Controlled Trials (The
Cochrane Library), MEDLINE, EMBASE, AMED, CINAHL, ZETOC, Physiotherapy Evidence
Database (PEDro), and a variety of other literature databases and trial
registries. Corresponding authors were contacted to identify additional studies.
Date searched: August 2010. SELECTION CRITERIA: Eligible for inclusion were
randomised and quasi-randomised controlled clinical trials evaluating surgical
versus non-surgical interventions for treating lateral patellar dislocation. DATA
COLLECTION AND ANALYSIS: Two reviewers independently examined titles and
abstracts of each identified study to assess study eligibility, extract data and
assess risk of bias. Primary outcomes assessed were the frequency of recurrent
dislocation, and validated patient-rated knee or physical function scores. When
appropriate, data were pooled. Risk ratios were calculated for dichotomous
outcomes, and mean differences for continuous outcomes. MAIN RESULTS: Five
studies (339 participants) were included. All studies had methodological
shortcomings, especially the two quasi-randomised trials that presented a high
risk for selection bias. Follow-up was a minimum of two years in two studies and
between five and seven years in three studies. There was no significant
difference between surgical and non-surgical management of primary (first-time)
patellar dislocation in the risk of recurrent dislocation (47/182 versus 53/157;
risk ratio 0.81, 95% confidence interval 0.56 to 1.17; 5 trials), Kujala
patellofemoral disorder scores (mean difference 3.13, 95% confidence interval
7.34 to 13.59; 5 trials) nor the requirement for subsequent surgery (risk ratio
1.09, 95% CI 0.72 to 1.65; 3 trials). Adverse events were reported by one trial,
citing four major complications that occurred in the surgical group. No
randomised controlled trials have assessed populations with recurrent patellar
dislocation. AUTHORS' CONCLUSIONS: There is insufficient high quality evidence to
confirm any significant difference in outcome between surgical or non-surgical
initial management of people following primary patellar dislocation, and none
examining this comparison in people with recurrent patellar dislocation.
Adequately powered randomised, multi-centre controlled trials, conducted and
reported to contemporary standards are recommended.
PMID- 22071845
TI - Enzyme replacement therapy with idursulfase for mucopolysaccharidosis type II
(Hunter syndrome).
AB - BACKGROUND: Mucopolysaccharidosis II, also known as Hunter syndrome, is a rare, X
linked disease caused by a deficiency of the lysosomal enzyme iduronate-2
sulfatase, which catalyses a step in the catabolism of glycosaminoglycans. The
glycosaminoglycans accumulate within tissues affecting multiple organs and
physiologic systems. The clinical manifestations include neurologic involvement,
severe airways obstruction, skeletal deformities and cardiomyopathy. The disease
has a variable age of onset and variable rate of progression. In those with
severe disease, death usually occurs in the second decade of life, whereas those
patients with less severe disease may survive into adulthood. Enzyme replacement
therapy with intravenous infusions of idursulfase has emerged as a new treatment
for mucopolysaccharidosis type II. OBJECTIVES: To evaluate the effectiveness and
safety of enzyme replacement therapy with idursulfase compared to other
interventions, placebo or no intervention, for treating mucopolysaccharidosis
type II. SEARCH METHODS: We searched the Cochrane Cystic Fibrosis and Genetic
Disorders Group's Trials Register (date of last search 01 September 2011).We also
searched EMBASE, PubMed and the Literature Latino-Americana e do Caribe em
Ciencias da Saude (LILACS) (date of last search October 2009). SELECTION
CRITERIA: Randomised and quasi-randomised controlled trials of enzyme replacement
therapy with idursulfase compared to no intervention, placebo or other options
(e.g. behavioral strategies, transplantation). DATA COLLECTION AND ANALYSIS: Two
authors independently screened the trials identified, appraised quality of papers
and extracted data. MAIN RESULTS: One study (96 patients) met the inclusion
criteria, although the primary outcome of this review - z score for height and
weight, was not assessed in the study. Following 53 weeks of treatment, patients
in the weekly idursulfase 0.5 mg/kg group demonstrated a significant improvement
rate compared with placebo for the primary outcome: distance walked in six
minutes on the basis of the sum of ranks of change from baseline, mean difference
37.00 (95% confidence interval 6.52 to 67.48). The every-other-week idursulfase
0.5 mg/kg group also showed an improvement, which was not significant compared
with placebo, mean difference 23.00 (95% confidence interval -4.49 to 50.49).
After 53 weeks, there was no statistical significance difference in per cent
predicted forced vital capacity between the three groups and absolute forced
vital capacity was significantly increased from baseline in the weekly dosing
group compared to placebo, mean difference 0.16 (95% confidence interval CI 0.05
to 0.27). No difference was observed between the every-other-week idursulfase 0.5
mg/kg group and placebo.In addition, liver and spleen volumes and urine
glycosaminoglycan excretion were significantly reduced from baseline by both
idursulfase dosing regimens. Idursulfase was generally well tolerated, but
infusion reactions did occur. Idursulfase antibodies were detected in 31.7% of
patients at the end of the study and they were related to a smaller reduction in
urine glycosaminoglycan levels. AUTHORS' CONCLUSIONS: The current evidence is
limited. While the randomised clinical trial identified was considered to be of
good quality, it failed to describe important outcomes. It has been demonstrated
that enzyme replacement therapy with idursulfase is effective in relation to
functional capacity (distance walked in six minutes and forced vital capacity),
liver and spleen volumes and urine glycosaminoglycan excretion in patients with
mucopolysaccharidosis type II compared with placebo. There is no available
evidence in the included study and in the literature on outcomes such as
improvement in growth, sleep apnoea, cardiac function, quality of life and
mortality. More studies are needed to obtain more information on the long-term
effectiveness and safety of enzyme replacement therapy.
PMID- 22071846
TI - Appendectomy versus antibiotic treatment for acute appendicitis.
AB - BACKGROUND: Acute appendicitis is one of the most common causes of acute
abdominal pain. Present day treatment of choice for acute appendicitis is
appendectomy, however complications are inherent to operative treatment. Though
surgical appendectomy remains the standard treatment, several investigators have
investigated conservative antibiotic treatment of acute appendicitis and reported
good results. OBJECTIVES: Is antibiotic treatment as effective as surgical
appendectomy (laparoscopic or open) in patients with acute appendicitis on
recovery within two weeks, without major complications (including recurrence)
within one year? SEARCH METHODS: We searched the Cochrane Central Register of
Controlled Trials (The Cochrane Library Issue 6, 2011); MEDLINE (until June
2011); EMBASE (until June 2011); Prospective Trial Registers (June 2011) and
reference lists of articles. SELECTION CRITERIA: Randomised and quasi-randomised
clinical trials (RCT and qRCT) comparing antibiotic treatment with appendectomy
in patients with suspected appendicitis were included. Excluded were studies
which primarily focused on the complications of acute appendicitis. DATA
COLLECTION AND ANALYSIS: Two authors independently assessed trial quality and
extracted data. The review authors contacted the trial authors for additional
information if required. Statistical analysis was carried out using Review
Manager and MetaAnalyst. A non-inferiority analysis was performed, comparing
antibiotic treatment (ABT) to the gold standard (appendectomy). By consensus, a
20% margin of non-inferiority was considered clinically relevant. MAIN RESULTS:
Five RCT's (901 patients) were assessed. In total 73.4% (95% CI 62.7 to 81.9) of
patients who were treated with antibiotics and 97.4 (95% CI 94.4 to 98.8)
patients who directly got an appendectomy were cured within two weeks without
major complications (including recurrence) within one year. The lower 95% CI was
15.2% below the 20% margin for the primary outcome. AUTHORS' CONCLUSIONS: The
upper bound of the 95% CI of ABT for cure within two weeks without major
complications crosses the 20% margin of appendectomy, so the outcome is
inconclusive. Also the quality of the studies was low to moderate, for that
reason the results should be interpret with caution and definite conclusions
cannot be made. Therefore we conclude that appendectomy remains the standard
treatment for acute appendicitis. Antibiotic treatment might be used as an
alternative treatment in a good quality RCT or in specific patients or conditions
were surgery is contraindicated.
PMID- 22071847
TI - Helicobacter pylori eradication for Parkinson's disease.
AB - BACKGROUND: Levodopa is the mainstay of treatment for alleviating the motor
symptoms associated with Parkinson's disease. However, patients often experience
fluctuations in their symptoms over time and 'wearing off' which may be partly
related to variable absorption of the drug. There is some evidence that treatment
of the common gastrointestinal infection Helicobacter pylori (H pylori) with
antibiotics may improve levodopa absorption in the gut and hence improve
symptoms. OBJECTIVES: 1) What is the prevalence of H pylori in Parkinson's
disease patients? 2) Does treatment of H pylori infection with antibiotics
improve symptoms in Parkinson's disease patients? Is this effect dependent on
improvements in the absorption of levodopa? SEARCH METHODS: We searched
electronic databases (including CENTRAL, MEDLINE, EMBASE, PsycINFO and CINAHL)
and trial registers, handsearched conference proceedings and carried out citation
searching on key articles. All searching was updated in August 2009. We contacted
authors to provide additional information where necessary. SELECTION CRITERIA:
Clinical trials in patients with a well-defined definition of Parkinson's disease
and who were H pylori-positive. Two people independently selected studies for
inclusion using predetermined criteria. We used recruitment figures from clinical
trials and other studies identified from the searching to determine the
prevalence of H pylori in Parkinson's disease. DATA COLLECTION AND ANALYSIS: Two
authors abstracted data from the source papers and assessed methodological
quality independently. We presented results descriptively. MAIN RESULTS: Two
completed and one ongoing clinical trial met the inclusion criteria. One trial
(34 patients randomised) examined the effects of H pylori eradication on levodopa
absorption and motor symptoms and found significant improvements in both. The
ongoing trial has similar objectives and aims to recruit 100 patients. The other
completed trial (20 patients analysed) sought to find a causal link between
infection with H pylori and Parkinsonism and was non-contributory. A worsening of
symptoms was noted with eradication failure.The prevalence of H pylori in
Parkinson's disease was reported in four studies and ranged from 37% to 59% which
is similar to that of the general population. AUTHORS' CONCLUSIONS: There is
currently a lack of evidence on the effects of screening and treating H pylori in
patients with Parkinson's disease. There is limited evidence to suggest that H
Pylori eradication improves the absorption of levodopa and improves motor
symptoms. Results from an ongoing trial will inform the evidence base and will be
incorporated in an update of this review. There is a need for well-conducted
randomised controlled trials with standard outcome measures for motor symptoms
and incorporating the costs of screening and treatment.
PMID- 22071848
TI - Non-steroidal anti-inflammatory drugs as disease-modifying agents for Parkinson's
disease: evidence from observational studies.
AB - BACKGROUND: Neuroinflammation may play a key role in the neurodegeneration
associated with Parkinson's disease (PD). Non-steroidal anti-inflammatory drugs
(NSAIDs) may be beneficial in the primary and secondary prevention of PD.
OBJECTIVES: 1) Do NSAIDs prevent the onset of PD?2) Are NSAIDs neuroprotective in
PD - do they slow the progression of disease once PD is established?3) What are
the adverse effects of taking NSAIDs in PD? SEARCH METHODS: We searched
electronic databases, including trial registers, complemented with handsearching
of conference proceedings and citation searching on key articles. All searching
was updated in May 2011. We contacted authors to provide additional information
where necessary. SELECTION CRITERIA: For the primary prevention review, we sought
primary prevention trials and observational studies (cohort and case-control
studies). Participants were free of PD when exposure to NSAIDs was assessed. For
the secondary prevention review, we sought clinical trials in patients with a
well-defined definition of PD. Two people independently selected studies for
inclusion using predetermined criteria. DATA COLLECTION AND ANALYSIS: Two review
authors abstracted data from the source papers and assessed methodological
quality independently. No studies met the inclusion criteria for the secondary
prevention review. For the primary prevention review only observational studies
were found. We combined data where appropriate using the inverse variance method.
We assessed methodological quality using the Newcastle Ottawa Scales and by
examining the period of exposure assessed prior to PD onset (or the index date in
controls). MAIN RESULTS: Fourteen observational studies met the inclusion
criteria for the primary prevention review (five cohort, nine case-control
studies). Exposure to any NSAIDs or aspirin had no effect on the risk of
developing PD. Exposure to non-aspirin NSAIDs reduced the risk of developing PD
by 13% (effect estimate 0.87 (95% CI 0.73 to 1.04 - random-effects model), but
this did not reach statistical significance. We found similar results for the
most robust studies. Ibuprofen in isolation was examined in four studies and was
associated with a 27% reduction in risk (effect estimate 0.73, 95% CI 0.63 to
0.85). There was a lack of information on adverse effects. AUTHORS' CONCLUSIONS:
There is currently no evidence for the use of NSAIDs in the secondary prevention
of PD. Non-aspirin NSAIDs, particularly ibuprofen, may reduce the risk of
developing PD. However, little is known of the effects of other individual drugs
and at present no recommendations can be made regarding their use in primary
prevention.
PMID- 22071849
TI - Psychosocial interventions for the management of chronic orofacial pain.
AB - BACKGROUND: Psychosocial factors have a role in the onset of chronic orofacial
pain. However, current management involves invasive therapies like occlusal
adjustments and splints which lack an evidence base. OBJECTIVES: To determine the
efficacy of non-pharmacologic psychosocial interventions for chronic orofacial
pain. SEARCH METHODS: The following electronic databases were searched: the
Cochrane Oral Health Group Trials Register (to 25 October 2010), the Cochrane
Central Register of Controlled Trials (CENTRAL) (The Cochrane Library 2010, Issue
4), MEDLINE via OVID (1950 to 25 October 2010), EMBASE via OVID (1980 to 25
October 2010) and PsycINFO via OVID (1950 to 25 October 2010). There were no
restrictions regarding language or date of publication. SELECTION CRITERIA:
Randomised controlled trials which included non-pharmacological psychosocial
interventions for adults with chronic orofacial pain compared with any other form
of treatment (e.g. usual care like intraoral splints, pharmacological treatment
and/or physiotherapy). DATA COLLECTION AND ANALYSIS: Data were independently
extracted in duplicate. Trial authors were contacted for details of randomisation
and loss to follow-up, and also to provide means and standard deviations for
outcome measures where these were not available. Risk of bias was assessed and
disagreements between review authors were discussed and another review author
involved where necessary. MAIN RESULTS: Seventeen trials were eligible for
inclusion into the review. Psychosocial interventions improved long-term pain
intensity (standardised mean difference (SMD) -0.34, 95% confidence interval (CI)
-0.50 to -0.18) and depression (SMD -0.35, 95% CI -0.54 to -0.16). However, the
risk of bias was high for almost all studies. A subgroup analysis revealed that
cognitive behavioural therapy (CBT) either alone or in combination with
biofeedback improved long-term pain intensity, activity interference and
depression. However the studies pooled had high risk of bias and were few in
number. The pooled trials were all related to temporomandibular disorder (TMD).
AUTHORS' CONCLUSIONS: There is weak evidence to support the use of psychosocial
interventions for chronic orofacial pain. Although significant effects were
observed for outcome measures where pooling was possible, the studies were few in
number and had high risk of bias. However, given the non-invasive nature of such
interventions they should be used in preference to other invasive and
irreversible treatments which also have limited or no efficacy. Further high
quality trials are needed to explore the effects of psychosocial interventions on
chronic orofacial pain.
PMID- 22071850
TI - Melatonin for non-respiratory sleep disorders in visually impaired children.
AB - BACKGROUND: Exogenous melatonin helps in regulating the circadian rhythm and is
widely used for the management of sleep disorders in visually impaired children.
OBJECTIVES: The aim of the review was to assess melatonin therapy for treatment
of non-respiratory sleep disorders in visually impaired children, with regard to
improvement in sleep habit, sleep scheduling and sleep maintenance, when compared
with placebo or no treatment. SEARCH METHODS: We searched the following databases
between February 2011 and July 2011: the Cochrane Central Register of Controlled
Trials (CENTRAL) 2011(1) searched on 4th February 2011; MEDLINE (1950 to June
Week 3, 2011) searched on 20th June 2011; EMBASE (1980 to June Week 4, 2011)
searched on 7th July 2011; CINAHL (1937 to 21 September 2011); the metaRegister
of Controlled Trials (this includes ClinicalTrial.gov) searched 20 July 2011, and
reference lists of papers identified after initial screening. SELECTION CRITERIA:
We planned to include randomized controlled trials (RCTs) and quasi-RCTs,
including cross-over studies. Treatment would be exogenous melatonin. Control
groups could be placebo, other medication for sleep disorders or no treatment.
Outcomes sought were improved sleep with regard to timing and duration, quality
of life and adverse events. DATA COLLECTION AND ANALYSIS: Three review authors
independently assessed trials for inclusion in the review. MAIN RESULTS: We did
not find any studies fulfilling the inclusion criteria, therefore no outcome data
are reported.We identified nine studies after initial screening and, after
further evaluation, we excluded these. The excluded studies involved a total of
163 individuals aged two years to 18 years. We excluded studies for three main
reasons: they were non-randomized or case series studies, they were studies of
people over 18 years of age or even where the study was randomised, the study
population was mixed and results pertaining to the visually impaired cohort could
not be independently evaluated. No significant adverse effects of melatonin were
reported in these excluded studies. AUTHORS' CONCLUSIONS: There is currently no
high quality data to support or refute the use of melatonin for sleep disorders
in visually impaired children. Placebo-controlled trials examining important
clinical outcomes such as sleep quality, sleep latency, duration of sleep and
night-time awakenings are needed. As the numbers of children meeting study
inclusion criteria are likely to be low at individual sites, multicentre
collaboration between developmental paediatricians, sleep physicians and other
health care professionals is essential to achieve sufficient sample size for
controlled studies. Such collaboration would help facilitate local recruitment at
multiple sites, with study oversight being provided by paediatricians with
expertise in sleep disorders. Participation of collaborators with experience in
evidence-based practice research is also desirable due to the lack of protocols
on melatonin therapy in the target population.
PMID- 22071851
TI - Pharmacological interventions for the treatment of anxiety disorders in chronic
obstructive pulmonary disease.
AB - BACKGROUND: Chronic Obstructive Pulmonary Disease (COPD) is characterised by
inflammation of the airways and destruction of pulmonary tissue with post
bronchodilator FEV1/FVC of <0.70 (forced expiratory volume in one second/forced
vital capacity). Evidence indicates an increased prevalence of anxiety disorders
in patients with chronic obstructive pulmonary disease (COPD), as compared with
the general population and persons suffering from many other chronic illnesses.
Anxiety in people with COPD has been shown to increase disability and impair
functional status, resulting in an overall reduction in quality of life. As such,
pharmacological interventions are commonly used to treat anxiety disorders in
patients with COPD. OBJECTIVES: To assess the effect of pharmacological
interventions on anxiety disorders in people with COPD, in terms of improvement
of anxiety symptoms, quality of life, exercise tolerance, reduction in length of
hospital stay and FEV1. We also evaluated adverse drug reactions. SEARCH METHODS:
Two Cochrane Review Group Specialised Registers were searched (up to the 1st of
June 2011) to identify trials for this review. Complementary searches of PsycINFO
and the Cochrane Central Register of Controlled Trials (CENTRAL) were also
carried out. We did not apply any language restrictions. SELECTION CRITERIA: We
considered all randomised controlled trials (RCTs), cluster randomised trials and
cross-over trials of pharmacological interventions for patients (age > 40 years)
with COPD and co-existing anxiety disorders (as confirmed by recognised
diagnostic criteria or a validated measurement scale) for the review. DATA
COLLECTION AND ANALYSIS: Two of the three review authors individually evaluated
each article and extracted data. Any conflicts that arose were resolved through
discussion with a third party, if necessary. Trial investigators were contacted
to obtain missing/raw data. Meta-analyses of continuous outcomes were performed
using the random-effect model. MAIN RESULTS: Four studies met all of the
inclusion criteria (with a total of 40 participants). Three subclasses of anxiety
medications were used including selective serotonin reuptake inhibitors (SSRIs),
tricyclic antidepressants (TCAs) and azapirones. Although two studies used SSRIs
as the intervention (total of 21 participants), we were unable to meta-analyse
the anxiety outcomes as one study had a standard deviation of zero for the
control group. Included studies had relatively poor quality including small
sample sizes and short follow-up periods. Due to the small number of included
studies, we were unable to meta-analyse all the subclasses of medications.
AUTHORS' CONCLUSIONS: Due to the sub-optimal quality of the trials and
statistically non-significant results, it is not possible to draw any conclusions
for treatment. This review highlights the paucity of data in this area. As such,
there is a need for scientifically rigorous research trials to evaluate the role
of pharmacological interventions for anxiety disorders in patients with COPD,
using a sample size large enough to demonstrate meaningful clinical significance.
PMID- 22071852
TI - Anti-hypertensive drugs as disease-modifying agents for Parkinson's disease:
evidence from observational studies and clinical trials.
AB - BACKGROUND: Current treatment for Parkinson's disease (PD) is focused on
relieving symptoms, at present there is nothing that is widely accepted to halt
or slow disease progression. Potential neuroprotective or disease modifying
agents have been identified from preclinical studies. One such group of compounds
are anti-hypertensive drugs. OBJECTIVES: 1) Do anti-hypertensive drugs prevent
the onset of PD? (primary prevention)2) Are anti-hypertensive drugs disease
modifying agents in PD, do they slow the progression of disease once PD is
established? (secondary prevention)3) What are the adverse effects of taking anti
hypertensive drugs for patients with PD? SEARCH METHODS: Electronic databases
including trial registers were searched, complemented with handsearching of
conference proceedings and searching the citations of key articles (updated May
2011). Authors were contacted, to provide additional information, where
necessary. SELECTION CRITERIA: For the primary prevention review, primary
prevention trials and observational studies (cohort and case control studies)
were sought. Participants were free of PD when exposure to anti-hypertensive
drugs was assessed. For the secondary prevention review, clinical trials in
patients with well defined PD were sought. Two people independently selected
studies for inclusion using predetermined criteria. DATA COLLECTION AND ANALYSIS:
Data were abstracted from the source papers and methodological quality was
assessed independently by two review authors. Results for both reviews were dealt
with descriptively. MAIN RESULTS: Two cohort studies and four case control
studies met the inclusion criteria for the primary prevention review. The two
cohort studies found no effect of exposure to calcium channel blockers on the
risk of developing PD. Three case control studies looked at the effects of
exposure to calcium channel blockers and beta blockers on the risk of developing
PD but the assessment periods of exposure were markedly different prior to PD
onset, and different subclasses of drugs were examined, so results were not
comparable. A protective effect of centrally acting calcium channel blockers was
found in one study.Two trials and one ongoing trial met the inclusion criteria
for the secondary prevention review. Each completed trial examined a different
class of anti-hypertensive drug. The ongoing trial is examining the effects of
the calcium channel blocker isradipine on motor symptoms and disease progression.
It follows an earlier tolerability study. The results are due in the year
2012.Adverse effects were noted in all included trials and included
intolerability to the drugs and worsening PD symptoms. AUTHORS' CONCLUSIONS:
There is currently a lack of evidence for the use of antihypertensive drugs for
either the primary or secondary prevention of PD. More observational studies are
required to identify potential drugs to go forward for safety and tolerability
studies in people with early PD. The results of the ongoing trial will help
inform further research.
PMID- 22071853
TI - Sargramostim (GM-CSF) for induction of remission in Crohn's disease.
AB - BACKGROUND: Crohn's disease is an inflammatory condition of the gut, thought to
involve an overactive immune response to gut flora. A novel theory postulates
possible immunodeficiency as a cause, and aims to use sargramostim (granulocyte
macrophage colony stimulating factor, GM-CSF) to boost the immune system in an
effort to test this hypothesis. OBJECTIVES: The primary objectives were to
determine the efficacy and safety of sargramostim for induction of remission in
patients with clinically active Crohn's disease. SEARCH METHODS: A systematic
search of MEDLINE, EMBASE, and CENTRAL was conducted from inception to April
2011. Reference lists of relevant review articles were also searched. Trial
registries and abstract databases including Digestive Diseases Week (1980-2010)
and United European Gastroenterology Week (2005-2009) were searched to identify
studies published in abstract form. SELECTION CRITERIA: Randomized controlled
trials of sargramostim for the treatment of patients with active Crohn's disease
were considered for inclusion. DATA COLLECTION AND ANALYSIS: Data from selected
articles were extracted and the Cochrane Risk of Bias tool applied independently
by two authors. The primary outcome was induction of clinical remission as
defined by a Crohn's Disease Activity Index (CDAI) of < 150 at the end of
treatment. Secondary outcomes included clinical responses measures on the CDAI
and safety outcomes. Pooled risk ratios (RR) and 95% confidence intervals (CI)
were calculated for dichotomous outcomes, in most cases using a random effects
model due to high heterogeneity. MAIN RESULTS: Three studies were identified, 2
published as full papers and one in abstract form (537 patients). The risk of
bias was low for the 3 included studies. There was no statistically significant
difference in the proportion of patients (GM-CSF 25.3% versus placebo 17.5%) who
achieved clinical remission (RR 1.67; 95% CI 0.80 to 3.50; P = 0.17; 3 studies;
537 patients). There was no statistically significant difference in the
proportion of patients (GM-CSF 38.3% versus placebo 24.8%) who achieved a 100
point clinical response (RR 1.71 95% CI 0.98 to 2.97; P = 0.06; 3 studies; 537
patients). There was no statistically significant difference in the proportion of
patients (GM-CSF 54.3% versus placebo 44.2%) who achieved a 70 point clinical
response (RR 1.23; 95% CI 0.83 to 1.82; P = 0.30; 1 study; 124 patients). There
was no statistically significant difference in the proportion of patients (GM-CSF
95.8% versus placebo 89.3%) who experienced at least one adverse event (RR 1.07;
95% CI 0.99 to 1.16; P = 0.08; 2 studies; 251 patients), or serious adverse
events (GM-CSF 12.0% versus placebo 4.8%; RR 2.21; 95% CI 0.84 to 5.81; P = 0.11;
2 studies; 251 patients). The incidence of bone pain, musculoskeletal chest pain,
and dyspnea were higher in patients treated with sargramostim compared to
placebo. Other adverse events commonly associated with sargramostim such as
pulmonary capillary leak syndrome, pulmonary edema, heart failure, fever, and
neurotoxicity were not reported in these studies. AUTHORS' CONCLUSIONS:
Sargramostim does not appear to be more effective than placebo for induction of
clinical remission or clinical improvement in patients with active Crohn's
disease. However, the GRADE analysis indicates that the overall quality of the
evidence for the primary (clinical remission) and secondary outcomes (clinical
response) was low indicating that further research is likely to have an impact on
the effect estimates.
PMID- 22071854
TI - Analgesia for amniocentesis or chorionic villus sampling.
AB - BACKGROUND: Besides risks of miscarriage, pregnant women undergoing amniocentesis
or chorionic villus sampling (CVS) are also concerned about pain associated with
these procedures. Currently, approaches to analgesia can be categorised in two
broad categories - non-pharmacological and pharmacological agents. OBJECTIVES: To
evaluate whether different methods of analgesia have any impact on pain reduction
during amniocentesis or chorionic villus sampling (CVS). SEARCH METHODS: We
searched the Cochrane Pregnancy and Childbirth Group's Trials Register (31 August
2011). SELECTION CRITERIA: All randomised trials comparing different method of
analgesia for amniocentesis or CVS. We also include trials with quasi-randomised
designs, but analyse and report their results separately. DATA COLLECTION AND
ANALYSIS: Both review authors assessed eligibility and trial quality and
performed data extraction. MAIN RESULTS: We included a total of five randomised
studies (involving 805 women) evaluating different methods of analgesia for
amniocentesis; there were no studies in women undergoing CVS.One RCT (N = 203)
and one quasi-randomised study (N = 220) compared infiltrative local anaesthesia
with no anaesthesia and found no statistical difference in experienced pain on
the visual analogue scale (VAS) (mean differences (MD) -2.50 and 1.20; 95%
confidence interval (CI) -6.98 to 1.98 and -2.67 to 5.07).One study (N = 200)
compared light leg rubbing versus no intervention during amniocentesis and found
no change in experienced anxiety (MD 0.2; 95% CI -0.63 to 1.03) or VAS pain score
(MD 0.3; 95% CI -0.35 to 0.95) during amniocentesis.Another study with 62
patients did not find any benefit of using subfreezing temperature needle during
amniocentesis in terms of decreased VAS pain score (MD -0.8; 95% CI -1.8 to 0.2).
In addition, there was no difference between anticipated and actual pain (MD 0.4;
95% CI -0.82 to 1.62) (before/after comparison).There was also no difference in
VAS pain scores in the study with 120 participants comparing lidocaine-prilocaine
analgesic cream to placebo cream before amniocentesis (MD -0.6; 95% CI -1.44 to
0.24). AUTHORS' CONCLUSIONS: In general, women who undergo amniocentesis could be
informed that pain during procedure is minor and that there is currently
insufficient evidence to support the use of local anaesthetics, leg rubbing or
subfreezing the needle for pain reduction during procedure.
PMID- 22071855
TI - Probiotics for patients with hepatic encephalopathy.
AB - BACKGROUND: Hepatic encephalopathy is a disorder of brain function as a result of
liver failure and/or portosystemic shunt. Both hepatic encephalopathy (clinically
overt) and minimal hepatic encephalopathy (not clinically overt) significantly
impair patient's quality of life and daily functioning and represent a
significant burden on health care resources. Probiotics are live microorganisms,
which when administered in adequate amounts may confer a health benefit on the
host. OBJECTIVES: To quantify the beneficial and harmful effects of any probiotic
in any dosage, compared with placebo or no intervention, or with any other
treatment for patients with any grade of acute or chronic hepatic encephalopathy
as assessed from randomised trials. SEARCH METHODS: We searched the The Cochrane
Hepato-Biliary Group Controlled Trials Register, The Cochrane Central Register of
Controlled Trials (CENTRAL) in The Cochrane Library, MEDLINE, EMBASE, Science
Citation Index Expanded, conference proceedings, reference lists of included
trials and the WHO international clinical trials registry until April 2011
registry platform to identify new and ongoing trials. SELECTION CRITERIA: We
included randomised trials that compared probiotics in any dosage with placebo or
no intervention, or with any other treatment in patients with hepatic
encephalopathy. DATA COLLECTION AND ANALYSIS: Three authors independently
assessed the risk of bias of the included trials and extracted data on relevant
outcomes, with differences resolved by consensus. We conducted random-effects
model meta-analysis due to obvious heterogeneity of patients and interventions. A
P value of 0.05 or less was defined as significant. Dichotomous outcomes are
expressed as risk ratio (RR) and continuous outcomes as mean difference (MD) with
95% confidence intervals (CI). MAIN RESULTS: We included seven trials of which
550 participants were randomised. Four of the seven trials compared a probiotic
with placebo or no treatment in 245 participants, another trial compared a
probiotic with lactulose in 40 participants , and the remaining two trials
compared a probiotic with both placebo and lactulose in 265 participants. Each
trial used different types of probiotics. Duration of administration of the
experimental intervention varied from 10 days to 180 days. Two trials were
industry funded, and five were unclear about origin of funding. All trials had
high risk of bias. When probiotics were compared with no treatment, there was no
significant difference in all-cause mortality (2 trials, 105 participants; 1/57
(2%) versus 1/48 (2%): RR 0.72; 95% CI 0.08 to 6.60), lack of recovery (4 trials,
206 participants; 54/107 (50%) versus 68/99 (69%): RR 0.72; 95% CI 0.49 to 1.05),
adverse events (3 trials, 145 participants; 2/77 (3%) versus 6/68 (9%): RR 0.34;
95% CI 0.08 to 1.42), quality of life (1 trial, 20 participants contributed to
the physical quality of life measurement, 20 participants contributed to the
mental quality of life: MD Physical 0.00; 95% CI -5.47 to 5.47; MD Mental 4.00;
95% CI -1.82 to 9.82), or change of/or withdrawal from treatment (3 trials, 175
participants; 11/92 (12%) versus 7/83 (8%): RR 1.28; 95% CI 0.52 to 3.19). No
trial reported sepsis or duration of hospital stay as an outcome. Plasma ammonia
concentration was significantly lower for participants treated with probiotic at
one month (3 trials, 226 participants: MD -2.99 MUmol/L; 95% CI -5.70 to -0.29)
but not at two months (3 trials, 181 participants: MD -1.82 MUmol/L; 95% CI
14.04 to 10.41). Plasma ammonia decreased the most in the participants treated
with probiotic at three months (1 trial, 73 participants: MD -6.79 MUmol/L; 95%
CI -10.39 to -3.19). When probiotics were compared with lactulose no trial
reported all-cause mortality, quality of life, duration of hospital stay, or
septicaemia. There were no significant differences in lack of recovery (3 trials,
173 participants; 47/87 (54%) versus 44/86 (51%): RR 1.05; 95% CI 0.75 to 1.47),
adverse events (2 trials, 111 participants; 3/56 (5%) versus 6/55 (11%): RR 0.57;
95% CI 0.06 to 5.74), change of/or withdrawal from treatment at one month (3
trials, 190 participants; 8/95 (8%) versus 7/95 (7%): RR 1.10; 95% CI 0.40 to
3.03), plasma ammonia concentration (2 trials, 93 participants: MD -6.61 MUmol/L;
95% CI -30.05 to 16.84), or change in plasma ammonia concentration (1 trial, 77
participants: MD 1.16 MUmol/L; 95% CI -1.96 to 4.28). AUTHORS' CONCLUSIONS: The
trials we located suffered from a high risk of systematic errors ('bias') and
high risk of random errors ('play of chance'). While probiotics appear to reduce
plasma ammonia concentration when compared with placebo or no intervention, we
are unable to conclude that probiotics are efficacious in altering clinically
relevant outcomes. Demonstration of unequivocal efficacy is needed before
probiotics can be endorsed as effective therapy for hepatic encephalopathy.
Further randomised clinical trials are needed.
PMID- 22071856
TI - Oral health advice for people with serious mental illness.
AB - BACKGROUND: People with serious mental illness experience an erosion of
functioning in day-to-day life over a protracted period of time. There is also
evidence to suggest that people with serious mental illness have a greater risk
of experiencing oral disease and have greater oral treatment needs than the
general population. However, oral health has never been seen as a priority in
people suffering with serious mental illness. Poor oral health has a serious
impact on quality of life, everyday functioning, social inclusion and self
esteem. We feel that oral healthcare advice could have a positive impact on this
disadvantaged population. OBJECTIVES: To assess the effectiveness of oral health
advice in reducing morbidity, mortality and preserving the quality of life in
people with serious mental illness. SEARCH METHODS: We searched the Cochrane
Schizophrenia Group Trials Register (October 2009) which is based on regular
searches of CENTRAL, MEDLINE, EMBASE, CINAHL and PsycINFO. SELECTION CRITERIA: We
planned to include all randomised clinical trials focusing on oral health advice
versus standard care or comparing oral health advice with other more focused
methods of delivering care or information. DATA COLLECTION AND ANALYSIS: The
review authors (GT, AC, WK) independently screened search results and did not
identify any studies that fulfilled the review's criteria. MAIN RESULTS: We did
not identify any studies that met our inclusion criteria. AUTHORS' CONCLUSIONS:
Healthcare professionals should be more proactive in liaising with oral health
professionals in developing novel ways to cater for the needs of people with
serious mental illness.
PMID- 22071857
TI - Compression stockings for the initial treatment of varicose veins in patients
without venous ulceration.
AB - BACKGROUND: Compression hosiery or stockings are often the first line of
treatment for varicose veins in people without either healed or active venous
ulceration. Evidence is required to determine whether the use of compression
stockings can effectively manage and treat varicose veins in the early stages.
OBJECTIVES: To assess the effectiveness of compression stockings for the initial
treatment of varicose veins in patients without healed or active venous
ulceration. SEARCH METHODS: The Cochrane Peripheral Vascular Disease Group
searched their Specialised Register (last searched 31 May 2011) and CENTRAL
(2011, Issue 2). In addition, the reference lists of relevant articles were
searched. Authors of ongoing and current trials were contacted. There were no
language restrictions. SELECTION CRITERIA: Randomised controlled trials (RCTs)
were included if they involved participants diagnosed with primary trunk varicose
veins without healed or active venous ulceration (Clinical, Etiology, Anatomy,
Pathophysiology (CEAP) classification C2 to C4). Included trials assessed
compression stockings versus no treatment, compression versus placebo stockings,
or compression stockings + drug intervention versus drug intervention alone.
Trials comparing different lengths and pressures of stockings were also included.
Trials involving other types of treatment for varicose veins (either as a
comparator to stockings or as an initial non-randomised treatment), including
sclerotherapy and surgery, were excluded. DATA COLLECTION AND ANALYSIS: Two
authors assessed the trials for inclusion and quality (SS and LR). SS extracted
the data, which were checked by LR. Attempts were made to contact trial authors
where missing or unclear data were present. MAIN RESULTS: Seven studies involving
356 participants with varicose veins without healed or active venous ulceration
were included. Different levels of pressure were exerted by the stockings in the
studies, ranging from 10 to 50 mmHg. One study assessed compression hosiery
versus no compression hosiery. The other six compared different types or
pressures of stockings. The methodological quality of all included trials was
unclear, mainly because of inadequate reporting.The symptoms subjectively
improved with the wearing of stockings across trials that assessed this outcome,
but these assessments were not made by comparing one randomised arm of a trial
with a control arm and are therefore subject to bias.Meta-analyses were not
undertaken due to inadequate reporting and actual or suspected high levels of
heterogeneity. AUTHORS' CONCLUSIONS: There is insufficient, high quality evidence
to determine whether or not compression stockings are effective as the sole and
initial treatment of varicose veins in people without healed or active venous
ulceration, or whether any type of stocking is superior to any other type. Future
research should consist of a large RCT of participants with trunk varices either
wearing or not wearing compression stockings to assess the efficacy of this
intervention. If compression stockings are found to be beneficial, further
studies assessing which length and pressure is the most efficacious could then
take place.
PMID- 22071858
TI - Safety of non-steroidal anti-inflammatory drugs, including aspirin and
paracetamol (acetaminophen) in people receiving methotrexate for inflammatory
arthritis (rheumatoid arthritis, ankylosing spondylitis, psoriatic arthritis,
other spondyloarthritis).
AB - BACKGROUND: Methotrexate is routinely used in the treatment of inflammatory
arthritis. There have been concerns regarding the safety of using concurrent non
steroidal anti-inflammatory drugs (NSAIDs), including aspirin, or paracetamol
(acetaminophen), or both, in these people. OBJECTIVES: To systematically appraise
and summarise the scientific evidence on the safety of using NSAIDs, including
aspirin, or paracetamol, or both, with methotrexate in inflammatory arthritis;
and to identify gaps in the current evidence, assess the implications of those
gaps and to make recommendations for future research to address these
deficiencies. SEARCH METHODS: We searched the Cochrane Central Register of
Controlled Trials (CENTRAL) (The Cochrane Library, second quarter 2010); MEDLINE
(from 1950); EMBASE (from 1980); the Cochrane Database of Systematic Reviews
(CDSR) and the Database of Abstracts of Reviews of Effects (DARE). We also
handsearched the conference proceedings for the American College of Rheumatology
(ACR) and European League against Rheumatism (EULAR) (2008 to 2009) and checked
the websites of regulatory agencies for reported adverse events, labels and
warnings. SELECTION CRITERIA: Randomised controlled trials and non-randomised
studies comparing the safety of methotrexate alone to methotrexate with
concurrent NSAIDs, including aspirin, or paracetamol, or both, in people with
inflammatory arthritis. DATA COLLECTION AND ANALYSIS: Two authors independently
assessed the search results, extracted data and assessed the risk of bias of the
included studies. MAIN RESULTS: Seventeen publications out of 8681 identified
studies were included in the review, all of which included people with rheumatoid
arthritis using various NSAIDs, including aspirin. There were no identified
studies for other forms of inflammatory arthritis.For NSAIDs, 13 studies were
included that used concurrent NSAIDs, of which nine studies examined unspecified
NSAIDs. The mean number of participants was 150.4 (range 19 to 315), mean
duration 2182.9 (range 183 to 5490) days, although the study duration was not
always clearly defined, and the studies were mainly of low to moderate quality.
Two of these studies reported no evidence for increased risk of methotrexate
induced pulmonary disease; one study assessed the effect of concurrent NSAIDs on
renal function and found no adverse effect; one study identified no adverse
effect on liver function; three studies demonstrated no increase in methotrexate
withdrawal; and one study showed no increase in all adverse events, including
major toxic reactions. However, transient thrombocytopenia was demonstrated in
one study, specifically when NSAIDs were taken on the same week day as
methotrexate. This study was a retrospective review that involved small numbers
only and was of moderate quality; these finding have not been replicated
since.Four studies looked at specific NSAIDs (etodolac, piroxicam, celecoxib and
etoricoxib), with a mean number of participants of 25.8 (range 14 to 50) and mean
study duration of 16.8 (range 14 to 23) days. These studies were mainly of
moderate quality. The studies were primarily pharmacokinetic studies but also
reported adverse events as secondary outcomes. There were no clinically
significant adverse effects with concomitant piroxicam or etodolac; and only mild
adverse events with celecoxib or etoricoxib, such as nausea and vomiting, and
headaches.For aspirin, seven studies provided data on adverse events with the use
of aspirin and methotrexate. These studies included a mean number of participants
of 100 (range 11 to 232), had a mean duration of 1325 (range 8 to 2928) days and
were mainly of low to moderate quality. Two of the studies reported no evidence
for increased risk of methotrexate-induced pulmonary disease and two studies
showed no increase in all adverse events including major toxic reactions;
however, none of these studies specified the dose of aspirin that was used. One
study demonstrated that concurrent aspirin adversely affected liver function at a
mean dose of 6.84 tablets of aspirin per day, which is a possible daily dose of
2.1 g presuming that 300 mg aspirin tablets were given. A further study described
a partially reversible decline in renal function with 2 g daily of aspirin. One
study reported no increase in adverse events with 975 g aspirin daily, however
the study duration was only one week.For paracetamol, no studies were identified
for inclusion. AUTHORS' CONCLUSIONS: In the management of rheumatoid arthritis,
the concurrent use of NSAIDs with methotrexate appears to be safe provided
appropriate monitoring is performed. The use of anti-inflammatory doses of
aspirin should be avoided.
PMID- 22071859
TI - Antidepressants for pain management in rheumatoid arthritis.
AB - BACKGROUND: Pain management is a high priority for patients with rheumatoid
arthritis (RA). Antidepressants are sometimes used as adjuvant agents to enhance
pain relief, help with sleep and reduce depression. Such antidepressants include
tricyclic antidepressants (TCAs), monoamine oxidase inhibitors (MAOIs), selective
serotonin reuptake inhibitors (SSRIs), selective serotonin noradrenaline reuptake
inhibitors (SNRIs) and norepinephrine reuptake inhibitors (NRIs). However, the
prescription of antidepressants in this population remains controversial because
of conflicting scientific evidence. OBJECTIVES: The aim of this review was to
determine the efficacy and safety of antidepressants in pain management in
patients with RA. SEARCH METHODS: We performed a computer assisted search of the
Cochrane Central Register of Controlled Trials (CENTRAL) (The Cochrane Library
2010, 4th quarter); MEDLINE (1950 to November Week 1, 2010); EMBASE (2010 Week
44); and PsycINFO (1806 to November Week 2, 2010). We also searched the 2008-2009
American College of Rheumatology (ACR) and European League Against Rheumatism
(EULAR) abstracts and performed a handsearch of reference lists of articles.
SELECTION CRITERIA: We included randomised controlled trials (RCTs) which
compared an antidepressant therapy to another therapy (active or placebo,
including non-pharmacological therapies) in adult patients with RA who had at
least one clinically relevant outcome measure. Outcomes of interest were pain,
adverse effects, function, sleep, depression and quality of life. DATA COLLECTION
AND ANALYSIS: Two blinded review authors independently extracted data and
assessed the risk of bias in the trials. We conducted meta-analyses to examine
the efficacy of antidepressants on pain, depression and function, as well as
their safety. MAIN RESULTS: We included eight RCTs (652 participants) in this
review. All trials evaluated TCAs and two trials evaluated a SSRI as a
comparator. Seven of the eight trials had high risk of bias. There was
insufficient data for a number needed to treat for an additional beneficial
outcome (NNTB) to be calculated for the primary outcome measure of pain. The
qualitative analyses found no evidence of an effect of antidepressants on pain
intensity or depression in the short-term (less than one week), and conflicting
evidence of a medium- (one to six weeks) or long-term (more than six weeks)
benefit. There were significantly more minor adverse events in patients receiving
TCAs compared with those receiving a placebo (risk ratio (RR) 2.27, 95%
confidence interval (CI) 1.17 to 4.42), but there was no significant increase in
withdrawals due to an adverse event (RR 1.09, 95% CI 0.49 to 2.42). AUTHORS'
CONCLUSIONS: There is currently insufficient evidence to support the routine
prescription of antidepressants as analgesics in patients with RA as no reliable
conclusions about their efficacy can be drawn from eight placebo RCTs. The use of
these agents may be associated with adverse events which are generally mild and
do not lead to cessation of treatment. More high quality trials are needed in
this area.
PMID- 22071860
TI - Interventions designed to prevent healthcare bed-related injuries in patients.
AB - BACKGROUND: Every patient in residential healthcare has a bed. Falling out of bed
is associated with preventable patient harm. Various interventions to prevent
injury are available. Bed rails are the most common intervention designed to
prevent patients falling out of bed; however, their effectiveness is uncertain
and bed rail entrapment can also result in injuries. OBJECTIVES: To assess the
effectiveness of interventions designed to prevent patient injuries and falls
from their beds. SEARCH METHODS: We searched the Cochrane Injuries Group
Specialised Register, Cochrane Central Register of Controlled Trials 2010, Issue
2 (The Cochrane Library), MEDLINE (Ovid), EMBASE (Ovid), CINAHL (EBSCO), ISOI Web
of Science and Web-based trials registers (all to December 2010) as well as
reference lists. SELECTION CRITERIA: Randomised controlled trials of
interventions designed to prevent patient injuries from their beds which were
conducted in hospitals, nursing care facilities or rehabilitation units were
eligible for inclusion. DATA COLLECTION AND ANALYSIS: Two review authors
independently assessed the risk of bias and extracted data from the included
studies. Authors contacted investigators to obtain missing information. MAIN
RESULTS: Two studies met the inclusion criteria, involving a total of 22,106
participants. One study tested low height beds and the other tested bed exit
alarms. Both studies used standard care for their control group and both studies
were conducted in hospitals. No study investigating bed rails met the inclusion
criteria. Due to the clinical heterogeneity of the interventions in the included
studies pooling of data and meta-analysis was inappropriate, and so the results
of the studies are described.A single cluster randomised trial of low height beds
in 18 hospital wards, including 22,036 participants, found no significant
reduction in the frequency of patient injuries due to their beds (there were no
injuries in either group), patient falls in the bedroom (rate ratio 0.69, 95% CI
0.35 to 1.34), all falls (rate ratio 1.26, 95% CI 0.83 to 1.90) or patient
injuries due to all falls (rate ratio 1.35, 95% CI 0.68 to 2.68).One randomised
controlled trial of bed exit alarms in one hospital geriatric ward, involving 70
participants, found no significant reduction in the frequency of patient injuries
due to their beds (there were no injuries in either group), patient falls out of
bed (rate ratio 0.25, 95% CI 0.03 to 2.24), all falls (rate ratio 0.42, 95% CI
0.15 to 1.18) or patient injuries due to all falls (no injuries in either group).
AUTHORS' CONCLUSIONS: The effectiveness of interventions designed to prevent
patient injuries from their beds (including bed rails, low height beds and bed
exit alarms) remains uncertain. The available evidence shows no significant
increase or decrease in the rate of injuries with the use of low height beds and
bed exit alarms. Limitations of the two included studies include lack of blinding
and insufficient power. No randomised controlled trials of bed rails were
identified. Future reports should fully describe the standard care received by
the control group.
PMID- 22071861
TI - Effectiveness of external inspection of compliance with standards in improving
healthcare organisation behaviour, healthcare professional behaviour or patient
outcomes.
AB - BACKGROUND: Inspection systems are used in health care to promote quality
improvements, i.e. to achieve changes in organisational structures or processes,
healthcare provider behaviour and patient outcomes. These systems are based on
the assumption that externally promoted adherence to evidence-based standards
(through inspection/assessment) will result in higher quality of health care.
However, the benefits of external inspection in terms of organisational, provider
and patient level outcomes are not clear. OBJECTIVES: To evaluate the
effectiveness of external inspection of compliance with standards in improving
healthcare organisation behaviour, healthcare professional behaviour and patient
outcomes. SEARCH METHODS: We searched the following electronic databases for
studies: the Cochrane Central Register of Controlled Trials (CENTRAL), MEDLINE,
EMBASE, CINAHL, Cochrane Database of Systematic Reviews, Database of Abstracts of
Reviews of Effectiveness, Scopus, HMIC, Index to Theses and Intute from their
inception dates up to May 2011. There was no language restriction and studies
were included regardless of publication status. We searched the reference lists
of included studies and contacted authors of relevant papers, accreditation
bodies and the International Organization for Standardisation (ISO), regarding
any further published or unpublished work. SELECTION CRITERIA: We included
randomised controlled trials (RCTs), controlled clinical trials (CCTs),
interrupted time-series (ITSs) and controlled before and after studies (CBAs)
evaluating the effect of external inspection against external standards on
healthcare organisation change, healthcare professional behaviour or patient
outcomes in hospitals, primary healthcare organisations and other community-based
healthcare organisations. DATA COLLECTION AND ANALYSIS: Two review authors
independently applied eligibility criteria, extracted data and assessed the risk
of bias of each included study. Since meta-analysis was not possible, we produced
a narrative results summary. MAIN RESULTS: We identified one cluster-RCT
involving 20 South African public hospitals (Salmon 2003) and one ITS involving
all acute trusts in England (OPM 2009) for inclusion in this review.Salmon and
colleagues (Salmon 2003) showed mixed effects of a hospital accreditation system
on the compliance with COHSASA (the Council for Health Services Accreditation for
South Africa) accreditation standards and eight indicators of hospital quality.
Significantly improved total mean compliance score with COHSASA accreditation
standards was found for 21/28 service elements: mean intervention effect (95%
confidence interval (CI)) was 30% (23% to 57%) (P < 0.001). The score increased
from 48% to 78% in intervention hospitals, while remaining the same in control
hospitals (43%). A sub-analysis of 424 a priori identified critical criteria (19
service elements) showed significantly improved compliance with the critical
standards (P < 0.001). The score increased from 41% (21% to 46%) to 75% (55% to
96%) in intervention hospitals, but was unchanged in control hospitals (37%).
Only one of the nine intervention hospitals gained full accreditation status at
the end of the study period, with two others reached pre-accreditation status.The
median intervention effect (range) for the indicators of hospital quality of care
was 2.4 (-1.9 to +11.8) and only one of the eight indicators: 'nurses perception
of clinical quality, participation and teamwork' was significantly improved (mean
intervention effect 5.7, P = 0.03).Re-analysis of the MRSA (methicillin-resistant
Staphylococcus aureus) data showed statistically non-significant effects of the
Healthcare Commissions Infection Inspection programme. AUTHORS' CONCLUSIONS: We
only identified two studies for inclusion in this review, which highlights the
paucity of high-quality controlled evaluations of the effectiveness of external
inspection systems. No firm conclusions could therefore be drawn about the
effectiveness of external inspection on compliance with standards.
PMID- 22071862
TI - Quadriphasic versus monophasic oral contraceptives for contraception.
AB - BACKGROUND: Quadriphasic oral contraceptives have been developed to reduce the
adverse effects of oral contraceptives and are presented as more physiological
since they mimic the natural cycle. However, suggested disadvantages of
quadriphasic oral contraceptives include a possible increased risk of pill-taking
errors caused by the array of different color pills, complicated directions for
catching up when a pill is missed, the higher price and potential inferiority in
terms of side effects. OBJECTIVES: To compare the contraceptive effectiveness,
bleeding pattern, minor side effects and acceptability of quadriphasic
contraceptive pills versus monophasic contraceptive pills. SEARCH METHODS: We
searched CENTRAL, MEDLINE, EMBASE, POPLINE, ClinicalTrials.gov and ICTRP for
trials comparing quadriphasic pills with monophasic pills. We contacted
researchers and manufacturers of quadriphasic oral contraceptives to identify
additional studies. SELECTION CRITERIA: Randomized controlled trials (RCTs)
comparing quadriphasic with monophasic oral contraceptives . Trials had to report
on contraceptive effectiveness, bleeding patterns, minor side effects, ease of
use or trial discontinuation. We excluded studies where the intervention was
primarily used as a treatment for disorders or was administered for fewer than
three consecutive cycles. DATA COLLECTION AND ANALYSIS: T wo authors abstracted
and entered data into RevMan. We critically appraised the methodological quality
of the included trials. For continuous variables, we computed the mean difference
with 95% confidence interval (CI) using the random-effects model. For dichotomous
variables, we calculated the risk ratio with 95% CI using the random-effects
model. MAIN RESULTS: We included one double-blind, double-dummy RCT comparing a
quadriphasic oral contraceptive composed of dienogest and estradiol valerate with
a monophasic oral contraceptive composed of levonorgestrel and ethinylestradiol.
Contraceptive effectiveness, intracyclic bleeding and discontinuation due to side
effects were similar for quadriphasic and monophasic pills. The number of women
experiencing withdrawal bleeding was higher in the monophasic group compared to
the quadriphasic group. Users of quadriphasic pills reported fewer
bleeding/spotting days and fewer bleeding/spotting episodes than users of
monophasic pills but the report did not specify whether the bleeding/spotting was
scheduled or unscheduled. More women using quadriphasic oral contraceptives
reported breast pain compared to women using monophasic oral contraceptives.
AUTHORS' CONCLUSIONS: The available evidence is insufficient to determine whether
quadriphasic differ from monophasic oral contraceptives in contraceptive
effectiveness, bleeding pattern, minor side effects and acceptability. Studies
that compare quadriphasic and monophasic oral contraceptives with an identical
progestogen and estrogen type are needed to determine whether the quadriphasic
approach differs from the monophasic approach. Studies that compare quadriphasic
pills with monophasic pills containing 30 MUg ethinylestradiol are indicated to
determine whether quadriphasic oral contraceptives have an advantage over the
current, first choice oral contraceptive . Until then, we recommend monophasic
pills containing 30 MUg estrogen as the first choice for women starting oral
contraceptive use.
PMID- 22071863
TI - Neuropsychological rehabilitation for multiple sclerosis.
AB - BACKGROUND: Cognitive deficits are a common manifestation in multiple sclerosis
(MS) and have a wide effect on the patient's quality of life. Alleviation of the
harmful effects caused by these deficits should be a major goal of MS research
and practice. OBJECTIVES: The aim of this review was to evaluate the effects of
neuropsychological/cognitive rehabilitation in MS by conducting a systematic
review. SEARCH METHODS: A systematic literature search was carried out on reports
drawn from Cochrane MS Group Specialised Register (To October 2010), Evidence
based medicine (EBM) reviews (To September 2010), MEDLINE (January 1950 to
September 2010), EMBASE (1974 to September 2010), PsycINFO (January 1806 to
September 2010), WEB OF SCIENCE (WOS) (January 1986 to September 2010), CINAHL
(1982 to September 2010), and identified from the references in these reports.
SELECTION CRITERIA: Randomised Controlled Trials (RCTs) and quasi-randomised
trials evaluating the effects of neuropsychological rehabilitation in MS compared
to other interventions or no intervention at all and employing neuropsychological
rehabilitation methods and outcome measures were included. DATA COLLECTION AND
ANALYSIS: Two review authors individually judged the relevance, risk of bias, and
content of the included studies. Results were combined quantitatively with meta
analyses according to the intervention type: 1) Cognitive training and 2)
Cognitive training combined with other neuropsychological rehabilitation methods.
In addition, narrative presentation was used in reporting the results of those
studies which were inappropriate to be included in the meta-analysis. MAIN
RESULTS: Fourteen studies (770 MS patients) fulfilled the inclusion criteria. On
the basis of these studies, low level evidence was found that neuropsychological
rehabilitation reduces cognitive symptoms in MS. Cognitive training was found to
improve memory span (standardised mean difference 0.54 (95% confidence interval
0.2 to 0.88, P = 0.002)), working memory (standardised mean difference 0.33 (95%
confidence interval 0.09 to 0.57, P = 0.006)), and immediate visual memory
(standardised mean difference 0.32 (95% confidence interval 0.04 to 0.6, P =
0.02)). There was no evidence of an effect of cognitive training combined with
other neuropsychological rehabilitation methods on cognitive or emotional
functions. The overall quality as well as the comparability of the included
studies were relatively low due to methodological limitations and heterogeneity
of outcome measures. Although most of the pooled results in the meta-analyses
yielded no significant findings, twelve of the fourteen studies showed some
evidence of positive effects when the studies were individually analysed.
AUTHORS' CONCLUSIONS: The review indicates low level evidence for the positive
effects of neuropsychological rehabilitation in MS. Interventions included in the
review were heterogeneous. Consequently, clinical inferences can basically be
drawn from single studies. Therefore, new trials may change the strength and
direction of the evidence. To further strengthen the evidence, well-designed high
quality studies are needed. In this systematic review, recommendations are given
for improving the quality of future studies on the effects of neuropsychological
rehabilitation in MS.
PMID- 22071864
TI - Blunt versus sharp suture needles for preventing percutaneous exposure incidents
in surgical staff.
AB - BACKGROUND: Surgeons and their assistants are especially at risk of exposure to
blood due to glove perforations and needle stick injuries during operations. The
use of blunt needles can reduce this risk because they don't penetrate skin
easily but still perform sufficiently in other tissues. OBJECTIVES: To determine
the effectiveness of blunt needles compared to sharp needles for preventing
percutaneous exposure incidents among surgical staff. SEARCH METHODS: We searched
MEDLINE and EMBASE (until May 2011), CENTRAL, NHSEED, Science Citation Index
Expanded, CINAHL, Nioshtic, CISdoc, PsycINFO, and LILACS (until September 2010).
SELECTION CRITERIA: Randomised controlled trials (RCTs) of blunt versus sharp
suture needles for preventing needle stick injuries among surgical staff measured
as glove perforations or self-reported needle stick injuries. DATA COLLECTION AND
ANALYSIS: Two authors independently assessed study eligibility and risk of bias
in trials and extracted data. We synthesized study results with a fixed-effect
model meta-analysis. MAIN RESULTS: We located 10 RCTs involving 2961
participating surgeons performing an operation in which the use of blunt needles
was compared to the use of sharp needles. Four studies focused on abdominal
closure, two on caesarean section, two on vaginal repair and two on hip
replacement. On average, a surgeon that used sharp needles sustained one glove
perforation in three operations. The use of blunt needles reduced the risk of
glove perforations with a relative risk (RR) of 0.46 (95% confidence interval
(CI) 0.38 to 0.54) compared to sharp needles. The use of blunt needles will thus
prevent one glove perforation in every six operations.In four studies, the use of
blunt needles reduced the number of self-reported needle stick injuries with a RR
of 0.31 (95% CI 0.14 to 0.68). Because the force needed for the blunt needles is
higher, their use was rated as more difficult but still acceptable in five out of
six studies.The quality of the evidence was rated as high. AUTHORS' CONCLUSIONS:
There is high quality evidence that the use of blunt needles appreciably reduces
the risk of exposure to blood and bodily fluids for surgeons and their assistants
over a range of operations. It is unlikely that future research will change this
conclusion.
PMID- 22071865
TI - Low molecular weight heparin versus unfractionated heparin for perioperative
thromboprophylaxis in patients with cancer.
AB - BACKGROUND: The choice of the appropriate perioperative thromboprophylaxis in
patients with cancer depends on the relative benefits and harms of low molecular
weight heparin (LMWH) and unfractionated heparin (UFH). OBJECTIVES: To
systematically review the evidence for the relative efficacy and safety of LMWH
and UFH for perioperative thromboprophylaxis in patients with cancer. SEARCH
METHODS: A comprehensive search for trials of anticoagulation in cancer patients
including a February 2010 electronic search of: the Cochrane Central Register of
Controlled Trials (CENTRAL), MEDLINE, EMBASE and ISI Web of Science. SELECTION
CRITERIA: Randomized controlled trials (RCTs) that enrolled cancer patients
undergoing a surgical intervention and compared the effects of LMWH to UFH on
mortality, deep venous thrombosis (DVT), pulmonary embolism (PE), bleeding
outcomes, and thrombocytopenia. DATA COLLECTION AND ANALYSIS: Two review authors
used a standardized form to independently extract in duplicate data on risk of
bias, participants, interventions and outcomes of interest. Where possible, we
conducted meta-analyses using the random-effects model. MAIN RESULTS: Of 8187
identified citations, we included 16 RCTs with 11,847 patients in the meta
analyses, all using preoperative prophylactic anticoagulation. The overall
quality of evidence was moderate. The meta-analysis did not conclusively rule out
either a beneficial or harmful effect of LMWH compared to UFH for the following
outcomes: mortality (RR = 0.90; 95% CI 0.73 to 1.10), symptomatic DVT (RR = 0.73;
95% CI 0.23 to 2.28), PE (RR = 0.59; 95% CI 0.25 to1.41), minor bleeding (RR =
0.88; 95% CI 0.47 to 1.66) and major bleeding (RR = 0.84; 95% CI 0.52 to 1.36).
LMWH was associated with lower incidence of wound hematoma (RR = 0.60; 95% CI
0.43, 0.84) while UFH was associated with higher incidence of intra-operative
transfusion (RR = 1.16; 95% CI 0.69,1.62). AUTHORS' CONCLUSIONS: We found no
difference between perioperative thromboprophylaxis with LMWH verus UFH in their
effects on mortality and embolic outcomes in patients with cancer. Further trials
are needed to more carefully evaluate the benefits and harms of different heparin
thromboprophylaxis strategies in this population.
PMID- 22071866
TI - Methods for obtaining unpublished data.
AB - BACKGROUND: In order to minimise publication bias, authors of systematic reviews
often spend considerable time trying to obtain unpublished data. These include
data from studies conducted but not published (unpublished data), as either an
abstract or full-text paper, as well as missing data (data available to original
researchers but not reported) in published abstracts or full-text publications.
The effectiveness of different methods used to obtain unpublished or missing data
has not been systematically evaluated. OBJECTIVES: To assess the effects of
different methods for obtaining unpublished studies (data) and missing data from
studies to be included in systematic reviews. SEARCH METHODS: We identified
primary studies comparing different methods of obtaining unpublished studies
(data) or missing data by searching the Cochrane Methodology Register (Issue 1,
2010), MEDLINE and EMBASE (1980 to 28 April 2010). We also checked references in
relevant reports and contacted researchers who were known or who were thought
likely to have carried out relevant studies. We used the Science Citation Index
and PubMed 'related articles' feature to identify any additional studies
identified by other sources (19 June 2009). SELECTION CRITERIA: Primary studies
comparing different methods of obtaining unpublished studies (data) or missing
data in the healthcare setting. DATA COLLECTION AND ANALYSIS: The primary outcome
measure was the proportion of unpublished studies (data) or missing data
obtained, as defined and reported by the authors of the included studies. Two
authors independently assessed the search results, extracted data and assessed
risk of bias using a standardised data extraction form. We resolved any
disagreements by discussion. MAIN RESULTS: Six studies met the inclusion
criteria; two were randomised studies and four were observational comparative
studies evaluating different methods for obtaining missing data.Methods to obtain
missing dataFive studies, two randomised studies and three observational
comparative studies, assessed methods for obtaining missing data (i.e. data
available to the original researchers but not reported in the published
study).Two studies found that correspondence with study authors by e-mail
resulted in the greatest response rate with the fewest attempts and shortest time
to respond. The difference between the effect of a single request for missing
information (by e-mail or surface mail) versus a multistage approach (pre
notification, request for missing information and active follow-up) was not
significant for response rate and completeness of information retrieved (one
study). Requests for clarification of methods (one study) resulted in a greater
response than requests for missing data. A well-known signatory had no
significant effect on the likelihood of authors responding to a request for
unpublished information (one study). One study assessed the number of attempts
made to obtain missing data and found that the number of items requested did not
influence the probability of response. In addition, multiple attempts using the
same methods did not increase the likelihood of response. METHODS TO OBTAIN
UNPUBLISHED STUDIES: One observational comparative study assessed methods to
obtain unpublished studies (i.e. data for studies that have never been
published). Identifying unpublished studies ahead of time and then asking the
drug industry to provide further specific detail proved to be more fruitful than
sending of a non-specific request. AUTHORS' CONCLUSIONS: Those carrying out
systematic reviews should continue to contact authors for missing data,
recognising that this might not always be successful, particularly for older
studies. Contacting authors by e-mail results in the greatest response rate with
the fewest number of attempts and the shortest time to respond.
PMID- 22071867
TI - Prognostic value of putative circulating cancer stem cells in patients undergoing
hepatic resection for colorectal liver metastasis.
AB - BACKGROUND: Although surgery is the gold standard treatment of hepatic metastasis
from colorectal cancer (CRC), many patients ultimately die of their disease. We
tested the hypothesis that the detection of circulating tumor cells (CTC) might
identify patients at high risk of dying of disease recurrence after apparently
radical liver surgery. METHODS: We considered 50 patients undergoing radical
surgery for liver-confined hepatic metastasis from CRC. The expression of a panel
of cancer-related genes, as assessed by quantitative real-time PCR, was used to
detect CTC in the peripheral blood of these patients immediately before surgery.
Survival analysis was performed by the Cox regression model. RESULTS: Univariate
analysis of the expression levels of CD133 (a marker of colon cancer stem cells)
and survivin (an antiapoptotic factor) resulted in statistically significant
association with patient survival [hazard ratio (HR) 2.7, 95% confidence interval
(CI) 1.9-3.7, P < 0.0001; and hazard ratio 2.1, 95% CI 1.4-3.2, P < 0.0001,
respectively]. Remarkably, multivariate analysis found that only the
transcriptional amount of CD133 resulted in statistical significance (HR 2.6, 95%
CI 1.9-3.6, P < 0.0001), indicating that this biomarker can independently predict
the survival of these patients. CONCLUSIONS: CD133-positive CTC may represent a
suitable prognostic marker to stratify the risk of patients who undergo liver
resection for CRC metastasis, which opens the avenue to identifying and
potentially monitoring the patients who are most likely to benefit from adjuvant
treatments.
PMID- 22071868
TI - Nanoporous palladium with sub-10 nm dendrites by electrodeposition for ethanol
and ethylene glycol oxidation.
AB - High surface area Pd foams with roughness factors of more than 1000 and a
specific surface area of 60 m(2) g(-1) are obtained by electrodeposition. The
foams are composed of dendrites with branches on the 10 nm scale. The resulting
electrodes show high activity towards the oxidation of C(2) alcohols.
PMID- 22071869
TI - Presumptive primary intraocular lymphoma presented as an intraocular mass
involving the optic nerve head.
PMID- 22071870
TI - Screening for emphysema via exhaled volatile organic compounds.
AB - Chronic obstructive pulmonary disease (COPD)/emphysema risk groups are well
defined and screening allows for early identification of disease. The capability
of exhaled volatile organic compounds (VOCs) to detect emphysema, as found by
computed tomography (CT) in current and former heavy smokers participating in a
lung cancer screening trial, was investigated. CT scans, pulmonary function tests
and breath sample collections were obtained from 204 subjects. Breath samples
were analyzed with a proton-transfer reaction mass spectrometer (PTR-MS) to
obtain VOC profiles listed as ions at various mass-to-charge ratios (m/z). Using
bootstrapped stepwise forward logistic regression, we identified specific breath
profiles as a potential tool for the diagnosis of emphysema, of airflow
limitation or gas-exchange impairment. A marker for emphysema was found at m/z 87
(tentatively attributed to 2-methylbutanal). The area under the receiver
operating characteristic curve (ROC) of this marker to diagnose emphysema was
0.588 (95% CI 0.453-0.662). Mass-to-charge ratios m/z 52 (most likely chloramine)
and m/z 135 (alkyl benzene) were linked to obstructive disease and m/z 122 (most
probably alkyl homologs) to an impaired diffusion capacity. ROC areas were 0.646
(95% CI 0.562-0.730) and 0.671 (95% CI 0.524-0.710), respectively. In the
screening setting, exhaled VOCs measured by PTR-MS constitute weak markers for
emphysema, pulmonary obstruction and impaired diffusion capacity.
PMID- 22071871
TI - Interleukin-1beta: a new regulator of the kynurenine pathway affecting human
hippocampal neurogenesis.
AB - Increased inflammation and reduced neurogenesis have been associated with the
pathophysiology of major depression. Here, we show for the first time how IL
1beta, a pro-inflammatory cytokine shown to be increased in depressed patients,
decreases neurogenesis in human hippocampal progenitor cells. IL-1beta was
detrimental to neurogenesis, as shown by a decrease in the number of doublecortin
positive neuroblasts (-28%), and mature, microtubule-associated protein-2
positive neurons (-36%). Analysis of the enzymes that regulate the kynurenine
pathway showed that IL-1beta induced an upregulation of transcripts for
indolamine-2,3-dioxygenase (IDO), kynurenine 3-monooxygenase (KMO), and
kynureninase (42-, 12- and 30-fold increase, respectively, under differentiating
conditions), the enzymes involved in the neurotoxic arm of the kynurenine
pathway. Moreover, treatment with IL-1beta resulted in an increase in kynurenine,
the catabolic product of IDO-induced tryptophan metabolism. Interestingly, co
treatment with the KMO inhibitor Ro 61-8048 reversed the detrimental effects of
IL-1beta on neurogenesis. These observations indicate that IL-1beta has a
critical role in regulating neurogenesis whereas affecting the availability of
tryptophan and the production of enzymes conducive to toxic metabolites. Our
results suggest that inhibition of the kynurenine pathway may provide a new
therapy to revert inflammatory-induced reduction in neurogenesis.
PMID- 22071873
TI - Thinking outside the synapse: pharmacokinetic-based medications for cocaine
addiction.
PMID- 22071872
TI - Altered learning and Arc-regulated consolidation of learning in striatum by
methamphetamine-induced neurotoxicity.
AB - Methamphetamine (METH) causes partial depletion of central monoamine systems and
cognitive dysfunction in rats and humans. We have previously shown and now
further show that the positive correlation between expression of the immediate
early gene Arc (activity-regulated, cytoskeleton-associated) in the dorsomedial
(DM) striatum and learning on a response reversal task is lost in rats with METH
induced striatal dopamine loss, despite normal behavioral performance and
unaltered N-methyl-D-aspartate (NMDA) receptor-mediated excitatory post-synaptic
currents, suggesting intact excitatory transmission. This discrepancy suggests
that METH-pretreated rats may no longer be using the dorsal striatum to solve the
reversal task. To test this hypothesis, male Sprague-Dawley rats were pretreated
with a neurotoxic regimen of METH or saline. Guide cannulae were surgically
implanted bilaterally into the DM striatum. Three weeks after METH treatment,
rats were trained on a motor response version of a T-maze task, and then
underwent reversal training. Before reversal training, the NMDA receptor
antagonist DL-2-amino-5-phosphonopentanoic acid (AP5) or an Arc antisense
oligonucleotide was infused into the DM striatum. Acute disruption of DM striatal
function by infusion of AP5 impaired reversal learning in saline-, but not METH-,
pretreated rats. Likewise, acute disruption of Arc, which is implicated in
consolidation of long-term memory, disrupted retention of reversal learning 24 h
later in saline-, but not METH-, pretreated rats. These results highlight the
critical importance of Arc in the striatum in consolidation of basal ganglia
mediated learning and suggest that long-term toxicity induced by METH alters the
cognitive strategies/neural circuits used to solve tasks normally mediated by
dorsal striatal function.
PMID- 22071874
TI - [Burnout in anesthesiology and intensive care : is there a problem in Germany?].
AB - BACKGROUND: With the demands faced by anesthetists and intensive care physicians
apparently increasing continuously in Germany, the increased risk of burnout in
comparison with the general working population is discussed. This debate has
previously been merely speculative because of the lack of studies comparing the
burn-out risk of the German working population with anesthetists. Accordingly it
was not certain whether anesthetists really are at greater risk of developing
burnout as has often been suggested. Moreover, age, gender, function, workplace
environment, e.g. working at a hospital compared to a general practitioner (GP)
surgery, may influence the risk of burnout. Therefore, this study examined
whether the risk for anesthetists in Germany suffering from burnout really is
greater than in other occupations. In addition, factors influencing the burnout
risks of anesthetists were analyzed. METHOD: A total of 3,541 questionnaires
completed by German aaesthetists for a study on work satisfaction by the CBI
(Copenhagen Burnout Inventory, part of the Copenhagen Psychosocial Questionnaire,
COPSOQ) were analyzed. Apart from calculating the number of participants with a
high risk of developing burnout syndrome, the data were used to calculate a
generalized burnout score for all participants. The score was compared with data
from both a random sample representing a wide variety of occupations from among
the general population in Germany (n = 4,709) and a random sample of German
hospital doctors (n = 616). In addition, subgroups were formed by gender,
function (senior consultant, senior physician, specialist, junior doctor) and
type and place of work (university hospital, public hospital, private clinic, GP
surgery, freelance work) and the proportion of each group with a high risk of
burnout syndrome was calculated. In addition, general burnout scores were
compared statistically for differences among the various groups. RESULTS: The
proportion of study participants with a high risk of burnout was 40.1%.
Differences were found to exist between genders (male 37.2% versus female 46%),
qualifications (senior consultant 28.9%, senior physician 38%, specialist 41.5%,
junior doctor 46.7%) and working in a hospital (41.3%) compared to a GP surgery
(33.2%). The random sample of hospital doctors (n = 616) showed a burnout score
of 49 +/- 19 (mean +/- standard deviation), compared to 44 +/- 19 for a random
sample of the German population (n = 4,709) and 42 +/- 19 for anesthetists (p <
0.01). Of the subgroups formed, the highest score (49.1 +/- 19) was recorded for
female junior doctors working in anesthesia. The type of hospital did not
influence the burnout score (university hospital 43.8 +/- 19.8 versus public
hospital 42.9 +/- 19.1 versus private hospital 42.4 +/- 18.7, p > 0.05). Working
in a hospital was found to result in higher burnout scores than in a GP surgery
or freelance work (43 +/- 19.2 versus 38.1 +/- 20.5; t(3531) = 5.0, p < 0.001)
CONCLUSIONS: Despite 40.1% of anesthetists being at high risk of burnout,
generally speaking the risk of burnout among anesthetists was not higher than in
other occupational groups in Germany. However, burnout risks for specific groups,
such as female junior doctors in anesthesia, were higher and the possibility of
providing social support in the workplace should be considered.
PMID- 22071875
TI - [Update: studies in intensive care medicine. Results of the last 12 months].
AB - Intensive care medicine plays an important role in the medical care of patients
as well as the economic success of hospitals. Knowledge and implementation of
recent relevant scientific evidence are prerequisites for high quality care in
intensive care medicine. The aim of this review is to present an overview of the
most important publications in intensive care medicine published in 2010 and the
first half of the year 2011 and to comment on their attributable clinical
relevance for intensive care practitioners. In 2010 and up to June 2011 many
studies with high patient numbers have been published. The main topics were the
treatment of respiratory failure, sepsis and investigations to improve
analgosedation.
PMID- 22071876
TI - [Outpatient anesthesia for patients with obstructive sleep apnea: results of a
national survey].
AB - BACKGROUND: In Germany there is currently no national standard for the management
of patients with obstructive sleep apnea syndrome (OSAS). The object of this
survey was to obtain information on the treatment concept for patients with OSAS
and to report on the current practice in Germany. METHODS: Data collection was
obtained through an online questionnaire. A total of 12,113 members of the
supporting anesthesia societies from all over Germany were invited to participate
via e-mail. Additionally an announcement was made in a professional journal.
RESULTS: A total of 1,671 questionnaires were analyzed. The average age of the
respondents was 45 years, 64% were male and 36% were female. The average work
experience was 16 years and 85% of the respondents were consultants. In total 85%
of anesthesiologists were predominantly working in inpatient care and 12% were
predominantly active in outpatient care. Of the respondents 63% preferred
regional anesthesia, 20% preferred general anesthesia for patients with OSAS and
17% did not have a preference for a specific anesthesia procedure. Outpatient
surgery for patients with OSAS was performed by 72% of the respondents using
regional anesthesia and by 55% of the respondents using local anesthesia with
sedation. Of the anesthesiologists 49% consented to outpatient surgery using
general anesthesia and 14% to interventions involving the airways. Compared to
anesthesiologists working predominantly in inpatient departments, those working
predominantly in outpatient departments treated patients with OSAS more
frequently on an outpatient basis, used general anesthesia significantly more
often, discharged those patients significantly earlier and observed less
complications. CONCLUSIONS: This survey shows that outpatient surgery for
patients with sleep apnea is common practice in Germany. It is also performed
when patients have moderate or severe OSAS and for respiratory tract surgery.
This means that the management is not conform to the guidelines of the American
Society of Anesthesiologists (ASA).
PMID- 22071877
TI - [Intubation of children with or without relaxants?].
PMID- 22071878
TI - First-order metal-insulator transition and infrared identification of shape
controlled magnetite nanocrystals.
AB - The first-order metal-insulator transition (MIT) in magnetite has been known for
a long time but is still controversial in its nature. In this study, well-defined
magnetite nanocrystals (NCs) with controllable size, shape and terminated surface
are first employed to elucidate this important issue, and new discoveries such as
a highly suppressed phase transition temperature are identified by monitoring the
variable-temperature electric resistance and infrared spectroscopy.
Significantly, by carefully comparing the infrared vibrational bands of the as
prepared magnetite NCs with octahedral and cubic shapes, respectively, we found
that these two forms of magnetite NCs exhibited different transmittance changes
and frequency shifts of the infrared characteristics, presumably due to the
differences in the lattice distortions on the corresponding {001} and {111}
terminal surfaces. This result produced evidence in support of the charge
ordering of Fe atoms along the low dimensionality at octahedral B sites
undergoing the MIT. Taken together, infrared identification was proposed to be an
available characterization strategy for MIT, which can reflect more information
on the elusive lattice distortion of crystallographic structure or exposed
surfaces.
PMID- 22071879
TI - DNA testing and domestic dogs.
AB - There are currently about 80 different DNA tests available for mutations that are
associated with inherited disease in the domestic dog, and as the tools available
with which to dissect the canine genome become increasingly sophisticated, this
number can be expected to rise dramatically over the next few years. With
unrelenting media pressure focused firmly on the health of the purebred domestic
dog, veterinarians and dog breeders are turning increasingly to DNA tests to
ensure the health of their dogs. It is ultimately the responsibility of the
scientists who identify disease-associated genetic variants to make sensible
choices about which discoveries are appropriate to develop into commercially
available DNA tests for the lay dog breeder, who needs to balance the need to
improve the genetic health of their breed with the need to maintain genetic
diversity. This review discusses some of the factors that should be considered
along the route from mutation discovery to DNA test and some representative
examples of DNA tests currently available.
PMID- 22071880
TI - A comparative study of prothrombin complex concentrates and fresh-frozen plasma
for warfarin reversal under static and flow conditions.
AB - Prothrombin complex concentrates (PCCs) and fresh-frozen plasma (FFP) have been
clinically used for acute warfarin reversal. The recovery of prothrombin time
(PT) or international normalised ratio (INR) is often reported as an endpoint,
but haemostatic efficacies of PCCs and FFP may not be fully reflected in static
clotting test in platelet-poor plasma. Using various in vitro assays, we compared
the effects of two PCC preparations (3-factor PCC; Bebulin and 4-factor PCC;
Beriplex) and FFP on warfarin reversal under static and flow conditions. First,
we added an aliquot of either PCC (0.3 or 0.72 U/ml) or 20% FFP (v/v) to
commercial warfarin plasma (INR 3.2, or 10.3), and then measured PT, factor II,
factor VII, and thrombin generation. Subsequently, we collected whole blood
samples from six consented warfarin-treated patients with mean INR 3.0 +/- 0.5
(range 2.5-3.7), and compared clot formation under flow conditions at 280 s-1
before and after addition of either PCC preparation (0.3 and 0.6 U/ml) or 20% of
FFP (v/v). PT/INR were restored by either PCC in plasma with INR 3.0, but they
were more effectively corrected by 4-factor PCC than 3-factor PCC in plasma with
INR 10.3. Effects of FFP were similar to 0.3 U/ml of PCCs in terms of PT, but FFP
was less efficacious than PCCs in recovering thrombin generation or factor II
levels. In flow experiments, the onset of thrombus formation was shortened by
either PCC, but not by FFP, contrary to shortened PT values. For warfarin
reversal 20% volume replacement with FFP is inferior to PCCs.
PMID- 22071882
TI - Placebo-controlled study of the effects of fingolimod on cardiac rate and rhythm
and pulmonary function in healthy volunteers.
AB - PURPOSE: Fingolimod (FTY720) is a sphingosine-1 phosphate-receptor (S1PR)
modulator recently approved as a once-daily oral therapy for relapsing multiple
sclerosis (MS) in many countries. As S1PRs are widely expressed, including in
heart and lung tissues, this study investigated the possible effects of
fingolimod on heart-rate circadian rhythm and pulmonary function. METHODS:
Healthy volunteers (n = 39) were randomized to receive fingolimod 0.5 mg, 1.25
mg, or placebo for 14 days. Heart rate and measures of cardiac and pulmonary
function were assessed during the study. RESULTS: Mean heart rate for the first
12 h postdose was lower for both fingolimod than for placebo groups (p < 0.001)
and remained 10-15 bpm lower than placebo until day 14 (p < 0.05). Heart rate
circadian rhythm, cardiac output, stroke volume, and systemic vascular resistance
were similar among treatment groups throughout the study. There was no evidence
of an effect of fingolimod on pulmonary function. Absolute lymphocyte counts
decreased by approximately 70% from baseline in both fingolimod groups (day 14)
and began to increase within 14 days of stopping treatment. CONCLUSIONS: In
healthy volunteers treated for 14 days, once-daily fingolimod doses of 0.5 mg and
1.25 mg had no effect on cardiac or pulmonary function beyond a transient
decrease in heart rate at treatment initiation.
PMID- 22071881
TI - Factor VII R353Q genetic polymorphism is associated with altered warfarin
sensitivity among CYP2C9 *1/*1 carriers.
AB - OBJECTIVE: Warfarin responsiveness is characterized by marked interindividual
variability. A major portion of this variability is attributed to CYP2C9 and
VKORC1 polymorphisms, but almost 50% is still unaccounted for. This paper reports
the first prospective study on the association between factor VII R353Q
polymorphism and warfarin responsiveness during induction. METHODS: Genotyping
for factor VII R353Q and 323D/I polymorphisms was performed in a cohort
consisting of 374 patients (198 CYP2C9*1/*1) treated with warfarin who were
prospectively followed from warfarin initiation. RESULTS: Compared with *1/*1-R/R
and *1/*1-R/Q genotype carriers, *1/*1-Q/Q homozygotes achieved higher
International Normalized Ratio (INR) values while consuming lower warfarin doses.
The greater sensitivity was illustrated by 82.1% higher Warfarin Sensitivity
Index During Induction (WSIDI) (0.14 +/- 0.11 vs. 0.08 +/- 0.50 mg-1 Mann
Whitney, P = 0.043). Multiple regression analysis consisting of both genetic and
nongenetic factors explained 26% of WSIDI variability, with R353Q genetic
polymorphism having a modest yet significant effect and accounting for 1.7% of
the overall variability. Moreover, the incidence of overanticoagulation (i.e.,
INR > 4) was 6.94-fold higher among *1/*1-Q/Q vs. *1/*1-R/R&R/Q carriers during
warfarin induction (Pearson chi-square, P = 0.005). These findings were not
accounted for by a chance difference in the distribution of VKORC1 genotypes.
Analysis of these parameters among the entire cohort, including CYP2C9*2 and
CYP2C9*3 variant allele carriers, did not reach statistical significance.
Warfarin responsiveness during induction was unrelated to factor VII 323D/I
genetic polymorphism. CONCLUSIONS: The response to warfarin during induction is
influenced by factor VII R353Q polymorphism. The prospective use of this
polymorphism, along with CYP2C9 and VKORC1, may enhance the accuracy of warfarin
loading. However, the impact of R353Q polymorphism on overall warfarin response
is subtle, and it is therefore unlikely that its use would be of clinical
importance.
PMID- 22071884
TI - Endocrine disruptor & nutritional effects of heavy metals in ovarian
hyperstimulation.
AB - PURPOSE: There is increasing concern that environmental chemicals have a direct
effect on fertility. Heavy metals such as mercury have been shown to affect
various organ systems in humans including nervous system and skin, however they
could also act as endocrine disrupting chemicals adversely affecting fertility.
Metals such as zinc and selenium are essential micronutrients with diverse
functions that may be important for reproductive outcomes. We measured mercury,
zinc and selenium levels in the hair, a reliable reflection of long term
environmental exposure and dietary status, to correlate with the outcome of
ovarian hyperstimulation for in vitro fertilisation (IVF) treatment. METHODS: We
analysed the hair of 30 subfertile women for mercury, zinc and selenium using
inductively coupled mass spectrometry. Each woman underwent one cycle of IVF
treatment. Correlation between the levels of these trace metals and treatment
outcomes was investigated. RESULTS: Thirty women were recruited with mean (+/-SD)
age of 32.7(4.4) years and BMI of 25.4(5.0)kg/m(2). Hair mercury concentration
showed a negative correlation with oocyte yield (p < 0.05,betacoefficient 0.38)
and follicle number (p = 0.03,beta coefficient0.19) after ovarian stimulation.
Zinc and selenium levels in hair correlated positively with oocyte yield after
ovarian stimulation (p < 0.05,beta coefficient0.15) and (p = 0.03,beta
coefficient0.21) respectively. Selenium levels in hair correlated significantly
with follicle number following stimulation (p = 0.04, betacoefficient0.22). There
was no correlation between mercury, zinc and selenium in hair and their
corresponding serum levels. CONCLUSION: These data suggest that mercury had a
deleterious effect whilst there was a positive effect for zinc and selenium in
the ovarian response to gonadotrophin therapy for IVF. Hair analysis offers a
novel method of investigating the impact of long-term exposure to endocrine
disruptors and nutritional status on reproductive outcomes.
PMID- 22071885
TI - Xq;autosome translocation in POF: Xq27.2 deletion resulting in haploinsufficiency
for SPANX.
PMID- 22071886
TI - Distorted cubic tetranuclear vanadium(IV) phosphonate cages: double-four-ring
(D4R) containing transition metal ion phosphonate cages.
AB - The reaction of VCl(3) with 3,5-dimethylpyrazole (3,5-Me(2)PzH) and
trichloromethylphosphonic/tert-butylphosphonic acid in the presence of
triethylamine as a hydrogen chloride scavenger afforded the tetranuclear V(IV)
assemblies, [(VO)(4)(3,5-Me(2)PzH)(8)(CCl(3)PO(3))(4)] (1) and [(VO)(4)(3,5
Me(2)PzH)(4)(t-BuPO(3))(4)] (2). Both of these compounds possess a distorted
cubic framework structures containing V(IV) ions and phosphorus atoms in the
alternate corners of the cube. The edges of the cube contain oxygen atoms derived
from the phosphonate ligand. The phosphonate ligand in both of these compounds is
dianionic and helps to bind to three V(IV) centers. The faces of the cubic
ensembles contain puckered V(2)P(2)O(4) eight-membered rings. The V(IV) center in
1 is six-coordinate in a distorted octahedral geometry while in 2 it is five
coordinate in a distorted square-pyramidal geometry. Magnetic studies carried out
on 1 and 2 reveal that the V(IV) centers are anti-ferromagnetically coupled to
each other, albeit weakly, through the mediation of the phosphonate ligands.
PMID- 22071887
TI - Copy number detection in discordant monozygotic twins of Congenital Diaphragmatic
Hernia (CDH) and Esophageal Atresia (EA) cohorts.
AB - The occurrence of phenotypic differences between monozygotic (MZ) twins is
commonly attributed to environmental factors, assuming that MZ twins have a
complete identical genetic make-up. Yet, recently several lines of evidence
showed that both genetic and epigenetic factors could have a role in phenotypic
discordance after all. A high occurrence of copy number variation (CNV)
differences was observed within MZ twin pairs discordant for Parkinson's disease,
thereby stressing on the importance of post-zygotic mutations as disease
predisposing events. In this study, the prevalence of discrepant CNVs was
analyzed in discordant MZ twins of the Esophageal Atresia (EA) and Congenital
Diaphragmatic Hernia (CDH) cohort in the Netherlands. Blood-derived DNA from 11
pairs (7 EA and 4 CDH) was screened using high-resolution SNP arrays. Results
showed an identical copy number profile in each twin pair. Mosaic chromosome gain
or losses could not be detected either with a detection threshold of 20%. Some of
the germ-line structural events demonstrated in five out of eleven twin pairs
could function as a susceptible genetic background. For example, the 177-Kb loss
of chromosome 10q26 in CDH pair-3 harbors the TCF7L2 gene (Tcf4 protein), which
is implicated in the regulation of muscle fiber type development and maturation.
In conclusion, discrepant CNVs are not a common cause of twin discordancy in
these investigated congenital anomaly cohorts.
PMID- 22071888
TI - Health-care providers' views on pursuing reproductive benefit through newborn
screening: the case of sickle cell disorders.
AB - Newborn screening (NBS) programs aim to identify affected infants before the
onset of treatable disorders. Historically, benefits to the family and society
were considered secondary to this clinical benefit; yet, recent discourse
defending expanded NBS has argued that screening can in part be justified by
secondary benefits, such as learning reproductive risk information to support
family planning ('reproductive benefit'). Despite increased attention to these
secondary benefits of NBS, stakeholders' values remain unknown. We report a mixed
methods study that included an examination of providers' views toward the pursuit
of reproductive risk information through NBS, using sickle cell disorder carrier
status as an example. We surveyed a stratified random sample of 1615 providers in
Ontario, and interviewed 42 providers across 7 disciplines. A majority endorsed
the identification of reproductive risks as a goal of NBS (74-77%). Providers'
dominant rationale was that knowledge of carrier status is an important and
inherent benefit of NBS as it allows people to make reproductive choices, which
is consistent with the goals of disease prevention. However, some challenged its
appropriateness, questioning its logic, timing and impact on disease prevention.
Others were sensitive to intruding on individuals' choices or children's
independent rights. While the dominant view is consistent with discourse
defending expanded NBS, it deviates from the traditional screening principles
that underpin most public health interventions. Broader discussion of the balance
between benefits to screened individuals and those to families and societies, in
the context of public health programs, is needed.
PMID- 22071889
TI - Underexpression and abnormal localization of ATM products in ataxia
telangiectasia patients bearing ATM missense mutations.
AB - Ataxia telangiectasia (A-T) is a rare autosomal recessive disorder characterized
by progressive cerebellar ataxia, oculocutaneous telangiectasia, immune defects
and predisposition to malignancies. A-T is caused by biallelic inactivation of
the ATM gene, in most cases by frameshift or nonsense mutations. More rarely, ATM
missense mutations with unknown consequences on ATM function are found, making
definitive diagnosis more challenging. In this study, a series of 15 missense
mutations, including 11 not previously reported, were identified in 16 patients
with clinical diagnosis of A-T belonging to 14 families and 1 patient with
atypical clinical features. ATM function was evaluated in patient lymphoblastoid
cell lines by measuring H2AX and KAP1 phosphorylation in response to ionizing
radiation, confirming the A-T diagnosis for 16 cases. In accordance with previous
studies, we showed that missense mutations associated with A-T often lead to ATM
protein underexpression (15 out of 16 cases). In addition, we demonstrated that
most missense mutations lead to an abnormal cytoplasmic localization of ATM,
correlated with its decreased expression. This new finding highlights ATM
mislocalization as a new mechanism of ATM dysfunction, which may lead to
therapeutic strategies for missense mutation associated A-T.
PMID- 22071890
TI - ALK germline mutations in patients with neuroblastoma: a rare and weakly
penetrant syndrome.
AB - Neuroblastic tumours may occur in a predisposition context. Two main genes are
involved: PHOX2B, observed in familial cases and frequently associated with other
neurocristopathies (Ondine's and Hirschsprung's disease); and ALK, mostly in
familial tumours. We have assessed the frequency of mutations of these two genes
in patients with a presumable higher risk of predisposition. We sequenced both
genes in 26 perinatal cases (prebirth and <1 month of age, among which 10 were
multifocal), 16 multifocal postnatal (>1 month) cases, 3 pairs of affected
relatives and 8 patients with multiple malignancies. The whole coding sequences
of the two genes were analysed in tumour and/or constitutional DNAs. We found
three ALK germline mutations, all in a context of multifocal tumours. Two
mutations (T1151R and R1192P) were inherited and shared by several unaffected
patients, thus illustrating an incomplete penetrance. Younger age at tumour onset
did not seem to offer a relevant selection criterion for ALK analyses.
Conversely, multifocal tumours might be the most to benefit from the genetic
screening. Finally, no PHOX2B germline mutation was found in this series. In
conclusion, ALK deleterious mutations are rare events in patients with a high
probability of predisposition. Other predisposing genes remain to be discovered.
PMID- 22071891
TI - A multi-exon deletion within WWOX is associated with a 46,XY disorder of sex
development.
AB - Disorders of sex development (DSD) are congenital conditions where chromosomal,
gonad or genital development is atypical. In a significant proportion of 46,XY
DSD cases it is not possible to identify a causative mutation, making genetic
counseling difficult and potentially hindering optimal treatment. Here, we
describe the analysis of a 46,XY DSD patient that presented at birth with
ambiguous genitalia. Histological analysis of the surgically removed gonads
showed bilateral undifferentiated gonadal tissue and immature testis, both
containing malignant germ cells. We screened genomic DNA from this patient for
deletions and duplications using an Illumina whole-genome SNP microarray. This
analysis revealed a heterozygous deletion within the WWOX gene on chromosome 16,
removing exons 6-8. Analysis of parental DNA showed that the deletion was
inherited from the mother. cDNA analysis confirmed that the deletion maintained
the reading frame, with exon 5 being spliced directly onto exon 9. This deletion
is the first description of a germline rearrangement affecting the coding
sequence of WWOX in humans. Previously described Wwox knockout mouse models
showed gonadal abnormalities, supporting a role for WWOX in human gonad
development.
PMID- 22071892
TI - Newborn screening for sickle cell disease: whose reproductive benefit?
PMID- 22071894
TI - Clinical utility gene card for: adrenoleukodystrophy.
PMID- 22071893
TI - Preimplantation genetic diagnosis in female and male carriers of reciprocal
translocations: clinical outcome until delivery of 312 cycles.
AB - Carriers of reciprocal translocations (rcp) are known to be at risk for
reproductive difficulties. Preimplantation genetic diagnosis (PGD) is one of the
options these carriers have to try in order to fulfil their desire to have a
child. In the present study, we retrospectively looked at the results of 11 years
(1997-2007) of PGD for rcp in our center to improve the reproductive counseling
of these carriers. During this period 312 cycles were performed for 69 male and
73 female carriers. The mean female age was 32.8 years, the mean male age 35.8
years. Most carriers were diagnosed with a translocation because of fertility
problems or recurrent miscarriages, and most of them opted for PGD to avoid these
problems. In 150 of the 312 cycles, embryo transfer (ET) was feasible and 40
women had a successful singleton or twin pregnancy. This gives a live birth
delivery rate of 12.8% per started cycle and of 26.7% per cycle with ET. Owing to
the large number of abnormal embryos, PGD cycles for rcp often lead to
cancellation of ET, explaining the low success rate when expressed per cycle with
oocyte pick-up. Once ET was feasible, the live birth delivery rate was similar to
that of PGD in general at our center. PGD is therefore an established option for
specific reciprocal translocation carriers.
PMID- 22071895
TI - Identification of the first PAR1 deletion encompassing upstream SHOX enhancers in
a family with idiopathic short stature.
AB - Short stature homeobox-containing gene, MIM 312865 (SHOX) is located within the
pseudoautosomal region 1 (PAR1) of the sex chromosomes. Mutations in SHOX or its
downstream transcriptional regulatory elements represent the underlying molecular
defect in ~60% of Leri-Weill dyschondrosteosis (LWD) and ~5-15% of idiopathic
short stature (ISS) patients. Recently, three novel enhancer elements have been
identified upstream of SHOX but to date, no PAR1 deletions upstream of SHOX have
been observed that only encompass these enhancers in LWD or ISS patients. We set
out to search for genetic alterations of the upstream SHOX regulatory elements in
63 LWD and 100 ISS patients with no known alteration in SHOX or the downstream
enhancer regions using a specifically designed MLPA assay, which covers the PAR1
upstream of SHOX. An upstream SHOX deletion was identified in an ISS proband and
her affected father. The deletion was confirmed and delimited by array-CGH, to
extend ~286 kb. The deletion included two of the upstream SHOX enhancers without
affecting SHOX. The 13.3-year-old proband had proportionate short stature with
normal GH and IGF-I levels. In conclusion, we have identified the first PAR1
deletion encompassing only the upstream SHOX transcription regulatory elements in
a family with ISS. The loss of these elements may result in SHOX
haploinsufficiency because of decreased SHOX transcription. Therefore, this
upstream region should be included in the routine analysis of PAR1 in patients
with LWD, LMD and ISS.
PMID- 22071896
TI - Preimplantation genetic diagnosis (PGD) for Huntington's disease: the experience
of three European centres.
AB - This study provides an overview of 13 years of experience of preimplantation
genetic diagnosis (PGD) for Huntington's disease (HD) at three European PGD
centres in Brussels, Maastricht and Strasbourg. Information on all 331 PGD
intakes for HD, couples' reproductive history, PGD approach, treatment cycles and
outcomes between 1995 and 2008 were collected prospectively. Of 331 couples for
intake, 68% requested direct testing and 32% exclusion testing (with a
preponderance of French couples). At the time of PGD intake, 39% of women had
experienced one or more pregnancies. A history of pregnancy termination after
prenatal diagnosis was observed more frequently in the direct testing group (25%)
than in the exclusion group (10%; P=0.0027). PGD workup was based on two
approaches: (1) direct testing of the CAG-triplet repeat and (2) linkage analysis
using intragenic or flanking microsatellite markers of the HTT gene. In total,
257 couples had started workup and 174 couples (70% direct testing, 30% exclusion
testing) completed at least one PGD cycle. In total, 389 cycles continued to
oocyte retrieval (OR). The delivery rates per OR were 19.8%, and per embryo
transfer 24.8%, resulting in 77 deliveries and the birth of 90 children. We
conclude that PGD is a valuable and safe reproductive option for HD carriers and
couples at risk of transmitting HD.
PMID- 22071897
TI - Variations in maternal behavior--oxytocin and reward pathways--peripheral
measures matter?!
PMID- 22071908
TI - SCH58261 the selective adenosine A(2A) receptor blocker modulates ischemia
reperfusion injury following bilateral carotid occlusion: role of inflammatory
mediators.
AB - In the present study, the effects of SCH58261, a selective adenosine A(2A)
receptor antagonist that crosses the blood brain barrier (BBB) and 8-(4
sulfophenyl) theophylline (8-SPT), a non-selective adenosine receptor antagonist
that acts peripherally, were investigated on cerebral ischemia reperfusion injury
(IR). Male Wistar rats (200-250 g) were divided into four groups: (1) sham
operated (SO), IR pretreated with either (2) vehicle (DMSO); (3) SCH58261 (0.01
mg/kg); (4) 8-SPT (2.5 mg/kg). Animals were anesthetized and submitted to
occlusion of both carotid arteries for 45 min. All treatments were administered
intraperitoneally (i.p.) post carotid occlusion prior to exposure to a 24 h
reperfusion period. Ischemic rats showed increased infarct size compared to their
control counterparts that corroborated with histopathological changes as well as
increased lactate dehydrogenase (LDH) activity in the hippocampus. Moreover,
ischemic animals showed habituation deficit, increased anxiety and locomotor
activity. IR increased hippocampal glutamate (Glu), GABA, glycine (Gly) and
aspartate (ASP). SCH58261 significantly reversed these effects while 8-SPT
elicited minimal change. IR raised myeloperoxidase (MPO), tumor necrosis factor
alpha (TNF-alpha), nitric oxide (NO), prostaglandin E2 (PGE2) accompanied by a
decrease in interleukin-10 (IL-10), effects that were again reversed by SCH58261,
but 8-SPT elicited less changes. Results from the present study point towards the
importance of central blockade of adenosine A(2A) receptor in ameliorating
hippocampal damage following IR injury by halting inflammatory cascades as well
as modulating excitotoxicity.
PMID- 22071909
TI - 6-Hydroxyindole-based borondipyrromethene: synthesis and spectroscopic studies.
AB - A 6-hydroxyindole-based BODIPY, named BODIPY-OH, with distinct spectroscopic
characteristics is reported. Through a systematic study of the spectroscopic
characteristics of BODIPY-OH and BODIPY-O(-) in various solvents containing an
organic base, we found that the light-color of the fluorophore can be tuned over
a wide range by changing the polarity of solvent/base combinations. The
absorption color of the solution can be tuned over a range of 100 nm and the
emission color within a wide range from 571 to 681 nm by simply converting the
phenol form of BODIPY-OH to the phenolate form. Fluorescence of BODIPY-O(-) with
high quantum yield shows relatively large Stokes shift in solvent/base
combinations, which are ascribed to the excited state deprotonation from (BODIPY
OH)* to (BODIPY-O(-))*, followed by emission from the ion form.
PMID- 22071910
TI - Yersinia enterocolitica strains associated with human infections in Switzerland
2001-2010.
AB - Yersinia enterocolitica infections are common in humans. However, very scarce
data are available on the different biotypes and virulence factors of human
strains, which has proved to be problematic to assess the clinical significance
of the isolated strains. In this study, the presence of the ail gene and
distribution of different bio- and serotypes among human Y. enterocolitica
strains and their possible relation to the genotype and antimicrobial resistance
were studied. In total, 128 Y. enterocolitica strains isolated from human
clinical samples in Switzerland during 2001-2010 were characterised. Most (75 out
of 128) of the Y. enterocolitica strains belonged to biotypes 2, 3 or 4 and
carried the ail gene. One of the 51 strains that belonged to biotype 1A was also
ail positive. Most of the ail-positive strains belonged to bioserotype 4/O:3 (47
out of 76) followed by 2/O:9 (22 out of 76). Strains of bioserotype 4/O:3 were
dominant among patients between 20 and 40 years old and strains of biotype 1A
dominate in patients over 40 years. Strains belonging to biotypes 2, 3 and 4,
which all carried the ail gene, exhibited a high homogeneity with PFGE typing. Y.
enterocolitica 2/O:5,27 and 2/O:9 strains showed resistance to
amoxicillin/clavulanic acid and cefoxitin, but Y. enterocolitica 4/O:3 strains
did not.
PMID- 22071911
TI - The general environment fit scale: a factor analysis and test of convergent
construct validity.
AB - Person-environment fit (P-E fit) was initially espoused as an important construct
in the field of community psychology; however, most of the theoretical and
empirical development of the construct has been conducted by the
industrial/organizational (I/O) psychologists and business management fields. In
the current study, the GEFS-a P-E fit measure that was developed from
organizational perspectives on fit-was administered to 246 attendees of an annual
convention for residents and alumni of Oxford House, a network of over 1,400
mutual-help addiction recovery homes. The authors conducted confirmatory factor
and convergent construct validity analyses with the GEFS. The results suggested
that the theoretical factor structure of the measure adequately fit the data and
provided limited support for the measure's validity. Sufficient supply of
resident needs by the Oxford House and similarity between residents and their
housemates predicted satisfaction with the recovery home, but only perceived
similarity to housemates predicted how long residents intended to stay in the
Oxford Houses.
PMID- 22071912
TI - [Therapeutic management of malaria].
AB - Plasmodium falciparum and to some extent malaria caused by other species of
Plasmodia can quickly lead to cerebral malaria, acute renal failure, or acute
respiratory distress syndrome. The mortality rate for patients with severe
malaria lies around 10%. Malaria must be given priority in the differential
diagnosis of travelers returning febrile from endemic areas. Treatment requires
prompt administration of safe and fast-acting antimalarials, which in severe
malaria is treatment with quinine or artesunate. Hospitals must be prepared to
diagnose and treat malaria patients-or have a standard operating procedure for
transferring the patient to a specialized center.
PMID- 22071913
TI - [Current treatment options in acute myeloid leukemia].
AB - Genetic aberrations form the basis for diagnostic classification of patients with
acute myeloid leukemia (AML) according to the World Health Organization (WHO)
classification. Moreover, these aberrations predict response to induction
chemotherapy, relapse-free survival, and overall survival of patients with AML.
Understanding the pathogenetic role of cytogenetic and molecular changes has led
to the development of targeted treatment strategies that require rapid diagnostic
assessment of the genetic profile of each patient to select the best treatment
available.
PMID- 22071914
TI - Optimal positioning for emergent needle thoracostomy: a cadaver-based study.
AB - BACKGROUND: Needle thoracostomy is an emergent procedure designed to relieve
tension pneumothorax. High failure rates because of the needle not penetrating
into the thoracic cavity have been reported. Advanced Trauma Life Support
guidelines recommend placement in the second intercostal space, midclavicular
line using a 5-cm needle. The purpose of this study was to evaluate placement in
the fifth intercostal space, midaxillary line, where tube thoracostomy is
routinely performed. We hypothesized that this would result in a higher
successful placement rate. METHODS: Twenty randomly selected unpreserved adult
cadavers were evaluated. A standard 14-gauge 5-cm needle was placed in both the
fifth intercostal space at the midaxillary line and the traditional second
intercostal space at the midclavicular line in both the right and left chest
walls. The needles were secured and thoracotomy was then performed to assess
penetration into the pleural cavity. The right and left sides were analyzed
separately acting as their own controls for a total of 80 needles inserted into
20 cadavers. The thickness of the chest wall at the site of penetration was then
measured for each entry position. RESULTS: A total of 14 male and 6 female
cadavers were studied. Overall, 100% (40 of 40) of needles placed in the fifth
intercostal space and 57.5% (23 of 40) of the needles placed in the second
intercostal space entered the chest cavity (p < 0.001); right chest: 100% versus
60.0% (p = 0.003) and left chest: 100% versus 55.0% (p = 0.001). Overall, the
thickness of the chest wall was 3.5 cm +/- 0.9 cm at the fifth intercostal space
and 4.5 cm +/- 1.1 cm at the second intercostal space (p < 0.001). Both right and
left chest wall thicknesses were similar (right, 3.6 cm +/- 1.0 cm vs. 4.5 cm +/-
1.1 cm, p = 0.007; left, 3.5 +/- 0.9 cm vs. 4.4 cm +/- 1.1 cm, p = 0.008).
CONCLUSIONS: In a cadaveric model, needle thoracostomy was successfully placed in
100% of attempts at the fifth intercostal space but in only 58% at the
traditional second intercostal position. On average, the chest wall was 1 cm
thinner at this position and may improve successful needle placement. Live
patient validation of these results is warranted.
PMID- 22071915
TI - Two-year experience of using pigtail catheters to treat traumatic pneumothorax: a
changing trend.
AB - BACKGROUND: The traditional treatment of patients with traumatic hemopneumothorax
has been an insertion of a chest tube (CT). But CT, because of its large caliber
and significant trauma during an insertion, can cause pain, prevent full lung
expansion, and worsen pulmonary outcome. Pigtail catheters (PCs) are smaller and
less invasive; they have worked well in patients with nontraumatic pneumothorax
(PTX). The purpose of this study was to review our early experience of PC use in
trauma patients. METHODS: We retrospectively reviewed the charts of trauma
patients who required CT or PC placement over a 2-year period (January 2008
through December 2009) at a Level I trauma center. The PCs were 14-French (14-F)
Cook catheters placed by the trauma team, using a Seldinger technique. We
compared outcome for the subgroups that had CT or PC placed for a PTX. For our
statistical analysis, we used the unpaired Student t-test, chi(2) test, and
Wilcoxon rank-sum test; we considered a p value < 0.05 as significant. RESULTS:
Of 9,624 trauma patients evaluated, 94 were treated with PC and 386 with CT. Of
the PC patients, 89% was inserted for PTX. When comparing patients with PC and CT
inserted for PTX, demographics, tube days, need for mechanical ventilation, and
insertion-related complications were similar. The tube failure rate, defined by a
requirement for an additional tube or by recurrence that needed intervention, was
higher in PC (11%) than in CT (4%) (p = 0.06), but the difference was not
statistically significant. We observed a trend of increased PC use over time.
CONCLUSION: PC is safe and can be performed at the bedside. It has a comparable
efficacy to CT in patients with PTX. A prospective study is needed to determine
the precise role of PC placement, including its indication, the associated tube
site pain, and any significant clinical advantages.
PMID- 22071916
TI - Finding the sweet spot: identification of optimal glucose levels in critically
injured patients.
AB - BACKGROUND: Conflicting data exist regarding optimal glycemic control in
critically ill trauma patients. We therefore compared glucose parameters and
outcomes among three different glycemic control regimens in a single trauma
intensive care unit (ICU), hypothesizing that a moderate regimen would yield
optimal avoidance of hyper- and hypoglycemia with equivalent outcomes when
compared with a more aggressive approach. METHODS: We retrospectively reviewed
1,422 trauma patients with at least 3-day ICU stay and five glucose measurements
from May 2001 to January 2010, spanning three nonoverlapping, sequential glucose
control protocols: "relaxed," "aggressive," and "moderate." For each, we
extracted mean blood glucose, hypoglycemic and hyperglycemic event frequency, and
glucose variability and investigated their association with outcomes. RESULTS:
Mortality was associated with elevated mean glucose (135.6 mg/dL vs. 126.2
mg/dL), more frequent hypoglycemic (2.67 +/- 7 vs. 1.28 +/- 5) and hyperglycemic
(30.6 +/- 28 vs. 16.0 +/- 22 per 100 patient-ICU days) events, and higher glucose
variability (37.1 +/- 20 vs. 29.4 +/- 20; all p < 0.001). Regression identified
hyperglycemic episodes (p < 0.05) as an independent predictor of mortality. The
"moderate" regimen had rare hyperglycemia, low glucose variability, and
intermediate mean blood glucose range and frequency of hypoglycemia. Multiorgan
failure and mortality did not differ between groups. CONCLUSIONS: Hyperglycemic
events (glucose >180 mg/dL) most strongly predicted mortality. Of glucose control
protocols analyzed, the "moderate" protocol had fewest hyperglycemic events. As
outcomes were otherwise equivalent between "moderate" and "aggressive" protocols,
we conclude that hyperglycemia can be safely avoided using a moderate glycemic
control protocol without inducing hypoglycemia.
PMID- 22071917
TI - Aged plasma transfusion increases mortality in a rat model of uncontrolled
hemorrhage.
AB - INTRODUCTION: Recent data have associated improved survival after hemorrhagic
shock with the early use of plasma-based resuscitation. Our laboratory has shown
that FFP5 has decreased hemostatic potential compared with freshly thawed plasma
(FFP0). We hypothesized that FFP5 would increase bleeding and mortality compared
with FFP0 in a rodent bioassay model of uncontrolled liver hemorrhage. METHODS:
Hemostatic potential of plasma was assessed with the Calibrated Automated
Thrombogram (CAT) assay. Rats underwent isovolemic hemodilution by 15% of blood
volume with the two human plasma groups (FFP0 and FFP5) and two controls (sham
and lactated Ringers). A liver injury was created by excising a portion of liver
resulting in uncontrolled hemorrhage. Rats that lived for 30 minutes after liver
injury were resuscitated to their baseline blood pressure and followed for 6
hours. Hemostasis was assessed by thromboelastography. RESULTS: Hemostatic
potential of FFP5 decreased significantly in all areas measured in the CAT assay
as compared with FFP0 (p < 0.01). In the FFP5 group, overall survival was 54%,
compared with 100% in the FFP0 and sham group (p = 0.03). For animals that
survived 30 minutes and were resuscitated, there was no difference in bleeding
and/or coagulopathy between groups. Irrespective of treatment, animals that died
after resuscitation demonstrated increased intraperitoneal fluid volume (14.85 mL
+/- 1.9 mL vs. 7.02 mL +/- 0.3 mL, p < 0.001). CONCLUSION: In this model of mild
preinjury hemodilution with plasma, rats that received FFP5 had decreased
survival after uncontrolled hemorrhage from hepatic injury. There were no
differences in coagulation function or intraperitoneal fluid volume between the
two plasma groups.
PMID- 22071918
TI - An assessment of patient satisfaction with nonoperative management of clavicular
fractures using the disabilities of the arm, shoulder and hand outcome measure.
AB - BACKGROUND: Clavicle fractures historically have been managed without internal
fixation. Current literature is raising questions regarding this management as
opposed to offering operative fixation in some instances. This study addresses
the use of the Disabilities of the Arm, Shoulder and Hand (DASH) outcomes measure
to identify those that have the least satisfaction with nonoperative care of the
clavicle fracture based upon clavicular deformity and variation in fracture
location based upon Allman Classification. METHODS: Patients having suffered
clavicle fractures were mailed the DASH Outcomes Questionnaire to be completed
and returned. A total of 113 surveys were returned completed with 92 being of
value for evaluation. Patient chest or clavicle radiographs were evaluated, and
measurements were made of the clavicle fractures for amount of separation or
shortening and grade according to Allman Classification. Statistical evaluation
compared DASH Scores (patient satisfaction as outcome measure) to the Allman
Classification and the degree of separation or shortening. Comparison of
categorical variables was performed using Fisher's exact test. Comparison of
continuous variables was preformed using Student's t test. Statistical
significance was demonstrated by a p value of less than 0.05. RESULTS: Patients
with clavicular shortening of greater than 2 cm were found to have the highest
DASH score indicating dissatisfaction and disability with their outcome
postinjury (p = 0.0001). Separation or lengthening seemed to be associated with
lower DASH Scores. Patients with Allmen Classification I (midshaft clavicle)
fractures had higher DASH score than other fracture locations (p = 0.0001).
CONCLUSIONS: Patients with midshaft clavicle fractures with shortening of greater
than 2 cm may be good candidates for operative repair given the degree of
dissatisfaction with nonoperative management of these fractures as assessed by
long-term outcome measures of disability.
PMID- 22071919
TI - Je le pansay ... (I bound his wound ...).
PMID- 22071920
TI - Cardiac changes after simulated behind armor blunt trauma or impact of nonlethal
kinetic projectile ammunition.
AB - BACKGROUND: Cardiac-related injuries caused by blunt chest trauma remain a severe
problem. The aim of this study was to investigate pathophysiological changes in
the heart that might arise after behind armor blunt trauma or impacts of
nonlethal projectiles. METHODS: Sixteen pigs were shot directly at the sternum
with "Sponge Round eXact I Mpact" (nonlethal ammunition; diameter 40 mm and
weight 28 g) or hard-plastic ammunition (diameter 65 mm and weight 58 g) to
simulate behind armor blunt trauma. To evaluate the influence of the shot
location, seven additional pigs where exposed to an oblique heart shot.
Physiologic parameters, electrocardiography, echocardiogram, the biochemical
marker troponin I (TnI), and myocardial injuries were analyzed. RESULTS:
Nonlethal kinetic projectiles (101-108 m/s; 143-163 J) did not cause significant
pathophysiological changes. Five of 18 pigs shot with 65-mm plastic projectiles
(99-133 m/s; 284-513 J) to the front or side of the thorax died directly after
the shot. No major physiologic changes could be observed in surviving animals.
Animals shot with an oblique heart shot (99-106 m/s; 284-326 J) demonstrated a
small, but significant decrease in saturation. Energy levels over 300 J caused
increased TnI and myocardial damages in most of the pigs. CONCLUSION: This study
indicates that nonlethal kinetic projectiles "eXact iMpact" does not cause heart
related damage under the examined conditions. On impact, sudden heart arrest may
occur independently from the cardiac's electrical cycle. The cardiac enzyme, TnI,
can be used as a reliable diagnostic marker to detect heart tissue damages after
blunt chest trauma.
PMID- 22071921
TI - Blood component ratios in massively transfused, blunt trauma patients--a time
dependent covariate analysis.
AB - BACKGROUND: This study evaluated critical thresholds for fresh frozen plasma
(FFP) and platelet (PLT) to packed red blood cell (PRBC) ratios and determined
the impact of high FFP:PRBC and PLT:PRBC ratios on outcomes in patients requiring
massive transfusion (MT). METHODS: Retrospective review of a cohort of massively
transfused blunt trauma patients admitted to a Level I trauma center. MT was
defined as transfusion of >=10 units of PRBC within 24 hours of admission.
Critical thresholds for FFP:PRBC and PLT:PRBC ratios associated with mortality
were identified using Cox regression with time-dependent variables. Impacts of
high blood component ratios on 12-hour and 24-hour survival were evaluated.
RESULTS: During the 10-year study period, a total of 229 blunt trauma patients
required a MT. At 12 hours and 24 hours after admission, a FFP:PRBC ratio
threshold of 1:1.5 was found to have the strongest association with mortality. At
12 hours, 58 patients (25.4%) received a low (<1:1.5) and 171 patients (74.6%) a
high (>=1:1.5) FFP:PRBC ratio. Patients in the low ratio group had a
significantly higher mortality compared with those in the high ratio group (51.7%
vs. 9.4%; adjusted hazard ratio [95% confidence interval] = 1.18 [1.04-1.34];
adjusted p = 0.008). A similar statistically significant difference was found at
24 hours after admission. For PLTs, a PLT:PRBC ratio of 1:3 was identified as the
best cut-off associated with both 12-hour and 24-hour survival. At 12 hours, 79
patients (34.5%) received a low (<1:3) and 150 patients (65.5%) a high (>=1:3)
PLT:PRBC ratio. After adjusting for differences between the ratio groups, no
statistically significant survival advantage associated with a high PLT:PRBC
ratio was found (40.5% vs. 9.3%; adjusted hazard ratio [95% confidence interval]
= 1.11 [0.99-1.26]; adjusted p = 0.082). CONCLUSION: For massively transfused
blunt trauma patients, a plasma to PRBC ratio of >=1:1.5 was associated with
improved survival at 12 hours and 24 hours after hospital admission. However, for
PLTs, no statistically significant survival benefit with increasing ratio was
observed. The results of this analysis highlight the need for prospective studies
to evaluate the clinical significance of high blood component ratios on outcome.
PMID- 22071922
TI - Using the abbreviated injury severity and Glasgow Coma Scale scores to predict 2
week mortality after traumatic brain injury.
AB - BACKGROUND: Prediction of outcome after traumatic brain injury (TBI) remains
elusive. We tested the use of a single hospital Glasgow Coma Scale (GCS) Score,
GCS Motor Score, and the Head component of the Abbreviated Injury Scale (AIS)
Score to predict 2-week cumulative mortality in a large cohort of TBI patients
admitted to the eight U.S. Level I trauma centers in the TBI Clinical Trials
Network. METHODS: Data on 2,808 TBI patients were entered into a centralized
database. These TBI patients were categorized as severe (GCS score, 3-8),
moderate (9-12), or complicated mild (13-15 with positive computed tomography
findings). Intubation and chemical paralysis were recorded. The cumulative
incidence of mortality in the first 2 weeks after head injury was calculated
using Kaplan-Meier survival analysis. Cox proportional hazards regression was
used to estimate the magnitude of the risk for 2-week mortality. RESULTS: Two
week cumulative mortality was independently predicted by GCS, GCS Motor Score,
and Head AIS. GCS Severity Category and GCS Motor Score were stronger predictors
of 2-week mortality than Head AIS. There was also an independent effect of age
(<60 vs. >=60) on mortality after controlling for both GCS and Head AIS Scores.
CONCLUSIONS: Anatomic and physiologic scales are useful in the prediction of
mortality after TBI. We did not demonstrate any added benefit to combining the
total GCS or GCS Motor Scores with the Head AIS Score in the short-term
prediction of death after TBI.
PMID- 22071924
TI - Population-based study of the risk of in-hospital death after traumatic brain
injury: the role of sepsis.
AB - BACKGROUND: Traumatic brain injury (TBI) accounts for the largest proportion of
injury-related deaths and disability in the United States. The proportion of TBI
related deaths that occur after admission in a hospital remains high despite
improvement in medical technology. We provide findings on the risk factors of in
hospital death and demonstrate the risk associated with sepsis occurring in the
hospital environment. METHODS: Population-based retrospective cohort study of
41,395 patients with TBI from all nonfederal hospitals in South Carolina, 1998 to
2009. TBI was ascertained by International Classification of Diseases-9th Rev.
Clinical Modification codes of 800 to 801, 803 to 804, 850 to 854, and 959.01.
Observation was censored at the 120th day. Days elapsing from the date of injury
to date of death established the survival time (T). Cox regression was used to
examine the risk of death, whereas Kaplan-Meier survival curves compared survival
probabilities across time. RESULTS: Sepsis was independently associated with risk
of in-hospital death with hazard ratio of 1.34 (p < 0.001). Severity of TBI was
the strongest risk factor with hazard ratio of 4.97 (p < 0.001). Nearly 90% of
patients with sepsis were identified with one of the nosocomial etiologies
included in the analyses compared with 7% of patients without sepsis (p < 0.001).
The survival probabilities were significantly lower for persons with sepsis
compared with those without (log-rank test p < 0.001). CONCLUSION: Sepsis
occurring in the hospital environment and associated with nosocomial etiologies
is a strong risk factor for in-hospital death after TBI. Reducing the risk of
infections and subsequent sepsis through adherence with infection control
measures is a critical step to reduce in-hospital deaths among patients with TBI.
PMID- 22071923
TI - Effect of the modified Glasgow Coma Scale score criteria for mild traumatic brain
injury on mortality prediction: comparing classic and modified Glasgow Coma Scale
score model scores of 13.
AB - BACKGROUND: The Glasgow Coma Scale (GCS) classifies traumatic brain injuries
(TBIs) as mild (14-15), moderate (9-13), or severe (3-8). The Advanced Trauma
Life Support modified this classification so that a GCS score of 13 is
categorized as mild TBI. We investigated the effect of this modification on
mortality prediction, comparing patients with a GCS score of 13 classified as
moderate TBI (classic model) to patients with GCS score of 13 classified as mild
TBI (modified model). METHODS: We selected adult TBI patients from the
Pennsylvania Outcome Study database. Logistic regressions adjusting for age, sex,
cause, severity, trauma center level, comorbidities, and isolated TBI were
performed. A second evaluation included the time trend of mortality. A third
evaluation also included hypothermia, hypotension, mechanical ventilation,
screening for drugs, and severity of TBI. Discrimination of the models was
evaluated using the area under receiver operating characteristic curve (AUC).
Calibration was evaluated using the Hosmer-Lemershow goodness of fit test.
RESULTS: In the first evaluation, the AUCs were 0.922 (95% CI, 0.917-0.926) and
0.908 (95% CI, 0.903-0.912) for classic and modified models, respectively. Both
models showed poor calibration (p < 0.001). In the third evaluation, the AUCs
were 0.946 (95% CI, 0.943-0.949) and 0.938 (95% CI, 0.934-0.940) for the classic
and modified models, respectively, with improvements in calibration (p = 0.30 and
p = 0.02 for the classic and modified models, respectively). CONCLUSION: The lack
of overlap between receiver operating characteristic curves of both models
reveals a statistically significant difference in their ability to predict
mortality. The classic model demonstrated better goodness of fit than the
modified model. A GCS score of 13 classified as moderate TBI in a multivariate
logistic regression model performed better than a GCS score of 13 classified as
mild.
PMID- 22071925
TI - Risk of venous thromboembolism after spinal cord injury: not all levels are the
same.
AB - BACKGROUND: Venous thromboembolism (VTE), a diagnosis that includes both deep
vein thrombosis and pulmonary embolism, is a well-recognized complication
following injury. Previous studies have identified multiple risk factors
including spinal cord injury (SCI). We hypothesized that the level of SCI also
influences the likelihood of VTE. METHODS: The National Trauma Data Bank was
queried to identify all patients with SCI admitted in 2007 and 2008. Rates of
VTE, demographics, admitting comorbidities, in-hospital complications, level of
SCI (divided by National Trauma Data Bank into five groups), associated injuries,
and outcome variables were abstracted. Multiple regression was used to identify
independent risk factors for VTE. RESULTS: During the 2-year period, 18,302
patients were admitted with SCI. The overall rate of VTE was 4.3% but varied
significantly depending on the level of SCI injury (chi(2), 44.8; p < 0.05).
Patients with high cervical spine (C1-4) injury had a rate VTE of 3.4%, whereas
patients with high thoracic spine (T1-6) injury had the highest rate of VTE at
6.3%. The lowest rate of VTE was in patients with lumbar injury (3.2%). There
were no significant differences in the preexisting comorbidities or in-hospital
complications among the five SCI groups with the exception of pneumonia. In a
multiple logistic regression model, the level of SCI was an independent risk
factor for VTE as was increasing age, increasing Injury Severity Score, male
gender, traumatic brain injury, and chest trauma. CONCLUSIONS: The rate of VTE
differs with various SCI levels. Patients with high thoracic (T1-6) injury seem
to be at the highest risk and patients with high cervical (C1-4) injury at one of
the lowest. A higher index of suspicion for VTE should therefore be maintained in
patients with a high thoracic SCI. Further studies are required to elucidate the
underlying mechanisms.
PMID- 22071926
TI - Identification of motor and sensory fascicles in peripheral nerve trunk using
immunohistochemistry and micro-Raman spectroscopy.
AB - OBJECTIVE: To explore a time-efficient method of identifying motor and sensory
fascicles in peripheral nerve trunk. METHODS: Thirty Wistar rats were selected to
obtain whole spine. The spinal dorsal roots and ventral roots, and sciatic nerve
were harvested as sensor, motor, and mixed samples, annexin V and agrin
specificities were observed with Western blot and immunohistochemistry. A total
of 32 New Zealand rabbits were selected and killed. The roots of spinal nerves
were exposed under an operating microscope, and the ventral and dorsal roots, ~3
mm to 5 mm, were dissociated, and frozen as transverse sections of 30-MUm
thickness. The sections were examined by micro-Raman spectroscopy. RESULTS: The
annexin V and agrin were special substances of sensory and motor nerves,
respectively, and can act as specific antigens for identifying different nerve
fascicles. Sections of the same type of nerve fascicles showed reproducibility
with similar spectral features. Significant differences in the spectral
properties, such as the intensity and breadth of the peak, were found between
motor and sensory fascicles in the frequency regions of 1,088 cm(-1), 1,276 cm(
1), 1,439 cm(-1), 1,579 cm(-1), and 1,659 cm(-1). With the peak intensity ratio
of 1.06 (I(1276)/I(1439)) as a standard, we could identify motor fascicles with a
sensitivity of 88%, specificity of 94%, positive predictive value of 93%, and
negative predictive value of 88%. In the range of 2,700 cm(-1) to 3,500 cm(-1),
the half-peak width of the motor fascicles was narrow and sharp, whereas that of
the sensory fascicles was relatively wider. A total of 91% of the peak features
were in accordance with the identification standard. CONCLUSION: Motor and
sensory fascicles exhibit different characteristics in Raman spectra, which are
constant and reliable. Therefore, it is more effective than immunohistochemistry
method in identifying different nerve fascicles according to the specific
spectrum, and it possesses feasibility for clinical application.
PMID- 22071927
TI - Alcohol use by pedestrians who are struck by motor vehicles: how drinking
influences behaviors, medical management, and outcomes.
AB - BACKGROUND: Injuries to pedestrians struck by motor vehicles represent a
significant public health hazard in large cities. The purpose of this study is to
investigate the demographics of alcohol users who are struck by motor vehicles
and to assess the effects of alcohol on pedestrian crossing patterns, medical
management, and outcomes. METHODS: Data were prospectively collected between
December 2008 to September 2010 on all pedestrians who presented to a Level I
trauma center after being struck by a motor vehicle. Variables were obtained by
interviewing patients, scene witnesses, first responders, and medical records.
RESULTS: Pedestrians who used alcohol were less likely to cross the street in the
crosswalk with the signal (22.6% vs. 64.7%) and more likely to cross either in
the crosswalk against the signal (22.6% vs. 12.4%) or midblock (54.8% vs. 22.8%).
Alcohol use was associated with more initial computed tomography imaging studies
compared with no alcohol involvement. Alcohol use was associated with a higher
Injury Severity Score (8.82 vs. 4.85; p < 0.001) and hospital length of stay
(3.89 days vs. 1.82 days; p < 0.001) compared with those with no alcohol
involvement. Patients who used alcohol had a lower average Glasgow Coma Scale
score (13.80 vs. 14.76; p < 0.001) and a higher rate of head and neck, face,
chest, abdomen, and extremity/pelvic girdle injuries (based on Abbreviated Injury
Scale) than those with no alcohol involvement. CONCLUSION: Alcohol use is a
significant risk factor for pedestrians who are struck by motor vehicles. These
patients are more likely to cross the street in an unsafe manner and sustain more
serious injuries. Traffic safety and injury prevention programs must address
irresponsible alcohol use by pedestrians.
PMID- 22071928
TI - Therapeutic hypothermia cardioprotection in murine hemorrhagic
shock/resuscitation differentially affects p38alpha/p38gamma, Akt, and HspB1.
AB - BACKGROUND: Therapeutic hypothermia (TH) has demonstrated great potential for
forestalling cardiovascular collapse and improving outcomes in the setting of
severe hemorrhagic shock (HS). We used an established mouse model of severe HS to
study the response of interrelated cardiac-signaling proteins p38, HspB1, and Akt
to shock, resuscitation, and cardioprotective TH. METHODS: Adult female C57BL6/J
mice were bled and maintained at a mean arterial pressure of 35 mm Hg. After 30
minutes, mice were randomized to 120 minutes of TH (33 degrees C +/- 0.5 degrees
C) or continued normothermia at 37 degrees C. After 90 minutes, animals were
resuscitated and monitored for 180 minutes. Cardiac p38, Akt, and HspB1
phosphorylation (p-p38, p-Akt, and p-HspB1), expression, and Akt/HspB1
interactions were measured at serial time points during HS and resuscitation.
Markers of mitochondrial damage (plasma cytochrome c), inflammation
(myeloperoxidase), and apoptosis (terminal deoxynucleotidyl transferase-mediated
deoxyuridine triphosphate nick-end labeling) were analyzed. RESULTS: By 15
minutes HS, p-p38 and p-HspB1 significantly increased while p-Akt(T308) decreased
(p < 0.05). TH attenuated phosphorylation of the p38alpha isoform during HS and
increased phosphorylation of the p38gamma isoform during both HS and early
resuscitation (p < 0.05). TH increased Akt/HspB1 coimmunoprecipitation during
early resuscitation and increased p-Akt and HspB1 expression during late
resuscitation (p < 0.05). Finally, TH attenuated the myocardial myeloperoxidase
and terminal deoxynucleotidyl transferase-mediated deoxyuridine triphosphate nick
end labeling staining and plasma cytochrome c during late resuscitation.
CONCLUSIONS: TH increases phosphorylation of p38gamma during both HS and early
resuscitation, but attenuates phosphorylation of p38alpha, increases Akt/HspB1
interaction, and modulates Akt phosphorylation during HS and resuscitation. Such
TH-related signaling events are associated with reduced cardiac inflammation,
apoptosis, and mitochondrial injury.
PMID- 22071929
TI - Direct vascular control results in less physiologic derangement than proximal
aortic clamping in a porcine model of noncompressible extrathoracic torso
hemorrhage.
AB - BACKGROUND: The optimal method of vascular control and resuscitation in patients
with life-threatening, extrathoracic torso hemorrhage remains debated. Guidelines
recommend emergency department thoracotomy (EDT) with aortic clamping, although
transabdominal aortic clamping followed by vascular control and direct vascular
control (DVC) without aortic clamping are alternatives. The objective of this
study is to compare the effectiveness of three approaches to extrathoracic torso
hemorrhage in a large animal model. METHODS: Adolescent swine (Sus Scrofa) (mean
weight = 80.9 kg) were randomized into three groups all of which had class IV
shock established by hemorrhage from an iliac artery injury. Group 1: EDT with
thoracic aortic clamping (N = 6); group 2: transabdominal supraceliac aortic
clamping (SCC; N = 6); and group 3: DVC of bleeding site without aortic clamping
(N = 6). After hemorrhage, EDT or SCC was performed in groups 1 and 2,
respectively, with subsequent exploration of the bleeding site and placement of a
temporary vascular shunt (TVS). Group 3 (DVC) underwent direct exploration of the
injury and placement of a TVS. All groups were resuscitated to predefined
physiologic endpoints over 6 hours with repeated measures of central and cerebral
perfusion and end-organ function at standardized time points. Postmortem tissue
analysis was performed to quantify injury to critical tissue beds. RESULTS: There
was no difference in mortality among the groups and no TVS failures. Central
aortic pressure, carotid flow, and partial pressure brain tissue oximetry, all
demonstrated increases in EDT and SCC after application of the aortic clamp
relative to DVC (p < 0.05). During resuscitation, serum lactate levels were
higher in EDT compared with SCC and DVC (6.85 vs. 3.08 and 2.15, respectively; p
< 0.05) and serum pH in EDT reflected greater acidosis than SCC and DVC (7.24 vs.
7.36 and 7.39, respectively; p < 0.05). EDT and SCC required more intravenous
fluid than DVC (2,166 mL and 2,166 mL vs. 667 mL, respectively; p < 0.05) and
more vasopressors were used in EDT and SCC compared with DVC (52.1 MUg and 43.5
MUg vs. 12.4 MUg, respectively; p < 0.05). Brain and myocardial tissue stains
demonstrated the same degree of acute ischemic changes in all groups. CONCLUSION:
Although aortic clamping increases central and cerebral perfusion, DVC results in
less physiologic derangement. The optimal method of aortic control would
incorporate the benefits of maintained central pressure with less associated
morbidity. Clinical studies evaluating DVC are warranted.
PMID- 22071930
TI - Burn injury dampens erythroid cell production through reprioritizing bone marrow
hematopoietic response.
AB - BACKGROUND: Anemia in burn patients is due to surgical blood loss and anemia of
critical illness. Because the commitment paradigm of common bone marrow
progenitors dictates the production of erythroid, myeloid, and lymphoid cells, we
hypothesized that skewed bone marrow lineage commitment decreases red cell
production and causes anemia after a burn injury. METHODS: After anesthesia,
B(6)D(2)F(1) mice received a 15% total body surface area dorsal scald burn. The
sham group did not receive scald burn. Femoral bone marrow was harvested on 2, 5,
7, 14, and 21 postburn days (PBD). Total bone marrow cells were labeled with
specific antibodies to erythroid (CD71/Ter119), myeloid (CD11b), and lymphoid
(CD19) lineages and analyzed by flow cytometry. To test whether erythropoietin
(EPO) could increase red blood cell production, EPO was administered to sham and
burn animals and their reticulocyte response was measured on PBD 2 and PBD 7.
RESULTS: Burn injury reduced the erythroid cells of the bone marrow from 35% in
sham to 17% by PBD 5 and remained at similar level until PBD 21. Myeloid cells,
however, increased from 42% in sham to 60% on PBD 5 and 77% on PBD 21. Burn
injury reduced reticulocyte counts on PBD 2 and PBD 7 indicating that the
erythroid compartment is severely depleted. This depleted compartment, however,
responded to EPO but was not sufficient to change red cell production.
CONCLUSION: Burn injury skews the bone marrow hematopoietic commitment away from
erythroid and toward myeloid cells. Shrinkage of the erythroid compartment
contributes to resistance to EPO and the anemia of critical illness.
PMID- 22071931
TI - Open versus closed abdomen treatment on liver function in rats with sepsis and
abdominal compartment syndrome.
AB - BACKGROUND: Despite recent advances in understanding the mechanisms of sepsis and
abdominal compartment syndrome (ACS) and of improvements in their management, the
mortality rates from these conditions remain high. Few studies have compared
liver injuries in patients undergoing open and closed abdomen treatment. The aim
of this study was to compare the effects of open versus conservative abdominal
closure approaches upon liver function using a controlled and randomized model of
intra-abdominal hypertension and sepsis in a rat model. METHODS: Healthy Sprague
Dawley rats underwent cecal ligation and puncture to induce sepsis, followed by
intraperitoneal injection of air to induce intra-abdominal hypertension. Twenty
four hours later, the rats were randomly divided into two groups, one (n = 36)
undergoing abdominal closure and the other (n = 36) undergoing open abdomen. Rats
were killed after 1 hour, 6 hours, 1 day, 3 days, 5 days, and 7 days. Liver
injury was evaluated by Hepatic Injury Severity Scoring. The levels of expression
of Toll-like receptor 4 (TLR4), tumor necrosis factor-alpha, interleukin-6,
signaling transducer and activator of transcription 3 mRNA, and suppressor of
cytokine signaling 3 mRNA were assayed by reverse transcription-polymerase chain
reaction. RESULTS: The levels of tumor necrosis factor-alpha, interleukin-6, and
signaling transducer and activator of transcription 3 mRNA were higher, and those
of TLR4 and suppressor of cytokine signaling 3 mRNA were lower, in the open than
in the closed group (p < 0.05 each). Serum concentrations of aspartate
aminotransferase and alanine aminotransferase were also lower in the open group
(p < 0.05 each). CONCLUSIONS: Open abdominal management may improve liver
regeneration soon after surgery, as well as reducing inflammatory responses, by
reducing TLR4 expression.
PMID- 22071932
TI - Limited transthoracic echocardiogram: so easy any trauma attending can do it.
AB - BACKGROUND: Limited transthoracic echocardiogram (LTTE) represents an attractive
alternative to formal transthoracic echocardiogram (TTE), because it does not
require an echocardiogram machine. Our hypothesis is that trauma attendings can
learn LTTE effectively with minimal training. METHODS: Seven attendings at a
Level I trauma center received didactic and hands-on training in LTTE and
performed this test on hypotensive patients to evaluate for contractility, fluid
status, and pericardial effusion. Therapy to improve perfusion (administration of
fluids, ionotropes, or vasopressors) was guided by LTTE findings. Perfusion
status was determined by serum lactate level before and 6 hours after LTTE.
Findings were compared with cardiology-performed TTE. RESULTS: Range of
postresidency training was 1 year to 29 years. LTTE teaching entailed 70 minutes
of didactics and 25 minutes of hands-on. In all, 52 LTTEs were performed; two
patients were excluded due to blunt trauma arrest. Age ranged from 22 years to 89
years with an average of 55 years. Admission diagnosis was blunt trauma (n = 34),
penetrating trauma (n = 3), and intra-abdominal sepsis (n = 13). Average time for
LTTE was 4 minutes 38 seconds. Cardiology-performed TTE was obtained in all
patients, and correlation with LTTE was 100%. A total of 37 patients received
intravenous fluid, 9 received vasopressors, and 4 received ionotropes as guided
by LTTE findings, with lactate reduction in all patients (p < 0.00001).
Attendings scored a mean of 88% in a written test after training. CONCLUSIONS:
Trauma attendings can successfully learn LTTE with minimal training and use the
technique as a resuscitation tool in the hypotensive patient.
PMID- 22071933
TI - Low-intensity pulsed ultrasound as a useful adjuvant during distraction
osteogenesis: a prospective, randomized controlled trial.
AB - BACKGROUND: Low-intensity pulsed ultrasound (LIPUS) was proven to have a positive
impact on bone healing in animal and clinical studies. METHODS: In this
prospective, randomized controlled trial the effect of LIPUS during distraction
osteogenesis was investigated. Thirty-six patients who underwent distraction
osteogenesis (>2 cm) were enrolled. Sixteen patients in the treatment group
received LIPUS, and 20 patients as control group did not. Ultrasound treatment
device was transcutaneously applied at the distraction gap for 20 minutes daily
(frequency 1.5 MHz, signal burst with 200 MUs, signal repetition frequency 1.0
kHz, intensity 30 mW/cm(2)). Evaluation of patients was performed by standard
radiographs every 3 weeks to 4 weeks. RESULTS: Average transport distance was 7.0
cm in the ultrasound group and 6.3 cm in the control group. Mean Paley index for
the ultrasound group was 1.09 mo/cm and 1.49 mo/cm for the control group. Mean
distraction consolidation index for the ultrasound group was 32.8 d/cm and 44.6
d/cm for the control group. The calculated indices indicated no significant
statistical difference between the two groups (p < 0.116) but the fixator
gestation period could be decreased for 43.6 days in the treatment group.
CONCLUSIONS: Therapeutic application of LIPUS during callus distraction
constitutes a useful adjuvant treatment during distraction osteogenesis and has a
positive effect on healing time with no negative effects.
PMID- 22071934
TI - Are certain fractures at increased risk for compartment syndrome after civilian
ballistic injury?
AB - BACKGROUND: Compartment syndrome after ballistic fracture is uncommon but
potentially devastating. Few data are available to help guide clinicians
regarding risk factors for developing compartment syndrome after ballistic
fractures. Our primary hypothesis was that ballistic fractures of certain bones
would be at higher risk for development of compartment syndrome. METHODS: A
retrospective review at a Level I trauma center from 2001 through 2007 yielded
650 patients with 938 fractures resulting from gunshots. We reviewed all
operative notes, clinic notes, discharge summaries, and data from our prospective
trauma database. Cases in which the attending orthopedic surgeon diagnosed
compartment syndrome and performed fasciotomy were considered cases with
compartment syndrome. We excluded all prophylactic fasciotomies. Univariate
analyses were conducted to identify risk factors associated with development of
compartment syndrome. RESULTS: Twenty-six (2.8%) of the 938 fractures were
associated with compartment syndrome. Only fibular (11.6%) and tibial (11.4%)
fractures had incidence significantly higher than baseline for all ballistic
fractures (p < 0.001). Fractures of the proximal third of the fibula were more
likely to result in compartment syndrome than fractures of the middle or distal
third (p = 0.03), as were fractures of the proximal third of the tibia (p =
0.01). No other demographic or injury parameters were associated with compartment
syndrome. CONCLUSION: Ballistic fractures of the fibula and tibia are at
increased risk for development of compartment syndrome over other ballistic
fractures. We recommend increased vigilance when treating these injuries,
particularly if the fracture is in the proximal aspect of the bone or is
associated with vascular injury.
PMID- 22071935
TI - Nontrauma emergency surgery: optimal case mix for general surgery and acute care
surgery training.
AB - BACKGROUND: To examine the case mix and patient characteristics and outcomes of
the nontrauma emergency (NTE) service in an academic Division of Acute Care
Surgery. METHODS: An NTE service (attending, chief resident, postgraduate year-3
and postgraduate year-2 residents, and two physician assistants) was created in
July 2005 for all urgent and emergent inpatient and emergency department general
surgery patient consults and admissions. An NTE database was created with
prospective data collection of all NTE admissions initiated from November 1,
2007. Prospective data were collected by a dedicated trauma registrar and Acute
Physiology and Chronic Health Evaluation-intensive care unit (ICU) coordinator
daily. NTE case mix and ICU characteristics were reviewed for the 2-year time
period January 1, 2008, through December 31, 2009. During the same time period,
trauma operative cases and procedures were examined and compared with the NTE
case mix. RESULTS: Thousand seven hundred eight patients were admitted to the NTE
service during this time period (789 in 2008 and 910 in 2009). Surgical
intervention was required in 70% of patients admitted to the NTE service.
Exploratory laparotomy or laparoscopy was performed in 449 NTE patients,
comprising 37% of all surgical procedures. In comparison, only 118 trauma
patients (5.9% of admissions) required a major laparotomy or thoracotomy during
the same time period. Acuity of illness of NTE patients was high, with a
significant portion (13%) of NTE patients requiring ICU admission. NTE patients
had higher admission Acute Physiology and Chronic Health Evaluation III scores
[61.2 vs. 58.8 (2008); 58.2 vs. 55.8 (2009)], increased mortality [(9.71% vs.
4.89% (2008); 6.78% vs. 5.16% (2009)], and increased readmission rates (15.5% vs.
7.4%) compared with the total surgical ICU (SICU) admissions. CONCLUSION: In an
era of declining operative caseload in trauma, the NTE service provides ample
opportunity for complex general surgery decision making and operative procedures
for surgical residency education, including advanced surgical critical care
management. In addition, creation of an NTE service provides an optimal general
surgery case mix, including major abdominal operations, that can augment
declining trauma surgery caseloads, maintain acute care faculty surgical skills,
and support general and acute care surgery residency training.
PMID- 22071936
TI - American College of Surgeons' Committee on Trauma Performance Improvement and
Patient Safety program: maximal impact in a mature trauma center.
AB - BACKGROUND: To examine the impact of an ongoing comprehensive performance
improvement and patient safety (PIPS) program implemented in 2005 on mortality
outcomes for trauma patients at an established American College of Surgeons (ACS)
verified Level I Trauma Center. METHODS: The primary outcome measure was in
hospital mortality. Age, Injury Severity Score (ISS), and intensive care unit
admissions were used as stratifying variables to examine outcomes over a 5-year
period (2004-2008). Institution mortality rates were compared with the National
Trauma Data Bank mortality rates stratified by ISS score. Enhancements to our
comprehensive PIPS program included revision of trauma activation criteria,
development of standardized protocols for initial resuscitation, massive
transfusion, avoidance of over-resuscitation, tourniquet use, pelvic fracture
management, emphasis on timely angiographic and surgical intervention, prompt
spine clearance, reduction in time to computed tomography imaging, reduced dwell
time in emergency department, evidence-based traumatic brain injury management,
and multidisciplinary efforts to reduce healthcare-associated infections.
RESULTS: In 2004 (baseline data), the in-hospital mortality rate for the most
severely injured trauma patients (ISS >24) at our trauma center was 30%,
consistent with the reported mortality rate from the National Trauma Data Bank
for patients with this severity of injury. Over 5 years, our mortality rate
decreased significantly for severely injured patients with an ISS >24, from 30.1%
(2004) to 18.3% (2008), representing a 12% absolute reduction in mortality (p =
0.011). During the same 5-year time period, the proportion of elderly patients
(age >65 years) cared for at our trauma center increased from 23.5% in 2004 to
30.6% in 2008 (p = 0.0002). Class I trauma activations increased significantly
from 5.5% in 2004 to 15.5% in 2008 based on our reclassification. A greater
percentage of patients were admitted to the intensive care unit (25.8% in 2004 to
37.3% in 2007 and 30.4% in 2008). No difference was identified in the rate of
blunt (95%) or penetrating (5%) mechanism of injury in our patients over this
time period. Trauma Quality Improvement Program confirmed improved trauma
outcomes with observed-to-expected ratio and 95% confidence intervals of 0.64
(0.42-0.86) for all patients, 0.54 (0.15-0.91) for blunt single-system patients,
and 0.78 (0.51-1.06) for blunt multisystem patients. CONCLUSION: Implementation
of a multifaceted trauma PIPS program aimed at improving trauma care
significantly reduced in-hospital mortality in a mature ACS Level I trauma
center. Optimal care of the injured patient requires uncompromising commitment to
PIPS.
PMID- 22071937
TI - Initial treatment of ocular exposure and associated complications in severe
periorbital thermal injuries.
PMID- 22071938
TI - Efficacy and safety of intensive insulin therapy for critically ill neurologic
patients: a meta-analysis.
AB - BACKGROUND: Whether intensive insulin therapy (IIT) may improve clinical outcomes
for patients admitted to intensive care units, especially critically ill
neurologic patients, is still debated. In the present study, we performed a meta
analysis of literature comparing the efficacy and safety of IIT and conventional
insulin therapy (CIT) for critically ill neurologic patients in terms of
mortality, infection rate, neurologic outcome, and hypoglycemia. METHODS: We
searched for published reports of studies of randomized control trials (up to
March 10, 2011) of patients admitted to neurologic intensive care units and
investigated an IIT (target of blood glucose control <120 mg/dL) with a control
of CIT. Data were abstracted by a standardized protocol. RESULTS: We retrieved
reports of five studies involving 924 patients. The risk of mortality, infection
rate, and neurologic outcome did not differ with IIT or CIT. However, the
incidence of hypoglycemic episodes was significantly higher with IIT than CIT
(78.8% vs. 48.9%), with a relative risk of 2.62 (95% confidence interval [CI]:
1.07-6.43; p < 0.04). CONCLUSIONS: As compared with CIT, IIT may not benefit
critically ill neurologic patients in terms of mortality, infection rate, or
neurologic outcome and in fact may be associated with increased hypoglycemic
complications. Therefore, IIT cannot be recommended over conventional control for
critical neurologic disease, but further study is warranted.
PMID- 22071939
TI - A systematic review of an emerging consciousness population: focus on program
evolution.
PMID- 22071940
TI - Indirect colonic injury after military wounding: a case series.
AB - BACKGROUND: Colonic trauma in wartime most commonly results from direct injury
along the path of a penetrating missile. Rarely, the colon may be injured by
primary blast effect or by propagation of energy by the missile, remote from the
track of the projectile. METHODS/RESULTS: This article describes the clinical
presentation and operative findings in five patients who sustained high energy
transfer gunshot wounds (GSWs) or fragmentation injuries from blast who were
found to have sustained colonic injuries anatomically remote from the missile
track/s. CONCLUSIONS: Military surgeons should be aware of the phenomenon of
indirect injury to the colon after high-energy transfer GSW and blast injury. A
high index of suspicion should be maintained and cross-sectional imaging used
where feasible. Primary colonic reconstruction was used safely in these patients
with indirect colonic injuries.
PMID- 22071941
TI - Complete thoracic tracheal transection caused by blunt trauma.
PMID- 22071942
TI - Left pulmonary artery transection after penetrating thoracic trauma.
PMID- 22071943
TI - Sew it up! A Western Trauma Association multiinstitutional study of enteric
injury management in the postinjury open abdomen.
PMID- 22071944
TI - Platelet activation accounts for excessive angiopoietin-1 levels in patients'
sera.
PMID- 22071946
TI - Repair of blunt thoracic outlet arterial injuries: an evolution from open to
endovascular approach.
AB - BACKGROUND: Thoracic outlet artery injuries due to blunt trauma are uncommon.
Exposure of these arteries is associated with significant morbidity and
mortality. An endovascular approach is a less invasive alternative approach for
these technically challenging injuries. METHODS: A retrospective review of
patients who presented with blunt traumatic injuries to the innominate,
subclavian, and axillary arteries between 1998 and 2009 was performed.
Demographic data, concomitant injuries, preoperative workup, treatment, and
outcomes were recorded. RESULTS: During the study period, 34 patients (80% men)
meeting selection criteria were admitted (11 innominate, 16 subclavian, and 7
axillary). Management was nonoperative in 6, open in 16, and endovascular in 12
patients. In the latter group, eight patients had successful stent-graft
insertions. These were approached in an antegrade femoral or retrograde brachial
fashion. In three cases of complete artery transaction, both methods were used.
Shorter operative time (149 minutes vs. 230 minutes; p = 0.03) and less blood
loss (50 mL vs. 1,225 mL; p = 0.03) were seen in the endovascular group compared
with the open repair group. There was a trend for less blood transfusion, but it
was not significant (0 median units vs. 4.5 median units; p = 0.3). Hospital
length of stay was shorter (19 days vs. 29 days; p = 0.4). CONCLUSIONS: Covered
stents are a feasible alternative to open repair in the multiply injured blunt
trauma patients with thoracic outlet arterial injuries. This can be used in the
damage control setting as it offers shorter operative time, less blood loss, and
overall less morbidity to the patient. Long-term follow-up is needed.
PMID- 22071947
TI - Late iatrogenic coronary artery stenosis after penetrating cardiac trauma repair.
PMID- 22071948
TI - Daily functioning and health status in patients with hand osteoarthritis: Fewer
differences between women and men than expected.
AB - OBJECTIVE: To explore and describe potential differences between women and men in
functioning, health status and socio-economic variables in hand OA. METHODS:
Unselected patients of an Austrian outpatient clinic meeting the ACR criteria for
hand OA were consecutively included and assessed once. Descriptive statistics and
subgroup analyses were performed for differences between women and men. By
regression analysis, we explored whether the variables sex, practice of sports,
manual activities, aesthetic changes and functioning in daily life predict the
levels of pain and vitality. RESULTS: 223 (88.1%) women and 30 (11.9%) men were
included in the study. Significant differences between women and men were found
in involvement in housework, aesthetic changes and own net income. Sex did not
contribute significantly to any of the regression models, while e.g. involvement
in sports was a strong individual contributor to self-reported vitality -
irrespective of sex. Total X-ray scores of both hands as well as the involvement
of CMCI joints did not show significant differences. CONCLUSION: Our study showed
significant differences between women and men with hand OA in socio-economic
variables and aesthetic changes. In contrast to our expectations, no other
differences between women and men were found in functioning and health status.
PMID- 22071953
TI - Local temperature measurements on nanoscale materials using a movable
nanothermocouple assembled in a transmission electron microscope.
AB - A nanoscale thermocouple consisting of merged Cu and Cu-Ni tips is developed for
local temperature measurements on advanced nanomaterials by using a probing
technique in a high-resolution transmission electron microscope (TEM) equipped
with a double probe scanning tunneling microcopy (STM) unit. The fabricated
nanothermocouple works as the so-called T-type thermocouple and displays a quick
response and high spatial and thermal resolutions. A generated
thermoelectromotive force which reflects rapid temperature changes controlled by
electron beam intensity alternations on a metal nanoelectrode proves the
technique's usefulness for high-precision local temperature measurements. The
developed method demonstrates the effectiveness while also measuring temperature
changes in Joule heated multi-walled carbon nanotubes (CNTs) and in a modeled
electrical conductive composite nanosystem.
PMID- 22071954
TI - The clinical value of single photon emission computed tomography myocardial
perfusion imaging in cardiac risk stratification of very elderly patients (>=80
years) with suspected coronary artery disease.
AB - BACKGROUND: The role of single photon emission computed tomography myocardial
perfusion imaging (SPECT MPI) in cardiac evaluation of the very elderly patients
is unclear. We investigated the clinical value of SPECT MPI in very elderly
patients (>=80 years) with suspected coronary artery disease (CAD) as well as in
comparison to younger patients. METHODS AND RESULTS: A retrospective analysis of
prospectively collected data from 8,864 patients [1,093 patients >=80 years (very
elderly), 3,369 patients 65-79 years (elderly), and 4,402 patients 50-64 years
(middle-aged)] with suspected CAD who underwent exercise and/or pharmacologic
stress testing with SPECT MPI between 1996 and 2005 was performed. Clinical and
SPECT MPI characteristics, cardiac event rates, early (<=60 days) cardiac
catheterization and revascularization rates of very elderly patients were
compared to that of younger patients. Mean follow-up for cardiac events (cardiac
death or non-fatal myocardial infarction) was 1.9 +/- 0.9 years. Very elderly
patients with moderate to severely abnormal SSS had a significantly higher
annualized cardiac event rate than those with mildly abnormal or normal study
(9.6% vs 3.4% and 2.5% respectively, P < .001). Across all categories of SSS,
very elderly patients had a significantly higher cardiac event rate as compared
to younger patients (P < .001). Early cardiac catheterization and
revascularization referrals in very elderly patients increased as a function of
severity of ischemia on SPECT MPI (P < .001), although these referral rates were
significantly lower in very elderly patients with mild to moderate and severe
ischemia as compared to younger patients (P < .05). CONCLUSIONS: In very elderly
patients (>=80 years) with suspected CAD, SPECT MPI has prognostic and
incremental value in the noninvasive cardiovascular assessment for risk
stratification and may influence medical decisions.
PMID- 22071955
TI - Highlights of the 2011 Scientific Session of the American Society of Nuclear
Cardiology: Denver, Colorado, September 8-11, 2011.
PMID- 22071956
TI - Contemporary insights into painful diabetic neuropathy and treatment with spinal
cord stimulation.
AB - A substantial body of literature is available on the natural history of diabetes,
but much less is understood of the natural history of painful diabetic peripheral
neuropathy (PDPN), a pervasive and costly complication of diabetes mellitus.
Multiple mechanisms have been proposed, including polyol pathway activation,
advanced glycosylation end-product formation, and vasculopathic changes.
Nevertheless, specific treatment modalities addressing these basic issues are
still lacking. The mainstay of treatment includes pharmacological management with
antidepressants, anticonvulsants, and opioids, but these drugs are often limited
by unfavorable side-effect profiles. For over 30 years, spinal cord stimulation
(SCS) has been used extensively for the management of various chronic neuropathic
pain states. In the past decade, interest in the use of SCS for treatment of PDPN
has increased. This article reviews pathophysiological mechanisms of PDPN,
proposed mechanisms of SCS, and the role of SCS for the treatment of PDPN.
PMID- 22071957
TI - Measurement of isoprene solubility in water, human blood and plasma by multiple
headspace extraction gas chromatography coupled with solid phase microextraction.
AB - The aim of this study was to determine the solubility (liquid-to-air ratios) of
isoprene in water, human blood and plasma. To this end, an experimental setup
combining multiple headspace extraction, solid phase microextraction and gas
chromatography-mass spectrometry was applied. The water:air partition
coefficients of isoprene were determined for the temperature range 4.5-37
degrees C and amounted to 1.171-0.277 (g mL(l)(-1)) (g mL(a)(-1))(-1). On the
basis of these data, the enthalpy of volatilization was calculated as 29.46 +/-
2.83 kJ mol(-1). The blood:air partition coefficients at 37 degrees C were
determined for ten normal healthy volunteers spread around a median value of 0.95
+/- 0.09 (g mL(l)(-1)) (g mL(a)(-1))(-1) and were approximately 16% lower than
the plasma:air partition coefficients (1.11 +/- 0.2). The applied methodology can
be particularly attractive for solubility studies targeting species at very low
concentrations in the solution, i.e. when headspace sample enrichment is
necessary to provide sufficient measurement sensitivity and reliability. This can
be especially helpful if environmental or physiological solute levels have to be
considered.
PMID- 22071958
TI - Role of newly formed platelets in thrombus formation in rat after clopidogrel
treatment: comparison to the reversible binding P2Y12 antagonist ticagrelor.
AB - Platelet P2Y12 receptors play an important role in arterial thrombosis by
stimulating thrombus growth. Both irreversibly (clopidogrel) and reversibly
binding (ticagrelor, AZD6140) P2Y12 antagonists are clinically used for
restricted periods, but possible differences in platelet function recovery after
drug cessation have not been investigated. We treated WKY rats with a single,
high dose of 200 mg/kg clopidogrel or 40 mg/kg ticagrelor. Blood was collected at
different time points after treatment. Flow cytometry confirmed full platelet
protection against ADP-induced alphaIIbbeta3 activation shortly after clopidogrel
or ticagrelor treatment. At later time points after clopidogrel treatment, a
subpopulation of juvenile platelets appeared that was fully responsive to ADP.
Addition of ticagrelor to clopidogrel-treated blood reduced alphaIIbbeta3
activation of the unprotected platelets. In contrast, at later time points after
ticagrelor treatment, all platelets gradually lost their protection against ADP
activation. Perfusion experiments showed abolishment of thrombus formation
shortly after clopidogrel or ticagrelor treatment. Thrombus formation on collagen
was determined under high shear flow conditions. At later time points, large
thrombi formed in the clopidogrel but not in the ticagrelor group, and
unprotected, juvenile platelets preferentially incorporated into the formed
thrombi. However, platelets from both groups were still similarly reduced in
assays of whole blood aggregation. Conclusively, recovery of rat platelet
function after ticagrelor differs mechanistically from that after clopidogrel.
This difference is masked by conventional platelet aggregation methods, but is
revealed by thrombus formation measurement under flow. Juvenile platelets formed
at later time points after clopidogrel treatment promoted thrombus formation.
PMID- 22071959
TI - Discoidin domain receptor 2 deficiency predisposes hepatic tissue to colon
carcinoma metastasis.
AB - BACKGROUND: The transdifferentiation of hepatic stellate cells (HSCs) into
myofibroblasts is a major mechanism for stroma development in hepatic metastasis,
but their regulatory pathways remain unclear. Transdifferentiated HSCs from
fibrotic liver express high levels of the fibrillar collagen receptor discoidin
domain receptor 2 (DDR2), but it is unclear if DDR2 plays a direct profibrogenic
role in the tumour microenvironment. AIM: To assess the impact of DDR2 on the
prometastatic role of HSC-derived myofibroblasts. METHODS: Hepatic metastases
were induced in DDR2(-/-) and DDR2(+/+) mice by intrasplenic injection of MCA38
colon carcinoma cells, and their growth and features were characterised.
Stromagenic, angiogenic and cancer cell proliferation responses were quantified
in metastases by immunohistochemistry. The adhesion-, migration- and
proliferation-stimulating activities of supernatants from primary cultured DDR2(
/-) and DDR2(+/+) HSCs, incubated in MCA38 cell-conditioned medium, were
evaluated in primary cultured liver sinusoidal endothelium cells (LSECs) and
MCA38 cells. Gene expression signatures from freshly isolated DDR2(-/-) and
DDR2(+/+) HSCs were compared and DDR2-regulated genes were studied by RT-PCR
under basal conditions and after stimulation with MCA38 tumour-conditioned media.
RESULTS: Metastases were increased three fold in DDR2(-/-) livers, and contained
a higher density of alpha-smooth muscle actin-expressing myofibroblasts, CD31
expressing microvessels and Ki67-expressing MCA38 cells than metastases in
DDR2(+/+) livers. Media conditioned by MCA38-activated DDR2(-/-) HSCs
significantly increased adhesion, migration and proliferation of LSECs and MCA38
cells, compared with DDR2(+/+) HSCs. DDR2 deficiency in HSCs led to decreased
gene expression of interferon gamma-inducing factor interleukin (IL)-18 and
insulin-like growth factor-I; and increased gene expression of prometastatic
factors IL-10, transforming growth factor (TGF)beta and vascular endothelial
growth factor (VEGF), bone morphogenetic protein-7 and syndecan-1. MC38 tumour
conditioned media further exacerbated expression changes in DDR2-dependent IL-10,
TGFbeta and VEGF genes. CONCLUSION: DDR2 deficiency fosters the myofibroblast
transdifferentiation of tumour-activated HSCs, generating a prometastatic
microenvironment in the liver via HSC-derived factors. These findings underscore
the role of stromal cells in conditioning the hepatic microenvironment for
metastases through altered receptor-stroma interactions.
PMID- 22071961
TI - Multiple giant prostatic urethral stones with an ureteral stone in a young
patient.
AB - Giant prostatic urethral stones have been reported as a very rare entity, and the
etiology of these stones is not clear. We report a case of a 40-year-old man with
giant multiple prostatic urethral stones whereby the entire gland was replaced,
and a big ureteral stone presented with voiding difficulty and recurrent urinary
tract infections. In the literature, to our knowledge, this is the youngest case
wherein giant prostatic urethral stones coexisted with a big ureteral stone. Many
different-sized stones were observed endoscopically, some protruding into the
urethra, and some filling different cavities on the prostate. Following
cystoscopy, multiple giant prostatic stones weighing a total of 151 g were
removed by the open retropubic route. We treated the big ureteral stone
endoscopically.
PMID- 22071962
TI - Wolff-Parkinson-White Syndrome and myocardial infarction in ventricular
fibrillation arrest: a case of two one-eyed tigers.
PMID- 22071963
TI - Pseudomembranous necrotizing tracheobronchial aspergillosis.
PMID- 22071960
TI - Trigeminal artery: a review of normal and pathological features.
AB - OBJECTS: Carotid-vertebrobasilar anastomoses-the trigeminal, otic, hypoglossal,
and proatlantal intersegmental arteries-serve as transitory channels between
primitive internal carotid arteries and bilateral longitudinal neural arterial
plexus, which is the precursor of future basilar artery, when the human embryo
reaches about 4-mm length. MATERIAL AND METHODS: Normal and/or abnormal
morphofunctional aspects of the prenatal and postnatal forms of the trigeminal
artery are described according to personal and literature data. Many arteries of
similar origin and course are also noted in the differential diagnosis of the
trigeminal artery. CONCLUSIONS: The persistent primitive trigeminal artery, as
the most commonly carotid-vertebrobasilar anastomosis, has a reported incidence
of 0.03-2.2% in the literature. There is female sex predilection, and it may be
discovered in patients of any age, on either side, and in association with many
vascular variants. Although the significance of persistent primitive trigeminal
artery regarding the development of an aneurysm or association with another
pathological condition may not be clear, its (ab)normal morphology is the
inspiration for anatomists, especially for neurosurgeons, before planning
diagnostic and therapeutic procedures.
PMID- 22071964
TI - Back to basics: ankle reflex in the evaluation of peripheral neuropathy in type 2
diabetes mellitus.
AB - BACKGROUND: Ankle reflex is a simple screening tool frequently used in the
detection of peripheral neuropathy. AIM: The purpose of this study is to assess
the performance characteristics of ankle reflex in detecting diabetic peripheral
neuropathy (DPN) by evaluating the sensitivity, specificity and the predictive
ability of the ankle reflex, a component of Neuropathy Disability Score (NDS)
with reference to Nerve Conduction Studies (NCS). METHODS: A total of 151
patients with type 2 diabetes were assessed (47 males, 104 females). Grading of
neuropathy was done using Neuropathy Symptom Score (NSS), NDS and NCS. Patients
were divided into two groups, those with abnormal NCS (Group 1) and those with
normal NCS (Group 2). Demographic characteristics, biochemistry, NSS and NDS were
assessed between the two groups. Taking NCS as the gold standard, sensitivity,
specificity and predictive ability of the ankle reflex were calculated and
compared with other tests included in NDS, namely vibration sense, superficial
pain and temperature sensation. RESULTS: There were 59 (39.1%) patients in Group
1 and 92 (60.9%) in Group 2. NSS and NDS demonstrated strong positive association
with NCS. Taking NCS as the gold standard, ankle reflex yielded the highest
sensitivity and specificity (91.5 and 67.4%, respectively), closely followed by
that of vibration sense. CONCLUSION: Ankle reflex is a powerful screening tool
with high sensitivity and negative predictive value, but a combination of ankle
reflex and vibration sense has superior sensitivity and specificity compared with
either of them done alone for the detection of DPN in clinical settings.
PMID- 22071965
TI - The prognostic variables predictive of mortality in patients with an exacerbation
of COPD admitted to the ICU: an integrative review.
AB - INTRODUCTION: Chronic Obstructive Pulmonary Disease (COPD) frequently presents
with an acute exacerbation (AECOPD). Debate exists as to whether these patients
should be admitted to intensive care units (ICUs). An integrative review was
performed to determine whether clinical variables available at the time of ICU
admission are predictive of the intermediate-term mortality of patients with an
AECOPD. METHODS: An integrative review was structured to incorporate a five-stage
review framework to facilitate data extraction, analysis and presentation. The
quality of the studies contributing to the integrative review was assessed with a
novel scoring system developed from previously published data and adapted to this
setting. RESULTS: The integrative review search strategy identified 28 studies
assessing prognostic variables in this setting. Prognostic variables associated
with intermediate-term mortality were low Glasgow Coma Scale (GCS) on admission
to ICU, cardio-respiratory arrest prior to ICU admission, cardiac dysrhythmia
prior to ICU admission, length of hospital stay prior to ICU admission and higher
values of acute physiology scoring systems. Premorbid variables such as age,
functional capacity, pulmonary function tests, prior hospital or ICU admissions,
body mass index and long-term oxygen therapy were not found to be associated with
intermediate-term mortality nor was the diagnosis attributed to the cause of the
AECOPD. DISCUSSION: Variables associated with intermediate-term mortality after
AECOPD requiring ICU admission are those variables, which reflect underlying
severity of acute illness. Premorbid and diagnostic data have not been shown to
be predictive of outcome. A scoring system is proposed to assess studies of
prognosis in AECOPD.
PMID- 22071966
TI - Interferon-beta efficiently inhibited endothelial progenitor cell-induced tumor
angiogenesis.
AB - Neovascularization has a critical role in the growth and metastatic spread of
tumors, and involves recruitment of circulating endothelial progenitor cells
(EPCs) from bone marrow. In this study, we examined whether EPCs could promote
tumor angiogenesis, and found that the tumor growth was enhanced by the
administration of EPCs. To test the hypothesis that genetically modified bone
marrow-derived EPCs can be effective carriers of therapeutic agents to tumor
sites, we conducted human interferon-beta (HuIFN-beta) gene transfection of EPCs
with a virus vector in vitro. When HuIFN-beta was applied in the ex vivo culture
of EPCs, HuIFN-beta-transduced EPCs achieved efficient killing of the total
population of SPC-A1 cells, indicating a bystander effect was elicited by HuIFN
beta-transduced EPCs in vitro. When SCP-A1 cancer cells were coimplanted along
with ex vivo cultivated EPCs subcutaneous injection in nude mice, the tumor
growth was increased. However, the anti-tumor effect of interferon-beta (IFN
beta) offset the tumor-progressive character of EPCs and the tumor growth, and
the vascular density of tumor tissues increased by coimplanted EPCs were
decreased upon IFN-beta treatment. In addition, overall expression levels of
vascular endothelial growth factor in tumor tissues were decreased upon IFN-beta
treatment. Therefore, our results suggest that gene-transfected EPCs could be
useful as a tumor-specific drug delivery system.
PMID- 22071967
TI - Cross-presentation of tumour antigens by human induced pluripotent stem cell
derived CD141(+)XCR1+ dendritic cells.
AB - Monocyte-derived dendritic cells (moDC) have been widely used in cancer
immunotherapy but show significant donor-to-donor variability and low capacity
for the cross-presentation of tumour-associated antigens (TAA) to CD8(+) T cells,
greatly limiting the success of this approach. Given recent developments in
induced pluripotency and the relative ease with which induced pluripotent stem
(iPS) cell lines may be generated from individuals, we have succeeded in
differentiating dendritic cells (DC) from human leukocyte antigen (HLA)
A(*)0201(+) iPS cells (iPS cell-derived DC (ipDC)), using protocols compliant
with their subsequent clinical application. Unlike moDC, a subset of ipDC was
found to coexpress CD141 and XCR1 that have been shown previously to define the
human equivalent of mouse CD8alpha(+) DC, in which the capacity for cross
presentation has been shown to reside. Accordingly, ipDC were able to cross
present the TAA, Melan A, to a CD8(+) T-cell clone and stimulate primary Melan A
specific responses among naive T cells from an HLA-A(*)0201(+) donor. Given that
CD141(+)XCR1(+) DC are present in peripheral blood in trace numbers that preclude
their clinical application, the ability to generate a potentially unlimited
source from iPS cells offers the possibility of harnessing their capacity for
cross-priming of cytotoxic T lymphocytes for the induction of tumour-specific
immune responses.
PMID- 22071968
TI - Non-myeloablative transplantation of bone marrow expressing self-antigen
establishes peripheral tolerance and completely prevents autoimmunity in mice.
AB - Myeloablative transplantation of bone marrow (BM) engineered to express myelin
oligodendrocyte glycoprotein (MOG) establishes central intrathymic tolerance and
completely prevents MOG-induced experimental autoimmune encephalomyelitis (EAE)
in mice. Here we asked whether non-myeloablative transplantation of MOG
expressing BM (pMOG-bone marrow transplantation (BMT)) can also provide the same
protection. Using stepwise reduction of irradiation doses, 275 cGy irradiation
with pMOG-BMT protected 100% of mice from EAE development even with two
subsequent re-challenge with MOG. Irradiation doses <275 cGy produced dose
dependent partial protection with significant disease protection still evident at
50 cGy. Splenocytes from 275 cGy recipients proliferated to MOG stimulation in
vitro, indicating that MOG-reactive cells are present in the periphery but failed
to induce disease. MOG-stimulated splenocytes produced little or no interleukin
17, interferon-gamma, granulocyte-monocyte colony stimulating factor and tumor
necrosis factor-alpha compared with EAE control. Adoptive transfer of CD4 T cells
from EAE-resistant mice into Rag2(-/-) mice devoid of MOG expression resulted in
MOG-induced EAE in ~74% of mice. Treatment of EAE-resistant mice with anti
programmed death 1 (PD-1) monoclonal antibody-induced EAE in 67% of mice. We
conclude that non-myeloablative transplantation of self-antigen expressing BM
induces robust peripheral tolerance that completely prevented EAE development.
Our findings implicate clonal anergy and the PD-1 pathway in the maintenance of
peripheral tolerance.
PMID- 22071969
TI - Targeted cancer immunotherapy with oncolytic adenovirus coding for a fully human
monoclonal antibody specific for CTLA-4.
AB - Promising clinical results have been achieved with monoclonal antibodies (mAbs)
such as ipilimumab and tremelimumab that block cytotoxic T lymphocyte-associated
antigen-4 (CTLA-4, CD152). However, systemic administration of these agents also
has the potential for severe immune-related adverse events. Thus, local
production might allow higher concentrations at the target while reducing
systemic side effects. We generated a transductionally and transcriptionally
targeted oncolytic adenovirus Ad5/3-Delta24aCTLA4 expressing complete human mAb
specific for CTLA-4 and tested it in vitro, in vivo and in peripheral blood
mononuclear cells (PBMCs) of normal donors and patients with advanced solid
tumors. mAb expression was confirmed by western blotting and
immunohistochemistry. Biological functionality was determined in a T-cell line
and in PBMCs from cancer patients. T cells of patients, but not those of healthy
donors, were activated by an anti-CTLA4mAb produced by Ad5/3-Delta24aCTLA4. In
addition to immunological effects, a direct anti-CTLA-4-mediated pro-apoptotic
effect was observed in vitro and in vivo. Local production resulted in 43-fold
higher (P<0.05) tumor versus plasma anti-CTLA4mAb concentration. Plasma levels in
mice remained below what has been reported safe in humans. Replication-competent
Ad5/3-Delta24aCTLA4 resulted in 81-fold higher (P<0.05) tumor mAb levels as
compared with a replication-deficient control. This is the first report of an
oncolytic adenovirus producing a full-length human mAb. High mAb concentrations
were seen at tumors with lower systemic levels. Stimulation of T cells of cancer
patients by Ad5/3-Delta24aCTLA4 suggests feasibility of testing the approach in
clinical trials.
PMID- 22071970
TI - In utero administration of Ad5 and AAV pseudotypes to the fetal brain leads to
efficient, widespread and long-term gene expression.
AB - The efficient delivery of genetic material to the developing fetal brain
represents a powerful research tool and a means to supply therapy in a number of
neonatal lethal neurological disorders. In this study, we have delivered vectors
based upon adenovirus serotype 5 (Ad5) and adeno-associated virus (AAV)
pseudotypes 2/5, 2/8 and 2/9 expressing green fluorescent protein to the E16
fetal mouse brain. One month post injection, widespread caudal to rostral
transduction of neural cells was observed. In discrete areas of the brain these
vectors produced differential transduction patterns. AAV2/8 and 2/9 produced the
most extensive gene delivery and had similar transduction profiles. All AAV
pseudotypes preferentially transduced neurons whereas Ad5 transduced both neurons
and glial cells. None of the vectors elicited any significant microglia-mediated
immune response when compared with control uninjected mice. Whole-body imaging
and immunohistological evaluation of brains 9 months post injection revealed long
term expression using these non-integrating vectors. These data will be useful in
targeting genetic material to discrete or widespread areas of the fetal brain
with the purpose of devising therapies for early neonatal lethal
neurodegenerative disease and for studying brain development.
PMID- 22071971
TI - Physiological regulation of transgene expression by a lentiviral vector
containing the A2UCOE linked to a myeloid promoter.
AB - Protection against epigenetic silencing is a desirable feature of future gene
therapy vectors, in particular for those applications in which transgene
expression will not confer growth advantage to gene-transduced cells. The
ubiquitous chromatin opening element (UCOE) consisting of the methylation-free
CpG island encompassing the dual divergently transcribed promoters of the human
HNRPA2B1-CBX3 housekeeping genes (A2UCOE) has been shown to shield constitutive
active heterologous promoters from epigenetic modifications and chromosomal
position effects. However, it is unclear if this element can be used to improve
expression from tissue-specific enhancer/promoters, while maintaining tissue
specificity in hematopoietic cells. Here, we evaluated the potential of the
A2UCOE in combination with the myeloid-specific myeloid related protein 8 (MRP8)
promoter to target transgene expression specifically to myeloid cells in vitro
and in vivo from a self-inactivating lentiviral vector. The inclusion of the
A2UCOE did not interfere with specific upregulation of MRP8 promoter activity
during myeloid differentiation and mediated sustained and vector copy-dependent
expression in myeloid cells. Notably, the A2UCOE did not protect the MRP8
promoter from methylation in the P19 embryonal carcinoma cell line, suggesting
that this element maintains the inherent epigenetic state and transcriptional
activity of cellular promoters in their native configuration. Thus, the A2UCOE
could represent a useful protective genetic element in gene therapy vectors,
ensuring physiological transcriptional regulation of tissue-specific promoters
independent of the chromosomal integration site.
PMID- 22071972
TI - Effect of topical interferon-gamma gene therapy using gemini nanoparticles on
pathophysiological markers of cutaneous scleroderma in Tsk/+ mice.
AB - Scleroderma is a chronic disorder manifested by excessive synthesis and
deposition of collagen in skin and connective tissue, vascular abnormalities, and
autoimmunity. Using microarray and real-time PCR data, we show that intradermally
expressed interferon gamma (IFN-gamma), generated after intradermal injection of
IFN-gamma-coding plasmid, and non-invasive topical nanoparticle (TNP) treatment
with IFN-gamma-coding plasmid, decreased collagen synthesis (via the Jak/Stat 1
pathway), upregulated Th1 cytokine levels, and downregulated the profibrotic
cytokine Transforming growth factor beta and the Smad pathways in the Tsk/+
(tight-skin scleroderma) mouse model. The TNP gene delivery system was
constructed from gemini surfactant 16-3-16 and IFN-gamma-coding plasmid. Topical
administration of IFN-gamma-coding plasmid in TNPs was effective in expressing
IFN-gamma levels after a 20-day treatment regimen without increased TLR4, CCL2,
CCL11 and CCR2 mRNA levels that were observed in injected animals, signs
considered to be innate responses to injury. The more uniform transgene IFN-gamma
expression caused significant (70-72%) collagen reduction, as assessed by reverse
transcription real-time PCR. These results demonstrate efficient in vivo
transfection using a gemini surfactant-based TNP delivery system able to modulate
excessive collagen synthesis in scleroderma-affected skin.
PMID- 22071973
TI - Gene transfer for ocular neovascularization and macular edema.
AB - Diseases complicated by abnormal growth of vessels or excessive leakage are the
most prevalent cause of moderate or severe vision loss in developed countries.
Recent progress unraveling the molecular pathogenesis of several of these disease
processes has led to new drug therapies that have provided major benefits to
patients. However, those treatments often require frequent intraocular
injections, and despite monthly injections, some patients have a suboptimal
response. Gene transfer of antiangiogenic proteins is an alternative approach
that has the potential to provide long-term suppression of neovascularization
(NV) and/or excessive vascular leakage in the eye. Studies in animal models of
ocular NV have demonstrated impressive results with a number of transgenes, and a
clinical trial in patients with advanced neovascular age-related macular
degeneration has provided proof-of-concept. Two ongoing clinical trials, one
using an adeno-associated viral (AAV) vector to express a vascular endothelial
growth factor-binding protein and another using a lentiviral vector to express
endostatin and angiostatin, will provide valuable information that should help to
inform future trials and provide a foundation on which to build.
PMID- 22071974
TI - Preclinical safety evaluation of subretinal AAV2.sFlt-1 in non-human primates.
AB - We report on the long-term safety of AAV2.sFlt-1 (a recombinant adeno-associated
virus serotype 2 carrying the soluble form of the Flt-1 receptor) injection into
the subretinal space of non-human primates. Levels of sFlt-1 protein were
significantly higher (P<0.05) in the vitreous of four out of five AAV2.sFlt-1
injected eyes. There was no evidence of damage to the eyes of animals that
received subretinal injections of AAV2.sFlt-1; ocular examination showed no
anterior chamber flare, normal fundus and electroretinography responses
equivalent to those observed before treatment. Notably, immunological analysis
demonstrated that gene therapy involving subretinal injection of AAV2.sFlt-1 does
not elicit cell-mediated immunity. Biodistribution analysis showed that AAV2.sFlt
1 could be detected only in the eye and not in the other organs tested. These
data indicate that gene therapy with subretinal AAV2.sFlt-1 is safe and well
tolerated, and therefore promising for the long-term treatment of neovascular
diseases of the eye.
PMID- 22071976
TI - Gene expression profiles in peripheral blood as a biomarker in cancer patients
receiving peptide vaccination.
AB - BACKGROUND: Because only a subset of patients show clinical responses to peptide
based cancer vaccination, it is critical to identify biomarkers for selecting
patients who would most likely benefit from this treatment. METHODS: The authors
characterized the gene expression profiles in peripheral blood of vaccinated
patients to identify biomarkers to predict patient prognosis. Peripheral blood
was obtained from advanced castration-resistant prostate cancer patients, who
survived for >900 days (long-term survivors, n = 20) or died within 300 days
(short-term survivors, n = 20) after treatment with personalized peptide
vaccination. Gene expression profiles in prevaccination and postvaccination
peripheral blood mononuclear cells (PBMCs) were assessed by DNA microarray.
RESULTS: There were no statistically significant differences in the clinical or
pathological features between the 2 groups. Microarray analysis of prevaccination
PBMCs identified 19 genes that were differentially expressed between the short
term and long-term survivors. Among the 15 up-regulated genes in the short-term
survivors, 13 genes, which were also differentially expressed in postvaccination
PBMCs, were associated with gene signatures of granulocytes. When a set of 4
differentially expressed genes were selected as the best combination to determine
patient survival, prognosis was correctly predicted in 12 of 13 patients in a
validation set (accuracy, 92%). CONCLUSIONS: These results suggested that
abnormal granulocytes present in the PBMC faction may contribute to poor
prognosis in advanced prostate cancer patients receiving personalized peptide
vaccination. Gene expression profiling in peripheral blood might thus be
informative for devising better therapeutic strategies by predicting patient
prognosis after cancer vaccines.
PMID- 22071978
TI - Therapeutics. Another tool in the BCR-ABL kit?
PMID- 22071977
TI - An intermittent approach for cancer chemoprevention.
AB - Cancer chemoprevention approaches generally use long-term, continuous treatment,
which can produce major preventive effects but which can also have unexpected
serious adverse events. This raises the question of whether intermittent dosing
schedules might reduce toxicity while retaining benefit, a concept that we call
short-term intermittent therapy to eliminate premalignancy (SITEP). Recent
preclinical studies support a novel SITEP approach whereby short-term,
intermittent therapy eliminates premalignant cells via apoptosis that is induced
by synthetic lethal interactions. Synthetic lethality allows personalized,
selective elimination of premalignant clones without harming normal cells. This
Opinion article provides a detailed discussion of the principle, method and
future development of the SITEP approach.
PMID- 22071979
TI - Kidney tumours. 'NRF said.
PMID- 22071980
TI - Synthesis of luminescent homo-dinuclear cationic lanthanide cyclen complexes
bearing amide pendant arms through the use of copper catalysed (1,3-Huisgen,
CuAAC) click chemistry.
AB - The design and synthesis of dinuclear-lanthanide complexes possessing triazole
based bridges, formed by using copper catalysed 1,3-cycloaddition reactions
between heptadentate alkyne functionalised cyclen europium or terbium complexes
and di-azides (CuAAC reactions), are described. While this click reaction worked
well for the formation of the homo-Eu(III) and Tb(III) bis-tri-arm cyclen N,N
dimethyl acetamide complexes, 2Eu and 2Tb, and for the homo-Eu(III) chiral N
methylnaphthalene based complexes 3Eu (S,S,S) and 4Eu (R,R,R), the formation of
the Eu(III) complex of the primary amide analogue of 2, namely 1Eu, was not
successful, clearly demonstrating the effect that the nature of the pendant arms
has on this reaction. Furthermore, the click reactions between the free alkyne
cyclen bis-derivatives (5-8) and the di-azide were unsuccessful, most likely due
to the high affinity of the cyclen macrocycles for Cu(II). The Eu(III) complexes
of 2-4 and 2Tb all gave rise to sensitised metal ion centred emission upon
excitation of the triazole or the naphthalene antennae in methanol solution, and
their hydration states were determined, which showed that while the Eu(III) mono
nuclear complexes had q ~ 2, the click products all had q ~ 1. In the case of 3Eu
(S,S,S) and 4Eu (R,R,R), the circular polarised emission (CPL) was also observed
for both, demonstrating the chiral environment of the lanthanide centres.
PMID- 22071982
TI - The effect of span length of flexural testing on properties of short fiber
reinforced composite.
AB - The aim of this study was to determine the effect of different span lengths of
flexural testing on some properties of test specimens made of experimental short
fiber reinforced composite resin (FC). Bar shaped specimens with different
lengths were made from experimental FC composite with an average fiber length of
3 mm and particulate filler composite (PFC, control: Z250). The specimens (n = 8)
were polymerized with a hand light-curing unit for 40 s and dry stored in a room
temperature for 24 h before testing. Three-point flexural test for determination
of ultimate flexural strength, toughness and flexural modulus of specimens was
made with different span lengths (20, 15, 10, 7, 6, 5 mm) with a speed of 1.0
mm/min until fracture. By shortening the span length for specimens made of FC or
PFC, the flexural modulus decreased (from ca. 11 to 4 GPa) and flexural toughness
increased (from ca. 0.25 to 2.25 MPa). Reduction in flexural strength by
shortening the span length was found with PFC (from 170 to 125 MPa) but not with
FC, which showed reduction by span lengths from 20 to 7 mm and considerable
increase of flexural strength by further shortening the span length from 7 to 5
mm. Shortening of span length of flexural testing showed linear reduction of the
measured and calculated flexural properties of PFC and some properties of FC, but
flexural strength values for FC were non-linearly related to the span length: the
highest values were obtained with the longest and the shortest span lengths. In
reporting the flexural values of composites, the span length-specimen dimension
ratio, and the length of the reinforcement need to be taken into consideration.
PMID- 22071981
TI - Immortalized gingival fibroblasts as a cytotoxicity test model for dental
materials.
AB - In vitro cytotoxicity test is an initial step to identify the harmful effects of
new dental materials. Aim of this study was to develop a stable human cell line
derived from normal gingival fibroblasts (hNOF) and to assess its feasibility in
in vitro cytotoxicity testing. Immortalized human gingival fibroblasts (hTERT
hNOF) were successfully established with human telomerase reverse transcriptase
gene transfection, preserving its phenotypical characteristics, replicative
potential and biological properties. Utilizing standard cytotoxicity test
modeling and dental materials, hTERT-hNOF were evaluated for their feasibility in
cytotoxicity testing, compared with hNOF and L929 cells. Similar pattern of
cytotoxic response was observed among hNOF, hTERT-hNOF and L929 cells.
Cytotoxicity response of hTERT-hNOF was significantly similar to hNOF, moreover
hTERT-hNOF and hNOF were found to be more sensitive towards the tested dental
materials compared to L929 cells. This study suggested that hTERT-hNOF is an
effective cytotoxic test model for dental materials.
PMID- 22071983
TI - An innovative multi-component variate that reveals hierarchy and evolution of
structural damage in a solid: application to acrylic bone cement.
AB - A major limitation of solid mechanics is the inability to take into account the
influence of hierarchy and evolution of the inherent microscopic structure on
evaluating the performance of materials. Irreversible damage and fracture in
solids, studied commonly as cracks, flaws, and conventional material properties,
are by no means descriptive of the subsequent responses of the microstructures to
the applied load. In this work, we addressed this limitation through the use of a
novel multi-component variate. The essence of this variate is that it allows the
presentation of the random damage in the amplitude spectrum, probability space,
and probabilistic entropy. Its uniqueness is that it reveals the evolution and
hierarchy of random damage in multi- and trans-scales, and, in addition, it
includes the correlations among the various damage features. To better understand
the evolution and hierarchy of random damage, we conducted a series of
experiments designed to test three variants of a poly (methyl methacrylate)
(PMMA) bone cement, distinguished by the methods used to sterilize the cement
powder. While analysis of results from conventional tension tests and scanning
electron microscopy failed to pinpoint differences among these cement variants,
our multi-component variate allowed quantification of the multi- and trans-scale
random damage events that occurred in the loading process. We tested the
statistical significance of damage states to differentiate the responses at the
various loading stages and compared the damage states among the groups. We also
interpreted the hierarchical and evolutional damage in terms of the probabilistic
entropy (s), the applied stress (sigma), and the trajectory of damage state. We
found that the cement powder sterilization method has a strong influence on the
evolution of damage states in the cured cement specimens when subjected to stress
in controlled mechanical tests. We have shown that in PMMA bone cements, our
damage state variate has the unique ability to quantify and discern the history
and evolution of microstructural damage.
PMID- 22071984
TI - Compatibility of different polymers for cord blood-derived hematopoietic
progenitor cells.
AB - The low yield of hematopoietic progenitor cells (HPC) present in cord blood
grafts limits their application in clinics. A reliable strategy for ex vivo
expansion of functional HPC is a present goal in regenerative medicine. Here we
evaluate the capacity of several two-dimensional polymers to support HPC
proliferation. Basic compatibility was tested by measuring cell viability,
cytotoxicity and apoptosis of CD34(+) progenitors that were short and long-term
exposed to sixteen bio and synthetic polymers. Resomer((r)) RG503, PCL and Fibrin
might be good alternatives to tissue culture plastic for culture of CB-derived
CD34(+) progenitors. Further, these polymers will be produced in three
dimensional structures and tested for their cytocompatibility.
PMID- 22071986
TI - Synthesis of nucleoside mono- and triphosphates bearing oligopyridine ligands,
their incorporation into DNA and complexation with transition metals.
AB - Modified nucleoside mono- (dA(R)MPs and dC(R)MPs) and triphosphates (dA(R)TPs and
dC(R)TPs) bearing bipyridine or terpyridine ligands attached via acetylene linker
were prepared by single-step aqueous-phase Sonogashira cross-coupling of 7-iodo-7
deaza-dAMP or -dATP, and 5-iodo-dCMP or -dCTP with the corresponding bipyridine-
or terpyridine-linked acetylenes. The modified dN(R)TPs were successfully
incorporated into the oligonucleotides by primer extension experiment (PEX) using
different DNA polymerases and the PEX products were used for post-synthetic
complexation with Fe(2+).
PMID- 22071985
TI - Characterization of bionanocomposite scaffolds comprised of mercaptoethylamine
functionalized gold nanoparticles crosslinked to acellular porcine tissue.
AB - Bionanocomposite scaffolds comprised of nanomaterials and the extracellular
matrix (ECM) of porcine diaphragm tissue capitalizes on the benefits of utilizing
a natural ECM material, while also potentially enhancing physicomechanical
properties and biocompatibility through nanomaterials. Gold nanoparticle (AuNP)
bionanocomposite scaffolds were subjected to a number of characterization
techniques to determine whether the fabrication process negatively impacted the
properties of the porcine diaphragm tissue and whether the AuNP improved the
properties of the tissue. Tensile testing and differential scanning calorimetry
demonstrated that the bionanocomposite possessed improved tensile strength and
thermal stability relative to natural tissue. The collagenase assay and Fourier
transform infrared spectroscopy additionally confirmed that denaturation of the
collagen of the ECM did not occur. The novel bionanocomposite scaffold possessed
properties similar to commercially available scaffolds and will be further
developed for soft tissue applications such as hernia repair through in vivo
studies in an animal model.
PMID- 22071987
TI - The Twister laser fiber degradation and tissue ablation capability during 980-nm
high-power diode laser ablation of the prostate. A randomized study versus the
standard side-firing fiber.
AB - The objective of this work is to test the ablation capability and fiber
degradation of the novel Twister fibers (TW), in both the large (LTW) and the
standard (STW) sizes, against the standard side-firing (SF) fiber in a clinical
setting during the treatment of BPH patients using the 980-nm high-power diode
laser (HPDL). One hundred and twenty BPH patients treated with HPDL (Ceralase300,
Biolitec AG, Jena, Germany) were randomized to receive treatment by one of the
three fibers. Operative time corrected to tissue volume, laser treatment time,
and laser energy were measured. Ablation rate was calculated as follows: the
decrease of the prostate volume after 6 months/laser time. The fibers' resistance
to degradation was defined by the laser energy needed to degrade the fiber
completely. Preoperative prostate volume of 76 +/- 38, 70 +/- 39, and 88 +/- 49
cc decreased by 49 +/- 16, 51 +/- 20, and 63 +/- 16% for the SF, STW, and LTW
fibers, respectively. This difference was highly significant when the LTW was
compared to the other two fibers (p < 0.001). Prostate volume reduction post
operatively within each group as compared to the pre-operative volume was highly
significant (p < 0.001). The ablation rate was highest in LTW, being 1.31 +/-
0.59, 1.09 +/- 0.51, and 1.54 +/- 0.44 cc/min for the SF, STW, and LTW fibers,
respectively. The LTW fiber resisted degradation more than the other fibers and
the STW more than the SF fiber (p < 0.001). This study demonstrates the higher
ablation efficiency and resistance to degradation of the LTW fiber as compared to
the STW and SF fibers. The STW fiber has a similar ablation rate of the SF fiber
but resists degradation better.
PMID- 22071988
TI - Body integrity identity disorder: from a psychological to a neurological
syndrome.
AB - Body Integrity Identity Disorder (BIID) is a condition in which individuals
experience an intense desire for amputation of an healthy limb. Recently, McGeoch
and colleagues provided the first direct evidence that this syndrome may be
neurological rather than psychological in its origin. However, before including
BIID in body ownership disorders, several concerns should be clarified, exploring
other components of body representation and not only somatosensory perception.
PMID- 22071989
TI - Rapamycin inhibits osteoclast formation in giant cell tumor of bone through the
C/EBPbeta - MafB axis.
AB - Giant cell tumor (GCT) of bone is a benign type of tumor, but the presence of
hyperactive multinucleated giant osteoclasts cause local osteolytic lesions,
increasing morbidity in patients. To specifically target hyperactive
multinucleated giant osteoclasts in GCTs, one would envisage the usage of
osteoclast inhibitors or genetic modulation of osteoclastogenesis. Recently, we
have found that the translationally regulated balance between the transcription
factor C/EBPbeta long (LAP) and short (LIP) protein isoforms regulates osteoclast
differentiation. Here, we report that GCTs express high levels of the LIP
C/EBPbeta isoform, which in mice cause giant osteoclast formation. In mice,
inhibition of mTOR activity by rapamycin decreased osteoclast differentiation by
shifting the alternative translation initiation of C/EBPbeta isoforms towards
LAP. Similarly, rapamycin treatment of GCT cell cultures derived from seven
different patients strongly reduced formation of giant osteoclasts and bone
resorption. This was accompanied by an increase in MafB, previously shown to be
the mediator of the effect of rapamycin on osteoclast differentiation in mice.
These data suggest that C/EBPbeta is a determinant of giant osteoclast formation
in GCT and that pharmacological adjustment of the C/EBPbeta isoform ratio could
serve as a potential novel therapeutic approach.
PMID- 22071991
TI - [Viennese Clinical Weekly: foreword].
PMID- 22071993
TI - Introduction to the Canadian Forces operational medicine supplement.
PMID- 22071994
TI - Surgical experience at the Canadian-led Role 3 Multinational Medical Unit in
Kandahar, Afghanistan.
AB - INTRODUCTION: The purpose of this study was to document the surgical experience
of the Role 3 Multinational Medical Unit (R3MMU) at Kandahar Airfield Base while
Canada was the lead nation for the facility. This study will help inform on
future staffing, training, and deployment issues of field hospitals on military
missions. METHODS: From February 2, 2006, to October 15, 2009, the Canadian
Forces Health Services served as the lead nation for the R3MMU. We
retrospectively reviewed the electronic and the actual operative database during
this timeframe to assess surgical workload, types of surgical procedures
performed, and the involved anatomic regions of the surgical procedures. RESULTS:
During this timeframe, there were 6,735 operative procedures performed on 4,434
patients. The majority of our patients were Afghan nationals, with Afghan
civilians representing 34.8%, Afghan National Security Forces 31.6%, and North
Atlantic Treaty Organization forces 25.3%. The number of operative procedures by
specialty were 3,329 in orthopedic surgery (49.4%), 2,053 general surgery
(30.5%), 930 oral maxillofacial surgery (13.8%), and 272 neurosurgery (6%). The
most frequently operated on body region was the soft tissue, followed by the
extremities and then the abdomen. Thoracic operations were very infrequent.
CONCLUSION: Our operative data were slightly different from historical controls.
Hopefully, this data will help with planning for future deployments of field
hospitals on military missions.
PMID- 22071995
TI - Causes of death in Canadian Forces members deployed to Afghanistan and
implications on tactical combat casualty care provision.
AB - BACKGROUND: As part of its contribution to the Global War on Terror and North
Atlantic Treaty Organization's International Security Assistance Force, the
Canadian Forces deployed to Kandahar, Afghanistan, in 2006. We have studied the
causes of deaths sustained by the Canadian Forces during the first 28 months of
this mission. The purpose of this study was to identify potential areas for
improving battlefield trauma care. METHODS: We analyzed autopsy reports of
Canadian soldiers killed in Afghanistan between January 2006 and April 2008.
Demographic characteristics, injury data, location of death within the chain of
evacuation, and cause of death were determined. We also determined whether the
death was potentially preventable using both explicit review and implicit review
by a panel of trauma surgeons. RESULTS: During the study period, 73 Canadian
Forces members died in Afghanistan. Their mean age was 29 (+/-7) years and 98%
were male. The predominant mechanism of injury was explosive blast, resulting in
81% of overall deaths during the study period. Gunshot wounds and nonblast
related motor vehicle collisions were the second and third leading mechanisms of
injury causing death. The mean Injury Severity Score was 57 (+/-24) for the 63
study patients analyzed. The most common cause of death was hemorrhage (38%),
followed by neurologic injury (33%) and blast injuries (16%). Three deaths were
deemed potentially preventable on explicit review, but implicit review only
categorized two deaths as being potentially preventable. CONCLUSIONS: The
majority of combat-related deaths occurred in the field (92%). Very few deaths
were potentially preventable with current Tactical Combat Casualty interventions.
Our panel review identified several interventions that are not currently part of
Tactical Combat Casualty that may prevent future battlefield deaths.
PMID- 22071996
TI - Needle decompression for tension pneumothorax in Tactical Combat Casualty Care:
do catheters placed in the midaxillary line kink more often than those in the
midclavicular line?
AB - BACKGROUND: Tactical Combat Casualty Care (TCCC) is a system of prehospital
trauma care designed for the combat environment. Needle decompression (ND) is a
critical TCCC intervention, because previous data suggest that up to 33% of all
preventable deaths on the battlefield result from tension pneumothoraces. There
has recently been increased interest in performing ND at the fifth intercostal
space in the midaxillary line to prevent complications associated with
landmarking second intercostal space in the midclavicular line site. We developed
a model to assess whether catheters placed in the midaxillary line for
decompressing tension pneumothoraces are more prone to kinking than those placed
in the midclavicular line because of adducted arms during military transport.
METHODS: To simulate ND, we secured segments of porcine chest walls over
volunteer soldiers' chests and placed 14-gauge, 1.5-inch angiocatheters through
the porcine wall segments which were affixed to either the midaxillary or
midclavicular location on the volunteers. We then assessed for occlusion and
kinking by flow of normal saline (NS) through the angiocatheter in situ. The
angiocatheter was then transduced using standard arterial line manometry, and the
opening pressures required to initiate flow through the catheters were measured.
The opening pressures were then converted to mm Hg. We also assessed for catheter
occlusion after the physical manipulation of the patient, by simulated patient
transport. RESULTS: We observed that there was a significant pressure difference
required to achieve free flow through the in situ angiocatheter between the fifth
intercostal space midaxillary line versus the second intercostal space
midclavicular line site (13.1 +/- 3.6 mm Hg vs. 7.9 +/- 1.8 mm Hg). CONCLUSIONS:
This study suggests that the 14-gauge, 1.5-inch angiocatheter used for ND in the
midaxillary line may partially and temporarily occlude in patients who will be
transported on military stretchers. The pressure of 12.8 mm Hg has been
documented in animal models as the pressure at which hemodynamic instability
develops. This may contribute to the reaccumulation of tension pneumothoraces and
ultimate patient deterioration in military transport.
PMID- 22071997
TI - Spinal injuries after improvised explosive device incidents: implications for
Tactical Combat Casualty Care.
AB - BACKGROUND: Tactical Combat Casualty Care aims to treat preventable causes of
death on the battlefield but deemphasizes the importance of spinal immobilization
in the prehospital tactical setting. However, improvised explosive devices (IEDs)
now cause the majority of injuries to Canadian Forces (CF) members serving in
Afghanistan. We hypothesize that IEDs are more frequently associated with spinal
injuries than non-IED injuries and that spinal precautions are not being
routinely employed on the battlefield. METHODS: We examined retrospectively a
database of all CF soldiers who were wounded and arrived alive at the Role 3
Multinational Medical Unit in Kandahar, Afghanistan, from February 7, 2006, to
October 14, 2009. We collected data on demographics, injury mechanism, anatomic
injury descriptions, physiologic data on presentation, and prehospital
interventions performed. Outcomes were incidence of any spinal injuries. RESULTS:
Three hundred seventy-two CF soldiers were injured during the study period and
met study criteria. Twenty-nine (8%) had spinal fractures identified. Of these,
41% (n = 12) were unstable, 31% (n = 9) stable, and 28% indeterminate. Most
patients were injured by IEDs (n = 212, 57%). Patients injured by IEDs were more
likely to have spinal injuries than those injured by non-IED-related mechanisms
(10.4% vs. 2.3%; p < 0.01). IED victims were even more likely to have spinal
injuries than patients suffering blunt trauma (10.4% vs. 6.7%; p = 0.02).
Prehospital providers were less likely to immobilize the spine in IED victims
compared with blunt trauma patients (10% [22 of 212] vs. 23.0% [17 of 74]; p <
0.05). CONCLUSIONS: IEDs are a common cause of stable and unstable spinal
injuries in the Afghanistan conflict. Spinal immobilization is an underutilized
intervention in the battlefield care of casualties in the conflict in
Afghanistan. This may be a result of tactical limitations; however, current
protocols should continue to emphasize the judicious use of immobilization in
these patients.
PMID- 22071998
TI - Design and preliminary results of a pilot randomized controlled trial on a 1:1:1
transfusion strategy: the trauma formula-driven versus laboratory-guided study.
AB - BACKGROUND: Retrospective reviews have recently shown an survival benefit for
adopting a resuscitation strategy that transfuses plasma and platelets at a near
1:1 ratio with red blood cells (RBCs). However, a randomized controlled trial on
the topic is lacking. We report on the design and preliminary results of our
ongoing randomized control pilot trial (ClinicalTrial.gov NCT00945542). METHODS:
This is a 2-year feasibility randomized control trial at a single tertiary trauma
center. Bleeding trauma patients were randomized to either a laboratory-driven or
a formula-driven (1 plasma:1 platelet:1 RBC) transfusion protocols. Feasibility
was assessed by analyzing for ability to enroll patients, appropriate activation
of transfusion protocols, time to transfusion of each type of blood product,
laboratory turnaround time, ratio of blood products transfused, and wastage of
blood products. RESULTS: From July 6, 2009, to May 31, 2010, n = 18 patients were
randomized and included in the study. Issues that we noted were the need to do
postrandomization exclusions, the need to have rapid and accurate predictors of
massive bleeding to enroll patients quickly, and the need to have waived consent
for study participation. As well, we noted that the logistics of administering
1:1:1 were formidable and required rapid access to thawed plasma. Similarly,
challenges in the control arm of such a study included the turnaround time for
obtaining laboratory results. CONCLUSION: Despite major challenges, our initial
experience suggests that with an organized system, it is possible to
prospectively randomize massively bleeding trauma patients. The accomplishment of
high ratios of plasma to RBCs is challenging with current thawing methods and
unavailability of thawed plasma in Canada. Longer shelf-life for plasma and
faster plasma thawing microwaves should overcome some of these obstacles. For a
laboratory-guided transfusion protocol, massive transfusion protocols should be
in place with faster turnaround time for coagulation tests. Finally, further
research on predictors of massive transfusion is needed.
PMID- 22072000
TI - Hypoperfusion in severely injured trauma patients is associated with reduced
coagulation factor activity.
AB - BACKGROUND: Recent studies have shown that acute traumatic coagulopathy is
associated with hypoperfusion, increased plasma levels of soluble thrombomodulin,
and decreased levels of protein C but with no change in factor VII activity.
These findings led to the hypothesis that acute traumatic coagulopathy is
primarily due to systemic anticoagulation, by activated protein C, rather than
decreases in serine protease activity. This study was designed to examine the
effect of hypoperfusion secondary to traumatic injury on the activity of
coagulation factors. METHODS: Post hoc analysis of prospectively collected data
on severely injured adult trauma patients presenting to a single trauma center
within 120 minutes of injury. Venous blood was analyzed for activity of factors
II, V, VII, VIII, IX, X, and XI. Base deficit from arterial blood samples was
used as a marker of hypoperfusion. RESULTS: Seventy-one patients were identified.
The activity of factors II, V, VII, IX, X, and XI correlated negatively with base
deficit, and after stratification into three groups, based on the severity of
hypoperfusion, a statistically significant dose-related reduction in the activity
of factors II, VII, IX, X, and XI was observed. Hypoperfusion is also associated
with marked reductions in factor V activity levels, but these appear to be
relatively independent of the degree of hypoperfusion. The activity of factor
VIII did not correlate with base deficit. CONCLUSIONS: Hypoperfusion in trauma
patients is associated with a moderate, dose-dependent reduction in the activity
of coagulation factors II, VII, IX, X, and XI, and a more marked reduction in
factor V activity, which is relatively independent of the severity of shock.
These findings suggest that the mechanisms underlying decreased factor V activity
-which could be due to activated protein C mediated cleavage, thus providing a
possible link between the proposed thrombomodulin/thrombin-APC pathway and the
serine proteases of the coagulation cascade--and the reductions in factors II,
VII, IX, X, and XI may differ. Preservation of coagulation factor activity in the
majority of normally and moderately hypoperfused patients suggests that
aggressive administration of plasma is probably only indicated in severely
hypoperfused patients. Markers of hypoperfusion, such as base deficit, might be
better and more readily available predictors of who require coagulation support
than international normalized ratio or activated partial thromboplastin time.
PMID- 22071999
TI - Clotting factor deficiency in early trauma-associated coagulopathy.
AB - BACKGROUND: Coagulopathic bleeding is a leading cause of in-hospital death after
injury. A recently proposed transfusion strategy calls for early and aggressive
frozen plasma transfusion to bleeding trauma patients, thus addressing trauma
associated coagulopathy (TAC) by transfusing clotting factors (CFs). This
strategy may dramatically improve survival of bleeding trauma patients. However,
other studies suggest that early TAC occurs by protein C activation and is
independent of CF deficiency. This study investigated whether CF deficiency is
associated with early TAC. METHODS: This is a prospective observational cohort
study of severely traumatized patients (Injury Severity Score >= 16) admitted
shortly after injury, receiving minimal fluids and no prehospital blood. Blood
was assayed for CF levels, thromboelastography, and routine coagulation tests.
Critical CF deficiency was defined as <= 30% activity of any CF. RESULTS: Of 110
patients, 22 (20%) had critical CF deficiency: critically low factor V level was
evident in all these patients. International normalized ratio, activated
prothrombin time, and, thromboelastography were abnormal in 32%, 36%, and 35%,
respectively, of patients with any critically low CF. Patients with critical CF
deficiency suffered more severe injuries, were more acidotic, received more blood
transfusions, and showed a trend toward higher mortality (32% vs. 18%, p = 0.23).
Computational modeling showed coagulopathic patients had pronounced delays and
quantitative deficits in generating thrombin. CONCLUSIONS: Twenty percent of all
severely injured patients had critical CF deficiency on admission, particularly
of factor V. The observed factor V deficit aligns with current understanding of
the mechanisms underlying early TAC. Critical deficiency of factor V impairs
thrombin generation and profoundly affects hemostasis.
PMID- 22072001
TI - Disseminated intravascular coagulopathy in the first 24 hours after trauma: the
association between ISTH score and anatomopathologic evidence.
AB - BACKGROUND: Recent studies questioned "classical" concepts in trauma care,
including whether disseminated intravascular coagulation (DIC) occurs in trauma.
The knowledge on trauma DIC is limited to few studies built on diagnosing DIC
with laboratory-based scores. This study explores whether DIC diagnosed by the
well-established ISTH (International Society for Thrombosis and Hemostasis) score
is corroborated by anatomopathologic findings. METHODS: Prospective observational
cohort study of severely injured (ISS >= 16) patients. DIC was diagnosed by the
ISTH score throughout the first 24 hours after trauma. All organs surgically
removed within 24 hours of trauma were reviewed by two independent pathologists.
All autopsy reports were reviewed. RESULTS: Of 423 patients enrolled, ~11% had
"overt DIC" and 85% had "suggestive of non-overt DIC" scores throughout the 24
hours after trauma. "Overt DIC" patients had higher mortality and worse bleeding,
receiving more blood and plasma transfusions. One hundred and sixteen patients
underwent surgery within 24 hours of trauma, and all 40 excised organs were
reviewed by two pathologists. Twenty-seven autopsies reports were reviewed. No
anatomopathologic evidence of DIC was identified in the first 24 hours, even
after additional histochemical staining. d-dimer was universally elevated after
trauma. Common DIC features: platelet count, fibrinogen, clotting time, and
factor VIII drop were mostly absent. CONCLUSIONS: d-dimer has a disproportional
participation in trauma DIC scores. Within 24 hours of trauma, most severely
injured patients have DIC scores "suggestive for" or of "overt DIC" but no
anatomopathologic evidence of DIC. Considering pathologic findings as the gold
standard diagnosis, then DIC is exceptionally uncommon and the ISTH score should
not be used for trauma.
PMID- 22072002
TI - The natural history of trauma-related coagulopathy: implications for treatment.
AB - BACKGROUND: Hemorrhage is a leading cause of death in trauma patients and
coagulopathy is a significant contributor. Although the exact mechanisms of
trauma-associated coagulopathy (TAC) are incompletely understood, hemostatic
resuscitation strategies have been developed to treat TAC. Our study sought to
identify which trauma patients develop TAC and the factors associated with its
development, to describe the natural history of TAC, and to identify patients
with TAC who may not require hemostatic resuscitation. METHODS: Patients with
early coagulopathy (International Normalized Ratio >1.3) who were admitted
directly from the scene within 1 hour of injury were identified in our
institutional trauma registry. We analyzed these data for the presence of TAC,
predictors of early and delayed TAC, and evolution of TAC during the first 24
hours of admission. RESULTS: Of 2,473 patients, 290 (12%) had early TAC
(International Normalized Ratio >1.3) and 271 (11%) developed delayed TAC.
Multivariate analysis identified female gender (odds ratio [OR] 1.25 [1.11
1.41]), lower pH (OR 0.08 [0.015-0.47]), lower hemoglobin (OR 0.96 [0.95-0.97]),
lower temperature (OR 0.82 [0.70-0.95]), and blunt mechanism (OR 0.49 [0.33
0.71]) as factors significantly associated with development of early TAC.
Progression of early TAC occurred in 64%, and these patients had more severe
abdominal injury and received more emergency room crystalloid. Of patients with
early TAC who did not receive fresh frozen plasma, only 49% developed worsening
coagulopathy. Patients with isolated intracranial hemorrhage had higher rates of
bleeding progression (75% vs. 20%, p < 0.005) in the presence of early TAC.
CONCLUSIONS: TAC may appear in an early or delayed form and its presence and
progression are associated with a number of identifiable factors. Although TAC
commonly progresses, it also resolves spontaneously in many patients. Further
research is required to identify which patients with TAC require hemostatic
treatment, although those with intracranial hemorrhages seem to warrant
aggressive therapy.
PMID- 22072003
TI - Recombinant human erythropoietin improves gut barrier function in a hemorrhagic
shock and resuscitation rat model.
AB - BACKGROUND: Gut injury and bacterial translocation develop and persist after
limited periods of hemorrhagic shock. Erythropoietin (EPO) can exert hemodynamic,
anti-inflammatory, and tissue protective effects. We tested the hypothesis that
EPO given at the time of resuscitation with saline will reduce functional ileal
injury 24 hours after shock. METHODS: Sprague-Dawley rats (n = 6 per group) were
randomized to sham surgery or hemorrhagic shock maintained at mean arterial
pressure 40 mm Hg for 60 minutes and then treated with either saline
resuscitation (three times the volume of shed blood) or saline + recombinant
human EPO (rHuEPO) resuscitation. Intravenous rHuEPO (1,000 U/kg) was given at
the start of saline resuscitation, and at 24 hours ileal function was evaluated
using quantitative cultures of mesenteric lymph nodes to assess for bacterial
translocation (colony-forming units per gram of tissue [CFU/g]), determination of
portal vein plasma endotoxin levels and histopathological evaluation using semi
thin plastic sections of the distal ileum. In a second series of animals,
fluorescein isothiocyanate-dextran 4000 (FD-4) was used to assess mucosal
permeability of the distal ileum to macromolecules. RESULTS: At 24 hours, the
saline group had morphologic evidence of intestinal injury when compared with the
sham group, and the degree of mucosal injury was less in the saline + rHuEPO when
compared with the saline group, which demonstrated significantly reduced
bacterial translocation to the mesenteric lymph nodes (383 CFU/g +/- 111 CFU/g
vs. 1130 CFU/g +/- 297 CFU/g; p < 0.05) and decreased terminal ileum permeability
to FD-4 (3.08 MUg/mL +/- 0.31 MUg/mL vs. 5.14 MUg/mL +/- 0.88 MUg/mL; p < 0.05).
No significant difference was found in the portal vein endotoxin levels between
the two groups. Histopathological evaluation demonstrated a trend for decreased
enterocyte disarray or disruption and vacuolization in the saline + rHuEPO versus
saline group. CONCLUSION: Using rHuEPO at time of saline resuscitation resulted
in decreased bacterial translocation and permeability to macromolecules 24 hours
after shock. These observations suggest that rHuEPO can mediate a protective
effect on intestinal mucosal barrier function during ischemic injury.
PMID- 22072004
TI - Erythropoietin improves skeletal muscle microcirculation through the activation
of eNOS in a mouse sepsis model.
AB - BACKGROUND: Sepsis and septic shock remain the major causes of morbidity and
mortality in intensive care units. One mechanism that leads to organ failure is
microcirculatory dysfunction. Erythropoietin (EPO) is a glycoprotein produced by
the kidney that primarily regulates erythropoiesis, but it also can exert
hemodynamic, anti-inflammatory, and tissue protective effects. We previously
reported that administration of EPO to septic mice improves mouse skeletal muscle
capillary perfusion and tissue bioenergetics. The objective of this study was to
explore the potential mechanism(s) involved. METHODS: Sepsis was induced by
intraperitoneal (i.p.) injection of a fecal suspension (12.5 g in 0.5
saline/mouse) in mice. At 18 hours after sepsis induction, a single dose of
rHuEPO (400 U/kg) was given to the mice. Mouse capillary perfusion density and
nicotinamide adenine dinucleotide (NADH) fluorescence in skeletal muscle were
observed using intravital microscopy. Endothelial cells derived from the skeletal
muscle were treated with rHuEPO (5 U/mL) and endothelial nitric oxide synthase
(eNOS) activation and activity were assessed. RESULTS: Septic mice had decreased
capillary perfusion density and increased tissue NADH fluorescence indicating
impaired tissue bioenergetics, whereas animals treated with rHuEPO demonstrated
an improvement in capillary perfusion density and decreased skeletal muscle NADH
fluorescence. The beneficial effect of rHuEPO did not occur in septic mice
treated with l-NAME (an NOS inhibitor, 20 mg/kg) or mice genetically deficient in
eNOS. Treatment of endothelial cells with rHuEPO resulted in activation of eNOS
as indicated by increased eNOS phosphorylation and NO production. CONCLUSIONS:
Our results suggest that eNOS plays an important role in mediating the beneficial
effect of rHuEPO on microcirculation in this septic mouse model.
PMID- 22072005
TI - The use of the radiographic appearance of the azygos vein to assess volume status
in trauma patients.
AB - BACKGROUND: The assessment of hypovolemia in victims of trauma is a critical
aspect of resuscitation and care in the initial presentation of a patient. This
study attempted to validate the use of the appearance of the azygos vein (AV) on
initial chest radiographs as a parameter that may add to this initial assessment.
METHODS: The design involved a blinded independent assessment of serial chest
radiographs from consecutive trauma cases from January 21, 2008, until September
13, 2008, by a trained Radiologist and a Trauma Team Leader (TTL) and then
comparing this assessment to mean arterial pressure (MAP) and heart rate
estimates of volume status in serial severe trauma patients. This is an
insensitive but specific measure of volume status. RESULTS: In this population
with high prevalence of hypovolemia, the presence of an AV <= 0.5 cm yielded a
sensitivity of 4.9% and 9.8% for the TTL and Radiologist, respectively, in
patients with a mean arterial pressure <70 and heart rate >100. The specificity
was 98.8% and 91.6%, which translates into a positive likelihood ratio of 4.08
and 1.17 for the TTL and Radiologist, respectively. The Kappa score for agreement
between the two readers was 0.4. CONCLUSION: When a small AV can be seen by the
TTL, it may be a useful adjunct to the assessment of volume status.
PMID- 22072006
TI - Controlled blast exposure during forced explosive entry training and mild
traumatic brain injury.
AB - BACKGROUND: There is a paucity of data regarding the pathophysiology and short-
and long-term neurologic consequences of primary blast injury in humans. The
purpose of this investigation was to test the feasibility of implementing a
research protocol in the context of a forced explosive entry training course.
METHODS: Instructors (n = 4) and students (n = 10) completing the Police
Explosives Technicians-Forced Entry Instructors course were recruited to
participate in the study. Participants underwent a physical examination, tests of
postural stability and vestibular ataxia, and a neurocognitive battery 1 day
before and 10 days following practical forced explosive entry exercises. RESULTS:
The instructors reported significantly more blast exposures in their careers than
the students (p < 0.05). Seventy-five percent of the instructors and 50% of the
students reported a history of trauma to the head. A minority of the participants
had deficits on cranial nerve, vestibular ataxia, and neurocognitive tests which
did not change significantly postexposure. All the instructors and most of the
students (90%) demonstrated postural stability deficits at baseline which did not
change significantly postexposure. CONCLUSIONS: Studying the effects of blast
exposure on the human brain in a controlled experimental setting is not possible.
Forced explosive entry training courses afford an opportunity to begin examining
this issue in real time in a controlled setting. This study underscores the
importance of baseline testing of troops, of the consideration of subclinical
implications of blast exposure, and of continued studies of the effects of blast
exposures, including repeated exposures on the human brain.
PMID- 22072007
TI - The value of serum biomarkers in prediction models of outcome after mild
traumatic brain injury.
AB - BACKGROUND: To determine, using a civilian model of mild traumatic brain injury
(TBI), the added value of biomarker sampling upon prognostication of outcome at 1
week and 6 weeks postinjury. METHODS: The Galveston Orientation and Amnesia test
was administered, and blood samples for serum protein S100B and neuron-specific
enolase (NSE) were collected from 141 emergency department patients within 4
hours of a suspected mild TBI (mTBI). The Rivermead Post-Concussion Symptoms
Questionnaire (RPQ) was administered via telephone 3 days postinjury. Patients
were assessed by a physician at 1 week (n = 113; 80%) and 6 weeks (n = 95; 67%)
postinjury. Neurocognitive and postural stability measures were also administered
at these follow-ups. RESULTS: Levels of S100B and NSE were found to be abnormally
elevated in 49% and 65% of patients with TBI, respectively. Sixty-eight percent
and 38% of the patients were considered impaired at 1 week and 6 weeks
postinjury, respectively. Stepwise logistic regression modeling identified
admission Galveston Orientation and Amnesia test score, S100B level, and RPQ
score at day 3 postinjury to be predictive of poor outcome at 1 week postinjury
(c-statistic 0.877); female gender, loss of consciousness, NSE level, and RPQ
score at day 3 postinjury were predictive of poor outcome at 6 weeks postinjury
(c-statistic 0.895). The discriminative power of the biomarkers alone was
limited. CONCLUSIONS: Biomarkers, in conjunction with other readily available
determinants of outcome assessed in the acute period after injury, add value in
the early prognostication of patients with mTBI. Our findings are consistent with
the notion that S100B and NSE point to biological mechanisms underlying poor
outcome after mTBI.
PMID- 22072009
TI - Aeromobile modular critical care, resuscitation, and surgical suites for
operational medicine.
PMID- 22072008
TI - A predeployment trauma team training course creates confidence in teamwork and
clinical skills: a post-Afghanistan deployment validation study of Canadian
Forces healthcare personnel.
AB - BACKGROUND: The 10-day Intensive Trauma Team Training Course (ITTTC) was
developed by the Canadian Forces (CFs) to teach teamwork and clinical trauma
skills to military healthcare personnel before deploying to Afghanistan. This
article attempts to validate the impact of the ITTTC by surveying participants
postdeployment. METHODS: A survey consisting of Likert-type multiple-choice
questions was created and sent to all previous ITTTC participants. The survey
asked respondents to rate their confidence in applying teamwork skills and
clinical skills learned in the ITTTC. It explored the relevancy of objectives and
participants' prior familiarity with the objectives. The impact of different
training modalities was also surveyed. RESULTS: The survey showed that on average
84.29% of participants were "confident" or "very confident" in applying teamwork
skills to their subsequent clinical experience and 52.10% were "confident" or
"very confident" in applying clinical knowledge and skills. On average 43.74% of
participants were "familiar" or "very familiar" with the clinical topics before
the course, indicating the importance of training these skills. Participants
found that clinical shadowing was significantly less valuable in training
clinical skills than either animal laboratory experience or experience in human
patient simulators; 68.57% respondents thought that ITTTC was "important" or
"very important" in their training. CONCLUSIONS: The ITTTC created lasting self
reported confidence in CFs healthcare personnel surveyed upon return from
Afghanistan. This validates the importance of the course for the training of CFs
healthcare personnel and supports the value of team training in other areas of
trauma and medicine.
PMID- 22072010
TI - Tranexamic acid autoinjector for prehospital care of noncompressible hemorrhage.
PMID- 22072011
TI - Highly conductive carbon nanotube buckypapers with improved doping stability via
conjugational cross-linking.
AB - Carbon nanotube (CNT) sheets or buckypapers have demonstrated promising
electrical conductivity and mechanical performance. However, their electrical
conductivity is still far below the requirements for engineering applications,
such as using as a substitute for copper mesh, which is currently used in
composite aircraft structures for lightning strike protection. In this study,
different CNT buckypapers were stretched to increase their alignment, and then
subjected to conjugational cross-linking via chemical functionalization. The
conjugationally cross-linked buckypapers (CCL-BPs) demonstrated higher electrical
conductivity of up to 6200 S cm( - 1), which is more than one order increase
compared to the pristine buckypapers. The CCL-BPs also showed excellent doping
stability in over 300 h in atmosphere and were resistant to degradation at
elevated temperatures. The tensile strength of the stretched CCL-BPs reached 220
MPa, which is about three times that of pristine buckypapers. We attribute these
property improvements to the effective and stable conjugational cross-links of
CNTs, which can simultaneously improve the electrical conductivity, doping
stability and mechanical properties. Specifically, the electrical conductivity
increase resulted from improving the CNT alignment and inter-tube electron
transport capability. The conjugational cross-links provide effective 3D
conductive paths to increase the mobility of electrons among individual
nanotubes. The stable covalent bonding also enhances the thermal stability and
load transfer. The significant electrical and mechanical property improvement
renders buckypaper a multifunctional material for various applications, such as
conducting composites, battery electrodes, capacitors, etc.
PMID- 22072012
TI - Increased secretion of Gas6 by smooth muscle cells in human atherosclerotic
carotid plaques.
AB - Vitamin K-dependent protein Gas6 (growth-arrest specific gene 6) plays a role in
vascular smooth muscle cell (VSMC) survival and migration, as well as in
endothelium and leukocyte activation, and could therefore be involved in
atherosclerosis. However, the study of mouse models has led to contradictory
results regarding the pro- or anti-atherogenic properties of Gas6, and relatively
few data are available in human pathophysiology. To better understand the
implication of Gas6 in human atherosclerosis, we studied Gas6 expression and
secretion in vitro in human VSMC, and analysed the effect of Gas6 on
inflammatory gene expression in these cells. We show that Gas6 secretion in VSMC
is strongly induced by the anti-inflammatory cytokine transforming growth factor
(TGF)beta, and that VSMC stimulation by recombinant Gas6 decreases the expression
of inflammatory genes tumour necrosis factor (TNF)alpha and intracellular
adhesion molecule (ICAM)-1. The study of Gas6 expression in human carotid
endarterectomy samples revealed that Gas6 is mainly expressed by VSMC at all
stages of human atherosclerosis, but is not detected in normal vessel wall.
Analysis of plaque secretomes showed that Gas6 secretion is markedly higher in
non-complicated plaques than in complicated plaques, and that TGFbeta secretion
pattern mirrors that of Gas6. We conclude that Gas6 is secreted in human
atherosclerotic plaques by VSMC following stimulation by TGFbeta, and that Gas6
secretion decreases with plaque complication. Therefore, we propose that Gas6
acts as a protective factor, in part by reducing the pro-inflammatory phenotype
of VSMC.
PMID- 22072013
TI - Rituximab abrogates joint destruction in rheumatoid arthritis by inhibiting
osteoclastogenesis.
AB - OBJECTIVES: To examine how rituximab may result in the inhibition of joint
destruction in rheumatoid arthritis (RA) patients. METHODS: Twenty-eight patients
with active RA were treated with rituximab. Radiographs of hands and feet before
and 1 year after therapy were assessed using the Sharp-van der Heijde score
(SHS). Expression of bone destruction markers was evaluated by
immunohistochemistry and immunofluorescence of synovial biopsies obtained before
and 16 weeks after the initiation of treatment. Serum levels of osteoprotegerin,
receptor activator of nuclear factor kappaB ligand (RANKL), osteocalcin and cross
linked N-telopeptides of type I collagen (NTx) were measured by ELISA before and
16 weeks post-treatment. RESULTS: After 1 year, the mean (SD) change in total SHS
was 1.4 (10.0). Sixteen weeks after treatment there was a decrease of 99% in
receptor activator of nuclear factor kappaB-positive osteoclast precursors
(p=0.02) and a decrease of 37% (p=0.016) in RANKL expression in the synovium and
a trend towards reduced synovial osteoprotegerin expression (25%, p=0.07). In
serum, both osteoprotegerin (20%, p=0.001) and RANKL (40%, p<0.0001) levels were
significantly reduced 16 weeks after treatment, but the osteoprotegerin/RANKL
ratio increased (157%, p=0.006). A trend was found towards an increase of
osteocalcin levels (p=0.053), while NTx concentrations did not change.
CONCLUSIONS: Rituximab treatment is associated with a decrease in synovial
osteoclast precursors and RANKL expression and an increase in the
osteoprotegerin/RANKL ratio in serum. These observations may partly explain the
protective effect of rituximab on the progression of joint destruction in RA.
PMID- 22072014
TI - Incidence of cancer in a nationwide population cohort of 7852 patients with
primary Sjogren's syndrome in Taiwan.
AB - OBJECTIVES: Patients with primary Sjogren's syndrome (pSS) are at a higher risk
of developing non-Hodgkin's lymphoma (NHL). However, little is known with regard
to the risk of developing cancers other than NHL. The authors aimed in this study
to compare the incidence of cancer in various sites among patients with pSS with
the general population of Taiwan. METHODS: The authors used National Health
Insurance claims data to establish a nationwide population cohort of 7852
patients with pSS from 2000 to 2008 who did not have cancer prior to diagnosis of
pSS. Incidence and standardised incidence ratios (SIRs) for cancer in various
sites were calculated. RESULTS: Among patients with pSS, 277 (2.9%) developed
cancer. The SIR for cancer was 1.04 (95% CI 0.91 to 1.18) among patients of all
ages with pSS and was 2.19 (95% CI 1.43 to 3.21) for patients aged 25-44 years.
Female patients with pSS had a higher risk of NHL (SIR 7.1, 95% CI 4.3 to 10.3),
multiple myeloma (SIR 6.1, 95% CI 2.0 to 14.2) and thyroid gland cancer (SIR 2.6,
95% CI 1.4 to 4.3) and a lower risk of colon cancer (SIR 0.22, 95% CI 0.05 to
0.65). In contrast, male patients with pSS were not at a higher risk of
developing cancer in particular sites. CONCLUSION: Patients with pSS, overall,
did not have higher risk of cancer, and only patients aged 25-44 years were at an
increased risk of cancer compared with their counterparts in the general
population. Cancer screening for patients with pSS, especially female patients,
should focus on NHL and multiple myeloma and thyroid gland cancer.
PMID- 22072015
TI - A tool to identify recent or present rheumatoid arthritis flare from both patient
and physician perspectives: the 'FLARE' instrument.
AB - INTRODUCTION: There is a lack of consensus about the definition of flare of
rheumatoid arthritis (RA) and a measurement tool. OBJECTIVES: To develop a self
administered tool integrating the perspectives of the patient and the
rheumatologist, enabling the detection of present or recent-past RA flare.
METHODS: The patient perspective was explored by semistructured individual
interviews of patients with RA. Two health psychologists conducted a content
analysis to extract items best describing flare from the interviews. The
physician's perspective was explored through a Delphi exercise conducted among a
panel of 13 rheumatologists. A comprehensive list of items produced in the first
round was reduced in a four-round Delphi process to select items cited by at
least 75% of the respondents. The identified elements were assembled in domains
each converted into a statement-to constitute the final self-administered Flare
Assessment in Rheumatoid Arthritis (FLARE) questionnaire. RESULTS: The content of
99 patient interviews was analysed, and 10 domains were identified: joint
swelling or pain, night pain, fatigue and different emotional consequences, as
well as analgesic intake. The Delphi process for physicians identified eight
domains related to objective RA symptoms and drug intake, of which only four were
common to domains for patients. Finally, 13 domains were retained in the FLARE
questionnaire, formulated as 13 statements with a Likert-scale response modality
of six answers ranging from 'absolutely true' to 'completely untrue'. CONCLUSION:
Two different methods, for patient and physician perspectives, were used to
develop the FLARE self-administered questionnaire, which can identify past or
present RA flare.
PMID- 22072016
TI - Leptin produced by joint white adipose tissue induces cartilage degradation via
upregulation and activation of matrix metalloproteinases.
AB - OBJECTIVES: To investigate the effect of leptin on cartilage destruction.
METHODS: Collagen release was assessed in bovine cartilage explant cultures,
while collagenolytic and gelatinolytic activities in culture supernatants were
determined by bioassay and gelatin zymography. The expression of matrix
metalloproteinases (MMP) was analysed by real-time RT-PCR. Signalling pathway
activation was studied by immunoblotting. Leptin levels in cultured
osteoarthritic joint infrapatellar fat pad or peri-enthesal deposit supernatants
were measured by immunoassay. RESULTS: Leptin, either alone or in synergy with IL
1, significantly induced collagen release from bovine cartilage by upregulating
collagenolytic and gelatinolytic activity. In chondrocytes, leptin induced MMP1
and MMP13 expression with a concomitant activation of STAT1, STAT3, STAT5, MAPK
(JNK, Erk, p38), Akt and NF-kappaB signalling pathways. Selective inhibitor
blockade of PI3K, p38, Erk and Akt pathways significantly reduced MMP1 and MMP13
expression in chondrocytes, and reduced cartilage collagen release induced by
leptin or leptin plus IL-1. JNK inhibition had no effect on leptin-induced MMP13
expression or leptin plus IL-1-induced cartilage collagen release. Conditioned
media from cultured white adipose tissue (WAT) from osteoarthritis knee joint fat
pads contained leptin, induced cartilage collagen release and increased MMP1 and
MMP13 expression in chondrocytes; the latter being partly blocked with an anti
leptin antibody. CONCLUSIONS: Leptin acts as a pro-inflammatory adipokine with a
catabolic role on cartilage metabolism via the upregulation of proteolytic
enzymes and acts synergistically with other pro-inflammatory stimuli. This
suggests that the infrapatellar fat pad and other WAT in arthritic joints are
local producers of leptin, which may contribute to the inflammatory and
degenerative processes in cartilage catabolism, providing a mechanistic link
between obesity and osteoarthritis.
PMID- 22072017
TI - Articular inflammation is controlled by myeloid cell-derived interleukin 1
receptor antagonist during the acute phase of arthritis in mice.
AB - OBJECTIVES: To define the cell type (myeloid vs other cells) specific effect of
interleukin 1 (IL-1) receptor antagonist (IL-1Ra) deficiency on the acute
inflammatory phase of arthritis. METHODS: Arthritis was induced by K/BxN serum
transfer in wild-type (WT), IL-1Ra-deficient (IL-1Ra(-/-)) and conditional
knockout mice. In the latter, IL-1Ra production was specifically targeted in
myeloid cells (IL-1Ra(DeltaM)) or in both hepatocytes and myeloid cells (IL
1Ra(DeltaH+M)). Arthritis severity was clinically evaluated and ankle sections
were scored for synovial inflammation and cartilage erosion. Quantitative RT-PCR,
western blot and immunohistochemical analyses measured expression, localisation
and cellular sources of the different IL-1Ra isoforms in arthritic joints.
RESULTS: Total and myeloid cell-specific IL-1Ra deficiency was associated with
increased arthritis severity, although disease incidence was similar to that of
WT mice. Increased clinical scores were associated with exacerbated synovial
inflammation. All IL-1Ra isoforms, except for intracellular (ic)IL-1Ra2, were
expressed in arthritic joints of WT mice. In contrast, production of secreted
(s)IL-1Ra and icIL-1Ra3 isoforms was markedly decreased in arthritic joints of
both IL-1Ra(DeltaM) and IL-1Ra(DeltaH+M) mice. Immunohistochemical and western
blot analyses suggested that the icIL-1Ra1 isoform is produced primarily by
synovial fibroblasts. CONCLUSION: Myeloid cell-derived IL-1Ra, including both sIL
1Ra and icIL-1Ra3 isoforms, controls articular inflammation during the acute
phase of K/BxN serum transfer-induced arthritis.
PMID- 22072018
TI - Beginning at the bottom: evidence-based care of diaper dermatitis.
AB - Diaper dermatitis (DD), an acute inflammatory reaction of skin in the perineal
area, is an extremely common pediatric condition. Nurses' practice of preventing
and treating DD is inconsistent and often not evidence-based. In addition, a 2008
Skin Injury Prevalence Study at our hospital revealed that 24% of inpatients had
DD. The authors developed a project to determine a consistent and evidence-based
approach to DD prevention and treatment including the availability of products. A
complete literature review was conducted in addition to benchmarking with other
pediatric hospitals, consultation with topic experts, and evaluation of current
nursing practice prior to revising the existing perineal skin care nursing
standard. The evidence supports frequent diaper changes, use of super absorbent
diapers, and protection of perineal skin with a product containing petrolatum
and/or zinc oxide. As supported by the literature, we revised the standard to
include improvements in practice as well as product updates for prevention and
treatment. Hospital-wide implementation of the revised standard included training
"Skin Care Champions" to educate staff and support practice improvements. Ongoing
education and monitoring by the Skin Care Champions is necessary to further
improve the prevention and treatment of DD for our patients.
PMID- 22072019
TI - Women's perceptions of centeringpregnancy: a focus group study.
AB - OBJECTIVES: CenteringPregnancy, a model of group prenatal care, provides
healthcare assessment, education, and support to women. The current study was
designed to determine women's perceptions of the CenteringPregnancy program.
METHODS: Twenty-one women participating in CenteringPregnancy, as part of a
larger study, shared their thoughts related to the strengths and weaknesses of
their healthcare, thoughts on improvement, and how the care impacted their health
and health behaviors. A thematic and iterative analysis process, assisted by
Ethnograph 6.0, allowed for the emersion of important themes that were validated
in a member check process. RESULTS: The focus group participants provided rich
insights into their prenatal experiences in CenteringPregnancy. Four substantive
themes emerged from the data: It's about respect, Knowledge is Power, I'm a
better mother, and Supporting each other. CONCLUSIONS: CenteringPregnancy was
well-received by urban, low-income women during their pregnancy and may have
value with select populations. Themes, exemplar quotes, and participant
observations may assist others interested in implementing the CenteringPregnancy
model of care.
PMID- 22072020
TI - Time-dependency, predictors and clinical impact of infarct transmurality assessed
by magnetic resonance imaging in patients with ST-elevation myocardial infarction
reperfused by primary coronary percutaneous intervention.
AB - Previous studies analyzing the relation between time-to-reperfusion, infarct
size, microvascular obstruction (MO) and infarct transmurality in patients with
ST-elevation myocardial infarction (STEMI) reperfused by primary percutaneous
coronary intervention (PCI) reported inconsistent results. Furthermore, it
remains unclear, if transmural infarction is associated with adverse clinical
outcome. The present study included STEMI patients reperfused by primary PCI (n =
322) within 720 min after symptom-onset undergoing contrast-enhanced magnetic
resonance imaging (CMR) at a median of 3 days after the index event
[interquartile range (IQR) 2-4]. Patients were subcategorized into tertiles
according to time-to-reperfusion. Infarct size and MO were assessed approximately
15 min after gadolinium-injection. Infarct transmurality was assessed by a score
with late-enhancement grading as <25, 25-50, 51-75 and >75% transmurality
analyzing all 17 left ventricular segments. Clinical follow-up was performed
after 20 months (IQR 13;29). The primary endpoint was defined as a composite of
death and congestive heart failure. The median time-to-reperfusion was 230 min
(IQR 153;390). Infarct size and MO did not increase significantly with longer
time-to-reperfusion (p = 0.16 and p = 0.44, respectively). In contrast to infarct
size and MO, the infarct transmurality score progressed significantly with
increasing ischemic time (p < 0.001). In multivariable logistic regression
analysis, time-to-reperfusion was identified as an independent predictor for
transmural infarction (p = 0.03). However, transmural infarction was not
predictive of the primary composite clinical endpoint (p = 0.22). In conclusion,
in STEMI patients reperfused by primary PCI, time-to-reperfusion was an
independent predictor for transmural infarction but not for infarct size and MO.
However, transmural infarction was not predictive of death and congestive heart
failure.
PMID- 22072021
TI - Completion of and early response to chemoradiation among human immunodeficiency
virus (HIV)-positive and HIV-negative patients with locally advanced cervical
carcinoma in South Africa.
AB - BACKGROUND: Very few published studies have dealt with the management of locally
advanced cervix carcinoma among human immunodeficiency virus (HIV)-positive
patients. The objective of this study was to compare the clinical
characteristics, radiation and chemotherapy treatments, and outcomes in a cohort
of HIV-positive and HIV-negative women with cervical cancer. METHODS: The authors
reviewed the charts of 59 HIV-positive patients and 324 HIV-negative patients who
had stage IB1 to IIIB cervical carcinoma and who received radiation therapy.
Demographic and clinical characteristics were compared at the time of diagnosis;
and radiation doses, chemotherapy cycles, and responses were compared at the time
of brachytherapy and at 6-week follow-up. Logistic regression models of response
to treatment were developed. RESULTS: Forty-nine HIV-positive patients (88.1%)
but only 213 HIV-negative patients (65.7%) presented with stage IIIB disease (P =
.009). Forty-seven HIV-positive patients (79.7%) and 291 HIV-negative patients
(89.8%) completed the equivalent dose of 68 Grays (Gy) external-beam radiation
and high-dose-rate brachytherapy. (P = .03). Of the 333 patients who commenced
concurrent chemotherapy, 26 HIV-positive patients (53.1%) and 212 HIV-negative
patients (74.6%) completed >=4 weekly cycles of platinum-based treatment. Follow
up was censured at 6 weeks. In models that included age, disease stage, HIV
status, and treatment, a poor response at 6 weeks was associated only with stage
IIIB disease (odds ratio, 2.39; 95% confidence interval, 1.45-3.96) and receiving
an equivalent radiation dose in 2-Gy fractions of <68 Gy (OR, 3.14; 95% CI, 1.24
7.94). CONCLUSIONS: HIV-positive patients fared worse than HIV-negative patients
because of later presentation and a decreased likelihood of completing treatment.
The current findings emphasize the importance of completing irradiation therapy.
Further studies will address the association of these variables with survival.
PMID- 22072022
TI - Coexisting systemic lupus erythematosus and ankylosing spondylitis: a case report
and review of the literature.
PMID- 22072023
TI - Cystatin C is associated with inflammation but not atherosclerosis in systemic
lupus erythematosus.
AB - BACKGROUND: Even mild renal impairment is associated with increased
atherosclerosis and cardiovascular mortality. Cystatin C, a novel measure of
renal function, is more sensitive than conventional creatinine-based measures for
the detection of subtle renal impairment. Increased cystatin concentrations are
also associated with cardiovascular risk, independently of conventional measures
of renal function. This study examined the hypothesis that cystatin C is elevated
in systemic lupus erythematosus (SLE) and is associated with coronary
atherosclerosis. METHODS: Serum cystatin C, creatinine, tumor necrosis factor
(TNF)-alpha, interleukin (IL)-6, coronary artery calcium score (CACS), Framingham
risk score (FRS), Modified Diet in Renal Disease estimated glomerular filtration
rate (MDRD-eGFR), and other clinical parameters were measured in 118 patients
with SLE and 83 control subjects. The independent association between
concentrations of cystatin C and SLE was evaluated using multivariable linear
regression models, and the relationship between renal measures and coronary
calcium was assessed with multivariable proportional odds logistic regression
models. RESULTS: Cystatin C, but not other measures of renal function, was
significantly higher in patients with SLE than in controls (1.09 [interquartile
range, IQR: 0.85-1.28] mg/l vs. 0.89 [IQR: 0.76-0.99] mg/l; p < 0.001 after
adjustment for age, race, sex and MDRD-eGFR). Cystatin C was significantly
associated with SLICC (p = 0.04), erythrocyte sedimentation rate (ESR) (p =
0.02), TNF-alpha (p = 0.008) and IL-6 (p = 0.01) after adjustment for age, race,
and sex. Cystatin C was not significantly correlated with coronary calcium score
in SLE (rho=0.096, p = 0.31) and the association remained non-significant after
adjustment for age, race, sex, and Framingham risk score (p = 0.99). CONCLUSIONS:
Cystatin C was higher in patients with SLE than in control subjects even after
adjustment for conventional measures of renal function. Cystatin C was
significantly correlated with several markers of inflammation in SLE but was not
associated with coronary atherosclerosis. Subtle renal dysfunction does not
appear to be directly associated with accelerated atherosclerosis in SLE.
PMID- 22072024
TI - Current state of evidence on 'off-label' therapeutic options for systemic lupus
erythematosus, including biological immunosuppressive agents, in Germany, Austria
and Switzerland--a consensus report.
AB - Systemic lupus erythematosus (SLE) can be a severe and potentially life
threatening disease that often represents a therapeutic challenge because of its
heterogeneous organ manifestations. Only glucocorticoids, chloroquine and
hydroxychloroquine, azathioprine, cyclophosphamide and very recently belimumab
have been approved for SLE therapy in Germany, Austria and Switzerland.
Dependence on glucocorticoids and resistance to the approved therapeutic agents,
as well as substantial toxicity, are frequent. Therefore, treatment
considerations will include 'off-label' use of medication approved for other
indications. In this consensus approach, an effort has been undertaken to
delineate the limits of the current evidence on therapeutic options for SLE organ
disease, and to agree on common practice. This has been based on the best
available evidence obtained by a rigorous literature review and the authors' own
experience with available drugs derived under very similar health care
conditions. Preparation of this consensus document included an initial meeting to
agree upon the core agenda, a systematic literature review with subsequent
formulation of a consensus and determination of the evidence level followed by
collecting the level of agreement from the panel members. In addition to
overarching principles, the panel have focused on the treatment of major SLE
organ manifestations (lupus nephritis, arthritis, lung disease, neuropsychiatric
and haematological manifestations, antiphospholipid syndrome and serositis). This
consensus report is intended to support clinicians involved in the care of
patients with difficult courses of SLE not responding to standard therapies by
providing up-to-date information on the best available evidence.
PMID- 22072025
TI - Decreased serum levels of TGF-beta1 are associated with renal damage in female
patients with systemic lupus erythematosus.
AB - INTRODUCTION: Transforming growth factor beta1 (TGF-beta1) has a large role in
the control of autoimmunity. TGF-beta1 production by lymphocytes is reduced in
systemic lupus erythematosus (SLE). Decreased levels of TGF-beta1 might associate
to disease susceptibility, activity and organ damage in SLE. However, the
correlation between TGF-beta1 levels and severity of renal damage in SLE has not
been examined. METHODS: The present study was undertaken to assess the serum
levels of total and active TGF-beta1 in 150 female patients with SLE and 31
healthy women. Simple and multiple regression analyses between TGF-beta1 levels
and the diseases-related variables were performed in patients with SLE. RESULTS:
Serum levels of both total and active TGF-beta1 were significantly reduced in
patients with SLE compared with levels in healthy controls (p < 0.01). Total TGF
beta1 levels correlated positively with white blood cell, platelet counts,
calculated glomerular filtration rate (GFR), and active TGF-beta1 level, and
inversely with erythrocyte sedimentation rate (ESR). In multiple regression
analysis, ESR and platelet counts remained determinants of total TGF-beta1. Total
TGF-beta1 levels were lower in patients with high disease activity (SLEDAI > 10)
and severe organ damage (SLICC > 3). Significantly lower levels of total TGF
beta1 were found in patients with severe renal damage, i.e. lower TGF-beta1 in
patients with 24-h urine protein over 3.5 g than in those with below 3.5 g (p <
0.05); lower TGF-beta1 in patients with GFR less than 50 ml/min than in those
with over 50 ml/min (p < 0.05). In contrast, active TGF-beta1 only correlated
with platelet counts. There was no association between renal damage and the
levels of active TGF-beta1. CONCLUSION: This study demonstrates significantly
reduced serum levels of both total and active TGF-beta1 in women with SLE
compared with healthy women. Total TGF-beta1 levels are correlated negatively
with ESR and positively with blood platelets. Total TGF-beta1 levels were lower
in SLE patients with high disease activity and severe organ damage. Importantly,
the severity of the renal damage was associated with decreased serum levels of
total TGF-beta1, suggesting that TGF-beta1 might be involved in pathogenesis of
renal damage caused by lupus nephritis.
PMID- 22072026
TI - BPH: perioperative advantages of laser treatment.
PMID- 22072027
TI - Prostate cancer: who will win the CRPC drug race?
PMID- 22072032
TI - Tyrosine nitration affects thymidylate synthase properties.
AB - Highly purified preparations of thymidylate synthase, isolated from calf thymus,
and L1210 parental and FdUrd-resistant cells, were found to be nitrated, as
indicated by a specific reaction with anti-nitro-tyrosine antibodies, suggesting
this modification to appear endogenously in normal and tumor tissues. Each human,
mouse and Ceanorhabditis elegans recombinant TS preparation, incubated in vitro
in the presence of NaHCO(3), NaNO(2) and H(2)O(2) at pH 7.5, underwent tyrosine
nitration, leading to a V(max)(app) 2-fold lower following nitration of 1 (with
human or C. elegans TS) or 2 (with mouse TS) tyrosine residues per monomer.
Enzyme interactions with dUMP, meTHF or 5-fluoro-dUMP were not distinctly
influenced. Nitration under the same conditions of model tripeptides of a general
formula H(2)N-Gly-X-Gly-COOH (X = Phe, Tyr, Trp, Lys, Arg, His, Ser, Thr, Cys,
Gly), monitored by NMR spectroscopy, showed formation of nitro-species only for H
Gly-Tyr-Gly-OH and H-Gly-Phe-Gly-OH peptides, the chemical shifts for nitrated H
Gly-Tyr-Gly-OH peptide being in a very good agreement with the strongest peak
found in (15)N-(1)H HMBC spectrum of nitrated protein. MS analysis of nitrated
human and C. elegans proteins revealed several thymidylate synthase-derived
peptides containing nitro-tyrosine (at positions 33, 65, 135, 213, 230, 258 and
301 in the human enzyme) and oxidized cysteine (human protein Cys(210), with
catalytically critical Cys(195) remaining apparently unmodified) residues.
PMID- 22072033
TI - How to introduce virtual microscopy (VM) in routine diagnostic pathology:
constraints, ideas, and solutions.
AB - CONTEXT: Virtual microscopy which is the diagnostic work with digitized
microscopic images in tissue - based diagnosis is in its childhood in being
implemented in routine diagnosis. Until today, only a few pathology institutions
take use of this new technology, although it is available since several years.
Why? DESIGN: Virtual microscopy requires a new workflow organisation in the
pathologist's diagnostic procedure. At a first view, the laboratory workflow
seems to remain untouched to a high degree. However, the used laboratory
information system (LIS), which is commonly built in a hierarchic order, has to
be adjusted at its highest levels, i.e., diagnosis statement, quality evaluation,
submission to the clinician (hospital information system), and feedback to the
laboratory. Therefore, the laboratory's workflow is involved at all levels too,
and the LIS has to be changed or adjusted to the requirements of VM. VM systems
are usually equipped with a viewer that mimics the viewing of a conventional
microscope, and do not offer access to sensitive nodes of the LIS. Similar, LIS
are usually closed and fixed systems because of data security and certification
demands. Thus, VM systems have to possess communication access at different LIS
levels together with steering commands for the LIS in close association with the
diagnostic quality and efficiency (for example demands for additional stains,
immunohistochemical or quantitative image methods, etc.), as well as expert
consultation, or panel discussion. OUTCOME: An implementation of an open and
active LIS - VM management system could significantly promote the introduction of
VM into routine diagnostic surgical pathology. The management system has to
coordinate and translate the demands of VM to LIS (and vice versa), and to assure
the communication with HIS. Mandatory features include streaming of the
laboratory workflow, feedback commands to LIS, as well as regulation of temporary
priority levels. CONCLUSION: A successful implementation of VM systems in routine
tissue-based diagnosis requires communicative management systems as long as VM is
considered to be a "stand alone system" that just mimics a conventional
microscope.
PMID- 22072034
TI - Injury Free Coalition Annual Supplement: editor's foreword.
PMID- 22072035
TI - Welcome to the proceedings of the 2010 Annual Meeting of the Injury Free
Coalition for Kids.
PMID- 22072036
TI - On the road with injury prevention--an analysis of the efficacy of a mobile
injury prevention exhibit.
AB - BACKGROUND: To assess the effectiveness of a mobile injury prevention vehicle
(mobile safety street [MSS]) with a hands-on curriculum on instruction and
retention of safety knowledge compared with traditional classroom safety
curriculum among grade 5 elementary school children. METHODS: Grade 5 students (n
= 1,692) were asked to participate in the study as either the intervention group
(MSS experience) or the comparison group (traditional classroom safety
curriculum). Each student in the intervention group was asked to complete a
series of three surveys. The first survey was given before the MSS visit (Fall
2009), the second immediately following the MSS visit (Fall 2009), and a third
given 6 months after the MSS visit (Spring 2010) to measure knowledge retention.
Students in the comparison group were asked to complete two surveys. The first
survey was given at the same time as the intervention group (Fall 2009) and the
second was given after the completion of the traditional classroom safety
curriculum (Spring 2010). RESULTS: Students scored on average 5.67 of 10 (5.56
5.80) before any safety instruction was given. After MSS instruction, mean scores
showed a significant increase to 7.43 of 10 (7.16-7.71). Such increase was still
measurable 6 months after the intervention 7.34 (7.04-7.66). The comparison group
saw a significant increase in their mean scores 6.48 (6.10-6.89), but the
increase was much smaller than the intervention group. CONCLUSIONS: Community
based injury prevention programs are essential to reducing preventable injury and
deaths from trauma. This study demonstrates that a hands-on program is more
effective than traditional methods for providing safety knowledge.
PMID- 22072037
TI - Targeting pediatric pedestrian injury prevention efforts: teasing the information
through spatial analysis.
AB - BACKGROUND: Pediatric pedestrian injuries remain a major cause of childhood
death, hospitalization, and disability. To target injury prevention efforts, it
is imperative to identify those children at risk. Racial disparities have been
noted in the rates of pediatric pedestrian injury and death. Children from low
income families living in dense, urban residential neighborhoods have a higher
risk of sustaining pedestrian injury. Geographic information systems (GIS)
analysis of associated community factors such as child population density and
median income may offer insights into prevention. METHODS: Using trauma registry
E-codes for pedestrian motor vehicle crashes, children younger than 16 years were
identified, who received acute care and were hospitalized at the University of
Chicago Medical Center, a Level I pediatric trauma center, after being struck by
a motor vehicle from 2002 to 2009. By retrospective chart review and review of
the Emergency Medical Services run sheets, demographic data and details of the
crash site were collected. Crash sites were aggregated on a block by block basis.
A "hot spot" analysis was performed to localize clusters of injury events. Using
Gi* statistical method, spatial clusters were identified at different confidence
intervals using a fixed distance band of 400 m (~ 1/4 mile). Maps were generated
using GIS with 2000 census data to evaluate race, employment, income, density of
public and private schools, and density of children living in the neighborhoods
surrounding our medical center where crash sites were identified. Spatial
correlation is used to identify statistically significant locations. RESULTS:
There were 3,521 children admitted to the University of Chicago Medical Center
for traumatic injuries from 2002 to 2009; 27.7% (974) of these children sustained
injuries in pedestrian motor vehicle injuries. From 2002 to 2009, there were a
total of 106 traumatic deaths, of which 29 (27.4%) were due to pedestrian motor
vehicle crashes. Pediatric pedestrian motor vehicle crash sites occurred
predominantly within low-income, predominantly African-American neighborhoods. A
lower prevalence of crash sites was observed in the predominantly higher income,
non-African-American neighborhoods. CONCLUSIONS: Spatial analysis using GIS
identified associations between pediatric pedestrian motor vehicle crash sites
and the neighborhoods served by our pediatric trauma center. Pediatric pedestrian
motor vehicle crash sites occurred predominantly within low-income, African
American neighborhoods. The disparity in prevalence of crash sites is somewhat
attributable to the lower density of children living in the predominantly higher
income, non-African-American neighborhoods, including the community immediately
around our hospital. Traffic volume patterns, as a denominator of these injury
events, remain to be studied.
PMID- 22072038
TI - Restraint use law enforcement intervention in Latino communities.
AB - BACKGROUND: Motor vehicle crashes are the leading cause of death for U.S. Latinos
aged 1 to 35 years. Restraint use is an effective means of prevention of motor
vehicle crash injury. Effective interventions to raise restraint use include the
following: legislation, law enforcement, education, and equipment distribution.
The effects of law enforcement interventions in Latino immigrant communities are
understudied. We measured the community-level effect of a combined intervention
that included warnings and citations phase enforcement in Latino communities.
METHODS: We designed and implemented in two of three Latino-majority communities
a multicomponent intervention consisting of a community awareness campaign,
restraint use education with equipment distribution, and a two-staged law
enforcement intervention. Restraint use observations were conducted in all three
communities at baseline, after the warnings phase and again after the citations
phase of the intervention were completed. RESULTS: The combined intervention of
community awareness, education, child passenger restraint distribution, and law
enforcement focused on educational traffic stops with incentives and warnings was
associated with a significant increase in both driver and child passenger
restraint use in one intervention community, but only driver restraint increased
to a level of significance in the other intervention community; significant
increase was also noted among nonintervention drivers. The citations phase of the
intervention did not result in a significant increase in restraint use and was
complicated by interruptions due to unlicensed drivers. CONCLUSION: The combined
effort of community awareness, education, equipment distribution and law
enforcement intervention that included incentives and warnings may be effective
at increasing seat belt use in Latino communities without the need for citations.
PMID- 22072039
TI - Under the influence with a child in the car: implications for child safety and
caregiver intervention.
AB - BACKGROUND: Injury is the leading cause of death for those aged 1 year to 44
years in the United States, with motor vehicle collisions (MVCs) the leading
cause of injury-related deaths. Little data exist on the relationship between
caregiver alcohol and drug use at the time of MVC and child passenger outcomes.
We examined the relationship between caregiver substance use in MVCs and a number
of demographic, crash severity, and medical outcomes for caregivers and children.
METHODS: We identified family groups treated in the emergency department of a
regional Level II trauma center after an MVC in a 1-year period from July 1,
2005, to June 30, 2006. The distribution and means of characteristics for
substance and nonsubstance users were compared using chi analysis and Student's t
tests, respectively. RESULTS: One in 10 vehicles contained an intoxicated
caregiver at the time of MVC. In 363 identified caregivers, intoxication was
associated with being male (p < 0.001), lack of safety device use (p = 0.003),
rollover (p = 0.008), and ejection (p = 0.016). In the 278 family groups,
intoxicated caregivers were related to child ejection (p = 0.009), the need for
child hospital admission (p < 0.001), and driver intoxication was related to
child lack of restraint (p = 0.045). CONCLUSION: These findings suggest a
substantial number of child MVC victims arrive at the emergency room after riding
with an intoxicated caregiver. Findings support the need for prevention programs
focusing on substance use and driving for male caregivers, and further
investigation on the need for screening and intervention for caregivers' risky
alcohol and drug use after a child's MVC.
PMID- 22072040
TI - Impact of Connecticut's graduated driver licensing system on teenage motor
vehicle crash rates.
AB - BACKGROUND: In response to high rates of teen motor vehicle crashes (MVCs) many
states have enacted graduated driver licensing (GDL) systems. GDL delays full
licensure and allows beginners to obtain experience under lower risk conditions.
The purpose of this study is to evaluate the impact over the past 10 years to
determine its effect on teen MVCs. METHODS: Connecticut MVC data from 1999 to
2008 were analyzed. Percent change (1999 vs. 2008) in MVC rates per 10,000
registered drivers was calculated by age, gender, during the night restriction
(11:00 pm and 5:00 am), and MVCs with passengers. Linear regression analysis
estimated the decrease of MVC rates each year. RESULTS: The MVC rate decreased by
40% for 16-year-old and 30% for 17-year-old drivers. In comparison, rates among
18-year-old, 19-year-old, 25- to 29-year-old, and 30- to 59-year-old drivers were
reduced by 16%, 7%, 8%, and 11%, respectively. The MVC rate for 20- to 24-year
old drivers increased by 1%. During nighttime restricted driving times, MVC rates
decreased by 54% among 16-year-old and 49% among 17-year-old drivers. The MVC
rate with passengers decreased by 65% for 16-year-old and 53% for 17-year-old
drivers. In comparison, rates of nighttime and with passenger MVCs among older
drivers were significantly less. CONCLUSIONS: Implementation of Connecticut's GDL
system has resulted in significant reductions in MVC rates among novice drivers.
This analysis provides a method for other states to examine the impact of their
GDL system.
PMID- 22072041
TI - "Non-bite dog-related" injuries: an overlooked injury mechanism in the pediatric
population.
AB - BACKGROUND: Each year, approximately 4.7 million Americans sustain dog bites, the
majority of which occur in children. In response to this alarming trend, injury
prevention programs across the country have focused efforts on preventing dog
bites in children. However, little attention has been given to non-bite dog
related injuries, and to date, no data have been presented on this type of injury
in the western literature. METHODS: After Institutional Review Board approval
(IRB No. 07100185) was obtained, data from the trauma registry for all children
(ages, 0-20 years) admitted to our Level I pediatric trauma center were evaluated
from 2001 to 2007. Information regarding dog-related injuries was obtained. Data
were divided into injuries related to bites and non-bites. Demographics, injury
pattern, and outcome were evaluated. Descriptive statistics, Student's t test,
and Fisher's exact/chi analyses were preformed. RESULTS: Over the 6-year period
reviewed, 191 (2%) children were admitted to the Benedum Trauma Program for dog
related injuries. Thirty-four (18%) children sustained non-bite-related injuries
while the remainder sustained bite/scratch injuries. Twenty-six (76%) of the
children sustained injuries directly due to contact with dogs; four (12%) of them
were injured after falling while being carried by adults who either tripped over
a dog or were pushed by a dog. The remaining four (12%) children sustained
injuries while colliding with dogs while on motorized and/or nonmotorized
vehicles. Abrasions/lacerations and head injury occurred most frequently,
followed by extremity fractures, particularly of the femur. Children injured by
non-bite-related mechanisms were more severely injured than those sustained a
bite, although the Injury Severity Score in both groups was low. CONCLUSION: Dog
bites have been recognized around the world as a substantial public health
problem particularly in children. In our experience, we have seen that non-bite
related injuries should not be ignored. The injuries seen in this subset of
children are the result of being struck or pushed, resulting in multiple,
potentially severe injuries. These data underscore the unpredictable nature of
animals and the need for adult supervision when animals and children interact.
PMID- 22072042
TI - Behaviors of young children around microwave ovens.
AB - BACKGROUND: Scald burn injuries are the leading cause of burn-related emergency
room visits and hospitalizations for young children. A portion of these injuries
occur when children are removing items from microwave ovens. This study assessed
the ability of typically developing children aged 15 months to 5 years to
operate, open, and remove the contents from a microwave oven. METHODS: The Denver
Developmental Screening Test II was administered to confirm typical development
of the 40 subjects recruited. All children recruited and enrolled in this study
showed no developmental delays in any domain in the Denver Developmental
Screening Test II. Children were observed for the ability to open both a push and
pull microwave oven door, to start the microwave oven, and to remove a cup from
the microwave oven. RESULTS: All children aged 4 years were able to open the
microwaves, turn on the microwave, and remove the contents. Of the children aged
3 years, 87.5% were able to perform all study tasks. For children aged 2 years,
90% were able to open both microwaves, turn on the microwave, and remove the
contents. In this study, children as young as 17 months could start a microwave
oven, open the door, and remove the contents putting them at significant risk for
scald burn injury. CONCLUSIONS: Prevention efforts to improve supervision and
caregiver education have not lead to a significant reduction in scald injuries in
young children. A redesign of microwave ovens might prevent young children from
being able to open them thereby reducing risk of scald injury by this mechanism.
PMID- 22072043
TI - Goods for Guns--the use of a gun buyback as an injury prevention/community
education tool.
AB - BACKGROUND: US children aged between 5 years and 14 years have a rate of gun
related homicide 17 times higher and a rate of gun-related suicide and
unintentional firearm injury 10 times higher than other developed countries. Gun
buyback programs have been criticized as ineffective interventions in decreasing
violence. The Injury Free Coalition for Kids-Worcester (IFCK-W) Goods for Guns
buyback is a multipronged approach to address these concerns and to reduce the
number of firearms in the community. METHODS: The IFCK-W buyback program is
funded by corporate sponsors, grants, and individual donations. Citizens are
instructed to transport guns, ammunition, and weapons safely to police
headquarters on two Saturdays in December. Participants are guaranteed anonymity
by the District Attorney's office and receive gift certificates for operable
guns. Trained volunteers administer an anonymous survey to willing participants.
Individuals who disclose having unsafely stored guns remaining at home receive
educational counseling and trigger locks. Guns and ammunition are destroyed at a
later time in a gun crushing ceremony. RESULTS: Since 2002, 1,861 guns (444
rifle/shotgun, 738 pistol/revolver, and 679 automatic/semiautomatic) have been
collected at a cost of $99,250 (average, $53/gun). Seven hundred ten people have
surrendered firearms, 534 surveys have been administered, and ~ 75 trigger locks
have been distributed per year. CONCLUSIONS: IFCK-W Goods for Guns is a
relatively inexpensive injury prevention model program that removes unwanted
firearms from homes, raises community awareness about gun safety, and provides
high-risk individuals with trigger locks and educational counseling.
PMID- 22072044
TI - Epidemiology of bone fracture across the age span in blacks and whites.
AB - BACKGROUND: Gender and racial disparities in injury mortality have been well
established, but less is known regarding differences in fracture-related
hospitalizations across the age span. METHODS: Cross-sectional analysis of annual
incident fracture hospital admissions used statewide acute care hospital
discharge data (Statewide Program and Research Cooperative System) for non
Hispanic White (n = 138,763) and non-Hispanic Black (n = 19,588) residents of New
York State between 2000 and 2002. US census data with intercensal estimates were
used to ascertain the population at risk. Gender- and race-specific incident
fracture was calculated in 5-year age intervals. The chi test was used to analyze
categorical variables. RESULTS: Mechanisms of injury vary by race and gender in
their relative contribution to injury-related fractures across the age span.
Black males exhibited higher fracture incidence until approximately age 62, while
incidence in women diverged around age 45. Total motor vehicle traffic-related
fracture hospitalization is bimodal in Whites but not in Blacks. Over the life
span, all groups exhibited bimodal pedestrian fractures with pedestrian fractures
accounting for 8.8% and 2.5% of all fractures in Blacks and Whites, respectively.
Racial disparities were present from preschool through age 70. Violence-related
fractures were 10 times higher in Blacks, accounting for 18.2% of
hospitalizations. Black males exhibit higher fracture incidence due to violence
by age 5 and higher gun violence by age 10; both remain elevated through age 75.
CONCLUSIONS: Despite historical studies demonstrating higher bone density in
Blacks, this study found racial disparities with increased fracture risk in both
Black children and adults across most nonfall-related injury mechanisms examined.
PMID- 22072045
TI - The pediatric hospital incident command system: an innovative approach to
hospital emergency management.
PMID- 22072046
TI - Comparative study of polysaccharides from reproductive and sterile tissues of
five brown seaweeds.
AB - Sterile and reproductive tissues of five brown algae (Sargassum pallidum,
Silvetia babingtonii, Fucus evanescens, Saccharina japonica, and Alaria
ochotensis) from Russian Far East seas were compared for the content and
monosaccharide composition of fucoidans as well as the content of laminarans and
alginic acids. It was proved that reproduction has an apparent effect on fucoidan
content and its monosaccharide composition. Fucoidan content in fertile tissues
was shown to be 1.3-1.5 times as high as in sterile ones. Based on the present
and previously reported data, we believe that fucoidan accumulation during the
development of reproductive structure is a general trend for brown seaweeds.
Reproduction also caused changes in the monosaccharide composition. As a result,
fertile plants synthesized fucoidan with less heterogeneous monosaccharide
composition in comparison with sterile ones. Structural changes of this
polysaccharide are species-specific and perhaps depend on the type of the
synthesized polysaccharide. The fertile plants of S. babingtonii yielded the
highest fucoidan content [25% dry weight (dw)] among the tested species, whereas
the maximum alginic acid content was found for the sterile tissues of S. japonica
up to 43% dw. There was no general trend in alginic acid content variation during
sporulation. The alginic acid content was much higher in the sterile tissues of
S. japonica in comparison with the fertile ones. On the contrary, the fertile
tissues of A. ochotensis contained more alginic acid than the sterile ones.
PMID- 22072047
TI - Molecular characterization of a nonfibrillar collagen from the marine sponge
Chondrosia reniformis Nardo 1847 and positive effects of soluble silicates on its
expression.
AB - We report here the complete cDNA sequence of a nonfibrillar collagen (COLch)
isolated from the marine sponge Chondrosia reniformis, Nardo 1847 using a PCR
approach. COLch cDNA consists of 2,563 nucleotides and includes a 5' untranslated
region (UTR) of 136 nucleotides, a 3' UTR of 198 nucleotides, and an open reading
frame encoding for a protein of 743 amino acids with an estimated M (r) of 72.12
kDa. The phylogenetic analysis on the deduced amino acid sequence of C-terminal
end shows that the isolated sequence belongs to the short-chain spongin-like
collagen subfamily, a nonfibrillar group of invertebrate collagens similar to
type IV collagen. In situ hybridization analysis shows higher expression of COLch
mRNA in the cortical part than in the inner part of the sponge. Therefore, COLch
seems to be involved in the formation of C. reniformis ectosome, where it could
play a key role in the attachment to the rocky substrata and in the selective
sediment incorporation typical of these organisms. qPCR analysis of COLch mRNA
level, performed on C. reniformis tissue culture models (fragmorphs), also
demonstrates that this matrix protein is directly involved in sponge healing
processes and that soluble silicates positively regulate its expression. These
findings confirm the essential role of silicon in the fibrogenesis process also
in lower invertebrates, and they should give a tool for a sustainable production
of marine collagen in sponge mariculture.
PMID- 22072048
TI - Preliminary development of a lymphedema symptom assessment scale for patients
with head and neck cancer.
AB - PURPOSE: Currently, no instruments are available to assess symptoms secondary to
lymphedema in patients with head and neck cancer (HNC). The study aim was to
develop and conduct preliminary tests of such an instrument. METHODS: A
preliminary item pool was generated from a literature review, previous work in
breast cancer-related lymphedema, and an observational study. The item pool was
revised based on an expert panel's suggestions and feedback from 18 patients with
HNC. The current questionnaire, the Lymphedema Symptom Intensity and Distress
Survey-Head and Neck (LSIDS-H&N), was then pilot tested in 30 patients with HNC.
RESULTS: Preliminary testing (1) demonstrated feasibility, readability, and ease
of use of the LSIDS-H&N and (2) identified that there was a considerable level of
symptom burden in the cohort of patients in the piloting sample. CONCLUSION:
Content validity of the LSIDS-H&N was supported by the expert panel during
development of the LSIDS-H&N. Further testing is ongoing.
PMID- 22072049
TI - What are the perceived needs and challenges of informal caregivers in home cancer
palliative care? Qualitative data to construct a feasible psycho-educational
intervention.
AB - PURPOSE: Tailored and specific interventions for informal caregivers in
palliative care are rare. We aimed to generate evidence to inform a subsequent
appropriate intervention based on caregivers' experiences. METHOD: Single, semi
structured qualitative interviews were undertaken with 20 informal cancer
caregivers of home cancer palliative care. RESULTS: Carers reported the need to
be prepared for their caring role, to be visible to professionals, to receive
clear and specific information about the patient's condition, and to be
emotionally supported. They described challenges as uncertainty, distress at
witnessing disease progression and the daily struggle with financial issues,
personal time, own health and sleep problems. CONCLUSIONS: Considering the time
pressures and restricted caregiver time, the intervention should be brief and
should aim to enhance their visibility as service recipients, patient-specific
information giving, preparation for their role, and emotional support.
PMID- 22072050
TI - Thyroid cancer patients' involvement in adjuvant radioactive iodine treatment
decision-making and decision regret: an exploratory study.
AB - PURPOSE: We explored regret in thyroid cancer patients, relating to the decision
to accept or reject adjuvant radioactive iodine treatment. METHODS: We studied
patients with a recent diagnosis of early stage papillary thyroid carcinoma, in
whom treatment decisions on adjuvant radioactive iodine had been finalized.
Participants completed a Decision Regret Scale questionnaire. We asked the
participants to identify who made the final decision about radioactive iodine
treatment. We explored the relationship between decision regret and a) degree of
patient involvement in decision-making and b) receipt of radioactive iodine
treatment. RESULTS: We included 44 individuals, more than half of whom received
adjuvant radioactive iodine treatment (26/44). Decision regret was generally low
(mean 22.1, standard deviation [SD] 13.0). Participants reported that the final
treatment decision was made by the following: patient and doctor (52.3%, 23/44),
completely the patient (27.3%, 12/44), or completely the physician (20.5%, 9/44).
Decision regret significantly differed according to who made the final decision:
the patient (mean 19.0, SD 11.3), patient and doctor (mean 19.5, SD 7.4), and the
doctor (mean 32.9, SD 20.37) (F = 4.569; degrees of freedom = 2, 41; p = 0.016).
There was no significant difference in decision regret between patients who
received radioactive iodine and those who did not (mean difference -2.5; 95%
confidence interval -10.6, 5.6; p = 0.540). CONCLUSION: Thyroid cancer patients
who reported being involved in the final treatment decision on adjuvant
radioactive iodine had less regret than those who did not.
PMID- 22072051
TI - A novel approach to paraneoplastic intestinal pseudo-obstruction.
AB - Paraneoplastic neurologic syndromes (PNS) are uncommon, affecting fewer than 1 in
10,000 patients with cancer. PNS, while rare, can cause significant morbidity and
impose enormous socio-economic costs, besides severely affecting quality of life.
PNS can involve any part of the nervous system and can present as limbic
encephalitis, subacute cerebellar ataxias, opsoclonus-myoclonus, retinopathies,
chronic intestinal pseudo-obstruction (CIPO), sensory neuronopathy, Lambert-Eaton
myasthenic syndrome, stiff-person syndrome, and encephalomyelitis. The standard
of care for CIPO includes the use of promotility and anti-secretory agents and
the resection of the non-functioning gut segment; all of which can cause
significant compromise in the quality of life. There is significant evidence that
paraneoplastic neurologic syndromes are associated with antibodies directed
against certain nerve antigens. We successfully treated a patient with CIPO in
the setting of small cell lung cancer with a combination of rituximab and
cyclophosphamide. The patient, who had failed to respond to prokinetic agents,
anti-secretory therapy, and multiple resections, responded to the
immunomodulatory therapy, with minimal residuals with PEG tube feeding and
sustained ostomy output. The use of rituximab and cyclophosphamide should
therefore be considered in patients with CIPO, especially if it can avoid
complicated surgical procedures.
PMID- 22072052
TI - Sterically stabilized liposomes incorporating the novel anticancer agent phospho
ibuprofen (MDC-917): preparation, characterization, and in vitro/in vivo
evaluation.
AB - PURPOSE: To incorporate phospho-ibuprofen (P-I), a lipophilic, water insoluble
novel anti-cancer agent, into pegylated liposomes and upon formulation
optimization to evaluate its antitumor activity in vitro and in vivo. METHODS: P
I loaded liposomes were prepared using the thin-film hydration method, and
characterized for size, zeta potential, drug content and drug release. We
examined their physical stability by particle size changes; their lyophilization
ability in the presence of cryoprotectants; and their antitumor activity in vitro
in human cancer cell lines and in vivo in a xenograft murine model. RESULTS: P-I
was successfully loaded into liposomes consisting of soy-PC and PEG(2000)-PE.
These liposomes were <150 nm in diameter; exhibited prolonged stability in
suspension and can be lyophilized using sucrose as cryoprotectant. P-I liposomes
inhibited the growth of human cancer cell lines in vitro and in vivo of xenograft
in nude mice to a greater extent than free P-I. CONCLUSIONS: High levels of P-I
can be incorporated into liposomes which can be lyophilized in the presence of
sucrose and showed good stability upon storage. Moreover, these drug
incorporating liposomes were capable of inhibiting the growth of xenografted
tumors in mice more effectively than free P-I. These results justify further
development of the P-I liposomes.
PMID- 22072054
TI - Haplotypes and haplotype-pairs of IL-1 beta and IL-6 genes and risk of non fatal
myocardial infarction in the Western New York Acute MI Study.
PMID- 22072053
TI - Polymeric nanoparticles affect the intracellular delivery, antiretroviral
activity and cytotoxicity of the microbicide drug candidate dapivirine.
AB - PURPOSE: To assess the intracellular delivery, antiretroviral activity and
cytotoxicity of poly(epsilon-caprolactone) (PCL) nanoparticles containing the
antiretroviral drug dapivirine. METHODS: Dapivirine-loaded nanoparticles with
different surface properties were produced using three surface modifiers:
poloxamer 338 NF (PEO), sodium lauryl sulfate (SLS) and cetyl trimethylammonium
bromide (CTAB). The ability of nanoparticles to promote intracellular drug
delivery was assessed in different cell types relevant for vaginal HIV
transmission/microbicide development. Also, antiretroviral activity of
nanoparticles was determined in different cell models, as well as their
cytotoxicity. RESULTS: Dapivirine-loaded nanoparticles were readily taken up by
different cells, with particular kinetics depending on the cell type and
nanoparticles, resulting in enhanced intracellular drug delivery in phagocytic
cells. Different nanoparticles showed similar or improved antiviral activity
compared to free drug. There was a correlation between increased antiviral
activity and increased intracellular drug delivery, particularly when cell models
were submitted to a single initial short-course treatment. PEO-PCL and SLS-PCL
nanoparticles consistently showed higher selectivity index values than free drug,
contrasting with high cytotoxicity of CTAB-PCL. CONCLUSIONS: These results
provide evidence on the potential of PCL nanoparticles to affect in vitro
toxicity and activity of dapivirine, depending on surface engineering. Thus, this
formulation approach may be a promising strategy for the development of next
generation microbicides.
PMID- 22072055
TI - Menstrual migraine: update on pathophysiology and approach to therapy and
management.
AB - OPINION STATEMENT: Menstrual migraine (MM) is often reported to be more severe
and more resistant to treatment than other migraines. Nevertheless, initial
treatment should be the same as for any migraine. When results of acute therapy
are incomplete or unsatisfactory, preventive strategies are warranted, including
both pharmacologic preventives and careful adherence to lifestyle modifications.
Where MM differs from other attacks is in its predictable timing and discrete
precipitants. These differences allow for unique preventive strategies that
target either the timing of the attacks or their hormonal precipitants.
Nonspecific MM strategies-those that do not address the hormonal mechanism
include scheduled dosing of nonsteroidal anti-inflammatory drugs (NSAIDs) or
triptans throughout the menstrual window. NSAIDs are a good choice when there is
comorbid dysmenorrhea and allow for treatment of breakthrough headaches with
triptans. Both strategies require that the timing of MM is highly predictable.
Specific strategies for MM are those that reduce or eliminate the premenstrual
decline in estradiol that predictably precipitates attacks. These include
continuous or extended-cycle dosing of combined hormonal contraceptives (CHCs). A
number of common gynecologic comorbidities argue for early adoption of these
treatments, as CHCs effectively treat dysmenorrhea, menorrhagia, ovarian cysts,
endometriosis, and irregular cycles. In the author's experience, hormonal
preventives are the best approach for most women whose menstrual attacks are
resistant to acute therapy. They afford the greatest therapeutic benefit in
prevention while treating common comorbidities and allowing for acute treatment
with triptans when needed.
PMID- 22072056
TI - Treatment of nystagmus.
AB - OPINION STATEMENT: Patients with congenital and acquired forms of nystagmus are
commonly encountered in clinical practice. Many report visual symptoms, such as
oscillopsia and blurred vision, which can be alleviated if the nystagmus can be
suppressed. Pharmacologic, optical, and surgical treatments are available, with
the choice of treatment depending on the characteristics of the nystagmus and the
severity of the associated visual symptoms. Downbeat nystagmus can be treated
with 4-aminopyridine, 3,4-diaminopyridine, or clonazepam. Upbeat nystagmus can be
reduced with memantine, 4-aminopyridine, or baclofen. Torsional nystagmus may
respond to gabapentin. Acquired pendular nystagmus in patients with multiple
sclerosis is often partially suppressed by gabapentin or memantine. Acquired
pendular nystagmus in patients with oculopalatal tremor can respond to
gabapentin, memantine, or trihexyphenidyl. Although acquired periodic alternating
nystagmus is often completely suppressed by baclofen, memantine can be effective
in refractory cases. Seesaw nystagmus can be reduced with alcohol, clonazepam, or
memantine. Infantile nystagmus may not cause significant visual symptoms if
"foveation periods" are well developed, but the nystagmus can be treated in
symptomatic patients with gabapentin, memantine, acetazolamide, topical
brinzolamide, contact lenses, or base-out prisms to induce convergence. Several
surgical therapies have also been reported to improve infantile nystagmus
syndrome (INS), but selection of the appropriate therapy requires preoperative
evaluation of visual acuity and nystagmus intensity in different gaze positions.
Other treatment options for nystagmus include botulinum toxin injections into the
extraocular muscles or retrobulbar space. Electro-optical devices are currently
being developed, in order to noninvasively negate the visual consequences of
nystagmus.
PMID- 22072057
TI - Hypnic headache: clinical course and treatment.
AB - OPINION STATEMENT: Thus far, no data from randomized placebo-controlled clinical
trials are available for hypnic headache, so current treatment recommendations
are based on single case reports and smaller open case series. In the
predominantly elderly patient population affected by this disease, tolerability
of the substances used is at least as important as their efficacy. Caffeine is
the preferable first-line therapy for both acute treatment (i.e., a cup of strong
coffee when awaking with headache) and prophylaxis (a cup of strong coffee before
going to bed). Sleep problems should be considered as substantial side effects of
this therapy, although they seem to occur far less than expected. For acute
treatment, analgesics containing caffeine are also effective, but they may carry
the risk of medication-overuse headache. Treatments that not effective for acute
pain relief include nonsteroidal anti-inflammatory drugs (NSAIDs), opioids, 100%
oxygen, and acetaminophen Triptans may be effective in single cases. For
prophylaxis, lithium should be tried as a second treatment option if caffeine
intake is not effective or tolerated. Lithium has been reported to be effective
in many patients, but it was often discontinued because of side effects.
Indomethacin may be a viable option for third-line prophylactic therapy.
PMID- 22072058
TI - [Stability of volar fixed-angle plating for distal radius fractures. Failure
modes in osteoporotic bone].
AB - BACKGROUND: Distal radius fractures continue to show significant complication
rates after operative treatment with locked plating. Failure occurs by screw
loosening or screw penetration in the distal fragment. Placement of additional
screws may enhance the stiffness of fracture fixation. The aim of this study was
to determine the fatigue properties of different screw configurations in distal
radius plate osteosynthesis with biomechanical tests and finite element analysis
(FEA). MATERIAL AND METHODS: Unstable distal radius fractures were created in 12
human cadaveric bone specimens and were fixed with volar locking plates. Group
4SC was fixed with four screws in the distal row and group 6SC with two
additional screws the row below. Dynamic loading was applied physiologically. The
radial shortening, the angulation of the distal fragment and the failure
mechanism were determined by experimental tests and were further elucidated by
FEA. RESULTS: Group 6SC showed a significantly lower radial shortening and
inclination. Breakage of the screws within the plate was noted in group 4SC,
while moderate screw penetration was observed in group 6SC. FEA confirmed the
biomechanical tests. In group 4SC elevated von Mises strain in the locking
mechanism explained the inclination of the screws and the distal fragment. The
elastic strain in group 6SC was increased at the screw-bone interface which
explained the resulting screw penetration. CONCLUSION: The failure mechanism in
volar plating of distal radius fractures depended on the number of screws and
their configuration. Using two more screws increases construct stiffness and
angular stability under dynamic loading. However, increased stiffness also
promoted screw penetration mainly in osteoporotic bone. Compared to screw
penetration, loss of reposition and inclination of the distal fragment observed
in the 4SC configuration is more likely to result in clinical complications.
PMID- 22072059
TI - Airway exchange catheters: appropriate use and gas embolism.
PMID- 22072060
TI - Unexplained fever after bilateral superficial cervical block in children
undergoing cochlear implantation: an observational study.
AB - PURPOSE: In an effort to decrease postoperative opioid requirements,
intraoperative bilateral superficial cervical plexus block (BSCPB) was recently
adopted for all our children undergoing general anesthesia for bilateral
simultaneous cochlear implantation (BSiCI). Several cases of early postoperative
fever were noted after the adoption of BSCPB. Our aim was to determine if an
association exists between BSCPB and early postoperative fever in children
undergoing BSiCI. As a secondary outcome, we studied the efficacy of BSCPB in
altering postoperative analgesic requirements. METHODS: We conducted a
retrospective cohort study of 91 consecutive children who underwent BSiCI. The
series included 34 patients who received BSCPB (Block Group) and 57 patients who
did not receive BSCPB (No-block Group). RESULTS: The median age (range) was 15.4
months (eight months - 15 yr). A significant association was found between BSCPB
and postoperative fever (P = 0.006). Eighteen (19.7%) children developed fever in
the first 24 hr after surgery (Block Group: 12/34 [35%]; No-block Group: 6/57
[11%]; P = 0.006). The Block Group was 4.8 times more likely to develop early
postoperative fever after adjusting for other variables (P = 0.004). The Block
Group spent more days in hospital after surgery compared with the No-block Group
(P = 0.043). Other vital signs showed no major deviation from the normal ranges,
and daily physical examinations revealed no obvious source of infection in
children who developed postoperative fever. CONCLUSION: Bilateral superficial
cervical plexus block may increase the risk of postoperative fever in children
undergoing BSiCI. In this series, BSCPB was associated with a longer hospital
admission. The etiology of the fever is undetermined, although it can be
hypothesized that BSCPB resulted in unintended block of the phrenic nerves
leading to diaphragmatic paralysis, atelectasis, and early postoperative fever in
young children.
PMID- 22072061
TI - Edaphic factors affecting the vertical distribution of radionuclides in the
different soil types of Belgrade, Serbia.
AB - The specific activities of natural radionuclides ((40)K, (226)Ra and (232)Th) and
Chernobyl-derived (137)Cs were measured in soil profiles representing typical
soil types of Belgrade (Serbia): chernozems, fluvisols, humic gleysols, eutric
cambisols, vertisols and gleyic fluvisols. The influence of soil properties and
content of stable elements on radionuclide distribution down the soil profiles
(at 5 cm intervals up to 50 cm depth) was analysed. Correlation analysis
identified associations of (40)K, (226)Ra and (137)Cs with fine-grained soil
fractions. Significant positive correlations were found between (137)Cs specific
activity and both organic matter content and cation exchange capacity. Saturated
hydraulic conductivity and specific electrical conductivity were also positively
correlated with the specific activity of (137)Cs. The strong positive
correlations between (226)Ra and (232)Th specific activities and Fe and Mn
indicate an association with oxides of these elements in soil. The correlations
observed between (40)K and Cr, Ni, Pb and Zn and also between (137)Cs and Cd, Cr,
Pb and Zn could be attributed to their common affinity for clay minerals. These
results provide insight into the main factors that affect radionuclide migration
in the soil, which contributes to knowledge about radionuclide behaviour in the
environment and factors governing their mobility within terrestrial ecosystems.
PMID- 22072063
TI - New 2011 survey of patients with complex care needs in eleven countries finds
that care is often poorly coordinated.
AB - Around the world, adults with serious illnesses or chronic conditions account for
a disproportionate share of national health care spending. We surveyed patients
with complex care needs in eleven countries (Australia, Canada, France, Germany,
the Netherlands, New Zealand, Norway, Sweden, Switzerland, the United Kingdom,
and the United States) and found that in all of them, care is often poorly
coordinated. However, adults seen at primary practices with attributes of a
patient-centered medical home--where clinicians are accessible, know patients'
medical history, and help coordinate care--gave higher ratings to the care they
received and were less likely to experience coordination gaps or report medical
errors. Throughout the survey, patients in Switzerland and the United Kingdom
reported significantly more positive experiences than did patients in the other
countries surveyed. Reported improvements in the United Kingdom tracked with
recent reforms there in health care delivery. Patients in the United States
reported difficulty paying medical bills and forgoing care because of costs. Our
study indicates a need for improvement in all countries through redesigning
primary care, developing care teams accountable across sites of care, and
managing transitions and medications well. The United States in particular has
opportunities to learn from diverse payment innovations and care redesign efforts
under way in the other study countries.
PMID- 22072062
TI - ER stress sensitizes cells to TRAIL through down-regulation of FLIP and Mcl-1 and
PERK-dependent up-regulation of TRAIL-R2.
AB - Despite recent evidences suggesting that agents inducing endoplasmic reticulum
(ER) stress could be exploited as potential antitumor drugs in combination with
tumor necrosis factor-related apoptosis-inducing ligand (TRAIL), the mechanisms
of this anticancer action are not fully understood. Moreover, the effects of ER
stress and TRAIL in nontransformed cells remain to be investigated. In this study
we report that ER stress-inducing agents sensitizes both transformed and
nontransformed cells to TRAIL-induced apoptosis. In addition, glucose-regulated
protein of 78 kDa (GRP78) knockdown by RNA interference induces ER stress and
facilitates apoptosis by TRAIL. We demonstrate that TRAIL death-inducing
signaling complex (DISC) formation and early signaling are enhanced in ER
stressed cells. ER stress alters the cellular levels of different apoptosis
related proteins including a decline in the levels of FLIP and Mcl-1 and the up
regulation of TRAIL-R2. Up-regulation of TRAIL-R2 following ER stress is
dependent on the expression of PKR-like ER kinase (PERK) and independent of
CAAT/enhancer binding protein homologous protein (CHOP) and Ire1alpha. Silencing
of TRAIL-R2 expression by siRNA blocks the ER stress-mediated sensitization to
TRAIL-induced apoptosis. Furthermore, simultaneous silencing of cFLIP and Mcl-1
expression by RNA interference results in a marked sensitization to TRAIL-induced
apoptosis. Finally, in FLIP-overexpressing cells ER stress-induced sensitization
to TRAIL-activated apoptosis is markedly reduced. In summary, our data reveal a
pleiotropic mechanism involving both apoptotic and anti-apoptotic proteins for
the sensitizing effect of ER stress on the regulation of TRAIL receptor-mediated
apoptosis in both transformed and nontransformed cells.
PMID- 22072064
TI - Interplay between gold nanoparticle biosynthesis and metabolic activity of
cyanobacterium Synechocystis sp. PCC 6803.
PMID- 22072065
TI - Predicting the risk of chemotherapy toxicity in older patients: the Chemotherapy
Risk Assessment Scale for High-Age Patients (CRASH) score.
AB - BACKGROUND: Tools are lacking to assess the individual risk of severe toxicity
from chemotherapy. Such tools would be especially useful for older patients, who
vary considerably in terms of health status and functional reserve. METHODS: The
authors conducted a prospective, multicentric study of patients aged >=70 years
who were starting chemotherapy. Grade 4 hematologic (H) or grade 3/4
nonhematologic (NH) toxicity according to version 3.0 of the Common Terminology
Criteria for Adverse Events was defined as severe. Twenty-four parameters were
assessed. Toxicity of the regimen (Chemotox) was adjusted using an index to
estimate the average per-patient risk of chemotherapy toxicity (the MAX2 index).
In total, 562 patients were accrued, and 518 patients were evaluable and were
split randomly (2:1 ratio) into a derivation cohort and a validation cohort.
RESULTS: Severe toxicity was observed in 64% of patients. The Chemotherapy Risk
Assessment Scale for High-Age Patients (CRASH) score was constructed along 2
subscores: H toxicity and NH toxicity. Predictors of H toxicity were lymphocytes,
aspartate aminotransferase level, Instrumental Activities of Daily Living score,
lactate dehydrogenase level, diastolic blood pressure, and Chemotox. The best
model included the 4 latter predictors (risk categories: low, 7%; medium-low,
23%; medium-high, 54%; and high, 100%, respectively; P(trend) < .001). Predictors
of NH toxicity were hemoglobin, creatinine clearance, albumin, self-rated health,
Eastern Cooperative Oncology Group performance, Mini-Mental Status score, Mini
Nutritional Assessment score, and Chemotox. The 4 latter predictors provided the
best model (risk categories: 33%, 46%, 67%, and 93%, respectively; P(trend) <
.001). The combined risk categories were 50%, 58%, 77%, and 79%, respectively;
P(trend) < .001). Bootstrap internal validation and independent sample validation
demonstrated stable risk categorization and P(trend) < .001. CONCLUSIONS: The
CRASH score distinguished several risk levels of severe toxicity. The split score
discriminated better than the combined score. To the authors' knowledge, this is
the first score systematically integrating both chemotherapy and patient risk for
older patients and has a potential for future clinical application.
PMID- 22072066
TI - Iatrogenic psychological harm.
AB - While prevention of iatrogenic harm is a sufficient priority to determine service
structures and practice, the concept of harm is largely restricted to the
physical. Psychological harm has received scant attention despite its importance,
particularly for children and adolescents. A professional climate increasingly
reliant on measurement and evidence and coloured by fear of litigation
contributes to perpetuating the anomaly. The aim of this paper is to consider how
and why iatrogenic psychological harm may happen, why i-dt matters, how it may be
manifest and how it may be prevented. Prevention of psychological harm should be
as great a priority as that of physical harm.
PMID- 22072067
TI - In vitro and in vivo assessment of the glycemic index of bakery products:
influence of the reformulation of ingredients.
AB - PURPOSE: To evaluate whether the modification of ingredients of two bakery
products, muffins and bread, reduces their glycemic index, by means of in vitro
and in vivo procedures. METHODS: In vitro and in vivo glycemic index were
evaluated for two types of bread and two types of muffins including one standard
product for each category. For the in vitro determination, kinetics of starch
digestion method was used. For the in vivo procedure, postprandial glucose
measured as IAUC was obtained in a group of eighteen healthy volunteers (ten did
the test with muffins and eight with breads). RESULTS: In in vitro, a reduction
in the expected glycemic index regarding the control muffin was achieved with the
partial substitution of wheat flour by a mixture of resistant starch, dextrin and
lentil flour. In breads, with the partial substitution of wheat flour by a
mixture of resistant starch and dextrins, a decrease in the expected glycemic
index was also observed. In in vivo, a reduction in GI was also achieved both in
muffin and in bread. All the obtained GI was higher in in vitro method.
CONCLUSIONS: Despite the fact that in vitro overestimate in vivo method, the
trend in the reduction in GI seems to be similar in both methods. With the
substitution assayed, a reduction in the expected glycemic index and the glycemic
index were obtained both in muffins and in breads.
PMID- 22072068
TI - The recombination landscape in Arabidopsis thaliana F2 populations.
AB - Recombination during meiosis shapes the complement of alleles segregating in the
progeny of hybrids, and has important consequences for phenotypic variation. We
examined allele frequencies, as well as crossover (XO) locations and frequencies
in over 7000 plants from 17 F(2) populations derived from crosses between 18
Arabidopsis thaliana accessions. We observed segregation distortion between
parental alleles in over half of our populations. The potential causes of
distortion include variation in seed dormancy and lethal epistatic interactions.
Such a high occurrence of distortion was only detected here because of the large
sample size of each population and the number of populations characterized. Most
plants carry only one or two XOs per chromosome pair, and therefore inherit very
large, non-recombined genomic fragments from each parent. Recombination
frequencies vary between populations but consistently increase adjacent to the
centromeres. Importantly, recombination rates do not correlate with whole-genome
sequence differences between parental accessions, suggesting that sequence
diversity within A. thaliana does not normally reach levels that are high enough
to exert a major influence on the formation of XOs. A global knowledge of the
patterns of recombination in F(2) populations is crucial to better understand the
segregation of phenotypic traits in hybrids, in the laboratory or in the wild.
PMID- 22072069
TI - Genetic correlations and the evolution of photoperiodic time measurement within a
local population of the pitcher-plant mosquito, Wyeomyia smithii.
AB - The genetic relationship between the daily circadian clock and the seasonal
photoperiodic timer remains a subject of intense controversy. In Wyeomyia
smithii, the critical photoperiod (an overt expression of the photoperiodic
timer) evolves independently of the rhythmic response to the Nanda-Hamner
protocol (an overt expression of the daily circadian clock) over a wide
geographical range in North America. Herein, we focus on these two processes
within a single local population in which there is a negative genetic correlation
between them. We show that antagonistic selection against this genetic
correlation rapidly breaks it down and, in fact, reverses its sign, showing that
the genetic correlation is due primarily to linkage and not to pleiotropy. This
rapid reversal of the genetic correlation within a small, single population means
that it is difficult to argue that circadian rhythmicity forms the necessary,
causal basis for the adaptive divergence of photoperiodic time measurement within
populations or for the evolution of photoperiodic time measurement among
populations over a broad geographical gradient of seasonal selection.
PMID- 22072071
TI - Non-contrast MRA using an inflow-enhanced, inversion recovery SSFP technique in
pediatric abdominal imaging.
AB - Abdominal contrast-enhanced MR angiography (CE-MRA) is routinely performed in
children. CE-MRA is challenging in children because of patient motion, difficulty
in obtaining intravenous access, and the inability of young patients to perform a
breath-hold during imaging. The combination of pediatric-specific difficulties in
imaging and the safety concerns regarding the risk of gadolinium-based contrast
agents in patients with impaired renal function has renewed interest in the use
of non-contrast (NC) MRA techniques. At our institution, we have optimized 3-D NC
MRA techniques for abdominal imaging. The purpose of this work is to demonstrate
the utility of an inflow-enhanced, inversion recovery balanced steady-state free
precession-based (b-SSFP) NC-MRA technique.
PMID- 22072070
TI - Making a long story short: noncoding RNAs and chromosome change.
AB - As important as the events that influence selection for specific chromosome types
in the derivation of novel karyotypes, are the events that initiate the changes
in chromosome number and structure between species, and likewise polymorphisms,
variants and disease states within species. Although once thought of as
transcriptional 'noise', noncoding RNAs (ncRNAs) are now recognized as important
mediators of epigenetic regulation and chromosome stability. Here we highlight
recent work that illustrates the influence short and long ncRNAs have as
participants in the function and stability of chromosome regions such as
centromeres, telomeres, evolutionary breakpoints and fragile sites. We summarize
recent evidence that ncRNAs can facilitate chromosome change and present
mechanisms by which ncRNAs create DNA breaks. Finally, we present hypotheses on
how they may create novel karyotypes and thus affect chromosome evolution.
PMID- 22072072
TI - Complex cloacal malformations: use of rotational fluoroscopy and 3-D
reconstruction in diagnosis and surgical planning.
AB - A cloacal malformation is a congenital malformation in which the urinary tract,
gynecological system and distal rectum fail to separate and form a common channel
with a single perineal opening. Precise anatomical information is required to
plan surgery and predict prognosis for children with this abnormality.
Conventional fluoroscopic studies provide limited information, primarily due to
the overlap of structures and inability to make accurate measurements. Rotational
fluoroscopy and 3-D reconstruction help clarify overlapping structures and allow
for precise measurement of the common channel, thereby helping to predict the
complexity of the surgical case as well as the long-term prognosis regarding
bowel, bladder and sexual function.
PMID- 22072073
TI - Mitochondrial dysfunction in familial amyotrophic lateral sclerosis.
AB - A growing body of evidence suggests that mitochondrial dysfunctions play a
crucial role in the pathogenesis of various neurodegenerative disorders,
including amyotrophic lateral sclerosis (ALS), a neurodegenerative disease
affecting both upper and lower motor neurons. Although ALS is predominantly a
sporadic disease, approximately 10% of cases are familial. The most frequent
familial form is caused by mutations in the gene encoding Cu/Zn superoxide
dismutase 1 (SOD1). A dominant toxic gain of function of mutant SOD1 has been
considered as the cause of the disease and mitochondria are thought to be key
players in the pathogenesis. However, the exact nature of the link between mutant
SOD1 and mitochondrial dysfunctions remains to be established. Here, we briefly
review the evidence for mitochondrial dysfunctions in familial ALS and discuss a
possible link between mutant SOD1 and mitochondrial dysfunction.
PMID- 22072074
TI - Site-specific protein double labeling by expressed protein ligation: applications
to repeat proteins.
AB - In the last few years, the use of labeled proteins has significantly expanded in
the life sciences. Now, labeled proteins are indispensable tools for a wide
spectrum of biophysical and chemical biology applications. In particular, the
quest for more sophisticated experimental setups requires the development of new
synthetic methodology, especially for multiple site-specific labeling. In this
paper, we describe a synthetic strategy based on expressed protein ligation to
prepare proteins in high purity and homogeneity, in which two different molecular
probes are incorporated specifically at any desired position. Proteins are
sequentially labeled in solution, with the advantage that a large excess of
probes is not required and the labeled fragments are not restricted to peptide
synthesis length limitations. This strategy was applied to selectively label a
repeat protein with a fluorophores pair in different positions along the protein
sequence. The doubly labeled proteins were prepared at high purity and
homogeneity, as required for single molecule FRET studies. Remarkably, this
approach can be adapted to the introduction of more than two molecular probes.
PMID- 22072075
TI - Age, genotype and sex effects on growth performance of local chickens kept under
improved management in Ghana.
AB - Characterisation of animal genetic resources has been recognised globally as an
important step towards their sustainable use. Body weight data of local chickens
(213 forest and 160 savannah chickens) and 183 French free-ranging SASSO T44
chickens kept under improved management were collected from hatch to 40 weeks of
age and analysed to determine the effects of age, genotype and sex on their
growth performance. At all ages, SASSO T44 chickens had significantly (P<0.05)
higher weights (2.6-3.2 kg at 28 weeks) than the local chickens (1.2-1.7 kg at 28
weeks). The rate of growth at the earlier ages in the local genotypes (5.57-7.80
g/day) was lower than the range of 13.81-15.42 in SASSO T44 chickens. Except at
hatch, savannah chickens were significantly heavier (P<0.05) than the forest
chickens at all ages. Male chickens had significantly (P<0.05) superior growth
rates than females across all genotypes except from the 20th to the 28th week.
Growth trends in both sexes depicted linear increase in body weights; however,
the rate of increase in body weights was higher in males as compared to females,
thus showing clear sexual dimorphism. There were no significant (P>0.05)
differences in the growth rates of SASSO T44 chickens and local genotypes at the
later ages (20-28 weeks). Local chickens from the savannah zone had better growth
rate than forest chickens. The significant effect of ecozone on the growth
potential of local chickens is an indication that their productive potential
could be improved through interventions in the environment such as provision of
feed and some veterinary care.
PMID- 22072076
TI - Effect of carbohydrate sources and cotton seed meal in the concentrate: II. Feed
intake, nutrient digestibility, rumen fermentation and microbial protein
synthesis in beef cattle.
AB - Four, rumen fistulated crossbred (Brahman * native) beef cattle steers were
randomly assigned to receive four dietary treatments according to a 2 * 2
factorial arrangement in a 4 * 4 Latin square design. Factor A was carbohydrate
source; cassava chip (CC) or CC + rice bran at a ratio 3:1 (CR3:1) and Factor B
was cotton seed meal level (CM); and 109 g CP/kg (LCM) and 328 g CP/kg (HCM) at
similar overall CP levels (490 g CP/kg). The animals were fed 5 g concentrate/kg
BW, and urea-treated rice straw (UTS) (50 g urea/kg DM) was fed ad libitum.
Carbohydrate source did not affect feed intake, nutrient digestibility, blood
urea nitrogen, rumen fermentation, or microbial protein synthesis; however,
animals fed with CC had a higher population of total viable bacteria than the
CR3:1 treatment (P<0.05). Animals that received HCM had a lower total feed intake
while ruminal pH was higher than the LCM fed treatment (P<0.05). The population
of total viable and cellulolytic bacteria in animals that received HCM were lower
than the LCM fed treatment (P<0.05). Moreover, use of HCM in beef cattle diets
resulted in lower microbial protein synthesis when compared with the LCM fed
treatment (P<0.05) although efficiency of microbial protein synthesis was
nonsignificantly different among treatments. Therefore, cassava chip combined
with rice bran can be used in a concentrate diet for beef cattle. It is also
noted that a high level of cotton seed meal in the concentrate may impact rumen
fermentation and animal performance.
PMID- 22072077
TI - Effects of double transport and season on sensorial aspects of lamb's meat
quality in dry climates.
AB - The objective of the current study was to analyse the effects of double transport
and season on sensorial aspects of lamb's meat quality in dry climates. A total
of 144 lambs were sampled in a 3 * 2 * 2 factorial design, testing three types of
double transport along the residence time at a pre-slaughter classification
centre or CC (0, 7 and 28 days) and two seasons (summer and winter), with two
replicates in each season. Meat from the M. longissimus was analysed in terms of
pH and WHC and tasted by a trained sensory panel in terms of lamb odour
intensity, tenderness, juiciness, lamb flavour intensity, fat flavour intensity,
liver flavour intensity, metallic flavour intensity and overall liking. Meat
sensorial quality traits were not significantly affected by double transport.
Season had a significant (P<0.001) effect on all variables analysed, except for
lamb flavour intensity and overall liking. Interactions between the fixed effects
were not significant, with the exception of tenderness. Season significantly
affects several sensorial variables, and they seem to be independent of double
transport, with the exception of tenderness.
PMID- 22072078
TI - FEM assisted evaluation of PMMA and Ti6Al4V as materials for cranioplasty
resulting mechanical behaviour and the neurocranial protection.
AB - Recent advances in Computer Aided Design and Manufacturing techniques (CAD/CAM)
have facilitated the rapid and precise construction of customized implants used
for craniofacial reconstruction. Data of the patients' trauma, acquired through
Computer Topographies (CT), provide sufficient information with regard to the
defect contour profile, thus allowing a thorough preoperative evaluation whilst
ensuring excellent implant precision. During the selection, however, of a
suitable implant material for the specific trauma, the mechanical aspects of the
implant have to be considered. This investigation aims to assess the mechanical
strength, the shock resistance and the critical deflection of cranial implants
manufactured with two commonly used materials, Polymethylmethacrylate (PMMA) and
Ti6Al4V. Even though the strength properties of Ti-alloys are far superior to
those of PMMA, there are several aspects that may act in advantage of PMMA, e.g.,
it is known that discontinuities in the elastic modulus of adjoined parts (bone
implant) lead to bone resorption thus loosening the fixation of the implant over
time.The implant design and fixation was the same in both cases allowing a direct
comparison of the implant behavior for various loads. Finite Element Methods
(FEM) assisted procedures were employed, providing a valuable insight to the
neurocranial protection granted by these implants.
PMID- 22072079
TI - Nano-vibration effect on cell adhesion and its shape.
AB - Extracellular physical features of underlying the adhesive substrate affect cell
adhesion to it substrate. In this study, the effects of vibration, a type of
artificial physical stimulation, on the adhesion of mouse L929 cells, mouse
embryonic fibroblasts (MEFs), HeLa cells and human umbilical vein endothelial
cells (HUVECs) are reported. A nano-vibration system was designed to produce
nanometer-scale vibration. When L929 cells, HeLa cells, and HUVECs were subjected
to vibration at 100 Hz and 1 kHz, they were not affected. On the other hand, in
MEFs, the adherent cells were increased and changed their shape remarkably in
response to 1 kHz vibration. To investigate the MEFs' sensitivity to vibration in
detail, the cells shape was classified into four types; round, stellate,
filopodia-formed and lamellipodia-formed. In serum addition culture, 1 kHz
vibration increased the number of filopodia-formed cells but decreased
lamellipodia cells. Furthermore, the preliminary cDNA microarray experiments
showed that expression of genes which regulate cytoskeleton were changed by
vibration stimulation. These results suggest that vibration could affect cell
adhesion and the determination cell shape.
PMID- 22072080
TI - Effect of calcium alginate concentration on viability and proliferation of
encapsulated fibroblasts.
AB - Alginate hydrogels have been used widely in tissue engineering for cell
encapsulation for several reasons: low toxicity, the ability to gel under gentle
condition and compatibility with cells. In this study, we determined the effect
of different concentrations of alginate on encapsulation of 3T3 fibroblast cells
at two different cell seeding densities. Live/dead staining and MTT assay were
performed at regular intervals up to 4 weeks. A Hoechst 33258 assay was done to
validate the MTT results. There were more dead cells on day 1 for the higher
concentrations of alginate while at, the lower concentration of alginate, cell
proliferation and spheroid formation occurred more quickly. Furthermore, at low
cell seeding density, cell proliferation was prolonged compared to the
intermediate seeding density. In conclusion, by altering both alginate
concentration and cell seeding density, proliferation and spheroid formation can
be controlled.
PMID- 22072081
TI - Frequency response of blood vessel wall with atherosclerosis and aneurysm.
AB - This research was conducted to investigate frequency response of blood vessel
wall. The principal frequency of blood vessel wall, f1 was found to decrease with
progression of atherosclerosis and irregularity of the vibration trajectory of
blood vessel wall was found to increase. When an aneurysm appeared, a new
vibration wave was found to appear in the high frequency region, f2. When the
aneurysm wall has enough strength, intensity of high frequency wave was found to
increase. However, it decreases with decrease in the strength of aneurysm wall.
The visco-elastic deterioration of blood vessel wall was found to well correlate
with the changing characteristics of f1. A two-dimensional representation of f1
and f2 was conducted which tracks the progression of atherosclerosis and
aneurysm. It will enable us to diagnose the introduction period of operation of
blood vessel wall of atherosclerosis with an aneurysm.
PMID- 22072082
TI - Characterization of a hydroxyapatite sputtered film subject to hydrothermal
treatment using FE-SEM and STEM.
AB - Hydroxyapatite (HA) was coated onto a titanium substrate using radio frequency
magnetron sputtering. The sputtered film was crystallized using a hydrothermal
treatment. The films were observed using X-ray diffractometry, field emission
scanning electron microscopy (FE-SEM) and scanning transmission electron
microscopy (STEM) equipped with energy dispersive X-ray spectroscopy (EDX).It was
observed that the surface of the hydrothermally-treated film was covered with
globular particles. The FE-SEM observations indicated that these particles were
composed of columnar grains with a grain size of 20-50 nm. In the STEM cross
sectional observation of the HA-Ti interface, HA crystalline phase regions were
observed, in part, in the non-crystalline phase layer of the as-sputtered film.
After the hydrothermal treatment, the HA layer crystallized; the HA
crystallization proceeded to a distance of 30 nm above the titanium surface. From
an EDX line scan analysis, the titanium oxide layer was not observed at the HA-Ti
interface of the as-sputtered film; however, in the hydrothermally-treated film,
the titanium oxide layer, with a 15 nm thickness, was observed between the mixing
layer and the titanium substrate. The formation of titanium oxide at the HA-Ti
interface would contribute to the adhesion improvement of the sputtered film
following the hydrothermal treatment.
PMID- 22072084
TI - Construct validity and reliability of ultrasound disease activity score in
assessing joint inflammation in RA: comparison with DAS-28.
AB - OBJECTIVE: To investigate the construct validity and reliability of US DAS
compared with 28-joint DAS (DAS-28) in assessing joint inflammation and in
prediction of structural damage in patients with RA. METHODS: Ninety patients
with active RA were prospectively recruited and followed up during the 6 months
of treatment. The patients underwent clinical, laboratory and X-ray assessment,
along with blinded power Doppler US (PDUS) and grey-scale (GS) US (GSUS)
examination at baseline and 6 months. A subgroup of 25/90 randomly assigned
patients underwent MRI examination of their hands at baseline. A PDUS examination
of 22 joints and GSUS examination for effusion/hypertrophy (E/H) of 28 joints
were performed by two independent examiners, blinded to clinical findings. E/H
was qualitatively assessed as absent or present, and PD signal was semi
quantitatively graded from 0 to 3. PDUS score for synovitis in 22 joints and GS
score for E/H in 28 joints were included in US DAS calculation. Clinical scoring,
PDUS and GSUS inter-observer reliability were evaluated. RESULTS: Strong
correlation was found between US DAS and standard assessment of disease activity
such as the DAS-28, ESR and CRP levels. Correlation between US DAS and patients'
and physicians' visual analogue scale of activity was moderate, whereas
correlations of US DAS with Health Assessment Questionnaire - Disability Index
(HAQ-DI) and Short Form 36 Health Survey (SF-36) were weak to moderate. US DAS
correlated with X-ray, MRI and US parameters and rates of joint damage.
CONCLUSION: US DAS better anticipated future joint damage than standard DAS-28.
PMID- 22072085
TI - Investigation of prognostic factors for skin sclerosis and lung function in
Japanese patients with early systemic sclerosis: a multicentre prospective
observational study.
AB - OBJECTIVE: To clarify the clinical course of SSc in Japanese patients with early
onset disease. It is well known that ethnic variations exist in the clinical
features and severity of SSc. However, neither the clinical course nor prognostic
factors have been thoroughly investigated in the Japanese population. METHODS:
Ninety-three Japanese patients of early-onset SSc (disease duration: <3 years)
with diffuse skin sclerosis and/or interstitial lung disease were registered in a
multi-centre observational study. All patients had a physical examination with
laboratory tests at their first visit and at each of the three subsequent years.
Factors that could predict the severity of skin sclerosis and lung involvement
were examined statistically by multiple regression analysis. RESULTS: Two
patients died from SSc-related myocardial involvement and four patients died from
other complications during the 3-year study. Among various clinical data
assessed, the initial modified Rodnan total skin thickness score (MRSS) and
maximal oral aperture were associated positively and negatively with MRSS at Year
3, respectively. Additionally, initial ESR tended to be associated with final
MRSS. Pulmonary vital capacity (VC) in the third year was significantly
associated with initial %VC. Furthermore, patients with anti-topo I antibody
tended to show reduced %VC at Year 3. CONCLUSIONS: Several possible prognostic
factors for skin sclerosis and lung function were detected in Japanese patients
with early SSc. Further longitudinal studies of larger populations will be needed
to confirm these findings.
PMID- 22072086
TI - Negative predictive value of intravenous contrast-enhanced CT of the abdomen for
patients presenting to the emergency department with undifferentiated upper
abdominal pain.
AB - The purpose of this study is to calculate the negative predictive value (NPV) CT
of the abdomen in patients presenting to the emergency department (ED) with
undifferentiated upper abdominal pain. Approved by the hospital research ethics
board, this retrospective study examined consecutive patients presenting to the
ED with undifferentiated upper abdominal pain whose intravenous contrast-enhanced
CT of the abdomen was reported as "normal" from June 2006-August 2010. Exclusion
criteria included active malignancy, trauma, and known inflammatory bowel
disease. True-negative (TN) vs. false-negative (FN) cases were categorized by
consensus opinion of radiologist and emergency physician using a composite
reference standard including clinical, laboratory, imaging, surgery, pathology,
and patient self-reporting via phone questionnaire. The NPV was calculated with
confidence intervals of 95%. The TN and FN groups were compared based on gender,
age, site of pain, oral contrast use, and laboratory values. One hundred twenty
seven patients were included for analysis. The NPV was 64% (95% CI 55-72). The FN
group had a higher proportion of patients with epigastric pain (p = 0.02) and a
lower proportion of patients with left upper quadrant pain (p = 0.02). The WBC,
lipase, and ALT were all higher in the FN group compared with the TN group. The
most commonly missed pathologies were inflammatory conditions of the biliary
tract and upper gastrointestinal systems. The NPV of CT for evaluation of
undifferentiated upper abdominal pain in the ED was low at 64%. Physicians should
consider this limitation and the commonly missed pathology when discharging
patients with a "normal" CT report.
PMID- 22072087
TI - Imaging of acute right lower quadrant abdominal pain: differential diagnoses
beyond appendicitis.
AB - Evaluation of acute right lower quadrant pain remains a common and challenging
clinical scenario for emergency medicine physicians due to frequent nonspecific
signs, symptoms, and physical examination findings. Therefore, imaging has
evolved to play a pivotal role in the emergency setting. While appendicitis is a
common cause for acute pain, there are numerous other important differential
considerations with which the radiologist must be aware. The purpose of this
review is to list an anatomy-based, encompassing differential diagnosis in
addition to acute appendicitis for right lower quadrant pain; demonstrate the key
imaging findings of numerous differential considerations; and describe helpful
imaging and clinical features useful in narrowing the differential diagnosis.
PMID- 22072088
TI - Prognostic importance of preoperative anti-PF4/heparin antibodies in patients
undergoing cardiac surgery. A systematic review.
AB - It was the objective of this study to obtain best estimates of the prevalence of
anti-PF4/heparin antibodies in patients not suspected to have clinical heparin
induced thrombocytopenia (HIT) prior to undergoing cardiac surgery and to
determine whether preoperative antibody status and antibody class is predictive
of postoperative thromboembolic outcomes, non-thromboembolic outcomes, length of
stay, and mortality. PubMed and EMBASE online databases were searched up to July
2011, and we included studies involving adults undergoing cardiac surgery
examining the relationship between preoperative anti-PF4/heparin antibodies
(ELISA) and postoperative clinical outcomes. Five studies involving a combined
total of 2,332 patients met our inclusion criteria. Preoperative anti-PF4/heparin
antibodies were detected in 5-22% of patients. No study demonstrated an
association between preoperative anti-PF4/heparin antibodies and postoperative
thromboembolic outcomes or mortality. Three studies demonstrated a statistically
significant association between preoperative anti-PF4/heparin antibodies and
length of stay while two showed an association with non-thromboembolic
complications. In the one study that examined outcomes by anti-PF4/heparin
antibody class, IgM antibodies predicted non-thromboembolic complications and
length-of-stay. None of the studies reported prior heparin exposure, and most
studies did not examine the relationship of the absolute value of antibody titres
(ELISA OD) and risk, nor the incidence of true/clinical HIT in preoperative
positive or negative patients. In conclusion, pre-formed anti-PF4/heparin
antibodies are common in patients undergoing cardiac surgery, but the available
literature does not support that they predict postoperative thromboembolic
complications or death. There does appear to be an association between anti
PF4/heparin antibodies and non-thromboembolic adverse events, but a causal
relationship is unlikely.
PMID- 22072089
TI - The EXCITE Trial: analysis of "noncompleted" Wolf Motor Function Test items.
AB - OBJECTIVE: This is the first study to examine Wolf Motor Function Test (WMFT)
tasks among EXCITE Trial participants that could not be completed at baseline or
2 weeks later. METHODS: Data were collected from participants who received
constraint-induced movement therapy (CIMT) immediately at the time of
randomization (CIMT-I, n = 106) and from those for whom there was a delay of 1
year in receiving this intervention (CIMT-D, n = 116). Data were collected at
baseline and at a 2-week time point, during which the CIMT-I group received the
CIMT intervention and the CIMT-D group did not. Generalized estimating equation
(GEE) analyses were used to examine repeated binary data and count values. Group
and visit interactions were assessed, adjusting for functional level, affected
side, dominant side, age, and gender covariates. RESULTS: In CIMT-I participants,
there was an increase in the proportion of completed tasks at posttest compared
with CIMT-D participants, particularly with respect to those tasks requiring
dexterity with small objects and total incompletes (P < .0033). Compared with
baseline, 120 tasks governing distal limb use for CIMT-I and 58 tasks dispersed
across the WMFT for CIMT-D could be completed after 2 weeks. Common movement
components that may have contributed to incomplete tasks include shoulder
stabilization and flexion, elbow flexion and extension, wrist pronation,
supination and ulnar deviation, and pincer grip. CONCLUSION: CIMT training should
emphasize therapy for those specific movement components in patients who meet the
EXCITE criteria for baseline motor control.
PMID- 22072090
TI - Case files of the Emory University Medical Toxicology Fellowship: inhalational
mercury toxicity from a traditional Vietnamese product.
PMID- 22072091
TI - Advanced molecular biologic techniques in toxicologic disease.
AB - The advancement of molecular biologic techniques and their capabilities to answer
questions pertaining to mechanisms of pathophysiologic events have greatly
expanded over the past few years. In particular, these opportunities have
provided researchers and clinicians alike the framework from with which to answer
clinical questions not amenable for elucidation using previous, more antiquated
methods. Utilizing extremely small molecules, namely microRNA, DNA, protein, and
nanoparticles, we discuss the background and utility of these approaches to the
progressive, practicing physician. Finally, we consider the application of these
tools employed as future bedside point of care tests, aiding in the ultimate goal
of unsurpassed patient care.
PMID- 22072092
TI - Orthopaedic case of the month: lower leg pain in a 41-year-old woman.
PMID- 22072094
TI - Drug and device trials: should we ask more.
PMID- 22072093
TI - Is a positive clinical outcome after exercise therapy for chronic non-specific
low back pain contingent upon a corresponding improvement in the targeted
aspect(s) of performance? A systematic review.
AB - INTRODUCTION: The effect size for exercise therapy in the treatment of chronic
non-specific low back pain (cLBP) is only modest. This review aims to analyse the
specificity of the effect by examining the relationship between the changes in
clinical outcome (pain, disability) and the changes in the targeted aspects of
physical function (muscle strength, mobility, muscular endurance) after exercise
therapy. METHODS: We searched for exercise therapy trials for cLBP published up
to 15 April 2010 in Medline, Embase, Cochrane Library, Cinahl, and PEDro. Two
independent reviewers selected studies according to the inclusion criteria. DATA
EXTRACTION: one author extracted the data of the articles. RESULTS: DATA
SYNTHESIS: 16 studies with a total of 1,476 participants met the inclusion
criteria. There was little evidence supporting a relationship between the changes
in pain or physical function and the changes in performance for the following
measures: mobility (no correlation in 9 studies, weak correlation in 1 study),
trunk extension strength (7 and 2, respectively), trunk flexion strength (4 and
1, respectively) and back muscle endurance (7 and 0, respectively). Changes in
disability showed no correlation with changes in mobility in three studies and a
weak correlation in two; for strength, the numbers were four (no correlation) and
two (weak correlation), respectively. CONCLUSIONS: The findings do not support
the notion that the treatment effects of exercise therapy in cLBP are directly
attributable to changes in the musculoskeletal system. Future research aimed at
increasing the effectiveness of exercise therapy in cLBP should explore the
coincidental factors influencing symptom improvement.
PMID- 22072096
TI - The impact of comorbidities on stroke prophylaxis strategies in atrial
fibrillation patients.
AB - Arial fibrillation (AF) is the most commonly occurring sustained arrhythmia in
the United States and is associated with increased mortality. AF is a risk factor
for ischemic stroke, and risk factors for AF include comorbid conditions such as
congestive heart failure, diabetes mellitus, older age, hypertension, diabetes,
pulmonary disease, and history of stroke, transient ischemic attack, or heart
failure. Risk stratification for ischemic stroke in AF patients is based on
scoring a group of risk factors that allows for the appropriate tailoring of
antithrombotic therapy. The vitamin K antagonists are effective at reducing
ischemic stroke rates in medium-risk to high-risk patients and are therefore
generally recommended for this group. However, a large proportion of these
patients are not treated with vitamin K antagonists because of the potential for
adverse outcomes, particularly in elderly patients. New direct thrombin
inhibitors and direct Factor Xa inhibitors in development offer the possibility
of simplifying treatment and management although offering similar or better
efficacy and safety profiles to warfarin. In light of these potential new
treatments, the importance and improvement of risk stratification methods and the
resulting recommendations in thromboprophylaxis become even more paramount as
they make it more likely that medium-risk to high-risk patients can be treated
safely.
PMID- 22072097
TI - Characteristics of surface ozone at an urban site of Xi'an in Northwest China.
AB - Surface ozone concentrations in Xi'an, China were monitored from March 23, 2008
to January 12, 2009 using the Model ML/EC9810 ozone analyzer. The daily average
O(3) ranged from <1 ppb to 64.2 ppbv with an annual average of 16.0 ppbv. The
seasonal average of O(3) in summer (32.5 ppbv) was more than 10 times higher than
that in winter (3.0 ppbv). A significant positive correlation was found between
ozone concentration and ambient temperature, indicating that the intensity of
solar radiation was one of the several major factors controlling surface ozone
production. Using the NOAA HYSPLIT 4 trajectory model, the three longest O(3)
pollution episodes were found to be associated with the high biogenic volatile
organic carbon (BVOC) emissions from the vegetation of Qinling Mountains. No
significant weekday and weekend difference in O(3) levels was detected due to the
non-significant change in NO(x) emissions. O(3) depletion by NO emission directly
emitted from vehicles, low oxygenated VOC concentrations, and low-level solar
radiation caused by high aerosol loading all contributed to the low levels of
O(3) found in Xi'an compared to other cities and rural areas.
PMID- 22072098
TI - Polarization dependent solar cell conversion efficiency at oblique incident
angles and the corresponding improvement using surface nanoparticle coating.
AB - Despite tremendous efforts on improving the solar cell conversion efficiency at
normal incidence, improvement at oblique angles has not been widely addressed,
not to mention the corresponding light absorption behaviors at different
polarizations. Here we report the characterization of the solar cell conversion
efficiency and the spectra of photoresponsivity at various tilted angles. The
results show that TM (transverse magnetic) polarized light possesses higher
photoresponsivity than TE (transverse electric) polarized light and the
difference becomes larger with the incidence angle. To address the issue, a
monolayer of silica nanoparticles on the solar cell surface was employed to
improve the light absorption. Even though both TE and TM waves show a decrease in
the surface reflectivity with the presence of nanoparticles, the interaction
between the silica particles and the TE wave is more significant. The improvement
of the conversion efficiency for obliquely incident light is explained from the
refractive index difference of the nanoparticles for the TE and TM polarizations.
PMID- 22072099
TI - Therapeutic efficacy of combination therapy with intra-arterial 5-fluorouracil
and systemic pegylated interferon alpha-2b for advanced hepatocellular carcinoma
with portal venous invasion.
AB - BACKGROUND: The prognosis of advanced hepatocellular carcinoma (HCC) remains
poor, particularly among patients with portal vein tumor thrombosis (PVTT). This
study evaluated the efficacy of combined 5-fluorouracil and pegylated interferon
(PEG-IFN) alpha-2b in patients with advanced HCC. METHODS: Subjects comprised 59
HCC patients with PVTT treated using subcutaneous administration of PEG-IFNalpha
2b (50-100 MUg on day 1 of each week for 4 weeks) and intra-arterial infusion of
5-fluorouracil (250 mg/d for 5 hours on days 1-5 of each week for 4 weeks). One
treatment cycle lasted 4 weeks. The current therapy was discontinued in patients
with progressive disease (PD). For responses other than PD, treatment was
repeated for >=1 cycle. The primary efficacy endpoint was the objective early
response rate. Secondary efficacy endpoints were progression-free survival (PFS)
and overall survival. RESULTS: Objective early response rate was 73.0%.
Cumulative PFS rates were 67.4% at 6 months, 30.2% at 12 months, 25.9% at 18
months, and 20.7% at 24 months. Median PFS was 9.7 months. Cumulative survival
rates were 82.4% at 6 months, 73.6% at 12 months, 52.8% at 24 months, and 44.0%
at 36 months. Median survival time was 29.9 months. All adverse reactions were
controllable by temporary suspension of treatment. Serious complications and
treatment-related deaths were not observed. CONCLUSIONS: Although a prospective
randomized controlled trial using a larger population of patients with advanced
HCC is needed to evaluate combination therapy with 5-fluorouracil and PEG
IFNalpha-2b, this new combination therapy may be useful for patients with
advanced HCC.
PMID- 22072100
TI - An integrated genetic linkage map of cultivated peanut (Arachis hypogaea L.)
constructed from two RIL populations.
AB - Construction and improvement of a genetic map for peanut (Arachis hypogaea L.)
continues to be an important task in order to facilitate quantitative trait locus
(QTL) analysis and the development of tools for marker-assisted breeding. The
objective of this study was to develop a comparative integrated map from two
cultivated * cultivated recombinant inbred line (RIL) mapping populations and to
apply in mapping Tomato spotted wilt virus (TSWV) resistance trait in peanut. A
total of 4,576 simple sequence repeat (SSR) markers from three sources: published
SSR markers, newly developed SSR markers from expressed sequence tags (EST) and
from bacterial artificial chromosome end-sequences were used for screening
polymorphisms. Two cleaved amplified polymorphic sequence markers were also
included to differentiate ahFAD2A alleles and ahFAD2B alleles. A total of 324
markers were anchored on this integrated map covering 1,352.1 cM with 21 linkage
groups (LGs). Combining information from duplicated loci between LGs and
comparing with published diploid maps, seven homoeologous groups were defined and
17 LGs (A1-A10, B1-B4, B7, B8, and B9) were aligned to corresponding A-subgenome
or B-subgenome of diploid progenitors. One reciprocal translocation was confirmed
in the tetraploid-cultivated peanut genome. Several chromosomal rearrangements
were observed by comparing with published cultivated peanut maps. High
consistency with cultivated peanut maps derived from different populations may
support this integrated map as a reliable reference map for peanut whole genome
sequencing assembling. Further two major QTLs for TSWV resistance were identified
for each RILs, which illustrated the application of this map.
PMID- 22072101
TI - Quantitative trait loci involved in regulating seed oil composition in
Arabidopsis thaliana and their evolutionary implications.
AB - Fatty acid composition is an important determinant of seed oil quality. Overall,
72 QTL for 12 fatty acid traits that control seed oil composition were identified
in four recombinant inbred line (RIL) populations (Ler-0 * Sha, Ler-0 * Col-4,
Ler-2 * Cvi, Ler-0 * No-0) of Arabidopsis thaliana. The identified QTL explained
3.2-79.8% of the phenotypic variance; 33 of the 59 QTL identified in the Ler-0 *
Sha and the Ler-0 * Col RIL populations co-located with several a priori
candidate genes for seed oil composition. QTL for fatty acids 18:1, 18:2, 22:1,
and fatty acids synthesized in plastids was identified in both Ler-0 * Sha and
Ler-0 * Col-4 RIL populations, and QTL for 16:0 was identified in the Ler-0 * Sha
and Ler-0 * No-0 RIL populations providing strong support for the importance of
these QTL in determining seed oil composition. We identified melting point QTL in
three RIL populations, and fatty acid QTL collocated with two of them, suggesting
that the loci could be under selection for altering the melting point of seed
oils to enhance adaptation and could be useful for breeding purposes. Nuclear
cytoplasmic interactions and epistasis were rare. Analysis of the genetic
correlations between these loci and other fatty acids indicated that these
correlations would tend to strongly enhance selection for desirable fatty acids.
PMID- 22072103
TI - Antihypertensive effects and mechanisms of chlorogenic acids.
AB - Chlorogenic acids (CGAs) are potent antioxidants found in certain foods and
drinks, most notably in coffee. In recent years, basic and clinical
investigations have implied that the consumption of chlorogenic acid can have an
anti-hypertension effect. Mechanistically, the metabolites of CGAs attenuate
oxidative stress (reactive oxygen species), which leads to the benefit of blood
pressure reduction through improved endothelial function and nitric oxide
bioavailability in the arterial vasculature. This review article highlights the
physiological and biochemical findings on this subject and highlights some
remaining issues that merit further scientific and clinical exploration. In the
framework of lifestyle modification for the management of cardiovascular risk
factors, the dietary consumption of CGAs may hold promise for providing a non
pharmacological approach for the prevention and treatment of high blood pressure.
PMID- 22072104
TI - Enhanced expression of bone morphogenetic protein system in aldosterone-treated
mouse kidneys.
AB - Recent studies have shown that bone morphogenetic proteins (BMPs), particularly
BMP-7, have an inhibitory role in the development of various renal diseases. We
previously reported antagonistic effects of BMPs on renal mesangial cell
proliferation induced by aldosterone (Aldo) in vitro. In the present study, we
investigated in vivo roles of BMPs in Aldo-induced renal glomerular injury.
BALB/c mice aged 6 weeks were treated with Aldo injection (5 MUg per day,
intraperitoneally) and/or oral administration of high-salt (2%) water for 9
weeks. Systemic blood pressure, body weight, kidney weight and daily proteinuria
were not significantly changed by Aldo and/or high-salt treatment. However, renal
histological examination revealed increases in glomerular cellularity and
glomerular diameter in the groups treated with Aldo injection and high-salt
administration. Immunohistochemistry demonstrated expression of BMP-4 and -7 in
the glomerular mesangial region. Aldo causes renal glomerular damage by
stimulating mesangial cell proliferation and increasing extracellular matrix via
the mineralocorticoid receptor (MR). MR messenger RNA (mRNA) expression in the
renal cortex was transiently increased by 3-week treatment with Aldo and high
salt intake, but was decreased by 9-week treatment. Furthermore, the expression
levels of BMP-4 and -7 mRNA were enhanced in the renal cortex treated with Aldo
and high-salt administration. These findings suggest that the renal BMP system is
activated by Aldo under the condition of high-salt exposure, which may have a key
role in antagonizing glomerular damage in vivo.
PMID- 22072105
TI - Angiotensin II-induced cardiomyocyte hypertrophy in vitro is TAK1-dependent and
Smad2/3-independent.
AB - Cardiac hypertrophy occurs as an adaptation to hypertension but a sustained
hypertrophic response can ultimately lead to heart failure. Angiotensin-II (Ang
II) is released following hemodynamic overload and stimulates a cardiac
hypertrophic response. AngII also increases expression of the regulatory
cytokine, transforming growth factor-beta1 (TGFbeta1), which is also implicated
in the cardiac hypertrophic response and can stimulate activation of Smad2/3 as
well as TGFbeta-activated kinase 1 (TAK1) signaling mediators. To better
understand the downstream signaling events in cardiac hypertrophy, we therefore
investigated activation of Smad2/3 and TAK1 signaling pathways in response to Ang
II and TGFbeta1 using primary neonatal rat cardiomyocytes to model cardiac
hypertrophic responses. Small interfering RNA (siRNA) knockdown of Smad 2/3 or
TAK1 protein or addition of the TGFbeta type I receptor inhibitor, SB431542, were
used to investigate the role of downstream mediators of TGFbeta signaling in the
hypertrophic response. Our data revealed that TGFbeta1 stimulation leads to
cardiomyocyte hypertrophic phenotypes that were indistinguishable from those
occurring in response to Ang II. In addition, inhibition of the TGFbeta1 type
receptor abolished Ang II-induced hypertrophic changes. Furthermore, the
hypertrophic response was also prevented following siRNA knockdown of TAK1
protein, but was unaffected by knockdown of Smad2/3 proteins. We conclude that
Ang II-induced cardiomyocyte hypertrophy in vitro occurs in a TAK1-dependent, but
Smad-independent, manner.
PMID- 22072106
TI - Azelnidipine attenuates glomerular damage in Dahl salt-sensitive rats by
suppressing sympathetic nerve activity.
AB - Dihydropyridine-type calcium channel blockers (CCBs) exert potent
antihypertensive effects. The CCB azelnidipine decreases heart rate by
suppressing sympathetic nerve activity, which affects afferent and efferent
arterioles in the glomeruli. We examined whether azelnidipine can improve
progressive glomerular injury in comparison with amlodipine by suppressing renal
sympathetic nerve activity in Dahl salt-sensitive rats. Glomerular circulation in
Dahl salt-sensitive rats was monitored with a charge-coupled device camera before
and after administration of amlodipine (0.5 mg kg(-1), bolus injection) or
azelnidipine (0.1 mg kg(-1), bolus injection). Systemic sympathetic nerve
activity was also compared by analysis of heart rate variability with a telemetry
blood pressure monitoring system after crossover administration of amlodipine
(1.0 mg kg(-1) per day) and azelnidipine (3.0 mg kg(-1) per day) for 1 week. To
investigate renoprotective effects, rats were treated with amlodipine (1.0 mg kg(
1) per day) or azelnidipine (3.0 mg kg(-1) per day) for 3 weeks with or without
renal denervation. The efferent arteriole contracted in response to acute
amlodipine but not azelnidipine treatment. The low frequency/high frequency
ratio, an index of parasympathetic nerve activity, decreased in response to
azelnidipine but not amlodipine treatment. In response to chronic treatment,
proteinuria and glomerular injury improved to a greater extent with azelnidipine
compared with amlodipine. The renoprotective effects of azelnidipine were
diminished by renal denervation. Azelnidipine decreased glomerular damage in Dahl
salt-sensitive rats to a greater extent than amlodipine. Azelnidipine appeared to
decrease intraglomerular pressure by suppressing sympathetic nerve activity.
PMID- 22072107
TI - Arterial stiffness: using simple surrogate measures to make sense of a
biologically complex phenomenon.
PMID- 22072108
TI - Hypothalamic gene expression in omega-3 PUFA-deficient male rats before, and
following, development of hypertension.
AB - Dietary deficiency of omega-3 fatty acids (omega-3 DEF) produces hypertension in
later life. This study examined the effect of omega-3 DEF on blood pressure and
hypothalamic gene expression in young rats, before the development of
hypertension, and in older rats following the onset of hypertension. Animals were
fed experimental diets that were deficient in omega-3 fatty acids, sufficient in
short-chain omega-3 fatty acids or sufficient in short- and long-chain omega-3
fatty acids, from the prenatal period until 10 or 36 weeks-of-age. There was no
difference in blood pressure between groups at 10 weeks-of-age; however, at 36
weeks-of-age omega-3 DEF animals were hypertensive in relation to sufficient
groups. At 10 weeks, expression of angiotensin-II(1A) receptors and dopamine D(3)
receptors were significantly increased in the hypothalamic tissue of omega-3 DEF
animals. In contrast, at 36 weeks, alpha(2a) and beta(1) adrenergic receptor
expression was significantly reduced in the omega-3 DEF group. Brain
docosahexaenoic acid was significantly lower in omega-3 DEF group compared with
sufficient groups. This study demonstrates that dietary omega-3 DEF causes
changes both in the expression of key genes involved in central blood pressure
regulation and in blood pressure. The data may indicate that hypertension
resulting from omega-3 DEF is mediated by the central adrenergic system.
PMID- 22072109
TI - Tetrahydrocurcumin alleviates hypertension, aortic stiffening and oxidative
stress in rats with nitric oxide deficiency.
AB - Tetrahydrocurcumin (THC), a major metabolite of curcumin, possesses strong
antioxidant and cardioprotective properties. However, the activities of THC in
hypertension and its associated complications remain unknown. The aim of this
study was to investigate the effect of THC on hemodynamic status, aortic
elasticity and oxidative stress in rats with N-nitro-L-arginine methyl ester (L
NAME)-induced hypertension. Hypertension was induced in male Sprague-Dawley rats
by administration of L-NAME (50 mg kg(-1) body weight) in drinking water for 5
weeks. THC at a dose of 50 or 100 mg kg(-1) per day was administered daily during
the fourth and fifth weeks when the hypertensive state had been established. The
effects of THC on hemodynamics, aortic elasticity, endothelial nitric oxide
synthase (eNOS) protein expression and oxidative stress markers were assessed.
Marked increases in blood pressure, peripheral vascular resistance, aortic
stiffness and oxidative stress were found in rats after L-NAME administration.
THC significantly reversed these deleterious effects by reducing aortic wall
thickness and stiffness. These effects were associated with increased aortic eNOS
expression, elevated plasma nitrate/nitrite, decreased oxidative stress with
reduced superoxide production and enhanced blood glutathione. Our results provide
the first evidence that THC attenuates the detrimental effect of L-NAME by
improving the hemodynamic status and aortic elasticity concomitant with reduction
of oxidative stress. The present study suggests that THC might be used as a
dietary supplement to protect against cardiovascular alterations under nitric
oxide-deficient conditions.
PMID- 22072111
TI - Eosinophil count is positively correlated with coronary artery calcification.
AB - Recent studies suggested that allergic disorders and increased eosinophil count
were associated with atherosclerosis. The purpose of this study was to assess the
relationship between eosinophil count and coronary artery calcification (CAC). We
performed a cross-sectional study in 1363 consecutive participants with clinical
suspicion of coronary heart disease (CHD). We evaluated the relationships between
CAC score determined by multislice CT and peripheral eosinophil count as well as
major cardiovascular risk factors, including age, body mass index, smoking
status, hypertension, dyslipidemia, diabetes mellitus (DM), high-sensitivity C
reactive protein and estimated glomerular filtration rate (eGFR). Sex (P=0.0004),
hypertension (P=0.0002), dyslipidemia (P=0.0004) and DM (P=0.0061) were
associated with log(CAC+1), respectively. Positive correlations were found
between log(CAC+1), and age (r=0.325, P<0.0001) and eosinophil count (r=0.165,
P<0.0001). Negative correlations were found between log(CAC+1) and eGFR (r=
0.166, P<0.0001). Multivariate linear regression analysis demonstrated that age
(beta=0.314, P<0.0001), sex (beta=0.124, P<0.0001), hypertension (beta=0.084,
P=0.0008), DM (beta=0.108, P<0.0001), eGFR (beta=-0.079, P=0.0021) and eosinophil
count (beta=0.147, P<0.0001) were independent determinants of log(CAC+1). In
conclusion, eosinophil count correlated positively with CAC in participants with
clinical suspicion of CHD.
PMID- 22072110
TI - Modulation of renal superoxide dismutase by telmisartan therapy in C57BL/6
Ins2(Akita) diabetic mice.
AB - Renal superoxide excess, which is induced by an imbalance of the superoxide
producing enzyme NAD(P)H oxidase and the superoxide-scavenging enzyme superoxide
dismutase (SOD) under hyperglycemia, increases oxidative stress and contributes
to the development of diabetic nephropathy. In this study, we treated non-obese
and hypoinsulinemic C57BL/6-Ins2(Akita) (C57BL/6-Akita) diabetic mice with
telmisartan (5 mg kg(-1) per day), an angiotensin II type 1 receptor blocker, or
amlodipine (5 mg kg(-1) per day), a calcium channel blocker, for 4 weeks and
compared the effects of these two anti-hypertensive drugs on renal NAD(P)H
oxidase, SOD and transcription factor Nrf2 (NF-E2-related factor 2), which is
known to upregulate several antioxidant enzymes including SOD. Vehicle-treated
C57BL/6-Akita mice exhibited higher renal NAD(P)H oxidase and lower renal SOD
activity with increased levels of renal superoxide than the C57BL/6-wild-type non
diabetic mice. Interestingly, telmisartan treatment not only reduced NAD(P)H
oxidase activity but also enhanced SOD activity in C57BL/6-Akita mouse kidneys,
leading to a reduction of renal superoxide levels. Furthermore, telmisartan
treated C57BL/6-Akita mice increased the renal protein expression of SOD and
Nrf2. In parallel with the reduction of renal superoxide levels, a reduction of
urinary albumin levels and a normalization of elevated glomerular filtration rate
were observed in telmisartan-treated C57BL/6-Akita mice. In contrast, treatment
with amlodipine failed to modulate renal NAD(P)H oxidase, SOD and Nrf2. Finally,
treatment of C57BL/6-Akita mice with apocynin, an NAD(P)H oxidase inhibitor, also
increased the renal protein expression of SOD and Nrf2. Collectively, our data
suggest that NAD(P)H oxidase negatively regulates renal SOD, possibly by
downregulation of Nrf2, and that telmisartan could upregulate renal SOD by the
suppression of NAD(P)H oxidase and subsequent upregulation of Nrf2, leading to
the amelioration of renal oxidative stress and diabetic renal changes.
PMID- 22072112
TI - NIRF, a novel ubiquitin ligase, interacts with hepatitis B virus core protein and
promotes its degradation.
AB - Hepatitis B virus (HBV) core protein (HBc) is a major component of viral
nucleocapsid and a multifunctional protein involved in viral maturation and
release. It is unstable and present in cells at low level because of K96 lysine
residue, which is a ubiquitin acceptor site. Np95/ICBP90-like RING finger protein
(NIRF) has auto-ubiquitination activity which is the hallmark of a ubiquitin
ligase. In the present study, ubiquitin ligase, NIRF, binds to HBc and leads to
the proteasome-mediated degradation of HBc in vivo. NIRF down-regulates HBc
protein level, resulting in the decrease of the amount of HBV particles in
supernatant of HepG2.2.15 cells. However knockdown of NIRF significantly
increases endogenous HBc protein level, leading to HBV release. The results
reveal that NIRF interacts with HBc and promotes the degradation of HBc in vivo.
The pathway of NIRF-mediated ubiquitin-proteasome affects the release of HBV
particles by controlling the amounts of HBc. It indicates that NIRF may
participate in the maturation of HBV.
PMID- 22072113
TI - Preparation of encapsulated alliinase in alginate microparticles.
AB - Alliinase (alkylsulphenate lyase, EC 4.4.1.4), which catalyses the production of
allicin, was immobilized in alginate microparticles. Addition of pyridoxal 5'
phosphate to the microparticles enhanced alliinase activity. Encapsulated
alliinase were significantly higher (30 and 22%, respectively) than those of non
encapsulated alliinase at 60 degrees C and at pH 2. Therefore, microencapsulation
of alliinase with alginate can offer an effective way of sustaining enzyme
activity during oral administration and passage through the stomach.
PMID- 22072114
TI - G-CSF therapy reduces myocardial repolarization reserve in the presence of
increased arteriogenesis, angiogenesis and connexin 43 expression in an
experimental model of pacing-induced heart failure.
AB - G-CSF (granulocyte colony-stimulating factor) treatment has been shown to cause
beneficial effects including a reduction of inducible arrhythmias in rodent
models of ischemic cardiomyopathy. The aim of the present study was to test
whether these effects do also apply to pacing-induced non-ischemic heart failure.
In 24 female rabbits, heart failure was induced by rapid ventricular pacing. 24
rabbits were sham operated. The paced rabbits developed a significant decrease of
ejection fraction. 11 heart failure rabbits (CHF) and 11 sham-operated (S)
rabbits served as controls, whereas 13 sham (S-G-CSF) and 13 heart failure
rabbits (CHF-G-CSF) were treated with 10 MUg/kg G-CSF s.c. over 17 +/- 4 days. G
CSF treatment caused a ~25% increased arterial and capillary density and a ~60%
increased connexin 43 expression in failing hearts. In isolated, Langendorff
perfused rabbit hearts eight monophasic action potential recordings showed
prolongation of repolarization in CHF as compared with controls in the presence
of the QT prolonging agent erythromycin (+33 +/- 12 ms; p < 0.01). Moreover, a
significant increase in dispersion of repolarization contributed to a
significantly higher rate of ventricular tachyarrhythmias in CHF. G-CSF-pre
treated hearts showed a further increase in prolongation of repolarization as
compared with S and CHF. The further increase in dispersion of repolarization [S
G-CSF: +23 +/- 9 ms (spatial), +13 +/- 7 ms (temporal); CHF-G-CSF: +38 +/- 14 ms
(spatial), +10 +/- 4 ms (temporal); p < 0.05 as compared with S and CHF],
increased the incidence of ventricular tachyarrhythmias. In summary, chronic G
CSF treatment has moderate beneficial effects on parameters potentially related
to hemodynamic function in the non-ischemic rabbit CHF model. However, a
significant reduction of repolarization reserve might seriously challenge its
suitability as a therapeutic agent for chronic CHF therapy.
PMID- 22072115
TI - Second generation automated anti-CCP test better predicts the clinical diagnosis
of rheumatoid arthritis.
AB - Rheumatoid arthritis (RA) is one of the most common systemic autoimmune diseases.
The presence of antibodies to cyclic citrullinated peptide (CCP) is better at
discriminating RA patients and is also associated with significantly more disease
activity compared to serum rheumatoid factor. In this study, we assessed two new
automated second generation tests to detect the presence of anti-CCP antibodies
in 226 serum samples submitted to the Clinical Immunology Laboratory for anti-CCP
antibody testing. We compared CCP antibody results on these samples obtained
using the ImmunoCAP 250 (Phadia) and the Architect i2000SR (Abbott Laboratories)
instruments to our currently used CCP IgG third generation manual ELISA (Inova
Diagnostics). One hundred and fifty-four samples were negative while 52 were
positive by all three tests. Eighteen samples were negative by the automated
tests but weakly/moderately positive by manual ELISA yielding an overall
concordance of 79%. When we compared the discordant test results to patient
diagnosis, we observed a better correlation with clinical RA diagnosis for the
new automated tests compared to the manual ELISA. These two new anti-CCP antibody
tests have the benefit of automation and may have better positive predictive
value for the diagnosis of RA than our current manual ELISA.
PMID- 22072116
TI - Difference in survival and prognostic factors between smokers and never-smokers
with advanced non-small-cell lung cancer.
AB - BACKGROUND: Our aims were to investigate whether the association between smoking
and survival is significant when adjusted for prognostic factors including use of
epidermal growth factor tyrosine kinase inhibitors and the Glasgow Prognostic
Score, an established score for inflammation, and to explore prognostic factors.
METHODS: We analyzed 244 patients with stage IIIB or IV non-small-cell lung
cancer in a registry, including only chemotherapy-receiving outpatients with
performance status zero. RESULTS: Of 244 patients, 170 had died and the median
follow-up time for the 74 surviving patients was 12.0 months. In multivariate Cox
regression, smoker (hazard ratio compared to never-smoker: 1.67, P < 0.01), stage
IV (hazard ratio compared to IIIB: 1.72, P < 0.01), and elevated C-reactive
protein level (hazard ratio per 1 mg/dL increase: 1.08, P < 0.01) were
significantly associated with shorter survival. The association between survival
and smoking was significant, even after adjustment for the Glasgow Prognostic
Score and regimens of chemotherapy (hazard ratio: 1.72, P = 0.02). In never
smokers, increased neutrophils were a major determinant of shorter survival and
the interaction test between smoking and neutrophils was significant (hazard
ratio per 1,000/mm(3) increase for smokers: 1.01; hazard ratio per 1,000/mm(3)
increase for never-smokers: 1.44, P for interaction <0.01). CONCLUSIONS: Known
factors including treatment response or inflammatory process are not responsible
for the fact that advanced non-small-cell lung cancer patients without any
history of smoking have better survival than those who have smoked.
PMID- 22072117
TI - Cylindrospermopsis raciborskii exudate-Cu complexes: impact on copper dynamics
and bioavailability in an aquatic food chain.
AB - INTRODUCTION: The increasing contamination of aquatic environments motivates
studies on the interactions among natural dissolved organic matter, metals, and
the biota. This investigation focused on the organic exudates of the toxic
cyanobacteria Cylindrospermopsis raciborskii as a Cu carrier through a three
level aquatic trophic chain (bacteria, protozoa, and copepod). DISCUSSION: The
effects of bacteria activity and growth on the metal-organic complexes were
evaluated through changes in free Cu(2+) ions, total dissolved, and total
particulate Cu. To be sure that the added copper would be complexed to the
exudates, its complexing properties were previously determined. The cyanobacteria
exudate-Cu complexes were furnished to bacteria that were further used as a food
source to the protozoan Paramercium caudatum. This was then furnished as food to
the copepod Mesocyclops sp. The results showed that, in general, the
cyanobacterial exudates decreased Cu bioavailability and toxicity to the first
trophic level (bacteria), but because the heterotrophic bacteria accumulated Cu,
they were responsible for the transference for the otherwise low availability
metal form. Both the bacteria and protozoan organisms accumulated Cu, but no
metal accumulation was detected in the copepods.
PMID- 22072118
TI - Highly efficient degradation of ofloxacin by UV/Oxone/Co2+ oxidation process.
AB - INTRODUCTION: In this study, UV/Oxone/Co(2+) oxidation process was applied to
degradation of ofloxacin (OFL) in the presence of Co(2+) as the catalytic and
Oxone as the oxidant. The operation parameters including pH, temperature, dosages
of reagents, and reaction time were studied in detail. RESULTS: The results
showed that the optimum conditions for the UV/Oxone/Co(2+) processes were
determined as follows: temperature = 25 degrees C, pH = 5.0, [Oxone] = 0.6
mmol/L, [Oxone]/[Co(2+)] = 1,000, and reaction time = 60 min. Under these
conditions, 100% of the OFL degraded. The kinetics was also studied, and
degradation of OFL by the UV/Oxone/Co(2+) process could be described by first
order kinetics. CONCLUSIONS: Mineralization of the process was investigated by
measuring the total organic carbon (TOC), and the TOC decreased by 87.0% after 60
min. This process could be used as a pretreatment method for wastewater
containing ofloxacin.
PMID- 22072119
TI - CIP2A expression is elevated in cervical cancer.
AB - Early detection of cervical cancer is critical for a favorable prognosis.
Standard cytological detection methods, such as Pap smear, are highly subjective
and HPV detection is not a reliable marker for predicting the malignancy
potential of cervical lesions. As a result, there is a demand for a diagnostic
assay capable of sensitive and specific detection of cervical cancer. In this
preclinical exploratory study, qRT-PCR and western blotting were used to assess
expression levels of CIP2A and p16INK4a in cervical tissue samples (n(normal
adjacent) = 23, n(tumor) = 29). CIP2A was abundantly expressed in cervical cancer
cell lines and was not expressed in normal epithelial cells. CIP2A mRNA levels
were higher in cervical tumor tissues in comparison to the level of CIP2A mRNA in
normal adjacent tissue from cervical cancer patients. CIP2A protein was
specifically expressed in cervical tumor tissues at different cancer grades and
stages, and was not observed in normal adjacent tissue. Elevated CIP2A mRNA
levels in cervical tissues had a sensitivity of 80% and specificity of 91% and
CIP2A protein expression detection had a sensitivity of 83% and specificity of
100%, similar to that of p16INK4a, with no correlation of CIP2A expression with
HPV infection, age, race, or other patient characteristics. However the number of
samples analyzed in this preliminary study is limited and a large prospective
cohort study is necessary to further evaluate CIP2A as a biomarker for cervical
cancer.
PMID- 22072120
TI - Potential hydrophobic protein markers of breast cancer in Malaysian Chinese,
Malay and Indian patients.
AB - Breast cancer is a leading cause of worldwide mortality in females. In Malaysia,
breast cancer is the most commonly diagnosed cancer in women. Of these, the
Chinese had the most number of breast cancer cases, followed by the Indian and
the Malay. The most common type of breast cancer is infiltrating ductal carcinoma
(IDC). A proteomic approach was used to identify protein profile changes in
cancerous tissues compared with the normal tissues, the tissues were collected
from patients of three different ethnicities, i.e. Chinese, Malay and Indian. Ten
differentially expressed hydrophobic proteins were identified. We had evaluated
the potential of these proteins as biomarker for infiltrating ducal carcinoma
(IDC) and the ethnic-specific expression of these proteins was also determined.
The data showed that peroxiredoxin-2, heat shock protein 60, protein disulfide
isomerase and calreticulin may serve as ethnic-related potential markers for
either one or combination of Chinese, Malay and Indian cohorts as their
expression levels were significantly high in the cancerous tissues compared to
the normal tissues in the ethnic group tested.
PMID- 22072121
TI - KRAS mutation detection in Tunisian sporadic coloractal cancer patients with
direct sequencing, high resolution melting and denaturating high performance
liquid chromatography.
AB - The Kirsten Rat Sarcoma (KRAS) oncogene has been introduced recently as a genetic
biomarker for metastatic sporadic colorectal cancer prior to anti-EGFR treatment.
Identifying patients with KRAS mutations that not respond to EGFR targeted
therapies require sensitive, rapid and efficacious routine technique. We have
attempted to evaluate the efficiency of three conventional methods: direct
sequencing, HRM and DHPLC, to detect mutations in codon 12 and 13 of the KRAS
exon2 gene. For this first Tunisian study on KRAS, we detected 45.83% of altered
KRAS gene among 48 formalin-fixed paraffin-embedded sporadic colorectal
adenocarcinoma patients. The use of HRM-sequencing allowed as enlarging the
detected KRAS exon 2 mutations (22/48) in comparison with direct sequencing
(17/48). DHPLC was used to confirm results when consensus was not observed
between HRM and direct sequencing. This study brings an interesting data
concerning an inter-method validation between sequencing and HRM in the
investigation of sporadic colorectal cancer biomarker. It also shows that KRAS
mutations occur at similar frequencies in Tunisian patients as in other
populations; and suggests that the same genes are at play in sporadic CRC cancer,
despite ethnic, geographical and environmental differences between countries.
PMID- 22072122
TI - Nuclear co-expression of p14ARF and p16INK4A in uterine cervical cancer-derived
cell lines containing HPV.
AB - The Papanicolaou test (Pap) has been responsible for a significant reduction of
cervical cancer-related morbimortality. In order to increase its sensitivity and
specificity new markers have been studied and incorporated to cytological and
histological methods for diagnosis for cervical cancer, such as p16INK4A that has
been considered the immunocytochemical marker of choice for detection of HPV
related cancers. We considered that p14ARF could be a complementary marker in
order to improve the accuracy of cytological diagnosis because its genetic
proximity to p16INK4A. We performed a systematic analysis of several putative
cervical cancer markers in order to evaluate their performance in the detection
of malignancy, in comparison with p16INK4A and p14ARF, using immunocytochemistry
(ICC), immunofluorescence (IF) and Western blot analyses. Most markers were non
specific and could not discriminate HPV infected cancer cell lines from other non
HPV malignant. In contrast, nuclear co-expression of p16INK4A and p14ARF was
observed only in HPV-transformed cancer cell lines. Notably, in C-33A cervical
cancer cells (HPV negative), p14ARF was present in the nucleoli, but p16INK4A was
conspicuously absent from the nuclei of these cells. We conclude that both
markers; p16INK4A and p14ARF are complementary and should be evaluated jointly in
order to improve the accuracy of cytological diagnosis of cervical cancer.
PMID- 22072123
TI - Polymorphism in cytochrome P450 1A2 and their interaction with risk factors in
determining risk of squamous cell lung carcinoma in men.
AB - The present case-control study was carried out to investigate the association of
functionally important polymorphisms of cytochrome P450 1A2 (CYP1A2) involved in
the metabolic activation of tobacco derived procarcinogens with squamous cell
carcinoma (SCC) of lung in North Indian men. The study consisted of 200 male
cases with SCC of lung and an equal number of age and sex matched healthy
controls. Our data showed that variant genotype of CYP1A2*1D and CYP1A2*1F were
significantly associated with increased susceptibility to SCC of lung. Likewise,
GSTM1 null genotype was found to be over represented in patients when compared to
controls. Haplotype analysis revealed that haplotype, G-Tdel-T-C was
significantly associated with risk to SCC of lung. Moreover, a significant
increase in the risk to SCC of lung in the cases carrying combination of variant
genotype of CYP1A2 with either CYP1A1 or GSTM1 have shown that gene-gene
interactions may play an important role in squamous cell lung cancer risk. Our
data also revealed that smokers or tobacco chewers carrying variant alleles of
either CYP1A2*1D or CYP1A2*1F were at increased risk to SCC of lung, further
demonstrating that CYP1A2 genotypes interact with environmental risk factors in
enhancing the risk to squamous cell lung carcinoma.
PMID- 22072125
TI - Urban women's preferences for learning of their mammogram result: a qualitative
study.
AB - Research suggests that communication of mammogram results is flawed for many low
income ethnic minority women. This study conducted four focus groups with low
income inner-city minority women (n = 34). The goals of our project were: (1) to
elucidate women's experiences learning of their result; (2) to elicit their
preferences as to how this communication could be improved; and (3) to gather
information to help inform the development of a new tool for communicating
mammogram results. Salient themes included dissatisfaction with result
communication; difficulty elucidating the meaning of a typical results
notification letter; a preference for direct verbal communication of results and
for print materials that included pictures, testimonials, and an action plan
including a hotline to call with questions; and a strong interest in advance
education about the likelihood of having to return for additional follow up.
Video and other programs to inform patients before the test about what happens
after may improve patient satisfaction and enhance women's understanding of their
personal result and follow up plan.
PMID- 22072124
TI - Growth of ammonia-oxidizing archaea and bacteria in cattle manure compost under
various temperatures and ammonia concentrations.
AB - A recent study showed that ammonia-oxidizing bacteria (AOB) and ammonia-oxidizing
archaea (AOA) coexist in the process of cattle manure composting. To investigate
their physiological characteristics, liquid cultures seeded with fermenting
cattle manure compost were incubated at various temperatures (37 degrees C, 46
degrees C, or 60 degrees C) and ammonium concentrations (0.5, 1, 4, or 10 mM NH
(4) (+) -N). The growth rates of the AOB and AOA were monitored using real-time
polymerase chain reaction analysis targeting the bacterial and archaeal ammonia
monooxygenase subunit A genes. AOB grew at 37 degrees C and 4 or 10 mM NH (4) (+)
-N, whereas AOA grew at 46 degrees C and 10 mM NH (4) (+) -N. Incubation with
allylthiourea indicated that the AOB and AOA grew by oxidizing ammonia.
Denaturing gradient gel electrophoresis and subsequent sequencing analyses
revealed that a bacterium related to Nitrosomonas halophila and an archaeon
related to Candidatus Nitrososphaera gargensis were the predominant AOB and AOA,
respectively, in the seed compost and in cultures after incubation. This is the
first report to demonstrate that the predominant AOA in cattle manure compost can
grow and can probably oxidize ammonia under moderately thermophilic conditions.
PMID- 22072126
TI - A pilot program in collaboration with African American churches successfully
increases awareness of the importance of cancer research and participation in
cancer translational research studies among African Americans.
AB - African Americans are underrepresented in cancer research. We evaluate whether
collaboration with African American churches can improve cancer awareness and
increase participation in translational research protocols among African
Americans. From February to April 2010, the Mayo Clinic partnered with African
American Jacksonville churches to provide educational programs focused on cancer
research and healthy behaviors. Education on multiple myeloma and on-site access
to a translational cancer research pilot project evaluating the prevalence of
monoclonal gammopathies and t(14,18) in African Americans was offered. Seventy
four percent, 236 out of 318 participants, returned the questionnaires. The
majority of participants had never received information on multiple myeloma
(67%), had never received clinical research study information (57%), and were
enrolled in the translational research studies (55%). Partnerships with African
American churches in community education projects that bring research to church
venues are effective in improving cancer awareness and in increasing research
participation among African Americans.
PMID- 22072127
TI - Direct lateral approach to pathology at the craniocervical junction: a technical
note.
AB - BACKGROUND: Approaches to the foramen magnum and upper cervical spine
traditionally include the posterior midline, far lateral, and endoscopic
endonasal approaches. The far lateral approach is a well-established technique
for the removal of pathology ventrolateral to the brainstem and the
craniocervical junction, but it may be too extensive for lesions limited to areas
far from the midline. OBJECTIVE: To present an alternative to the commonly used
approaches to the foramen magnum and upper cervical. METHODS: We used an approach
directly overlying ventral or lateral pathology. RESULTS: Two cases are presented
in which the direct lateral approach followed by an occipitocervical fusion was
successfully performed. CONCLUSION: This approach can be considered for patients
in whom a ventral decompression is necessary but an endoscopic endonasal approach
is undesirable or when a ventral, lateral, and ventrolateral resection of tumor,
pannus, or infection is required.
PMID- 22072128
TI - Transcortical venous approach for direct embolization of a cavernous sinus dural
arteriovenous fistula: technical case report.
AB - BACKGROUND AND IMPORTANCE: Dural arteriovenous fistulas (dAVFs) represent 10% to
15% of all intracranial arteriovenous malformations. Most often, embolization is
accomplished with transfemoral catheter techniques. We present a case in which
embolization of a cavernous sinus dAVF was made possible through transcranial
cannulation of a cortical draining vein. CLINICAL PRESENTATION: An 82-year-old
woman presented with diplopia, left sixth cranial nerve palsy, intraocular
hypertension, and bilateral chemosis. Angiography revealed a complex cavernous
dAVF with cortical venous reflux, supplied by both external carotid arteries and
the left meningohypophyseal trunk. Percutaneous transvenous access failed, and
only partial occlusion was achieved by transarterial embolization. A
frontotemporal craniotomy was performed to access the superficial middle cerebral
vein in the left sylvian fissure. Under fluoroscopic guidance, a microcatheter
was advanced through this vein to the floor of the middle cranial fossa and into
the dAVF, permitting coil occlusion. CONCLUSION: This transcranial vein technique
may be a useful adjunct in dAVF therapy when percutaneous transarterial or
transvenous approaches fail or are not possible.
PMID- 22072129
TI - Image-guided transcranial Doppler ultrasound.
PMID- 22072130
TI - Treatment of Harlequin syndrome.
PMID- 22072131
TI - Cerebral compromise and multimodality monitoring after SAH.
PMID- 22072132
TI - Manucher Javid, urea, and the rise of osmotic therapy for intracranial pressure.
AB - Therapy with hypertonic solutions is one of the mainstays of neurosurgical
treatment for all types of neurological injury. Although the initial research
with hypertonic agents in the early decades of the 20th century showed great
promise for these agents to lower intracranial pressure, this research also
showed a considerable rate of adverse effects and complications. By the 1940s and
1950s, hypertonic therapy had been discounted as unsafe and was rarely used in
neurosurgery. In the late 1950s, Manucher J. Javid and Paul Settlage at the
University of Wisconsin began experimenting with infusions of urea as an agent to
control intracranial pressure. Their experiments were wildly successful, and urea
became a drug of major importance to neurosurgeons worldwide in only a few years.
This article chronicles the work of Javid and Settlage, including a discussion of
the early research on hypertonic agents, the initial difficulty the Wisconsin
researchers had in disseminating their results, the widespread acceptance that
followed, and the impact that these discoveries had on the neurosurgical
community. The prominent place that hypertonic agents now hold in the
armamentarium of neurosurgeons is owed to the work of Dr Javid, as illustrated in
this historical analysis.
PMID- 22072133
TI - Achille Louis Foville's atlas of brain anatomy and the Defoville syndrome.
AB - Achille Louis Foville's atlas of brain anatomy (1844) is one of the most artistic
and detailed works on neuroanatomy in the medical literature. The outstanding
drawings by the 2 artists, Emile Beau and Frederic-Michel Bion, highlight all the
philosophy, ability, and sensibility of A.L. Foville in carefully dissecting the
superficial and deep structures of the brain and spinal cord. Several plates show
true brain fiber dissections of high artistic and academic value. As a result of
an early misrecognition in the medical literature, "inferior Foville syndrome"
has been wrongly attributed to Achille Louis Foville rather than his son, Achille
Louis Francois Foville (1832-1887), also called Defoville. Therefore, we suggest
that Defoville, who actually described the pontine syndrome for the first time in
the neurological literature, deserves to be credited for this syndrome and that
the syndrome should be called the Defoville syndrome. Through analyzing the
political and scientific events in France in the 19th century, we highlight the
invaluable contributions of A.L. Foville and his son to the history of
neuroanatomy and neurology.
PMID- 22072134
TI - Improvement of secondary fixed dystonia of the upper limb after chronic
extradural motor cortex stimulation in 10 patients: first reported series.
AB - BACKGROUND: Fixed dystonic postures secondary to ischemic, traumatic, or
postsurgical lesions located in the basal ganglia and brainstem constitute a
major therapeutic challenge and limit motor rehabilitation efficacy. They are
often refractory to conservative treatment. Aberrant cerebral plasticity
developed after deep brain lesions is thought to lead to abnormal cortical
representation of the affected part of the body and then to pathological fixed
postures. OBJECTIVE: To assess the efficacy of motor cortex stimulation in
patients with upper limb fixed dystonia. METHODS: Ten patients were submitted to
computer-assisted and electromyography-monitored implantation of intracranial
epidural electrodes over the central cortical sulcus contralateral to the
affected limb. Patients were followed up from 1 to 9 years (9 patients), except
for patient 10, whose follow-up was limited to 4 months. RESULTS: Seven of 7
patients showed > 30% improvement in the Disability of Shoulder, Arm, and Hand
Scale and an overall 70% increase in the score of the Short Form-36 Physical
Activity subscale with significant and stable improvement of quality of life
during stimulation. The partial recovery of hand dexterity observed in most of
the treated patients additionally contributed to a significant improvement of
their quality of life. CONCLUSION: Although the pathophysiology of fixed dystonia
is unknown, our results suggest a major role of the motor cortex in this
condition and reinforce the hypothesis that postlesional delayed cortical
rearrangements might take place in these forms and be the target of effective
therapeutic neuromodulation.
PMID- 22072135
TI - Partial ipsilateral C7 transfer to the upper trunk for C5-C6 avulsion of the
brachial plexus.
AB - BACKGROUND: Ipsilateral whole C7 root transfer has been reported in treating C5
C6 avulsion. To minimize donor deficits, partial ipsilateral C7 (PIC7) transfer
was developed. OBJECTIVE: To investigate the long-term results of PIC7 transfer
to the upper trunk in treating C5-C6 avulsion of the brachial plexus. METHODS: We
prospectively studied 8 young adults with C5-C6 avulsion. Five patients (group A)
who also had spinal accessory nerve (SAN) injury underwent PIC7 transfer to the
upper trunk. The other 3 patients (group B) without SAN injury underwent a
combination of PIC7 to the upper trunk and the SAN to the suprascapular nerve
(SSN). Postsurgical evaluations including donor deficits, functional recovery,
and co-contraction of the muscles were performed 1 week later and then at
intervals of 3 months. RESULTS: After a mean period of 39.2 months, all subjects
were found to have gained elbow flexion of 110 to 150 degrees with muscle
strength of M4-5. The patients in group B achieved external rotation of 60 to 70
degrees at M3-4, and 2 achieved shoulder abductions approaching 180 degrees at
M4. The patients in group A showed no active external rotation and shoulder
abduction of 25 to 50 degrees at M2-3. The temporary deficits caused by PIC7
transfer disappeared in all subjects within the first 3 months. Co-contraction of
the latissimus dorsi against the deltoid was recorded in group A but not in group
B. CONCLUSION: PIC7 transfer, when combined with SAN transfer to SSN as a novel
approach, is a safe, easy, and efficacious surgical procedure for patients with
simple C5-C6 avulsion.
PMID- 22072136
TI - Neisseria meningitidis induces platelet inhibition and increases vascular
endothelial permeability via nitric oxide regulated pathways.
AB - Despite antibiotic therapy, infections with Neisseria meningitidis still
demonstrate a high rate of morbidity and mortality even in developed countries.
The fulminant septicaemic course, named Waterhouse-Friderichsen syndrome, with
massive haemorrhage into the adrenal glands and widespread petechial bleeding
suggest pathophysiological inhibition of platelet function. Our data show that
N. meningitidis produces the important physiological platelet inhibitor and
cardiovascular signalling molecule nitric oxide (NO), also known as endothelium
derived relaxing factor (EDRF). N. meningitidis -derived NO inhibited ADP
induced platelet aggregation through the activation of soluble guanylyl cyclase
(sGC) followed by an increase in platelet cyclic nucleotide levels and subsequent
activation of platelet cGMP- and cAMP- dependent protein kinases (PKG and PKA).
Furthermore, direct measurement of horseradish peroxidase (HRP) passage through a
vascular endothelial cell monolayer revealed that N. meningitidis significantly
increased endothelial monolayer permeability. Immunfluorescence analysis
demonstrated NO dependent disturbances in the structure of endothelial adherens
junctions after co-incubation with N. meningitidis . In contrast to platelet
inhibition, the NO effects on HBMEC were not mediated by cyclic nucleotides. Our
study provides evidence that NO plays an essential role in the pathophysiology of
septicaemic meningococcal infection.
PMID- 22072137
TI - Urban health educators' perspectives and practices regarding school nutrition
education policies.
AB - Although nutrition-related health education policies exist at national, state and
local levels, the degree to which those policies affect the everyday practices of
health education teachers who are charged with executing them in schools is often
unclear. The purpose of this study was to examine the nutrition-related health
education policy matrix that affected one urban school district, the health
education teachers' awareness of those policies, the impact of nutrition policies
on teachers' instruction and challenges teachers perceived in executing
comprehensive nutrition education. The study used interpretive ethnography to
examine the educational contexts and perspectives of 27 health educators from 24
middle schools in one urban district in the Midwestern United States. Data were
collected through school observations, interviews with key personnel and document
collection. We found that a network of nutrition-related education policies
governed health education teachers' instruction, but that teachers were uniformly
unaware of those policies. Without institutional coherence and clear directives,
health education teachers taught little nutrition content, primarily due to poor
training, professional development, instructional resources and administrative
accountability. The results are discussed in light of the enormous challenges in
many urban schools and the need for nutrition education professional development.
PMID- 22072138
TI - Optimization of culture conditions for 1,3-propanediol production from glycerol
using a mutant strain of Klebsiella pneumoniae.
AB - In the present work, mutant strains of Klebsiella pneumoniae with deletions of
the als gene encoding acetolactate synthase involved in synthesis of 2,3
butanediol, the ldhA gene encoding lactate dehydrogenase required for lactate
synthesis, or both genes, were prepared. Production of 1,3-propanediol (1,3-PD)
from glycerol was enhanced in the ldhA mutant strain (DeltaldhA), but lower in
Deltaals or Deltaals DeltaldhA mutant strains compared to the parent strain,
concomitant with a reduction in the glycerol consumption rate, indicating that
deletion of ldhA alone was useful to improve 1,3-PD production. Fed-batch
fermentation analysis revealed that, in the DeltaldhA mutant strain, 1,3-PD
production was higher at low pH than at neutral pH; the reverse was true for the
parent strain. Further optimization of culture conditions, by variation of
aeration and glycerol feed rates, dramatically improved the production of 1,3-PD
by the mutant strain. The maximum level attained was 102.7 g l(-1) of 1,3-PD from
glycerol.
PMID- 22072139
TI - Characterization and enhanced production of prodigiosin from the spoiled coconut.
AB - Many bacterial secondary products are bioactive substances that play an important
role in biotechnology and pharmacology (e.g., as antibiotics or antitumor
agents). Over the past few years interest in prodigiosin has been increased due
to its promising anti-cancer activity. Prodigiosin is also of potential clinical
interest because it is reported to have anti-fungal, anti-bacterial, anti
protozoal/anti-malarial, and immunosuppressive activity. Thus there is a need to
develop a high-throughput and cost-effective bioprocess for the production of
prodigiosin. In the present study, Serratia rubidaea was isolated from colored
portion of a spoiled coconut and further it was authenticated by MTCC, India. The
various parameters like temperature, pH, salt concentration, and precursors were
optimized for the production of prodigiosin. We now report that the pigment
production was higher in our isolated strain than S. marcescens. It was observed
that prodigiosin binds with plastic, paper, and fibers and thus in near future,
it can also be used as a natural dye.
PMID- 22072140
TI - In silico characterization of alkaline proteases from different species of
Aspergillus.
AB - A total of 49 protein sequences of alkaline proteases retrieved from GenBank
representing different species of Aspergillus have been characterized for various
physiochemical properties, homology search, multiple sequence alignment, motif,
and super family search and phylogenetic tree construction. The sequence level
homology was obtained among different groups of alkaline protease enzymes, viz
alkaline serine protease, oryzin, calpain-like protease, serine protease,
subtilisin-like alkaline proteases. Multiple sequence alignment of alkaline
protease protein sequence of different Aspergillus species revealed a stretch of
conserved region for amino acid residues from 69 to 110 and 130-204. The
phylogenetic tree constructed indicated several Aspergillus species-specific
clusters for alkaline proteases namely Aspergillus fumigatus, Aspergillus niger,
Aspergillus oryzae, Aspergillus clavatus. The distributions of ten commonly
observed motifs were analyzed among these proteases. Motif 1 with a signature
amino acid sequence of 50 amino acids, i.e.,
ASFSNYGKVVDIFAPGQDILSCWIGSTTATNTISGTSMATPHIVGLSCYL, was uniformly observed in
proteases protein sequences indicating its involvement with the structure and
enzymatic function. Motif analysis of acidic proteases of Aspergillus and
bacterial alkaline proteases has revealed different signature amino acid
sequences. The superfamily search for these proteases revealed the presence of
subtilases, serine-carboxyl proteinase, calpain large subunit, and thermolysin
like superfamilies with 45 representing the subtilases superfamily.
PMID- 22072141
TI - Xylanase and beta-xylosidase production by Aspergillus ochraceus: new
perspectives for the application of wheat straw autohydrolysis liquor.
AB - The xylanase biosynthesis is induced by its substrate-xylan. The high xylan
content in some wastes such as wheat residues (wheat bran and wheat straw) makes
them accessible and cheap sources of inducers to be mainly applied in great
volumes of fermentation, such as those of industrial bioreactors. Thus, in this
work, the main proposal was incorporated in the nutrient medium wheat straw
particles decomposed to soluble compounds (liquor) through treatment of
lignocellulosic materials in autohydrolysis process, as a strategy to increase
and undervalue xylanase production by Aspergillus ochraceus. The wheat straw
autohydrolysis liquor produced in several conditions was used as a sole carbon
source or with wheat bran. The best conditions for xylanase and beta-xylosidase
production were observed when A. ochraceus was cultivated with 1% wheat bran
added of 10% wheat straw liquor (produced after 15 min of hydrothermal treatment)
as carbon source. This substrate was more favorable when compared with xylan,
wheat bran, and wheat straw autohydrolysis liquor used separately. The
application of this substrate mixture in a stirred tank bioreactor indicated the
possibility of scaling up the process to commercial production.
PMID- 22072142
TI - Isolation and characterization of a novel plasma membrane intrinsic protein gene,
LcPIP1, in Leymus chinensis that enhances salt stress tolerance in Saccharomyces
cerevisiae.
AB - A novel plasma membrane intrinsic, LcPIP1, was isolated from Leymus chinensis
using RACE method. The LcPIP1 has 288 amino acids with an estimated molecular
mass of 30.6 kDa. Semi RT-PCR analysis indicated that the expression level of
LcPIP1 was obviously higher in leaf than root. The LcPIP1 was also found to be
induced by salt stress. In addition, transformed with the LcPIP1, Saccharomyces
cerevisiae could increase tolerance to salt stress. These results indicate that
the LcPIP1 gene seems to play a role in resistance against salt stress.
PMID- 22072143
TI - Overview of fungal lipase: a review.
AB - Lipases (triacylglycerolacyl hydrolases, EC3.1.1.3) are class of enzymes which
catalyze the hydrolysis of long-chain triglycerides. In this review paper, an
overview regarding the fungal lipase production, purification, and application is
discussed. The review describes various industrial applications of lipase in pulp
and paper, food, detergent, and textile industries. Some important lipase
producing fungal genera include Aspergillus, Penicillium, Rhizopus, Candida, etc.
Current fermentation process techniques such as batch, fed-batch, and continuous
mode of lipase production in submerged and solid-state fermentations are
discussed in details. The purification of lipase by hydrophobic interaction
chromatography is also discussed. The development of mathematical models applied
to lipase production is discussed with special emphasis on lipase engineering.
PMID- 22072144
TI - Composition and source apportionment of PAHs in sediments at river mouths and
channel in Kaohsiung Harbor, Taiwan.
AB - Fifty-eight sediment samples were collected in 2009 from the bottom of river
mouths near Kaohsiung Harbor (Taiwan) and the harbor channel for the analyses of
polycyclic aromatic hydrocarbons (PAHs) using gas chromatography-mass
spectrometry (GC-MS). Concentrations of total PAHs varied from 39 to 30,521 ng g(
1) (dry weight); samples collected from the mouths of Love River, Canon River,
Jen-Gen River, and Salt River showed the highest PAHs concentrations. This
indicates that the major sources of sediment PAHs come from those polluted urban
rivers and the harbor channel. In samples collected from the Salt River mouth,
approximately 43% of the PAHs are identified as PAHs with 2 or 3 rings. However,
samples collected from other locations contain predominantly PAHs with 4 rings
(32 to 42%) or 5 and 6 rings (36 to 44%). Emissions from traffic-related sources
and waste incineration contribute to the majority of PAHs found in most channel
and river mouth sediments. However, coal/oil combustion is the main cause of high
concentrations of PAHs observed in the Salt River mouth sediments. Principal
component analyses with multivariate linear regression (PCA/MLR) have been used
to further quantify the source contributions, and the results show that the
contributions of coal/oil combustion, traffic-related and waste incineration are
37%, 33% and 30%, respectively.
PMID- 22072145
TI - Matrix metalloproteinase-2 polymorphisms and clinical outcome of Chinese patients
with nonsmall cell lung cancer treated with first-line, platinum-based
chemotherapy.
AB - BACKGROUND: Matrix metalloproteinase-2 (MMP-2) is well known for its critical
role in cell survival and cancer development. It also plays an important role in
hematopoietic recovery after chemotherapy-induced myelosuppression. In this
study, the authors investigated the association of MMP-2 polymorphisms with
treatment efficacy and the occurrence of severe toxicity in patients with
nonsmall cell lung cancer (NSCLC) who were receiving first-line, platinum-based
chemotherapy. METHODS: A pharmacogenetic association study was performed in 663
Chinese patients who had inoperable stage III/IV NSCLC and were receiving first
line, platinum-based regimens. Information about objective response, progression
free survival, overall survival, grade 3 or 4 gastrointestinal toxicity
(nausea/vomiting), and hematologic toxicity (neutropenia, anemia,
thrombocytopenia) was available. Sixteen tag single nucleotide polymorphisms
(SNPs) of MMP-2 were assessed. RESULTS: In 7 polymorphisms, significant
associations were observed with the incidence of grade 3 or 4 neutropenia. The
variant homozygotes of reference SNP rs12934241 exhibited the most significant
effect on the risk of neutropenia, leading to an incidence rate that increased
from 12.3% (for the C/C genotype) to 50% (for the T/T genotype; odds ratio, 8.33;
P = 8.8 * 10(-5)). Stratified analyses indicated that rs12934241 exhibited a much
stronger influence in the cisplatin-gemcitabine regimen subgroup than subgroups
that received other regimens (P(interaction) = .003). Further haplotype analyses
produced results that were consistent with results from single-SNP analyses.
However, no significant association was observed between MMP-2 polymorphisms and
treatment efficacy, including response rate, clinical benefit, progression-free
survival, and overall survival. CONCLUSIONS: To the authors' knowledge, this
study provides the first evidence for a predictive role of MMP-2 polymorphisms in
the variability of severe chemotherapy-related neutropenia among Chinese patients
with platinum-treated, advanced NSCLC.
PMID- 22072146
TI - Side-to-side isoperistaltic strictureplasty for chronic ischemic enteritis:
report of a case.
AB - Chronic ischemic enteritis can cause intestinal strictures, but extensive
resection of the small intestine may leave patients with short bowel syndrome.
Thus, the importance of preserving diseased small bowel is now recognized. We
report a case of successful side-to-side isoperistaltic strictureplasty (SSIS),
performed to prevent short bowel syndrome, in a patient with ischemic enteritis
caused by strangulated intestinal obstruction. SSIS is useful for preserving the
intestinal absorptive function in patients with a long narrowed bowel loop caused
by ischemic change. To our knowledge, this is the first report of the successful
treatment of a long stricture resulting from ischemic enteritis, achieved by
performing SSIS.
PMID- 22072147
TI - Total resection of the right hepatic vein drainage area with the aid of three
dimensional computed tomography.
AB - PURPOSE: We analyzed the feasibility and safety of our preliminary surgical
approach for total hepatic resection of the right hepatic vein drainage area (THR
RHV) with the aid of three-dimensional computed tomography (3D-CT) guidance.
METHODS: Clinical findings and 3D-CT volumetry results were investigated in five
patients who underwent THR-RHV for a hepatic malignant tumor close to the right
hepatic vein (RHV). RESULTS: The mean estimated remnant liver volume after a
conventional right lobectomy was 474 ml, whereas that after THR-RHV was 614 ml,
indicating that 140 ml (13.8%) of additional liver volume had been preserved by
performing THR-RHV. The median operative time, mean ischemic time, and mean blood
loss during surgery were 406 min, 51 min, and 587 ml, respectively. Histological
examinations confirmed a negative surgical margin in all five patients. The mean
liver volume estimated by 3D-CT was 458 ml, whereas the mean actual resected
liver volume was 468 g, resulting in a mean error ratio of 3.1%. CONCLUSIONS: THR
RHV allowed for a higher remnant liver volume than that after conventional right
lobectomy of the liver, and proved feasible with acceptable perioperative
results. This technique thus promotes both safety and curability for patients
with a tumor close to the RHV.
PMID- 22072148
TI - Prognostic significance of glucose transporter-1 (GLUT1) gene expression in
rectal cancer after preoperative chemoradiotherapy.
AB - PURPOSE: Most cancer cells exhibit increased glycolysis. The elevated glucose
transporter 1 (GLUT1) expression has been reported to be associated with
resistance to therapeutic agents and a poor prognosis. We wondered whether GLUT1
expression was associated with the clinical outcome in rectal cancer after
preoperative chemoradiotherapy (CRT), and whether glycolysis inhibition could
represent a novel anticancer treatment. METHODS: We obtained total RNA from
residual cancer cells using microdissection from a total of 52 rectal cancer
specimens from patients who underwent preoperative CRT. We performed
transcriptional analyzes, and studied the association of the GLUT1 gene
expression levels with the clinical outcomes. In addition, we examined each
proliferative response of three selected colorectal cancer cell lines to a
glycolysis inhibitor, 3-bromopyruvic acid (3-BrPA), with regard to their
expression of the GLUT1 gene. RESULTS: An elevated GLUT1 gene expression was
associated with a high postoperative stage, the presence of lymph node
metastasis, and distant recurrence. Moreover, elevated GLUT1 gene expression
independently predicted both the recurrence-free and overall survival. In the in
vitro studies, we observed that 3-BrPA significantly suppressed the proliferation
of colon cancer cells with high GLUT1 gene expression, compared with those with
low expression. CONCLUSION: An elevated GLUT1 expression may be a useful
predictor of distant recurrence and poor prognosis in rectal cancer patients
after preoperative CRT.
PMID- 22072149
TI - Clinical implications of the margin cytology findings and margin/tumor size ratio
in patients who underwent pulmonary excision for peripheral non-small cell lung
cancer.
AB - PURPOSE: A pulmonary wedge resection is useful for the treatment of peripheral
non-small cell lung cancer (NSCLC). The margin/tumor size ratio (M/T) is a
predictor of positive margin cytology findings in these procedures, although the
long-term clinical implications remain unclear. This relationship was
investigated in this study. METHODS: Thirty-seven cases with a high surgical risk
without additional pulmonary resection were selected from those accrued in a
multicenter prospective study of optimal margin distance for pulmonary excision
of peripheral NSCLC and followed for more than 5 years (range 5.3-14 years).
RESULTS: Both the M/T and margin cytology findings were indicators of cancer
recurrence and survival. All seven cases of surgical margin recurrence had a
cytology-positive surgical margin. The 5-year survival rate was 54.2% (n = 24)
for M/T < 1 and 84.6% for M/T >= 1 (n = 13, P = 0.05), while it was 38.5% for
positive margin (n = 13) and 79.2% for negative margin (n = 24) cases (P =
0.001). In addition, the margin cytology findings were an independent prognostic
factor. CONCLUSION: A pulmonary wedge resection for peripheral NSCLC should
result in a negative malignant margin, which might be obtained from a sufficient
tumor margin ratio of M/T >= 1.
PMID- 22072150
TI - Successful surgical treatment of advanced follicular thyroid carcinoma with tumor
thrombus infiltrating the superior vena cava: report of a case.
AB - We report a case of advanced follicular thyroid carcinoma with massive
involvement of the great veins of the cervix and mediastinum, and extensive tumor
thrombus growing intraluminally into the superior vena cava. The patient, a 70
year-old Japanese woman, was treated successfully by a cooperative surgical team
of endocrine and cardiovascular surgeons. Total thyroidectomy with thrombectomy
was performed via a minimum phlebotomy in the right brachiocephalic vein,
sacrificing only the right internal jugular vein, achieving complete primary
tumor resection. She recovered quickly without any complications, and received
(131)I radioisotope ablation for her multiple lung metastases. At the time of
writing, more than 12 months after surgery, she was well. These treatments thus
achieved progression-free survival without impairing her quality of life.
Following the case report, we discuss the surgical indications for locally
advanced thyroid carcinoma involving the great veins of the mediastinum by
reviewing previous reports.
PMID- 22072151
TI - Off-the-job training for VATS employing anatomically correct lung models.
AB - We evaluated our simulated major lung resection employing anatomically correct
lung models as "off-the-job training" for video-assisted thoracic surgery
trainees. A total of 76 surgeons voluntarily participated in our study. They
performed video-assisted thoracic surgical lobectomy employing anatomically
correct lung models, which are made of sponges so that vessels and bronchi can be
cut using usual surgical techniques with typical forceps. After the simulation
surgery, participants answered questionnaires on a visual analogue scale, in
terms of their level of interest and the reality of our training method as off
the-job training for trainees. We considered that the closer a score was to 10,
the more useful our method would be for training new surgeons. Regarding the
appeal or level of interest in this simulation surgery, the mean score was 8.3 of
10, and regarding reality, it was 7.0. The participants could feel some of the
real sensations of the surgery and seemed to be satisfied to perform the
simulation lobectomy. Our training method is considered to be suitable as an
appropriate type of surgical off-the-job training.
PMID- 22072152
TI - Cost disparity between open repair and endovascular aneurysm repair for abdominal
aortic aneurysm: a single-institute experience in Japan.
AB - PURPOSE: We conducted this study to compare the cost of open surgical repair (OR)
with that of endovascular aneurysm repair (EVAR) of an abdominal aortic aneurysm
(AAA). METHODS: Between January 2007 and November 2008, 70 patients underwent
open repair and 57 patients underwent EVAR. We evaluated the total cost,
including that of the Diagnosis Procedure Combination (DPC), that of the surgical
procedure, that of materials such as grafts and guide wires, and that of the
anesthesia. RESULTS: The mean costs for OR versus EVAR were as follows: DPC,
Y632,370 versus Y490,050, respectively, which was significant; anesthesia,
Y123,540 versus Y86,220, respectively (P < 0.05); and materials, Y257,770 versus
Y2,113,280, respectively (P < 0.05). Thus, the mean total cost was Y1,825,830
versus Y3,159,270 for open repair and EVAR, respectively (P < 0.05). CONCLUSIONS:
New technologies should not only be clinically effective, but also cost
effective. EVAR is less invasive clinically, but the cost of endovascular
prostheses and other materials remains high.
PMID- 22072153
TI - Poor sleep quality, stress status, and sympathetic nervous system activation in
nondipping hypertension.
PMID- 22072154
TI - Dielectrophoretic chip with multilayer electrodes and micro-cavity array for
trapping and programmably releasing single cells.
AB - Cell characterization analysis usually involves a sequence of steps such as
culture, separation, trapping, examination and recollection. In general, it is
difficult to recover the identified cells and achieve a multi-run examination on
a single chip for clinical samples. In the present study, a dielectrophoresis
(DEP) micro-device was developed for multi-step manipulations of cells at the
single-cell level. The structure of the DEP chip consisted of an indium tin oxide
(ITO) top electrode, a flow chamber, a middle electrode on an SU-8 surface, a
micro-cavity array of SU-8 and distributed electrodes at the bottom of the micro
cavities. The purpose of the three-layer-electrode design was threefold. First,
cells could be trapped into the micro-cavities by negative DEP between the top
and middle electrodes. After cells were trapped, cell analysis at the single-cell
level could potentially be performed. This could include, for example, drug
treatment or biomedical sensing on the chip without applying voltage. Once
identified, the target cells could be individually released by controlling the
bottom distributed electrodes. Finally, the rest of the trapped cells could be
pulled out by a positive DEP force between the top and middle electrodes and
flushed away for the next run of cell analysis. The multi-step manipulations of
human bladder cancer cells (TSGH8301) were successfully demonstrated and
discussed, providing an excellent platform technology for a lab-on-a-chip (LOC).
PMID- 22072155
TI - The addition of amides to group 14 (di)-metallenes.
AB - The addition of a series of primary and secondary amides to the group 14
(di)metallenes Mes(2)Si=SiMes(2), Mes(2)Ge=GeMes(2) and
(Me(3)Si)(2)Si=C(OSiMe(3))R, where R = t-Bu or R = 1-Ad, was examined. In
general, the addition of primary and N-methyl amides gave amide adducts whereas
the addition of N-phenyl amides gave imidate adducts. The regiochemistry of the
additions was highly dependent upon the substituent bonded to the amide nitrogen.
We propose that the formation of the adducts proceeds by way of a zwitterionic
intermediate. The reactivity of tetramesityldigermene towards amides is used to
predict the structure of the amide adducts formed on the Ge(100)-2 * 1 surface.
PMID- 22072156
TI - 15-Hydroxyprostaglandin dehydrogenase associates with poor prognosis in breast
cancer, induces epithelial-mesenchymal transition, and promotes cell migration in
cultured breast cancer cells.
AB - Breast cancer is the most frequent cancer and the leading cause of cancer-related
deaths in women worldwide. The prognosis of breast cancer is tightly correlated
with the degree of spread beyond the primary tumour. Arachidonic acid (AA) and
prostaglandin E(2) (PGE(2)) are known to regulate tumour metastasis enabling
epithelial-mesenchymal transition (EMT). However, the detailed role of 15
hydroxyprostaglandin dehydrogenase (HPGD), the key enzyme degrading prostaglandin
E(2) , remains unclear in breast cancer. Here, we show that HPGD mRNA is
overexpressed in a subset of clinical breast cancers compared to normal breast
tissue samples and that high HPGD mRNA expression associates with poor prognosis.
Immunohistochemical staining of primary breast cancer and lymph node metastasis
tissue samples confirmed high HPGD protein expression in 20% of the samples, as
well as associated HPGD expression with aggressive characteristics, such as
increased risk of disease relapse and shorter disease-free survival. Results from
cultured cells indicated abundant HPGD expression in highly metastatic breast
cancer cells, and impairment of HPGD expression using RNA interference led to a
significant decrease in transforming growth factor-beta signalling, in cellular
arachidonic acid levels as well as in cell migration. Furthermore, gene
expression microarray analysis followed by quantitative RT-PCR validation showed
that HPGD silencing decreased aryl hydrocarbon receptor signalling and induced
mesenchymal-epithelial transition. In conclusion, our results indicate that HPGD
is highly expressed in metastatic and aggressive breast cancer and promotes EMT
and migration in breast cancer cells.
PMID- 22072157
TI - Reversible severe deterioration of glycaemic control after withdrawal of
metformin treatment.
PMID- 22072159
TI - New Zealand is not an island when it comes to global health policy engagement.
PMID- 22072160
TI - Sudden unexpected infant death--no more "stunned amazement"!
PMID- 22072158
TI - Reduction of both beta cell death and alpha cell proliferation by dipeptidyl
peptidase-4 inhibition in a streptozotocin-induced model of diabetes in mice.
AB - AIMS/HYPOTHESIS: Incretins stimulate insulin secretion in a glucose-dependent
manner but also promote pancreatic beta cell protection. Dipeptidyl peptidase-4
(DPP-4) inhibitors are a new glucose-lowering treatment that blocks incretin
degradation by DPP-4. We assessed whether DPP-4 inhibition suppresses the
progression to hyperglycaemia in a low-dose streptozotocin (STZ)-induced diabetic
mouse model, and then investigated how DPP-4 inhibition affects islet function
and morphology. METHODS: The DPP-4 inhibitor, des-fluoro-sitagliptin (SITA), was
administered to mice during and after STZ injections, and in some mice also
before STZ. RESULTS: In control mice, STZ resulted in hyperglycaemia associated
with impaired insulin secretion and excess glucagon secretion. In SITA-treated
STZ mice, these metabolic abnormalities were improved, particularly when SITA
administration was initiated before STZ injections. We observed beta cell loss
and dramatic alpha cell expansion associated with decreased insulin content and
increased glucagon content after STZ administration. In SITA-treated mice, islet
architecture and insulin content were preserved, and no significant increase in
glucagon content was observed. After STZ exposure, beta cell apoptosis increased
before hyperglycaemia, and SITA treatment reduced the number of apoptotic beta
cells. Interestingly, alpha cell proliferation was observed in non-treated mice
after STZ injection, but the proliferation was not observed in SITA-treated mice.
CONCLUSIONS/INTERPRETATION: Our results suggest that the ability of DPP-4
inhibition to suppress the progression to STZ-induced hyperglycaemia involves
both alleviation of beta cell death and alpha cell proliferation.
PMID- 22072161
TI - Revised status of PSA testing in the early detection and treatment of prostate
cancer.
PMID- 22072162
TI - Temporal trends and clinical characteristics of spontaneous intracerebral
haemorrhage in the Waikato region of New Zealand: a hospital-based analysis.
AB - AIMS: To determine the incidence, and any change in incidence, of spontaneous
intracerebral haemorrhage (ICH) detected in the hospitals of the Waikato region
of New Zealand (NZ) between 1999 and 2008. To analyse clinical and patient
parameters, and to correlate these with outcome. METHODS: A retrospective
analysis was performed on patients presenting to Waikato and Thames Hospitals
with ICH during the study period. Radiology reports, blood tests and the
electronic clinical record were reviewed for each patient. RESULTS: 653 episodes
of ICH were identified. The average annual incidence per 100,000 per year was
17.4 (16.1-18.7, 95% confidence interval). This increased from an average of 14.4
(13.7-15.1) between 1999-2001 to 21.4 (20.6-22.2) between 2006-2008 (rate ratio
1.49, p<0.0001). 249 (38.1%) patients died within 30 days of their sentinel
bleed. The presence of intraventricular extension of bleed on neuroimaging (Odds
Ratio (OR) 6.18, p<0.001), warfarin use (OR 1.11, p=0.76), warfarin use and
intraventricular extension of bleed (OR 23.8, p=0.014), lobar location of bleed
(OR 1.88, p=0.001) and age (OR 1.16 for every 10-year increase in age, p=0.02)
increased the likelihood of death within 30 days. CONCLUSION: Observed ICH has
increased in incidence in our hospitals over the past 10 years. Increasing
availability of neuroimaging, increasing numbers of elderly, and increasing
warfarin associated ICH were likely contributors to this observed increase.
Radiological evidence of extension of intraventricular bleed, warfarin use, lobar
location of bleed, and increasing age correlated with poorer survival. This data
will be available for comparison with future studies to assess trends in
incidence, patient characteristics and outcome in ICH.
PMID- 22072163
TI - Secondary prevention of vertebral fractures in a large New Zealand District
Health Board.
AB - INTRODUCTION: International data suggests osteoporotic vertebral fractures are
undertreated. The aim of this audit was to identify treatment gaps in patients
with known vertebral fractures at Waitemata District Health Board (WDHB).
METHODS: Retrospective review of patients admitted to WDHB from July 2006 to June
2007. Inclusion criteria were age over 65 years, admission to any service with a
primary or secondary diagnosis of vertebral fracture. Exclusion criteria were
fractures related to malignancy. Demographic data, details of vertebral fracture,
and history of prior fractures were documented. Osteoporotic medications at
admission and discharge were collected. RESULTS: We analysed 154 patients. The
mean age was 81.5 years and 101 (66%) were women. At discharge, 42 (27%) of
patients were on no treatment and 51 (33%) were treated with calcium, vitamin D
and a bisphosphonate. Men were significantly more likely to be on no treatment
(p<0.05). Lack of treatment did not appear to be associated with age or frailty.
Subgroups studied included patients with prior non-vertebral fractures, primary
diagnosis of vertebral fracture and patients on corticosteroids with rates of no
treatment of 20%, 21% and 16% respectively. CONCLUSION: Secondary treatment of
vertebral fractures in patients admitted to WDHB is suboptimal. Men were
particularly affected.
PMID- 22072164
TI - Emergency peripartum hysterectomy: a 10-year review in a tertiary obstetric
hospital.
AB - AIM: To evaluate the incidence, indications and complications associated with
emergency peripartum hysterectomy (EPH) performed at Christchurch Women's
Hospital, New Zealand. METHODS: A retrospective case series analysis of EPH from
2000-2009. Cases were identified using the hospital's computerised database.
Those medical records were reviewed. EPH was defined as one performed for major
postpartum haemorrhage unresponsive to other treatment within 24 hours of
delivery. RESULTS: Nineteen EPH cases were identified among 47,520 deliveries,
giving an incidence of 0.4 per 1000 deliveries. The indications were invasive
placental adhesion--accreta, increta, percreta (63%), uterine atony (16%),
placenta praevia (10.5%) and uterine tear with atony (10.5%). All cases of
abnormal placentation in this study had previous caesareans or curettages. A
significant association between previous uterine surgery and abnormal
placentation was shown (p=0.02), especially those with previous caesarean
(p=0.003). No maternal or perinatal mortality was recorded. Maternal morbidity
was prevalent, including eight disseminated intravascular coagulopathies, seven
intensive care, three bladder injuries, two re-explorations, one respiratory
failure and one pulmonary embolism. CONCLUSION: Invasive placental adhesion is
the major indication for EPH. This study demonstrates an association between the
presence of scarred uteri as a result of previous uterine surgery, and abnormal
placentation.
PMID- 22072165
TI - Outcomes of patients with untreated severe aortic stenosis in real-world
practice.
AB - BACKGROUND: Surgical aortic valve replacement remains the gold standard of the
treatment of severe symptomatic aortic stenosis but is often not considered due
to excessive risk factors and comorbidities especially in elderly patients. We
describe the burden of untreated severe aortic stenosis at a tertiary care
hospital in New Zealand. METHOD: Consecutive patients with severe aortic stenosis
presented between January-December, 2005 were studied retrospectively. Outcome
assessment included mortality, hospital stay and on going symptoms (angina >CCS
class II, dyspnoea >NYHA class II and syncope). RESULTS: A total of 105 patients
with severe aortic stenosis were identified (mean age 76 plus or minus 13 years,
51% men). Patients were divided into 3 groups according to the management
strategy. (Group 1: Not referred for surgery as asymptomatic (n=25), Group 2:
Declined for surgery (n=41), Group 3: Accepted for surgery (n=39)). Median follow
up was 34 months (interquartile range: 16-36 months). All-cause mortality in
Group 1, Group 2 and Group 3 were 36%, 73% and 18% respectively while hospital
days per 100 patient-years were 3.5, 10.1 and 6.4 and symptoms on last follow-up
were 0%, 64% and 0% respectively. Almost half of symptomatic patients (Group 2
versus 3) were denied valve surgery due to comorbidities. Symptomatic patients
had a significant mortality (p<0.0001) benefit with less hospitalisations
(p<0.0001) post surgery. CONCLUSIONS: Untreated symptomatic severe aortic
stenosis is associated with a poor prognosis and significant morbidity. For
symptomatic patients with severe aortic stenosis who are denied surgery,
alternative therapies such as transcatheter aortic valve implantation could be a
viable option.
PMID- 22072166
TI - Review of 100 consecutive microvascular free flaps.
AB - AIM: To analyse the outcome of microvascular free flap reconstructions in
Middlemore Hospital (South Auckland, New Zealand). METHOD: 100 consecutive free
flap reconstructions from January 2004 to April 2010 were identified from the
Middlemore Hospital Theatre Coding List. Basic patient demographics and
indication for surgery along with free flap types were recorded and outcomes were
analysed. RESULTS: The free flap success rate was 96%. There were 21 short term
complications without any perioperative mortality. The most common complication
was flap infection (7/21) followed by vascular thrombosis (6/21 venous and 1/21
arterial). Other complications included partial ischaemic flap (3/21), haematoma
(2/21), venous congestion (1/21) and partial wound dehiscence (1/21). Fourteen
flaps needed salvage procedures in the operating theatre including eight cases
for re-anastomosis of vessels. The overall successful salvage rate was 71%
resulting in four failures. The successful salvage rate following re-anastomosis
of vessels was 63%. CONCLUSION: Overall success and salvage rates for free flap
reconstructions at our plastics and reconstruction centre are comparable to that
of international literature. Diligent postoperative monitoring and early return
to theatre for re-exploration is the key to ensuring maximal free flap success.
PMID- 22072167
TI - Colonic self-expanding metal stents (SEMS) in acute large bowel obstruction.
AB - AIM: Colonic SEMS are increasing used in the management of acute large bowel
obstruction, both as a bridge to surgery and as a definitive palliative measure
in patients unfit for surgery. We describe our experience from a New Zealand
hospital and compare our data with that already published in literature. METHODS:
In this retrospective 4-year study, data was collected from the case notes of 28
consecutive patients with acute large bowel obstruction referred for colonic
SEMS. Uncovered Boston Scientific colonic SEMS were placed endoscopically under
fluoroscopic guidance. Technical success was considered as correct placement of
stent after deployment and clinical success as the passage of flatus and faeces
after stent insertion. Data was analysed using descriptive statistics. RESULTS:
Our technical and clinical success rates were 90% and 88% respectively. The
procedure was palliative in 15 patients and as a bridge to elective surgery in 13
cases. Procedure-related mortality was 7%. It was because of one early and one
late perforation. The average length of stay post procedure was 2 days. Mean
survival post stent insertion in the palliative group was 2.4 months and for
those with a bridge to surgery was 14 months. CONCLUSION: n Our results support
the data published from international centres in terms of deployment of SEMS in
patients with acute large bowel obstruction, both as a bridge to surgery and as a
definitive palliative measure.
PMID- 22072168
TI - "Punching above its weight": why New Zealand must maintain leadership in global
health.
AB - As a small island nation, with a population of only 4.4 million, and
geographically isolated from the centres of global power, New Zealand could be
seen as of marginal relevance to the global health agenda. This paper argues that
New Zealand has been and should remain a player in global health, even if current
fiscal constraints may suggest otherwise. Involvement fits with our
responsibilities and commitments in the Pacific region and our wider interests,
including ethical international trade, security, global alliances and the
fundamental protection of health.
PMID- 22072169
TI - Hypnosedative access and risk of harm.
AB - AIM: To review PHARMAC's decision, effective 1 September 2010, to remove the 1
month restriction on funded prescription of hypnotics and anxiolytics. METHOD: We
consider the evidence for an association between access to these medicines and
risk of harm. RESULTS: Prescription volumes and reported harms have both
increased over the last decade in New Zealand; available studies and clinical
experience suggest a causal link. Preliminary data collected since PHARMAC's
funding change suggest an exacerbation of the problem. CONCLUSION: The decision
to relax funding restrictions on hypnosedatives is expected to increase drug
related harms in a sub-population of users. Improved pharmacovigilance could
inform policy regarding these agents.
PMID- 22072170
TI - Colonoscopy--a rare cause of pancreatitis.
PMID- 22072171
TI - Incarceration of an inguinal hernia post urinary catheterisation.
PMID- 22072172
TI - Medical image. Chilaiditi syndrome.
PMID- 22072173
TI - Medical image. Xanthogranulomatous pyelonephritis.
PMID- 22072174
TI - Potential social and psychological consequences of the Rena incident: lessons
from an international perspective.
PMID- 22072175
TI - End-of-term review of the New Zealand Government's response to climate change: a
public health perspective.
PMID- 22072176
TI - Banning pharmaceutical sponsorship: is ethical apartheid the right road ahead?
PMID- 22072177
TI - Not for resuscitation orders--clarification is needed.
PMID- 22072178
TI - Thames hospital.
PMID- 22072179
TI - Playing with 'the public health'.
PMID- 22072180
TI - Improving emergency department performance at Christchurch Hospital: an update.
PMID- 22072181
TI - Eliminating tobacco point of sale displays: removing the retail detail from the
devil.
PMID- 22072183
TI - Consensus statement on the role of the doctor in New Zealand.
PMID- 22072182
TI - Binge drinking is patterned by demographic and socioeconomic position in New
Zealand: largest national survey to date.
PMID- 22072184
TI - Oleoresin chemistry mediates oviposition behavior and fecundity of a tree-killing
bark beetle.
AB - Many herbivores are sensitive to the secondary chemistry of their host plants.
However, the influence of pine secondary chemicals (monoterpenes) on bark beetle
fitness is poorly understood. We tested the hypothesis that the monoterpene
composition of the phloem oleoresin of ponderosa pine, Pinus ponderosa var
scopulorum, mediates rates of host acceptance, oviposition behavior, and
fecundity of the western pine beetle, Dendroctonus brevicomis. We performed
reciprocal rearing experiments, controlling for the monoterpene composition
(chemotype) of host material. We tested the effects of two geographically
interspersed host chemotypes on beetles with unknown (wild) and known (reared
F(1)) chemical histories. Host chemotype and insect chemical history did not
affect rates of acceptance of host material by female beetles. Insect chemical
history affected egg gallery construction, and beetles constructed egg galleries
that were on average 24.3% longer when reared in host material that was
chemically similar to their natal host material. However, mean egg gallery
lengths did not differ between host chemotypes. Insect chemical history also
influenced fecundity: F(1) beetles produced 52.7% more offspring on average when
reared in host material that was chemically similar to their natal host. Our
experiments demonstrate that the chemical history of bark beetles mediates egg
gallery construction and fecundity, but not host acceptance. This implicates
chemical history as a more important factor than host chemotype in the
oviposition behavior and fecundity of D. brevicomis.
PMID- 22072185
TI - The use of mass isotopomer distribution analysis to quantify synthetic rates of
sex pheromone in the moth Heliothis virescens.
AB - Although there has been much investigation of the steps involved in sex pheromone
biosynthesis in moths, little is known about the kinetics of biosynthesis in
vivo, primarily because there are few techniques suitable for studying the small
amounts of pheromone produced without perturbing a female moth's normal
physiology. In this paper, female Heliothis virescens moths fed on U-(13)C
glucose were subjected to mass isotopomer distribution analysis, enabling
calculation of fractional (FSR) and absolute (ASR) synthetic rates of the main
pheromone component, (Z)-11-hexadecenal, at two different photoperiodic times:
during the scotophase (when adults are sexually active) and during the photophase
(when adults do not engage in mating behavior). FSRs differed substantially at
the two times with, as expected, the greater rate occurring during the
scotophase. After determining Z11-16:Ald pool sizes, ASR through the scotophase
was calculated to be roughly 20 times greater than ASR in the photophase. These
differences are consistent with the release/non-release of the pheromone
biosynthesis-activating neuropeptide. This approach should facilitate
determination of more quantitative measures of semiochemical production in moths
and other sugar-feeding insects that synthesize semiochemicals from glycolytic
metabolites.
PMID- 22072186
TI - The influence of receptor-mediated interactions on reaction-diffusion mechanisms
of cellular self-organisation.
AB - Understanding the mechanisms governing and regulating self-organisation in the
developing embryo is a key challenge that has puzzled and fascinated scientists
for decades. Since its conception in 1952 the Turing model has been a paradigm
for pattern formation, motivating numerous theoretical and experimental studies,
though its verification at the molecular level in biological systems has remained
elusive. In this work, we consider the influence of receptor-mediated dynamics
within the framework of Turing models, showing how non-diffusing species impact
the conditions for the emergence of self-organisation. We illustrate our results
within the framework of hair follicle pre-patterning, showing how receptor
interaction structures can be constrained by the requirement for patterning,
without the need for detailed knowledge of the network dynamics. Finally, in the
light of our results, we discuss the ability of such systems to pattern outside
the classical limits of the Turing model, and the inherent dangers involved in
model reduction.
PMID- 22072187
TI - Gene polymorphisms contributing to hypertension in immunoglobulin A nephropathy.
AB - BACKGROUND: Hypertension, which is affected by genetic and environmental factors,
is one of the major risk factors for chronic kidney disease. Identification of
the genetic factor contributing to hypertension in patients with chronic kidney
disease may potentially refine a therapeutic strategy. METHODS: In the present
multicenter cross-sectional study, 240 patients were eligible (aged 15-50 years
with urinary protein >=0.25 g/day) out of 429 patients who were diagnosed as
having immunoglobulin (Ig) A nephropathy (IgAN) by renal biopsy between 1990 and
2005 and enrolled in our previous study, PREDICT-IgAN. The outcome was
hypertension defined as >=140 and/or >=90 mmHg of systolic and diastolic blood
pressure and/or use of antihypertensives at renal biopsy. We assessed
associations between hypertension and 28 polymorphisms with the frequency of
minor genotype >=10% among 100 atherosclerosis-related polymorphisms using the
Chi-squared test in dominant and recessive models. We identified polymorphisms
associated with hypertension in multivariate logistic regression models. RESULTS:
Baseline characteristics: hypertension 36.3%. Among 28 polymorphisms, the Chi
squared test revealed that CD14 (-159CC vs CT/TT, P = 0.03) and ACE (DD vs DI/II,
P = 0.03) were significantly associated with hypertension after Bonferroni
correction. Multivariate logistic regression models revealed that CD14 -159CC [vs
CT/TT, odds ratio (OR) 3.58 (95% confidence interval (CI) 1.66-7.63)] and ACE DD
[vs DI/II, OR 4.41 (95% CI 1.80-10.8), P = 0.001] were independently associated
with hypertension. CONCLUSIONS: CD14 C-159T and ACE I/D contributed to
hypertension in patients with IgAN.
PMID- 22072188
TI - Scavenger receptor expressions in the kidneys of mice with lipoprotein
glomerulopathy.
AB - BACKGROUND: To clarify whether dysfunction of the scavenger receptor (SR)
participates in the development of lipoprotein glomerulopathy (LPG) in
immunoglobulin F(c) receptor gamma chain (F(c)Rgamma)-deficient mice [F(c)Rgamma
knock-out (KO) mice] with induced chronic graft-versus-host disease (cGVHD).
METHOD: In wild-type (WT) and F(c)Rgamma KO C57BL/6 mice, cGVHD was induced by
injection of lymphoid cells from donor Bm12 mice. At 6 months after injection,
the mice were sacrificed and histologically examined. Total RNA was extracted
from the kidneys and cytokine, chemokine, and SR transcript expressions were
evaluated by reverse transcription-polymerase chain reaction. RESULTS: Three of 4
female cGVHD(+)/F(c)Rgamma KO mice presented LPG in >60% of glomeruli. cGVHD(-)
and cGVHD(+)/WT mice did not show LPG. The SRs CD36, CD68, and CXCL16 showed a
significant difference in the values of their transcripts between cGVHD(+)/WT and
cGVHD(+)/F(c)Rgamma KO mice. Among them, only CD36 showed a drastic decline of
mRNA expressions in cGVHD(+)/F(c)Rgamma KO mice. CONCLUSION: CD36 may play a
crucial role in the development of LPG in F(c)Rgamma KO mice with cGVHD. In
addition to the apolipoprotein E mutation, dysfunction of lipid clearance in the
kidney might be one of the factors for the development of LPG.
PMID- 22072189
TI - Indolizinones as synthetic scaffolds: fundamental reactivity and the relay of
stereochemical information.
AB - Indolizinones are under-explored N-heterocycles that react with exquisite chemo-
and stereoselectivity. An exploration of the fundamental reactivity of these
azabicycles demonstrates the potential to relay stereochemical information from
the ring-fusion to newly formed stereocenters on the bicyclic core. The
indolizinone diene undergoes selective hydrogenation and readily participates in
Diels-Alder cycloadditions as well as ene reactions. The vinylogous amide
embedded in the five-membered ring is resistant to reaction when the diene is in
place. However, removal of the diene allows for diastereoselective hydrogenation
of, and 1,4-additions to, the vinylogous amide. These fundamental reactions with
indolizinones have provided a structurally diverse array of products that hold
promise in the context of natural product synthesis.
PMID- 22072190
TI - Compliance to service standards for congenital upper limb deficiency: the
Northern Ireland experience.
AB - BACKGROUND: In 2003, the British Society of Rehabilitation Medicine (BSRM)
published guidelines on amputee and prosthetic rehabilitation, including those
with congenital limb deficiency. OBJECTIVES: The aim of the study was to evaluate
the service provided by the Regional Disablement Service (RDS) to children with
congenital upper limb deficiency, against BSRM guidelines. STUDY DESIGN:
Retrospective chart review. METHODS: Chart review. RESULTS: Analysis of the group
(n = 44) showed 52% were male, with 61% of children affected on the left side,
and 73% having a transverse deficiency. Compliance to individual aspects of the
guidelines varied considerably. Only 14 (32%) of children had met with the
multidisciplinary team by the recommended age of six months. Analysis of referral
sources and timings suggested that children were initially seen elsewhere and
later referred to RDS after consultation with a surgeon. CONCLUSIONS: RDS
compliance with the BSRM guidelines was variable. Particularly disappointing was
the low rate of children and families meeting the multidisciplinary team at an
early age (< 6 months). The low rate of early referral prompted us to contact all
paediatricians in Northern Ireland highlighting the guidelines, the benefits of
early contact with RDS and encouraging referral on diagnosis.
PMID- 22072191
TI - Persistent ulnar-sided wrist pain after treatment of triquetral dorsal chip
fracture: six cases related to triangular fibrocartilage complex injury.
AB - INTRODUCTION: Persistent ulnar-sided wrist pain after treatment of triquetral
dorsal chip fracture even after union is a matter of concern. There could be
various reasons for this persistent pain like arthritis, instability, fractures
and non-union. We correlate our findings of physical examination and wrist
arthroscopy as triangular fibrocartilage complex injury to be one of the causes
of this persistent pain. PATIENTS: Six subjects who had persistent ulnocarpal
joint pain and tenderness after triquetral dorsal chip fracture, despite 2 months
of conservative treatment, were subjected to physical tests. If the physical
examination yields positive results, then magnetic resonance imaging followed by
arthroscopic treatment was performed. The six patients were then evaluated using
the visual analogue scale, the Mayo modified wrist score, and the grip strength
test. RESULTS: Triangular fibrocartilage complex (TFCC) injury was observed in
all six cases and partial TFCC resection and synovectomy were performed. Analysis
of the visual analogue scale, Mayo modified wrist score, and grip strength test
data revealed statistically significant improvements (P < 0.05). CONCLUSION: In
addition to several causes reported in the published literature, TFCC injury can
be a cause of persistent ulnar pain after treatment of triquetral dorsal chip
fracture. Arthroscopic partial TFCC resection can be considered to be a suitable
treatment for such cases.
PMID- 22072192
TI - Advances on the Masquelet technique using a cage and nail construct.
AB - Traumatic, cancerous or infectious loss of bone is treated by either amputation
or reconstruction. With limb salvage always preferable, surgeons rely on already
established techniques such as grafting and distraction osteogenesis to avoid
amputation, and ideally restore structure and thus function. The Masquelet
technique is an effective method of bone reconstruction and limb salvage which is
underreported in the English literature, and we report a case with advances using
a cage and nail construct, resulting in successful eradication of methicillin
resistant staphylococcus aureus infection and reconstitution of a 17 cm
diaphyseal defect in the tibia.
PMID- 22072193
TI - Precision of Ci-navigated extension and flexion gap balancing in total knee
arthroplasty and analysis of potential predictive variables.
AB - INTRODUCTION: The aim of this study was to evaluate the accuracy of final limb
alignment and flexion-extension and medial-lateral gap balancing in computer
navigated total knee arthroplasty and to analyze various possible predictive
variables that may affect the gaps in computer navigated knee arthroplasty.
MATERIALS AND METHODS: The DePuy Ci system, a nonimage-based passive optical
computer navigation system, was used in 225 patients with knee osteoarthritis to
assist for the total knee arthroplasty. From the raw data the Ci-verified pre-
and postoperative leg axis in extension, angle of tibia and femur resection, the
flexion and extension angle, the medial and lateral extension and flexion gaps
were extracted; and differences in gaps were calculated and subjected to
statistical analysis. Leg alignment and implant position were determined only by
the navigation system. Preoperative variables were evaluated for their impact on
the final flexion/extension and medial/lateral gaps achieved. RESULTS: Though the
preoperative femoro-tibial coronal alignment had a large variance,
postoperatively 98.22% of the knee was found to be between -3 degrees and +3
degrees in the coronal limb alignment axis. The Ci-verified femoral and tibial
cuts in the coronal plane showed a good accuracy. The sagittal alignment of the
femoral cut ranged from 8.20 degrees flexion to 3.20 degrees of extension.
Rectangular extension and flexion gaps were achieved with <=3 mm of difference in
gaps on medial and lateral sides in 98 and 93% of knees, respectively. Difference
between extension and flexion gaps on the medial side was <=3 mm in 83% and on
the lateral side in 84% of the knees. Of all the possible predictive variables
analyzed, Pearson correlation and multiple regression analysis showed significant
correlation only between the medial-lateral gap difference in extension and the
Ci-verified femoral cut, tibial cut and limb axis, all in the coronal plane.
CONCLUSION: Computer-assisted navigated total knee replacement allows for
accurate gap balancing that is not dependent on the various pre- and
intraoperative factors mentioned, including age, sex, Range of motion
preoperative deformity and grade of osteoarthritis. The Ci-calculated and
verified tibial, and femoral cuts are the only possible factors affecting the
extension gap.
PMID- 22072194
TI - Shuttling happens: soluble flavin mediators of extracellular electron transfer in
Shewanella.
AB - The genus Shewanella contains Gram negative gamma-proteobacteria capable of
reducing a wide range of substrates, including insoluble metals and carbon
electrodes. The utilization of insoluble respiratory substrates by bacteria
requires a strategy that is quite different from a traditional respiratory
strategy because the cell cannot take up the substrate. Electrons generated by
cellular metabolism instead must be transported outside the cell, and perhaps
beyond, in order to reduce an insoluble substrate. The primary focus of research
in model organisms such as Shewanella has been the mechanisms underlying
respiration of insoluble substrates. Electrons travel from the menaquinone pool
in the cytoplasmic membrane to the surface of the bacterial cell through a series
of proteins collectively described as the Mtr pathway. This review will focus on
respiratory electron transfer from the surface of the bacterial cell to
extracellular substrates. Shewanella sp. secrete redox-active flavin compounds
able to transfer electrons between the cell surface and substrate in a cyclic
fashion-a process termed electron shuttling. The production and secretion of
flavins as well as the mechanisms of cell-mediated reduction will be discussed
with emphasis on the experimental evidence for a shuttle-based mechanism. The
ability to reduce extracellular substrates has sparked interest in using
Shewanella sp. for applications in bioremediation, bioenergy, and synthetic
biology.
PMID- 22072196
TI - Reactivation characteristics of stored aerobic granular sludge using different
operational strategies.
AB - Aerobic granules after 6 months storage were employed in identical sequencing
batch reactors (SBRs) using synthetic wastewater to investigate the impacts of
different operational strategies on granules' reactivation process. The SBRs were
operated under three operational strategies for reactivation of (a) different
organic loading rate (OLR); (b) different ammonia concentration; and (c)
different shear force (a superficial upflow air velocity). The results indicated
that granules after long-term storage could be successfully recovered after 7
days of operation, and the excellent granule reactivation performance was closely
related to the operational strategies, since inappropriate operational strategies
could cause the outgrowth of filamentous bacteria and granule disintegration.
Based on comprehensive comparison of reactivation performance under different
operational strategies, the optimal operation strategy for granule reactivation
was suggested at OLR of 0.8 kg COD/m(3)/day, ammonia concentration of 15-20 mg/L,
and a superficial upflow air velocity of 2.6 cm/s. After 7 days operation under
the optimal strategy, the dark brown granules (12 months storage) restored their
bioactivities to previous state, in terms of COD removal efficiency (97.44%) and
specific oxygen uptake rate (40.63 mg O(2)/g SS h(-1)). The results shed light on
the future practical application of stored aerobic granules as bioseed for
reactor fast start-up.
PMID- 22072197
TI - Relapsed multiple myeloma presenting as an orbital plasmacytoma.
PMID- 22072198
TI - Augmented kinetic observational proptometry: an improved technique for clinical
assessment of proptosis and enophthalmos.
PMID- 22072199
TI - Occult orbital organic foreign body.
PMID- 22072200
TI - Re: "Isolated cavernous hemangioma of conjunctiva".
PMID- 22072202
TI - Re: "Transconjunctival dacryocystorhinostomy: scarless surgery without endoscope
and laser assistance".
PMID- 22072204
TI - Re: "Orbital dissemination of Lemierre syndrome from gram-positive septic
emboli".
PMID- 22072206
TI - Re: "Comparison of free tarsoconjunctival grafts and Hughes tarsoconjunctival
grafts for lower eyelid reconstruction".
PMID- 22072208
TI - Re: "Indications for orbital imaging by the oculoplastic surgeon".
PMID- 22072210
TI - Cosmetic botulinum toxin type A induced ptosis presenting as myasthenia.
PMID- 22072211
TI - Ocular adnexal lymphoma of the extraocular muscles: case series from the
University of Iowa and review of the literature.
AB - PURPOSE: To review and statistically analyze the data of patients with discrete
involvement of the extraocular muscles with ocular adnexal lymphoma from the
tumor registry at the University of Iowa and to compile with current cases in the
literature. METHODS: The records of patients with biopsy-proven orbital lymphoma
at the University of Iowa Hospitals and Clinics Department of Ophthalmology and
the Tumor Registry of the University of Iowa were reviewed. A review of the
literature and meta-analysis were conducted. RESULTS: Eleven patients were
identified with biopsy-proven ocular adnexal lymphoma with discrete involvement
of the extraocular muscles at the University of Iowa. Additionally, 46 patients
were identified in the literature with clinical and radiographic involvement of
the extraocular muscles, 31 (67%) with biopsy-proven involvement. In the combined
group of 57 patients, 16 (35%) of 45 patients with histopathologic documentation
had lymphomas classified as extranodal marginal zone lymphomas. Twenty-five (67%)
of the 37 patients with tumor-staging documentation had no extraorbital
involvement. CONCLUSIONS: Ocular adnexal lymphoma with discrete extraocular
muscle involvement is rare. Most lymphomas found in this area of the orbit are
subtypes of B-cell lymphoma. This disease should be included in the differential
diagnosis of enlarged extraocular muscles.
PMID- 22072212
TI - Alteration in miRNA gene expression pattern in acute promyelocytic leukemia cell
induced by arsenic trioxide: a possible mechanism to explain arsenic multi-target
action.
AB - MicroRNAs (miRNAs) are involved in cancer pathogenesis, apoptosis, and cell
growth, and these miRNAs are thought to be functional as oncogenes and/or tumor
suppressors in the gene regulatory networks. We studied the potential
contribution of miRNAs in acute promyelocytic leukemia (APL) cell NB4 during the
apoptosis induction by arsenic trioxide (ATO). The apoptotic effects of ATO on
the NB4 cell line at a pharmacological dose (2 MUM) was verified using cell
growth and viability assays, MTT assay, BrdU cell proliferation assay, flow
cytometric analysis, and caspase-3 activity assay. miRNAs from untreated and 2
MUM ATO-treated NB4 cell line were extracted, purified, and converted to
complementary DNAs. Differential expressions of 88 cancer-related miRNAs were
analyzed by real-time reverse transcription PCR using miRNA PCR cancer-array
system. After normalizing to the average Ct value of three housekeeping genes in
the array (U6, SNORD47, and SNORD48), the fold change of miRNAs was calculated in
the ATO-treated cells as compared to untreated. Among the 88 cancer-focused
miRNAs, 51 miRNAs were found to be differentially expressed more than 2-fold
after ATO treatment. Of these, 48 miRNAs were upregulated up to 21.65-fold
changes, while three miRNAs were downregulated up to 5.19-fold changes. By
screening the literature, a majority of these upregulated miRNAs were found to
have tumor and/or metastatic suppressors' functions associated with cell cycle
arrest and apoptosis, as well as inhibition of angiogenesis, invasion, and
metastasis. Our results demonstrate that ATO, at the relevant concentration,
modulate a substantial number of cancer-related miRNAs in APL cell line; most of
these are known to function as a tumor and/or metastatic suppressors and have
confirmed targets involved in cell cycle arrest and apoptosis. The results of
this study support the hypothesis that miRNAs may play a mediatory role in
eliciting the multi-target and pleiotropic action of ATO.
PMID- 22072213
TI - The progranulin (GRN) Cys157LysfsX97 mutation is associated with nonfluent
variant of primary progressive aphasia clinical phenotype.
AB - The progranulin gene (GRN) g.10325_10331delCTGCTGT (relative to nt1 in
NG_007886.1), alias Cys157LysfsX97, has been so far reported only once in a
patient with frontotemporal dementia. Here, we describe a 63-year old patient
carrying the same mutation, presenting with a 3-year history of language
disorder, and diagnosed clinically with nonfluent variant of primary progressive
aphasia according to current criteria. This patient's description expands the
spectrum of clinical presentations of frontotemporal lobar degeneration caused by
the GRN Cys157LysfsX97 mutation.
PMID- 22072214
TI - A canine model to evaluate efficacy and safety of gamma-secretase inhibitors and
modulators.
AB - Gamma-secretase, a membrane bound protease which cleaves the transmembrane
protein amyloid-beta protein precursor (AbetaPP), is a therapeutic target for
Alzheimer's disease. Gamma-secretase inhibitors (GSIs) and modulators (GSMs) are
being investigated as potential disease-modifying agents. Preclinical in vivo
models to monitor the activity on gamma-secretase are described in different
species such as mouse, rat, and guinea pigs. All these models have their value in
testing compounds with amyloid lowering properties, however, compound
characteristics and pharmacokinetic properties, as well as other species
characteristics such as limited sampling volumes of cerebrospinal fluid (CSF),
recommended the use of a larger, non-rodent animal species. For this purpose, a
screening model in dogs was developed for testing GSIs and GSMs. We showed that
GSIs and GSMs had a dose- and time-dependent effect on Abeta(37), Abeta(38),
Abeta(40), and Abeta(42) in CSF. Changes in liver function were evidenced by a
transient increase in bilirubin with the GSMs and incidental increases in alanine
aminotransferase for GSMs as well as GSIs. Microarray analysis of liver biopsies
enabled to elucidate potential mechanisms behind the liver function changes. The
relevance of the liver findings should be further evaluated in chronic pre
clinical safety studies and in humans. Based on our data, we can conclude that
the dog is a very appropriate species to assess efficacy and safety of compounds
which have an effect on AbetaPP processing such as GSMs, GSIs, and BACE
inhibitors.
PMID- 22072215
TI - Different characteristics and prognostic impact of deep-vein thrombosis /
pulmonary embolism and intraabdominal venous thrombosis in colorectal cancer
patients.
AB - This study was performed to determine the incidence, risk factors, and prognostic
implications of venous thromboembolism (VTE) in Asian patients with colorectal
cancer (CRC). Differences in clinical characteristics and prognostic impact
between extremity venous thrombosis (or deep-vein thrombosis; DVT)/pulmonary
embolism (PE) and intra-abdominal venous thrombosis (IVT) were also evaluated.
For this study, consecutive CRC patients (N = 2,006) were enrolled and analyses
were conducted retrospectively. VTEs were classified into two categories (DVT/PE
and IVT). Significant predictors of developing VTEs were advanced stage and an
increased number of co-morbidities. The two-year cumulative incidence of DVT/PE
was 0.3%, 0.9% and 1.4% in stages 0~1, 2 and 3, respectively; this incidence
range of DVT/PE in Asian patients with loco-regional CRC was lower than in
Western patients. However, the two-year incidence (6.4%) of DVT/PE in Asian
patients with distant metastases was not lower than in Western patients. Although
65.2% of patients with DVT/PE were symptomatic, only 15.7% of patients with IVT
were symptomatic. During chemotherapy, DVT/PE developed more frequently than IVT.
Only DVT/PE had a negative effect on survival; IVT had no prognostic
significance. In conclusion, despite the low incidence of DVT/PE in Asian
patients with loco-regional CRC, the protective effect of Asian ethnicity on VTE
development disappears as tumour stage increases in patients with distant
metastases. Considering different clinical characteristics and prognostic
influences between DVT/PE and IVT, the treatment approach should be also
different.
PMID- 22072216
TI - Characteristics of the three ligaments of human spring ligament complex from a
viewpoint of elements.
AB - To elucidate characteristics of the three ligaments constituting the spring
ligament complex from a viewpoint of elements, the authors investigated age
related changes of elements, relationships among their elements, relationships
among ligaments in the elements, and gender differences in the three ligaments of
the spring ligament complex, the superomedial calcaneonavicular (SMCN),
inferoplantar longitudinal calcaneonavicular (ICN), and third or medioplantar
oblique calcaneonavicular (TCN) ligaments. After ordinary dissection at Nara
Medical University was finished, the SMCN, ICN, and TCN ligaments of the spring
ligament complex were removed from the subjects. The subjects consisted of 10 men
and 12 women, ranging in age from 62 to 99 years (average age = 80.5 +/- 9.7
years). After incineration with nitric acid and perchloric acid, the element
contents were determined by inductively coupled plasma-atomic emission
spectrometry. It was found that although the Ca and P content hardly changed in
the SMCN ligament with aging, the Ca and P content in the ICN ligament increased
to about three and five times higher in the 80s in comparison with the 60s,
respectively, whereas in the TCN ligament, it increased about 40% and 90% higher
in the 80s compared with the 60s, respectively. Regarding the relationships among
elements, significant direct correlations were found among the contents of Ca, P,
and Mg in all the three ligaments of the spring ligament complex. This finding
was in agreement with the previous finding obtained with the three ligaments of
the anterior cruciate ligament, posterior longitudinal ligament, and ligamentum
capitis femoris. Whether there were significant correlations among the three
ligaments of the spring ligament complex with regard to the Ca, P, S, Mg, Zn, and
Fe contents was examined using Pearson's correlation. It was found that there
were significant direct correlations between the SMCN and TCN ligaments in all
the Ca, P, Mg, and Zn contents and also between the SMCN and ICN ligaments in
both the Mg and Fe contents but not between the TCN and ICN ligaments in the six
element contents. Regarding the gender difference in elements, a significant
gender difference was found only in the Mg content of the SMCN ligament, being
significantly higher in men than in women.
PMID- 22072217
TI - Mothers' responses to children's negative emotions and child emotion regulation:
the moderating role of vagal suppression.
AB - The current study examined the moderating effect of children's cardiac vagal
suppression on the association between maternal socialization of negative
emotions (supportive and nonsupportive responses) and children's emotion
regulation behaviors. One hundred and ninety-seven 4-year-olds and their mothers
participated. Mothers reported on their reactions to children's negative emotions
and children's regulatory behaviors. Observed distraction, an adaptive self
regulatory strategy, and vagal suppression were assessed during a laboratory task
designed to elicit frustration. Results indicated that children's vagal
suppression moderated the association between mothers' nonsupportive emotion
socialization and children's emotion regulation behaviors such that nonsupportive
reactions to negative emotions predicted lower observed distraction and lower
reported emotion regulation behaviors when children displayed lower levels of
vagal suppression. No interaction was found between supportive maternal emotion
socialization and vagal suppression for children's emotion regulation behaviors.
Results suggest physiological regulation may serve as a buffer against
nonsupportive emotion socialization.
PMID- 22072218
TI - Advantages of intra-capsular micro-enucleation of schwannoma arising from
extremities.
AB - BACKGROUND: Schwannoma is the most common tumor of the peripheral nerves, with
surgical enucleation being the established treatment modality. However, some
schwannomas cannot be easily enucleated and this sometimes results in iatrogenic
nerve injury even with atraumatic procedures. Here we present a retrospective
review of the management of schwannoma in the extremities and compare clinical
outcomes from the two techniques of extra-capsular and intra-capsular
enucleation. METHODS: We reviewed 36 schwannomas from 35 patients who underwent
surgical excision of schwannomas arising from the extremities. Twenty had
undergone extra-capsular resection and 16 had undergone enucleation using the
intra-capsular technique. The post-operative neurological deficits were graded as
minor, major, and transient. The duration of symptoms, maximum tumor diameter and
site of occurrence were compared between patients with the three grades of
deficit. RESULTS: In total, 22 patients developed no sensory changes following
enucleation of schwannoma or only temporary and minor changes that had fully
resolved within 6 months. Ten patients developed new neurological deficits
following surgery that took longer than 6 months to resolve. Four patients
experienced new motor deficits or paresthesia following operation that had still
not recovered at the final follow-up, all of whom underwent enucleation using the
extra-capsular technique. Neurological deficit after enucleation was
significantly lower using the intra-capsular compared with the extra-capsular
technique. Patient age, duration of symptoms, maximum diameter of the tumor and
site of occurrence did not influence the neurological deficit following
enucleation of schwannoma. CONCLUSION: These results support intra-capsular micro
enucleation as a safe and reliable treatment for every type of schwannoma. To
minimize the risk of nerve injury, en bloc resection should not be used because
the main purpose of schwannoma surgery is the relief of symptoms, not tumor
resection. Thorough pre-operative counseling of patients to inform them of the
potential occurrence of neurological deficit is important.
PMID- 22072219
TI - Authors' response to the Schaller's comment, "how eloquent is eloquent?".
PMID- 22072220
TI - Assessment of sorbent impregnated PUF disks (SIPs) for long-term sampling of
legacy POPs.
AB - Two field studies were conducted for one year using sorbent-impregnated
polyurethane foam (SIP) disks for PCB and PBDE air sampling. SIP disks were
introduced by Shoeib et al. (2008) as an alternative passive air sampling medium
to the polyurethane foam (PUF) disk and have the advantage of a higher holding
capacity for organic chemicals. The first study on SIP disks confirmed their
application for measuring volatile perfluorinated compounds (PFCs) and their
ability to maintain time-integrated (linear) air sampling. In this study, the
suitability of the SIP disks for long-term sampling of polychlorinated biphenyls
(PCBs), polybrominated diphenyl ethers (PBDEs) and hexachlorobenzene (HCB) was
assessed. SIP disks were deployed at a rural site in the UK and harvested after
periods ranging from 35-350 days. Atmospheric POP concentrations were monitored
with a high-volume air sampler during the deployment period. Linear uptake was
observed for all monitored PCBs and PBDEs over the full exposure time. Air
sampler equilibrium was observed for HCB after 6 months. In a second field study,
SIP disks were deployed for one year at 10 sites on a latitudinal transect in the
UK and Norway, at which air sampling has been undertaken previously with
different passive air sampling media since 1994. The estimated concentrations and
spatial distributions derived from the SIP disks were largely in agreement with
previously reported data.
PMID- 22072221
TI - Why mammography screening has not lived up to expectations from the randomised
trials.
AB - We analysed the relation between tumour sizes and stages and the reported effects
on breast cancer mortality with and without screening in trials and observational
studies. The average tumour sizes in all the trials suggest only a 12% reduction
in breast cancer mortality, which agrees with the 10% reported in the most
reliable trials. Recent studies of tumour sizes and tumour stages show that
screening has not lowered the rate of advanced cancers. In agreement with this,
recent observational studies of breast cancer mortality have failed to find an
effect of screening. In contrast, screening leads to serious harms in healthy
women through overdiagnosis with subsequent overtreatment and false-positive
mammograms. We suggest that the rationale for breast screening be urgently
reassessed by policy-makers. The observed decline in breast cancer mortality in
many countries seems to be caused by improved adjuvant therapy and breast cancer
awareness, not screening. We also believe it is more important to reduce the
incidence of cancer than to detect it 'early.' Avoiding getting screening
mammograms reduces the risk of becoming a breast cancer patient by one-third.
PMID- 22072222
TI - Preparation of a Highly Fluorophilic Phosphonium Salt and its Use in a Fluorous
Anion-Exchanger Membrane with High Selectivity for Perfluorinated Acids.
AB - Fluorous solvents are the most nonpolar, nonpolarizable phases known, whereas
ions are inherently polar. This makes it difficult to create salts that are
soluble in a fluorous solvent. Here we present the synthesis and characterization
of a new fluorophilic phosphonium salt, tris{3,5
bis[(perfluorooctyl)propyl]phenyl}methylphosphonium methyl sulfate. The salt has
a solubility of at least 14 mM in perfluoro(perhydrophenanthrene),
perfluoro(methylcyclohexane), and perfluorohexanes. It also shows immediate
potential for use as a phase-transfer catalyst in fluorous biphasic catalysis,
but in this work it is used as an anion exchanger site in the first
potentiometric fluorous-membrane anion-selective electrode. The membrane sensor
exhibited the exceptional selectivity of 3.9 * 10(10) to 1 for
perfluorooctanesulfonate over chloride, and of 2.5 * 10(7) to 1 for
perfluorooctanoate over chloride. With improvements to the sensor's detection
limit and lifetime, it has the potential to be an attractive alternative to the
expensive, time-consuming methods currently employed for measurement of
perfluorinated acids.
PMID- 22072223
TI - Posttraumatic stress and tendency to panic in the aftermath of the chlorine gas
disaster in Graniteville, South Carolina.
AB - PURPOSE: Relatively little is known about psychological effects of environmental
hazard disasters. This study examines the development of posttraumatic stress
(PTS) and tendency to limited panic attack after a large chlorine spill in a
community. METHODS: In January 2005, a large chlorine spill occurred in
Graniteville, SC. Acute injuries were quantified on an ordinal severity scale.
Eight to ten months later, participating victims completed the Short Screening
Scale for PTSD (n = 225) and the Holden Psychological Screening Inventory (HPSI)
(n = 193) as part of a public health intervention. Forced expiratory volume in 1
s (FEV(1)) and forced vital capacity were likewise measured via spirometry. Two
sets of univariate logistic regression models were fit to detect independent
effects of each potential covariate and risk factor on PTS score and tendency to
panic. A supplemental analysis examined whether poor lung function may be a
confounder and/or effect modifier of the effect of acute injury on PTS score and
panic. RESULTS: Of those who completed psychological screening, 36.9% exhibited
PTS symptoms. FEV(1), acute injury, and the HPSI psychiatric subscale were
independently associated with increased PTS score. Acute injury severity scale
and female sex were associated with tendency to panic. Immediate acute injury
severity and poor lung function later were independently associated with PTS
symptomotology. CONCLUSIONS: The high prevalence of PTS and endorsement of
tendency to panic within our sample show a need for mental health treatment after
a chemical hazard disaster. Mental health personnel should be considerate of
those with serious physical injuries.
PMID- 22072224
TI - Civil commitment law, mental health services, and US homicide rates.
AB - PURPOSE: The study considers whether involuntary civil comment (ICC) statute
provisions are associated with homicide rates. Do statutes based solely upon
dangerousness criteria versus broader ICC-criteria-i.e. "need for treatment,"
"protection of health and safety," and family protection-have differential
associations related to their goal of reducing the frequency of homicide? METHOD:
State-level data were obtained from online data bases and key-informant surveys.
Ordinary-least-squares and Poisson regression were used to evaluate the
association between statute characteristics, mental health system
characteristics, and 2004 Homicide Rates after controlling for firearm-control
law restrictiveness and social-economic-demographic-geographic-and-political
indicators historically related to homicide rate variation. RESULTS: Poisson and
OLS models, respectively, were significant: likelihood ratio chi(2) = 108.47, df
= 10; p < 0.000 and Adj. R (2) = 0.72; df = 10, 25; F = 10.21; p < 0.000. Poisson
results indicate that social-economic-demographic-geographic-and-political
indicators had the strongest association with state homicide rates (p < 0.000).
Lower rates were associated with: broader ICC-criteria (p <= 0.01), fewer
inpatient-bed access problems (p <= 0.03), and better mental health system
ratings (p <= 0.04). OLS results indicate that social-economic-demographic
geographic-and-political indicators accounted for 25% of homicide rate variation.
Broader ICC-criteria were associated with 1.42 less homicides per 100,000. Less
access to psychiatric inpatient-beds and more poorly rated mental health systems
were associated with increases in the homicide rates of 1.08 and 0.26 per
100,000, respectively. CONCLUSIONS: While social-economic-demographic-geographic
and-political indicators show the strongest association with homicide rate
variation, the results show the importance and potentially preventive utility of
broader ICC criteria, increased psychiatric inpatient-bed access, and better
performing mental health systems as factors contributing to homicide rate
variation.
PMID- 22072225
TI - Successful management of severe blunt hepatic trauma by angiographic
embolization.
AB - We present the case of an 18-year-old female with severe liver trauma after a
motorcycle accident. Due to initial hemodynamic instability, fluid resuscitation
and transfusion of two units of red packed cells was required. After
stabilization, a CT scan was performed, showing grade V liver injuries according
to the American Association for the Surgery of Trauma grading system. Angiography
revealed multiple extravasations during the early arterial phase, as well as
active extravasation from the proximal left hepatic artery in the late arterial
phase. The patient was successfully treated by arterial embolization using metal
microcoils, after which no further need for blood transfusion ensued. This report
highlights that, in carefully selected cases, arterial embolization can improve
the clinical condition of patients, reduce the need for blood transfusion and
lessen the possibility of an operation, even if severe liver trauma has ensued.
PMID- 22072226
TI - Development of germinoma during the treatment of systemic-onset juvenile
idiopathic arthritis with infliximab.
AB - We report a 19-year-old patient with systemic-onset juvenile idiopathic arthritis
(JIA) who developed a mediastinal germinoma during treatment with infliximab.
Although the cancer risk of infliximab is controversial, this agent may have
accelerated the growth of the germinoma. We conclude that the indications for
tumor necrosis factor (TNF) inhibitors should be strictly decided and that a
nationwide cohort study is necessary to assess the risk of cancer in patients
with JIA exposed to biologics.
PMID- 22072227
TI - Development of novel nanocarrier-based near-infrared optical probes for in vivo
tumor imaging.
AB - Optical imaging with near-infrared (NIR) fluorescent probes is a useful
diagnostic technology for in vivo tumor detection. Our plan was to develop novel
NIR fluorophore-micelle complex probes. IC7-1 and IC7-2 were synthesized as novel
lipophilic NIR fluorophores, which were encapsulated in an amphiphilic
polydepsipeptide micelle "lactosome". The fluorophore-micelle complexes IC7-1
lactosome and IC7-2 lactosome were evaluated as NIR fluorescent probes for in
vivo tumor imaging. IC7-1 and IC7-2 were synthesized and then encapsulated in
lactosomes. The optical properties of IC7-1, IC7-2, IC7-1 lactosome and IC7-2
lactosome were measured. IC7-1 lactosome and IC7-2 lactosome were administered to
tumor-bearing mice, and fluorescence images were acquired for 48 h. IC7-1 and IC7
2 were successfully synthesized in 12% and 6.3% overall yield, and maximum
emission wavelengths in chloroform were observed at 858 nm and 897 nm,
respectively. Aqueous buffered solutions of IC7-1 lactosome and IC7-2 lactosome
showed similar fluorescence spectra in chloroform and higher or comparable
quantum yields and higher photostability compared with ICG. Both lactosome probes
specifically visualized tumor tissue 6 h post-administration. IC7-1 lactosome and
IC7-2 lactosome could be promising NIR probes for in vivo tumor imaging.
PMID- 22072228
TI - Probing the interior of self-assembled caffeine dimer at various temperatures.
AB - The self-assembly of non-toxic well-consumed small caffeine molecules into well
defined structures has important implications for future medical applications
seeking to target the transport of small drugs in human body. Particularly, the
solvation of the microenvironments of the self assembly ultimately dictates the
interaction with the drug molecules and their therapeutic efficacy. We present
femtosecond-resolved studies of the dynamics of aqueous solvation within self
assembled dimeric structure of caffeine molecules. We have placed small
hydrophobic probes 4-(dicyanomethylene)-2-methyl-6-(p-dimethylaminostyryl) 4H
pyran (DCM), coumarin 500 (C500) into the caffeine dimer to enable spectroscopic
examinations of the interior. While molecular modeling and NMR studies of the
probes in the caffeine dimers reveal a well-defined location (stacked in between
two caffeine molecules), dynamical light scattering (DLS), Fourier transform
infrared (FTIR) spectroscopy, densimetric and sonometric experiments explore the
structural evolution of the dimer upon complexation with the probes. We have
extended our studies in various temperatures in order to explore structural
evolution of the self assembled structure and consequently the dynamics of
solvation in the interior of the dimer. Picoseconds/femtosecond resolved dynamics
and the polarization gated spectroscopic studies unravel the hydration and
energetics associated with activated viscous flow of the confined probes. Our
studies indicate that the interior of the caffeine dimer is well-solvated;
however, the dynamics of solvation is retarted significantly compared to that in
bulk water, clearly revealing the dimers maintain some ordered water molecules.
We have also explored the consequence of the retarded dynamics of solvation on
the photo-induced electron transfer (ET) reaction of a model probe, 2-(p
toluidino) naphthalene-6-sulfonate (TNS) encapsulated in the dimer.
PMID- 22072229
TI - Elevated soluble receptor for advanced glycation end product levels in patients
with acute coronary syndrome and positive cardiac troponin I.
AB - OBJECTIVES: High levels of soluble receptor for advanced glycation end products
(sRAGE) have been shown to have an atheroprotective role; however, no data are
available on this molecule in acute coronary syndromes (ACS). We evaluated sRAGE
levels in patients with non-ST segment elevation ACS (NSTE-ACS) or with chronic
stable angina. METHODS: We studied 265 patients, 190 of whom had NSTE-ACS and 75
had chronic stable angina. RESULTS: Plasma sRAGE values were comparable in the
two groups (P=0.19). However, in the patients with NSTE-ACS, sRAGE levels were
significantly higher in patients with cardiac troponin-I (cTnI) of more than or
equal to 0.04 ug/l compared with those with cTnI of less than 0.04 ug/l [758 (493
1536 ) pg/ml vs. 454 (167-899) pg/ml; P=0.0037]. A significant correlation
(r=0.323, P=0.0045) was found between sRAGE and cTnI levels in patients with NSTE
ACS. CONCLUSION: Plasma sRAGE levels are elevated in patients with NSTE-ACS with
positive cTnI, suggesting that they could be related to myocardial cell damage.
PMID- 22072230
TI - Bibliography-editors' selection of current world literature.
PMID- 22072231
TI - The structure-function relationship of activated protein C. Lessons from natural
and engineered mutations.
AB - Protein C is the central enzyme of the natural anticoagulant pathway and its
activated form APC (activated protein C) is able to proteolyse non-active as well
as active coagulation factors V and VIII. Proteolysis renders these cofactors
inactive, resulting in an attenuation of thrombin formation and overall down
regulation of coagulation. Presences of the APC cofactor, protein S,
thrombomodulin, endothelial protein C receptor and a phospholipid surface are
important for the expression of anticoagulant APC activity. Notably, APC also has
direct cytoprotective effects on cells: APC is able to protect the endothelial
barrier function and expresses anti-inflammatory and anti-apoptotic activities.
Exact molecular mechanisms have thus far not been completely described but it has
been shown that both the protease activated receptor 1 and EPCR are essential for
the cytoprotective activity of APC. Recently it was shown that also other
receptors like sphingosine 1 phosphate receptor 1, Cd11b/CD18 and tyrosine kinase
with immunoglobulin-like and EGF-like domains 2 are likewise important for APC
signalling. Mutagenesis studies are being performed to map the various APC
functions and interactions onto its 3D structure and to dissect anticoagulant and
cytoprotective properties. The results of these studies have provided a wealth of
structure-function information. With this review we describe the state-of-the-art
of the intricate structure-function relationships of APC, a protein that harbours
several important functions for the maintenance of both humoral and tissue
homeostasis.
PMID- 22072232
TI - Lifestyle and metabolic approaches to maximizing erectile and vascular health.
AB - Oxidative stress and inflammation, which disrupt nitric oxide (NO) production
directly or by causing resistance to insulin, are central determinants of
vascular diseases including ED. Decreased vascular NO has been linked to
abdominal obesity, smoking and high intakes of fat and sugar, which all cause
oxidative stress. Men with ED have decreased vascular NO and circulating and
cellular antioxidants. Oxidative stress and inflammatory markers are increased in
men with ED, and all increase with age. Exercise increases vascular NO, and more
frequent erections are correlated with decreased ED, both in part due to
stimulation of endothelial NO production by shear stress. Exercise and weight
loss increase insulin sensitivity and endothelial NO production. Potent
antioxidants or high doses of weaker antioxidants increase vascular NO and
improve vascular and erectile function. Antioxidants may be particularly
important in men with ED who smoke, are obese or have diabetes. Omega-3 fatty
acids reduce inflammatory markers, decrease cardiac death and increase
endothelial NO production, and are therefore critical for men with ED who are
under age 60 years, and/or have diabetes, hypertension or coronary artery
disease, who are at increased risk of serious or even fatal cardiac events.
Phosphodiesterase inhibitors have recently been shown to improve antioxidant
status and NO production and allow more frequent and sustained penile exercise.
Some angiotensin II receptor blockers decrease oxidative stress and improve
vascular and erectile function and are therefore preferred choices for lowering
blood pressure in men with ED. Lifestyle modifications, including physical and
penile-specific exercise, weight loss, omega-3 and folic acid supplements,
reduced intakes of fat and sugar, and improved antioxidant status through diet
and/or supplements should be integrated into any comprehensive approach to
maximizing erectile function, resulting in greater overall success and patient
satisfaction, as well as improved vascular health and longevity.
PMID- 22072233
TI - Long-term effects of differential early rearing in rhesus macaques: behavioral
reactivity in adulthood.
AB - Adverse early experiences are associated with a range of deleterious health
outcomes in humans, including higher risk for affective disorders. Studies using
a long-standing model of nonhuman primate model of early adversity have
demonstrated that nursery-reared (NR) monkeys exhibit alterations in multiple
aspects of biobehavioral development; however, few studies have evaluated the
persistence of socioaffective behavioral changes through adulthood. We evaluated
the effects of early rearing experience on adult animals' response to a well
validated assessment of anxiety-like behavior, the human intruder paradigm (HIP).
We tested 22 rhesus monkeys who were either nursery-reared (NR) or reared with
their mothers (mother-reared; MR). NR monkeys were inhibited in their behavior
compared to MR monkeys, with reduced locomotion and exploratory behaviors. NR
animals showed a marginal increase in freezing. Together these findings
demonstrate that the consequences of differential infant rearing experience on
socioaffective behavior persist into adulthood, with evidence of greater
inhibition in NR monkeys.
PMID- 22072234
TI - Tissue repair driven by two different mechanisms of growth factor plasmids VEGF
and NGF in mice auricular cartilage: regeneration mediated by administering
growth factor plasmids.
AB - The focus of this study was to compare the role of nerve growth factor (NGF) and
vascular endothelial growth factor (VEGF) in the regeneration of experimental
skin and cartilage trauma. The role of VEGF in this process is known since
decade; the NGF participation on this process has been first discussed within the
spinal cord injury repair. We hypothesized that both VEGF and NGF induce
angiogenesis and take part on the repair process. The angiogenesis response and
the cartilage regeneration after phVEGF(165) plasmid and rat pcNGF plasmid
administration were investigated using BALB/c mice. PhVEGF(165) and pcNFG were
injected into the right mice ear and plain vector injection into the left ear the
day before trauma. The next day, all mice were ear-punched, resulting in 2-mm
diameter puncture through the center of both pinnae. In BALB/c mouse strain, a
significantly faster cartilage repair was observed after phVEGF(165) and pcNGF
injection into punched ear area in comparison to the control group. It has been
shown that the healing process is after VEGF and NGF injection driven
differentially. In case of VEGF is the cartilage wound repaired by induction of
new chondrocytes differentiation. In the case of NGF, the regeneration is
supported by immature leukocytes attracted into the punched area. The leukocytes
induct angiogenesis so far indirectly by inflammation. The NGF-induced
inflammation environment may be a part of mosaic creating the complete picture of
regeneration.
PMID- 22072236
TI - Engineering stem cells for treatment of osteochondral defects.
PMID- 22072235
TI - Caveolin-1 overexpression is associated with hepatocellular carcinoma
tumourigenesis and metastasis.
AB - Caveolin-1 (Cav1) has been implicated in diverse human cancers, yet its role in
hepatocellular carcinoma (HCC) tumourigenesis and metastasis remains elusive. In
the current study, we aim to provide a comprehensive understanding regarding the
functional role of Cav1 in HCC tumourigenesis and metastasis. Cav1 expression was
examined in a panel of human HCC cell lines using western blotting analysis and
quantitative RT-PCR and human tissues by immunohistochemistry. Cav1 was not
detected in normal liver cell line and all non-tumourous liver tissues but
exclusively expressed in HCC cell lines and tissues. Dramatic expression of Cav1
was found in metastatic HCC cell lines and tumours, indicating a progressive
increase of Cav1 expression along disease progression. Cav1 overexpression was
significantly correlated with venous invasion (p = 0.036). To investigate the
functions of Cav1 in HCC, Cav1 overexpressing and knockdown stable clones were
established in HCC cells and their tumourigenicity and metastatic potential were
examined. Overexpression of Cav1 promoted HCC cell growth, motility, and
invasiveness, as well as tumourigenicity in vivo. Conversely, knockdown of Cav1
in metastatic HCC cells inhibited the motility and invasiveness and markedly
suppressed the tumour growth and metastatic potential in vivo. Collectively, our
findings have shown the exclusive expression of Cav1 in HCC cell lines and
clinical samples and revealed an up-regulation of Cav1 along HCC progression. The
definitive role of Cav1 in promoting HCC tumourigenesis was demonstrated, and we
have shown for the first time in a mouse model that Cav1 promotes HCC metastasis.
PMID- 22072237
TI - Radiographically occult femoral and pelvic fractures are not mutually exclusive:
a review of fractures detected by MRI following low-energy trauma.
AB - OBJECTIVES: The purpose of this study was to review the MRI examinations of a
large group of low-energy trauma patients in whom pelvic MRI had detected
radiographically occult fractures, in order to characterize prevailing fracture
patterns and determine how often co-existing proximal femoral and pelvic
fractures were observed. METHODS: All patients having pelvic MRI over 5 years
were identified. Word-search software selected 269 MRI reports containing the
term 'fracture'. Further scrutiny identified 168 with diagnosis of fracture. MRI
request and imaging record review identified 102 low-energy trauma cases that had
MRI for clinical suspicion of fracture despite normal radiographs. Sixty-six
cases were excluded for the following reasons: no expressed clinical suspicion of
occult fracture; history suggesting high-energy trauma;skeletal co-morbidity
hindering acute fracture identification;interval more than 2 weeks between
radiographs and MRI. The 102 study MRI examinations, which employed a limited two
sequence protocol, were reviewed. Any fracture that had not been appreciated on
radiographs was recorded and characterized as femoral, pelvic, or co-existing
femoral and pelvic fractures. RESULTS: The 102 study cases had a median age of 82
years.The median interval between pelvic radiographs and MRI was 3 days. MRI
showed undiagnosed femoral fracture in 48/102 cases (47.1%), sacral fracture in
41/102 (40.2%),and pubic fracture in 55/102 (53.9%). In 11/102 cases(10.8%), MRI
showed undiagnosed fractures of both proximal femur and pelvic ring (seven
sacral, six pubic bone, two other site fractures). In 10/11 cases with coexisting
femoral and pelvic fractures, the femoral fracture was incomplete. CONCLUSIONS:
Limited pelvic MRI found a high prevalence of radiographically occult femoral and
pelvic fractures in low-energy trauma patients, with clinical suspicion of
fracture despite normal radiographs. Co-existing occult femoral and pelvic ring
fractures were commonly observed, and in such cases, the femoral fracture was
likely to be incomplete and multiple pelvic fractures were typically present.
PMID- 22072238
TI - A 14-year-old with lateral knee pain and locking.
PMID- 22072239
TI - Diagnostic accuracy of 18F-FDG-PET and PET/CT in patients with Ewing sarcoma
family tumours: a systematic review and a meta-analysis.
AB - OBJECTIVE: To systematically review and meta-analyse literature data on the
diagnostic performance of fluorine-18-fluorodeoxyglucose (FDG) positron emission
tomography (PET) and positron emission tomography/computed tomography (PET/CT) in
patients with Ewing sarcoma family tumours (ESFT). MATERIALS AND METHODS:
PubMed/MEDLINE, Embase and Scopus databases were searched for articles that
evaluated FDG-PET and PET/CT in patients with ESFT from inception to 31 May 2011.
Studies that fulfilled the three following criteria were included in the
systematic review: FDG-PET or PET/CT performed in patients with ESFT; articles
about the diagnostic accuracy of FDG-PET and PET/CT; sample size of at least 10
patients with ESFT were included. Studies in which there were sufficient data to
reassess sensitivity and specificity of FDG-PET or PET/CT in ESFT were included
in the meta-analysis, excluding duplicate publications. Finally, pooled
sensitivity, pooled specificity and area under the receiver operating
characteristic (ROC) curve of FDG-PET or PET/CT in ESFT were calculated. RESULTS:
We found 13 studies comprising a total of 342 patients with ESFT. The main
findings of the studies included are presented. The meta-analysis of five
selected studies provided these results about FDG-PET and PET/CT in ESFT: pooled
sensitivity: 96% (95% confidence interval [CI] 91-99%); pooled specificity: 92%
(95% CI 87-96%); area under the ROC curve: 0.97. CONCLUSION: With regard to the
staging and restaging of patients with ESFT, the sensitivity, specificity and
accuracy of FDG-PET and PET/CT are high; the combination of FDG-PET or PET/CT
with conventional imaging is a valuable tool for the staging and restaging of
ESFT and has a relevant impact on the treatment strategy plan.
PMID- 22072240
TI - Percutaneous radiofrequency ablation of primary intraosseous spinal glomus tumor.
AB - The glomus tumor is a rare, benign, but painful vascular neoplasm arising from
the neuromyoarterial glomus. Primary intraosseous glomus tumor is even rarer,
with only about 20 cases reported in the literature so far, 5 of which involved
the spine. Surgical resection is currently considered the treatment of choice. We
herewith present an uncommon case of primary intraosseous spinal glomus tumor
involving the right pedicle of the eleventh thoracic vertebra (T11). To our
knowledge, this is the first case of primary intraosseous spinal glomus tumor
successfully treated by percutaneous CT-guided radiofrequency ablation (RFA).
PMID- 22072241
TI - Fuel cell-powered microfluidic platform for lab-on-a-chip applications.
AB - The achievement of a higher degree of integration of components--especially
micropumps and power sources--is a challenge currently being pursued to obtain
portable and totally autonomous microfluidic devices. This paper presents the
integration of a micro direct methanol fuel cell (MUDMFC) in a microfluidic
platform as a smart solution to provide both electrical and pumping power to a
Lab-on-a-Chip system. In this system the electric power produced by the fuel cell
is available to enable most of the functionalites required by the microfluidic
chip, while the generated CO(2) from the electrochemical reaction produces a
pressure capable of pumping a liquid volume through a microchannel. The control
of the fuel cell operating conditions allows regulation of the flow rate of a
liquid sample through a microfluidic network. The relation between sample flow
rate and the current generated by the fuel cell is practically linear, achieving
values in the range of 4-18 MUL min(-1) while having an available power between 1
4 mW. This permits adjusting the desired flow rate for a given application by
controlling the fuel cell output conditions and foresees a fully autonomous
analytical Lab-on-a-Chip in which the same device would provide the electrical
power to a detection module and at the same time use the CO(2) pumping action to
flow the required analytes through a particular microfluidic design.
PMID- 22072242
TI - Message from the President of ASCI. Preface.
PMID- 22072243
TI - Comparison of magnetic resonance imaging findings in non-ST-segment elevation
versus ST-segment elevation myocardial infarction patients undergoing early
invasive intervention.
AB - To define causes and pathological mechanisms underlying differences in clinical
outcomes, we compared the findings of contrast-enhanced magnetic resonance
imaging (CE-MRI) between ST-segment elevation myocardial infarction (STEMI) and
non-ST-segment elevation myocardial infarction (NSTEMI). In 168 patients
undergoing early invasive intervention for STEMI (n = 113) and NSTEMI (n = 55),
CE-MRI was performed a median of 6 days after the index event. Infarct size was
measured on delayed-enhancement imaging, and area at risk (AAR) was quantified on
T2-weighted images. The median infarct size was significantly smaller in the
NSTEMI group than in the STEMI group (10.7% [5.6-18.1] vs. 19.2% [10.3-30.7], P <
0.001). Although there was a trend toward a greater myocardial salvage index
([AAR - infarct size] * 100/AAR) in the NSTEMI group compared to the STEMI group
(48.2 [30.4-66.8] vs. 40.5 [24.8-53.5], P = 0.056), myocardial salvage index was
similar between the groups in patients with anterior infarction (39.6 [20.0-54.9]
vs. 35.5 [23.2-53.4], P = 0.96). The NSTEMI group also had a significantly lower
extent of microvascular obstruction and a smaller number of segments with >75% of
infarct transmurality relative to the STEMI group (0% [0-0.6] vs. 0.9% [0-2.3], P
< 0.001 and 3.0 +/- 2.3 vs. 4.6 +/- 2.9, P = 0.001, respectively). Myocardial
hemorrhage was detected less frequently in the NSTEMI group than the STEMI group
(22.6% vs. 43.8%, P = 0.029). In the multivariate analysis, baseline Thrombolysis
In Myocardial Infarction flow grade 3 and hemorrhagic infarction were closely
associated with ST-segment elevation (OR 0.32, 95% CI 0.13-0.81, P = 0.017; OR
5.66, 95% CI 1.77-18.12, P = 0.003, respectively). In conclusion, in vivo
pathophysiological differences revealed by CE-MRI assessment include more
favorable infarct size, AAR, myocardial salvage and reperfusion injury in
patients with NSTEMI compared to those with STEMI undergoing early invasive
intervention.
PMID- 22072244
TI - 18F-FDG PET/CT imaging of the pancreas: spectrum of diseases.
AB - Since the introduction of integrated positron emission tomography-computed
tomography (PET/CT), it has a great impact on the field of oncology. Comparing to
other conventional scanners, only PET/CT is capable of providing important
information on accurate detecting, staging/restaging, and post-therapeutic
monitoring of many cancers. Many studies have demonstrated that PET/CT changes
the management in approximately 30% of all cancer patients. Because 2-((18)F)
fluoro-2-deoxy-D-glucose (FDG) is a nonspecific tracer, understanding the PET/CT
limitations and pitfalls for various pancreatic conditions can lead to more
accurate interpretation of PET/CT images, which ultimately would impact patient
care. As a result, it is important for radiologists and other clinicians to
familiarize themselves with a wide spectrum of pancreatic PET/CT findings
simulating cancer from benign entities.
PMID- 22072245
TI - Pancreatic peptides in young and elderly Zucker type 2 diabetic fatty rats.
AB - CONTEXT: The global prevalence of diabetes mellitus, and in particular type 2
diabetes mellitus is increasing at an alarming rate. Risk factors for development
of diabetes include obesity and advancing age. OBJECTIVES: The distribution of
insulin, glucagon, somatostatin and pancreatic polypeptide in the pancreatic
islets has been investigated in young and elderly type 2 Zucker diabetic fatty
(ZDF) rats and age-matched Zucker lean (ZL) controls. METHODS: Experiments were
performed in male animals aged either 9-13 weeks or 30-34 weeks.
Immunohistochemistry was used to label insulin, glucagon, somatostatin and
pancreatic polypeptide in islet cells. RESULTS: The percentage of insulin
positive cells was unaltered in young but decreased in elderly ZDF (35.5 +/-
2.5%) rats compared to ZL controls (57.9 +/- 1.8%). The percentage of glucagon
positive cells was increased in young ZDF (58.7 +/- 3.4%) compared to ZL controls
(23.4 +/- 2.1%). However, in elderly rats the percentage of glucagon-positive
cells declined in ZDF rats and was no longer different from ZL controls. The
percentage of somatostatin-positive cells was unaltered in young and elderly ZDF
rats compared to ZL controls. The percentage of pancreatic polypeptide-positive
cells was unaltered in young but increased in elderly ZDF (22.0 +/- 2.5%) rats
compared to ZL controls (13.8 +/- 1.8%). CONCLUSIONS: The distribution of
pancreatic hormones is altered to varying extents in the ZDF rat and during the
normal aging process.
PMID- 22072246
TI - The use of nasojejunal nutrition in patients with chronic pancreatitis.
AB - CONTEXT: Abdominal pain, malabsorption and diabetes all contribute to a negative
impact upon nutritional status in chronic pancreatitis and no validated standard
for the nutritional management of patients exists. OBJECTIVE: To assess the
effect of nasojejunal nutrition in chronic pancreatitis patients. DESIGN: All
consecutive chronic pancreatitis patients fed via the nasojejunal route between
January 2004 and December 2007 were included in the study. Patients were assessed
via retrospective review of case notes. RESULTS: Fifty-eight chronic pancreatitis
patients (35 males, 23 females; median age 46 years) were included. Patients were
discharged after a median of 14 days and nasojejunal nutrition continued for a
median of 47 days. Forty-six patients (79.3%) reported resolution of their
abdominal pain and cessation of opioid analgesia intake over the study period and
median weight gain at 6 weeks following nutritional cessation was +1 kg (range
24 to +27 kg; P=0.454). Twelve (20.7%) patients reported recurrence of their pain
during the follow-up period and complications were both minor and infrequent.
Significant improvements were noted in most blood parameters measured, including:
sodium (from 134.8 to 138.1 mEq/L; P<0.001); urea (from 3.4 to 5.1 mmol/L;
P<0.001); creatinine (from 58.3 to 60.3 umol/L; P<0.001); corrected calcium (from
2.24 to 2.35 mmol/L; P=0.018); albumin (from 34.5 to 38.7 g/L; P=0.002); CRP
(from 73.0 to 25.5 mg/L; P=0.006); and haemoglobin (from 11.8 to 12.4 g/dL;
P=0.036). CONCLUSION: Nasojejunal nutrition, commenced in hospital and continued
at home, is safe, efficacious and well tolerated in patients with severe chronic
pancreatitis and is effective in helping to relieve pain and diminish analgesic
requirements.
PMID- 22072247
TI - Drug-induced acute pancreatitis in a cohort of 328 patients. A single-centre
experience from Australia.
AB - CONTEXT: Acute pancreatitis is associated with risk of morbidity and even
mortality. Routine prescription drugs have been linked to the causation of acute
pancreatitis. OBJECTIVE: To determine the incidence, presentation, course and
outcome of drug-induced acute pancreatitis amongst patients admitted to a public
hospital. DESIGN/SETTING: A retrospective analysis of patients presenting with
acute pancreatitis to the Modbury Hospital, South Australia from January 2006 to
April 2011. MAIN OUTCOME MEASURE: Each admission was reviewed within the
electronic database for patient details as well as to determine the aetiological
factor. In patients with drug-induced acute pancreatitis, the WHO Probability
Scale was used to evaluate causality relationship. RESULTS: Three-hundreds and 28
patients were treated for acute pancreatitis during the study period. Biliary and
alcohol-induced acute pancreatitis accounted for 80.8% of cases. Eleven patients
(2 male and 9 female patients; median age: 59 years) were diagnosed with drug
induced acute pancreatitis. These included 5 cases of codeine-, 2 cases of
azathioprine-, and 1 case each of chlorothiazide-, valproic acid-, oestradiol-
and simvastatin-induced acute pancreatitis. Nine patients had a mild disease
while 2 patients had severe acute pancreatitis with a median hospital stay of 4
days. Withdrawal of the drug resulted in cessation of the attacks in all patients
over a median follow-up of 24 months. CONCLUSIONS: Routine prescription drugs, as
an aetiological factor, accounted for 3.4% of cases of acute pancreatitis. The
disease appeared to be more common in middle-aged women. It is likely that the
overall incidence of this entity is under-reported owing to the stringent
criteria needed to conclusively determine a causal relationship.
PMID- 22072248
TI - The impact of body mass index on pancreatic fistula after pancreaticoduodenectomy
in Asian patients on the basis of Asia-Pacific perspective of body mass index.
AB - CONTEXT: Several surgical complications are related to obesity. OBJECTIVE: This
study evaluated the impact of obesity on pancreatic fistula after
pancreaticoduodenectomy. DESIGN: We retrospectively reviewed the medical records
of 159 patients who underwent pancreaticoduodenectomy between October 2002 and
December 2008. SETTING: The patients were divided according to the body mass
index as obese (body mass index equal to, or greater than, 25 kg/m(2)), or normal
(body mass index less than 25 kg/m(2)). METHODS: Univariate and multivariate
analyses were applied. Two-tailed P values less than 0.05 were considered as
significant. RESULTS: Forty-six patients (28.9%) were obese and 113 patients
(71.1%) were normal-weight. Obese group had a significantly higher incidence of
pancreatic fistula and a greater amount of intraoperative blood loss. Other
surgical complications were not significantly different between the two groups.
Multivariate analysis found obesity, small pancreatic duct size (less than, or
equal to, 3 mm), intraoperative blood loss, and combined resection as significant
factors affecting pancreatic fistula. CONCLUSIONS: Obese patients have an
increased risk for pancreatic fistula after pancreaticoduodenectomy.
PMID- 22072249
TI - Acute recurrent pancreatitis: a possible clinical manifestation of ampullary
cancer.
AB - CONTEXT: Acute recurrent pancreatitis still poses diagnostic difficulties. The
coexistence or moreover the causative relationship of carcinoma of the ampulla of
Vater and acute recurrent pancreatitis is fairly rare. CASE REPORT: We present a
case of carcinoma of the ampulla of Vater that presented with acute recurrent
necrotizing pancreatitis complicated with pseudocysts. A diagnosis of malignancy
in the ampulla was only made after several ERCP attempts due to residual
inflammation at the periampullary area. CONCLUSION: Malignancy at the ampulla of
Vater causing recurrent episodes of pancreatitis represents a realistic risk and
attempts to diagnose the underlying cause should always take into account the
possibility of cancer.
PMID- 22072250
TI - Pancreatic carcinoma masquerading as groove pancreatitis: case report and review
of literature.
AB - CONTEXT: Groove pancreatitis is a distinct form of chronic pancreatitis affecting
the space surrounded by the pancreatic head, duodenum and common bile duct. It is
an uncommon pancreatic disease with challenging imaging diagnosis that can lead
to surgical dilemmas. The purpose of this study is to report a case and review
the current clinical, radiological and pathological characteristics of groove
pancreatic carcinoma and groove pancreatitis. CASE REPORT: A 58-year-old man,
with a history of alcohol excess, presented with a 4 month history of upper
abdominal pain associated with weight loss and vomiting. Failed duodenal
dilatation led to gastroenterostomy with biopsies showing chronic inflammation.
Further presentation with jaundice and pancreatic groove mass led to a Whipple's
pancreaticoduodenectomy. Histopathology report demonstrated cystic areas in both
medial and lateral walls of his duodenum microscopically consistent with groove
pancreatitis and a moderate to poorly differentiated adenocarcinoma. CONCLUSION:
Several studies have been attempted to clarify the points of differentiation
between carcinoma and pancreatitis in the groove area. This discrimination has
been proved to be difficult; frequently the definitive diagnosis is only obtained
after surgical intervention. This condition should be considered when making the
differential diagnosis in pancreatic groove pathology and duodenal stenosis.
PMID- 22072251
TI - Intrapancreatic accessory spleen: investigative dilemmas and role of EUS-guided
FNA for diagnostic confirmation.
AB - CONTEXT: We submit a case of intrapancreatic accessory spleen. CASE REPORT: A 33
year-old patient with history of dyspepsia underwent imaging studies suggestive
of a neuroendocrine tumor. After referral to our institute, endoscopic ultrasound
guided fine needle aspiration (EUS-FNA) confirmed diagnosis as intrapancreatic
accessory spleen. DISCUSSION: An accessory spleen may develop from estranged
mesenchymal cells due to fusion failure of the splenic anlage. The prevalence of
an accessory spleen is 10-30% with 80% of them present at the splenic hilum and
17% in the pancreatic tail. Intrapancreatic accessory spleen is commonly
misdiagnosed as a pancreatic tumor. Since, the differential diagnosis includes
pancreatic neuroendocrine tumors, additional investigation with EUS-FNA should be
considered when radiological diagnosis is not definitive. CONCLUSION: For
diagnosis of intrapancreatic accessory spleen, radiographic imaging is useful,
but lacks specificity without tissue diagnosis. Diagnosis can be safely and
reliably established with EUS-FNA, leading to a benign prognosis and avoidance of
unnecessary surgical intervention.
PMID- 22072252
TI - Ectopic pancreas presenting as periampullary tumor with obstructive jaundice and
pruritus is a rare diagnostic and therapeutic dilemma. A case report.
AB - CONTEXT: Ectopic pancreatic rest is an uncommon condition resulting in diverse
clinical and pathological presentation. It results from altered development of
two primitive pancreatic buds that fuse to form the uncinate-head and body-tail
of normal gland. Ectopic pancreas is an anomaly where an ectopic rest develops at
a place away from the normal site. CASE REPORT: We describe a 48-year-old male
patient who presented with progressive jaundice and pruritus. He was established
to have a periampullary mass highly suggestive of malignancy, for which he
undergo pancreaticoduodenectomy. However, histology showed ectopic pancreatic
tissue in the periampullary region. CONCLUSION: This case highlights importance
of preoperative histological diagnosis of periampullary tumors to avoid morbid
surgical procedure in the form pancreaticoduodenectomy. Ectopic pancreas should
include differential diagnosis of periampullary tumors.
PMID- 22072253
TI - EUS-guided antegrade transhepatic placement of a self-expandable metal stent in
hepatico-jejunal anastomosis.
AB - CONTEXT: To demonstrate an EUS-guided biliary drainage in patient with
gastrointestinal tract modified surgically. CASE REPORT: An EUS guided access to
the left intra hepatic duct, followed by an antegrade passage of a partially self
expandable metal stent that was removed by using an enteroscope, in one patient
with hepatico-jejunal anastomosis. There were no early or delayed complications
and the procedure was effective in relieving jaundice until the self-expandable
metal stent was removed, 3 months later. A cholangiogram was obtained via
enteroscopy, after removal of self-expandable metal stent, and found to be
normal. The patient had an uneventful evaluation afterwards. CONCLUSION: The
indication of these procedures must be made under a multidisciplinary view while
sharing information with the patient or legal guardian. EUS-guided biliary
drainage is feasible when performed by professionals with expertise in
biliopancreatic endoscopy and advanced echo-endoscopy and should be performed
currently under rigorous protocol in educational institutions.
PMID- 22072254
TI - Lack of an association between autoimmune pancreatitis and Varicella Zoster
Virus.
PMID- 22072255
TI - Total pancreatectomy and quality of life.
PMID- 22072256
TI - A one-pot catalysis: the strategic classification with some recent examples.
AB - In this "Emerging Area", the strategic classification of one-pot catalysis, i.e.
cooperative, relay and sequential catalysis, is described. In order to illustrate
this classification, we take the readers through a series of recent examples
which utilize either metal-metal, metal-organo and organo-organo catalysts. The
compilation clearly demonstrates the explosive growth and power of this field,
which has become, in the last few years, an important technique particularly in
the case of enantioselective catalysis.
PMID- 22072257
TI - Association between endolymphatic hydrops as revealed by magnetic resonance
imaging and caloric response.
AB - OBJECTIVE: To investigate the association between the degree of endolymphatic
hydrops (EH) revealed by magnetic resonance imaging (MRI) and a caloric response
in the ear. STUDY DESIGN: Prospective diagnostic study. SETTING: University
hospital. PATIENTS: Twenty-four patients with hearing loss and/or vertigo, who
underwent MRI 1 day after an intratympanic gadolinium injection. INTERVENTION:
MRI after intratympanic gadolinium injection and caloric testing with cool air
stimulation (15 degrees C, 6 l/min, 60 s). MAIN OUTCOME MEASURE: Association
between the degree of EH and caloric responses. The degree of EH in the
vestibule, in the cochlea, and in the ampulla of the lateral semicircular canal
(LSC) was evaluated using MRI. The ratio of the diameter of the endolymphatic
space to the diameter of the perilymphatic space was measured in the LSC ampulla.
RESULTS: Canal paresis (CP, >25%) was present in 15 patients and absent (CP,
<25%) in 9 patients. There was no significant difference between caloric response
and the degree of EH in the vestibule, the cochlea, or the LSC ampulla. The
period between the onset of clinical symptoms and examination tended to be longer
in the group with CP compared with the group without CP. CONCLUSION: There was no
significant association between the degree of EH and caloric response.
PMID- 22072258
TI - Preoperative embolization of the inferior petrosal sinus in surgery for glomus
jugulare tumors.
AB - OBJECTIVE: To compare the outcomes of surgery for glomus tumors involving the
jugular foramen with and without preoperative venous embolization of the inferior
petrosal sinus (IPS). STUDY DESIGN: Retrospective chart review. SETTING: Tertiary
referral center. PATIENTS: Twenty-four patients with paragangliomas involving the
jugular foramen treated between 1995 and 2008. INTERVENTION: All patients
underwent surgical resection after receiving preoperative angioembolization with
or without venous embolization of the IPS. MAIN OUTCOME MEASURES: Total operative
duration, estimated blood loss, intensive care unit and total hospital days, and
novel postoperative lower cranial nerve deficits were recorded, and appropriate
statistical analysis was conducted. RESULTS: Twenty-four patients met inclusion
criteria. Fourteen of these patients underwent preoperative embolization of the
IPS in addition to angioembolization. The group that did not undergo embolization
of the IPS was used as the control group (n = 10). These groups were compared
with regard to the above outcome measures. Blood loss and new lower cranial nerve
deficits were reduced in the venous embolization group, although neither measure
reached statistical significance. Tumor size correlated with increased
intraoperative hypotensive events and longer total hospital stay, and these
correlations were statistically significant. CONCLUSION: Preoperative
embolization of the IPS is possible in many patients undergoing surgery of the
jugular foramen. The addition of venous embolization to the traditional arterial
embolization of glomus jugulare tumors adds little additional time or expense to
the procedure and facilitates control of bleeding once the jugular bulb has been
opened.
PMID- 22072259
TI - Experience with vibroplasty couplers at the stapes head and footplate.
AB - OBJECTIVE: To report on experiences with implanting the Vibrant Soundbridge (VSB)
coupled to the stapes head using a new Clip-Coupler or to the stapes footplate
using a new OW-Coupler (CliP- or OW-Coupler Vibroplasty). STUDY DESIGN: Single
subject, repeated measures. SETTING: Two university hospital ENT departments.
PATIENTS: Fourteen German-speaking patients from 2 European study sites were
implanted with either a CliP-Coupler or OW-Coupler attached to a VSB floating
mass transducer (FMT). They were evaluated preoperatively and postoperatively for
bone and air conduction thresholds with and without the implant, as well as
speech perception tests. MAIN OUTCOME MEASURES: Measuring the efficacy and safety
of OW- and CliP-Coupler-Vibroplasty as a method to treat mixed hearing loss.
RESULTS: Bone conduction thresholds remained stable preoperatively and
postoperatively. The patients' average speech perception performances at 65/80 dB
(HL) increased from 0.8/13.8% to 63/82%. The pure tone audiograms showed an
average improvement in air conduction thresholds after implantation with the VSB
of 25 dB at 0.5 kHz to 50 dB at 4 kHz. CONCLUSION: OW- or Clip-Coupler
Vibroplasty using couplers was found to be a straightforward procedure, which
produced good results in this group of patients.
PMID- 22072260
TI - Surgical trauma after sequential insertion of intracochlear catheters and
electrode arrays (a histologic study).
AB - HYPOTHESIS: To evaluate histologically the risk of trauma to intracochlear
structures after sequential insertion of an intracochlear catheter and an
electrode array. BACKGROUND: A previous computed tomographic scan-based study
demonstrated that introduction of a flexible disposable intracochlear catheter
for drug delivery to a distance of up to 15 mm was feasible and showed no
radiologic evidence of basilar membrane trauma. METHODS: Fifteen fresh human
temporal bones were prepared for cochlear implantation. We sequentially performed
the introduction of the catheter, the injection of 15 ul of an iodine solution
into the scala tympani via the catheter, and the removal of the catheter in 14
temporal bones, and finally, 2 types of electrode array (Flex EAS and Flex Soft)
from MED-EL, were inserted into 10 temporal bones. The bones were fixed and
embedded in methylmethacrylate to cut the undecalcified temporal bones parallel
to the modiolus axis with the electrode array in place, and each histologic
section was photographed to document the location and extent of trauma in the
cochlea. RESULTS: No specific damage (Grade 0 trauma) to cochlear structures
occurred in 12 temporal bones, and elevation of basilar membrane (Grade 1 trauma)
occurred in 2 cases. The electrode array was positioned in the scala tympani
under the basilar membrane in all temporal bones, with one exception, in which
the Flex Soft electrode array deviated behind the spiral ligament and into the
scala vestibuli apically (Grade 3 trauma). CONCLUSION: The infusion of an iodine
solution within an intracochlear catheter and the subsequent insertion of an
electrode array was shown to be feasible and often atraumatic.
PMID- 22072261
TI - Accuracy of computed tomography detection of superior canal dehiscence.
AB - HYPOTHESIS: High-resolution temporal bone computed tomography (CT) may
erroneously demonstrate a superior semicircular canal dehiscence (SSCD) where
none exists and inaccurately display the size of a dehiscence. BACKGROUND: CT is
an integral component of the diagnosis of SSCD. The prevalence of dehiscence as
measured on computed tomographic scan is approximately eightfold higher than that
on histologic studies, suggesting that CT may have a relatively low specificity
for identifying canal dehiscence. This, in turn, can lead to an inappropriate
diagnosis and treatment plan. METHODS: We quantified the accuracy of CT in
identifying a dehiscence of the superior semicircular canal in a cadaver model
using microCT as a gold standard. The superior canals of 11 cadaver heads were
blue lined. Twelve of the 22 ears were further drilled to create fenestrations of
varying sizes. Heads were imaged using medical CT, followed by microCT scans of
the temporal bones at 18-um resolution. Diagnosis of dehiscence and measurements
of dehiscence size were performed on clinical CT and compared with that of
microCT. RESULTS: Clinical CT identified 7 of 8 intact canals as dehiscent and
tended to overestimate the size of smaller fenestrations, particularly those
surrounded by thin bone. CONCLUSION: These findings confirm that medical CT
cannot be used as the exclusive gold standard for SSCD and that, particularly for
small dehiscences on CT, clinical symptoms must be clearly indicative of a
dehiscence before surgical treatment is undertaken. Preoperative counseling for
small dehiscences may need to include the possibility that no dehiscence may be
found despite radiologic evidence for it.
PMID- 22072262
TI - Response to "Simultaneous true stapes fixation and bilateral bony dehiscence
between the internal carotid artery and the apex of the cochlea: the ultimate
pitfall".
PMID- 22072263
TI - Electrocochleography as a diagnostic and intraoperative adjunct in superior
semicircular canal dehiscence syndrome.
AB - OBJECTIVE: To determine the electrocochleographic characteristics of ears with
superior semicircular canal dehiscence (SSCD) and to examine its use for
intraoperative monitoring in canal occlusion procedures. STUDY DESIGN: Case
series. SETTING: Academic medical center. PATIENTS: Thirty-three patients (45
ears) had clinical and computed tomographic evidence of SSCD; 8 patients
underwent intraoperative electrocochleography (ECoG) during superior canal
occlusion; 9 patients underwent postoperative ECoG after SSCD occlusion.
INTERVENTIONS: Diagnostic, intraoperative, and postoperative extratympanic ECoG;
middle fossa or transmastoid occlusion of the superior semicircular canal. MAIN
OUTCOME MEASURE: Summating potential (SP) to action potential (AP) ratio, as
measured by ECoG, and alterations in SP/AP during canal exposure and occlusion.
RESULTS: Using computed tomography as the standard, elevation of SP/AP on ECoG
demonstrated 89% sensitivity and 70% specificity for SSCD. The mean SP/AP ratio
among ears with SSCD was significantly higher than that among unaffected ears
(0.62 versus 0.29, p < 0.0001). During occlusion procedures, SP/AP increased on
exposure of the canal lumen (mean change +/- standard deviation, 0.48 +/- 0.30).
After occlusion, SP/AP dropped below the intraoperative baseline in most cases
(mean change, -0.23 +/- 0.52). All patients experienced symptomatic improvement.
All patients who underwent postoperative ECoG 1 to 3 months after SSCD repair
maintained SP/AP of 0.4 or lesser. CONCLUSION: These findings expand the
differential diagnosis of abnormal ECoG. In conjunction with clinical findings,
ECoG may support a clinical diagnosis of SSCD. Intraoperative ECoG facilitates
dehiscence documentation and allows the surgeon to confirm satisfactory canal
occlusion.
PMID- 22072264
TI - Profound high-frequency sensorineural hearing loss treatment with a totally
implantable hearing system.
AB - OBJECTIVE: This study seeks to assess the efficacy of the Envoy Esteem totally
implantable hearing device in treating profound high-frequency sensorineural
hearing loss. PATIENTS: Five patients with profound high-frequency hearing loss
participating in a prospective, multi-center, nonrandomized Food and Drug
Administration clinical trial. INTERVENTION: Implantation with Esteem totally
implantable hearing device and comparison to baseline unaided and aided
conditions. MAIN OUTCOME MEASURE: Speech reception threshold and word recognition
scores (WRS) at 50 dB HL presentation level. RESULTS: Preoperative speech
reception threshold improved from an unaided 65 dB and aided 48 dB average to 26
dB with the Esteem at 12 months. WRS at 50 dB scores improved from an unaided 10%
and aided 23% average to 78% postoperatively. CONCLUSION: The Esteem totally
implantable middle ear hearing device provides appreciable functional gain and
improvement in WRS to rehabilitate hearing in patients with a profound high
frequency sensorineural hearing loss.
PMID- 22072265
TI - Histopathologic markers of hearing loss in intralabyrinthine schwannomas:
implications for management.
AB - OBJECTIVE: This study aimed to review the clinical history and management of
patients with intralabyrinthine schwannomas and evaluate the histopathologic
features of these tumors. STUDY DESIGN: A retrospective case review of 24
patients and 7 temporal bone specimens. SETTING: An otologic tertiary referral
private practice. PATIENTS: Patients with magnetic resonance imaging-confirmed
intralabyrinthine schwannoma diagnosed after 1995 and non-Neurofibromatosis type
2 temporal bone specimens with schwannomas confined to the bony labyrinth. MAIN
OUTCOME MEASURES: Clinical data including history, imaging and audiometry were
evaluated. The histopathologic features of temporal bone specimens including
tumor location and degenerative changes were analyzed. RESULTS: The
intralabyrinthine location of the tumor does not predict the degree or time to
progression of hearing loss. Both sensorineural and conductive hearing loss can
occur. Mechanisms of hearing loss include hair cell, spiral ganglion, and stria
vascularis degeneration in addition to mechanical obstruction. CONCLUSION:
Hearing loss is the most common symptom with intralabyrinthine schwannomas.
Histopathology reveals that multiple mechanisms of degeneration may occur within
the labyrinth to induce hearing loss. Observation provides the most reliable
hearing preservation strategy.
PMID- 22072266
TI - Keyhole cochlear implantation: current status.
AB - INTRODUCTION: Past cochlear implantation (CI) techniques have included a variety
of soft tissue and bony trauma to place and stabilize the implant body. Despite
minimalist approaches, the surgery invites further refinement. MATERIALS AND
METHODS: A restricted access "keyhole" CI technique was developed through a
series of 547 cases undertaken from 1997. The surgery used a 20-mm-diameter mini
C-incision on the rear of the auricle and avoided the use of a bony retention
well or suture fixation. Initial body migration rates were successfully countered
using small titanium mesh "bridges." The series was free from other problems
specific to the technique. DISCUSSION: The study demonstrates that CI can be
performed with only restricted exposure, disposing of well and suture fixation
methods, and without a wound that may be adjacent to the implant body or
electrode arrays. CONCLUSION: The keyhole approach proved atraumatic and brief.
It is particularly suited to simultaneous bilateral implantation in the infant
and proved adaptable to all ages.
PMID- 22072267
TI - Changes in Listing plane thickness caused by vestibular schwannoma: a parameter
for evaluating the accuracy of the gravity-oriented internal model.
AB - OBJECTIVE: Three-dimensional analysis of video-oculograms can be used to
calculate Listing plane for patients and experimental subjects. Listing plane
reflects the head's orientation with respect to gravity, which suggests that the
plane is derived from otolithic vestibular input, itself, or from a gravity
oriented internal model constructed through integration of visual, vestibular,
and proprioceptive sensory inputs. The goal of this study was to determine
whether the Listing plane can serve as a parameter for evaluating static
(peripheral or central) vestibular function. STUDY DESIGN: Prospective study.
SETTING: Tertiary referral center. PATIENTS: Healthy subjects and patients with
unilateral vestibular schwannoma without any previous treatment. INTERVENTION:
Diagnostic. MAIN OUTCOME MEASURES: Video-oculograms were recorded from healthy
subjects (aged 36.8 +/- 6.3 yr) and from patients (aged 60.3 +/- 7.5 yr) during
voluntary gaze with the head in an upright or each-side-down orientation, and the
thicknesses of the calculated Listing planes were then compared. RESULTS: Results
revealed thickening of the Listing plane in patients only when the head was in an
impaired-side-down orientation (1.250 +/- 0.795 and 1.074 +/- 0.759 degrees in
the right- and left-side-down head orientations in healthy subjects versus 2.222
+/- 1.237 degrees in the impaired-side-down orientation in patients), and this
thickening correlated with caloric weakness. By contrast, neither the sensation
of postural instability nor postural disturbance in force platform recordings
contributed to the thickness of Listing plane. CONCLUSION: The thickness of the
Listing plane could be a novel parameter for quantitatively evaluating static
vestibular (otolithic) function, although central compensation might exist.
PMID- 22072268
TI - The controversial beginnings of neurotology: William F. House's struggles as a
medical innovator.
PMID- 22072269
TI - Misdiagnosis of acute peripheral vestibulopathy in central nervous ischemic
infarction.
AB - INTRODUCTION: Vertigo is a very common symptom at otorhinolaryngology (ENT),
neurological, and emergency units, but often, it is difficult to distinguish
between vertigo of peripheral and central origin. PATIENTS AND METHODS: We
conducted a retrospective analysis of a hospital database, including all patients
admitted to the ENT University Hospital Graz after neurological examination, with
a diagnosis of peripheral vestibular vertigo and subsequent diagnosis of central
nervous infarction as the actual cause for the vertigo. Twelve patients were
included in this study. RESULTS: All patients with acute spinning vertigo after a
thorough neurological examination and with uneventful computed tomographic scans
were referred to our ENT department. Nine of them presented with horizontal
nystagmus. Only 1 woman experienced additional hearing loss. The mean diagnostic
delay to the definite diagnosis of a central infarction through magnetic
resonance imaging was 4 days (SD, 2.3 d). CONCLUSION: A careful otologic and
neurological examination, including the head impulse test and caloric testing, is
mandatory. Because ischemic events cannot be diagnosed in computed tomographic
scans at an early stage, we strongly recommend to perform cranial magnetic
resonance imaging within 48 hours from admission if vertigo has not improved
under conservative treatment.
PMID- 22072270
TI - Genome-wide association study identifies 5q21 and 9p24.1 (KDM4C) loci associated
with alcohol withdrawal symptoms.
AB - Several genome-wide association (GWA) studies of alcohol dependence (AD) and
alcohol-related phenotypes have been conducted; however, little is known about
genetic variants influencing alcohol withdrawal symptoms (AWS). We conducted the
first GWA study of AWS using 461 cases of AD with AWS and 408 controls in
Caucasian population in the Collaborative Study on the Genetics of Alcoholism
(COGA) sample. Logistic regression analysis of AWS as a binary trait, adjusted
for age and sex, was performed using PLINK. We identified 51 SNPs associated with
AWS with p < 10(-4). The first best signal was rs770182 (p = 3.65 * 10(-6)) at
5q21 near EFNA5 gene which was replicated in the Australian twin-family study of
273 families (p = 0.0172). Furthermore, three SNPs (rs10975990, rs10758821 and
rs1407862) within KDM4C gene at 9p24.1 showed p < 10(-4) (p = 7.15 * 10(-6), 2.79
* 10(-5) and 4.93 * 10(-5), respectively) in the COGA sample while one SNP
rs12001158 within KDM4C with p = 1.97 * 10(-4) in the COGA sample was replicated
in the family sample (p = 0.01). Haplotype analysis further supported the
associations of single-marker analyses of KDM4C in the COGA sample. Moreover, two
SNPs (rs2046593 and rs10497668) near FSIP2 at 2q32.1 with moderate associations
with AWS in the COGA sample (p = 2.66 * 10(-4) and 9.48 * 10(-5), respectively)
were replicated in the family sample (p = 0.0013 and 0.0162, respectively). In
addition, several SNPs in GABRA1, GABRG1, and GABRG3 were associated with AWS (p
< 10(-2)) in the COGA sample. In conclusion, we identified several loci
associated with AWS. These findings offer the potential for new insights into the
pathogenesis of AD and AWS.
PMID- 22072271
TI - Follicular-patterned tumors of the thyroid: the battle of benign vs. malignant
vs. so-called uncertain.
AB - This article will review the controversial area of follicular-patterned thyroid
tumors. The literature is discussed with emphasis on pathologic diagnosis and the
criteria for malignancy. In addition, the current state of knowledge regarding
molecular markers and their utility in diagnosing benign from malignant nodules
is described. Finally, the apparent lack of consistency with regard to results of
certain immunohistochemical markers is included.
PMID- 22072272
TI - Randomized controlled trial of acupuncture for prevention of radiation-induced
xerostomia among patients with nasopharyngeal carcinoma.
AB - BACKGROUND: Xerostomia (dry mouth) after head/neck radiation is a common problem
among cancer patients, and available treatments are of little benefit. The
objective of this trial was to determine whether acupuncture can prevent
xerostomia among head/neck patients undergoing radiotherapy. METHODS: A
randomized, controlled trial among patients with nasopharyngeal carcinoma was
conducted comparing acupuncture to standard care. Participants were treated at
Fudan University Shanghai Cancer Center, Shanghai, China. Forty patients were
randomized to acupuncture treatment and 46 to standard care. Patients were
treated 3*/wk on the same days they received radiotherapy. Subjective measures
included the Xerostomia Questionnaire and MD Anderson Symptom Inventory-Head and
Neck (MDASI-HN). Objective measures were unstimulated and stimulated whole
salivary flow rates. Patients were followed for 6 months after the end of
radiotherapy. RESULTS: Xerostomia Questionnaire scores for acupuncture were
statistically significantly lower than for controls starting in week 3 through
the 6 months (P = .003 at week 3, all other P < .0001), with clinically
significant differences as follows: week 11, relative risk (RR) 0.63 (95%
confidence interval [CI], 0.45-0.87); 6 months, RR 0.38 (95% CI, 0.19-0.76).
Similar findings were seen for MDASI-HN scores. Group differences emerged as
early as 3 weeks into treatment for saliva (unstimulated whole salivary flow
rate, P = .0004), with greater saliva flow in the acupuncture group at week 7
(unstimulated whole salivary flow rate, P < .0001; stimulated whole salivary flow
rate, P = .002) and 11 (unstimulated whole salivary flow rate, P < .02;
stimulated whole salivary flow rate, P < .03) and at 6 months (stimulated whole
salivary flow rate, P < .003). CONCLUSIONS: Acupuncture given concurrently with
radiotherapy significantly reduced xerostomia and improved quality of life.
PMID- 22072273
TI - Mass size distribution and chemical composition of the surface layer of summer
and winter airborne particles in Zabrze, Poland.
AB - Mass size distributions of ambient aerosol were measured in Zabrze, a heavily
industrialized city of Poland, during a summer and a winter season. The chemical
analyses of the surface layer of PM(10), PM(2.5) and PM(1) in this area were also
performed by X-ray photoelectron spectroscopy (XPS). Results suggested that the
influence of an atmospheric aerosol on the health condition of Zabrze residents
can be distinctly stronger in winter than in summer because of both: higher
concentration level of particulate matter (PM) and higher contribution of fine
particles in winter season compared to summer. In Zabrze in June (summer) PM(10)
and PM(2.5) reached about 20 and 14 MUg/m(3), respectively, while in December
(winter) 57 and 51 MUg/m(3), respectively. The XPS analysis showed that elemental
carbon is the major surface component of studied airborne particles representing
about 78%-80% (atomic mass) of all detected elements.
PMID- 22072274
TI - Comparative and evolutionary analysis of new variants of omega-gliadin genes from
three A-genome diploid wheats.
AB - A genomic polymerase chain reaction (PCR) cloning strategy was applied to isolate
omega-gliadin sequences from three A-genome diploid wheats (Triticum monococcum,
T. boeoticum and T. urartu). Amplicon lengths varied from 744 and 1,044 bp, and
those of the corresponding deduced mature proteins from 248 to 348 residues. The
primary structure of the deduced polypeptides comprised a short N- and C-terminal
conserved domain, and a long, variable repetitive domain. A phylogenetic analysis
recognised several clades: the first consisted of three T. aestivum sequences;
the second and the third two T. boeoticum and six T. monococcum sequences; and
the rest four T. urartu and three T. aestivum sequences. Among the functional
(non-pseudogene) ARQ/E-type omega-gliadin sequences, two were derived from T.
boeoticum and three from T. monococcum; one of the latter sequences appeared to
be a chimera originating via illegitimate recombination between the other two T.
monococcum sequences. None of the 12 intact omega-gliadin sequences contained any
cysteine or methionine residues. We discussed the variation and evolution of A
genome omega-gliadin genes.
PMID- 22072275
TI - Genetics of migraine in the age of genome-wide association studies.
AB - Genetic factors importantly contribute to migraine. However, unlike for rare
monogenic forms of migraine, approaches to identify genes for common forms of
migraine have been of limited success. Candidate gene association studies were
often negative and positive results were often not replicated or replication
failed. Further, the significance of positive results from linkage studies
remains unclear owing to the inability to pinpoint the genes under the peaks that
may be involved in migraine. Problems hampering these studies include limited
sample sizes, methods of migraine ascertainment, and the heterogeneous clinical
phenotype. Three genome-wide association studies are available now and have
successfully identified four new genetic variants associated with migraine. One
new variant (rs1835740) modulates glutamate homeostasis, thus integrates well
with current concepts of neurotransmitter disturbances. This variant may be more
specific for severe forms of migraine such as migraine with aura than migraine
without aura. Another variant (rs11172113) implicates the lipoprotein receptor
LRP1, which may interact with neuronal glutamate receptors, thus also providing a
link to the glutamate pathway. In contrast, rs10166942 is in close proximity to
TRPM8, which codes for a cold and pain sensor. For the first time this links a
gene explicitly implicated in pain related pathways to migraine. The potential
function of the fourth variant rs2651899 (PRDM16) in migraine is unclear. All
these variants only confer a small to moderate change in risk for migraine, which
concurs with migraine being a heterogeneous disorder. Ongoing large international
collaborations will likely identify additional gene variants for migraine.
PMID- 22072276
TI - The development of fear learning and generalization in 8-13 year-olds.
AB - The current study examined developmental changes in fear learning and
generalization in 40 healthy 8-13 year-olds using an aversive conditioning
paradigm adapted from Lau et al. [Lau et al. [2008] Journal of the American
Academy of Child and Adolescent Psychiatry 47:94-102]. In this task, the
conditioned stimuli (CS+/CS-) are two neutral female faces, and the unconditioned
stimulus is a fearful, screaming face. The second phase of the study also
included a generalization stimulus (GS): a 50% blend of the CS+/- faces. The eye
blink startle reflex was utilized to measure defensive responding. Patterns of
fear learning and generalization were qualified by child age. Older children
demonstrated greater fear learning (i.e., larger startle during CS+ than CS-)
than younger children. In addition, older children exhibited the typical pattern
of generalization observed in adults, whereas younger children did not. Finally,
fear learning also related to contingency awareness; only children who correctly
identified the CS+ demonstrated fear-potentiated startle to the CS+. Clinical
implications and future directions are discussed.
PMID- 22072277
TI - A simulation study to improve performance in the preparation and delivery of
antineoplastic medications at a community hospital.
AB - In this paper, we introduce a simulation study to improve the antineoplastic
medication preparation and delivery performance at a pharmacy department in a
large community hospital. The goal of this work is to help pharmacy reduce
patients' average waiting time when receiving chemotherapy. This will be achieved
by simulating and analyzing the preparation and delivery procedures to identify
process bottlenecks, carry out what-if analysis, predict the impact of
improvement effort, and provide recommendations to hospital leadership. Using the
simulation model, we discover that by introducing early preparation for the
returning patients and dedicating an infusion staff member for medication
delivery, patients' waiting time for antineoplastic medications can be reduced
substantially. Such improvements do not require additional floor space or
significant investment. The recommendation has been accepted by hospital
management and implemented in the pharmacy department. The preliminary results
have verified the simulation output with the desired improvement predicted by the
model.
PMID- 22072278
TI - Deployment of RFID in healthcare facilities-experimental design in MRI
department.
AB - Patient safety has become an important issue due to medical errors. Some health
care systems use Radio Frequency Identification (RFID) to identify patients
during medical procedures. However, the RFID data readability especially depends
upon the environment, an investigation of data reliability and signal loss is
essential to making an effective deployment plan. The operation of Magnetic
Resonance Imaging (MRI) is the major source of electromagnetic interference in
the hospital. Therefore, this research conducts an experimental design of reading
performance considering various notable factors in the MRI department. In
addition to the readability experiment, this paper also measures the efficiency
and reliability of implementing RFID technology in the MRI department using a
simulation approach and helps hospitals by providing the measured outcomes.
PMID- 22072279
TI - Use and satisfaction with electronic health record by primary care physicians in
a health district in Brazil.
AB - It is believed that Electronic Health Records (EHR) improve not only quality of
care but also patient safety and health care savings. This seems to be true for
developed countries but not necessarily in emerging economies. This paper
examined the primary care physicians' satisfaction with a specific EHR in a
health district of a major city in Brazil and describes how they are using it as
well as its specific functions. A cross-sectional questionnaire survey with all
physicians from all Community Health Centers of the 6th health district of the
City of Fortaleza that were using HER was conducted. From the 111 subjects
(100%), a total of 99 physicians answered the survey (89% response rate). For
overall satisfaction with the EHR, 2 (2%) were satisfied, 50 (50.5%) were
satisfied in part and 47 (47.5%) were not satisfied. For the functionalities, a
proportion of correct answers (PCA) and an index of functionality usage (IFU)
were developed. PCA and IFU were significantly correlated (p < 0.001). Inverse
and weak correlations were found between PCA and age (p < 0.001), years since
medical school and years of work (p < 0.01). For usage (IFU), there was inverse
correlation with "years working in Family Health Strategy" (p < 0.05). High IFU
was associated with physicians who stated to use easily Internet and Email; who
saw less patients per half-day; who were women (p < 0.05), younger (p < 0.05), in
training (p < 0.05) and not satisfied with the EHR (p < 0.05).The use of EHR was
associated with being young, female, still in training and seeing less than 16
patients per half-day. Structural issues (e.g. network and system support) seemed
to be major barriers in this setting. Lack of classical functionalities such as
problem list and clinical reminders could have contributed to exacerbate
misperceptions about what EHRs can do in improving work processes and patient
care.
PMID- 22072280
TI - Automated classification of liver disorders using ultrasound images.
AB - This paper presents a novel approach for detection of Fatty liver disease (FLD)
and Heterogeneous liver using textural analysis of liver ultrasound images. The
proposed system is able to automatically assign a representative region of
interest (ROI) in a liver ultrasound which is subsequently used for diagnosis.
This ROI is analyzed using Wavelet Packet Transform (WPT) and a number of
statistical features are obtained. A multi-class linear support vector machine
(SVM) is then used for classification. The proposed system gives an overall
accuracy of ~95% which clearly illustrates the efficacy of the system.
PMID- 22072281
TI - Adaptive significance of permanent female mimicry in a bird of prey.
AB - Permanent female mimicry, in which adult males express a female phenotype, is
known only from two bird species. A likely benefit of female mimicry is reduced
intrasexual competition, allowing female-like males to access breeding resources
while avoiding costly fights with typical territorial males. We tested this
hypothesis in a population of marsh harriers Circus aeruginosus in which
approximately 40 per cent of sexually mature males exhibit a permanent, i.e.
lifelong, female plumage phenotype. Using simulated territorial intrusions, we
measured aggressive responses of breeding males towards conspecific decoys of
females, female-like males and typical males. We show that aggressive responses
varied with both the type of decoys and the type of defending male. Typical males
were aggressive towards typical male decoys more than they were towards female
like male decoys; female-like male decoys were attacked at a rate similar to that
of female decoys. By contrast, female-like males tolerated male decoys (both
typical and female-like) and directed their aggression towards female decoys.
Thus, agonistic responses were intrasexual in typical males but intersexual in
female-like males, indicating that the latter not only look like females but also
behave like them when defending breeding resources. When intrasexual aggression
is high, permanent female mimicry is arguably adaptive and could be seen as a
permanent 'non-aggression pact' with other males.
PMID- 22072282
TI - Taylor's Law holds in experimental bacterial populations but competition does not
influence the slope.
AB - Populations vary in time and in space, and temporal variation may differ from
spatial variation. Yet, in the past half century, field data have confirmed both
the temporal and spatial forms of Taylor's power Law, a linear relationship
between log(variance) and log(mean) of population size. Recent theory predicted
that competitive species interactions should reduce the slope of the temporal
version of Taylor's Law. We tested whether this prediction applied to the spatial
version of Taylor's Law using simple, well-controlled laboratory populations of
two species of bacteria that were cultured either separately or together for 24 h
in media of widely varying nutrient richness. Experimentally, the spatial form of
Taylor's Law with a slope of 2 held for these simple bacterial communities, but
competitive interactions between the two species did not reduce the spatial
Taylor's Law slope. These results contribute to the widespread usefulness of
Taylor's Law in population ecology, epidemiology and pest control.
PMID- 22072283
TI - A minute fossil phoretic mite recovered by phase-contrast X-ray computed
tomography.
AB - High-resolution phase-contrast X-ray computed tomography (CT) reveals the
phoretic deutonymph of a fossil astigmatid mite (Acariformes: Astigmata) attached
to a spider's carapace (Araneae: Dysderidae) in Eocene (44-49 Myr ago) Baltic
amber. Details of appendages and a sucker plate were resolved, and the resulting
three-dimensional model demonstrates the potential of tomography to recover
morphological characters of systematic significance from even the tiniest amber
inclusions without the need for a synchrotron. Astigmatids have an extremely
sparse palaeontological record. We confirm one of the few convincing fossils,
potentially the oldest record of Histiostomatidae. At 176 um long, we believe
this to be the smallest arthropod in amber to be CT-scanned as a complete body
fossil, extending the boundaries for what can be recovered using this technique.
We also demonstrate a minimum age for the evolution of phoretic behaviour among
their deutonymphs, an ecological trait used by extant species to disperse into
favourable environments. The occurrence of the fossil on a spider is noteworthy,
as modern histiostomatids tend to favour other arthropods as carriers.
PMID- 22072284
TI - Plasticity of preferred body temperatures as means of coping with climate change?
AB - Thermoregulatory behaviour represents an important component of ectotherm non
genetic adaptive capacity that mitigates the impact of ongoing climate change.
The buffering role of behavioural thermoregulation has been attributed solely to
the ability to maintain near optimal body temperature for sufficiently extended
periods under altered thermal conditions. The widespread occurrence of plastic
modification of target temperatures that an ectotherm aims to achieve (preferred
body temperatures) has been largely overlooked. I argue that plasticity of target
temperatures may significantly contribute to an ectotherm's adaptive capacity.
Its contribution to population persistence depends on both the effectiveness of
acute thermoregulatory adjustments (reactivity) in buffering selection pressures
in a changing thermal environment, and the total costs of thermoregulation (i.e.
reactivity and plasticity) in a given environment. The direction and magnitude of
plastic shifts in preferred body temperatures can be incorporated into
mechanistic models, to improve predictions of the impact of global climate change
on ectotherm populations.
PMID- 22072285
TI - The impact of 18F-FDG PET on the management of patients with suspected large
vessel vasculitis.
AB - PURPOSE: We aimed to assess the impact of (18)F-fluorodeoxyglucose (FDG) positron
emission tomography (PET) on the management of patients with suspected large
vessel vasculitis. METHODS: An international expert panel determined diagnoses
and clinical management in patients with suspected large vessel vasculitis, with
and without the results of (18)F-FDG PET, respectively. The accuracy of the
clinical diagnosis and the resulting clinical management with and without the
(18)F-FDG PET results were compared using logistic regression models. RESULTS:
The analysis included 30 patients referred to a tertiary care centre with large
vessel vasculitis and 31 controls. (18)F-FDG PET had an overall sensitivity of
73.3% [95% confidence interval (CI) 54.1-87.7%], a specificity of 83.9% (95% CI
66.3-94.5%), a positive predictive value of 81.5% (95% CI 61.9-93.7%) and a
negative predictive value of 76.5% (95% CI 58.8-89.3%). The diagnostic accuracy
of (18)F-FDG PET was higher in patients not receiving immunosuppressive drugs
(93.3 vs 64.5%, p = 0.006). Taken in context with other available diagnostic
modalities, the addition of (18)F-FDG PET increased the clinical diagnostic
accuracy from 54.1 to 70.5% (p = 0.04). The addition of (18)F-FDG PET increased
the number of indicated biopsies from 22 of 61 patients (36.1%) to 25 of 61
patients (41.0%) and changed the treatment recommendation in 8 of 30 patients
(26.7%) not receiving immunosuppressive medication and in 7 of 31 patients
(22.6%) receiving immunosuppressive medication. CONCLUSION: (18)F-FDG PET is a
sensitive and specific imaging tool for large vessel vasculitis, especially when
performed in patients not receiving immunosuppressive drugs. It increases the
overall diagnostic accuracy and has an impact on the clinical management in a
significant proportion of patients.
PMID- 22072286
TI - Measurement of SUVmax plus ADCmin of the primary tumour is a predictor of
prognosis in patients with cervical cancer.
AB - PURPOSE: The objectives of this study were to determine if measurements of the
maximum standardized uptake value (SUVmax) by positron emission
tomography/computed tomography and minimum apparent diffusion coefficient
(ADCmin) by magnetic resonance imaging are correlated with the clinical
characteristics and prognosis of primary cervical cancer. METHODS: The
correlations between biological parameters and prognosis and SUVmax and ADCmin of
the primary tumour were determined in 66 patients with cervical cancer before
radiotherapy or concurrent chemoradiotherapy. RESULTS: There were significant
correlations between SUVmax of the primary tumour and FIGO stage (p = 0.036),
tumour maximum size (p = 0.018) and pelvic lymph node metastasis (p = 0.044). The
median durations of disease-free survival (DFS) and overall survival (OS) were
16.1 and 19.2 months, respectively. The DFS and OS of patients exhibiting high
SUVmax of the primary tumour were significantly lower than those of patients
exhibiting low SUVmax of the primary tumour (p = 0.0171 and p = 0.0367). The OS
of patients exhibiting low ADCmin of the primary tumour was significantly lower
than that of patients exhibiting high ADCmin of the primary tumour (p = 0.0376).
The DFS and OS of patients exhibiting high SUVmax together with low ADCmin of the
primary tumour were significantly lower (p = 0.003 and p = 0.001). Multivariate
analyses showed that high SUVmax together with low ADCmin of the primary tumour
was an independent prognostic factor for both DFS (p = 0.0030) and OS (p =
0.0036). CONCLUSION: High SUVmax together with low ADCmin of the primary tumour
is an important predictive factor for identifying patients with cervical cancer
who have a poor prognosis.
PMID- 22072287
TI - Mild kidney disease as a risk factor for major bleeding in patients with atrial
fibrillation undergoing percutaneous coronary stenting.
AB - Bleeding risk is increased in patients with atrial fibrillation (AF) and moderate
to severe kidney disease (KD); however, the implication of mild KD on bleeding
remains unclear. The aim of this study was to determine whether the presence of
mild KD increases risk for major bleeding (MB) in patients with AF undergoing
percutaneous coronary intervention with stent implantation (PCI-S). Two hundred
eighty-five patients were included. Patients were classified into three kidney
function groups: moderate to severe KD (n=91; <60 ml/min/1.73 m2), mild KD
(n=139; 60-89 ml/min/1.73 m2) and non-KD (n=55; >=90 ml/min/1.73 m2). Estimated
glomerular filtration rate was calculated using the simplified Modification of
Diet in Renal Disease equation. Patients were followed for one year, and the
occurrence of MB was obtained in all. A total of 28 patients (9.8%) presented MB.
MB complications examined as a function of KD groups revealed that there was a
graded increase in MB with worsening renal function (non KD=1.8%, mild KD=7.9%,
moderate to severe KD=17.6%; p <0.001). Multivariable Cox regression analysis
showed that mild KD was associated with nearly a 2.5-fold (2.43 95% confidence
interval 1.11-5.34, p=0.039) increase in the risk of MB as compared with non-KD
patients. Other independent predictors of MB were moderate-severe KD, anaemia and
triple antithrombotic therapy after PCI-S (C-index=0.76). In this population,
mild KD confers a significantly increase in the risk for MB complications. Future
studies should assess the potential role of incorporating mild KD into the
bleeding risk scales to improve the stratification of these patients.
PMID- 22072288
TI - Ensembles of engineered cardiac tissues for physiological and pharmacological
study: heart on a chip.
AB - Traditionally, muscle physiology experiments require multiple tissue samples to
obtain morphometric, electrophysiological, and contractility data. Furthermore,
these experiments are commonly completed one at a time on cover slips of single
cells, isotropic monolayers, or in isolated muscle strips. In all of these cases,
variability of the samples hinders quantitative comparisons among experimental
groups. Here, we report the design of a "heart on a chip" that exploits muscular
thin film technology--biohybrid constructs of an engineered, anisotropic
ventricular myocardium on an elastomeric thin film--to measure contractility,
combined with a quantification of action potential propagation, and cytoskeletal
architecture in multiple tissues in the same experiment. We report techniques for
real-time data collection and analysis during pharmacological intervention. The
chip is an efficient means of measuring structure-function relationships in
constructs that replicate the hierarchical tissue architectures of laminar
cardiac muscle.
PMID- 22072289
TI - TGFbeta1-Endo180-dependent collagen deposition is dysregulated at the tumour
stromal interface in bone metastasis.
AB - Cellular niches in adult tissue can harbour dysregulated microenvironments that
become the driving force behind disease progression. The major environmental
change when metastatic cells arrive in the bone is the destruction of mineralized
type I collagen matrix. Once metastatic niches establish in bone, the invading
tumour cells initiate a vicious cycle of osteolytic lesion formation via the
dysregulation of paracrine signals and uncoupling of normal bone resorption and
production. Here we report that the collagen receptor Endo180 (CD280, MRC2,
uPARAP) participates in collagen deposition by primary human osteoblasts during
de novo osteoid formation. This newly recognized function of Endo180 was
suppressed in osteoblasts following heterotypic direct cell-cell contact in co
culture with prostate tumour cells. Reciprocal Endo180 up-regulation in
osteolytic prostate tumour cells (PC3 and DU145) followed their direct contact
with osteoblasts and promoted de novo collagen internalization, which is a
previously characterized function of the constitutively recycling Endo180
receptor. The osteoblastic suppression and tumour cell-associated enhancement of
Endo180 expression were equally sustained in these direct co-cultures. These
findings are the first to demonstrate that increased tumour cell participation in
collagen degradation and decreased collagen formation by osteoblasts in the
osteolytic microenvironment are linked to the divergent regulation of a collagen
binding receptor. Immunohistochemical analysis of core biopsies from bone
metastasis revealed higher levels of Endo180 expression in tumour cell foci than
cells in the surrounding stroma. Additional experiments in prostate cell
osteoblast co-cultures indicate that divergent regulation of Endo180 is the
result of dysregulated TGFbeta1 signalling. The findings of this study provide a
rationale for targeting collagen remodelling by Endo180 in bone metastases and
other collagen matrix pathologies.
PMID- 22072290
TI - Imaging indexes of activity and severity for Crohn's disease: current status and
future trends.
AB - BACKGROUND: Cross-sectional imaging techniques, including ultrasonography (US),
computed tomography (CT), and magnetic resonance imaging (MRI) are increasingly
used for evaluation of Crohn's disease (CD). AIM: To review the accuracy of cross
sectional imaging indexes for measurement of disease activity and severity in
patients with CD, and to evaluate its applicability on daily clinical practice
and research. METHODS: Relevant publications were identified by literature
search, and selected based on predefined quality parameters, including a sample
size and reference standard. Nineteen publications were chosen. RESULTS: The US
based indexes of activity showed high correlation with reference standard
indexes. There is a good or very good agreement between the MR-based indexes and
the reference standard when the comparison is limited to small segments of
intestine. Significant discrepancies have been found between indexes that
evaluate the colon. Only one CT-based index was included. The main strengths and
weaknesses of the indexes, according to its design, are discussed. CONCLUSION:
Standardization of image acquisition protocols and patient preparation should be
procured, especially for MRI. In daily practice, a simple, semi-quantitative
index providing relevant information on disease activity and severity is
preferable. For research purposes, a precise and reproducible index should be
mandatory.
PMID- 22072293
TI - The combination of four different clinical decision rules and an age-adjusted D
dimer cut-off increases the number of patients in whom acute pulmonary embolism
can safely be excluded.
AB - Four clinical decision rules (CDRs) (Wells score, Revised Geneva Score (RGS),
simplified Wells score and simplified RGS) safely exclude pulmonary embolism
(PE), when combined with a normal D-dimer test. Recently, an age-adjusted cut-off
of the D-dimer (patient's age x 10 MUg/l) safely increased the number of patients
above 50 years in whom PE could safely be excluded. We validated the age-adjusted
D-dimer test and assessed its performance in combination with the four CDRs in
patients with suspected PE. A total of 414 consecutive patients with suspected PE
who were older than 50 years were included. The proportion of patients in whom PE
could be excluded with an 'unlikely' clinical probability combined with a normal
age-adjusted D-dimer test was calculated and compared with the proportion using
the conventional D-dimer cut-off. We assessed venous thromboembolism (VTE)
failure rates during three months follow-up. In patients above 50 years, a normal
age-adjusted D-dimer level in combination with an 'unlikely' CDR substantially
increased the number of patients in whom PE could be safely excluded: from 13-14%
to 19-22% in all CDRs similarly. In patients over 70 years, the number of
exclusions was nearly four-fold higher, and the original Wells score excluded
most patients, with an increase from 6% to 21% combined with the conventional and
age-adjusted D-dimer cut-off, respectively. The number of VTE failures was also
comparable in all CDRs. In conclusion, irrespective of which CDR is used, the age
adjusted D-dimer substantially increases the number of patients above 50 years in
whom PE can be safely excluded.
PMID- 22072294
TI - The role of the syllable in the segmentation of Cairene spoken Arabic.
AB - The syllable as a perceptual unit has been investigated cross linguistically. In
Cairene Arabic syllables fall into three categories, light CV, heavy CVC/CVV and
superheavy CVCC/CVVC. However, heavy syllables in Cariene Arabic have varied
weight depending on their position in a word, whether internal or final. The
present paper investigates the role of the syllable in the segmentation of
Cariene Arabic. It reports a psycholinguistic study; syllable monitoring that was
conducted on 32 Egyptian Arabic native speakers to examine the perceptual role of
the syllable in spoken connected language. Theoretical phonological studies have
identified Cairene Arabic as a stress-timed language; however, psycholinguistic
studies providing evidence for this theoretical finding are scarce. The present
study which is a cross modal (visual and auditory) counterbalanced design, gives
evidence for the role of the (CVC) syllable in the segmentation of Cairene spoken
language.
PMID- 22072291
TI - The role of MOF in the ionizing radiation response is conserved in Drosophila
melanogaster.
AB - In Drosophila, males absent on the first (MOF) acetylates histone H4 at lysine 16
(H4K16ac). This acetylation mark is highly enriched on the male X chromosome and
is required for dosage compensation in Drosophila but not utilized for such in
mammals. Recently, we and others reported that mammalian MOF, through H4K16ac,
has a critical role at multiple stages in the DNA damage response (DDR) and
double-strand break repair pathways. The goal of this study was to test whether
mof is similarly required for the response to ionizing radiation (IR) in
Drosophila. We report that Drosophila mof mutations in males and females, as well
as mof knockdown in SL-2 cells, reduce post-irradiation survival. MOF depletion
in SL-2 cells also results in an elevated frequency of metaphases with
chromosomal aberrations, suggesting that MOF is involved in DDR. Mutation in
Drosophila mof also results in a defective mitotic checkpoint, enhanced
apoptosis, and a defective p53 response post-irradiation. In addition, IR
exposure enhanced H4K16ac levels in Drosophila as it also does in mammals. These
results are the first to demonstrate a requirement for MOF in the whole animal IR
response and suggest that the role of MOF in the response to IR is conserved
between Drosophila and mammals.
PMID- 22072295
TI - Current world literature.
PMID- 22072297
TI - SCOUT: A Fast Monte-Carlo Modeling Tool of Scintillation Camera Output.
AB - We have developed a Monte-Carlo photon-tracking and readout simulator called
SCOUT to study the stochastic behavior of signals output from a simplified
rectangular scintillation-camera design. SCOUT models the salient processes
affecting signal generation, transport, and readout. Presently, we compare output
signal statistics from SCOUT to experimental results for both a discrete and a
monolithic camera. We also benchmark the speed of this simulation tool and
compare it to existing simulation tools. We find this modeling tool to be
relatively fast and predictive of experimental results. Depending on the modeled
camera geometry, we found SCOUT to be 4 to 140 times faster than other modeling
tools.
PMID- 22072298
TI - Lateral cavity acoustic transducer as an on-chip cell/particle microfluidic
switch.
AB - A novel on-chip microfluidic switch is demonstrated that utilizes the acoustic
microstreaming generated by an oscillating air-liquid interface to switch
cells/particles into bifurcating microchannels. The air-liquid interface of the
Lateral Cavity Acoustic Transducers (LCATs) can be actuated by an external
acoustic energy source causing the interface to oscillate. The oscillating
interface results in the generation of vortex-like microstreaming flow within a
localized region of the surrounding liquid. This streaming was utilized here to
deflect cells/particles into a collection outlet. It was demonstrated that the
switching zone could be controlled by varying the actuation time of the LCAT. An
LCAT based microfluidic switch is capable of achieving theoretical switching
rates of 800 cells/particles per second. It was also demonstrated that K562 cells
could be switched into a collection channel with cell viability comparable to
that of controls as determined by Trypan blue exclusion assay.
PMID- 22072299
TI - The open-chain triphosphanes RMe2SiCH2P(PR'2)2 (R = Me, Ph; R' = SiMe3, Cy, Ph).
AB - The triphosphanes RMe(2)SiCH(2)P(PR'(2))(2) (R = Me, Ph; R' = SiMe(3), Cy) are
synthesised in good yield via metathesis of organodichlorophosphanes and
LiPR'(2), while for R' = Ph a propensity to form (Ph(2)P)(2) precludes isolation
of the in situ characterised triphosphanes. Where R = Me and R' = SiMe(3) the
triphosphane has also been characterised by single crystal X-ray diffraction and
exhibits a single geometric conformer in the solid state, though solution-phase
NMR spectra are indicative of facile conformational exchange across a wide
temperature range. All of the described triphosphanes exhibit comparable
behaviour, with their respective (31)P{(1)H} NMR spectra manifesting anomalous
'second-order' characteristics, which are considered using full spin-Hamiltonian
simulation. Preliminary studies of coordination chemistry and ancillary
reactivity of the triphosphanes are described.
PMID- 22072300
TI - Use of brain natriuretic peptide to detect previously unknown left ventricular
dysfunction in patients with acute exacerbation of chronic obstructive pulmonary
disease.
AB - BACKGROUND: Up to 30% of patients with chronic obstructive pulmonary disease
(COPD) simultaneously suffer from often-unrecognised chronic heart failure (HF).
Their timely identification is challenging as both conditions share similar
clinical presentations. OBJECTIVE: To assess the performance of BNP in detecting
left ventricular systolic dysfunction in patients with no history of HF admitted
for acute exacerbation of COPD in a regional second-care hospital. METHODS:
Retrospective medical records analysis of all patients hospitalised between
January 2003 and May 2009 with the final diagnosis of acute exacerbation of COPD,
and who had undergone BNP dosage at admission followed by an echocardiography.
RESULTS: Among the 57 patients included, 13 had left ventricular systolic
dysfunction. There was a statistically significant difference of mean BNP values
between patients with or without systolic dysfunction (mean 689 pg/ml vs. 340
pg/ml, p = 0.007). For the detection of systolic dysfunction, a BNP level
inferior to 100 pg/ml yielded a sensitivity of 92% and a negative predictive
value of 91%, whereas BNP higher than 500 yielded a sensitivity of 80% and a
positive predictive value of 47%. In a multivariate logistic regression analysis,
a BNP value >=500 (odds ratio 8.5, 95% confidence interval 1.9 to 38.2, p =
0.005) and history of coronary heart disease (odds ratio 5.9, 95% confidence
interval 1.01 to 34.7, p = 0.048) remained as independent and mutually adjusted
predictors of left ventricular systolic dysfunction. CONCLUSIONS: Our study
confirms that BNP can help physicians in identifying heart failure in patients
suffering from an acute exacerbation of COPD.
PMID- 22072301
TI - [Update hip surgery : New trends and current operation techniques].
PMID- 22072302
TI - Genetic deletion of 5-lipoxygenase increases tumor-infiltrating macrophages in
Apc(Delta468) mice.
AB - INTRODUCTION: The role of 5-lipoxygenase (5-LO) in colon cancer is unknown. Tumor
infiltrating macrophages, neutrophils, and mast cells have been shown to play
important roles in colon tumorigenesis and are dependent on 5-LO for function.
METHODS AND MATERIALS: Utilizing the APC(Delta468) polyposis model, we performed
5-LO gene knockouts and evaluated the subsequent changes in macrophage,
neutrophil, and mast cell density at the tumor site. The proliferative and
degranulation capacities of 5-LO-deficient mast cells were also measured,
quantifying thymidine incorporation and beta-hexosaminidase release,
respectively. RESULTS: APC(Delta468)/5LO(-/-) mice displayed increased tumor
infiltrating macrophages and decreased neutrophils at the polyp site. In vitro,
mast cells deficient for 5-LO proliferated at a diminished rate while mast cell
degranulation was unchanged. DISCUSSION: We provide evidence suggesting that 5-LO
deficiency has differential effects on the infiltration of macrophages and
neutrophils in adenomatous polyps, increasing and decreasing infiltration of
these cells, respectively. Our observations are consistent with a protective role
for tumor-infiltrating macrophages in the initiation of polyp formation. The
mechanisms through which 5-LO deficiency negatively affects these cells are under
investigation. CONCLUSIONS: These results provide evidence that 5-LO plays an
important role in tumorigenesis and further indicate that 5-LO-selective
inhibitors can be investigated as potential therapeutic agents for colorectal
polyposis and cancer.
PMID- 22072303
TI - High expression of CCL20 is associated with poor prognosis in patients with
hepatocellular carcinoma after curative resection.
AB - INTRODUCTION: Chemokine ligand 20 (CCL20) plays an important role in the
carcinogenesis, invasion, and progression of malignancies. This study aimed to
investigate the prognostic significance of CCL20 in patients with hepatocellular
carcinoma (HCC) after curative resection. METHODS: Expression of CCL20 was
evaluated by immunohistochemistry in tumor tissue from 125 patients who underwent
curative resection of HCC. The relationship between CCL20 expression and
clinicopathologic features was analyzed. Univariate and multivariate analyses
were performed to evaluate its predictive value for tumor recurrence and survival
of HCC patients. RESULTS: CCL20 expression was verified in all tumor specimens.
CCL20 expression was associated with tumor size (P = 0.002), tumor number (P =
0.031), vascular invasion (P = 0.003), tumor differentiation (P = 0.024), and
tumor recurrence (P < 0.001). Patients with high CCL20 expression had poorer
recurrence-free survival and overall survival (both P < 0.001) than those with
low CCL20 expression. Multivariate analysis showed CCL20 expression was an
independent predictor of tumor recurrence (Hazard ratio 3.934, P = 0.002),
recurrence-free survival (Hazard ratio 2.573, P = 0.001), and overall survival
(Hazard ratio 2.930, P = 0.001). CONCLUSION: CCL20 expression was associated with
tumor recurrence and survival of HCC patients. It may be used to predict
prognosis of patients with HCC and may be a new target of postoperative adjuvant
therapy.
PMID- 22072305
TI - Development of an ELISA to detect the secreted prostate cancer biomarker AGR2 in
voided urine.
AB - BACKGROUND: Comparative transcriptomics between sorted cells identified AGR2 as
one of the highest up-regulated genes in cancer. Overexpression in primary tumors
was verified by tissue microarray analysis. AGR2 encodes a 19-kDa secreted
protein that might be found in urine. METHODS: Monoclonal antibodies were
generated against AGR2. One antibody pair, P1G4 (IgG1) to capture and P3A5
(IgG2a) to detect, showed good performance characteristics in a sandwich ELISA.
This assay could detect AGR2 at sub ng/ml quantities. RESULTS: AGR2 was detected
in tissue digestion media of tumor specimens and culture media of AGR2-secreting
prostate cancer cell lines. Additional testings involved frozen section
immunohistochemistry, immunoprecipitation, and Western blot analysis. Voided
urine samples were collected from pre-operative cancer patients, and urinary
protein was desalted and concentrated by filtration. The amount of AGR2 detected
was scored as pg/100 ug total protein, and then converted to pg/ml urine. The
developed ELISA detected AGR2 protein, ranging from 3.6 to 181 pg/ml, in an
initial cohort of samples. AGR2 was not detected in the urine of non-cancer and a
bladder cancer patient. CONCLUSIONS: For prostate cancer, an AGR2 urine test
could be used for diagnosis. The data, although derived from a small number of
samples assayed, showed that developing such a test for clinical application is
viable because AGR2 is specific to cancer cells, and apparently secreted into
urine.
PMID- 22072304
TI - Mobile laminar air flow screen for additional operating room ventilation:
reduction of intraoperative bacterial contamination during total knee
arthroplasty.
AB - BACKGROUND: Surgical site infections are important complications in orthopedic
surgery. A mobile laminar air flow (LAF) screen could represent a useful addition
to an operating room (OR) with conventional turbulent air ventilation (12.5 air
changes/h), as it could decrease the bacterial count near the operating field.
The purpose of this study was to evaluate LAF efficacy at reducing bacterial
contamination in the surgical area during 34 total knee arthroplasties (TKAs).
MATERIALS AND METHODS: The additional unit was used in 17 operations; the LAF was
positioned beside the operating table between two of the surgeons, with the air
flow directed towards the surgical area (wound). The whole team wore conventional
OR clothing and the correct hygiene procedures and rituals were used. Bacterial
air contamination (CFU/m(3)) was evaluated in the wound area in 17 operations
with the LAF unit and 17 without the LAF unit. RESULTS: The LAF unit reduced the
mean bacterial count in the wound area from 23.5 CFU/m(3) without the LAF to 3.5
CFU/m(3) with the LAF (P < 0.0001), which is below the suggested limit for an OR
with ultraclean laminar ventilation. There were no significant differences in the
mean bacterial count in the instrument table area: 28.6 CFU/m(3) were recorded
with the LAF (N = 6) unit and 30.8 CFU/m(3) (N = 6) without the LAF unit (P =
0.631). During six operations with LAF and six without LAF, particle counts were
performed and the number of 0.5 MUm particles was analyzed. The particle counts
decreased significantly when the LAF unit was used (P = 0.003). CONCLUSION: When
a mobile LAF unit was added to the standard OR ventilation, bacterial
contamination of the wound area significantly decreased to below the accepted
level for an ultraclean OR, preventing SSI infections.
PMID- 22072306
TI - Intravascular papillary endothelial hyperplasia of the internal auditory canal.
AB - Intravascular papillary endothelial hyperplasia (IPEH), also known as Masson's
tumor, is a rare vascular lesion characterized by intravascular proliferation of
endothelial-lined papillae and a propensity for manifestation in the head and
neck. Signs and symptoms associated with IPEH generally occur due to compressive
effects of the lesion on adjacent structures. A rare instance of IPEH occurring
in the internal auditory canal is presented herein. Clinical presentation,
radiographic and pathologic findings, and management strategies are discussed.
PMID- 22072307
TI - A multicenter, randomized, phase 2 clinical trial to evaluate the efficacy and
safety of combination docetaxel and carboplatin and sequential therapy with
docetaxel then carboplatin in patients with recurrent platinum-sensitive ovarian
cancer.
AB - BACKGROUND: The aim of this randomized clinical trial was to evaluate the
efficacy and safety of combination (cDC) and sequential (sDC) weekly docetaxel
and carboplatin in women with recurrent platinum-sensitive epithelial ovarian
cancer (EOC). METHODS: Participants were randomized to either weekly docetaxel 30
mg/m(2) on days 1 and 8 and carboplatin area under the curve (AUC) = 6 on day 1,
every 3 weeks or docetaxel 30 mg/m(2) on days 1 and 8, every 3 weeks for 6 cycles
followed by carboplatin AUC = 6 on day 1, every 3 weeks for 6 cycles or until
disease progression. The primary endpoint was measurable progression-free
survival (PFS). RESULTS: Between January 2004 and March 2007, 150 participants
were enrolled. The response rate was 55.4% and 43.2% for those treated with cDC
and sDC, respectively. The median PFS was 13.7 months (95% confidence interval
[CI], 9.9-16.8) for cDC and 8.4 months (95% CI, 7.1-11.0) for sDC. On the basis
of an exploratory analysis, patients treated with sDC were at a 62% increased
risk of disease progression compared to those treated with cDC (hazard ratio =
1.62; 95% CI, 1.08-2.45; P = .02). The median overall survival time was similar
in both groups (33.2 and 30.1 months, P = .2). The incidence of grade 2 or 3
neurotoxicity and grade 3 or 4 neutropenia was higher with cDC than with sDC
(11.7% vs 8.5%; 36.8% vs 11.3%). The sDC group demonstrated significant
improvements in the Functional Assessment for Cancer Therapy-Ovarian, Quality of
Life Trial Outcome Index scores compared with the combination cohort (P = .013).
CONCLUSIONS: Both cDC and sDC regimens have activity in recurrent platinum
sensitive EOC with acceptable toxicity profiles. The cDC regimen may provide a
PFS advantage over sDC.
PMID- 22072308
TI - Leishmanicidal and antitumoral activities of endophytic fungi associated with the
Antarctic angiosperms Deschampsia antarctica Desv. and Colobanthus quitensis
(Kunth) Bartl.
AB - A total of 564 isolates of endophytic fungi were recovered from the plants
Deschampsia antarctica and Colobanthus quitensis collected from Antarctica. The
isolates were screened against parasites Leishmania amazonensis and Trypanosoma
cruzi and against the human tumour cell lines. Of the 313 fungal isolates
obtained from D. antarctica and 251 from C. quitensis, 25 displayed biological
activity. Nineteen extracts displayed leishmanicidal activity, and six inhibited
the growth of at least one tumour cell line. These fungi belong to 19 taxa of the
genera Alternaria, Antarctomyces, Cadophora, Davidiella, Helgardia, Herpotrichia,
Microdochium, Oculimacula, Phaeosphaeria and one unidentified fungus. Extracts of
12 fungal isolates inhibited the proliferation of L. amazonesis at a low IC(50)
of between 0.2 and 12.5 MUg ml(-1). The fungus Phaeosphaeria herpotrichoides
displayed only leishmanicidal activity with an IC(50) of 0.2 MUg ml(-1), which is
equivalent to the inhibitory value of amphotericin B. The extract of Microdochium
phragmitis displayed specific cytotoxic activity against the UACC-62 cell line
with an IC(50) value of 12.5 MUg ml(-1). Our results indicate that the unique
angiosperms living in Antarctica shelter an interesting bioactive fungal
community that is able to produce antiprotozoal and antitumoral molecules. These
molecules may be used to develop new leishmanicidal and anticancer drugs.
PMID- 22072310
TI - Mutation rate estimates for 13 STR loci in a large population from Rio Grande do
Sul, Southern Brazil.
AB - Short tandem repeat (STR) polymorphisms have been extensively used in forensic
genetics analysis. Knowledge about the locus-specific mutation rates of STRs
improves forensic probability calculations and interpretations of diversity data.
To incorporate single-locus diversity information into autosomal STR mutation
rate estimations, 13 STR loci were studied during 2007-2009 in 10,959 paternity
investigation cases from Rio Grande do Sul, the southernmost state of Brazil,
covering an overall number of 284,934 allelic transfers. A total of 355 mutations
were identified; 348 repeats were gains or losses of one step, three were gains
or losses of two steps, and four were gains or losses of not stepwise mutation.
The mutation rates ranged from 4.6 * 10(-5) to 2.3 * 10(-3), and the overall
mutation rate estimate was 1.2 * 10(-3). The average of the paternal mutation
rate (1.8 * 10(-3)) was five times higher than the maternal rate (0.36 * 10(-3)).
The observed mutational features for STRs have important consequences for
forensic applications, including the definition of criteria for exclusion in
paternity testing and the interpretation of DNA profiles in identification
analysis.
PMID- 22072309
TI - Human age estimation combining third molar and skeletal development.
AB - The wide prediction intervals obtained with age estimation methods based on third
molar development could be reduced by combining these dental observations with
age-related skeletal information. Therefore, on cephalometric radiographs, the
most accurate age-estimating skeletal variable and related registration method
were searched and added to a regression model, with age as response and third
molar stages as explanatory variable. In a pilot set up on a dataset of 496 (283
M; 213 F) cephalometric radiographs, the techniques of Baccetti et al. (2005)
(BA), Seedat et al. (2005) (SE), Caldas et al. (2007) and Rai et al. (2008) (RA)
were verified. In the main study, data from 460 (208 F, 224 M) individuals in an
age range between 3 and 26 years, for which at the same day an orthopantogram and
a cephalogram were taken, were collected. On the orthopantomograms, the left
third molar development was registered using the scoring system described by
Gleiser and Hunt (1955) and modified by Kohler (1994) (GH). On the cephalograms,
cervical vertebrae development was registered according to the BA and SE
techniques. A regression model, with age as response and the GH scores as
explanatory variable, was fitted to the data. Next, information of BA, SE and BA
+ SE was, respectively, added to this model. From all obtained models, the
determination coefficients and the root mean squared errors were calculated.
Inclusion of information from cephalograms based on the BA, as well as the SE,
technique improved the amount of explained variance in age acquired from
panoramic radiographs using the GH technique with 48%. Inclusion of cephalometric
BA + SE information marginally improved the previous result (+1%). The RMSE
decreased with 1.93, 1.85 and 2.03 years by adding, respectively, BA, SE and BA +
SE information to the GH model. The SE technique allows clinically the fastest
and easiest registration of the degree of development of the cervical vertebrae.
Therefore, the choice of technique to classify cervical vertebrae development in
addition to third molar development is preferably the SE technique.
PMID- 22072311
TI - Pulmonary embolisation of bone fragments from penetrating cranial gunshot wounds.
AB - Bone embolism is a very rare event that usually occurs in trauma-induced septic
bone lesions, after bone surgery or after bone marrow transplantation, and
normally remains silent. To our knowledge, there are no previous reports of bone
embolism after a gunshot to the head. We describe a case of pulmonary embolism
associated with bone fragments after a gunshot to the head in which bone
fragments surrounded by leukocytes, interstitial and intra-alveolar oedema and
haemorrhage around the embolised vessels, leukostasis and fat and bone marrow
embolism suggest that the survival time from the gunshot was sufficiently long to
allow changes in lung microcirculation and lung tissue.
PMID- 22072312
TI - GFAP-independent inflammatory competence and trophic functions of astrocytes
generated from murine embryonic stem cells.
AB - The directed generation of pure astrocyte cultures from pluripotent stem cells
has proven difficult. Generation of defined pluripotent-stem-cell derived
astrocytes would allow new approaches to the investigation of plasticity and
heterogeneity of astrocytes. We here describe a two-step differentiation scheme
resulting in the generation of murine embryonic stem cell (mESC) derived
astrocytes (MEDA), as characterized by the upregulation of 19 astrocyte
associated mRNAs, and positive staining of most cells for GFAP (glial fibrillary
acidic protein), aquaporin-4 or glutamine synthetase. The MEDA cultures could be
cryopreserved, and they neither contained neuronal, nor microglial cells. They
also did not react to the microglial stimulus lipopolysaccharide, while
inflammatory activation by a complete cytokine mix (CCM) or its individual
components (TNF-alpha, IL1-beta, IFN-gamma) was readily observed. MEDA,
stimulated by CCM, became susceptible to CD95 ligand-induced apoptosis and
produced NO and IL-6. This was preceded by NF-kB activation, and up-regulation of
relevant mRNAs. Also GFAP-negative astrocytes were fully inflammation-competent.
Neurotrophic support by MEDA was found to be independent of GFAP expression. In
summary, we described here the generation and functional characterization of
microglia-free murine astrocytes, displaying phenotypic heterogeneity as is
commonly observed in brain astrocytes.
PMID- 22072313
TI - Enhancement of DNA hybridization under acoustic streaming with three
piezoelectric-transducer system.
AB - Recently, we have demonstrated that DNA hybridization using acoustic streaming
induced by two piezoelectric transducers provides higher DNA hybridization
efficiency than the conventional method. In this work, we refine acoustic
streaming system for DNA hybridization by inserting an additional piezoelectric
transducer and redesigning the locations of the transducers. The Comsol(r)
Multiphysics was used to design and simulate the velocity field generated by the
piezoelectric agitation. The simulated velocity vector followed a spiral vortex
flow field with an average direction outward from the center of the transducers.
These vortices caused the lower signal intensity in the middle of the microarray
for the two-piezoelectric disk design. On the contrary, the problem almost
disappeared in the three-piezoelectric-disk system. The optimum condition for
controlling the piezoelectric was obtained from the dye experiments with
different activation settings for the transducers. The best setting was to
activate the side disks and middle disk alternatively with 1 second activating
time and 3 second non-activating time for both sets of transducers. DNA
hybridization using microarrays for the malaria parasite Plasmodium falciparum
from the optimized process yielded a three-fold enhancement of the signal
compared to the conventional method. Moreover, a greater number of spots passed
quality control in the optimized device, which could greatly improve biological
interpretation of DNA hybridization data.
PMID- 22072314
TI - Non-crossbridge calcium-dependent stiffness in slow and fast skeletal fibres from
mouse muscle.
AB - We showed previously that force development in frog and FDB mouse skeletal muscle
fibres is preceded by an increase of fibre stiffness occurring well before
crossbridge attachment and force generation. This stiffness increase, referred to
as static stiffness, is due to a Ca(2+)-dependent stiffening of a non-crossbridge
sarcomere structure which we suggested could be attributed to the titin
filaments. To investigate further the role of titin in static stiffness, we
measured static stiffness properties at 24 and 35 degrees C in soleus and EDL
mouse muscle fibres which are known to express different titin isoforms. We found
that static stiffness was present in both soleus and EDL fibres, however, its
value was about five times greater in EDL than in soleus fibres. The rate of
development of static stiffness on stimulation increased with temperature and was
slightly faster in EDL than in soleus in agreement with previously published data
on the time course of the intracellular Ca(2+) transients in these muscles. The
present results show that the presence of a non-crossbridge Ca(2+)-dependent
stiffening of the muscle fibre is a physiological general characteristic of
skeletal muscle. Static stiffness depends on fibre type, being greater and
developing faster in fast than in slow fibres. Our observations are consistent
with the idea that titin stiffening on contraction improves the sarcomere
structure stability. Such an action in fact seems to be more important in EDL
fast fibre than in soleus slow fibres.
PMID- 22072315
TI - Incidence, disease phenotype at diagnosis, and early disease course in
inflammatory bowel diseases in Western Hungary, 2002-2006.
AB - BACKGROUND: Recent trends indicate a change in the epidemiology of inflammatory
bowel diseases (IBD), with previously low incidence areas now reporting a
progressive rise in the incidence. Our aim was to analyze the incidence and
disease phenotype at diagnosis in IBD in the population-based Veszprem Province
database, which included incident patients diagnosed between January 1, 2002 and
December 31, 2006. METHODS: Data of 393 incident patients were analyzed
(ulcerative colitis [UC]: 220, age-at-diagnosis: 40.5 years; Crohn's disease
[CD]: 163, age-at-diagnosis: 32.5 years; and indeterminate colitis [IC]: 10).
Both hospital and outpatient records were collected and comprehensively reviewed.
RESULTS: Adjusted mean incidence rates were 8.9/10(5) person-years for CD and
11.9/10(5) person-years in UC. Peak onset age in both CD and UC patients was 21
30 years old. Location at diagnosis in UC was proctitis in 26.8%, left-sided
colitis in 50.9%, and pancolitis in 22.3%. The probability of proximal extension
and colectomy after 5 years was 12.7% and 2.8%. The disease location in CD was
ileal in 20.2%, colonic in 35.6%, ileocolonic in 44.2%, and upper
gastrointestinal in four patients. Behavior at diagnosis was
stenosing/penetrating in 35.6% and perianal in 11.1%. Patients with colonic
disease were older at diagnosis compared to patients with ileal or ileocolonic
disease. In a Kaplan-Meier analysis, probability of surgical resection was 9.8%,
18.5%, and 21.3% after 1, 3, and 5 years of disease duration, respectively.
CONCLUSIONS: The incidence of IBD in Veszprem Province in the last decade was
high, equal to that in high-incidence areas in Western European countries. Early
disease course is milder compared to data reported in the literature.
PMID- 22072317
TI - Genome-wide differential genetic profiling characterizes colorectal cancers with
genetic instability and specific routes to HLA class I loss and immune escape.
AB - AIM: We compared the expression of genes related to inflammatory and cytotoxic
functions between MSI and MSS (HLA-class I-negative and HLA-class I-positive)
colorectal cancers (CRCs), seeking evidence of differences in inflammatory
mediators and cytotoxic T-cell responses. Twenty-two CRCs were divided into three
study groups as a function of HLA class I expression and MSI phenotype: 8 MSI
tumours, 6 MSS/HLA- tumours and 6 MSS/HLA+ tumours (controls). FINDINGS: A first
comparison between eight MSI and six MSS/HLA-positive (control) cancers, based on
microarray analysis on an Affymetrix((r)) HG-U133-Plus-PM plate, identified 1974
differentially expressed genes (P < 0.05). We grouped genes in Gene Ontology
functional categories: apoptotic programme (72 genes, P = 5.5.10(-3)), leucocyte
activation (43 genes, P = 1.8.10(-5)), T-cell activation (24 genes, P = 6.3.10(
4)), inflammatory response (40 genes, 2.3.10(-2)) and cytokine production (10
genes, P = 1.9.10(-2)). Real-time PCR and immunohistochemical evaluation were
used to validate the data, finding that increased mRNA levels of pro-inflammatory
cytokines and cytotoxic mediators were associated with greater infiltration by
CD8+T lymphocytes in the MSI group (P < 0.001). Finally, HLA-class I-negative
tumours were not grouped together but rather in accordance with features of the
gene expression profile of MSI or MSS tumours. As expected, genes associated with
antigen processing machinery and MHC class I molecules (TAP2, B2m) were
downregulated in MSS/HLA-class I-negative CRCs (n = 6) in comparison to controls.
CONCLUSIONS: In conclusion, microarray and immunohistochemical data may be useful
to comprehensively assess tumour-host interactions and differentiate MSI from MSS
cancers. The two types of tumour, MSI/HLA-class I-negative and MSS/HLA-class I
negative, showed marked differences in the composition and intensity of
infiltrating leucocytes, suggesting that their immune escape strategies involve
distinct pathways.
PMID- 22072316
TI - DHPLC/SURVEYOR nuclease: a sensitive, rapid and affordable method to analyze
BRCA1 and BRCA2 mutations in breast cancer families.
AB - Hereditary breast cancer accounts for about 10% of all breast cancers and BRCA1
and BRCA2 genes have been identified as validated susceptibility genes for this
pathology. Testing for BRCA gene mutations is usually based on a pre-screening
approach, such as the partial denaturation DHPLC method, and capillary direct
sequencing. However, this approach is time consuming due to the large size of
BRCA1 and BRCA2 genes. Recently, a new low cost and time saving DHPLC protocol
has been developed to analyze gene mutations by using SURVEYOR((r)) Nuclease
digestion and DHPLC analysis. A subset of 90 patients, enrolled in the Genetic
Counseling Program of the National Cancer Centre of Bari (Italy), was performed
to validate this approach. Previous retrospective analysis showed that 9/90
patients (10%) were mutated in BRCA1 and BRCA2 genes and these data were
confirmed by the present approach. DNA samples underwent touchdown PCR and,
subsequently, SURVEYOR((r)) nuclease digestion. BRCA1 and BRCA2 amplicons were
divided into groups depending on amplicon size to allow multiamplicon digestion.
The product of this reaction were analyzed on Transgenomic WAVE Nucleic Acid High
Sensitivity Fragment Analysis System. The operator who performed the DHPLC
surveyor approach did not know the sequencing results at that time. The
SURVEYOR((r)) Nuclease DHPLC approach was able to detect all alterations with a
sensitivity of 95%. Furthermore, in order to save time and reagents, a
multiamplicon setting preparation was validated.
PMID- 22072318
TI - Co-culturing mesenchymal stem cells from bone marrow and periosteum enhances
osteogenesis and neovascularization of tissue-engineered bone.
AB - Mesenchymal stem cells (MSCs) isolated from bone marrow and periosteum are often
used as cellular sources for bone tissue engineering. This study showed that co
cultured human bone marrow stem cells (hBMSCs) and periosteal-derived stem cells
(hPCs) resulted in a synergistic effect on osteogenic differentiation both in
vitro and in vivo. Compared to hBMSCs and hPCs, co-culturing MSCs showed abundant
mineralization, robust calcium deposition, steadily increasing ALP activity, and
upgraded mRNA expression of osteogenic specific genes (COL1A1, BMP-2,
osteopontin, osteocalcin) in vitro. Eight weeks after implantation of cellular
beta-TCP scaffolds in immunodeficient mice, similar synergistic effects were
confirmed during in vivo evaluation of total new bone formation, mature bone
formation, and neovascularization. Based on these findings, the use of co
cultured hBMSCs and hPCs can be recommended as a promising new approach for bone
tissue engineering applications.
PMID- 22072320
TI - Does participation in clinical trials influence the costs of future management of
patients?
AB - BACKGROUND: An earlier study showed that from a societal perspective it was less
expensive to encourage patients to self-regulate their medication for GERD
(gastro-esophageal reflux disease) by treating patients on-demand. OBJECTIVE: The
objective was to investigate whether physician involvement in a clinical trial
financed by the pharmaceutical industry subsequently results in higher health
care costs. STUDY DESIGN: An open, observational, multicenter study compared
direct medical costs and total costs for three groups of patients with different
exposure to the clinical trial; (1) Dual exposed, where both the patients and the
GP participated in the former clinical trial, (2) GP exposed, where only the GP
participated in the former clinical trial and (3) nonexposed, where neither the
patients nor the GP participated in the former clinical trial. RESULTS: We did
not find any statistically significant differences in neither direct medical nor
total costs. However, we did observe a numerical difference in direct medical
costs of 24% higher in the dual exposed group compared to the nonexposed group
mainly due to a higher consumption of prescribed medication. The higher direct
medical cost in the dual exposed group was however counterbalanced by lower
observed direct nonmedical and indirect costs. CONCLUSION: Although we did not
find any statistical significant differences in health care costs, we did observe
a higher consumption of prescribed medication and lower costs of work hours lost
if both patient and GPs participated in a former clinical trial. The results may
be limited due to a lower number of patients included than expected.
PMID- 22072321
TI - Trends in social class inequalities in the use of health care services within the
Spanish National Health System, 1993-2006.
AB - OBJECTIVE: The aim of this study was to analyse the trends and socio-economic
inequalities in the use of health care services in Spain between 1993 and 2006.
METHODS: A study of trends was performed using data from six Spanish National
Health Surveys (1993, 1995, 1997, 2001, 2003 and 2006). Sample sizes were 21,061;
6,396; 6,396; 21,066; 21,650 and 29,478, respectively. The following dependent
variables were analysed: having visited a general practitioner (GP) or specialist
in the previous 2 weeks, having visited a dentist within the previous 3 months
and having visited a gynaecologist, having used the emergency services or having
been hospitalised in the previous year. The main independent variable was social
class, classified as manual or non-manual occupation. For each service, age
standardised proportions of use were calculated by survey year, sex and social
class, and indices of relative (RII) and absolute (SII) inequality were computed.
Trend tests were applied. RESULTS: An increase in the proportion of use was
observed for all services, particularly emergency services. Individuals from
manual classes were more likely to visit the GP and emergency services than those
from non-manual classes. Conversely, those from non-manual classes were more
likely to use specialised services. This trend was most notable for dentist
visits. Social inequalities did not change significantly during the study period.
CONCLUSIONS: Despite the increase in the use of health care services, the
relationship between social class and the use of these services has remained
stable throughout the study period. Achieving equity in the use of specialised
care services is still a challenge for universal health care systems.
PMID- 22072322
TI - High tibial osteotomy in medial compartment osteoarthritis and varus deformity
using the Taylor spatial frame: early results.
AB - We report the early results of high tibial osteotomy (HTO) in medial compartment
osteoarthritis (OA) and varus deformity using the Taylor spatial frame (TSF).
Between October 2005 and April 2007, 9 patients with medial compartment OA and
varus deformity underwent TSF application and medial opening wedge HTO. Pre- and
post-operative Oxford knee scores, SF-12 and visual analogue pain scores were
recorded along with radiographic outcomes. Median follow-up was 19 months (range
15-35). Mean age at operation was 49 years (range 37-59). The median time spent
in the frame was 18 weeks (range 12-37). The mean preoperative Oxford knee score
was 28.7. This improved to a mean of 35.4 post-operatively (P = 0.0142). 6 (67%)
patients had a documented pin-site infection. With TKR as an end point, the
survival rate of HTOs was 88.9% at a median of 19 months follow-up. This study
demonstrates that in selected patients the TSF provides a viable treatment option
for performing HTO in medial compartment OA with varus deformity.
PMID- 22072323
TI - Transarticular drilling for stable juvenile osteochondritis dissecans of the
medial femoral condyle.
AB - PURPOSE: Drilling is the most common operative treatment for stable juvenile
osteochondritis dissecans (OCD) of the knee. However, prognostic factors remain
unclear because of lack of precise radiographic and histopathologic
investigations. The purpose of this study was to evaluate the clinical results
and prognostic factor of the arthroscopic drilling for juvenile OCD lesions at
the medial femoral condyle (MFC), using computed tomography (CT) images. METHODS:
Eighteen skeletally immature patients (boys, n = 16; girls, n = 2, mean age, 12
years) underwent arthroscopic antegrade transarticular drilling for a total of 19
OCD lesions of MFC. Functional outcomes were evaluated with the Lysholm score at
follow-up (mean, 30 months). Preoperative osteochondral condition and
postoperative healing were evaluated by CT images. RESULTS: All 18 patients
returned to their previous level of sports activity and showed excellent
functional outcomes (mean Lysholm score, 77.2 +/- 9.4 preoperative vs. 99.5 +/-
1.6 postoperative). There were 10 osteochondral lesions and 9 subchondral bone
defect lesions under preoperative CT examination. Postoperatively, 15 of 19
lesions healed completely at a mean of 6 months; however, the remaining four
lesions (all osteochondral types) did not achieve complete radiographic healing
after 2 years. CONCLUSION: Transarticular drilling for stable juvenile OCD
produced excellent functional outcomes. However, the osteochondral type may
influence radiographic outcome. LEVEL OF EVIDENCE: Case series, Level IV.
PMID- 22072324
TI - Long-term survival analysis of posterior cruciate-retaining total knee
arthroplasty.
AB - PURPOSE: Clinical factors related to cruciate-retaining knee arthroplasty failure
in a long-term follow-up are yet unclear. The study was designed to evaluate the
long-term survival rate of cruciate-retaining arthroplasty and clinical factors
that may contribute to its failure. METHODS: A total of 162 patients (188 knees)
who received cruciate-retaining press-fit condylar arthroplasty from June 1993 to
May 1994 were followed up. All patients were assessed clinically and
radiographically. Revision for any reason was regarded as failure of
arthroplasty. RESULTS: A total of 120 patients (138 knees) were successfully
followed up. Survivorship over 17 years was 92.5%. Fourteen knees were revised.
Tibial varus angle of the operated knee in the unrevised patient group was
greater than in revised group. There was statistical difference between these two
groups (P < 0.05). CONCLUSION: Long-term survivorship of cruciate-retaining
arthroplasty was fair. Varus and valgus deformity of the unoperated contralateral
knee and tibial varus deformity of the operated knee could be important factors
related to arthroplasty failure. CLINICAL RELEVANCE: This long-term follow-up
result of Press-Fit Condylar cruciate-retaining arthroplasty was good considering
it was an old design. The alignment of the operated knee and deformity of the
unoperated contralateral knee are important clinical factors that should be paid
attention to avoid unexpected arthroplasty failure. LEVEL OF EVIDENCE: IV.
PMID- 22072325
TI - A comparative study of two methods for treating type III tibial eminence avulsion
fracture in adults.
AB - PURPOSE: Suture fixation is mostly used in arthroscopic treatment of tibial
eminence avulsion fractures. However, no clinical studies of metal cable fixation
have been reported. We hypothesised that cable fixation can provide equal
stability and clinical outcome compared with Ethibond sutures. METHODS: Between
2007 and 2008, we treated 42 patients of adult type III tibial eminence avulsion
fractures. Twenty-three patients were male, and 19 were female. All patients were
confirmed by radiographs, MRI, and arthroscopy during surgery. Ligament injury
and meniscus tears were excluded from this study. Twenty-two patients were
treated with No. 2 Ethibond suture fixation (group I), and 20 were treated with
cable fixation under arthroscopy (group II). Follow-up assessments included
imaging evaluation, Lysholm knee score, International Knee Documentation
Committee (IKDC) classification, and the Lachman test. RESULTS: Bone union was
found in radiographic evaluation in all patients within 3 months. At the last
follow-up, there was neither extension nor flexion limitation in any patient.
There were no significant differences in the Lysholm score between the two groups
at follow-up. All 42 patients were classified by the IKDC as normal or nearly
normal. Stability based on the Lachman test showed two patients of grade II
laxity in group I. At the final follow-up, all 42 patients had returned to their
pre-injury activities. CONCLUSIONS: Cable fixation to treat type III tibial
eminence avulsion fracture can provide a clinical outcome equal to that of
Ethibond sutures. LEVEL OF EVIDENCE: IV.
PMID- 22072326
TI - Transfusion drains versus suction drains in total knee replacement: meta
analysis.
AB - PURPOSE: The use of autologous blood transfusion drains in orthopaedic surgery
has been the subject of debate for several years. The aim of this meta-analysis
was to review the use of autologous blood transfusion drains in total knee
replacement. METHODS: The primary outcomes were as follows: the number of
patients requiring homologous blood transfusion, pre-operative haemoglobin and
post-operative haemoglobin days 5-7. The secondary outcome measures for the meta
analysis were drainage volume, length of hospital stay, average number of units
transfused per patient, post-operative wound infection, and deep vein thrombosis.
RESULTS: Eight randomised controlled trials met the inclusion criteria and were
included in this analysis. Autologous transfusion drains were associated with a
decrease in the number of patients requiring post-operative blood transfusion
(pooled odds ratio = 0.36, 95% CI = 0.15-0.85, P = 0.02), the number of units
transfused per patient (weighted mean difference = -0.84 (95% CI = -1.13 to
0.56), P < 0.0001), and length of hospital stay (weighted mean difference = -0.25
(95% CI = -0.48 to -0.01), P = 0.04). CONCLUSION: The results of our study
highlight both likely clinical and economic benefits within total knee
replacement surgery. The clinical benefits of autologous transfusion drains in
the total knee replacement surgery suggested by this meta-analysis include a
reduced requirement for post-operative blood transfusion and a shorter length of
hospital stay. However, further large-scale high-powered randomized controlled
trials are recommended to further elucidate subtle effects of autologous drains
on post-operative outcome following total knee replacement. LEVEL OF EVIDENCE:
II.
PMID- 22072328
TI - Genome-wide expression and copy number analysis identifies driver genes in
gingivobuccal cancers.
AB - The molecular mechanisms contributing to the development and progression of
gingivobuccal complex (GBC) cancers-a sub-site of oral cancer, comprising the
buccal mucosa, the gingivobuccal sulcus, the lower gingival region, and the
retromolar trigone-remain poorly understood. Identifying the GBC cancer-related
gene expression signature and the driver genes residing on the altered
chromosomal regions is critical for understanding the molecular basis of its
pathogenesis. Genome-wide expression profiling of 27 GBC cancers with known
chromosomal alterations was performed to reveal differentially expressed genes.
Putative driver genes were identified by integrating copy number and gene
expression data. A total of 315 genes were found differentially expressed (P <=
0.05, logFC > 2.0) of which 11 genes were validated by real-time quantitative
reverse transcriptase-PCR (qRT-PCR) in tumors (n = 57) and normal GBC tissues (n
= 18). Overexpression of LY6K, in chromosome band 8q24.3, was validated by
immunohistochemical (IHC) analysis. We found that 78.5% (2,417/3,079) of the
genes located in regions of recurrent chromosomal alterations show copy number
dependent expression indicating that copy number alteration has a direct effect
on global gene expression. The integrative analysis revealed BIRC3 in 11q22.2 as
a candidate driver gene associated with poor clinical outcome. Our study
identified previously unreported differentially expressed genes in a homogeneous
subtype of oral cancer and the candidate driver genes that may contribute to the
development and progression of the disease. (c) 2011 Wiley Periodicals, Inc.
PMID- 22072329
TI - Contrasting DNA damage checkpoint responses in epithelium of the human seminal
vesicle and prostate.
AB - BACKGROUND: Prostate and seminal vesicle are two similar hormone responsive human
organs that differ dramatically in their cancer incidence. DNA damage response
(DDR) is required for maintenance of genomic integrity. METHODS: In this study we
investigated the DDR and cell cycle checkpoint activation of these organs using
orthotopic cultures of human surgery-derived tissues and primary cultures of
isolated prostate and seminal vesicle cells. RESULTS: We find that the activation
of ATM signaling pathway by ionizing radiation (IR) was comparable in both
tissues. Previously, we have shown that the prostate secretory cells express low
levels of histone variant H2AX and phosphorylated H2AX (gammaH2AX) after IR. Here
we demonstrate that H2AX levels are low also in the secretory seminal vesicle
cells suggesting that this is a common phenotype of postmitotic cells. We
consequently established primary epithelial cell cultures from both organs to
compare their DDR. Interestingly, contrary to human prostate epithelial cells
(HPEC), primary seminal vesicle epithelial cells (HSVEC) displayed effective cell
cycle checkpoints after IR and expressed higher levels of Wee1A checkpoint
kinase. Furthermore, HSVEC but not HPEC cells were able to activate p53 and to
induce p21 cell cycle inhibitor. DISCUSSION: Our results show that during
replication, the checkpoint enforcement is more proficient in the seminal vesicle
than in the prostate epithelium cells. This indicates a more stringent
enforcement of DDR in replicating seminal vesicle epithelial cells, and suggests
that epithelial regeneration combined with sub-optimal checkpoint responses may
contribute to high frequency of genetic lesions in the prostate epithelium.
PMID- 22072330
TI - Sentinel node biopsy in head and neck desmoplastic melanoma: an analysis of 244
cases.
AB - OBJECTIVES/HYPOTHESIS: Desmoplastic melanoma's low rate of sentinel lymph node
(SLN) positivity and predilection for the head and neck region have led some to
question the role of sentinel lymph node biopsy (SLNB) in patients with this rare
histologic variant. Given desmoplastic melanoma's sarcoma-like histology and
aberrant clinical behavior, we hypothesized that SLN status may not be indicative
of outcomes in desmoplastic melanoma of the head neck. The objective of this
study was to compare melanoma-specific survival among patients with head and neck
desmoplastic melanoma based on SLN status. STUDY DESIGN: Retrospective analysis
of patient data and outcomes using the Surveillance Epidemiology and End Results
(SEER) database METHODS: The SEER database was queried for patients who were
diagnosed with desmoplastic melanoma and underwent SLNB. Clinicopathologic data
and 5-year disease-specific survival (DSS) were compared among patients with
positive and negative SLNs. RESULTS: We identified 244 patients with desmoplastic
melanoma of the head and neck who underwent SLNB. Of these, only nine had
positive SLNs (3.69%). Among the SLN-positive patients, five (55.6%) had
scalp/neck tumors, three (33.3%) had ulcerated tumors, and tumor thickness ranged
from 1.7 to 8.5 mm (mean, 4.8 mm). On univariable and multivariable analysis, SLN
positivity did not significantly affect DSS in head and neck desmoplastic
melanoma (P=.19 and P=.48, respectively). CONCLUSIONS: Our findings provide
further evidence against routine SLNB in head and neck desmoplastic melanoma by
demonstrating a lack of prognostic significance related to the procedure, and by
confirming a significantly low rate of SLN positivity as well.
PMID- 22072331
TI - Which is the best foot to put forward in recurrent ovarian cancer?
PMID- 22072332
TI - Asymmetric alpha-2-tosylethenylation of N,N-dialkyl-L-amino acid esters via the
formation of non-racemic ammonium enolates.
AB - Asymmetric alpha-2-tosylethenylation of (S)-2-(pyrrolidin-1-yl)propanoic acid
esters was shown to produce good yields with high enantioselectivities. The
reaction proceeds via the formation of a non-racemic ammonium enolate without an
external source of chirality.
PMID- 22072333
TI - [Nutricion Hospitalaria, certified "excellent"].
PMID- 22072335
TI - Acanthosis nigricans as an indicator of insulin resistance in Chilean adult
population.
AB - BACKGROUND: Insulin resistance (IR) is associated with a higher risk of multiple
diseases and its early detection would allow to minimize the associated risk; the
presence of acanthosis nigricans (AN) it's associated to the presence of IR.
OBJECTIVE: To evaluate the sensibility and specificity of AN to diagnose IR in a
group of Chilean patients. METHODS: We designed a cross-sectional study and it
was included subjects that were attended at the Center for the Attention of
Metabolic Diseases at the Faculty of Medicine, University of Chile. Sixty
subjects (18-60 years age) were included. It was determined BMI and diagnosed AN
and skin phototype; blood samples were taken and calculated the HOMA-IR. The
normality of the variables where analyzed by Kolmogorov-Smirnov test. There were
used chi2 and the diagnostic concordance between AN and IR was determined using
the Kappa index and Pearson's correlation. Sensibility, specificity, positive and
negative predictive value were calculated and accepted p<0.05. RESULTS: The IR
diagnose was 67% and AN was 43%. The major proportion of subjects diagnosed as
positive for IR were also positive for AN (84.6%). The sensibility of AN to find
IR was an 84% and specificity was 100%. Positive and negative predictive values
were 100% and 89% respectively. It was observed a positive association between
BMI and HOMA-IR (r=0.674; r2=0.454; p<0.001). CONCLUSION: To detect acanthosis
nigricans in Chilean population may be effective for the early diagnose of
insulin resistance and, therefore, reduce the associated cost of the late
treatment of glucose metabolic disturbances.
PMID- 22072334
TI - [Monitoring of a protocol for the adequacy of the pharmaceutical form of the oral
medication to the degree of dysphagia in patients hospitalized in an internal
medicine service].
AB - INTRODUCTION: The oral route is the most convenient way of administering
medication, although it may not be safe. Dysphagia is one of the factors
rendering difficult a proper feeding and administration of medication.
OBJECTIVES: to improve the administration of oral medication in patients with
dysphagia by changing the pharmaceutical formulation of the principles prescribed
to tolerable textures. METHODS: Pilot project for the application of a dysphagia
protocol that included the patients admitted to the Internal Medicine Unit at Los
Montalvos Center for 4 months. After detecting the suspicion of dysphagia, a
dysphagia-viscosity test was applied to know the tolerated textures. Then, the
pharmaceutical formulations were adapted and the manipulation instructions for
the drugs were indicated for their proper administration. RESULTS: 23 out of 627
admitted patients were included, with a mean age of 85 years (sigma+/-7.4). The
pathologies implicated in dysphagia were: dementia (65.2%); cerebrovascular
disease (30.4%), and Parkinson's disease (4.4%). The best texture for drug intake
was a "pudding" in 48.0%. 43 active ingredients were reviewed and 134
interventions were performed: in 41% of the cases, swallowing was made easier by
mixing the drug with the food and in 59% water and a thickener were used. 94% of
the recommendations were considered to be appropriate. CONCLUSION: the adaptation
of the pharmaceutical formulations to the degree of dysphagia impacts on the
improvement of healthcare quality by implementing safety in drug prescription and
administration processes.
PMID- 22072336
TI - Low levels of vitamin D in professional basketball players after wintertime:
relationship with dietary intake of vitamin D and calcium.
AB - INTRODUCTION: Although vitamin D deficiency has a high worldwide prevalence among
the general population, very little is known about vitamin status in athletes.
AIM: To investigate serum vitamin D (25[OH]D) levels after wintertime in male
elite basketball players, and to relate these levels to the dietary intake of
vitamin D and calcium. METHODS: Subjects were 21 players from the same
professional Spanish team. Blood samples to assess 25(OH)D levels were collected
after wintertime during the 2008/2009 (April) and 2009/2010 (March) seasons. In
addition, athletes completed 4-day dietary records to estimate energy consumption
and a food frequency questionnaire to determine dietary vitamin D and calcium
intake. Serum 25(OH)D levels were 47.8+/-21.8 nmol/L, with twelve subjects (57%)
being vitamin D deficient (<50 nmol/L). RESULTS: Vitamin D intake was 139+/-78
IU/day and calcium intake was 948+/-419 mg/day. Serum 25(OH)D levels correlated
with the daily dietary intake of vitamin D (r=0.65; P=0.001) and calcium (r=0.82;
P<0.001). CONCLUSION: Professional basketball players are at higher risk of
hypovitaminosis D after wintertime. Adequate intake of dietary calcium and
vitamin D is required if athletes are to avoid low serum 25(OH)D levels when
exposure to sunlight is limited.
PMID- 22072337
TI - Breakfast quality and its relationship to the prevalence of overweight and
obesity in adolescents in Guadalajara (Spain).
AB - INTRODUCTION: Many young people today skip the first meal of the day in order to
lose weight. OBJECTIVE: To study the impact of breakfast quality and skipping
breakfast on the BMI and on the prevalence of overweight and obesity. METHOD: A
nutritional study was carried out on a population of 467 secondary school
students (12-17 years of age) in Guadalajara, Spain based on seven-day food
journal and food frequency questionnaires. Sociodemographic data were also
collected. Anthropometric measurements of weight and adiposity (BMI, percentage
body fat) were also taken. RESULTS: Boys aged 15-17 ate the highest proportion of
full breakfasts (18.18%), compared with 4.34% for girls the same age. Inverse
relationships were recorded between breakfast energy intake and the BMI (-0.1132)
and between the BMI and calcium, fibre, dairy product, and cereal intake. There
was practically no correlation between protein intake and the BMI. Subjects who
did not eat dairy products and those who ate cooked breakfasts had the highest
BMIs. CONCLUSIONS: Skipping breakfast was not an effective way to lose weight,
and weight was inversely related to breakfast quality.
PMID- 22072338
TI - Relation between dietary and circulating lipids in lacto-ovo vegetarians.
AB - INTRODUCTION: As factors that have a positive influence on health and
specifically on serum lipids and blood pressure, the nature and composition of
vegetarian diets is one of the most speculated issues in nutrition. AIMS: The aim
of the present study was to compare diet, lipid profile and blood pressure levels
in Brazilian lacto-ovo vegetarians and non-vegetarians. METHODS: A cross
sectional study was carried out involving 87 male and female adult volunteers (29
lactoovo vegetarians and 58 non-vegetarians). Two non-vegetarians were selected
for each vegetarian (paired for age and gender) in order to enhance the power of
the statistical tests. Mean age was 40 (13) years; 58.6% were males. RESULTS: No
differences were found regarding nutritional status based on the BMI. This
similarity may be explained by the similar energy intake and degrees of physical
activity in both groups. Regarding blood pressure, the only difference between
groups was systolic arterial pressure, which was higher among the non
vegetarians. Among the intake parameters analysed, only energy intake and the
intake of polyunsaturated fat were similar between groups. Mean total cholesterol
and LDL were higher among non-vegetarians. Mean serum TG was higher among the
vegetarians. The greater consumption of carbohydrates among the vegetarians was
reflected in the higher serum triglyceride levels. CONCLUSIONS: Although all
products of animal origin have a greater amount of protein, fat and saturated
fatty acids, the absence of meat from the diet may have accounted for the better
lipid profile among the lacto-ovo vegetarians may also stem from the high intake
of fibre and antioxidants.
PMID- 22072339
TI - [An inadequate intake of manganese may favour insulin resistance in girls].
AB - AIM: to study the relationship between an adequate manganese (Mn) intake and
glucose, insulin levels and insulin resistance among healthy children from Madrid
(Spain). MATERIALS AND METHODS: 573 schoolchildren between 8 and 13 years old
were studied. Energy and nutrients intake (especially Mn) was calculated with a
"3-day diet record". Mn intake was compared to Adequate Intakes (AI) for this
mineral. Weight and height were measured and body mass index (BMI) calculated.
Fasting glucose and insulin were determined and the HOMA index was calculated to
establish the presence of insulin resistance in children. RESULTS: Girls with and
inadequate intake (below the 100% of the AI value) had higher insulin and HOMA
values than girls with adequate AI values (equal or higher to 100% of AI). Having
in mind confounding factors (energy, carbohydrates and lipids intake, BMI and
age), a significant positive correlation was found between the contribution of Mn
intake to AI and HOMA levels in girls. CONCLUSION: It seems important to ensure
an adequate Mn intake in girls in order to prevent insulin resistance and
diabetes type 2 in the future.
PMID- 22072340
TI - Central adiposity in children born small and large for gestational age.
AB - OBJECTIVE: To evaluate body composition differences between children that were
born small (SGA) or large for gestational age (LGA) compared with their
counterparts born adequate for gestational age (AGA). METHODS: Body composition
was assessed in 124 healthy Caucasian children (50% girls) aged 6-10, classified
according to their birth weight for gestational age as AGA, SGA and LGA. Fat mass
(FM), percentage of FM, lean mass (LM), bone mineral content (BMC) and bone
mineral density were measured by dual-energy X-ray absorptiometry (DXA) in the
whole body and at different body regions. RESULTS: LM (adjusted for age and sex)
and total BMC (adjusted for age, sex and weight) were both significantly higher
in LGA children and lower in SGA when compared with those born AGA. After
adjustments for height, LM and BMC differences between groups were not
significant. In SGA children, truncal (P<0.05) and abdominal fatness (P<0.01)
were higher when compared with both AGA and LGA children, after adjustments for
age, sex and height. There were no differences in the percentage of total and
central FM between children born LGA and AGA. CONCLUSIONS: During childhood,
children born SGA had higher central adiposity regardless of their body size.
Children born LGA seem to have a higher body size but with harmonic body
composition and adequate body fat distribution. Small size for gestational age at
birth could programme excess abdominal fat deposition in children, which is a
major factor for the clustering of cardiovascular disease risk factors defining
the metabolic syndrome.
PMID- 22072341
TI - Using of WHO guidelines for the management of severe malnutrition to cases of
marasmus and kwashiorkor in a Colombia children's hospital.
AB - BACKGROUND: In 2007, the Hospital Infantil Los Angeles (HILA) in Colombia
implemented a slightly-modified version of the WHO guidelines for the diagnosis
and management of malnutrition during childhood. OBJECTIVE: To evaluate the
efficacy of the WHO-HILA protocol in children hospitalized with severe, chronic
marasmus and kwashiorkor malnutrition (MS-KWK) in 2007 and 2008. MATERIAL AND
METHODS: In this descriptive retrospective study the records of 100 children
hospitalized with MSKWK were initially evaluated. Of these, 30 fulfilled the
inclusion criteria: children of both sexes with a primary diagnosis of MS-KWK.
Patients with any chronic disease liable to cause malnutrition were excluded.
Anthropometric parameters, clinical signs and biochemical indicators of
malnutrition were assessed upon admission and again at discharge following
application of the WHO guidelines. Univariate analysis was performed for each
study variable; serum hemoglobin and albumin levels on admission and at discharge
were compared, and data were subjected to bivariate analysis. RESULTS: Marasmus
was diagnosed in 23.3% of children, kwashiorkor in 73.3% and marasmic kwashiorkor
in 3.3%. The major clinical findings were: edema (70%), emaciation (40%), "flag
sign" hair (42.86%), low serum albumin (93%) and anemia (80%). Thirteen children
following the WHO-HILA protocol showed a significant nutritional status
improvement (p<0.05), whereas no improvement was noted in the 17 children not
treated according to the protocol. CONCLUSIONS: Application of the WHO-HILA
protocol was associated with reduced morbimortality in children with marasmus
kwashiorkor malnutrition. Implementation of this protocol should therefore be
considered in all children's hospitals in countries where this disease is
prevalent.
PMID- 22072342
TI - Benefits of blackberry nectar (Rubus spp.) relative to hypercholesterolemia and
lipid peroxidation.
AB - INTRODUCTION: In humans, the normal metabolic activity produces free radicals
that constantly, along with other risk factors, including hypercholesterolemia
may be responsible for the onset of degenerative diseases. Some bioactive
compounds present in blackberry (Rubus spp.) have the ability to act as natural
antioxidants can make the food to minimize effects on the body caused by reactive
oxygen species. OBJECTIVE: This study verified the benefits of blackberry nectar
through the quantification of triglycerides, total and fraction cholesterol HDL
(high density lipoprotein) and LDL-cholesterol (low density lipoprotein), blood
glucose and lipid peroxidation in hypercholesterolemic hamsters. METHODS: Two
groups were treated with hypercholesterolemic diets (0.1% cholesterol), one of
them receiving an additional 5 mL of nectar daily, and a third (control group)
treated only with a standard diet. In the blood the quantification of lipids,
blood glucose and lipid peroxidation was performed. In the brain, liver and small
intestine the lipid peroxidation was determined and in other organs,
histopathological evaluations were carried out. RESULTS: The blackberry nectar
reduced the triglycerides serum levels, total cholesterol and LDL-cholesterol in
hypercholesterolemic hamsters, without influencing the HDL and blood glucose
concentrations. A decrease in the initiation of lipid peroxidation reactions in
the blood, brain and small intestine was also observed. Only the liver showed
histopathological changes (steatosis), due to excess cholesterol, with no
positive influence from the nectar.
PMID- 22072343
TI - Influence of omega-3 fatty acids from the flaxseed (Linum usitatissimum) on the
brain development of newborn rats.
AB - OBJECTIVES: The importance of essential fatty acids, in particular the omega-3
family, in the central nervous system development of newborns is well documented.
The flaxseed (Linum usitatissimum) is considered one of the best vegetable
sources of omega-3 fatty acids. The influence of omega-3 fatty acids from
flaxseed on the brain development of newborn rats was evaluated. MATERIAL AND
METHODS: Pups of the F1 generation were obtained from 18 female Wistar rats
divided in 3 groups (n=6), FG: fed with diet based on Flaxseed added with casein,
CG: Casein, and MCG: Modified Casein supplemented with fibers and soybean oil.
Newborn pups were weighted and submitted to euthanasia; brains were collected for
evaluation of weight and lipid profile through gaseous chromatography. RESULTS:
Significant increase in brain weight (39%) and relative brain weight (37%) was
verified in pups from mothers fed with flaxseed diet. The omega-3 (n-3) fatty
acids from the flaxseed were found in abundance in the diet made with this
oleaginous and also significant increase in docosahexaenoic acid (DHA) (38%), as
well as in total of omega-3 (n-3) fatty acids (62%). CONCLUSION: Maternal diet of
flaxseed during pregnancy influences the incorporation of omega-3 fatty acid in
the composition of brain tissue, assuring a good development of this organ in
newborn rats.
PMID- 22072344
TI - The combination of resveratrol and CLA does not increase the delipidating effect
of each molecule in 3T3-L1 adipocytes.
AB - INTRODUCTION: Trans-10, cis-12 conjugated linoleic acid (CLA) and resveratrol
have been shown to reduce TG content in cultured 3T3-L1 adipocyte acting on
different pathways. In recent years, the method of simultaneously targeting
several signal transduction pathways with multiple natural products in order to
achieve additive or synergistic effects has been tested. However, the combined
effect of both molecules on lipid metabolism has not been described before.
OBJECTIVE: The aim of the present work was to analyze the effect of the
combination of trans-10, cis-12 CLA and resveratrol on TG accumulation as well as
on FAS, HSL and ATGL expression in 3T3-L1 mature adipocytes, in order to assess a
potential interaction between both molecules. METHODS: For this purpose, 3T3-L1
mature adipocytes were treated with the two molecules, both separately and
combined, in 10 and 100 MUM for 20 hours. TG content and FAS, ATGL and HSL
expression were measured by spectrophotometry and Real Time RT-PCR respectively.
RESULTS: Both doses of CLA and 100 M resveratrol decreased TG content in mature
adipocytes. The combination of both molecules reduced TG accumulation to the same
extent as each one separately. No change in FAS and HSL mRNA levels after CLA and
resveratrol treatment was observed. ATGL was not modified by CLA but it was
increased by resveratrol and by the combination. This combination did not
increase the effect caused by resveratrol on its own. CONCLUSION: Lipolysis
increase via ATGL is involved in the TG reduction induced by resveratrol and the
combination of both molecules. The combination of these two molecules does not
increase the efficacy of each molecule separately in mature adipocytes and thus
it does not represent an advantage for obesity treatment or prevention.
PMID- 22072345
TI - [Prevalence of nutritional overweight and obesity and hypertension as well as
their relationship with anthropometric indicators in a population of students in
Granada and its provinces].
AB - INTRODUCTION: Several authors have found correlations between anthropometric
parameters and blood pressure levels in overweight and obese subjects. This paper
is an update on the potential of these parameters as a tool for predicting
cardiovascular risk. AIMS: to estimate the prevalence of overweight and obesity.
Second verify the existence of a significant correlation between the main
anthropometric indicators and the blood pressure levels. SAMPLE: The population
consisted of 977 children and adolescents between 9 and 17 years of age belonging
to 13 schools in the province of Granada and city. METHODOLOGY: anthropometric
evaluation in which they were assessed six skinfolds, waist circumference, hip
and determination of blood pressure in three consecutive occasions. The
anthropometric assessment phase began in March 2008 lasted until April 2009.
RESULTS: We found that the prevalence of overweight in girls varied between 18.3%
and 32.2%. For its part, the prevalence among boys was between 10.9% and 26.1%.
Regarding the prevalence of obesity, the girls had similar rates between 4.5% and
15.1%. Among boys the prevalence of obesity was similar, and found rates between
4.7% and 12.6%. Of all the parameters measured, body mass index and waist
circumference were the anthropometric indicators that showed a better correlation
to blood pressure. CONCLUSIONS: BMI and WC are useful anthropometric indicators
to predict cardiovascular risk in non adults.
PMID- 22072346
TI - [Blood pressure variability in 24 hours in obese and non-obese adolescents with
breast development 4 and 5 of Tanner's criteria].
AB - OBJECTIVE: The aim of the study was to investigate the blood pressure variability
during 24 h by using ambulatory blood pressure monitoring (ABPM) in a group of
obese and non-obese female adolescents with breast development status 4 and 5 of
Tanner's criteria. METHODS: A cross-sectional study was conducted at the
Cardiovascular Research Institute, Mexico. All subjects underwent 24 h non
invasive ABPM recording device. Pubertal status was determined by breast
development. MEASUREMENTS: office systolic blood pressure (SBP), diastolic blood
pressure (DBP), and heart rate (HR). Height, weight, body mass index (BMI), waist
and hip circumferences, arm circumference, waist to hip ratio (W/H), and skinfold
thickness measurements: triceps, subscapular, abdominal and supraspinal. RESULTS:
Fifty-nine adolescents 13-16 years old; 29 obese (BMI 31.2+/-4.0), and 30 non-
obese (BMI 21.2+/-2.2). Obese vs. non-obese: Office SBP 116.9 vs. 105.9+/-9.3
mmHg (p<0.001); ABPM in 24 h: SBP 113.8+/-6.3 vs. 107.6+/-5.7 mmHg (p<0.001);
diurnal SBP 117.3 mmHg vs. 111.2 mmHg (p<0.001); nocturnal SBP 105.5+/-8 vs. 99.4
mmHg; absolute variability in 24 h DBP 10.0+/-1.8 vs. 8.7+/-1.5 (p<0.003);
coefficient of variation 24 h DBP 17.3+/-3 vs. 15.4+/-2.6% (p<0.05); systolic non
dipper 16 (55.2%) vs. 9 (30%) (p<0.05); pulse pressure 24 h 49.3+/-8 vs. 43.5+/-9
mmHg (p<0.01). CONCLUSION: Obese adolescents are presenting changes in BP
variability during 24-h in comparison with nonobese adolescents; it also includes
higher pulse pressure. Thus, these can be early indicators for the development of
hypertension or other cardiovascular diseases in the adult life.
PMID- 22072347
TI - [Benefits of cognitive behavior therapy and acupressure therapy in obese
patients: a randomized clinical trial].
AB - OBJECTIVE: The purpose of this study was to analyze change of lifestyle in obese
patients with cognitive behavior therapy and acupressure. METHODS: An
experimental study was performed with placebo control group. Forty patients were
randomly assigned to intervention group (cognitive behaviour therapy +
acupressure) and control group (information session). Outcome measure was a
questionnaire for the assessment and quantification of obesity related
lifestyles. Measures were performed at baseline and, after 3-months intervention.
RESULTS: After 3 months of treatment, the intervention group showed significant
differences (p<0.05) in weight loss, diet and physical activity. CONCLUSION: In
the obese patient, cognitive behavior therapy and acupressure, it has lost at
least three kilograms over three months and has changed lifestyles related to
obesity.
PMID- 22072348
TI - Biochemical and functional indices of malnutrition in patients with operable, non
microcelullar lung cancer.
AB - INTRODUCTION: The aim of this study was to assess non-microcellular lung cancer
patients' nutritional status impact on psychomotor performance, muscle strength
and functional activity. MATERIAL AND METHODS: The study involved 60 consecutive
patients admitted to the clinic for surgical treatment due to histologically
verified non-microcellular lung cancer. The patients were divided, depending on
the stage of weight loss, into two groups: relatively well-nourished--29 patients
and those with malnutrition--31 patients. History, physical examination,
anthropometric data, biochemical parameters as well as functional tests were
carefully noted. RESULTS: Patients qualified for particular groups differed
significantly in age, p<0.002. Mean values of albumin, transferrin and total
protein for the well nourished patients ranged within proper values. In the
malnourished patients they were respectively: 34.05+/-0.27 g/l, 1.764+/-0.27 g/l,
68.90+/-6.39 g/l and the differences were statistically significant. Total loss
of urea nitrogen was significantly higher in malnourished patients 13.32+/-2.92
g/l (p<0.005). The average percentage weight loss in both groups differed
significantly 0.111+/-0.044 vs. 0.031+/-0.028 at p<0.0005. In the group of
malnourished patients the right hand average strength was 26.52+/-8.06 kg and the
left one amounted to 25.35+/-6.04 kg, The values were significantly lower than
the results recorded in well nourished patients: 34.93+/-11.27 kg, 32,37+/-11.72
kg, p<0.001. The tapping test average time of the right hand was 19.24+/-4.04 vs.
16.72+/-3.06 and of the left one 19.69+/-3.59 kg vs. 17.48+/-2.79 kg and were
significantly longer in patients suffering from malnutrition (p<0.01). Simple
reaction times for dominating hand were longer in the group of patients with
malnutrition, for the visual stimulus 0.50+/-0.08 s vs. 0.45+/-0.087 s, (p<0.05)
and for auditory one 0.43+/-0.08 vs. 0.39+/-0.08 s (non significant).
CONCLUSIONS: Malnutrition in the course of non-microcellular lung cancer
significantly reduces psychomotor function assessed by reaction time to visual
and acoustic stimuli as well as efficiency of the functional tests evaluated by
tapping test and muscle strength measurement.
PMID- 22072350
TI - [Weight monitoring in parenteral nutrition: from theory to practice].
AB - OBJECTIVE: To assess the usefulness of establishing a routine gravimetric as
quality assurance after the development of parenteral nutrition (PN) with a
gravimetric error less than +/- 5%. MATERIAL AND METHODS: Prospective study in
which 5 to 8 large volume PN were weighed daily during 2 months and for 4 months
all small volume PN, considering this the real weight. The theoretical weight was
calculated taking into account the densities, volumes of all products used in
processing and the weight of the bags used. The gravimetric error was calculated
as a percentage compared to the theoretical weight. RESULTS: 168 large volume PN
and 42 small volume were weighed, gravimetric errors measures were 1.42%
(SD=1.31) and 1.26% (SD=0.64), with a gravimetric error less than 5% in 98,8% and
100% respectively. CONCLUSION: Establishing a routine gravimetric control is an
useful strategy that can help to guarantee the quality of the PN development.
PMID- 22072349
TI - [Meat and fish consumption in a high cardiovascular risk Spanish Mediterranean
population].
AB - BACKGROUND: High saturated fat consumption, mostly from red meat and sausage meat
has been associated with an increase in cardiovascular risk (CVR) in contrast to
the effect of high fish consumption. OBJECTIVE: To get to know the frequency of
meat and fish consumption in an elderly high Mediterranean population, their
correlations with adherence to the Mediterranean diet (MD) and their association
with intermediate CVR phenotypes. METHODS: A cross-sectional study was carried
out on 945 people (67.4+/-6.2 years old) with high CVR recruited in primary care
centres of Valencia, and participating in the PREDIMED study. The frequency of
meat and fish consumption was determined through a validated questionnaire. We
analyzed clinical, biochemical and anthropometric variables using standard
methods. RESULTS: Mean red meat consumption was high (7.4+/-4.7 times/week),
being higher in men than in women (P=0.031) and was associated with greater
weight (P=0.001) and prevalence of obesity (P=0.025). Fish consumption was also
high (4.5+/-2.6 time/week) and was associated with lower concentrations of
fasting plasma glucose (P=0.016) as well as with lower prevalence of diabetes
(P=0.017). CONCLUSION: Red meat consumption in this high CVR population is very
high and far from the recommendations of MD, needing, therefore, to be reduced.
Fish consumption is closer to the recommendations and should be maintained.
PMID- 22072351
TI - [Temporomandibular joint dysfunction, disability and oral health in a community
dwelling elderly population].
AB - INTRODUCTION: Temporomandibular joint dysfunction (TMD) is involved in important
activities of the stomatognathic system for nutrition, such as chewing or
swallowing. If the physiological tolerance of its components is exceeded, it can
trigger symptoms of temporomandibular dysfunction (TMD). OBJECTIVES: To assess
and relate the symptoms of TMD, functional limitations and estimates of self
perceived oral health and general geriatric population in a communitydwelling
elderly population. METHODS: An observational study was performed. Ninetyfour
persons belonging to units of Granada Geriatric Day were assessed symptoms of TMD
(Helkimo Simplified Index), pain (VAS scale), functional limitation of
stomatognathic system (research diagnostic criteria for temporomandibular
disorders CDI/TTM), jaw opening index, general health index (1-5) and oral health
(geriatric oral health assessment). RESULTS: In the total sample, 42.7% had at
least one symptom of TMD. The most common symptoms were muscular fatigue (26.6%),
noise (21.3%) and TMD pain (14.9%). The most common non-specific symptoms in the
symptomatic group were neck pain and nervousness. A 48.9% of the sample had
functional limitation in orofacial activities. Also, there was a statistically
significant association (p<0.05) between the jaw opening index and symptomatic
and asymptomatic groups with TMD. CONCLUSIONS: In the group with symptoms of TMD
were more common temporomandibular joint departures and pain, and they presented
lower values in oral and general health self-perception.
PMID- 22072352
TI - [Effect of dietary fiber in the quantitative expression of butyrate receptor
GPR43 in rats colon].
AB - INTRODUCTION: Short chain fatty acids (SCFA) acetate, propionate and butyrate are
the major anions produced by the bacterial fermentation of dietary fiber (DF) in
colon. Recently, butyrate has been recently studied because is important to
maintain colonic functions and because it has been related with a protective
effect in colorectal cancer, which is mainly, explained by its potential to
regulate gene expression by inhibiting enzyme histonedeacetylase (HDAC). Several
investigationsshown that SCFAreceptor GPR43 is involved insignal transduction
mechanisms once they bind to ligands such as butyrate to generate different
physiological effects in colonocytes. OBJECTIVE: Determine if dietary fiber
consumption from nopal (Opuntia ficus I.) containing a ratio of soluble-insoluble
fiber 40/60, has a direct influence on the quantitative expression of butyrate
specific receptor GPR43. METHODS: Wistar rats were fed with four different diets
formulated at different concentrations of dietary fiber of 0, 5, 15 and 25% of
dietary fiber from opuntia, respectively. RESULTS AND DISCUSSION: The results
shown an increase in the expression of GPR43 (93.1%) when rats was fed with a 5%
fiber diet, using beta-actin as a reference gene. The results of this
investigation will contribute to determinate the relation of diet with intestinal
health for the purpose of expanding the knowledge of butyric acid on colonic
functions.
PMID- 22072353
TI - Nutritional status of intellectual disabled persons with Down syndrome.
AB - BACKGROUND: To evaluate the nutritional status in young adults with Down syndrome
(DS). METHODS: 38 persons, 15 (39.5%) women and 23 (60.5%) men (age range 16-38
years) with DS. Body composition was analyzed from anthropometric parameters
according to standard protocols, levels of physical activity and nutrient intake
was determined using validated questionnaires: a 72 h recall and consumption food
frequency questionnaire (recorded by the tutors of the participants). The
following biochemical parameters were estimated: blood lipids profile (total
cholesterol, HDL-cholesterol, LDL-cholesterol and triglycerides), glucose, uric
acid, proteins (ferritin and transferrin), minerals (Fe, Zn, Cu, Mg and Se) and
vitamins (B12, B9, E, C and beta-carotene). The data were statistically analysed
with Student t tests. RESULTS: From the 38 participants, 36.8% were overweight
(BMI: 25-29.9 kg/m2) and 36.8% were obese (BMI>=30 kg/m2). The BMI differed from
women to men (P<0.001) (29.1+/-4.3 and 27.9+/-4.6 kg/m2, respectively). The
average values of the biochemical parameters, except for uric acid, both in women
and men were within normal ranges. The average energy intake was 1,909+/-337 and
2,260+/-284 kcal/day for women and men, respectively. The contribution of
proteins to total caloric intake was 18.8 and 16.3% for women and men,
respectively, while carbohydrates contributed 43.3 and 45.6%, and lipids 37.9 and
38.1%. All participants were sedentary. CONCLUSION: In this group presented a
high prevalence of overweight and obesity. Further research is required in the
development and evaluation of appropriate intervention programs to improve their
nutritional status and quality of life.
PMID- 22072354
TI - [Weight estimation in Mexican elderly outpatients from antropometric measures
from the SABE Study].
AB - INTRODUCTION: The weight is an anthropometric parameter routinely used in
ambulatory and hospital settings, and takes its importance, because often there
are clinical conditions that impede it's taking in a traditional scale. There are
equations to estimate weight. However, they have not been designed for Mexican
ambulatory patients. OBJECTIVE: To develop an equation to estimate weight in
Mexican ambulatory patients from the Study of Health, Well Being and Aging,
corresponding to Mexico city an surrounded area. MATERIAL AND METHODS: The
database of the Study of Health, Well Being and Aging of Mexico City was used,
and adults of 60 years and older, who completed the anthropometric measures were
included. Two groups of datasets were crated though random numbers, one for the
design and the other for the validation of the equation. The equation was
obtained from the first database and cross-validated in the second. RESULTS: The
equations obtained were: (0.67)* (Knee height)+(0.46)* (Mid-arm
circumference)+(0.60)* (waist circumference)+(0.38)* (hip circumference)+(0.53)*
(calf circumference)-(0.17)* (Age in years)-80.01 and (0.69)* (Knee
height)+(0.61)* (Mid-arm circumference)+(0.17)* (waist circumference)+(0.45)*
(hip circumference)+(0.58)* (calf circumference)-(0.24)* (Age in years)-55.9 in
men and women respectively. The correlation coefficients were 0.94 y 0.92
(p<0.001 for both). The differences between the real and estimated values were
not significant. CONCLUSION: The equations developed in our analysis were
confident and can be employed to estimate weight in ambulatory elderly. It is
needed to test its validity in clinical scenarios were it is not possible to
weight patients directly in the rest of the Mexican republic and adjust to
specific populations.
PMID- 22072355
TI - [Evaluation of perioperative nutritional therapy in patients with
gastrointestinal tract neoplasms].
AB - OBJECTIVES: Cancer is usually associated to an important level of desnutrition
together with a postoperative morbidity and mortality increase. The purpose of
this study was evaluating its efficacy perioperative nutritional support to
reduce surgical complications, stances and mortality significantly in patients
undergoing higher digestive tract procedures. METHOD: A prospective, randomized
trial was done among a sample of neoplasic patients undergoing higher intestinal
tract resective surgery during a period of 4 years. After a nutritional
assessment, a perioperative immune-enhancing formula was randomly assigned to a
group of patients who presented malnourished preoperatively (DS) while well
nourished and the rest of malnourished patients (DNS) received pre-surgical
dietetic guidance and intravenous fluids after surgery until the reintroduction
of normal diet. The variables studied were: age, sex, tumor stage and length of
hospital stay. Nutritional status at admission and discharge, mortality, outcome
from surgery and gastrointestinal side effects (tolerability, diarrhoea, vomits
or distension) were also collected. Statistical analyses were performed with the
with the chi2 for qualitative variables, ANOVA and the Turkey post-hoc tests for
the quantitative ones, with a significance of 95%. RESULTS: Sample conformed by
50 patients in 3 groups that were compared for all baseline and surgical
characteristics. Significant differences were observed in the incidence of
gastrointestinal and infectius complications with better progress in DS group. It
was obtained a reduction in the length of hospital stay in 12.29 days in DS group
in contrast to DNS group (P=0.224). CONCLUSIONS: Significant benefit from
perioperative nutritional support has been demonstrated in severely malnourished
patients undergoing major surgery. A statistically significant decrease in the
incidence of postoperative gastrointestinal symptoms, a reduction in the length
of hospital stay and less morbidity occurred on the group that received
perioperative nutrition.
PMID- 22072356
TI - [Assessment of the nutritional status of a group of people older than 50 years by
means of dietary and body composition parameters].
AB - OBJECTIVE: To assess the nutritional status of elder adults by means of dietary
and body composition parameters. METHODOLOGY: The sample comprised 49 adults,
older than 50 years (23 men and 26 women) with a mean age of 54.84+/-4.56 years,
working at a private university. The body composition was analyzed by using
anthropometric parameters. The energy and nutrients intake was gathered by means
of a registry of all the foods and beverages consumed during 3 days that was
filled-up by each (previously instructed) participant and estimating the amounts
through photographic models. Total energy requirements were estimated by the
Harris-Benedict and FAO/WHO calculations. RESULTS: The body mass index was
similar (p=0.018) in both men and women (26.1+/-1.9 and 24.4+/-2.8 kg/m2). The
percentage of fat obtained by anthropometrics was 29.6+/-3.6 and 36.8+/-3.1%
(p=0.000) in men and women, respectively. The level of physical activity was very
light to light. Daily energy intake was appropriate for total energy demands when
these were calculated by the calculations proposed by FAO/WHO. The caloric
profile indicated an unbalance with high proteins and lipids and low
carbohydrates intake. As for the micronutrients, the diets assessed indicated a
deficient intake of folic acid and vitamins D and E, in both genders, and zinc
and selenium also in women. CONCLUSIONS AND RECOMMENDATIONS: We have found
overweight problems according to the BMI and the waist circumference, and obesity
according to the body fat percentage, with the potential risk for the development
of an associated complication. It would be advisable to improve the quality of
the diets consumed by increasing the amount of some micronutrients and fiber, and
by promoting an increase in the physical activity.
PMID- 22072357
TI - Hematologic and immunological indicators are altered by chronic intake of
flaxseed in Wistar rats.
AB - This work sought to evaluate the effects of chronic intake of flaxseed upon
hematologic parameters and immunological findings on body development of Wistar
rats. Female Wistar rats were used after gestation. They were randomly assigned
into two groups during lactation period: Control group (CG), fed with casein
based diet, made up of 17% protein and flaxseed group (FG), fed with casein based
diet with the addition of 25% flaxseed. At weaning, 12 male pups of each group
continued to receive the experimental diets of their mothers (with only 10% of
protein) until adult age, when they were killed at 250 days of life aiming at
blood collection. At 250 days old FG presented significant reduction in body mass
(p<0.000) and higher levels of hemoglobin (p=0.019) and albumin (p=0.030) than
CG. It was observed smaller percentage of segmented lymphocytes (p=0.016) in rats
from FG and bigger percentage of segmented leucocytes (p=0.023) when compared to
CG. The chronic consumption of flaxseed altered hematologic and immunological
indicators in adult Wistar rats. Supplementation with flaxseed seems to be
beneficial to maintenance or reduction of body mass.
PMID- 22072358
TI - [Impact of consumption of corn flour with low level enrichment in children of
rural zones].
AB - INTRODUCTION: Corn has been from the prehispanic era, the most important feeding
plant in the Mexican population, particularly in the most important sectors and
in marginal areas. In this setting, enriching the product as flour implies an
increase in its nutritional quality, especially because corn is the basic food.
OBJECTIVE: To assess the effect of the consumption of corn flour enriched with 3%
soybean, vitamins, and minerals on the growth and development of infants and
preschool children. MATERIAL AND METHODS: experimental study lasting 10 months.
The experimental group (n=195) received enriched corn flour whereas the control
group (n=200) received non-enriched flour. The indicators were: nutritional
status, mental and psychomotor development, and blood hemoglobin levels. RESULTS:
in the total sample, there were no differences between the experimental group and
the control group. However, there were improvements in the weight-to-height and
weight-to-age indexes in the children consuming enriched flour and in children
older than one year, who were the babies of indigenous women living in marginal
areas. CONCLUSIONS: enriched corn flour appears to be an alternative benefitting
the children population with higher nutritional deficiencies. However, a longer
intervention is necessary to obtain better results.
PMID- 22072359
TI - Influence of physical activity and dietary habits on lipid profile, blood
pressure and BMI in subjects with metabolic syndrome.
AB - BACKGROUND: The present study was determined the influence of physical activity
and dietary habits on lipid profile, blood pressure (BP) and body mass index
(BMI) in subjects with metabolic syndrome (MS). AIMS: Identify the relationship
between physical activity and proper nutrition and the probability of suffering
from myocardial infarction (MI). METHODS: Hundred chronically ill with MS who
were active and followed a healthy diet were classified as compliant, while the
remaining subjects were classified as non-compliant. RESULTS: The compliant
subjects show lower BMI values (30.8+/-4.9 vs 32.5+/-4.6), as well as lower
levels of triacylglycerol (130.4+/-48.2 vs 242.1+/-90.1), total cholesterol
(193.5+/-39 vs 220.2+/-52.3) and low-density lipoprotein cholesterol (105.2+/
38.3 vs 139.2+/-45). They show higher values in terms of high-density lipoprotein
cholesterol levels (62.2+/-20.1 vs 36.6+/-15.3), with statistically significant
differences. In terms of both systolic and diastolic pressure, no differences
were revealed between the groups; however, those who maintain proper dietary
habits show lower systolic blood pressure levels than the inactive subjects. The
probability of suffering from MI greatly increases among the group of non
compliant subjects. CONCLUSIONS: Our results demonstrate how performing aerobic
physical activity and following an individualized, Mediterranean diet
significantly reduces MS indicators and the chances of suffering from MI.
PMID- 22072360
TI - Influence of fat intake on body composition, lipemia and glycemia of type 1
diabetics.
AB - BACKGROUND: Diabetes mellitus is a metabolic disorder characterized by chronic
hyperglycemia and body composition is important in the disease control. The
nutritional intervention has relevance in the improvement of glycemia and lipemia
in diabetic patients. AIM: Evaluate the influence of fat intake on body
composition, lipemia and glycemia on patients with type 1 diabetes mellitus.
METHODS: 19 patients were evaluated by anthropometric (body mass index and waist
circumference), body composition (fat mass, lean body mass and total body water
by bioelectrical impedance) and biochemical variables, after 8 hours of fasting.
Dietary assessment was performed using the dietary records for 3 days, analyzed
for nutritional software DietPro 5i. The groups were formed according to the
usual intake of saturated fatty acids (SFA) (G1<10% of total energy expenditure
(TEE) of SFA and G2>=10% of TEE of SFA). Statistical analysis was performed in
SPSS 16.0, considering p<0.05. RESULTS: There was no difference in anthropometric
and biochemical variables between groups, but G1 presented higher fat mass (FM)
and G2 high SFA and adequate mounsaturated fatty acids (MUFA) intake. The lipemia
and glycemia were not affected by high SFA intake, but adequate MUFA intake may
have influenced the results of these variables. No found relation between type of
fat ingested and biochemistry variables. CONCLUSION: Body composition can be
influenced by type of fat ingested. Lipemia and glycemia were not influenced by
high SFA intake, perhaps due to MUFA intake adequate.
PMID- 22072361
TI - Inflammatory mediators and immune response in Mexican adolescents.
AB - INTRODUCTION: Low-grade inflammation and increased immunity related to
cardiovascular diseases have been described in children and adults, however,
studies in Mexican adolescents are being done at present. OBJECTIVE: To evaluate
inflammatory proteins and indicators of immunity in adolescents by gender and
body mass index. MATERIAL AND METHODS: 115 Mexican adolescents, 15-18 years old
(36 men), were divided into non-overweight, risk of overweight and overweight by
CDC pediatric criteria by body mass index. Serum concentrations of ceruloplasmin,
C3 and C4 were quantified by nephelometry; IL-6 and TNF-alpha from stimulated
supernatant were analyzed with Human Th1-Th2 cytokine CBA II kit (BD Biosciences
Pharmigen, San Diego, CA), and detected by flow cytometry. Data were analysed by
Mann-Whitney U. RESULTS: Gender differences were found in C3 (men: median 118.8,
mean rank: 41.0; women: median: 143.9, mean rank: 65.7, p=0.001) and
ceruloplasmin (men: median: 31.01, mean rank: 47.06; women: median: 31.0, mean
rank: 62.9, p=0.015). Differences by BMI were found in C3 (women non-overweight:
median: 137.00 mena rank: 36.52; women with risk of overweight/overweight:
median: 175.80, mean rank: 57.69, p=0.002) and C4 (men non-overweight: median:
23.40, mean rank: 16.60; men with risk of overweight/overweight: median: 26.40,
mean rank: 26.36, p=0.028; women non-overweight: median: 24.25, mean rank: 37.16
and women with risk of overweight/overweight: median: 32.80, mean rank: 54.42,
p=0.013). CONCLUSION: Inflammatory proteins are increased in adolescents with
risk of overweight and overweight, particularly in women.
PMID- 22072362
TI - Enteral or parenteral nutrition in traumatic brain injury: a prospective
randomised trial.
AB - INTRODUCTION: Few studies have evaluated the route of nutritional therapy in
patients with head trauma. OBJECTIVE: We aimed at investigating whether early
enteral (EN) or parenteral nutrition (TPN) may differ in protein/ calorie supply,
serum glucose modifications, and acute phase response in patients with traumatic
brain injury (TBI). METHODS: Twenty two patients with moderate TBI (Glasgow score
between 9-12) were randomized to receive isocaloric and isonitrogeneous either EN
(n=12) or TPN (n=10). The daily amount of calories and nitrogen (N) supplied, the
nitrogen balance, and the daily serum level of glucose, C-reactive protein, and
albumin were collected for 5 consecutive days. Clinical endpoints as length of
stay and mortality were also compared. RESULTS: Mortality was 9.1% (two cases)
with one case in each group. A progressive caloric deficit occurred in both
groups (p=0.001) without difference between them. The mean serum glucose level in
TNP patients (134.4, 95% CI=122.6 to 146.2 mg/dl) was significantly higher than
in the EN group (102,4; 95% CI 91.6 to 113.2 mg/dL) (p<0.001). There was a trend
(p=0.06) of 24 h urinary N loss to be greater in TPN group which received higher
amounts of N than the NE group (p<0.05). However, nitrogen balance was similar in
the two groups. There was no difference in either the clinical outcome variables
or the acute phase response. CONCLUSION: Both routes were able to supply
increasing provision of calories to brain injured patients. TPN provided
significantly greater amount of nitrogen but losses were also greater. Nitrogen
balance was similar with both types of therapy. Parenteral compared to enteral
nutrition lead to greater hyperglycemia. There was no influence of the route in
both the early inflammatory response and clinical outcome.
PMID- 22072363
TI - Sagital abdominal diameter, but not waist circumference is strongly associated
with glycemia, triacilglycerols and HDL-C levels in overweight adults.
AB - AIM: To correlate the sagittal abdominal diameter (SAD) and waist circumference
(WC) with metabolic syndrome-associated abnormalities in adults. METHODS: This
cross-sectional study included onehundred twelve adults (M=27, F=85) aging 54.0+/
11.2 yrs and average body mass index (BMI) of 30.5+/-9.0 kg/m2. The assessment
included blood pressure, plasma and anthropometric measurements. RESULTS: In both
men and female, SAD and WC were associated positively with body fat% (r=0.53 vs
r=0.55), uric acid (r=0.45 vs r=0.45), us-PCR (r=0.50 vs r=0.44), insulin (r=0.89
vs r=0.75), insulin resistance HOMA-IR (r=0.86 vs r=0.65), LDL-ox (r=0.51 vs
r=0.28), GGT (r=0.70 vs r=0.61), and diastolic blood pressure (r=0.35 vs r=0.33),
and negatively with insulin sensibility QUICKI (r=-0.89 vs r=-0.82) and total
cholesterol/TG ratio (r=-0.40 vs r=-0.22). Glycemia, TG, and HDL-c were
associated significantly only with SAD (r=0.31; r = 39, r=-0.43, respectively).
CONCLUSION: Though the SAD and WC were associated with numerous metabolic
abnormalities, only SAD correlated with dyslipidemia (TG and HDL-c) and
hyperglycemia (glycemia).
PMID- 22072364
TI - [Study of the serum levels of leptin, ceruloplasmin and lipoprotein (a) as
indicators of cardiovascular risk in a population of adolescents in Granada
(Spain)].
AB - Numerous studies have focused on establishing a relation between the serum values
of biomolecules such as leptin, ceruloplasmin, and lipoprotein (a), and the
nutritional state and levels of diastolic and systolic blood pressure in subjects
with problems of overweight or obesity. However, in many cases, the results
obtained have not been conclusive. The results of our study confirm the existence
of a statistically significant association between the serum levels of these
biomolecules, the nutritional state of the subjects, and levels of diastolic and
systolic blood pressure. For the population of overweight and obese adolescents
studied, the evaluation of the serum concentrations of these biomolecules was
found to be an important instrument that could be used to identify those subjects
with an elevated risk of suffering cardiovascular disorders basically derived
from a hypertensive status.
PMID- 22072365
TI - [Prevalence of malnutrition and influence of oral nutritional supplementation on
nutritional status in institutionalized elderly].
AB - BACKGROUND: Nutritional supplementation might be an effective strategy for
improving the nutritional status and the quality of life of institutionalized
fragile elderly. OBJECTIVES: The prevalence of malnutrition and its relation with
disease, and the influence of dietary supplementation by means of oral formulas
on the nutritional status of elderly nursing home residents were assessed.
METHODS: Two studies were carried out, one a cross-sectional survey in 31
subjects and the other a longitudinal-sectional survey in 19 subjects, both
groups living in a public nursing home in the city of Murcia (SE Spain). Body
mass index (BMI), serum albumin concentration (ALB) and geriatric nutritional
risk index (GNRI) were assessed as markers of potential malnutrition. Illnesses
were ascertained from medical records. RESULTS AND DISCUSSION: The prevalence of
malnutrition of the total collective was high (39%), and especially in the
fragile subjects (50%). The administration of oral nutritional supplements in the
usual diet for 12 months significantly increased ALB and GNRI, and had no effect
on body weigh and BMI. Jointly, these effects decreased the in the number of
subjects at high nutritional risk and increased the number of subjects with a low
or zero risk of malnutrition. CONCLUSION: The administration of oral nutritional
supplements in the usual diet of this elderly collective is an effective clinical
strategy in nutritional therapy.
PMID- 22072366
TI - Altered membrane lipid dynamics and chemoprevention by non-steroidal anti
inflammatory drugs during colon carcinogenesis.
AB - The present work focuses on the anti-neoplastic role of non steroidal anti
inflammatory drugs (NSAIDs) in modulating the biophysical parameters of the
colonic membranes in 1,2-dimethylhydrazine dihydrochloride (DMH) induced
carcinogenesis. The steady-state fluorescence polarization technique was applied
to assess membrane fluidity, membrane polarity and lipid phase states. The
decline in cholesterol content, biosynthesis and cholesterol: phospholipids ratio
with DMH treatment indicates more fluidity associated with carcinogenesis. The
DMH group had shown lower order parameter indicating more fluidity whereas NSAIDs
resulted in increasing the membrane lipid order. The converging effects of these
changes were more in membrane phase separations and membrane phase state. In DMH
treatment membrane shows lesser phase separation or high polarity, and more
liquid crystalline state while for NSAID groups membranes have higher phase
separations or low polarity, and more of the gel phase. Further, NSAIDs induced
anti-proliferative effects were evidently observed by apoptosis in the
colonocytes by using acridine orange-ethidium bromide fluorescent staining and
Terminal de-oxynucleotidyl transferase dUTP nick end labeling (TUNEL) assay. The
results suggest that NSAIDs induced alteration in the membrane biophysical
parameters may be an important initiating event for the chemopreventive action.
PMID- 22072367
TI - Impact of two low-calorie meals with and without bread on the sensation of
hunger, satiety and amount of food consumed.
AB - BACKGROUND: The aim was to compare the differences in feelings of hunger and
satiety in a group of overweight/obese women after eating a test meal with or
without bread. PATIENTS AND METHODS: The study included 122 women (BMI>=25<40
kg/m2) who were randomly assigned to consume one of the following test meals: NO
BREAD meal (2.40 MJ, 46% carbohydrates, 26% protein, 28% fat; which included rice
or pasta) and BREAD meal (2.39 MJ, with equal caloric distribution and the same
foods except with bread instead of rice or pasta). A visual analogue scale (VAS)
was used, with 5 questions to be answered at different times: 1) just before
eating, 2) just after eating and exactly 3) 60 and 4) 90 minutes after eating the
test meal. The test was performed at the start and after 16 weeks of following a
lifestyle modification program based on a low-calorie diet (with or without
bread). RESULTS: 104 women completed the study (48.4+/-9.0 years) with a baseline
BMI of 29.8+/-3.5 kg/m2. At the start of the study there were no significant
differences in any of the VAS parameters measured between the groups. After 16
weeks, BREAD group obtained higher scores in question 3 (referring to the
sensation of satiety) that were significant at time 3 (7 versus 5; p<0.05) and
time 4 (8 versus 4; p<0.01). CONCLUSIONS: The inclusion of bread in a low-calorie
meal may result in a greater sensation of satiety after eating. These results
contradict the recommendation to exclude bread from a food plan aimed at weight
loss.
PMID- 22072368
TI - [Performance of nutritional education programmes to prevent obesity in children
through a pilot study in Soria].
AB - BACKGROUND: Spain has one of the highest rates of childhood obesity in Europe.
The Spanish authorities of health have launched some strategies, which among
others, includes activities to develop healthier dietary habits in children.
OBJECTIVE: The aim of the present study has been to assess the effectiveness of
the nutritional education programmes undertaken to prevent obesity in children
through a pilot study in Soria. This has been evaluated through the comparative
study of adherence to the Mediterranean Diet and anthropometric parameters
between two samples of same age schoolchildren at an interval of 10 years.
SUBJECTS AND METHODS: Adherence to the Mediterranean diet and BMI was evaluated
in two occasional samples of pupils aged between 6 and 9 years, who were attended
to 5 public Schools in Soria, during two academic years 1998/ 99 (n=119) and
2008/09 (n=231). Adherence to the Mediterranean diet was evaluated by the KidMed
index. RESULTS: There were no differences in KidMed score between sexes within
the same sample. However, there were a significant differences (p<0.0001) in
total KidMed socore between the 2008/09 and 1998/99 schoolchildren. The mean BMIs
were similar for the boys and girls of the same course, while it was
significantly lower (p<0.05) in 2008/09 school children. The Kidmed score was
negatively associated with BMI (r=-0.133, p<0.05). CONCLUSIONS: The proportion of
childhood that showed an upper-middle degree of adherence to the Mediterranean
diet patterns was higher among 2008-09 pupils. These results suggests that
activities coordinated from different agencies in Spain, may be a useful tool for
promotion of healthy eating habits in children.
PMID- 22072369
TI - Prevalence of protein supplement use at gyms.
AB - At the present time, the abuse of all class of sport nutritional supplements (NS)
has extended the people who go regularly to gymnasiums. Between these substances
there are the Protein Powder Supplements (PPS). The purpose of this study is to
examine the consumption of PPS in 415 individuals from Seville fitness centers.
All individuals were evaluated with anthropometric measurements and completed a
questionnaire previously reviewed, evaluating the validity of the content,
application, structure and presentation. 28% of the individuals were using or
used PPS. Of the total, 42.7% of male individuals compared to 3.2% of the
females. The use of PPS was higher than what has been reported in previous
studies about supplement use in fitness center, 28% vs 10-14% aprox. The SPP use
is associated with sex, age, and time of sport practiced. More than a 25% of the
total individuals that attend a gym use PPS. The total individuals that attend a
gym and use PPS far exceed the RDA of protein for general population and/or
athletes, being able to cause problems of health.
PMID- 22072370
TI - Food habits and nutritional status of elderly people living in a Spanish
Mediterranean city.
AB - AIMS: The aim of this study was to assess the food habits and nutritional status
of free-living, non-institutionalised, elderly people of Torrevieja, a Spanish
city located in the Mediterranean coast. METHODS: Anthropometric and dietary
survey (two 24 hour recalls) were assessed in 200 (83 men and 117 women) free
living elderly people (average age 72.3+/-6.6 years). RESULTS: Just married women
accomplished the recommended energy intake. The contribution of macronutrients to
the total energy intake was different from the Recommended Intake for the
elderly, since it was too derived from proteins, fats, SFA and sugars, but in
only small amounts was derived from complex carbohydrates. High percentages of
elderly persons showed inadequate intake of calcium, zinc, magnesium, potassium,
copper, iodine, folic acid, vitamin A, vitamin D, vitamin E, and riboflavin. Men
showed lower micronutrient intake than women. CONCLUSIONS: An increase in dietary
complex carbohydrate, and a decrease in protein and fats, especially SFA, is
recommended. It would be desirable to increase the consumption of fruits,
vegetables, whole cereals, fish and skimmed dairy products.
PMID- 22072371
TI - [Nutritional profile of foods offered and dietary intake in school canteens in
Biscay].
AB - INTRODUCTION: Nowadays the school canteen occupies a central place in the supply
and in the nutritional education of the children in school age. OBJECTIVES: To
assess the nutritional adequacy of the school menus and the food intake of the
children. METHODS: 1,500 trays were selected in six school dining rooms of
Biscay. Dietary intake was evaluated by means of the technique of double weighed
and visual estimation of the residues. RESULTS: Evaluation of the menus:
Macronutrients: carbohydrates 48%, proteins 20%, lipids 32%. Weekly offer: The
first plate: vegetables 1.1; legumes 1.8; potatoes 0.4; pasta-rice 1.7. The
second plate: meat 2.5; fish 1.4; eggs 0.6; precooked fried food 0.5. Garnish:
potatoes 0.5; sauces 0.8; lettuce 1.7; cooked vegetables 1; no garnish 1.
Dessert: fruit 2.8; dairy product 2; other 0.2. Significant changes have been
observed in 4% of the menus. The vegetable garnish is not served in 40% of the
occasions. 70% do not eat the vegetable garnish. CONCLUSIONS: Though the
theoretical offer of vegetables is appropriate, due to the fact that frequently
the vegetables are not served in garnish and to that when they are served
children do not eat them, their final intake is poor. The protein contribution to
the diet is higher than the recommended. Meaningful changes take place often in
the composition of the menus.
PMID- 22072372
TI - Fitness, fatness and cardiovascular profile in South Spanish and North Moroccan
women.
AB - INTRODUCTION: We studied the differences on physical fitness, fatness and
cardiovascular profile in Spanish and Moroccan women. MATERIAL AND METHODS: The
study comprised 63 and 58 women aged 45-65 years from South of Spain and North of
Morocco, respectively. We assessed fitness and body composition using standard
procedures. We also assessed resting heart rate (RHR), blood pressure, fasting
glucose, total cholesterol, LDL-cholesterol, HDL-cholesterol and triglycerides.
RESULTS AND DISCUSSION: Moroccan women had a better performance in the main
health-related physical fitness components, i.e. higher levels of
cardiorespiratory fitness (P=0.01) and (lower-body) muscular strength (P<0.001).
Diastolic blood pressure (P=0.004), RHR and total cholesterol (both P=0.04) were
lower in Moroccan women. No differences were observed in the prevalence of
metabolic syndrome. CONCLUSIONS: The women from Morocco had a healthier fitness
and cardiovascular profile than the women from Spain. Further research on
physical fitness and other health indicators in understudied populations is
needed.
PMID- 22072373
TI - [What do people know and perceive about salt consumption and its impact on
health?].
PMID- 22072374
TI - Assessment of actual food portions sizes in a sample of adolescents from
Cochabamba (Bolivia).
PMID- 22072375
TI - [Final report on evaluation of scientific journals].
PMID- 22072376
TI - Expression of Stat3 and indoleamine 2, 3-dioxygenase in cornea keratocytes as
factor of ocular immune privilege.
AB - PURPOSE: Ocular immune privilege is a multifactorial phenomenon evolutionally
selected to prevent immunogenic inflammation from disrupting the visual axis and
causing blindness. Here, we investigated the role of signal transducers and
activators of transcription (Stat3) and indoleamine 2,3-dioxygenase (IDO) in
ocular immune privilege in corneal stromal cells. METHODS: Human keratocytes were
isolated and cultured in vitro, and Stat3 and IDO expression on keratocytes was
investigated by reverse transcription polymerase chain reaction (RT-PCR). The
active form of Stat3 was detected by flow-cytometry, and IDO enzyme activity
following IFN-gamma stimulation of keratocytes was measured by tryptophan to
kynurenine conversion with photometric determination of kynurenine concentration
in the supernatant. RESULTS: Stat3 was constitutively expressed in cultured
keratocytes and up-regulated following IFN-gamma stimulation. The active form of
Stat3 was also up-regulated following IFN-gamma stimulation. IDO expression and
enzyme activity was markedly induced following IFN-gamma stimulation, but this
induction was prevented by the IDO specific inhibitor, 1-methyl tryptophan (1
MT). CONCLUSIONS: On the basis of this study, Stat3 and IDO may act as a factor
of ocular immune privilege in corneal keratocytes. Thus, focus on these
inhibitory molecules should be considered in studies aimed at developing
therapeutic agents for controlling ocular inflammatory or immune diseases.
PMID- 22072377
TI - Intravitreal treatment with antisense oligonucleotides targeting tumor necrosis
factor-alpha in murine herpes simplex virus type 1 retinitis.
AB - BACKGROUND: Tumor necrosis factor alpha (TNF-alpha) is a proinflammatory cytokine
known to participate in intraocular inflammatory disease. This study investigated
whether treatment with intravitreal antisense-oligonucleotides (ASON) targeting
TNF-alpha mRNA affects the progression of herpes simplex virus 1 (HSV-1)
retinitis in mice. METHODS: The in vivo uptake of the oligonucleotid after
intravitreal injection was determined with FITC-labeled TNF-alpha ASON. HSV
retinitis was induced on day 0 by the injection of HSV-1 (KOS strain) into the
anterior chamber (AC) of the right eyes of BALB/c mice (von Szily model). The
left contralateral eyes were injected intravitreally on day 7 with TNF-alpha
ASON, sequence-unspecific control ASON (CON), or buffer. The clinical course of
retinitis, ocular inflammatory cell-infiltration, TNF-alpha expression in the eye
by ELISA, delayed-type hypersensitivity (DTH) reaction, virus-neutralizing
antibody titers in the serum, uptake of [3H]thymidine from regional lymph node
(rln) cells, and viral content in the eyes were determined. RESULTS: In vivo,
strong fluorescence of FITC- TNF-alpha ASON was detected in the choroid and
retina up to 3 days after intravitreal injection, but none in the rln. After
treatment of eyes with ASON, decreased expression of TNF-alpha in the eye, and
reduced incidence and severity of retinitis on day 10 after infection (P < 0.05)
could be found. The other parameters were not significantly influenced after TNF
alpha ASON treatment. CONCLUSIONS: TNF-alpha participates in the pathology of HSV
1 retinitis. Local inhibition of TNF-alpha mRNA by intraocular TNF-alpha ASON
injection did not influence the systemic HSV-specific immune response or the
antiviral response in the eye, but reduced ocular inflammatory bystander damage.
PMID- 22072379
TI - Conformational and stereoelectronic investigation of tryptamine. An AIM/NBO
study.
AB - Due to the free radical scavenger properties of Tryptamine (TRA), as well as of
others indole derivatives, it is in our interest to explore deeply the
stereoelectronic aspects that would be relevant in their stabilization and
antioxidant activity. In this work the conformational space of TRA was scanned
using molecular dynamics complemented with functional density calculations at
B3LYP/6-31 + G** level. Twenty one conformers of lowest energy were obtained,
their electronic distributions were analyzed at a higher calculation level, thus
improving the basis set (B3LYP/6-311++G**). A topological study based on Bader's
theory ( AIM: atoms in molecules) and natural bond orbital (NBO) framework was
performed. The study was enriched by a deep analysis of maps of molecular
electrostatic potential (MEP) through a coordinated NBO/AIM analysis. The
conformational preferences were explained by hyperconjugative interactions, which
were revealed by NBO data. Because radical scavenging by indolic compounds is
strongly modulated by their functional residues our study was related to similar
analysis done previously on Indole and 1H-indole-3-acetic acid (IAA). Therefore,
the conformational space of TRA was studied from a new perspective focusing on a
deep analysis of the geometric and electronic properties of TRA conformers. The
changes of the electronic distribution introduced by the substituent and the
conformational flexibility of the side chain were addressed. The results reported
contribute to the understanding of the structure, stability and reactivity of TRA
and others indole derivatives.
PMID- 22072378
TI - Astaxanthin increases choroidal blood flow velocity.
AB - PURPOSE: Previous studies have reported that astaxanthin (AXT) has antioxidative
and anti-inflammatory effects in addition to its ability to shorten blood transit
times. As laser speckle flowgraphy (LSFG) can noninvasively visualize the
hemodynamics of the choroidal circulation, we used the technique to evaluate
whether continuous ingestion of 12 mg of AXT per day could increase quantitative
blood flow velocity. METHODS: In this randomized, double-blind, placebo
controlled study, we examined 20 healthy volunteers who ingested 12 mg AXT or
placebo capsules over a 4-week period. LSFG was measured in the right eyes of all
subjects at pre-ingestion, and at 2 and 4 weeks after the treatment of AXT. LSFG
values were used to calculate the square blur rate (SBR), which is a quantitative
index of relative blood flow velocity. RESULTS: A significant increase of the
macular SBR was seen 4 weeks after AXT ingestion when compared to the pre
ingestion values (Wilcoxon signed-rank test, P = 0.018). In contrast, no
statistical difference in the macular SBR was detected in the placebo group
(Friedman test, P = 0.598). No subjective or objective adverse events were found
after the 12-mg AXT ingestion. CONCLUSIONS: Results suggest that administration
of AXT over a 4-week period can elevate the choroidal blood flow velocity without
any adverse effects.
PMID- 22072380
TI - [MRSA & Co.: Spread of new "superbugs"].
PMID- 22072381
TI - Identification of a microglia phenotype supportive of remyelination.
AB - In multiple sclerosis, endogenous oligodendrocyte precursor cells (OPCs) attempt
to remyelinate areas of myelin damage. During disease progression, however, these
attempts fail. It has been suggested that modulating the inflammatory environment
of the lesion might provide a promising therapeutic approach to promote
endogenous remyelination. Microglia are known to play a central role in
neuroinflammatory processes. To investigate the microglia phenotype that supports
remyelination, we performed genome-wide gene expression analysis of microglia
from the corpus callosum during demyelination and remyelination in the mouse
cuprizone model, in which remyelination spontaneously occurs after an episode of
toxin-induced primary demyelination. We provide evidence for the existence of a
microglia phenotype that supports remyelination already at the onset of
demyelination and persists throughout the remyelination process. Our data show
that microglia are involved in the phagocytosis of myelin debris and apoptotic
cells during demyelination. Furthermore, they express a cytokine and chemokine
repertoire enabling them to activate and recruit endogenous OPCs to the lesion
site and deliver trophic support during remyelination. This study not only
provides a detailed transcriptomic analysis of the remyelination-supportive
microglia phenotype but also reinforces the notion that the primary function of
microglia is the maintenance of tissue homeostasis and the support of
regeneration already at the earliest stages in the development of demyelinating
lesions.
PMID- 22072383
TI - NARWHAL, a primary analysis pipeline for NGS data.
AB - The NARWHAL software pipeline has been developed to automate the primary analysis
of Illumina sequencing data. This pipeline combines a new and flexible de
multiplexing tool with open-source aligners and automated quality assessment. The
entire pipeline can be run using only one simple sample-sheet for diverse
sequencing applications. NARWHAL creates a sample-oriented data structure and
outperforms existing tools in speed. AVAILABILITY: https://trac.nbic.nl/narwhal/.
PMID- 22072382
TI - Epigenetic priors for identifying active transcription factor binding sites.
AB - MOTIVATION: Accurate knowledge of the genome-wide binding of transcription
factors in a particular cell type or under a particular condition is necessary
for understanding transcriptional regulation. Using epigenetic data such as
histone modification and DNase I, accessibility data has been shown to improve
motif-based in silico methods for predicting such binding, but this approach has
not yet been fully explored. RESULTS: We describe a probabilistic method for
combining one or more tracks of epigenetic data with a standard DNA sequence
motif model to improve our ability to identify active transcription factor
binding sites (TFBSs). We convert each data type into a position-specific
probabilistic prior and combine these priors with a traditional probabilistic
motif model to compute a log-posterior odds score. Our experiments, using histone
modifications H3K4me1, H3K4me3, H3K9ac and H3K27ac, as well as DNase I
sensitivity, show conclusively that the log-posterior odds score consistently
outperforms a simple binary filter based on the same data. We also show that our
approach performs competitively with a more complex method, CENTIPEDE, and
suggest that the relative simplicity of the log-posterior odds scoring method
makes it an appealing and very general method for identifying functional TFBSs on
the basis of DNA and epigenetic evidence. AVAILABILITY AND IMPLEMENTATION: FIMO,
part of the MEME Suite software toolkit, now supports log-posterior odds scoring
using position-specific priors for motif search. A web server and source code are
available at http://meme.nbcr.net. Utilities for creating priors are at
http://research.imb.uq.edu.au/t.bailey/SD/Cuellar2011. CONTACT:
t.bailey@uq.edu.au SUPPLEMENTARY INFORMATION: Supplementary data are available at
Bioinformatics online.
PMID- 22072384
TI - Using Poisson mixed-effects model to quantify transcript-level gene expression in
RNA-Seq.
AB - MOTIVATION: RNA sequencing (RNA-Seq) is a powerful new technology for mapping and
quantifying transcriptomes using ultra high-throughput next-generation sequencing
technologies. Using deep sequencing, gene expression levels of all transcripts
including novel ones can be quantified digitally. Although extremely promising,
the massive amounts of data generated by RNA-Seq, substantial biases and
uncertainty in short read alignment pose challenges for data analysis. In
particular, large base-specific variation and between-base dependence make simple
approaches, such as those that use averaging to normalize RNA-Seq data and
quantify gene expressions, ineffective. RESULTS: In this study, we propose a
Poisson mixed-effects (POME) model to characterize base-level read coverage
within each transcript. The underlying expression level is included as a key
parameter in this model. Since the proposed model is capable of incorporating
base-specific variation as well as between-base dependence that affect read
coverage profile throughout the transcript, it can lead to improved
quantification of the true underlying expression level. AVAILABILITY AND
IMPLEMENTATION: POME can be freely downloaded at
http://www.stat.purdue.edu/~yuzhu/pome.html. CONTACT: yuzhu@purdue.edu;
zhaohui.qin@emory.edu SUPPLEMENTARY INFORMATION: Supplementary data are available
at Bioinformatics online.
PMID- 22072385
TI - MR-Tandem: parallel X!Tandem using Hadoop MapReduce on Amazon Web Services.
AB - SUMMARY: MR-Tandem adapts the popular X!Tandem peptide search engine to work with
Hadoop MapReduce for reliable parallel execution of large searches. MR-Tandem
runs on any Hadoop cluster but offers special support for Amazon Web Services for
creating inexpensive on-demand Hadoop clusters, enabling search volumes that
might not otherwise be feasible with the compute resources a researcher has at
hand. MR-Tandem is designed to drop in wherever X!Tandem is already in use and
requires no modification to existing X!Tandem parameter files, and only minimal
modification to X!Tandem-based workflows. AVAILABILITY AND IMPLEMENTATION: MR
Tandem is implemented as a lightly modified X!Tandem C++ executable and a Python
script that drives Hadoop clusters including Amazon Web Services (AWS) Elastic
Map Reduce (EMR), using the modified X!Tandem program as a Hadoop Streaming
mapper and reducer. The modified X!Tandem C++ source code is Artistic licensed,
supports pluggable scoring, and is available as part of the Sashimi project at
http://sashimi.svn.sourceforge.net/viewvc/sashimi/trunk/trans_proteomic_pipeline/
xtern/xtandem/. The MR-Tandem Python script is Apache licensed and available as
part of the Insilicos Cloud Army project at
http://ica.svn.sourceforge.net/viewvc/ica/trunk/mr-tandem/. Full documentation
and a windows installer that configures MR-Tandem, Python and all necessary
packages are available at this same URL. CONTACT: brian.pratt@insilicos.com
PMID- 22072386
TI - Wavelet-based image fusion in multi-view three-dimensional microscopy.
AB - MOTIVATION: Multi-view microscopy techniques such as Light-Sheet Fluorescence
Microscopy (LSFM) are powerful tools for 3D + time studies of live embryos in
developmental biology. The sample is imaged from several points of view,
acquiring a set of 3D views that are then combined or fused in order to overcome
their individual limitations. Views fusion is still an open problem despite
recent contributions in the field. RESULTS: We developed a wavelet-based multi
view fusion method that, due to wavelet decomposition properties, is able to
combine the complementary directional information from all available views into a
single volume. Our method is demonstrated on LSFM acquisitions from live sea
urchin and zebrafish embryos. The fusion results show improved overall contrast
and details when compared with any of the acquired volumes. The proposed method
does not need knowledge of the system's point spread function (PSF) and performs
better than other existing PSF independent fusion methods. AVAILABILITY AND
IMPLEMENTATION: The described method was implemented in Matlab (The Mathworks,
Inc., USA) and a graphic user interface was developed in Java. The software,
together with two sample datasets, is available at
http://www.die.upm.es/im/software/SPIMFusionGUI.zip A public release, free of
charge for non-commercial use, is planned after the publication of this article.
PMID- 22072387
TI - Fast computation of minimum hybridization networks.
AB - MOTIVATION: Hybridization events in evolution may lead to incongruent gene trees.
One approach to determining possible interspecific hybridization events is to
compute a hybridization network that attempts to reconcile incongruent gene trees
using a minimum number of hybridization events. RESULTS: We describe how to
compute a representative set of minimum hybridization networks for two given
bifurcating input trees, using a parallel algorithm and provide a user-friendly
implementation. A simulation study suggests that our program performs
significantly better than existing software on biologically relevant data.
Finally, we demonstrate the application of such methods in the context of the
evolution of the Aegilops/Triticum genera. AVAILABILITY AND IMPLEMENTATION: The
algorithm is implemented in the program Dendroscope 3, which is freely available
from www.dendroscope.org and runs on all three major operating systems.
PMID- 22072388
TI - Decompositions of large-scale biological systems based on dynamical properties.
AB - MOTIVATION: Given a large-scale biological network represented as an influence
graph, in this article we investigate possible decompositions of the network
aimed at highlighting specific dynamical properties. RESULTS: The first
decomposition we study consists in finding a maximal directed acyclic subgraph of
the network, which dynamically corresponds to searching for a maximal open-loop
subsystem of the given system. Another dynamical property investigated is strong
monotonicity. We propose two methods to deal with this property, both aimed at
decomposing the system into strongly monotone subsystems, but with different
structural characteristics: one method tends to produce a single large strongly
monotone component, while the other typically generates a set of smaller disjoint
strongly monotone subsystems. AVAILABILITY: Original heuristics for the methods
investigated are described in the article. CONTACT: altafini@sissa.it
PMID- 22072390
TI - The X-linked retinitis pigmentosa protein RP2 facilitates G protein traffic.
AB - The X-linked retinitis pigmentosa protein RP2 is a GTPase activating protein
(GAP) for the small GTPase Arl3 and both proteins are implicated in the traffic
of proteins to the primary cilia. Here, we show that RP2 can facilitate the
traffic of the Gbeta subunit of transducin (Gbeta1). Glutathione S-transferase
(GST)-RP2 pulled down Gbeta from retinal lysates and the interaction was specific
to Gbeta1, as Gbeta3 or Gbeta5L did not bind RP2. RP2 did not appear to interact
with the Gbeta:Ggamma heterodimer, in contrast Ggamma1 competed with RP2 for
Gbeta binding. Overexpression of Gbeta1 in SK-N-SH cells led to a cytoplasmic
accumulation of Gbeta1, while co-expression of RP2 or Ggamma1 with Gbeta1
restored membrane association of Gbeta1. Furthermore, RP2 small interfering RNA
in ARPE19 cells resulted in a reduction in Gbeta1 membrane association that was
rescued by Ggamma1 overexpression. The interaction of RP2 with Gbeta1 required
RP2 N-terminal myristolyation and the co-factor C (TBCC) homology domain. The
interaction was also disrupted by the pathogenic mutation R118H, which blocks
Arl3 GAP activity. Interestingly, Arl3-Q71L competed with Gbeta1 for RP2 binding,
suggesting that Arl3-GTP binding by RP2 would release Gbeta1. RP2 also stimulated
the association of Gbeta1 with Rab11 vesicles. Collectively, the data support a
role for RP2 in facilitating the membrane association and traffic of Gbeta1,
potentially prior to the formation of the obligate Gbeta:Ggamma heterodimer.
Combined with other recent evidence, this suggests that RP2 may co-operate with
Arl3 and its effectors in the cilia-associated traffic of G proteins.
PMID- 22072391
TI - The BH4 domain of Bcl-X(L) rescues astrocyte degeneration in amyotrophic lateral
sclerosis by modulating intracellular calcium signals.
AB - Collective evidence indicates that motor neuron degeneration in amyotrophic
lateral sclerosis (ALS) is non-cell-autonomous and requires the interaction with
the neighboring astrocytes. Recently, we reported that a subpopulation of spinal
cord astrocytes degenerates in the microenvironment of motor neurons in the
hSOD1(G93A) mouse model of ALS. Mechanistic studies in vitro identified a role
for the excitatory amino acid glutamate in the gliodegenerative process via the
activation of its inositol 1,4,5-triphosphate (IP(3))-generating metabotropic
receptor 5 (mGluR5). Since non-physiological formation of IP(3) can prompt IP(3)
receptor (IP(3)R)-mediated Ca(2+) release from the intracellular stores and
trigger various forms of cell death, here we investigated the intracellular
Ca(2+) signaling that occurs downstream of mGluR5 in hSOD1(G93A)-expressing
astrocytes. Contrary to wild-type cells, stimulation of mGluR5 causes aberrant
and persistent elevations of intracellular Ca(2+) concentrations ([Ca(2+)](i)) in
the absence of spontaneous oscillations. The interaction of IP(3)Rs with the anti
apoptotic protein Bcl-X(L) was previously described to prevent cell death by
modulating intracellular Ca(2+) signals. In mutant SOD1-expressing astrocytes, we
found that the sole BH4 domain of Bcl-X(L), fused to the protein transduction
domain of the HIV-1 TAT protein (TAT-BH4), is sufficient to restore sustained
Ca(2+) oscillations and cell death resistance. Furthermore, chronic treatment of
hSOD1(G93A) mice with the TAT-BH4 peptide reduces focal degeneration of
astrocytes, slightly delays the onset of the disease and improves both motor
performance and animal lifespan. Our results point at TAT-BH4 as a novel
glioprotective agent with a therapeutic potential for ALS.
PMID- 22072392
TI - A novel tyrosine kinase inhibitor restores chondrocyte differentiation and
promotes bone growth in a gain-of-function Fgfr3 mouse model.
AB - Activating germline fibroblast growth factor receptor 3 (FGFR3) mutations cause
achondroplasia (ACH), the most common form of human dwarfism and a spectrum of
skeletal dysplasias. FGFR3 is a tyrosine kinase receptor and constitutive FGFR3
activation impairs endochondral ossification and triggers severe disorganization
of the cartilage with shortening of long bones. To decipher the role of FGFR3 in
endochondral ossification, we analyzed the impact of a novel tyrosine kinase
inhibitor (TKI), A31, on both human and mouse mutant FGFR3-expressing cells and
on the skeleton of Fgfr3(Y367C/+) dwarf mice. We found that A31 inhibited
constitutive FGFR3 phosphorylation and restored the size of embryonic dwarf
femurs using an ex vivo culture system. The increase in length of the treated
mutant femurs was 2.6 times more than for the wild-type. Premature cell cycle
exit and defective chondrocyte differentiation were observed in the
Fgfr3(Y367C/+) growth plate. A31 restored normal expression of cell cycle
regulators (proliferating cell nuclear antigen, KI67, cyclin D1 and p57) and
allowed pre-hypertrophic chondrocytes to properly differentiate into hypertrophic
chondocytes. Our data reveal a specific role for FGFR3 in the cell cycle and
chondrocyte differentiation and support the development of TKIs for the treatment
of FGFR3-related chondrodysplasias.
PMID- 22072394
TI - Abstracts of the 2011 Advances in Inflammatory Bowel Diseases Crohn's & Colitis
Foundation's National Clinical & Research Conference. December 1-3, 2011.
Hollywood, Florida, USA.
PMID- 22072395
TI - Platinum nanoparticle-facilitated reflective surfaces for non-contact temperature
control in microfluidic devices for PCR amplification.
AB - The polymerase chain reaction (PCR) is critical for amplification of target
sequences of DNA or RNA that have clinical, biological or forensic relevance.
While extrinsic Fabry-Perot interferometry (EFPI) has been shown to be adequate
for non-contact temperature sensing, the difficulty in defining a reflective
surface that is semi-reflective, non-reactive for PCR compatibility and adherent
for thermal bonding has limited its exploitation. Through the incorporation of a
reflective surface fabricated using a thermally driven self-assembly of a
platinum nanoparticle monolayer on the surface of the microfluidic chamber, an
enhanced EFPI signal results, allowing for non-contact microfluidic temperature
control instrumentation that uses infrared-mediated heating, convective forced
air cooling, and interferometic temperature sensing. The interferometer is
originally calibrated with a miniature copper-constantan thermocouple in the PCR
chamber resulting in temperature sensitivities of -22.0 to -32.8 nm. degrees C(
1), depending on the chamber depth. This universal calibration enables accurate
temperature control in any device with arbitrary dimensions, thereby allowing
versatility in various applications. Uniquely, this non-contact temperature
control for PCR thermocycling is applied to the amplification of STR loci for
human genetic profiling, where nine STR loci are successfully amplified for human
identification using the EFPI-based non-contact thermocycling.
PMID- 22072393
TI - Gene-gene interactions in breast cancer susceptibility.
AB - There have been few definitive examples of gene-gene interactions in humans.
Through mutational analyses in 7325 individuals, we report four interactions
(defined as departures from a multiplicative model) between mutations in the
breast cancer susceptibility genes ATM and CHEK2 with BRCA1 and BRCA2 (case-only
interaction between ATM and BRCA1/BRCA2 combined, P = 5.9 * 10(-4); ATM and
BRCA1, P= 0.01; ATM and BRCA2, P= 0.02; CHEK2 and BRCA1/BRCA2 combined, P = 2.1 *
10(-4); CHEK2 and BRCA1, P= 0.01; CHEK2 and BRCA2, P= 0.01). The interactions are
such that the resultant risk of breast cancer is lower than the multiplicative
product of the constituent risks, and plausibly reflect the functional
relationships of the encoded proteins in DNA repair. These findings have
important implications for models of disease predisposition and clinical
translation.
PMID- 22072397
TI - Reduced cortical bone compositional heterogeneity with bisphosphonate treatment
in postmenopausal women with intertrochanteric and subtrochanteric fractures.
AB - Reduction of bone turnover with bisphosphonate treatment alters bone mineral and
matrix properties. Our objective was to investigate the effect of bisphosphonate
treatment on bone tissue properties near fragility fracture sites in the proximal
femur in postmenopausal women with osteoporosis. The mineral and collagen
properties of corticocancellous biopsies from the proximal femur were compared in
bisphosphonate-naive (-BIS, n = 20) and bisphosphonate-treated (+BIS, n = 20,
duration 7 +/- 5 years) patients with intertrochanteric (IT) and subtrochanteric
(ST) fractures using Fourier transform infrared imaging (FTIRI). The mean values
of the FTIRI parameter distributions were similar across groups, but the widths
of the parameter distributions tended to be reduced in the +BIS group relative to
the -BIS group. Specifically, the widths of the cortical collagen maturity and
crystallinity were reduced in the +BIS group relative to those of the -BIS group
by 28% (+BIS 0.45 +/- 0.18 versus -BIS 0.63 +/- 0.28, p = 0.03) and 17% (+BIS
0.087 +/- 0.012 versus -BIS 0.104 +/- 0.036, p = 0.05), respectively. When the
tissue properties were examined as a function of fracture morphology within the
+BIS group, the FTIR parameters were generally similar regardless of fracture
morphology. However, the cortical mineral:matrix ratio was 8% greater in tissue
from patients with atypical ST fractures (n = 6) than that of patients with
typical (IT or spiral ST) fractures (n = 14) (Atypical 5.6 +/- 0.3 versus Typical
5.2 +/- 0.5, p = 0.03). Thus, although the mean values of the FTIR properties
were similar in both groups, the tissue in bisphosphonate-treated patients had a
more uniform composition than that of bisphosphonate-naive patients. The observed
reductions in mineral and matrix heterogeneity may diminish tissue-level
toughening mechanisms.
PMID- 22072398
TI - Hydrogels in acellular and cellular strategies for intervertebral disc
regeneration.
AB - Low back pain is an extremely common illness syndrome that causes patient
suffering and disability and requires urgent solutions to improve the quality of
life of these patients. Treatment options aimed to regenerate the intervertebral
disc (IVD) are still under development. The cellular complexity of IVD, and
consequently its fine regulatory system, makes it a challenge to the scientific
community. Biomaterials-based therapies are the most interesting solutions to
date, whereby tissue engineering and regenerative medicine (TE&RM) strategies are
included. By using such strategies, i.e., combining biomaterials, cells, and
biomolecules, the ultimate goal of reaching a complete integration between native
and neo-tissue can be achieved. Hydrogels are promising materials for restoring
IVD, mainly nucleus pulposus (NP). This study presents an overview of the use of
hydrogels in acellular and cellular strategies for intervertebral disc
regeneration. To better understand IVD and its functioning, this study will focus
on several aspects: anatomy, pathophysiology, cellular and biomolecular
performance, intrinsic healing processes, and current therapies. In addition, the
application of hydrogels as NP substitutes will be addressed due to their
similarities to NP mechanical properties and extracellular matrix. These
hydrogels can be used in cellular strategies when combined with cells from
different sources, or in acellular strategies by performing the functionalization
of the hydrogels with biomolecules. In addition, a brief summary of therapies
based on simple injection for primary biological repair will be examined.
Finally, special emphasis will focus on reviewing original studies reporting on
the use of autologous cells and biomolecules such as platelet-rich plasma and
their potential clinical applications.
PMID- 22072399
TI - Phase I clinical, pharmacokinetic, and pharmacodynamic study of KOS-862
(Epothilone D) in patients with advanced solid tumors and lymphoma.
AB - PURPOSE: To determine the maximum tolerated dose and safety of the epothilone,
KOS-862, in patients with advanced solid tumors or lymphoma. PATIENTS AND
METHODS: Patients were treated weekly for 3 out of 4 weeks (Schedule A) or 2 out
of 3 weeks (Schedule B) with KOS-862 (16-120 mg/m(2)). Pharmacokinetic (PK)
sampling was performed during cycles 1 and 2; pharmacodynamic (PD) assessment for
microtubule bundle formation (MTBF) was performed after the 1st dose, only at or
above 100 mg/m(2). RESULTS: Thirty-two patients were enrolled, and twenty-nine
completed >=1 cycle of therapy. Dose limiting toxicity [DLT] was observed at 120
mg/m(2). PK data were linear from 16 to 100 mg/m(2), with proportional increases
in mean C(max) and AUC(tot) as a function of dose. Full PK analysis (mean +/- SD)
at 100 mg/m(2) revealed the following: half-life (t (1/2)) = 9.1 +/- 2.2 h;
volume of distribution (V(z)) = 119 +/- 41 L/m(2); clearance (CL) = 9.3 +/- 3.2
L/h/m(2). MTBF (n = 9) was seen in 40% of PBMCs within 1 h and in 15% of PBMC at
24-hours post infusion at 100 mg/m(2). Tumor shrinkage (n = 2, lymphoma), stable
disease >3 months (n = 5, renal, prostate, oropharynx, cholangiocarcinoma, and
Hodgkin lymphoma), and tumor marker reductions (n = 1, colorectal cancer/CEA)
were observed. CONCLUSION: KOS-862 was well tolerated with manageable toxicity,
favorable PK profile, and the suggestion of clinical activity. The maximum
tolerated dose was determined to be 100 mg/m(2) weekly 3-on/1-off. MTBF can be
demonstrated in PBMCs of patients exposed to KOS-862.
PMID- 22072400
TI - Paracetamol overdose: the liver unit perspective.
AB - Liver failure resulting from deliberate or accidental paracetamol overdose
continues to be an important reason for referral to liver transplant centres.
Severe hepatic dysfunction often appears 72-96 h after overdose. Liver injury can
be prevented by timely administration of the specific antidote, N-acetylcysteine.
Unfortunately, administration of N-acetylcysteine is frequently delayed due to
late presentation or late administration. While N-acetylcysteine works best if
given within 8 h of overdose, it is beneficial at any time period and should
always be given if there is concern about significant overdose, irrespective of
interval from time of ingestion. Early discussion with liver transplant unit is
suggested if there is any doubt or evidence of liver failure.
PMID- 22072396
TI - Inhibitory synaptic regulation of motoneurons: a new target of disease mechanisms
in amyotrophic lateral sclerosis.
AB - Amyotrophic lateral sclerosis (ALS) is the third most common adult-onset
neurodegenerative disease. It causes the degeneration of motoneurons and is fatal
due to paralysis, particularly of respiratory muscles. ALS can be inherited, and
specific disease-causing genes have been identified, but the mechanisms causing
motoneuron death in ALS are not understood. No effective treatments exist for
ALS. One well-studied theory of ALS pathogenesis involves faulty RNA editing and
abnormal activation of specific glutamate receptors as well as failure of
glutamate transport resulting in glutamate excitotoxicity; however, the
excitotoxicity theory is challenged by the inability of anti-glutamate drugs to
have major disease-modifying effects clinically. Nevertheless, hyperexcitability
of upper and lower motoneurons is a feature of human ALS and transgenic (tg)
mouse models of ALS. Motoneuron excitability is strongly modulated by synaptic
inhibition mediated by presynaptic glycinergic and GABAergic innervations and
postsynaptic glycine receptors (GlyR) and GABA(A) receptors; yet, the integrity
of inhibitory systems regulating motoneurons has been understudied in
experimental models, despite findings in human ALS suggesting that they may be
affected. We have found in tg mice expressing a mutant form of human superoxide
dismutase-1 (hSOD1) with a Gly93 -> Ala substitution (G93A-hSOD1), causing
familial ALS, that subsets of spinal interneurons degenerate. Inhibitory
glycinergic innervation of spinal motoneurons becomes deficient before motoneuron
degeneration is evident in G93A-hSOD1 mice. Motoneurons in these ALS mice also
have insufficient synaptic inhibition as reflected by smaller GlyR currents,
smaller GlyR clusters on their plasma membrane, and lower expression of
GlyR1alpha mRNA compared to wild-type motoneurons. In contrast, GABAergic
innervation of ALS mouse motoneurons and GABA(A) receptor function appear normal.
Abnormal synaptic inhibition resulting from dysfunction of interneurons and
motoneuron GlyRs is a new direction for unveiling mechanisms of ALS pathogenesis
that could be relevant to new therapies for ALS.
PMID- 22072401
TI - Instrumented circumferential fusion for tuberculosis of the dorso-lumbar spine. A
single or double stage procedure?
AB - PURPOSE: The purpose of this study was to present our experience in treating
dorso-lumbar tuberculosis by one-stage posterior circumferential fusion and to
compare this group with a historical group treated by anterior debridement
followed by postero-lateral fusion and stabilization. METHODS: Between 2003 and
2008, 32 patients with active spinal tuberculosis were treated by one-stage
posterior circumferential fusion and prospectively followed for a minimum of two
years. Pain severity was measured using Visual Analogue Scale (VAS). Neurological
assessment was done using the Frankel scale. The operative data, clinical,
radiological, and functional outcomes were also compared to a similar group of 25
patients treated with anterior debridement and fusion, followed 10-14 days later
by posterior stabilization and postero-lateral fusion. RESULTS: The mean
operative time and duration of hospital stay were significantly longer in the two
stage group. The mean estimated blood loss was also larger, though
insignificantly, in the two-stage group. The incidence of complications was
significantly lower in the one-stage group. At final follow-up, all 34 patients
with pre-operative neurological deficits showed at least one Frankel grade of
neurological improvement, all 57 patients showed significant improvement of their
VAS back pain score, the mean kyphotic angle has significantly improved, all
patients achieved solid fusion and 43 (75.4%) patients returned to their pre
disease activity level or work. CONCLUSION: Instrumented circumferential fusion,
whether in one or two stages, is an effective treatment for dorso-lumbar
tuberculosis. One-stage surgery, however, is advantageous because it has lower
complication rate, shorter hospital stay, less operative time and blood loss.
PMID- 22072402
TI - High frequency of BTG1 deletions in acute lymphoblastic leukemia in children with
down syndrome.
AB - Previous cytogenetic studies of myeloid and acute lymphoblastic leukemias in
children with Down syndrome (ML-DS and DS-ALL) have revealed significant
differences in abnormality patterns between such cases and acute leukemias in
general. Also, certain molecular genetic aberrations characterize DS-related
leukemias, such as GATA1 mutations in ML-DS and deregulation of the CRLF2 gene in
DS-ALL. Whether microdeletions/microduplications also vary between DS and non-DS
cases is presently unclear. To address this issue, we performed single nucleotide
polymorphism array analyses of eight pediatric ML-DS and 17 B-cell precursor DS
ALL. In the ML-DS cases, a total of 29 imbalances (20 gains and nine losses) and
two partial uniparental isodisomies (pUPDs) were detected. None of the 11 small
(defined as <10 Mb) imbalances were recurrent, nor were the pUPDs, whereas of the
18 large aberrations, three were recurrent-dup(1q), +8 and +21. In contrast,
several frequent changes were identified in the DS-ALL cases, which harbored 82
imbalances (30 gains and 52 losses) and four pUPDs. Of the 40 large changes, 28
were gains and 12 losses, with +X, dup(Xq), dup(1q), del(7p), dup(8q), del(9p),
dup(9p), del(12p), dup(17q), and +21 being recurrent. Of the 40 microdeletions
identified, several targeted specific genes, with the following being repeatedly
deleted: BTG1 and CDKN2A/B (29% of cases), ETV6, IKZF1, PAX5 and SERP2 (18%), and
BTLA, INPP4B, P2RY8, and RB1 (12%). Loss of the SERP2 and INPP4B genes, encoding
the stress-associated endoplasmic reticulum protein family member 2 and the
inositol polyphosphate 4-phosphatase-II, respectively, has previously never been
implicated in leukemia. Although deletions of the other genes have been
associated with ALL, the high frequency of BTG1 loss is a novel finding. Such
deletions may characterize a clinical subgroup of DS-ALL, comprising mainly boys
with a high median age. In conclusion, ML-DS and DS-ALL are genetically distinct,
with mainly gains in ML-DS and deletions in DS-ALL. Furthermore, DS-ALL is
characterized by several recurrent gene deletions, with BTG1 loss being
particularly frequent.
PMID- 22072403
TI - Critical appraisal of the provisional DSM-5 criteria for anorexia nervosa and an
alternative proposal.
AB - DSM-V will be highly influential in shaping conceptions and perceptions of eating
disorders by the lay public, patients, and health care providers over the next 10
15 years. DSM not only influences how medical and mental health care
professionals diagnose and treat patients but also impacts health insurance
policies, research funding, and clinical trials. Revisions to diagnostic criteria
must be carefully considered, empirically based, and consistent with current
thinking both within the field and across relevant fields. Resultant criteria
should be descriptive and non-judgmental and based on empirical findings without
recourse to assumed etiologies. In this forum, we review problems with the
current DSM IV diagnostic criteria, concerns with the proposed DSM-5 diagnostic
criteria, and present an alternative classification scheme for anorexia nervosa
(AN), which more accurately captures the phenomenology of the disorder and is
congruent in terminology with other fields of biomedicine.
PMID- 22072404
TI - An investigation of the joint longitudinal trajectories of low body weight, binge
eating, and purging in women with anorexia nervosa and bulimia nervosa.
AB - OBJECTIVES: To describe the longitudinal course of three core eating disorder
symptoms-low body weight, binge eating, and purging-in women with anorexia
nervosa (AN) and bulimia nervosa (BN) using a novel statistical approach. METHOD:
Treatment-seeking women with AN (n = 136) or BN (n = 110) completed the Eating
Disorders Longitudinal Interval Follow-Up Evaluation interview every 6 months,
yielding weekly eating disorder symptom data for a 5-year period. Semiparametric
mixture modeling was used to identify longitudinal trajectories for the three
core symptoms. RESULTS: Four individual trajectories were identified for each
eating disorder symptom. The number and general shape of the individual
trajectories was similar across symptoms, with each model including trajectories
depicting stable absence and stable presence of symptoms as well as one or more
trajectories depicting the declining presence of symptoms. Unique trajectories
were found for low body weight (fluctuating presence) and purging (increasing
presence). Conjunction analyses yielded the following joint trajectories: low
body weight and binge eating, low body weight and purging, and binge eating and
purging. DISCUSSION: The course of individual eating disorder symptoms among
patients with AN and BN is highly variable. Future research identifying clinical
predictors of trajectory membership may inform treatment and nosological
research.
PMID- 22072405
TI - Therapeutic factors affecting the cognitive behavioral treatment of bulimia
nervosa via telemedicine versus face-to-face delivery.
AB - OBJECTIVE: Recently, Mitchell et al. (Behav Res Ther, 46, 581-592, 2008)
conducted a randomized controlled trial of an empirically supported treatment for
bulimia nervosa (BN) delivered face-to-face (FTF-CBT) or via telemedicine (TV
CBT). Results suggested that the TV-CBT and FTF-CBT were generally equivalent in
effectiveness. The objective of the current study was to examine ratings of
therapeutic alliance factors in TV-CBT and FTF-CBT. METHOD: Data obtained from
116 adults who met criteria for BN or eating disorder-not otherwise specified
with binge eating or purging weekly and six doctoral-level psychologists who
delivered the therapy were used in the analyses. RESULTS: Therapists generally
endorsed greater differences between the treatment delivery methods than
patients. Patients tended to make significantly higher ratings of therapeutic
factors than therapists. DISCUSSION: TV-CBT is an acceptable method for the
delivery of BN treatment compared to FTF-CBT, and TV-CBT is more easily accepted
as a treatment delivery method by patients than therapists.
PMID- 22072406
TI - Eating disorders in youth: diagnostic variability and predictive validity.
AB - OBJECTIVE: The primary aim was to examine the utility of DSM-IV criteria in
predicting treatment outcome in a sample of adolescents with eating disorders.
METHOD: We (a) descriptively compared the baseline rates of anorexia nervosa (AN)
and bulimia nervosa (BN) across multiple reference points for diagnostic
criteria, (b) using ROC curve analyses, assessed the sensitivity and specificity
of each diagnostic criterion in predicting clinical outcome, and (c) with
logistic regression analyses, examined the incremental predictive value of each
criterion. RESULTS: Results show a high degree of variability in the baseline
diagnostic profiles as a function of the information used to inform each DSM-IV
criterion. For AN, Criterion A yielded the best predictive validity, with
Criteria B-D providing no significant incremental value. For BN, none of the
measures had a significant AUC, and results from logistic regression analyses
showed that none of the indicators were robust in predicting outcome. DISCUSSION:
For AN, the existing Criterion A is appropriate for children and adolescents, and
is sufficient to predict outcome in the context of active refusal to maintain a
normal weight as well as multiple informants and behavioral indicators of the
psychological aspects of AN. For BN, predictive validity could not be
established.
PMID- 22072407
TI - Consent to treatment in adolescents with anorexia nervosa.
AB - OBJECTIVE: This study examined the ability of adolescents with anorexia nervosa
(AN) to make treatment decisions. METHOD: The MacArthur Competence Assessment
Tool-Treatment (MacCAT-T) was used to compare the decision making abilities of 35
adolescents with AN who were receiving inpatient treatment with that of 40
healthy, community-based adolescents. Vignettes of both a medical and psychiatric
illness were provided, requiring participants to work through the process of
making a hypothetical treatment decision. The MacCAT-T was also administered to
participants with AN to examine decision-making about their own illness, which
allowed for comparison of competencies across contexts. RESULTS: Group
differences were found, with the community group showing superior reasoning
skills to the adolescents with AN. DISCUSSION: The results provide evidence to
suggest that adolescents with AN tend toward a thinking disposition that is
concrete and lacking in abstract reasoning and reflection, which may negatively
affect their ability to reason about treatment options.
PMID- 22072408
TI - An off-line pilot evaluation of a web-based systemic cognitive-behavioral
intervention for carers of people with anorexia nervosa.
AB - OBJECTIVE: To evaluate the feasibility and acceptability of a novel systemic
cognitive behavior therapy-based intervention for carers of people with anorexia
nervosa (AN). The intervention provides information and promotes skills
development in managing the illness. Carers were also offered professional
support. METHOD: Twenty-seven carers were recruited. Outcomes measuring carer
distress, experience of care-giving, level of expressed emotion and problem
solving were measured pre- and post-intervention and at follow-up. Carers also
gave feedback. RESULTS: There was a significant reduction in carers' anxiety and
depression, negative experiences of caregiving and expressed emotion and a
significant increase in positive experiences in caregiving after the
intervention. Most improvements were maintained at follow-up. The intervention
was well received. DISCUSSION: The results of this pilot study indicate that the
intervention is acceptable to carers and may have a positive impact on carers'
mental health and experience of care-giving. Further investigation of the
intervention is warranted.
PMID- 22072409
TI - Emotion contagion moderates the relationship between emotionally-negative
families and abnormal eating behavior.
AB - OBJECTIVE: To reconcile empirical inconsistencies in the relationship between
emotionally-negative families and daughters' abnormal eating, we hypothesized a
critical moderating variable: daughters' vulnerability to emotion contagion.
METHOD: A nonclinical sample of undergraduate females (N = 92) was recruited via
an advertisement and completed self-report measures validated for assessing:
families' expressive negativity, daughters' susceptibility to emotion contagion,
dietary restraint, and disinhibition, eating attitudes, and several control
variables (interpersonal orientation, alexithymia, and the big five personality
traits: extraversion, conscientiousness, openness, neuroticism, and
agreeableness). RESULTS: All variables and interactions were entered as
predictors in a multistep multiple regression equation. Only an emotion contagion
by family expressivity interaction term significantly predicted unhealthy eating
attitudes (beta = .29, p = .02) and dietary restraint (beta = .27, p = .03).
Negatively expressive families significantly induced unhealthy eating and
restraint but only among young women susceptible to emotion contagion (ps < .05).
DISCUSSION: Young women susceptible to emotion contagion may be at increased risk
for eating disorders.
PMID- 22072410
TI - Dissatisfaction versus over-evaluation in a general population sample of women.
AB - OBJECTIVE: We compared levels of eating disorder psychopathology, general
psychological distress and impairment in role functioning among subgroups of
women, recruited from a large, general population sample, who reported
dissatisfaction with weight or shape but not over-evaluation of weight or shape
(n = 482) or over-evaluation but not dissatisfaction (n = 105). METHOD: Self
report questionnaires that included measures of each outcome, as well as height
and weight and socio-demographic information, were completed by all participants.
RESULTS: Participants who reported dissatisfaction but not over-evaluation were
older, heavier and had higher levels of weight or shape concerns, higher levels
of general psychological distress, and poorer physical health than those who
reported over-evaluation but not dissatisfaction. However, only differences with
respect to weight or shape concerns remained significant after age and BMI were
statistically controlled. In multivariable analysis, dissatisfaction with weight
or shape made a stronger contribution to variance in all three outcomes-eating
disorder psychopathology, general psychological distress and functional
impairment-than over-evaluation. DISCUSSION: Although the findings are consistent
with the view that over-evaluation and dissatisfaction are distinct constructs,
there was no evidence to support the premise that overevaluation is more
"pathological" than dissatisfaction among women in the general population.
PMID- 22072411
TI - Parental expressed emotion of adolescents with anorexia nervosa: outcome in
family-based treatment.
AB - OBJECTIVE: The purpose of this study was to determine the relationship between
expressed emotion (EE) and outcome in family-based treatment (FBT) for anorexia
nervosa (AN). METHOD: Eighty-six adolescents with AN participated in an RCT
comparing two doses of FBT. Seventy-nine of these patients and their parents
participated in a structured interview, from which EE ratings were made at
baseline. Parents were compared on five subscales of EE as well as overall level
of EE (high vs. low). RESULTS: Overall EE levels were low with 32.9% of families
presenting as High EE at baseline. Ratings of baseline warmth for both mothers (p
= .014) and fathers (p = .037) were related to good outcome at end-of-treatment.
DISCUSSION: EE in parents of adolescents with AN is remarkably low.
Notwithstanding, parental warmth may be a predictor of good outcome.
PMID- 22072412
TI - Do eating attitudes predict early change in eating behaviors among women with
bulimic disorders who are treated with cognitive behavioral therapy?
AB - OBJECTIVE: This study examined the eating attitudes that are associated with a
reduction in bulimic behaviors during the key early stage of cognitive behavioral
therapy (CBT). METHOD: A case series of 41 patients with bulimia nervosa (full or
partial syndrome) took part. They were drawn from the case loads of CBT
therapists working in an outpatient specialist eating disorders team. Each
patient completed the Eating Disorder Examination-Questionnaire and recorded the
frequency of objective binges, the frequency of vomiting and the number of
laxatives taken between Sessions 1 and 6. RESULTS: The participants' reduction in
behaviors suggested that the early part of CBT was effective. Correlational
analyses showed that those with poorer eating attitudes at the outset of therapy
were likely to show the greatest behavioral change by Session 6, in keeping with
findings relating to the full duration of CBT. DISCUSSION: Patients with
relatively unhealthy eating attitudes are more likely to show positive behavioral
change in the early part of course of CBT. Clinicians might need to encourage
patients with bulimic disorders to work harder on behavioral change when the
individual has less pathological eating attitudes at the outset.
PMID- 22072413
TI - Tampon use in patients with anorexia nervosa can cause persistent vaginal
bleeding: a case series.
AB - OBJECTIVE: To describe the phenomenon of persistent vaginal bleeding in two
patients with severe anorexia nervosa. METHOD: We report two cases of young women
with severe anorexia nervosa reporting vaginal bleeding that persisted for months
despite trials of topical and systemic conjugated estrogen therapy. RESULT: A
speculum exam by a gynecologist ultimately revealed the source of the bleeding to
be tampon-induced vaginal ulcers. These resolved, along with the vaginal
bleeding, with vaginal estrogen cream and cessation of tampon use. DISCUSSION:
Most patients with anorexia nervosa are amenorrheic due to reversion of the
hypothalamic-pituitary-ovarian axis to a prepubertal state. Vaginal bleeding in
patients with anorexia nervosa may trigger needless systemic hormonal treatments,
radiographic studies, and multiple physician visits. A careful exam is warranted
to evaluate for the presence of tampon-induced vaginal ulcer in patients with
anorexia nervosa who have persistent vaginal bleeding.
PMID- 22072414
TI - Metabolic and neurologic sequelae in a patient with long-standing anorexia
nervosa who presented with septic shock and deep hypoglycemia.
AB - OBJECTIVE: To report the case of a 48-year female with chronic remitting anorexia
nervosa who was found comatose at home and admitted to our hospital with a deep
hypoglycemia (glucose level 0.6 mmol/L; 11 mg/dL) and septic shock secondary to a
bilateral pneumonia. METHOD: Case report. RESULTS: After admission to the
critical care unit, she further displayed a number of pronounced complications
known to be associated with anorexia, including hypophosphatemia, disturbed liver
functions and depression of all three hematological cell lines. The neurological
recovery of the patient was complicated by encephalopathy and transient
tetraparesis. With initial deep hypoglycemia at presentation and persisting coma,
magnetic resonance imaging performed 5 days later did not demonstrate
characteristic post-hypoglycemic abnormalities. Neuroradiological examination did
however reveal the presence of extensive calcifications in the basal ganglia
known as Fahr's syndrome. DISCUSSION: The potential relation between anorexia
nervosa and Fahr syndrome has not been described before. The fact that this
patient survived a glucose level that is usually associated with a very poor
outcome is probably related to its special origin.
PMID- 22072415
TI - Stereoselective inhibitory effect of flurbiprofen, ibuprofen and naproxen on
human organic anion transporters hOAT1 and hOAT3.
AB - Nonsteroidal anti-inflammatory drugs (NSAIDs) delay the renal excretion of
antifolate methotrexate by inhibiting human organic anion transporters hOAT1
(SLC22A6) and hOAT3 (SLC22A8). In this study, uptake experiments were performed
using Xenopus laevis oocytes to assess stereoselectivity in the inhibitory
characteristics of flurbiprofen, ibuprofen and naproxen against hOAT1 and hOAT3.
Uptake of p-aminohippurate by hOAT1 was inhibited by each enantiomer of the three
NSAIDs, and the inhibitory effect was superior in each (S)-enantiomer around 10
uM. The apparent 50% inhibitory concentrations were estimated to be 0.615 uM for
(S)-flurbiprofen, 2.84 uM for (S)-ibuprofen and 1.93 uM for (S)-naproxen, and
these values were significantly lower than those of the respective (R)
enantiomers [(R)-flurbiprofen: 2.35 uM, (R)-ibuprofen: 6.14 uM, (R)-naproxen:
5.26 uM]. Furthermore, the (S)-NSAIDs at 3 uM reduced methotrexate accumulation
in hOAT1-expressing oocytes more strongly than the corresponding (R)-enantiomers.
All enantiomers inhibited hOAT3-mediated transport of estrone sulfate and
methotrexate, but there was no difference between both enantiomers of each NSAID
in the inhibitory potencies. Eadie-Hofstee plot analysis showed that (S)
flurbiprofen and (R)-flurbiprofen inhibited hOAT1 and hOAT3 in a competitive
manner. These findings represent the stereoselective inhibitory potencies of
flurbiprofen, ibuprofen and naproxen on hOAT1, and the (S)-enantiomers are
greater. In contrast, stereoselectivity was not recognized in their inhibitory
effect on hOAT3.
PMID- 22072416
TI - Natural orifice vaginal sacrocolpopexy (NOVaS): a cadaver feasibility study.
AB - INTRODUCTION AND HYPOTHESIS: The primary objective was to determine the
feasibility of a vaginal approach to sacrocolpopexy using a cadaver model.
Secondary objectives were to measure the distance from the vaginal introitus to
the sacrum and distances of the sacral fixation elements to other vital
structures. METHODS: Fourteen fresh-frozen cadavers were used. Specialized
instruments were developed to optimize visualization and facilitate performance
of the procedure. RESULTS: Five cadavers had a transperineal approach (an
approach found unfeasible), and eight of the remaining nine had complete or
partial completion of the transvaginal approach. The mean distance from the
introitus to the promontory was 14.6 cm (12-16.5 cm). The mean distance from the
sacral fixation elements to the aorta was 5.2 cm; common iliac artery, 3.5 cm;
internal iliac artery, 3.25 cm; middle sacral artery, 1.75 cm, and ureters, 3.5
cm. The position of the sacral fixation elements was consistently at the level of
S1-2. CONCLUSION: Vaginal sacrocolpopexy is feasible in a cadaver model.
PMID- 22072417
TI - Quantifying vaginal tissue elasticity under normal and prolapse conditions by
tactile imaging.
AB - INTRODUCTION AND HYPOTHESIS: Vaginal tactile imaging (VTI) is based on principles
similar to those of manual palpation. The objective of this study is to assess
the clinical suitability of new approach for imaging and tissue elasticity
quantification under normal and prolapse conditions. METHODS: The study subjects
included 31 women with normal and prolapse conditions. The tissue elasticity
(Young's modulus) was calculated from spatial gradients in the resulting 3-D
tactile images. RESULTS: Average values for tissue elasticity for the anterior
and posterior compartments for normal conditions were 7.4 +/- 4.3 kPa and 6.2 +/-
3.1 kPa respectively. For Stage III prolapse the average values for tissue
elasticity for anterior and posterior compartments were 1.8 +/- 0.7 kPa and 1.8
+/- 0.5 kPa respectively. CONCLUSIONS: VTI may serve as a means for 3-D imaging
of the vagina and a quantitative assessment of vaginal tissue elasticity,
providing important information for furthering our understanding of pelvic organ
prolapse and surgical treatment.
PMID- 22072418
TI - Reclassification of serous ovarian carcinoma by a 2-tier system: a Gynecologic
Oncology Group Study.
AB - BACKGROUND: A study was undertaken to use the 2-tier system to reclassify the
grade of serous ovarian tumors previously classified using the International
Federation of Gynecology and Obstetrics (FIGO) 3-tier system and determine the
progression-free survival (PFS) and overall survival (OS) of patients treated on
Gynecologic Oncology Group (GOG) Protocol 158. METHODS: The authors
retrospectively reviewed demographic, pathologic, and survival data of 290
patients with stage III serous ovarian carcinoma treated with surgery and
chemotherapy on GOG Protocol 158, a cooperative multicenter group trial. A
blinded pathology review was performed by a panel of 6 gynecologic pathologists
to verify histology and regrade tumors using the 2-tier system. The association
of tumor grade with PFS and OS was assessed. RESULTS: Of 241 cases, both systems
demonstrated substantial agreement when combining FIGO grades 2 and 3 (overall
agreement, 95%; kappa statistic, 0.68). By using the 2-tier system, patients with
low-grade versus high-grade tumors had significantly longer PFS (45.0 vs 19.8
months, respectively; P = .01). By using FIGO criteria, median PFS for patients
with grade 1, 2, and 3 tumors was 37.5, 19.8, and 20.1 months, respectively (P =
.07). There was no difference in clinical outcome in patients with grade 2 or 3
tumors in multivariate analysis. Woman with high-grade versus low-grade tumors
demonstrated significantly higher risk of death (hazard ratio, 2.43; 95%
confidence interval, 1.17-5.04; P = .02). CONCLUSIONS: Women with high-grade
versus low-grade serous carcinoma of the ovary are 2 distinct patient
populations. Adoption of the 2-tier grading system provides a simple yet precise
framework for predicting clinical outcomes.
PMID- 22072420
TI - MiR-200b is involved in Tgf-beta signaling to regulate mammalian palate
development.
AB - Various cellular and molecular events are involved in palatogenesis, including
apoptosis, epithelial-mesenchymal transition (EMT), cell proliferation, and cell
migration. Smad2 and Snail, which are well-known key mediators of the
transforming growth factor beta (Tgf-beta) pathway, play a crucial role in the
regulation of palate development. Regulatory effects of microRNA 200b (miR-200b)
on Smad2 and Snail in palatogenesis have not yet been elucidated. The aim of this
study is to determine the relationship between palate development regulators miR
200b and Tgf-beta-mediated genes. Expression of miR-200b, E-cadherin, Smad2, and
Snail was detected in the mesenchyme of the mouse palate, while miR-200b was
expressed in the medial edge epithelium (MEE) and palatal mesenchyme. After the
contact of palatal shelves, miR-200b was no longer expressed in the mesenchyme
around the fusion region. The binding activity of miR-200b to both Smad2 and
Snail was examined using a luciferase assay. MiR-200b directly targeted Smad2 and
Snail at both cellular and molecular levels. The function of miR-200b was
determined by overexpression via a lentiviral vector in the palatal shelves.
Ectopic expression of miR-200b resulted in suppression of these Tgf-beta-mediated
regulators and changes of apoptosis and cell proliferation in the palatal fusion
region. These results suggest that miR-200b plays a crucial role in regulating
the Smad2, Snail, and in apoptosis during palatogenesis by acting as a direct non
coding, influencing factor. Furthermore, the molecular interactions between miR
200b and Tgf-beta signaling are important for proper palatogenesis and especially
for palate fusion. Elucidating the mechanism of palatogenesis may aid the design
of effective gene-based therapies for the treatment of congenital cleft palate.
PMID- 22072419
TI - Keratocystic odontogenic tumour (KCOT)--a cyst to a tumour.
AB - PURPOSE: The World Health Organization (WHO) has reclassified 'odontogenic
keratocyst' (OKC) to 'keratocystic odontogenic tumour' (KCOT) in 2005. Currently,
this tumour is classified as a benign neoplasm of odontogenic origin and not as a
cyst. This article reviews and discusses history, classification scheme,
aetiology and pathogenesis, molecular and genetic basis, incidence, epidemiology
and site, clinical features, imaging, histopathology, immunohistochemistry,
treatment options, prognosis, recurrence and malignant transformation of KCOT,
with emphasis on understanding the basis of reclassification as 'keratocystic
odontogenic tumour'. METHODS: A systematic search and review of the literature
was carried out in the online database of the United States National Library of
Medicine to identify eligible titles for the study. RESULTS: Current evidence
suggests that the scientific community still continues to use the term
'odontogenic keratocyst' more favourably than 'keratocystic odontogenic tumour'.
CONCLUSION: The online database search indicates that the scientific community
still continues to use the term 'odontogenic keratocyst' more favourably than
'keratocystic odontogenic tumour'. At this juncture, where the terminology has
changed from a cyst to a tumour, a thorough review of literature on KCOT is
presented.
PMID- 22072421
TI - Role of CD44 in the organization of keratinocyte pericellular hyaluronan.
AB - CD44 is a ubiquitous cell surface glycoprotein, involved in important cellular
functions including cell adhesion, migration, and modulation of signals from cell
surface receptors. While most of these CD44 functions are supposed to involve
hyaluronan, relatively little is known about the contribution of CD44 to
hyaluronan maintenance and organization on cell surface, and the role of CD44 in
hyaluronan synthesis and catabolism. Blocking hyaluronan binding either by CD44
antibodies, CD44-siRNA or hyaluronan decasaccharides (but not hexasaccharides)
removed most of the hyaluronan from the surfaces of both human (HaCaT) and mouse
keratinocytes, resembling results on cells from CD44-/- animals. In vitro,
compromising CD44 function led to reduced and increased amounts, respectively, of
intracellular and culture medium hyaluronan, and specific accumulation below the
cells. In vivo, CD44-deficiency caused no marked differences in hyaluronan
staining intensity or localization in the fetal skin or in adult ear skin, while
tail epidermis showed a slight reduction in epidermal hyaluronan staining
intensity. However, CD44-deficient tail skin challenged with retinoic acid or
tape stripping revealed diffuse accumulation of hyaluronan in the superficial
epidermal layers, normally negative for hyaluronan. Our data indicate that CD44
retains hyaluronan in the keratinocyte pericellular matrix, a fact that has not
been shown unambiguously before, and that hyaluronan abundance in the absence of
CD44 can result in hyaluronan trapping in abnormal locations possibly interfering
there with normal differentiation and epidermal barrier function.
PMID- 22072424
TI - Two-temperature hybridization for microarray detection of label-free microRNAs
with attomole detection and superior specificity.
AB - Two is better than one: Two short locked nucleic acid based probes were used to
collectively capture and detect microRNAs by a simple two-temperature
hybridization process. Intact microRNAs were directly measured down to attomolar
concentrations with a high specificity and nearly four orders of magnitude of
dynamic range. Single base mismatches in the microRNAs were potently
discriminated from the perfectly matched targets.
PMID- 22072423
TI - Regulation of proton-coupled folate transporter in retinal Muller cells by the
antipsoriatic drug monomethylfumarate.
AB - Fumaric acid esters are used to treat psoriasis, an inflammatory skin disease
characterized by keratinocyte proliferation. Inflammation and proliferation are
hallmarks of retinal disease; hence, fumaric acid esters may have therapeutic
value in retinal pathology. In diseased retinas, Muller glial cells (MCs) undergo
reactive gliosis, a hyperproliferative state. MCs take up folate, a vitamin
necessary for cell proliferation, via the proton-coupled folate transporter
(PCFT). Here we examined the effect of monomethylfumarate (MMF), the active
metabolite of fumaric acid esters, on expression and function of PCFT in MCs.
Primary MCs, isolated from neonatal mouse retinas, were treated with MMF, and
PCFT function was monitored by measuring uptake of radiolabeled
methyltetrahydrofolate (MTF) at pH 5.5. Dose-response and time-course analyses
were performed to identify optimal conditions for maximal effect. The influence
of MMF treatment on kinetic parameters of PCFT was studied, and PCFT expression
was analyzed at the mRNA and protein level. MTF uptake in MCs decreased by ~50%
following 18 h treatment with 1 mM MMF. This effect was specific to fumaric acid
esters. MMF treatment decreased the maximal velocity of the transporter without
altering substrate affinity. The decrease in PCFT function following MMF
treatment was accompanied by attenuated PCFT expression. This is the first report
that an antipsoriatic compound can regulate folate transport in MCs and may have
potential for the treatment of reactive gliosis in retinal disease.
PMID- 22072426
TI - Quantitative multispectral imaging of Herovici's polychrome for the assessment of
collagen content and tissue remodelling.
AB - Bioprosthetic devices, constructed from a variety of materials, are routinely
implanted in a variety of anatomical locations. Essential to their success is the
formation of a non-destructive interface with the host tissue and appropriate
tissue remodelling. Traditionally, the main method of assessing the host-material
interface has been qualitative histological evaluation, using pattern recognition
and comparative assessment to identify changes in the normal tissue architecture
that are characteristic of scar tissue. In the present study, the recently
developed technique of multispectral imaging was used to revisit a little
described histological stain, Herovici's polychrome, which is capable of
distinguishing between types I and III collagen. Combined, these techniques
allowed quantification of collagen content and distribution of collagen types
within a tissue sample. Samples of rat tail and human scar tissue were used to
optimize the staining, while comparison with immunolabelled samples was used to
develop a reproducible quantification system, based on the specific colour
profiles for types I and III collagen. Finally the remodelling of rat abdominal
wall defects repaired with crosslinked or non-crosslinked extracellular matrix
scaffolds derived from porcine urinary bladder was assessed with this technique.
Compared to standard histological assessment, the combination of multispectral
imaging and Herovici's polychrome staining presents a quick, simple, reliable
technique that can provide accurate quantification of tissue remodelling and
specifically identify the expression and distribution of types I and III
collagen.
PMID- 22072425
TI - Interactions between extracellular signal-regulated kinase 1/2 and p38 MAP kinase
pathways in the control of RUNX2 phosphorylation and transcriptional activity.
AB - RUNX2, a key transcription factor for osteoblast differentiation, is regulated by
ERK1/2 and p38 MAP kinase-mediated phosphorylation. However, the specific
contribution of each kinase to RUNX2-dependent transcription is not known. Here
we investigate ERK and p38 regulation of RUNX2 using a unique P-RUNX2-specific
antibody. Both MAP kinases stimulated RUNX2 Ser319 phosphorylation and
transcriptional activity. However, a clear preference for ERK1 versus
p38alpha/beta was found when the ability of these MAPKs to phosphorylate and
activate RUNX2 was compared. Similarly, ERK1 preferentially bound to a consensus
MAPK binding site on RUNX2 that was essential for the activity of either kinase.
To assess the relative contribution of ERK1/2 and p38 to osteoblast gene
expression, MC3T3-E1 preosteoblast cells were grown in control or ascorbic acid
(AA)-containing medium +/- BMP2/7. AA-induced gene expression, which requires
collagen matrix synthesis, was associated with parallel increases in P-ERK and
RUNX2-S319-P in the absence of any changes in P-p38. This response was blocked by
ERK, but not p38, inhibition. Significantly, in the presence of AA, BMP2/7
synergistically stimulated RUNX2 S319 phosphorylation and transcriptional
activity without affecting total RUNX2 and this response was totally dependent on
ERK/MAPK activity. In contrast, although p38 inhibition partially blocked BMP
dependent transcription, it did not affect RUNX2 S319 phosphorylation, suggesting
the involvement of other phosphorylation sites and/or transcription factors in
this response. Based on this work, we conclude that extracellular matrix and BMP
regulation of RUNX2 phosphorylation and transcriptional activity in osteoblasts
is predominantly mediated by ERK rather than p38 MAPKs.
PMID- 22072427
TI - Contribution of hyperammonemia and inflammatory factors to cognitive impairment
in minimal hepatic encephalopathy.
AB - To assess the contribution of hyperammonemia and inflammation to induction of
mild cognitive impairment (or MHE). We analyzed the presence of mild cognitive
impairment (CI) by using the PHES battery of psychometric tests and measured the
levels of ammonia and of the inflammatory cytokines IL-6 and IL-18 in blood of
patients with different types of liver or dermatological diseases resulting in
different grades of hyperammonemia and/or inflammation. The study included
patients with 1) liver cirrhosis, showing hyperammonemia and inflammation; 2) non
alcoholic fatty liver disease (NAFLD) showing inflammation but not
hyperammonemia; 3) non-alcoholic steatohepatitis (NASH) showing inflammation and
very mild hyperammonemia; 4) psoriasis, showing inflammation but not
hyperammonemia; 5) keloids, showing both inflammation and hyperammonemia and 6)
controls without inflammation or hyperammonemia. The data reported show that in
patients with liver diseases, cognitive impairment may appear before progression
to cirrhosis if hyperammonemia and inflammation are high enough. Five out of 11
patients with NASH, without liver cirrhosis, showed cognitive impairment
associated with hyperammonemia and inflammation. Patients with keloids showed
cognitive impairment associated with hyperammonemia and inflammation, in the
absence of liver disease. Hyperammonemia or inflammation alone did not induce CI
but the combination of certain levels of hyperammonemia and inflammation is
enough to induce CI, even without liver disease.
PMID- 22072428
TI - Evaluating the ameliorative effect of natural dissolved organic matter (DOM)
quality on copper toxicity to Daphnia magna: improving the BLM.
AB - Various quality predictors of seven different natural dissolved organic matter
(DOM) and humic substances were evaluated for their influence on protection of
Daphnia magna neonates against copper (Cu) toxicity. Protection was examined at 3
and 6 mg l(-1) of dissolved organic carbon (DOC) of each DOM isolate added to
moderately hard, dechlorinated water. Other water chemistry parameters (pH,
concentrations of DOC, calcium, magnesium and sodium) were kept relatively
constant. Predictors included absorbance ratios Abs(254/365) (index of molecular
weight) and Abs-octanol(254)/Abs-water(254) (index of lipophilicity), specific
absorption coefficient (SAC(340); index of aromaticity), and fluorescence index
(FI; index of source). In addition, the fluorescent components (humic-like,
fulvic-like, tryptophan-like, and tyrosine-like) of the isolates were quantified
by parallel factor analysis (PARAFAC). Up to 4-fold source-dependent differences
in protection were observed amongst the different DOMs. Significant correlations
in toxicity amelioration were found with Abs(254/365), Abs-octanol(254)/Abs
water(254), SAC(340), and with the humic-like fluorescent component. The
relationships with FI were not significant and there were no relationships with
the tryptophan-like or tyrosine-like fluorescent components at 3 mg C l(-1),
whereas a negative correlation was seen with the fulvic-like component. In
general, the results indicate that larger, optically dark, more lipophilic, more
aromatic DOMs of terrigenous origin, with higher humic-like content, are more
protective against Cu toxicity. A method for incorporating SAC(340) as a DOM
quality indicator into the Biotic Ligand Model is presented; this may increase
the accuracy for predicting Cu toxicity in natural waters.
PMID- 22072429
TI - Lack of association of cadherin expression and histopathologic type, metastasis,
or patient outcome in oropharyngeal squamous cell carcinoma: a tissue microarray
study.
AB - Altered cadherin expression is important for metastasis in many carcinomas
including head and neck squamous cell carcinoma (SCC). We evaluated E- and N
cadherin expression specifically in oropharyngeal SCC and correlated this with
clinical and pathologic features. Oropharyngeal SCC patients with clinical follow
up information were identified from clinician databases from 1996 through 2007
and tissue microarrays created. Tumors had been previously typed
histopathologically as keratinizing, non-keratinizing, or non-keratinizing with
maturation, and had known p16 and human papillomavirus status, respectively.
Immunohistochemistry was performed on the microarrays, and staining was evaluated
for presence and intensity (0 = negative, 1 = weak, 2 = moderate, 3 = strong)
both visually and also with digital image analysis software. Of 154 cases, E
cadherin was expressed in 152 (98.7%) and N-cadherin in 17 (11.5%). Neither E-
nor N-cadherin expression was statistically significantly associated with
histopathologic type (P = 0.082 and P = 0.228, respectively). E-cadherin staining
intensity was not statistically significantly associated with nodal or distant
metastasis, either visually or by image analysis, (P = 0.098 and P = 0.963
respectively) nor was N-cadherin (P = 0.228 and P = 0.935 respectively). Neither
E- nor N-cadherin expression was associated with death from disease (P = 0.995; P
= 0.964, respectively). E-cadherin is extensively expressed by oropharyngeal SCC,
even the non-keratinizing type. Our results suggest that cadherin expression may
not be a predictor for nodal or distant metastasis in these tumors. Mechanisms
independent of cadherin expression may be important for metastases in
oropharyngeal SCC.
PMID- 22072431
TI - Bridging the gap with an ileocolonic graft after extensive colorectal resections.
AB - BACKGROUND: Ileocecal interposition (ICI) for first-line reconstruction after low
anterior colorectal resection was introduced by von Flue and Harder in 1994 (Dis
Colon Rectum 37:1160-1162, 1994). We report our experience using this technique
to bridge colonic gaps after significant loss of bowel length. PATIENTS AND
METHODS: Between 1999 and 2009 the left-sided colon was too short for traditional
isoperistaltic reconstruction in six patients treated in our hospital. Reasons
for extensive bowel loss were a deficient (n = 3) or torn (n = 1) marginal artery
with ischemia or repeat colorectal resections (n = 2). An ICI was done to bridge
the gap and enable restoration of intestinal continuity. RESULTS: No patient
died. Whenever performing a coloanal anastomosis (4/6) a loop ileostomy was
raised. One patient with colonic diversion experienced graft-related
complications: ischemic colitis of the interposed colonic segment, anastomotic
stenosis, and a presacral sinus were observed and managed nonoperatively.
Subsequent closure of the stoma was possible in all cases. A median Vaizey
incontinence score of 9 (range: 4-14) was recorded in the patient with coloanal
anastomosis. The average number of bowel movements per day was 1.5 (range: 0.5
6). CONCLUSIONS: When the descending colon does not reach the rectal stump or
anal canal in reoperative cases or after vascular complication, ICI is a useful
salvage procedure resulting in good bowel function.
PMID- 22072430
TI - Ghrelin agonist TZP-101/ulimorelin accelerates gastrointestinal recovery
independently of opioid use and surgery type: covariate analysis of phase 2 data.
AB - BACKGROUND: Delayed recovery of gastrointestinal (GI) motility is a common
complication following surgery. TZP-101/ulimorelin is a macrocyclic
peptidomimetic ghrelin receptor agonist with GI promotility effects that
significantly accelerates time to recovery of GI motility compared to placebo
following partial colectomy. It is also well tolerated. The objectives of this
analysis were to identify predictors of GI motility recovery in patients
undergoing partial colectomy and to evaluate whether these factors affect
ulimorelin acceleration of GI recovery. METHODS: Covariate analysis assessed the
effect of eight variables-age, sex, body mass index, type of surgery (right
colectomy, left colectomy, other), duration of surgery, blood loss, total opioid
consumption, country-on recovery of GI motility in 236 patients randomized to
ulimorelin (n = 168) or placebo (n = 68). The primary endpoint was the recovery
of GI function (time from the end of surgery to first bowel movement). Stepwise
regression identified a parsimonious model of the smallest subset of variables
best predicting GI recovery. RESULTS: Recovery was shorter for segmental/subtotal
colectomies vs. right colectomies (P = 0.016) and longer with increased total
opioid use (P = 0.037). The remaining variables had no statistically significant
effect on GI recovery. Effects of ulimorelin 480 MUg/kg (the most effective dose)
on time to GI tract recovery remained statistically and clinically significant
(hazard ratio = 1.81, P = 0.014) when adjusted for surgery type and/or total
opioid use. CONCLUSIONS: Two factors, type of surgery and total opioid use,
independently modified times to recovery of GI motility following partial large
bowel resection surgery. Acceleration of recovery of GI motility by ulimorelin
was independent of these factors.
PMID- 22072432
TI - Identification of novel GH-regulated genes in C2C12 cells.
AB - Growth hormone (GH) is the main regulator of longitudinal growth before puberty,
and treatment with human recombinant (rh) GH can increase muscle strength.
Nevertheless, molecular mechanisms responsible remain mostly unknown. Many
physiological effects of GH require hormone-mediated changes in gene expression.
In an attempt to gain insight into the mechanism of GH action in muscle cells we
evaluated the effects of rhGH on gene expression profile in a murine skeletal
muscle cell line C2C12. The objective of the work was to identify changes in gene
expression in the murine skeletal muscle cell line C2C12 after rGH treatment
using microarray assays. C2C12 murine skeletal muscle cell cultures were
differentiated during 4 days. After 16 h growing in serum-free medium, C2C12
myotubes were stimulated during 6 h with 500 ng/ml rhGH. Four independent sets of
experiments were performed to identify GH-regulated genes. Total RNA was isolated
and subjected to analysis. To validate changes candidate genes were analyzed by
real-time quantitative polymerase chain reaction. One hundred and fifty-four
differentially expressed genes were identified; 90 upregulated and 64
downregulated. Many had not been previously identified as GH-responsive. Real
time PCR in biological replicates confirmed the effect of rGH on 15 genes: Cish,
Serpina3g, Socs2, Bmp4, Tnfrsf11b, Rgs2, Tgfbr3, Ugdh, Npy1r, Gbp6, Tgfbi, Tgtp,
Btc, Clec3b, and Bcl6. This study shows modifications in the gene expression
profile of the C2C12 cell line after rhGH exposure. In vitro and gene function
analysis revealed genes involved in skeletal and muscle system as well as
cardiovascular system development and function.
PMID- 22072433
TI - A weekly administered sustained-release growth hormone reduces visceral fat and
waist circumference in abdominal obesity.
AB - Administration of recombinant human growth hormone (rhGH) in obesity has been
known to lead to a decrease in visceral adiposity and an increase in lean body
mass. Most studies have used supraphysiological doses of rhGH, which were
administered daily or every other day. We aimed to evaluate whether weekly
administered low dose of sustained-release rhGH (SR-rhGH) could play a
therapeutic role in the treatment of abdominal obesity. Prospective, single-arm,
open-label, multicenter pilot study was carried out. Participants were 26 adults
aged 40-65 years old with abdominal obesity (male: waist circumference >90 cm,
female: waist circumference >85 cm). The subjects were given 3 mg of SR-rhGH,
administered subcutaneously, weekly for 26 weeks. SR-rhGH treatment for 26 weeks
increased the IGF-1 level by 56.53+/-76.09 MUg/l (SDS 0.77+/-1.12) compared to
the baseline (p=0.0022). After 26 weeks, SR-rhGH treatment reduced abdominal
visceral adipose tissue (VAT) (140.35+/-75.97 to 128.43+/-73.85 cm2, p=0.0038).
Average waist circumference decreased from 96.25+/-6.41 to 91.93+/-6.13 cm
(p<0.0001) after treatment. However, body weight or lean body mass did not show
any significant change. In conclusion, SR-rhGH treatment for 26 weeks reduced
abdominal visceral fat and waist circumference without severe adverse events.
Further studies may be considered on the role of weekly administered SR-rhGH as a
treatment for abdominal obesity.
PMID- 22072434
TI - Sequential microfluidic droplet processing for rapid DNA extraction.
AB - This work describes a novel droplet-based microfluidic device, which enables
sequential droplet processing for rapid DNA extraction. The microdevice consists
of a droplet generation unit, two reagent addition units and three droplet
splitting units. The loading/washing/elution steps required for DNA extraction
were carried out by sequential microfluidic droplet processing. The movement of
superparamagnetic beads, which were used as extraction supports, was controlled
with magnetic field. The microdevice could generate about 100 droplets per min,
and it took about 1 min for each droplet to perform the whole extraction process.
The extraction efficiency was measured to be 46% for lambda-DNA, and the
extracted DNA could be used in subsequent genetic analysis such as PCR,
demonstrating the potential of the device for fast DNA extraction.
PMID- 22072435
TI - Production of multiple extracellular enzyme activities by novel submerged culture
of Aspergillus kawachii for ethanol production from raw cassava flour.
AB - Cassava is a starch-containing root crop that is widely used as a raw material in
a variety of industrial applications, most recently in the production of fuel
ethanol. In the present study, ethanol production from raw (uncooked) cassava
flour by simultaneous saccharification and fermentation (SSF) using a preparation
consisting of multiple enzyme activities from Aspergillus kawachii FS005 was
investigated. The multi-activity preparation was obtained from a novel submerged
fermentation broth of A. kawachii FS005 grown on unmilled crude barley as a
carbon source. The preparation was found to consist of glucoamylase, acid-stable
alpha-amylase, acid carboxypeptidase, acid protease, cellulase and xylanase
activities, and exhibited glucose and free amino nitrogen (FAN) production rates
of 37.7 and 118.7 mg/l/h, respectively, during A. kawachii FS005-mediated
saccharification of uncooked raw cassava flour. Ethanol production from 18.2%
(w/v) dry uncooked solids of raw cassava flour by SSF with the multi-activity
enzyme preparation yielded 9.0% (v/v) of ethanol and 92.3% fermentation
efficiency. A feasibility study for ethanol production by SSF with a two-step
mash using raw cassava flour and the multi-activity enzyme preparation
manufactured on-site was verified on a pilot plant scale. The enzyme preparation
obtained from the A. kawachii FS005 culture broth exhibited glucose and FAN
production rates of 41.1 and 135.5 mg/l/h, respectively. SSF performed in a mash
volume of about 1,612 l containing 20.6% (w/v) dry raw cassava solids and 106 l
of on-site manufactured A. kawachii FS005 culture broth yielded 10.3% (v/v)
ethanol and a fermentation efficiency of 92.7%.
PMID- 22072436
TI - Characterization of alginate lyase gene using a metagenomic library constructed
from the gut microflora of abalone.
AB - A metagenomic fosmid library was constructed using a genomic DNA mixture
extracted from the gut microflora of abalone. The library gave an alginate lyase
positive clone (AlyDW) harboring a 31.7-kbp insert. The AlyDW insert consisted of
22 open reading frames (ORFs). The deduced amino acid sequences of ORFs 11-13
were similar to those of known alginate lyase genes, which are found adjacent in
the genome of Klebsiella pneumoniae subsp. aerogenes, Vibrio splendidus, and
Vibrio sp. belonging to the phylum Gammaproteobacteria. Among the three
recombinant proteins expressed from the three ORFs, alginate lyase activity was
only observed in the recombinant protein (AlyDW11) coded by ORF 11. The expressed
protein (AlyDW11) had the highest alginate lyase activity at pH 7.0 and 45
degrees C in the presence of 1 mM AgNO(3). The alginate lyase activity of ORF 11
was confirmed to be endolytic by thin-layer chromatography. AlyDW11 preferred
poly(beta-D: -mannuronate) as a substrate over poly(alpha-L: -guluronate).
AlyDW11 contained three highly conserved regions, RSEL, QIH, and YFKAGVYNQ, which
may act to stabilize the three-dimensional conformation and function of the
alginate lyase.
PMID- 22072437
TI - Alginate production and alg8 gene expression by Azotobacter vinelandii in
continuous cultures.
AB - Alginates are polysaccharides that are used as thickening agents, stabilizers,
and emulsifiers in various industries. These biopolymers are produced by
fermentation with a limited understanding of the processes occurring at the
cellular level. The objective of this study was to evaluate the effects of
agitation rate and inlet sucrose concentrations (ISC) on alginate production and
the expression of the genes encoding for alginate-lyases (algL) and the catalytic
subunit of the alginate polymerase complex (alg8) in chemostat cultures of
Azotobacter vinelandii ATCC 9046. Increased alginate production (2.4 g l-1) and a
higher specific alginate production rate (0.1 g g-1 h-1) were obtained at an ISC
of 15 g l-1. Carbon recovery of about 100% was obtained at an ISC of 10 g l-1,
whereas it was close to 50% at higher ISCs, suggesting that cells growing at
lower sucrose feed rates utilize the carbon source more efficiently. In each of
the steady states evaluated, an increase in algL gene expression was not related
to a decrease in alginate molecular weight, whereas an increase in the molecular
weight of alginate was linked to higher alg8 gene expression, demonstrating a
relationship between the alg8 gene and alginate polymerization in A. vinelandii
for the first time. The results obtained provide a possible explanation for
changes observed in the molecular weight of alginate synthesized and this
knowledge can be used to build a recombinant strain able to overexpress alg8 in
order to produce alginates with higher molecular weights.
PMID- 22072438
TI - Prognostic implications of genetic aberrations in acute myelogenous leukemia with
normal cytogenetics.
AB - Acute myelogenous leukemia (AML) is a genetically heterogeneous disease in which
somatic mutations, that disturb cellular growth, proliferation, and
differentiation, accumulate in hematopoietic progenitor cells. Cytogenetic
findings, at diagnosis, have been proven to be one of the most important
prognostic indicators in AML. About half of the patients with AML are found to
have "normal" cytogenetic analysis by standard culture techniques. These patients
are considered as an intermediate risk group. Cytogenetically normal AML (CN-AML)
is the largest cytogenetic risk group, and the variation in clinical outcome of
patients in this group is greater than in any other cytogenetic group. Besides
mutation testing, age and presenting white blood cell count are important
predictors of overall survival, suggesting that other factors independent of
cytogenetic abnormalities, contribute to the outcome of patients with AML. The
expanding knowledge at the genetic and molecular levels is helping define several
subgroups of patients with CN-AML with variable prognosis. In this review, we
describe the clinical and prognostic characteristics of CN-AML patients as a
group, as well as the various molecular and genetic aberrations detected in these
patients and their clinical and prognostic implications.
PMID- 22072440
TI - Instrumental variables in epidemiological research: an assessment of the adoption
rate and future trends.
PMID- 22072439
TI - High prevalence of CIC fusion with double-homeobox (DUX4) transcription factors
in EWSR1-negative undifferentiated small blue round cell sarcomas.
AB - Primitive round cell sarcomas of childhood and young adults have been problematic
to diagnose and classify. Our goal was to investigate the pathologic and
molecular characteristics of small blue round cell tumors (SBRCT) that remained
unclassified after exhaustive immunohistochemistry and molecular screening to
exclude known sarcoma-related translocations. As rare examples of EWSR1-negative
SBRCT have been shown to carry rearrangements for FUS and CIC genes, we undertook
a systematic screening for these two genes. CIC rearrangements by FISH were
detected in 15/22 (68%), while none showed FUS abnormalities. RACE, RT-PCR,
and/or long-range DNA PCR performed in two cases with frozen material showed that
CIC was fused to copies of the DUX4 gene on either 4q35 or 10q26.3. Subsequent
FISH analysis confirmed fused signals of CIC with either 4q35 or 10q26.3 region
in six cases each. Tumors positive for CIC-DUX4 fusion occurred mainly in male
young adult patients (median age: 29 years), with the extremities being the most
frequent location. Microscopically, tumors displayed a primitive, round to oval
cell morphology with prominent nucleoli, high mitotic count, and areas of
necrosis. O13 expression was variable, being either diffuse or patchy and tumors
mostly lacked other markers of differentiation. Although CIC-DUX4 resulting in a
t(4;19) translocation has been previously described in primitive sarcomas, this
is the first report implicating the related DUX4 on 10q26 in oncogenesis. These
results suggest the possibility of a newly defined subgroup of primitive round
cell sarcomas characterized by CIC rearrangements, distinct from Ewing sarcoma
family of tumors.
PMID- 22072442
TI - Cardiovascular responses to water ingestion at rest and during isometric handgrip
exercise.
AB - Water drinking activates sympathetic vasoconstriction in healthy young adults;
however, this is not accompanied by a concomitant increase in resting blood
pressure. It is not known whether the water pressor effect is unmasked by a
physiological condition such as exercise. Therefore, we examined the effect of
water ingestion (50 vs. 500 mL) on the cardiovascular and autonomic responses to
isometric handgrip in 17 healthy participants (9 men, 8 women, aged 28.4 +/- 9.7
years). Beat-to-beat blood pressure and R-R intervals were recorded in both
conditions at rest (pre- and post-ingestion) and during handgrip at 30% of
maximal voluntary contraction. R-R series were spectrally decomposed using an
autoregressive approach. Water ingestion did not interact with the increase in
mean arterial pressure (MAP) from rest to exercise, which was similar between
conditions. In contrast, there was an overall bradycardic effect of water and
this was accompanied by increased high frequency power (condition main effect, p
< 0.05). When the differences in high frequency power between conditions were
controlled for, MAP was significantly higher after drinking 500 mL of water
(condition main effect, p < 0.05). In addition, water ingestion attenuated the
increase in the low to high frequency power ratio from rest to handgrip
(interaction effect, p < 0.05). In conclusion, the rise in blood pressure post
water ingestion is prevented both at rest and during isometric handgrip.
Interestingly, this is not sustained after controlling for the enhanced vagal
drive caused by water ingestion. Therefore, the mechanisms underlying this
response most likely depend on reflex bradycardia of vagal origin.
PMID- 22072443
TI - Increased p75 neurotrophin receptor expression in the canine distemper virus
model of multiple sclerosis identifies aldynoglial Schwann cells that emerge in
response to axonal damage.
AB - Gliogenesis under pathophysiological conditions is of particular clinical
relevance since it may provide regeneration-promoting cells recruitable for
therapeutic purposes. There is accumulating evidence that aldynoglial cells with
Schwann cell-like growth-promoting properties emerge in the lesioned CNS.
However, the characterization of these cells and the signals triggering their in
situ generation have remained enigmatic. In the present study, we used the p75
neurotrophin receptor (p75(NTR) ) as a marker for Schwann cells to study
gliogenesis in the well-defined canine distemper virus (CDV)-induced
demyelination model. White matter lesions of CDV-infected dogs contained bi- to
multipolar, p75(NTR) -expressing cells that neither expressed MBP, GFAP, BS-1, or
P0 identifying oligodendroglia, astrocytes, microglia, and myelinating Schwann
cells nor CDV antigen. Interestingly, p75(NTR) -expression became apparent prior
to the onset of demyelination in parallel to the expression of beta-amyloid
precursor protein (beta-APP), nonphosphorylated neurofilament (n-NF), BS-1, and
CD3, and peaked in subacute lesions with inflammation. To study the role of
infiltrating immune cells during differentiation of Schwann cell-like glia,
organotypic slice cultures from the normal olfactory bulb were established.
Despite the absence of infiltrating lymphocytes and macrophages, a massive
appearance of p75(NTR) -positive Schwann-like cells and BS-1-positive microglia
was noticed at 10 days in vitro. It is concluded that axonal damage as an early
signal triggers the differentiation of tissue-resident precursor cells into
p75(NTR) -expressing aldynoglial Schwann cells that retain an immature pre-myelin
state. Further studies have to address the role of microglia during this process
and the regenerative potential of aldynoglial cells in CDV infection and other
demyelinating diseases.
PMID- 22072441
TI - Racial disparities in receipt and comparative effectiveness of oxaliplatin for
stage III colon cancer in older adults.
AB - BACKGROUND: African Americans in the United States have higher rates of colon
cancer mortality than other races. This study examines the use of oxaliplatin, a
novel chemotherapeutic agent approved in 2004, among African American and
Caucasian American patients with stage III colon cancer to determine whether
differential receipt or differential effectiveness of the drug may explain the
racial disparity in colon cancer mortality. METHODS: The authors conducted a
population-based retrospective cohort study of stage III colon cancer patients
aged 65 years and older treated from 2004 through 2006 who initiated chemotherapy
within 90 days of surgical resection (N = 1162) using Surveillance, Epidemiology
and End Results-Medicare data. Patients receiving oxaliplatin (n = 477) were
compared with those receiving 5-fluorouracil without oxaliplatin (n = 685). The
authors estimated prevalence ratios and hazard ratios (HRs) using multivariate
binomial regression and Cox models to evaluate racial differences in oxaliplatin
receipt and survival. RESULTS: African Americans were as likely as Caucasian
Americans to receive oxaliplatin (40.5 vs 41.1%; prevalence ratio, 0.90; 95%
confidence interval [CI], 0.71-1.13). Oxaliplatin was associated with lower
mortality compared with 5-fluorouracil (HR, 0.76; 95% CI, 0.58-1.00). This
benefit appeared stronger among African Americans (HR, 0.31; 95% CI, 0.09-1.05)
than Caucasian Americans (HR, 0.80; 95% CI, 0.60-1.06). CONCLUSIONS: In Medicare
insured patients receiving chemotherapy, the authors observed no meaningful
racial disparities in receipt of oxaliplatin and, among those receiving it,
potentially better survival among African Americans. Differential receipt and
effectiveness of oxaliplatin-containing regimens does not appear to contribute to
the previously documented racial disparities in colon cancer survival.
Understanding reasons for potentially enhanced effectiveness among African
Americans may inform efforts to resolve racial disparities in colon cancer
outcomes.
PMID- 22072444
TI - Molecular engineering of zinc phthalocyanines with phosphinic acid anchoring
groups.
PMID- 22072445
TI - Assessment of the interactive effects of ambient O3 and NPK levels on two
tropical mustard varieties (Brassica campestris L.) using open-top chambers.
AB - Rising O(3) concentrations in agricultural areas have been identified as a
significant threat to crop production in Asia including India. The present work
reports the results of a field study conducted to assess the usefulness of higher
than recommended NPK dose in modifying the physiological, growth, yield, and seed
quality responses of two mustard (Brassica campestris L. var. Vardan and
Aashirwad) varieties under ambient ozone level at a rural site of India, using
open-top chambers. Twelve hourly mean O(3) concentrations ranged between 27.7 and
59.04 ppb during the growth period. Plants in nonfiltered chambers (NFCs) showed
reductions in photosynthetic rate, stomatal conductance, and growth parameters
compared to the plants in filtered chambers (FCs), but reductions were of lower
magnitude at 1.5 times recommended dose of NPK (1.5 RNPK) compared to recommended
(RNPK). Yield and seed quality reduced significantly in plants of NFCs compared
to FCs at RNPK, but no significant differences were recorded at 1.5 RNPK. There
were higher N uptake and N uptake efficiency of plants in FCs compared to NFCs.
Nitrogen utilization efficiency increased in Vardan, but decreased in Aashirwad
in NFCs compared to FCs suggesting higher capability of N acquisition and
utilization under ambient O(3), which led to a less pronounced reduction in the
yield of the former than the latter variety. The differential nitrogen
utilization efficiency in these varieties may be potentially used as measure of
sensitivity characteristics in breeding programs for yield improvement in mustard
under the present trend of increase in O(3) concentrations.
PMID- 22072446
TI - Individual trabecula segmentation (ITS)-based morphological analyses and
microfinite element analysis of HR-pQCT images discriminate postmenopausal
fragility fractures independent of DXA measurements.
AB - Osteoporosis is typically diagnosed by dual-energy X-ray absorptiometry (DXA)
measurements of areal bone mineral density (aBMD). Emerging technologies, such as
high-resolution peripheral quantitative computed tomography (HR-pQCT), may
increase the diagnostic accuracy of DXA and enhance our mechanistic understanding
of decreased bone strength in osteoporosis. Women with (n = 68) and without (n =
101) a history of postmenopausal fragility fracture had aBMD measured by DXA,
trabecular plate and rod microarchitecture measured by HR-pQCT image-based
individual trabecula segmentation (ITS) analysis, and whole bone and trabecular
bone stiffness by microfinite element analysis (uFEA) of HR-pQCT images at the
radius and tibia. DXA T-scores were similar in women with and without fractures
at the spine, hip, and 1/3 radius, but lower in fracture subjects at the
ultradistal radius. Trabecular microarchitecture of fracture subjects was
characterized by preferential reductions in trabecular plate bone volume, number,
and connectivity over rod trabecular parameters, loss of axially aligned
trabeculae, and a more rod-like trabecular network. In addition, decreased
thickness and size of trabecular plates were observed at the tibia. The
differences between groups were greater at the radius than the tibia for plate
number, rod bone volume fraction and number, and plate-rod and rod-rod junction
densities. Most differences between groups remained after adjustment for T-score
by DXA. At a fixed bone volume fraction, trabecular plate volume, number, and
connectivity were directly associated with bone stiffness. In contrast, rod
volume, number, and connectivity were inversely associated with bone stiffness.
In summary, HR-pQCT-based ITS and uFEA measurements discriminate fracture status
in postmenopausal women independent of DXA measurements. Moreover, these results
suggest that preferential loss of plate-like trabeculae contribute to lower
trabecular bone and whole bone stiffness in women with fractures. We conclude
that HR-pQCT-based ITS and uFEA measurements increase our understanding of the
microstructural pathogenesis of fragility fracture in postmenopausal women.
PMID- 22072447
TI - Aerial shaking performance of wet Anna's hummingbirds.
AB - External wetting poses problems of immediate heat loss and long-term pathogen
growth for vertebrates. Beyond these risks, the locomotor ability of smaller
animals, and particularly of fliers, may be impaired by water adhering to the
body. Here, we report on the remarkable ability of hummingbirds to perform rapid
shakes in order to expel water from their plumage even while in flight. Kinematic
performance of aerial versus non-aerial shakes (i.e. those performed while
perching) was compared. Oscillation frequencies of the head, body and tail were
lower in aerial shakes. Tangential speeds and accelerations of the trunk and tail
were roughly similar in aerial and non-aerial shakes, but values for head motions
while perching were twice as high when compared with aerial shakes [corrected] .
Azimuthal angular amplitudes for both aerial and non-aerial shakes reached values
greater than 180 degrees for the head, greater than 45 degrees for the body
trunk and slightly greater than 90 degrees for the tail and wings. Using a
feather on an oscillating disc to mimic shaking motions, we found that bending
increased average speeds by up to 36 per cent and accelerations of the feather
tip up to fourfold relative to a hypothetical rigid feather. Feather flexibility
may help to enhance shedding of water and reduce body oscillations during
shaking.
PMID- 22072449
TI - How to identify water from thickener aqueous solutions by touch.
AB - Water detection is one of the most crucial psychological processes for many
animals. However, nobody knows the perception mechanism of water through our
tactile sense. In the present study, we found that a characteristic frictional
stimulus with large acceleration is one of the cues to differentiate water from
water contaminated with thickener. When subjects applied small amounts of water
to a glass plate, strong stick-slip phenomena with a friction force of 0.46 +/-
0.30 N and a vertical force of 0.57 +/- 0.36 N were observed at the skin surface,
as shown in previous studies. Surprisingly, periodic shears with acceleration
seven times greater than gravitational acceleration occurred during the
application process. Finite-element analyses predicted that these strong stimuli
could activate tactile receptors: Meissner's corpuscle and Pacinians. When such
stimuli were applied to the fingertips by an ultrasonic vibrator, a water-like
tactile texture was perceived by some subjects, even though no liquid was present
between the fingertip and the vibrator surface. These findings could potentially
be applied in the following areas: materials science, information technology,
medical treatment and entertainment.
PMID- 22072450
TI - Population structure in the Neisseria, and the biological significance of fuzzy
species.
AB - Phenotypic and genetic variation in bacteria can take bewilderingly complex forms
even within a single genus. One of the most intriguing examples of this is the
genus Neisseria, which comprises both pathogens and commensals colonizing a
variety of body sites and host species, and causing a range of disease. Complex
relatedness among both named species and previously identified lineages of
Neisseria makes it challenging to study their evolution. Using the largest
publicly available collection of bacterial sequence data in combination with a
population genetic analysis and experiment, we probe the contribution of inter
species recombination to neisserial population structure, and specifically
whether it is more common in some strains than others. We identify hybrid groups
of strains containing sequences typical of more than one species. These groups of
strains, typical of a fuzzy species, appear to have experienced elevated rates of
inter-species recombination estimated by population genetic analysis and further
supported by transformation experiments. In particular, strains of the pathogen
Neisseria meningitidis in the fuzzy species boundary appear to follow a different
lifestyle, which may have considerable biological implications concerning
distribution of novel resistance elements and meningococcal vaccine development.
Despite the strong evidence for negligible geographical barriers to gene flow
within the population, exchange of genetic material still shows directionality
among named species in a non-uniform manner.
PMID- 22072452
TI - Operation of the alula as an indicator of gear change in hoverflies.
AB - The alula is a hinged flap found at the base of the wings of most brachyceran
Diptera. The alula accounts for up to 10 per cent of the total wing area in
hoverflies (Syrphidae), and its hinged arrangement allows the wings to be swept
back over the thorax and abdomen at rest. The alula is actuated via the third
axillary sclerite, which is a component of the wing hinge that is involved in
wing retraction and control. The third axillary sclerite has also been implicated
in the gear change mechanism of flies. This mechanism allows rapid switching
between different modes of wing kinematics, by imposing or removing contact with
a mechanical stop limiting movement of the wing during the lower half of the
downstroke. The alula operates in two distinct states during flight-flipped or
flat-and we hypothesize that its state indicates switching between different
flight modes. We used high-speed digital video of free-flying hoverflies
(Eristalis tenax and Eristalis pertinax) to investigate whether flipping of the
alula was associated with changes in wing and body kinematics. We found that
alula state was associated with different distributions of multiple wing
kinematic parameters, including stroke amplitude, stroke deviation angle,
downstroke angle of incidence and timing of supination. Changes in all of these
parameters have previously been linked to gear change in flies. Symmetric
flipping of the alulae was associated with changes in the symmetric linear
acceleration of the body, while asymmetric flipping of the alulae was associated
with asymmetric angular acceleration of the body. We conclude that the wings
produce less aerodynamic force when the alula is flipped, largely as a result of
the accompanying changes in wing kinematics. The alula changes state at mid
downstroke, which is the point at which the gear change mechanism is known to
come into effect. This transition is accompanied by changes in the other wing
kinematic parameters. We therefore find that the state of the alula is linked to
the same parameters as are affected by the gear change mechanism. We conclude
that the state of the alula does indeed indicate the operation of different
flight modes in Eristalis, and infer that a likely mechanism for these changes in
flight mode is the gear change mechanism.
PMID- 22072453
TI - Ultrasonographic findings in cubital tunnel syndrome caused by a cubitus varus
deformity.
AB - We have retrospectively reviewed the clinical, preoperative ultrasonographic, and
operative findings of eight patients who had tardy ulnar nerve palsy caused by a
cubitus varus deformity. The mean varus angle on the affected side was 23 degrees
. With preoperative ultrasonography, the anterior dislocation of the ulnar nerve
from the medial epicondyle was detected in dynamic scanning of short-axis images,
and long-axis images revealed nerve compression and kinking in the proximal
border of the flexor carpi ulnaris. Operative findings revealed compression of
the ulnar nerve by a fibrous band, which was released in all cases. The cause of
the tardy ulnar nerve palsy in this series of patients was constriction by a
fibrous band and kinking in the proximal border of the flexor carpi ulnaris due
to ulnar nerve dislocation from compression resulting from the forward movement
of the medial head of the triceps brachii muscle.
PMID- 22072451
TI - Predicting the effect of climate change on African trypanosomiasis: integrating
epidemiology with parasite and vector biology.
AB - Climate warming over the next century is expected to have a large impact on the
interactions between pathogens and their animal and human hosts. Vector-borne
diseases are particularly sensitive to warming because temperature changes can
alter vector development rates, shift their geographical distribution and alter
transmission dynamics. For this reason, African trypanosomiasis (sleeping
sickness), a vector-borne disease of humans and animals, was recently identified
as one of the 12 infectious diseases likely to spread owing to climate change. We
combine a variety of direct effects of temperature on vector ecology, vector
biology and vector-parasite interactions via a disease transmission model and
extrapolate the potential compounding effects of projected warming on the
epidemiology of African trypanosomiasis. The model predicts that epidemics can
occur when mean temperatures are between 20.7 degrees C and 26.1 degrees C. Our
model does not predict a large-range expansion, but rather a large shift of up to
60 per cent in the geographical extent of the range. The model also predicts that
46-77 million additional people may be at risk of exposure by 2090. Future
research could expand our analysis to include other environmental factors that
influence tsetse populations and disease transmission such as humidity, as well
as changes to human, livestock and wildlife distributions. The modelling approach
presented here provides a framework for using the climate-sensitive aspects of
vector and pathogen biology to predict changes in disease prevalence and risk
owing to climate change.
PMID- 22072454
TI - Continuous peripheral nerve block in forearm for severe hand trauma.
AB - We studied the use of a continuous peripheral nerve block (CPNB) in the distal
forearm and wrist immediately after emergent surgery for severe hand trauma in 22
hands. After emergent surgery, a 2-3 cm longitudinal incision was made at the
distal forearm and an 18-gauge catheter was inserted along the peripheral nerves.
All patients received postoperative analgesia by continuous infusion of 0.2%
ropivacaine at 2 ml/h for seven to 21 days. Pain score remained low during
postoperative period and only a small number of analgesic rescues were needed.
There were no major complications related to the CPNB and one patient showed mild
superficial infection at the insertion site that immediately recovered after
catheter removal. This method provides good postoperative analgesia without loss
of motor function in extrinsic hand muscles and should be considered as a
postoperative pain management for severe hand trauma.
PMID- 22072455
TI - The flexor carpi radialisbrevis muscle - an anomaly in forearm musculature: a
review article.
AB - The volar approach to the distal radius is an increasingly popular method of
exposing fractures of the distal radius for purposes of reduction and internal
fixation. We present five clinical cases and one cadaveric case of an incidental
finding of an aberrant flexor of the forearm. A literature review undertaken
showed few previous case reports of this relatively uncommon anatomic variant.
The possibility of seeing the flexor carpi radialis brevis muscle during a volar
approach to the distal radius should be made known to all orthopedic and hand
surgeons.
PMID- 22072456
TI - Wrist denervation in isolation: a prospective outcome study with patient
selection by wrist blockade.
AB - Surgical wrist denervation involves division of the anterior and posterior
interosseous nerves and articular branches of the superficial radial nerve. In
this outcome study, 37 patients were individually assessed and deemed suitable
for denervation surgery due to appreciable symptom resolution following a local
anesthetic wrist block. At a mean of 18 months following denervation surgery,
median activity pain scores had decreased by 60% (p < 0.001) from initial
assessment levels, and more than three quarters (30/37) of patients reported
continued improvement in their activity pain (p < 0.001). More than two thirds of
patients had a satisfaction VAS of greater than 50, with less postoperative
resting pain and a greater reduction in postoperative activity pain as the
important predictors of patient satisfaction. Thirty-one out of the 37 patients
had not represented to our department for revision wrist surgery by a mean of
10.3 years follow-up. We have found this procedure useful in ameliorating
symptoms for some patients who would conventionally have required partial or
total wrist fusions with greater residual functional limitation.
PMID- 22072457
TI - Accurate radiographic measurement of the distal radial tilt.
AB - Both wrists in 189 patients who had been treated for dorsally displaced distal
radius fracture were X-rayed with both right angle and 15 degrees tilted lateral
views. Two investigators measured the radial tilt. The mean difference in the
angles recorded by the two investigators was 2.5 degrees for the tilted and 3.7
degrees for the right angle projections (p = 4.7 * 10(-8)). The precision of the
method was 2.6 degrees for the tilted and 3.5 degrees for right angle
projection. The mean angle measured on the tilted views was 3 degrees more
dorsal than on the right angle views for wrists with a volar tilt between 10
degrees and 15 degrees , and 0 degrees to 2 degrees for wrists with less volar
tilt or dorsal displacement. Lateral projections tilted 15 degrees allow more
precise measurements than right angle views. Correction is not necessary when
comparing to right angle views, as long as there is displacement in a dorsal
direction of the distal fragment.
PMID- 22072458
TI - Locking palmar plate fixation for dorsally displaced fractures of the distal
radius: a preliminary report.
AB - We reviewed a series of 62 consecutive patients with dorsally displaced fractures
of the distal radius, including 20 extra-articular and 42 intra-articular
fractures. All patients were treated with palmar locking plate systems at our
institution between 2002 and 2006. After a minimum follow-up time of 12 weeks,
the fractures had healed with satisfactory radiographic and functional results.
According to the demerit point system of Gartland and Werley, 35 patients were
rated excellent, 26 good, and one fair. In the good and fair groups, the demerit
points were almost all for ulnar wrist pain. Our results suggest that palmar
locking plate systems enable early functional mobilization with good reproducible
radiographic and clinical outcomes. Since nine out of 62 patients had residual
ulnar wrist pain at the final follow-up evaluation, further investigation of the
pathogenesis of ulnar wrist pain is necessary to obtain better functional
outcomes.
PMID- 22072459
TI - Prevention of flexor pollicis longus tendon rupture after volar plate fixation of
distal radius fractures.
AB - We evaluated the presence of "tendon irritation" of flexor pollicis longus (FPL)
for cases of distal radius fracture treated with volar plates to prevent FPL
tendon rupture. This report details cases of 24 patients. The presence of pain or
a sense of incompatibility and subdermal crepitus around the wrist with an active
thumb motion were defined as symptoms of FPL tendon irritation. Twelve of 24
patients complained of FPL tendon irritation. The plates were removed in nine of
these 12 patients, while tendon injury was found in three cases. The other 12
patients did not complain of FPL tendon irritation. Four of these 12 patients
underwent plate removal, and tendon injury was not detected. The results of this
study indicate that FPL tendon irritation is likely to appear before tendon
rupture. Therefore, FPL tendon rupture might be prevented by plate removal in
patients who complained of tendon irritation.
PMID- 22072460
TI - Clinical outcomes of excision arthroplasty for Kienbock's disease.
AB - We have carried out a replacement of the lunate in 12 patients with advanced
Kienbock's disease, with excision of the lunate and insertion of an iliac bone
flap wrapped into palmaris longus. The aims of this study were to determine the
effect of this procedure for advanced Kienbock's disease. At a mean follow-up
period of 45.3 months, the mean clinical score was excellent in all cases.
Radiographically, progression of osteoarthritis (OA) in the radiocarpal joint was
found in two patients. At follow-up, the X-ray findings indicated a reduced of
osseous core in four patients. On the other hand, carpal height ratio showed no
significant change at follow-up. Excision arthroplasty using a tendon ball with
osseous core for advanced Kienbock's disease leads to OA progression in some
cases. However, clinical results were excellent in all cases. Therefore, this
current study provides effective therapeutic procedure for advanced Kienbock's
disease.
PMID- 22072461
TI - Macromastia: a risk factor for carpal tunnel syndrome?
AB - PURPOSE: To evaluate the prevalence of carpal tunnel syndrome (CTS) in a cohort
of women with macromastia and to assess the evolution of the CTS signs and
symptoms after breast surgery. POPULATION: A series of 123 women was evaluated.
CTS was defined by co-existence of symptoms, two physical findings and
electrophysiological evaluation. One year after reduction mammaplasty, the 22
patients who had been diagnosed CTS were re-evaluated. RESULTS: CTS group of
women had a mean age of 38.8 years, mean body mass index of 28.5 kg/m(2) and mean
breast size of 35.9 cm. Age and nipple-to-sternal notch distance were
statistically significantly associated with CTS (p = 0.001 and p = 0.001,
respectively). A year after surgery 15 patients were re-assessed: nine patients
reported absence or improvement of CTS symptoms while six patients reported
symptoms persistence or worsening. CONCLUSION: Age, breast size, but not body
mass index, have a positive correlation with the CTS.
PMID- 22072462
TI - High definition ultrasound as diagnostic adjunct for incomplete carpal tunnel
release.
AB - Carpal tunnel syndrome is the most common nerve entrapment in the upper limb and
carpal tunnel release (CTR) provides the most predictable outcome and relief of
symptoms. Incomplete carpal tunnel releases are uncommon, however, in the event
of incomplete surgical releases, symptoms following such incomplete releases tend
to be more severe than the symptoms presented at the initial complaint. We
present our experience in utilizing high definition ultrasound to reliably and
accurately localize the anatomical cause to aid focused revision CTR.
PMID- 22072463
TI - Opponoplasty without postoperative immobilization.
AB - Opponoplasty using tendon transfer is a useful reconstructive procedure that
restores lost thenar muscle function. Tendon transfers, however, require
postoperative immobilization periods of up to four weeks before the sutured
tendons reach required strength. We developed an opponoplasty procedure using
alpha-TCP (alpha-tricalcium phosphate) cement that does not require postoperative
immobilization and was applied to nine hands out of nine cases. The procedure is
performed under local anesthesia without a pneumatic tourniquet and on an
outpatient basis. In this procedure, the flexor digitorum superficialis of the
ring finger is used as the donor tendon and the palmaris longus tendon is used as
a dynamic pulley. The distal end of the transferred tendon is anchored to the
inside of a newly formed bone hole in the thumb's proximal phalanx using alpha
TCP cement. Our opponoplasty procedure was uneventful postoperatively and
produced satisfactory results in all nine cases. The alpha-TCP cement procedure
shows potential for other tendon transfer applications.
PMID- 22072464
TI - Outcomes of silastic trapezium replacements.
AB - Trapezio-metacarpal joint arthritis is common, affecting 7% of men and 15% of
women. Numerous surgical techniques are described for this condition but it is
not clear which is best. Eighty-six silicone trapezium replacements were assessed
at an average of 46 months (six to 156 months) postoperatively for patient
satisfaction, pain, key and power grip strength, range of motion, complications
and disability with DASH score. Patient satisfaction was 92%. There was excellent
pain reduction from 4.2 to 0.6 on a scale of 0 to 5. Strength was 72% and 84% of
age-sex-matched normal data for key and power grips, respectively. The
complication rate was low, with two cases of persistent pain. One resolved
spontaneously, the other resolved following revision of the silicone implant. The
average DASH score was 30. Silicone trapezium replacement is an effective
operation that offers the patient good pain relief, strength and good function
with few complications.
PMID- 22072465
TI - Hand infection in diabetic patients.
AB - The diabetic hand infection is less reported in the literature. Therefore, it is
easily ignored and underestimated resulting in increased morbidity among the
diabetic population. Diabetic hand is a rapid in progression, extensive and
severe tissue destruction. We analyze the clinical course and outcome of hand
infection in diabetic patients. We reviewed all the admissions with hand
infection from January 2006-April 2010. Thirty-seven patients were found with
associated diabetes mellitus. The demographic data, culture report, number of
operations and management, hospital stay and outcome-like amputation were
recorded. The average age was 62 years. Pain and swelling were the chief
complaints. The cause of infection was varied. The infection was superficial in
13 and deep in 24 patients. Forty-one percent of culture report revealed
polymicrobial organism. The increased length of hospital stay, reoperations and
amputation were associated with deep infection and polymicrobial organism. Prompt
medical and surgical attentions are the most important factors. A proper glycemic
control, elevation of the affected extremity, thorough and adequate surgical
debridement and appropriate antibiotics are the important considerations when
dealing with diabetic hand infection.
PMID- 22072466
TI - Proximal phalanx injection for trigger finger: randomized controlled trial.
AB - Trigger finger is one of the most common upper extremity problems in the
outpatient department. Conservative treatment is the mainstay for management of
trigger digits especially steroid injection with highly satisfactory outcome and
minimal complication. Conventional injection technique (CI) that approaches
flexor tendon sheath over metacarpal head directly causes pain for most patients.
The proximal phalanx injection technique (P1I) at palmar surface of midproximal
phalanx is simple and less painful for the patients. We compared pain result and
effectiveness between these two methods. Forty patients with primary trigger
fingers were placed in a prospective randomized study to receive steroid
injection with either the CI or P1I techniques. Demographic data were recorded.
Immediately after the injection, pain score was recorded for each patient using
the pain visual analog scale. The patients were followed every month for three
months to determine recurrent symptoms. Student's t-test, chi-square and Fisher's
exact test were used for data analysis. The mean pain VAS scores immediately post
injection were 7.3 +/- 1.3 and 3.2 +/- 2.2 in the CI and P1I techniques,
respectively. The P1I technique group had a significantly lower pain score than
CI technique group (p < 0.001). The recurrence rate was 15% in the CI technique
when compared to 25% in the P1I technique which was not significant (p = 0.685).
We concluded that the P1I technique is less painful than the CI technique without
any significant difference in recurrence rate between the two groups at three
months follow-up.
PMID- 22072467
TI - Suppurative arthritis involving the synchondrosis of an os acromiale.
AB - Os acromiale is an unfused epiphysis of the anterior part of the acromion
occurring in approximately 8% of the population. Infection of this joint has not
been previously described in the literature. We report such a case in a 59-year
old woman presenting with shoulder pain. A high index of clinical suspicion, with
early imaging of the shoulder leading to prompt and definitive diagnosis, can
lead to appropriate treatment and produce a favorable outcome.
PMID- 22072468
TI - Intramedullary nailing for pathological fractures of the ulna.
AB - Pathological lesions of long bones increase the morbidity of many common cancers.
The orthopedic management of metastatic skeletal lesions can be challenging. The
ultimate aim is to provide patients with a painless, functional limb. We present
a report of two cases were a novel minimally invasive long bone nailing technique
has been utilized to achieve skeletal stability and alleviation of symptoms.
PMID- 22072469
TI - Remodeling of distal ulna after excision arthroplasty for acute comminuted distal
ulnar fracture: a case report.
AB - Bone adaptation after excision arthroplasty of distal radioulnar joint in an
acute traumatic distal ulna fracture has never been reported. A case of
irreparable and comminuted distal ulnar head fracture was managed by excising the
ulna head and repairing the fovea (deep ligament) attachments of distal
radioulnar ligaments, and then an unexpected remodeling of the distal ulna with
the shape of pole and seat was noted. The anatomy of deep fibers of distal
radioulnar ligament is important not only in biomechanics about the stability of
distal radioulnar joint but it is also possible in the morphology about the shape
of the distal radioulnar joint.
PMID- 22072470
TI - Double plate fixation for correction of the malunited distal ulna fracture: a
case report.
AB - A 62-year-old woman visited our hospital one year after a motor vehicle accident
complaining of ulnar wrist pain and restricted pronation and supination.
Radiographs showed a 35 degrees angular deformity at the ulnar neck. Closing
wedge osteotomy was performed using two plates for stabilization. Twenty-four
months postoperatively, the osteotomy site united without correction loss and the
patient gained adequate pronation and supination. To the best of our knowledge,
this represents the first report of corrective osteotomy for the treatment of
malunited ulnar neck fracture. Although salvage operations such as ulnar head
resection and the Sauve-Kapandji procedure may provide reasonable results,
anatomical repair can be considered as an option.
PMID- 22072471
TI - Carpal tunnel syndrome caused by a ganglion in the carpal tunnel with an atypical
type of palsy: a case report.
AB - Carpal tunnel syndrome caused by a ganglion is a rare condition. We report a case
which presented with a rapidly progressive onset of symptoms and subsequent
thenar palsy.
PMID- 22072472
TI - Median nerve palsy: a complication of brachial artery cardiac catheterization.
AB - The patient, an 83-year-old male with ischemic cardiac disease, was examined
using a periodical left brachial artery cardiac catheterization. Six hours after
the examination, complete median nerve palsy appeared. Four months later, the
median nerve was explored and found to be compressed by a hematoma in the
brachial artery. The location of the hematoma was at 6 cm proximal to the
puncturing point, because the catheter may have penetrated the brachial artery
from the lumen. The median nerve was released and the hematoma removed. One year
after surgery, the median nerve palsy had disappeared.
PMID- 22072473
TI - Closed radial wedge osteotomy for Preiser's disease: a report of four cases.
AB - PURPOSE: To investigate the clinical outcome of closed radial wedge osteotomy
(CRWO) for Preiser's disease. METHODS: CRWO was performed for four patients with
Preiser's disease between 2000 and 2005. All patients were diagnosed by X-ray and
magnetic resonance imaging (MRI) scans. Separation gaps in the radioscaphoid
joint were observed to evaluate the decompression in the area. Clinical
evaluation was performed using Cooney's scoring system. RESULTS: Although wrist
pain persisted in patients with radioscaphoid arthritis change, marked mitigation
of wrist pain was confirmed in three patients. The average clinical score was 66,
with good in one, fair in two, and poor in one. Restriction of wrist movement by
CRWO caused lower clinical scores. No patients had wrist arthrodesis at the last
follow-up evaluation. CONCLUSIONS: Short-term observation showed disappointing
clinical results; however, for relief of wrist pain, this method is a potential
surgical procedure for Preiser's disease under limited conditions.
PMID- 22072474
TI - Isolated complete volar dislocation of the capitate: a case report.
AB - Total dislocation of the capitate is an extremely rare event. We report on one
such unusual case. The complete expulsion of the capitate from its physiological
position is difficult to diagnose. Standard parameters of the antero-posterior
and lateral radiological do not head to a definite diagnosis. In our patient, the
only real diagnostic tool was the clinical assessment and the Gilula arches
alteration to the standard antero-posterior projection. In the period following
trauma, the patient reported a very high level of pain in the wrist. Since this
could not be correlated to the lesions that had been diagnosed, we hypothesized
the presence of any carpal bones damage. A definite diagnosis was obtained at CT
scan, which also revealed the absence of any fractures.
PMID- 22072475
TI - Free fibular graft reconstruction following resection of chondrosarcoma in the
first metacarpal.
AB - Chondrosarcoma is most frequently present in the pelvis and long bones and rarely
seen in the bones of the hand. Traditionally the treatment of choice for
involvment of the hand is ray amputation, however this causes significant
functional deficit if there is thumb involvement. There are limited cases in
literature of resection of thumb chondrosarcoma with restoration of function. We
present a case of high grade chondrosarcoma of the first metacarpal treated with
resection and free fibular graft reconstruction. We report excellent functional
outcome with this procedure.
PMID- 22072476
TI - Reconstruction of the thumb with a modified wrap-around flap in a patient
suffering from beta-thalassemia minor.
AB - Thalassemia is a congenital hemolytic disorder caused by a partial or complete
deficiency of alpha- or beta-globin chain synthesis. It has been seen that
thalassemic patients exhibit an increased frequency of thrombotic events. The
article presents the first case of thumb reconstruction with a modified wrap
around flap in a patient suffering from beta-thalassemia minor.
PMID- 22072477
TI - Multiple localized giant cell tumor of the tendon sheath (GCTTS) affecting a
single tendon: a very rare case report and review of recent cases.
AB - INTRODUCTION: Giant cell tumors of the tendon sheath (GCTTS) are very common.
More recently, a small number of case reports have identified the presence of
multifocal GCTTS in the hand. These case reports have identified the presence of
a maximum of two simultaneous lesions of a giant cell tumor affecting the same
tendon sheath. We present an exceptionally rare case of simultaneous multiple
localized GCTTS in which five lesions were identified on a single tendon
simultaneously. This number of lesions on a single tendon has never been
previously reported. CASE: A 37-year-old tree surgeon initially complained of
pain in the region of the base of the ring and little fingers. A month later, he
developed multiple soft tissue swellings at these sites and a soft tissue mass in
the center of the palm relating to the left ring finger. A magnetic resonance
imaging (MRI) scan suggested multiple GCTTS. These masses were excised completely
without MRI evidence of a recurrence. Multiple GCTTS should be a differential
diagnosis of multiple soft tissue swellings in the hand with an MRI scan and
complete excision being the appropriate imaging and treatment modality
respectively.
PMID- 22072478
TI - Closed traumatic rupture of both extensor tendons of the index finger in zone VI:
a case report.
AB - The patient with closed traumatic rupture of both extensor tendons of the index
finger in zone VI was described.
PMID- 22072479
TI - Distal phalangeal reconstruction for recurrent intraosseous epidermoid cyst of
the finger - a case report.
AB - Intraosseous epidermoid cyst of the finger phalanx is rare. We report a case of
postoperative recurrent intraosseous epidermoid cyst of the distal phalanx of the
ring finger. To prevent further recurrence while maintaining morphology and
function, the distal half of the distal phalanx that included the epidermoid cyst
was resected to completely remove the lesion. The distal phalanx was then
reconstructed by grafting corticocancellous bone from the ilium and shaped into a
distal phalanx. The operation was performed using a through-the-nail approach,
temporarily removing the nail and placing a longitudinal incision in the nail bed
to approach the phalanx. Postoperatively, bone fusion was achieved without
recurrence and the shape of the distal phalanx was normal. Distal phalangeal
hypertrophy and nail plate deformity also normalized and excellent results were
obtained.
PMID- 22072480
TI - The "local dorsal adipofascial flap" for volar digital defects: a case report.
AB - The coverage of volar digital defects can be achieved by a variety of surgical
options. Although frequently used and reliable, these procedures entail the need
for a second stage for flap release, meticulous dissection, sacrifice of a
digital artery of the uninvolved digit, or delayed mobilization resulting in
digital stiffness. The ideal surgical procedure for such defects should be a
simple, single-stage surgery that allows early mobilization and return to
function and at the same time avoiding unnecessary donor site morbidity from an
uninvolved digit. The "local dorsal adipofascial flap" is a simple procedure that
does not need a secondary procedure for flap release and affords early range of
motion and return to function. We used this new flap technique in two digits with
volar defects in a single patient. At ten months after flap coverage, the fingers
were fully functional with good healing of the "local dorsal adipofascial flap."
PMID- 22072481
TI - Nail hypertrophy after elective surgery on the little finger: a case report.
AB - The authors present a case that developed acute painful nail hypertrophy of the
ipsilateral four fingers which resembled finger clubbing after undergoing
elective hand surgery on the little finger. The patient was diagnosed as having
complex regional pain syndrome (CRPS), and the nail hypertrophy subsided
gradually on pain control, and completely normalized one year after onset.
Recognizing this phenomenon may help initiate early treatment for CRPS and avoid
unnecessary antibiotic or antifungal medications for postoperative infection.
PMID- 22072482
TI - Triangular flaps: a modified technique for the correction of congenital
constriction ring syndrome.
AB - Congenital constriction ring syndrome (CCRS) is a congenital anomaly with a wide
spectrum of clinical presentation. The accepted method of correcting a circular
constriction is to excise the deep part of the constriction, and break the line
of the circular scar with multiple Z- or W-plasties. Specific correction of soft
tissue is recommended concurrently, to better correct the "sandglass" deformity.
Here we describe a new technique using triangular advancement flaps to correct
the deformity in eight patients with constriction ring syndrome, involving either
the upper or lower limbs. In this technique, triangular flaps can be introduced
either proximal or distal to the ring, depending on the limb circumference, to
correct the contour deformity. In all eight patients, a normal extremity contour
was obtained, with complete elimination of the deformity caused by the
constriction ring. A mean follow-up of 43 months revealed an aesthetically
acceptable scar, with no case of recurrence. Triangular flaps designed either
proximal or distal to the constriction ring is able to correct the contour
deformity of the limbs with great versatility and acceptable aesthetic results.
PMID- 22072483
TI - Digital artery perforator flap for reconstruction of fingertip after resection of
melanoma in situ.
AB - A case of 40-year-old female with melanoma in situ on her left index finger is
presented. When treating melanoma in situ, it is recommended to have 3 mm margin
and to include subcutaneous fat layer for resection of the tumor. To reconstruct
the fingertip with the exposed distal phalanx, we used digital artery perforator
flap. Since the resection of melanoma in situ in the appropriate manner would
result in low risk of recurrence of the tumor, unlike melanoma of more deeply
infiltrating types, it is important to use flaps which are easy to raise,
functionally superior, and cosmetically excellent, The drawbacks of using digital
artery perforator flap is that the operator is not able to tell if the flap
includes the perforator until the flap is raised, since there is a risk of
damaging the perforator when trying to expose it to visually confirm that it is
included in the flap.
PMID- 22072484
TI - Consenting for the application of tourniquet in young female patients with hand
and wrist injuries who have a contraceptive implant.
PMID- 22072485
TI - Prognostication in primary myelofibrosis.
AB - Primary myelofibrosis (PMF) is a Philadelphia chromosome-negative chronic
myeloproliferative neoplasm usually affecting elderly people. Median survival
currently approaches 6 years, with a few patients surviving more than 20 years
but others dying soon after diagnosis. In 10% to 20% of patients, PMF evolves
into acute leukemia. Conventional treatment is merely palliative and does not
prolong survival. The only chance for cure is allogeneic hemopoietic stem-cell
transplantation (allo-HSCT), which is associated with high morbidity and
mortality. Introduction of less aggressive forms of allo-HSCT and the prospect of
molecular-targeted therapies has renewed interest in prognostication in PMF. The
most important prognostic factors are anemia, age over 65 years, constitutional
symptoms, leukocytosis, blood blasts, and some cytogenetic abnormalities. These
factors have recently been systematized into an International Prognostic Scoring
System (IPSS) and further refined in a dynamic IPSS (DIPSS), useful at any time
over the disease course, as well as a DIPSS-plus model including karyotypic
information.
PMID- 22072486
TI - Development of a double-layer microfluidic chip with flow medium for chemotherapy
resistance analysis of lung cancer.
AB - Integration and miniaturization are main advantages of microchip-based systems.
Vertical integration of the multiple operations within a multiple-layer chip is
expected to satisfy the urgent demand for high-throughput and large-scale
applications. This study aimed at establishing a double-layer chip to integrate
the operations including the cell culture, the identification of the protein and
the detection of the cell viability onto a platform systematically and supplied
with flow fresh medium continuously via a syringe pump to mimic the
microenvironment in vivo. With this device, human non-small cell lung cancer cell
line (SPCA-1) was cultured well; the expression and the activity of multidrug
resistance-associated protein (MRP1) were detected by immunofluorescence assay
for the cells pretreated with or without MK-571, a known inhibitor of MRP1;
apoptosis percentages were assayed for the cells after being treated by the
anticancer drug etoposide (VP-16). The results demonstrated that the function of
the MRP1 was inhibited by MK-571, and the percentage of apoptotic for the cells
pretreated with MK-571 was higher than that of the control (38.2+/-2.5% versus
12.3+/-0.85%, p<0.005). All these indicated that the new device could provide a
suitable condition for cell culture and functional analysis in biomedical
research, and MK-571 is an effective inhibitor of MRP1 associated with the
viability of SPCA-1 cell line treated by VP-16.
PMID- 22072487
TI - [How to better understand magnetic resonance tomography, recognise risks for
blindness, evaluate contrast vision and correct a glaucoma myth].
PMID- 22072488
TI - [Magnetic resonance imaging in neuro-ophthalmological diagnostics].
AB - Magnetic resonance imaging has become the most important tomographic imaging
technique in neuro-ophthalmological diagnostics. A short introduction to the
basic physical principles of MRI followed by a glossary summarising the relevant
sequences used in neuro-ophthalmologic diagnostics provides an insight into this
complex method. Differences and specific diagnostic values of every sequence are
demonstrated with the help of sample images.
PMID- 22072489
TI - [Experience in contrast vision testing].
AB - INTRODUCTION: Since July 2011 driving licensing in Germany requires contrast
vision to be tested. Recommendations are available for testing under mesopic
conditions only. This study is aimed to provide recommendations for photopic
testing. METHOD: 169 persons were tested both under mesopic (Mesotest) and
photopic (Optovist) conditions. RESULTS: With the Mesotest the setting without
glare and the requirement to recognize contrast 1:5 or with glare contrast 1:23
proved to be suitable. With the Optovist visual acuity size 0.4 without and 0.25
with glare proved to be adequate, if the requirement is to recognize the limit of
5 %. DISCUSSION: Not unexpectedly, there is no perfect congruence between the
tests. We therefore recommend that the screening methods used in industrial
medicine need to be sufficiently sensitive and specific, unfortunately this is
met only by some of the conditions supplied with the instruments.
PMID- 22072490
TI - [Tongue necrosis as first clinical manifestation of Horton giant cell arteritis].
PMID- 22072491
TI - Neoplastic MiR-17~92 deregulation at a DNA fragility motif (SIDD).
AB - Chromosomal or mutational activation of BCL6 (at 3q27) typifies diffuse large B
cell lymphoma (DLBCL) which in the germinal center subtype may be accompanied by
focal amplification of chromosome band 13q31 effecting upregulation of miR-17~92.
Using long distance inverse-polymerase chain reaction, we mapped and sequenced
six breakpoints of a complex BCL6 rearrangement t(3;13)(q27;q31)t(12;13)(p11;q31)
in DLBCL cells, which places miR-17~92 antisense within the resulting ITPR2-BCL6
chimeric fusion gene rearrangement. MiR-17~92 members were upregulated ~15-fold
over controls in a copy number independent manner consistent with structural
deregulation. MIR17HG and ITPR2-BCL6 were, despite their close configuration,
independently expressed, discounting antisense regulation. MIR17HG in
t(3;13)t(12;13) cells proved highly responsive to treatment with histone
deacetylase inhibitors implicating epigenetic deregulation, consistent with which
increased histone-H3 acetylation was detected by chromatin immunoprecipitation
near the upstream MIR17HG breakpoint. Remarkably, 5/6 DNA breaks in the
t(3;13)t(12;13) precisely cut at stress-induced DNA duplex destabilization (SIDD)
peaks reminiscent of chromosomal fragile sites, while the sixth lay 150 bp
distant. Extended SIDD profiling showed that additional oncomiRs also map to SIDD
peaks. Fluorescence in situ hybridization analysis showed that 11 of 52 (21%)
leukemia-lymphoma (L-L) cell lines with 13q31 involvement bore structural
rearrangements at/near MIR17HG associated with upregulation. As well as fueling
genome instability, SIDD peaks mark regulatory nuclear-scaffold matrix attachment
regions open to nucleosomal acetylation. Collectively, our data indict a specific
DNA instability motif (SIDD) in chromosome rearrangement, specifically
alterations activating miR-17~92 epigenetically via promoter hyperacetylation,
and supply a model for the clustering of oncomiRs near cancer breakpoints.
PMID- 22072492
TI - Phase II study of the histone deacetylase inhibitor panobinostat (LBH589) in
patients with low or intermediate-1 risk myelodysplastic syndrome.
PMID- 22072493
TI - Dietary total antioxidant capacity and gastric cancer risk in the European
prospective investigation into cancer and nutrition study.
AB - A high intake of dietary antioxidant compounds has been hypothesized to be an
appropriate strategy to reduce gastric cancer (GC) development. We investigated
the effect of dietary total antioxidant capacity (TAC) in relation to GC in the
European Prospective Investigation into Cancer (EPIC) study including 23 centers
in 10 European countries. A total of 521,457 subjects (153,447 men) aged mostly
35-70 years old, were recruited largely between 1992 and 1998. Ferric reducing
antioxidant potential (FRAP) and total radical-trapping antioxidant parameter
(TRAP), measuring reducing and chain-breaking antioxidant capacity were used to
measure dietary TAC from plant foods. Dietary antioxidant intake is associated
with a reduction in the risk of GC for both FRAP (adjusted HR 0.66; 95%CI (0.46
0.95) and TRAP (adjusted HR 0.61; 95%CI (0.43-0.87) (highest vs. lowest
quintile). The association was observed for both cardia and noncardia cancers. A
clear effect was observed in smokers with a significant reduction in GC risk for
the fifth quintile of intake for both assays (highest vs. lowest quintile:
adjusted HR 0.41; 95%CI (0.22-0.76) p for trend <0.001 for FRAP; adjusted HR
0.52; 95%CI (0.28-0.97) p for trend <0.001 for TRAP) but not in nonsmokers. In
former smokers, the association with FRAP intake was statistically significant
(highest vs. lowest quintile: adjusted HR 0.4; 95%CI (0.21-0.75) p < 0.05); no
association was observed for TRAP. Dietary antioxidant capacity intake from
different sources of plant foods is associated with a reduction in the risk of
GC.
PMID- 22072495
TI - (E)- and (Z)-beta-borylallylsilanes by alkyne silaboration followed by regio- and
stereoselective double-bond migration.
AB - Double take: beta-Borylallylsilanes have been synthesized by the regioselective
silaboration of terminal alkynes followed by palladium-catalyzed double-bond
migration of the resulting beta-borylalkenylsilanes. The stereoselectivity of the
double-bond migration can be controlled by additives, thus leading to the
stereocomplementary synthesis of (E)- and (Z)-beta-borylallylsilanes.
PMID- 22072494
TI - Androgen deprivation and thromboembolic events in men with prostate cancer.
AB - BACKGROUND: Androgen deprivation therapy (ADT) improves prostate cancer outcomes
in specific clinical settings, but is associated with adverse effects, including
cardiac complications and possibly thromboembolic complications. The objective of
this study was to estimate the impact of ADT on thromboembolic events (TEs) in a
population-based cohort. METHODS: In the linked Surveillance, Epidemiology and
End Results-Medicare database, we identified men older than 65 who were diagnosed
with nonmetastatic prostate cancer between 1999 and 2005. Medical or surgical ADT
was identified by Medicare claims for gonadotropin-releasing hormone agonists or
bilateral orchiectomy at any time following diagnosis. TEs included deep venous
thrombosis, pulmonary embolism, and arterial embolism. The impact of ADT on the
risk of any TE and on total number of events was estimated, controlling for
patient and tumor characteristics. RESULTS: Of 154,611 patients with prostate
cancer, 58,466 (38%) received ADT. During a median follow-up of 52 months, 15,950
men had at least 1 TE, including 8829 (55%) who had ADT and 7121 (45%) with no
ADT. ADT was associated with increased risk of a TE (adjusted hazard ratio =
1.56; 95% confidence interval, 1.50-1.61; P < .0001), and duration of ADT was
associated with the total number of events (P < .0001). CONCLUSIONS: In this
population-based cohort, ADT was associated with increased risk of a TE, and
longer durations of ADT were associated with more TEs. Men with intermediate- and
low-risk prostate cancer should be assessed for TE risk factors before starting
ADT and counseled regarding the risks and benefits of this therapy.
PMID- 22072496
TI - Nanoparticle cages for enzyme catalysis in organic media.
AB - Encapsulation of enzymes in Pickering emulsions results in a large interfacial
area of the enzyme-containing aqueous phase for biocatalysis in organic media.
This immobilization technique minimizes enzyme inactivation through stabilizing
immiscible liquids by particles, facilitates separation processes, and
significantly increases catalytic performance of both stable and vulnerable
enzymes. Thus, a broad technical applicability can be envisioned.
PMID- 22072497
TI - Detection of Pseudomonas aeruginosa isolates of the international clonal complex
11 carrying the blaPER-1 extended-spectrum beta-lactamase gene in Greece.
AB - OBJECTIVES: The extended-spectrum beta-lactamase (ESBL) PER-1 initially
disseminated among Pseudomonas aeruginosa strains in Turkey. Despite reports from
other European countries, such strains have not been detected in Greece until
now. We describe the first bla(PER-1)-positive P. aeruginosa isolates from Greece
and their genetic environment. METHODS: From January 2008 to December 2009, 287
consecutive non-duplicate P. aeruginosa isolates with reduced susceptibility or
resistance to ceftazidime (MIC >8 mg/L) were screened for ESBL production with a
modified boronic acid-based double-disc synergy test. Phenotypically ESBL
positive isolates were subjected to agar dilution, PFGE and multilocus sequence
typing (MLST). Broad-spectrum bla genes were identified by PCR and sequencing.
Plasmid analysis and conjugation experiments were performed. The location of the
bla(PER-1) gene was detected by Southern blotting and its genetic environment was
characterized using inverse PCR. RESULTS: Five isolates were phenotypically
positive for ESBL production, exhibited resistance to cefepime, ceftazidime,
aztreonam and meropenem, and carried the bla(PER-1) gene. MLST showed that they
belonged to sequence type (ST) 235, which belongs to the international clonal
complex 11. Four isolates had the same PFGE pattern. Southern blotting revealed
the chromosomal location of the bla(PER-1) gene. Analysis of the bla(PER-1)
flanking regions showed identity to transposon Tn1213 downstream and 1406 bp
upstream of bla(PER-1). Further upstream, an orfA gene and ISPa12 were
identified; both were truncated by the insertion of IS6100. CONCLUSIONS: This
study confirmed the presence of PER-1-producing P. aeruginosa strains in Greece.
The chromosomal location of bla(PER-1), as part of a truncated transposon,
suggests clonal expansion rather than horizontal gene transfer.
PMID- 22072499
TI - [Pediatric hyperglycemic hyperosmolar coma diabeticum: diagnostic evaluation and
therapeutic concept].
AB - Hyperglycemic hyperosmolar coma diabeticum (HHS) is a rare phenomenon in
pediatric patients. It causes major morbidity and significant mortality. It is
characterized by the trias of hyperglycemia, hyperosmolality and absent or mild
metabolic acidosis. Major complications include cerebral edema and
rhabdomyolysis. Evidence based guidelines for HHS in children are lacking. Based
on a literature review we discuss treatment options in pediatric HHS und suggest
a therapeutic concept. Appropriate treatment consists of adequate fluid
administration and a cautious lowering of the serum glucose level. Patients
should be treated on an intensive care unit and monitored closely to avoid
complications. Low-dose and late insulin administration seems to be favourable.
PMID- 22072498
TI - Genome-wide association of an integrated osteoporosis-related phenotype: is there
evidence for pleiotropic genes?
AB - Multiple musculoskeletal traits assessed by various methods at different skeletal
sites serve as surrogates for osteoporosis risk. However, it is a challenge to
select the most relevant phenotypes for genetic study of fractures. Principal
component analyses (PCA) were conducted in participants of the Framingham
Osteoporosis Study on 17 measures including bond mineral density (BMD) (hip and
spine), heel ultrasound, leg lean mass (LLM), and hip geometric indices,
adjusting for covariates (age, height, body mass index [BMI]), in a combined
sample of 1180 men and 1758 women, as well as in each sex. Four principal
components (PCs) jointly explained ~69% of the total variability of
musculoskeletal traits. PC1, explaining ~33% of the total variance, was referred
to as the component of "Bone strength," because it included the hip and spine BMD
as well as several hip cross-sectional properties. PC2 (20.5% variance) was
labeled as "Femoral cross-sectional geometry;" PC3 (~8% variance) captured only
ultrasound measures; PC4, explaining ~7% variance, was correlated with LLM and
hip geometry. We then evaluated ~2.5 mil SNPs for association with PCs 1, 2, and
4. There were genome-wide significant associations (p < 5 * 10-8) between PC2 and
HTR1E (that codes for one of the serotonin receptors) and PC4 with COL4A2 in
women. In the sexes-combined sample, AKAP6 was associated with PC2 (p = 1.40 * 10
7). A single nucleotide polymorphism (SNP) in HTR1E was also associated with the
risk of nonvertebral fractures in women (p = 0.005). Functions of top associated
genes were enriched for the skeletal and muscular system development (p < 0.05).
In conclusion, multivariate combination provides genetic associations not
identified in the analysis of primary phenotypes. Genome-wide screening for the
linear combinations of multiple osteoporosis-related phenotypes suggests that
there are variants with potentially pleiotropic effects in established and novel
pathways to be followed up to provide further evidence of their functions.
PMID- 22072500
TI - Unsteady electroosmosis in a microchannel with Poisson-Boltzmann charge
distribution.
AB - The present study is concerned with unsteady electroosmotic flow (EOF) in a
microchannel with the electric charge distribution described by the Poisson
Boltzmann (PB) equation. The nonlinear PB equation is solved by a systematic
perturbation with respect to the parameter lambda which measures the strength of
the wall zeta potential relative to the thermal potential. In the small lambda
limits (lambda<<1), we recover the linearized PB equation - the Debye-Huckel
approximation. The solutions obtained by using only three terms in the
perturbation series are shown to be accurate with errors <1% for lambda up to 2.
The accurate solution to the PB equation is then used to solve the electrokinetic
fluid transport equation for two types of unsteady flow: transient flow driven by
a suddenly applied voltage and oscillatory flow driven by a time-harmonic
voltage. The solution for the transient flow has important implications on EOF as
an effective means for transporting electrolytes in microchannels with various
electrokinetic widths. On the other hand, the solution for the oscillatory flow
is shown to have important physical implications on EOF in mixing electrolytes in
terms of the amplitude and phase of the resulting time-harmonic EOF rate, which
depends on the applied frequency and the electrokinetic width of the microchannel
as well as on the parameter lambda.
PMID- 22072501
TI - Expression profiles of carcinosarcoma of the uterine corpus-are these similar to
carcinoma or sarcoma?
AB - Uterine carcinosarcoma (CS) is usually classified as uterine endometrial
carcinoma (EC). However, CS is more aggressive even compared with high grade EC.
CS is also reported to undergo epithelial to mesenchymal transition (EMT). In
this study, we compared the gene expression profiles of CS, EC, and uterine
sarcoma (US) and evaluated the role of EMT and chromosomal aberrations in CS
tumor formation. Frozen tissues of 46 patients (14 CS, 24 EC, and 8 US) were
included. The similarity was examined by Gene Set Enrichment Analysis (GSEA),
Fisher's exact test, and clustering using "intrinsic gene set". We examined the
expression of 39 EMT-related genes and evaluated TGF-beta signaling by phospho
SMAD2/3 (p-SMAD2/3) staining. Chromosomal regions differing between CS and EC
were identified by chromosomal GSEA and comparative genomic hybridization (CGH)
microarrays. Three statistical methods confirmed that CS resembled US rather than
EC. Acquired markers of EMT were upregulated and attenuated markers of EMT were
downregulated in CS. Immunohistochemistry showed that carcinomatous region of CS
have higher expression of p-SMAD2/3 than EC (P = 0.008). Chromosomal GSEA showed
that genes located at 19q13 had higher expression in CS. Furthermore, CGH
microarray indicated that the TGFB1 locus at 19q13.1 was amplified in 4 of 7
samples. Based on the expression profile, CS resembles US rather than EC. TGF
beta signaling is activated in CS and chromosomal gains at 19q13, which includes
the TGFB1 locus, suggest that this may contribute to high expression of TGF-beta
and thereby EMT phenotype of CS.
PMID- 22072502
TI - Salvage therapy with endostatin, low-dose homoharringtonine, and cytarabine in
combination with granulocyte-colony stimulating factor for elderly patients with
primary refractory acute myeloid leukemia.
PMID- 22072503
TI - In vitro and in vivo characterization of a novel Hedgehog signaling antagonist in
human glioblastoma cell lines.
AB - Glioblastoma multiforme (GBM) is composed of heterogeneous and genetically
different cells, which are highly invasive and motile. The standard
chemotherapeutic agent, temozolomide, affects GBM cell proliferation but is
generally unable to prevent tumor recurrence. Hedgehog pathway activation has
been reported to be relevant in GBM and different pharmacological pathway
modulators have been identified. We report that by growing a commercially
available recurrent GBM cell line (DBTRG-05MG) without serum and in the presence
of defined growth factors; we obtained a less differentiated cell population,
growing in suspension as neurospheres, in which the Hedgehog pathway is
activated. Furthermore, the expression profile of Hedgehog pathway components
found in DBTRG-05MG neurospheres is similar to primary stem-like cells derived
from recurrent GBM patients. We report the effect of our novel specific
Smoothened receptor antagonist (SEN450) on neurosphere growing cells and compared
its effect to that of well known benchmark compounds. Finally, we showed that
SEN450 is both antiproliferative on its own and further reduces tumor volume
after temozolomide pretreatment in a mouse xenograft model using DBTRG-05MG
neurosphere cells. Altogether our data indicate that the Hedgehog pathway is not
irreversibly switched off in adherent cells but can be reactivated when exposed
to well-defined culture conditions, thus restoring the condition observed in
primary tumor-derived material, and that pharmacological modulation of this
pathway can have profound influences on tumor proliferation. Therefore,
pharmacological inhibition of the Hedgehog pathway is a potentially useful
therapeutic approach in GBM.
PMID- 22072504
TI - Androgen deprivation for prostate cancer: the case for "first, do no harm".
PMID- 22072505
TI - Glutamate transporter dysfunction associated with nerve injury-induced pain in
mice.
AB - Dysfunction at glutamatergic synapses has been proposed as a mechanism in the
development of neuropathic pain. Here we sought to determine whether peripheral
nerve injury-induced neuropathic pain results in functional changes to primary
afferent synapses. Signs of neuropathic pain as well as an induction of glial
fibrillary acidic protein in immunostained spinal cord sections 4 days after
partial ligation of the sciatic nerve indicated the induction of neuropathic
pain. We found that following nerve injury, no discernable change to kinetics of
dl-alpha-amino-3-hydroxy-5-methylisoxazole-propionic acid (AMPA) or N-methyl-d
aspartate receptor (NMDAR)-mediated evoked excitatory postsynaptic currents
(eEPSCs) could be observed in dorsal horn (lamina I/II) neurons compared with
those of naive mice. However, we did find that nerve injury was accompanied by
slowed decay of the early phase of eEPSCs in the presence of glutamate
transporter inhibition by the competitive nontransportable inhibitor dl-threo
beta-benzyloxyaspartic acid (TBOA). Concomitantly, expression patterns for the
two major glutamate transporters in the spinal cord, excitatory amino acid
transporters (EAAT) 1 and EAAT2, were found to be reduced at this time (4 days
postinjury). We then sought to directly determine whether nerve injury results in
glutamate spillover to NMDARs at dorsal horn synapses. By employing the use
dependent NMDAR blocker (+/-)MK-801 to block subsynaptic receptors, we found that
although TBOA-induced spillover to extrasynaptic receptors trended to increased
activation of these receptors after nerve injury, this was not significant
compared with naive mice. Together, these results suggest the development of
neuropathic pain involves subtle changes to glutamate transporter expression and
function that could contribute to neuropathic pain during excessive synaptic
activity.
PMID- 22072506
TI - Single-neuron recordings from unanesthetized mouse dorsal cochlear nucleus.
AB - Because of the availability of disease and genetic models, the mouse has become a
valuable species for auditory neuroscience that will facilitate long-term goals
of understanding neuronal mechanisms underlying the perception and processing of
sounds. The goal of this study was to define the basic sound-evoked response
properties of single neurons in the mouse dorsal cochlear nucleus (DCN). Neurons
producing complex spikes were distinguished as cartwheel cells (CWCs), and other
neurons were classified according to the response map scheme previously developed
in DCN. Similar to observations in other rodent species, neurons of the mouse DCN
exhibit relatively little sound-driven inhibition. As a result, type III was the
most commonly observed response. Our findings are generally consistent with the
model of DCN function that has been developed in the cat and the gerbil,
suggesting that this in vivo mouse preparation will be a useful tool for future
studies of auditory physiology.
PMID- 22072508
TI - Neural mechanisms of intermuscular coherence: implications for the rectification
of surface electromyography.
AB - Oscillatory activity plays a crucial role in corticospinal control of muscle
synergies and is widely investigated using corticospinal and intermuscular
synchronization. However, the neurophysiological mechanisms that translate these
rhythmic patterns into surface electromyography (EMG) are not well understood.
This is underscored by the ongoing debate on the rectification of surface EMG
before spectral analysis. Whereas empirical studies commonly rectify surface EMG,
computational approaches have argued against it. In the present study, we employ
a computational model to investigate the role of the motor unit action potential
(MAUP) on the translation of oscillatory activity. That is, diverse MUAP shapes
may distort the transfer of common input into surface EMG. We test this in a
computational model consisting of two motor unit pools receiving common input and
compare it to empirical results of intermuscular coherence between bilateral leg
muscles. The shape of the MUAP was parametrically varied, and power and coherence
spectra were investigated with and without rectification. The model shows that
the effect of EMG rectification depends on the uniformity of MUAP shapes. When
output spikes of different motor units are convolved with identical MUAPs,
oscillatory input is evident in both rectified and nonrectified EMG. In contrast,
a heterogeneous MAUP distribution distorts common input and oscillatory
components are only manifest as periodic amplitude modulations, i.e., in
rectified EMG. The experimental data showed that intermuscular coherence was
mainly discernable in rectified EMG, hence providing empirical support for a
heterogeneous distribution of MUAPs. These findings implicate that the shape of
MUAPs is an essential parameter to reconcile experimental and computational
approaches.
PMID- 22072507
TI - Mitochondria-derived superoxide and voltage-gated sodium channels in baroreceptor
neurons from chronic heart-failure rats.
AB - Our previous study has shown that chronic heart failure (CHF) reduces expression
and activation of voltage-gated sodium (Na(v)) channels in baroreceptor neurons,
which are involved in the blunted baroreceptor neuron excitability and contribute
to the impairment of baroreflex in the CHF state. The present study examined the
role of mitochondria-derived superoxide in the reduced Na(v) channel function in
coronary artery ligation-induced CHF rats. CHF decreased the protein expression
and activity of mitochondrial complex enzymes and manganese SOD (MnSOD) and
elevated the mitochondria-derived superoxide level in the nodose neurons compared
with those in sham nodose neurons. Adenoviral MnSOD (Ad.MnSOD) gene transfection
(50 multiplicity of infection) into the nodose neurons normalized the MnSOD
expression and reduced the elevation of mitochondrial superoxide in the nodose
neurons from CHF rats. Ad.MnSOD also partially reversed the reduced protein
expression and current density of the Na(v) channels and the suppressed cell
excitability (the number of action potential and the current threshold for
inducing action potential) in aortic baroreceptor neurons from CHF rats. Data
from the present study indicate that mitochondrial dysfunction, including
decreased protein expression and activity of mitochondrial complex enzymes and
MnSOD and elevated mitochondria-derived superoxide, contributes to the reduced
Na(v) channel activation and cell excitability in the aortic baroreceptor neurons
in CHF rats.
PMID- 22072509
TI - Parameterization of transcranial magnetic stimulation.
AB - A recent study (Di Lazzaro et al. J Neurophysiol 105: 2150-2156, 2011) describes
the findings from a rigorous comparison on the effects of several popular
variations of transcranial magnetic stimulation (TMS) protocols. The results
demonstrate that excitatory and inhibitory neural networks may be independently
modulated based on TMS protocol selection. Moreover, the within-group replication
of multiple between-group experiments suggests that independent evaluations of
TMS parameters will continue to inform and guide future TMS research.
PMID- 22072511
TI - Spiking and LFP activity in PRR during symbolically instructed reaches.
AB - The spiking activity in the parietal reach region (PRR) represents the spatial
goal of an impending reach when the reach is directed toward or away from a
visual object. The local field potentials (LFPs) in this region also represent
the reach goal when the reach is directed to a visual object. Thus PRR is a
candidate area for reading out a patient's intended reach goals for neural
prosthetic applications. For natural behaviors, reach goals are not always based
on the location of a visual object, e.g., playing the piano following sheet music
or moving following verbal directions. So far it has not been directly tested
whether and how PRR represents reach goals in such cognitive, nonlocational
conditions, and knowing the encoding properties in various task conditions would
help in designing a reach goal decoder for prosthetic applications. To address
this issue, we examined the macaque PRR under two reach conditions: reach goal
determined by the stimulus location (direct) or shape (symbolic). For the same
goal, the spiking activity near reach onset was indistinguishable between the two
tasks, and thus a reach goal decoder trained with spiking activity in one task
performed perfectly in the other. In contrast, the LFP activity at 20-40 Hz
showed small but significantly enhanced reach goal tuning in the symbolic task,
but its spatial preference remained the same. Consequently, a decoder trained
with LFP activity performed worse in the other task than in the same task. These
results suggest that LFP decoders in PRR should take into account the task
context (e.g., locational vs. nonlocational) to be accurate, while spike decoders
can robustly provide reach goal information regardless of the task context in
various prosthetic applications.
PMID- 22072510
TI - A critical window of CAG repeat-length correlates with phenotype severity in the
R6/2 mouse model of Huntington's disease.
AB - The R6/2 mouse is the most frequently used model for experimental and preclinical
drug trials in Huntington's disease (HD). When the R6/2 mouse was first
developed, it carried exon 1 of the huntingtin gene with ~150 cytosine-adenine
guanine (CAG) repeats. The model presented with a rapid and aggressive phenotype
that shared many features with the human condition and was particularly similar
to juvenile HD. However, instability in the CAG repeat length due to different
breeding practices has led to both decreases and increases in average CAG repeat
lengths among colonies. Given the inverse relationship in human HD between CAG
repeat length and age at onset and to a degree, the direct relationship with
severity of disease, we have investigated the effect of altered CAG repeat
length. Four lines, carrying ~110, ~160, ~210, and ~310 CAG repeats, were
examined using a battery of tests designed to assess the basic R6/2 phenotype.
These included electrophysiological properties of striatal medium-sized spiny
neurons, motor activity, inclusion formation, and protein expression. The results
showed an unpredicted, inverted "U-shaped" relationship between CAG repeat length
and phenotype; increasing the CAG repeat length from 110 to 160 exacerbated the
R6/2 phenotype, whereas further increases to 210 and 310 CAG repeats greatly
ameliorated the phenotype. These findings demonstrate that the expected
relationship between CAG repeat length and disease severity observed in humans is
lost in the R6/2 mouse model and highlight the importance of CAG repeat-length
determination in preclinical drug trials that use this model.
PMID- 22072512
TI - Frequency dependence of vestibuloocular reflex thresholds.
AB - How the brain processes signals in the presence of noise impacts much of
behavioral neuroscience. Thresholds provide one way to assay noise. While
perceptual thresholds have been widely investigated, vestibuloocular reflex (VOR)
thresholds have seldom been studied and VOR threshold dynamics have never, to our
knowledge, been reported. Therefore, we assessed VOR thresholds as a function of
frequency. Specifically, we measured horizontal VOR thresholds evoked by yaw
rotation in rhesus monkeys, using standard signal detection approaches like those
used in earlier human vestibular perceptual threshold studies. We measured VOR
thresholds ranging between 0.21 and 0.76 degrees /s; the VOR thresholds increased
slightly with frequency across the measured frequency range (0.2-3 Hz). These
results do not mimic the frequency response of human perceptual thresholds that
have been shown to increase substantially as frequency decreases below 0.5 Hz.
These reported VOR threshold findings could indicate a qualitative difference
between vestibular responses of humans and nonhuman primates, but a more likely
explanation is an additional dynamic neural mechanism that does not influence the
VOR but, rather, influences perceptual thresholds via a decision-making process
included in direction recognition tasks.
PMID- 22072513
TI - TRPC1 contributes to light-touch sensation and mechanical responses in low
threshold cutaneous sensory neurons.
AB - The cellular proteins that underlie mechanosensation remain largely enigmatic in
mammalian systems. Mechanically sensitive ion channels are thought to distinguish
pressure, stretch, and other types of tactile signals in skin. Transient receptor
potential canonical 1 (TRPC1) is a candidate mechanically sensitive channel that
is expressed in primary afferent sensory neurons. However, its role in the
mechanical sensitivity of these neurons is unclear. Here, we investigated TRPC1
dependent responses to both innocuous and noxious mechanical force. Mechanically
evoked action potentials in cutaneous myelinated A-fiber and unmyelinated C-fiber
neurons were quantified using the ex vivo skin-nerve preparation to record from
the saphenous nerve, which terminates in the dorsal hairy skin of the hindpaw.
Our data reveal that in TRPC1-deficient mice, mechanically evoked action
potentials were decreased by nearly 50% in slowly adapting Abeta-fibers, which
largely innervate Merkel cells, and in rapidly adapting Adelta-Down-hair afferent
fibers compared with wild-type controls. In contrast, differences were not found
in slowly adapting Adelta-mechanoreceptors or unmyelinated C-fibers, which
primarily respond to nociceptive stimuli. These results suggest that TRPC1 may be
important in the detection of innocuous mechanical force. We concurrently
investigated the role of TRPC1 in behavioral responses to mechanical force to the
plantar hindpaw skin. For innocuous stimuli, we developed a novel light stroke
assay using a "puffed out" cotton swab. Additionally, we used repeated light,
presumably innocuous punctate stimuli with a low threshold von Frey filament
(0.68 mN). In agreement with our electrophysiological data in light-touch
afferents, TRPC1-deficient mice exhibited nearly a 50% decrease in behavioral
responses to both the light-stroke and light punctate mechanical assays when
compared with wild-type controls. In contrast, TRPC1-deficient mice exhibited
normal paw withdrawal response to more intense mechanical stimuli that are
typically considered measures of nociceptive behavior.
PMID- 22072514
TI - Task-dependent coordination of rapid bimanual motor responses.
AB - Optimal feedback control postulates that feedback responses depend on the task
relevance of any perturbations. We test this prediction in a bimanual task,
conceptually similar to balancing a laden tray, in which each hand could be
perturbed up or down. Single-limb mechanical perturbations produced long-latency
reflex responses ("rapid motor responses") in the contralateral limb of
appropriate direction and magnitude to maintain the tray horizontal. During
bimanual perturbations, rapid motor responses modulated appropriately depending
on the extent to which perturbations affected tray orientation. Specifically,
despite receiving the same mechanical perturbation causing muscle stretch, the
strongest responses were produced when the contralateral arm was perturbed in the
opposite direction (large tray tilt) rather than in the same direction or not
perturbed at all. Rapid responses from shortening extensors depended on a
nonlinear summation of the sensory information from the arms, with the response
to a bimanual same-direction perturbation (orientation maintained) being less
than the sum of the component unimanual perturbations (task relevant). We
conclude that task-dependent tuning of reflexes can be modulated online within a
single trial based on a complex interaction across the arms.
PMID- 22072515
TI - Impact of cortical plasticity on patterns of suprathreshold activity in the
cerebral cortex.
AB - There are many cellular and synaptic mechanisms of plasticity in the vertebrate
cortex. How the patterns of suprathreshold spiking activity in a population of
neurons change because of this plasticity, however, has hardly been subjected to
experimental studies. Here, we measured how evoked patterns of suprathreshold
spiking activity in a cortical network were modified by cortical plasticity with
single-cell and single-spike resolution. To record patterns of activity in the
rodent barrel cortex, we used optical methods to detect suprathreshold activity
from up to 40 neurons simultaneously. Pairing of two inputs resulted in a long
lasting modification of the cortical responses evoked by one of the inputs. The
results indicate that plasticity rules on the network level inherit properties
from synaptic plasticity rules but are also determined by the functional synaptic
architecture, as well as the computations carried out in cortical networks. The
largest determinants of the modified cortical responses were those observed when
inducing changes by pairing the two inputs. On the single-neuron level, the
modified responses only weakly reflected those observed when pairing the two
inputs for induction of plasticity. Despite the weak reflection on the cellular
level, however, the modified patterns reflected the pairing patterns to the
degree that a simple decoding mechanism-a linear separator-correctly
discriminated the modified responses from other patterns of activity.
PMID- 22072516
TI - A new synthesis of triarylsilylium ions and their application in dihydrogen
activation.
AB - Well-shuffled: An unexpected substituent distribution reaction via
alkyldiarylsilylium ions leads to a distribution of substituents. Starting from
alkyldiaryl silanes, this reaction provides a facile synthetic approach to
sterically highly hindered triarylsilylium ions. These silylium ions can be
applied in dihydrogen activation reactions.
PMID- 22072517
TI - Blind, one-eyed, or eagle-eyed? pKa calculations during blind predictions with
staphylococcal nuclease.
AB - In the current contribution, the performance of Poisson-Boltzmann-based pK(a)
calculations of SNase mutants as part of a blind prediction exercise facilitated
by the pK(a) cooperative ("pK(a) _coop") is described. A one parameter setting
("quick&dirty" approach) is used to provide an industry perspective where strong
time constraints are frequently encountered. On the one hand, results are
analyzed in terms of root mean square deviation performance. Furthermore, the
pK(a) calculations are assessed for their ability to properly assign protonation
state. For this purpose, a new measure called BIPS (binary protonation state at
physiological pH) is introduced. Significant differences were found with both
comparison measures based on the class of residues examined. In addition, the
performance of PROPKA3 as well as the NULL model is examined on the same data
set. Finally, pK(a) calculations on SNase mutants with available structural
information have been performed and provide support for our calculation methods.
The performance on this subset is better than on the pK(a) cooperative mutation
data. In the pK(a) _coop data, no structural information on the generated mutants
is available. This suggests the occurrence of a substantial structural
rearrangement on the insertion of additional charged groups into SNase, which
leads to improved prediction quality.
PMID- 22072518
TI - Protein electrostatics and pKa blind predictions; contribution from empirical
predictions of internal ionizable residues.
AB - In this study, we validate and probe the description of electrostatic
interactions within proteins by predicting and comparing pK(a) values of
ionizable groups in a series of mutated staphylococcal nuclease variants with
experiments. This set of pK(a) values is found to be the most challenging pK(a)
data to date, because ionizable residues have been introduced in hydrophobic
patches in the protein interior and are therefore significantly shifted from
their reference solvated values. We find that using PROPKA2 (Li et al., Proteins
2005;61:704-721) results in an rmsd value close to 2 for true blind predictions
(1.6 if we reassign the tightly coupled Asp19/21 pair) and close to 1 for
postpredictions with the newly developed PROPKA3 (Olsson et al., J. Chem. Theor.
Comp. 2011;7:525-537). We also use the performance of the Null-model, predictions
made with the reference value only, to provide a better description of the
expected errors in pK(a) predictions and to compare submissions made using
different subsets of the pK(a) data more consistently.
PMID- 22072519
TI - Exploring conformational changes coupled to ionization states using a hybrid
Rosetta-MCCE protocol.
AB - A hybrid protocol combining Rosetta fullatom refinement and Multi-Conformation
Continuum Electrostatics (MCCE) to estimate pK(a) is applied to the blind
prediction of 94 mutated residues in Staphylococcal nuclease (SNase), as part of
the pK(a)-cooperative benchmark test. The standard MCCE method is limited to
sidechain conformational changes. The Rosetta refinement protocol is used to add
the backbone conformational changes in pK(a) calculations. The non-electrostatic
energy component from Rosetta and the electrostatic energy from MCCE are combined
to weight the calculated ionization states. Of 63 measured pK(a)s, the root mean
squared deviation (RMSD) between the calculated pK(a)s and the measured values is
4.3, showing an improvement compared to the RMSD of 6.6 in the standard MCCE
calculations, using a low protein dielectric constant of 4. The breakdown of
pK(a) shift from the solution values (DeltapK(a)) shows that the desolvation
energy contributes the most in the standard MCCE calculations. Lowering
desolvation penalties and optimizing electrostatic interactions with the
Rosetta/MCCE protocol reduces the DeltapK(a) to favor the charged states.
Analysis also showed that the Rosetta/MCCE protocol samples conformations with
pK(a)s close to the solution values. The question remains whether the correct
conformational changes coupled to the ionization changes are found here.
Nevertheless, a challenge emerges to accurately estimate the reorganization
energy, which is not directly measured from the electrostatic environment of the
site of interest. Possible improvements to the protocol are also discussed.
PMID- 22072520
TI - Measuring the successes and deficiencies of constant pH molecular dynamics: a
blind prediction study.
AB - A constant pH molecular dynamics method has been used in the blind prediction of
pK(a) values of titratable residues in wild type and mutated structures of the
Staphylococcal nuclease (SNase) protein. The predicted values have been
subsequently compared to experimental values provided by the laboratory of Garcia
Moreno. CpHMD performs well in predicting the pK(a) of solvent-exposed residues.
For residues in the protein interior, the CpHMD method encounters some
difficulties in reaching convergence and predicting the pK(a) values for residues
having strong interactions with neighboring residues. These results show the need
to accurately and sufficiently sample conformational space in order to obtain
pK(a) values consistent with experimental results.
PMID- 22072521
TI - Histidine in continuum electrostatics protonation state calculations.
AB - A modification to the standard continuum electrostatics approach to calculate
protein pK(a)s, which allows for the decoupling of histidine tautomers within a
two-state model, is presented. Histidine with four intrinsically coupled
protonation states cannot be easily incorporated into a two-state formalism,
because the interaction between the two protonatable sites of the imidazole ring
is not purely electrostatic. The presented treatment, based on a single
approximation of the interrelation between histidine's charge states, allows for
a natural separation of the two protonatable sites associated with the imidazole
ring as well as the inclusion of all protonation states within the calculation.
PMID- 22072522
TI - Is the prediction of pKa values by constant-pH molecular dynamics being hindered
by inherited problems?
AB - In this study, we investigate two factors that can hinder the performance of
constant-pH molecular dynamics methods in predicting protein pK(a) values, using
hen egg white lysozyme as a test system. The first factor is related to the
molecular definition and pK(a) value of model compounds in the Poisson-Boltzmann
framework. We address this by defining the model compound as a molecular fragment
with an associated pK(a) value that is calibrated against experimental data,
which results in a decrease of 0.12 units in pK(a) errors. The second addressed
factor is the possibility that detrimental structural distortions are being
introduced in the simulations by the underlying molecular mechanics force field.
This issue is investigated by analyzing how the gradual structural rearrangements
affect the predicted pK(a) values. The two GROMOS force fields studied here (43A1
and 53A6) yield good pK(a) predictions, although a time-dependent performance is
observed: 43A1 performs better after a few nanoseconds of structural
reorganization (pK(a) errors of ~0.45), while 53A6 gives the best prediction
right at the first nanosecond (pK(a) errors of 0.42). These results suggest that
the good performance of constant-pH molecular dynamics methods could be further
improved if these force field limitations were overcome.
PMID- 22072523
TI - Energetics of charge-charge interactions between residues adjacent in sequence.
AB - Statistical analysis of the residue separation between a pair of ionizable side
chains within 4 A of each other was performed on a set of 1560 non-homologous PDB
structures. We found that the frequency of pairs of like charges (i.e., pairs
consisting of acidic residues Asp and Glu or pairs consisting of basic residues
Arg and Lys) is two orders of magnitude lower than the pairs of oppositely
charged residues (salt-bridges). We also found that for pairs of like charges the
distribution is skewed dramatically towards short residue separation (<3). On the
basis of these observations, we hypothesize that at short residue separation the
repulsion between charges does not contribute much to the protein stability and
the effects are largely dominated by the long range charge-charge interactions
with other ionizable groups in the protein molecule. To test this hypothesis, we
incorporated various pairs of charged residues at position 63 and 64 of ubiquitin
and compared the stabilities of these variants. We also performed calculations of
the expected changes in the charge-charge interactions. A very good correlation
between experimental changes in the stability of ubiquitin variants, and changes
in the energy of charge-charge interactions provides support for the hypothesis
that a pair of ionizable residues next to each other in sequence modulates
protein stability via long range charge-charge interactions with the rest of the
protein.
PMID- 22072524
TI - Photodynamic therapy of Pheophorbide a inhibits the proliferation of human breast
tumour via both caspase-dependent and -independent apoptotic pathways in in vitro
and in vivo models.
AB - Breast cancer is conventionally treated by surgery and radiotherapy, with
adjuvant chemotherapy and hormonotherapy as supplementary treatments. However,
such treatments are associated with adverse side effects and drug resistance. In
this study, Pheophorbide a (Pa), a photosensitizer isolated from Scutelleria
barbata, was analysed for its antiproliferative effect on human breast tumour
cells. The IC (inhibitory concentration)(50) of the combined treatment of Pa and
photodynamic therapy (Pa-PDT) on human breast tumour MCF-7 cells was 0.5 um.
Mechanistic studies in MCF-7 cells demonstrated that Pa was localized in the
mitochondria, and reactive oxygen species were found to be released after Pa-PDT.
Apoptosis was the major mechanism responsible for the tumour cell death, and
mitochondrial membrane depolarization and cytochrome c release highlighted the
role of mitochondria in the apoptotic mechanism. Up-regulation of tumour
suppressor protein p53, cleavage of caspase-9 and poly (ADP-ribose) polymerase
suggested that the caspase-dependent pathway was induced, while the release of
apoptosis-inducing factors demonstrated that the apoptosis was also mediated by
the caspase-independent mechanism. In vivo study using the mouse xenograft model
showed a significant inhibition of MCF-7 tumour growth by Pa-PDT. Together, the
results of this study provide a basis for understanding and developing Pa-PDT as
a cure for breast cancer.
PMID- 22072525
TI - A simple elastic membrane-based microfluidic chip for the proliferation and
differentiation of mesenchymal stem cells under tensile stress.
AB - This work presents a simple membrane-based microfluidic chip for the
investigation of proliferation and differentiation of mesenchymal stem cells
(MSCs) under mechanical stimuli. The cyclic tensile stress was generated by the
deformation of elastic PDMS membrane sandwiched between the two layer
microfluidic chip via actuated negative pressure, and the cultured MSCs on
membrane were subjected to different orders of tensile stress. The results
suggest that mechanical stimuli are attributed to the different phenomena of MSCs
in cell proliferation and differentiation. The higher tensile stress (>3.5)
promoted obvious proliferation, osteogenesis and reduced adipogenesis in MSCs,
indicating the possible regulative role of tensile stress in modifying the
osteogenesis/adipogenesis balance in the development of tissue organ.
PMID- 22072526
TI - Casitas B lymphoma mutations in childhood acute lymphoblastic leukemia.
AB - Casitas B-lineage lymphoma (CBL) proteins are RING finger ubiquitin E3 ligases
that attenuate the signaling of receptor tyrosine kinases and are mutated in a
number of myeloid disorders. In this study, mutational screening of the linker
RING domains of CBL and CBLB was performed by denaturing high performance liquid
chromatography in a cohort of diagnostic (n = 180) or relapse (n = 46) samples
from children with acute lymphoblastic leukemia. Somatic mutations were
identified in three children, giving an overall incidence of 1.7% and involved
small deletions affecting the intron/exon boundaries of exon 8, leading to
skipping of exon 8 and abolishing E3 ligase function. Mutated primary samples
were associated with constitutive activation of the RAS pathway and sensitivity
to MEK inhibitors was shown. Thus, mutation of CBL is an alternative route to
activate the RAS pathway and may identify children who are candidates for MEK
inhibitor clinical trials.
PMID- 22072527
TI - Toxic erythema of chemotherapy.
PMID- 22072528
TI - Struggling and enduring with God, religious support, and recovery from severe
mental illness.
AB - OBJECTIVES: People with severe mental illnesses may achieve varying degrees of
recovery, including symptom reduction and community integration. Research also
indicates that religiosity facilitates coping with psychological disorders. In
this study, we assessed the relationship between religiosity and recovery from
severe mental illnesses. DESIGN: Self-report data were collected from 81
participants with severe mental illnesses. We measured recovery, religious
support, and participants' struggle or endurance with faith. RESULTS: Religious
support and enduring with faith were positively associated with recovery.
Struggling was negatively associated with recovery, and that relationship was
mediated by religious support. CONCLUSIONS: Religious variables, including
religious support and spiritual struggle, might affect recovery from severe
mental illnesses.
PMID- 22072529
TI - Recurrence after complete resection and selective use of adjuvant therapy for
stage I through III Merkel cell carcinoma.
AB - BACKGROUND: Merkel cell carcinoma (MCC) is a rare cutaneous neuroendocrine
neoplasm whose natural history is poorly understood. Here, the authors describe
their experience with a large cohort of patients who were treated at a single
institution to describe patterns of recurrence after curative therapy. METHODS:
Review of a prospective database was performed. Patient-related, tumor-related,
and treatment-related variables were recorded, and the site and timing of initial
recurrence were recorded. Factors associated with receipt of adjuvant therapy and
recurrence were determined. RESULTS: In total, 364 patients with stage I through
III MCC who underwent complete resection were identified. Adjuvant local
radiation therapy (RT), lymph node RT, and chemotherapy were received selectively
by 23%, 23%, and 15% of patients, respectively. Factors associated with the
receipt of adjuvant therapy included younger age, primary tumor features (larger
size, lymphovascular invasion [LVI], positive margin excision), and increasing
pathologic stage. With median follow-up of 3.6 years, 108 patients (30%)
developed a recurrence, including 11 local recurrences (3%), 12 in-transit
recurrences (3%), 43 lymph node recurrences (12%), and 42 distant recurrences
(12%). Clinically involved lymph nodes, primary tumor LVI, and a history of
leukemia/lymphoma were predictive of recurrence. The majority of recurrences
(80%) occurred in patients who had clinically involved lymph nodes or patients
who did not undergo pathologic lymph node evaluation. CONCLUSIONS: A low
recurrence rate in patients with clinically lymph node-negative MCC was achieved
with adequate surgery (including sentinel lymph node biopsy) and the selective
use of adjuvant RT for high-risk tumors. In contrast, patients with clinically
lymph node-positive MCC had significantly higher rates of recurrence, especially
distant recurrence. The authors concluded that contemporary natural history
studies are critical in designing treatment pathways and clinical trials for MCC.
PMID- 22072530
TI - Tips and tricks to prevent prolapse of the Amplatzer septal occluder through
large atrial septal defects.
AB - We report on a new technique "using a partially inflated balloon through the
atrial septal defect" to prevent prolapse of the left atrial disk of the
Amplatzer septal occulder in large atrial septal defects (ASDs). Two patients
underwent successful closure of their ASDs using this new technique.
PMID- 22072531
TI - SIDEpro: a novel machine learning approach for the fast and accurate prediction
of side-chain conformations.
AB - Accurate protein side-chain conformation prediction is crucial for protein
modeling and existing methods for the task are widely used; however, faster and
more accurate methods are still required. Here we present a new machine learning
approach to the problem where an energy function for each rotamer in a structure
is computed additively over pairs of contacting atoms. A family of 156 neural
networks indexed by amino acid and contacting atom types is used to compute these
rotamer energies as a function of atomic contact distances. Although direct
energy targets are not available for training, the neural networks can still be
optimized by converting the energies to probabilities and optimizing these
probabilities using Markov Chain Monte Carlo methods. The resulting predictor
SIDEpro makes predictions by initially setting the rotamer probabilities for each
residue from a backbone-dependent rotamer library, then iteratively updating
these probabilities using the trained neural networks. After convergences of the
probabilities, the side-chains are set to the highest probability rotamer.
Finally, a post processing clash reduction step is applied to the models. SIDEpro
represents a significant improvement in speed and a modest, but statistically
significant, improvement in accuracy when compared with the state-of-the-art for
rapid side-chain prediction method SCWRL4 on the following datasets: (1) 379
protein test set of SCWRL4; (2) 94 proteins from CASP9; (3) a set of seven large
protein-only complexes; and (4) a ribosome with and without the RNA. Using the
SCWRL4 test set, SIDEpro's accuracy (chi(1) 86.14%, chi(1+2) 74.15%) is slightly
better than SCWRL4-FRM (chi(1) 85.43%, chi(1+2) 73.47%) and it is 7.0 times
faster. On the same test set SIDEpro is clearly more accurate than SCWRL4-rigid
rotamer model (RRM) (chi(1) 84.15%, chi(1+2) 71.24%) and 2.4 times faster.
Evaluation on the additional test sets yield similar accuracy results with
SIDEpro being slightly more accurate than SCWRL4-flexible rotamer model (FRM) and
clearly more accurate than SCWRL4-RRM; however, the gap in CPU time is much more
significant when the methods are applied to large protein complexes. SIDEpro is
part of the SCRATCH suite of predictors and available from:
http://scratch.proteomics.ics.uci.edu/.
PMID- 22072532
TI - The role of capillarisin from Artemisia capillaris on penile erection.
AB - The objective of this study was to evaluate the effect and mechanism of
capillarisin from Artemisia capillaris (A. capillaris) on rabbit penile corpus
cavernosum (PCC). The pre-contracted New Zealand White rabbit (2.5-3.0 kg) penis
with phenylephrine (Phe; 10-5 M) was treated with various concentrations of
ethanol extract of A. capillaris (0.1, 0.5, 1, and 2 mg/mL) and capillarisin, the
active component of A. capillaris (10-7, 10-6, 10-5 and 10-4 M). Capillarisin
was also applied to PCC tissues contracted with Phe, which were pre-incubated
with phosphodiesterase type 5 inhibitors (PDE5 Is). Cyclic nucleotides in the
perfusate were measured by radioimmunoassay. The tissues were pre-incubated with
Nomega nitro-l-arginine-methyl ester (L-NAME, 10-3 M) and 1H
[1,2,4]oxadiazolo[4,3-a]quinoxalin-1-one (ODQ, 10-5 M) to block nitric oxide
(NO) synthase and guanylate cyclase, respectively. Capillarisin induced penile
relaxation and enhanced PDE5 Is-induced relaxation. Capillarisin increased cGMP
and cAMP in the perfusate. The application of capillarisin on PCC pre-treated
with L-NAME and ODQ significantly inhibited the relaxation. Capillarisin exerts
the relaxing effect on PCC by activating the NO-cGMP and adenylyl cAMP signaling
pathways and may become an alternative medicine for patients who want to use
natural products to improve erectile function or do not completely respond to
PDE5 Is.
PMID- 22072533
TI - A SERS-active microfluidic device with tunable surface plasmon resonances.
AB - A surface-enhanced Raman scattering (SERS)-active microfluidic device with
tunable surface plasmon resonances is presented here. It is constructed by silver
grating substrates prepared by two-beam laser interference of photoresists and
subsequent metal evaporation coating, as well as PDMS microchannel derived from
soft lithography. By varying the period of gratings from 200 to 550 nm, surface
plasmon resonances (SPRs) from the metal gratings could be tuned in a certain
range. When the SPRs match with the Raman excitation line, the highest
enhancement factor of 2*10(7) is achieved in the SERS detection. The SERS-active
microchannel with tunable SPRs exhibits both high enhancement factor and
reproducibility of SERS signals, and thus holds great promise for applications of
on-chip SERS detection.
PMID- 22072534
TI - Personalities of adults with traumatic childhood separations.
AB - OBJECTIVES: This study examined personality characteristics and identified
personality subtypes of adults with childhood histories of traumatic separations
from a parent. Previous work from attachment theory and developmental
psychopathology suggests that distinct developmental trajectories might lead to
different styles of personality adaptation after an attachment disruption.
design: Randomly selected psychologists and psychiatrists provided data on 203
adults with histories of traumatic separations using a personality pathology
instrument designed for use by clinically experienced observers, the Shedler
Westen Assessment Procedure (SWAP-II). RESULTS: Using a Q-factor analysis, 5
distinct personality subtypes were identified: internalizing/avoidant,
psychopathic, emotionally dysregulated, hostile/paranoid, and resilient. Initial
support for the validity of the subtypes was established, based on Axis I and
Axis II pathology, adaptive functioning, developmental history, and family
history variables. CONCLUSIONS: Both therapeutic interventions and case
formulation might be strengthened by considering an individual's personality
features and match to one of the identified subtypes.
PMID- 22072535
TI - Fractionated doses of gemtuzumab ozogamicin with escalated doses of daunorubicin
and cytarabine as first acute myeloid leukemia salvage in patients aged 50-70
year old: a phase 1/2 study of the acute leukemia French association.
AB - This Phase 1/2 study aimed to determine optimal doses of daunorubicin (DNR;
mg/m(2)) and cytarabine (mg/m(2)) to be combined with fractionated doses of
gemtuzumab ozogamicin (GO, Mylotarg((r)); 3 mg/m(2) on day 1, 4, and 7)
satisfying safety requirements. Three dose levels of DNR/AraC were investigated
namely (45, 100), (60, 100), and (60, 200). Patients included were acute myeloid
leukemia in first relapse, aged 50-70 years. Hematological recovery was 31 days
for neutrophil and 32 days for platelet counts. A documented infectious episode >
Grade 2 occurred in 11/20 patients (55%). None of the 20 patients had signs of
veno-occlusive disease. Overall, eleven patients reached complete remission (CR),
two CR with incomplete platelets recovery. The results showed that combination of
fractionated GO doses with DNR at 60 mg/m(2)/d for 3 days and cytarabine at 200
mg/m(2)/d for 7 days is tolerable and could be further investigated in the front
line therapy.
PMID- 22072536
TI - Reasons for underuse of recommended therapies for colorectal and lung cancer in
the Veterans Health Administration.
AB - BACKGROUND: Many studies have documented low rates of effective cancer therapies,
particularly in older or minority populations. However, little is known about why
effective therapies are underused in these populations. METHODS: The authors
examined medical records of 584 patients with cancer diagnosed or treated in
Department of Veterans Affairs facilities to assess reasons for lack of 1)
surgery for stage I/II nonsmall cell lung cancer, 2) surgery for stage I/II/III
rectal cancer, 3) adjuvant radiation therapy for stage II/III rectal cancer, and
4) adjuvant chemotherapy for stage III colon cancer. They also assessed
differences in reasons for underuse by patient age and race. RESULTS: Across the
4 guideline-recommended treatments, 92% to 99% of eligible patients were referred
to the appropriate cancer specialist; however, therapy was recommended in only
74% to 92% of eligible cases. Poor health was cited in the medical record as the
reason for lack of therapy in 15% to 61% of underuse cases; patient refusal
explained 26% to 58% of underuse cases. African American patients were more
likely to refuse surgery. Older patients were more likely to refuse treatments.
CONCLUSIONS: Recommendation against therapy was a primary factor in underuse of
effective therapies in older and sicker patients. Patients' refusal of therapy
contributed to age and racial disparities in care. Improved data on the
effectiveness of cancer therapies in community populations and interventions
aimed at improved communication of known risks and benefits of therapy to cancer
patients could be effective tools to reduce underuse and lingering disparities in
care.
PMID- 22072537
TI - Validity and variability in visual assessment of stenosis severity in phantom
bifurcation lesions: a survey in experts during the fifth meeting of the European
Bifurcation Club.
AB - OBJECTIVES: To investigate the adequacy of visual estimate regarding the percent
diameter stenosis (DS) in bifurcation lesions. BACKGROUND: Quantitative coronary
angiography (QCA) is more accurate and precise compared to visual estimate in
assessing stenosis severity in single-vessel lesions. METHODS: Thirty-six experts
in the field of bifurcation PCI visually assessed the DS in cine images of five
precision manufactured phantom bifurcation lesions, experts being blinded to the
true values. Expert DS estimates were compared with the true values and they were
also used to define the Medina class of each individual bifurcation. Results were
pooled together both for proximal main vessel (PMV), distal main vessel (DMV) and
side-branch (SB) segments and for vessel segments with similar DS values.
RESULTS: Individual performance was highly variable among observers; pooled
values and range of accuracy and precision were 2.79% (-6.67% to 17.33%) and
8.69% (4.31-16.25%), respectively. On average, DS was underestimated in the PMV (
1.08%, P = 0.10) and overestimated in the DMV (3.86% P < 0.01) and SB segments
(5.58%, P < 0.01). Variability in visual estimates was significantly larger in
lesions of medium severity compared to the clearly obstructive ones (P < 0.01);
the latter were consistently overestimated. Inter-observer agreement was moderate
(kappa = 0.55) over the entire number of estimates. However, if the segments with
true DS = 0% were excluded, agreement was diminished (kappa = 0.27). Inter
observer agreement in Medina class was rather low (kappa = 0.21). True
bifurcation lesions were misclassified as non-true ones in 14/180 estimates.
CONCLUSIONS: Visual assessment by experts is more variable and less precise in
the analysis of bifurcation lesions compared to bifurcation QCA software.
PMID- 22072538
TI - Solution structure of gp17 from the Siphoviridae bacteriophage SPP1: insights
into its role in virion assembly.
PMID- 22072539
TI - Molecular targets of the antiinflammatory Harpagophytum procumbens (devil's
claw): inhibition of TNFalpha and COX-2 gene expression by preventing activation
of AP-1.
AB - Harpagophytum procumbens (Hp) is often used in the supportive treatment of
inflammatory and degenerative diseases of the skeletal system. Although the
clinical efficacy in osteoarthritis has been demonstrated in clinical trials, the
molecular target(s) of Hp are unclear. This study quantified the effects of the
ethanol Hp extract (60% v/v ethanol, sole active ingredient of Pascoe(r)-Agil),
on the expression and release of the major pro-inflammatory mediators in LPS
stimulated human monocytes and the intracellular signalling pathways involved in
inflammation. The Hp extract dose-dependently inhibited the release of TNFalpha
as well as that of interleukin (IL)-6, IL-1beta and prostaglandin E2 (PGE2). The
Hp prevented TNFalpha and IL-6 mRNA expression in human monocytes and
cyclooxygenase-2 (COX-2) in RAW 264.7 cells. Furthermore, the Hp extract
inhibited LPS-stimulated AP-1-mediated gene transcription activity and binding to
the AP-1 response elements. The extract had no effect on the LPS-induced binding
of nuclear factor-kappaB in RAW 264.7 cells, on LPS-induced degradation of
IkappaBalpha or on LPS-induced activation of mitogen-activated protein kinases
(MAPK), p38MAPK and JNK in human monocytes. The data indicate that a standardized
ethanol Hp extract inhibits induction of pro-inflammatory gene expression,
possibly by blocking the AP-1 pathway. This is novel evidence of a possible
mechanism of action of this antiinflammatory drug.
PMID- 22072540
TI - Gene expression of BAALC, CDKN1B, ERG, and MN1 adds independent prognostic
information to cytogenetics and molecular mutations in adult acute myeloid
leukemia.
AB - Expression of BAALC, ERG, and MN1 is associated with outcome in normal karyotype
acute myeloid leukemia (AML). In this study, the expression of these markers and
of EVI1 and CDKN1B was determined using oligonucleotide microarrays in 286 AML
comprising all cytogenetic groups. Higher expression of each gene was associated
with an inferior outcome: CDKN1B, median overall survival (mOS): 14.9 months vs.
not reached (nr), P = 0.005, median event-free survival (mEFS): 9.7 vs. 31.0
months, P = 0.013; BAALC, no impact on OS, mEFS: 6.2 vs. 13.0 months, P = 0.03;
ERG: mOS: 12.5 months vs. nr, P = 0.002, mEFS: 8.1 vs. 15.7 months, P = 0.001;
MN1: mOS: 12.3 months vs. nr, P = 0.004, mEFS: 8.1 vs. 16.7 months, P = 0.001. A
multivariate analysis revealed an independent impact on OS for CDKN1B, ERG, and
MN1 expression. A novel score based on BAALC, CDKN1B, ERG, and MN1 expression had
an impact on OS and EFS independent of cytogenetics and age. A score taking into
account gene expression and karyotype allowed the separation of four prognostic
groups with significant differences in OS and EFS (OS at 2 years: 90.4%, 56.4%,
34.0%, 12.6%; mEFS: n.r., 18.1 months, 8.7 months, 2.5 months). The impact on
outcome of this score was independent of NPM1mut/FLT3-ITD- status, MLL-PTD, and
age.
PMID- 22072541
TI - A prototypic system of parallel electrophoresis in multiple capillaries coupled
with microwell arrays.
AB - We present a microfluidic system that can be directly coupled with microwell
array and perform parallel electrophoresis in multiple capillaries
simultaneously. The system is based on an array of glass capillaries, fixed in a
polydimethylsiloxane (PDMS) microfluidic scaffold, with one end open for
interfacing with microwells. In this capillary array, every two adjacent
capillaries act as a pair to be coupled with one microwell; samples in the
microwells are introduced and separated by simply applying voltage between two
electrodes that are placed at the other ends of capillaries; thus no complicated
circuit design is required. We evaluate the performance of this system and
perform multiple CE with direct sample introduction from microwell array. Also
with this system, we demonstrate the analysis of cellular contents of cells lysed
in a microwell array. Our results show that this prototypic system is a promising
platform for high-throughput analysis of samples in microwell arrays.
PMID- 22072542
TI - Concurrent CIC mutations, IDH mutations, and 1p/19q loss distinguish
oligodendrogliomas from other cancers.
AB - Oligodendroglioma is characterized by unique clinical, pathological, and genetic
features. Recurrent losses of chromosomes 1p and 19q are strongly associated with
this brain cancer but knowledge of the identity and function of the genes
affected by these alterations is limited. We performed exome sequencing on a
discovery set of 16 oligodendrogliomas with 1p/19q co-deletion to identify new
molecular features at base-pair resolution. As anticipated, there was a high rate
of IDH mutations: all cases had mutations in either IDH1 (14/16) or IDH2 (2/16).
In addition, we discovered somatic mutations and insertions/deletions in the CIC
gene on chromosome 19q13.2 in 13/16 tumours. These discovery set mutations were
validated by deep sequencing of 13 additional tumours, which revealed seven
others with CIC mutations, thus bringing the overall mutation rate in
oligodendrogliomas in this study to 20/29 (69%). In contrast, deep sequencing of
astrocytomas and oligoastrocytomas without 1p/19q loss revealed that CIC
alterations were otherwise rare (1/60; 2%). Of the 21 non-synonymous somatic
mutations in 20 CIC-mutant oligodendrogliomas, nine were in exon 5 within an
annotated DNA-interacting domain and three were in exon 20 within an annotated
protein-interacting domain. The remaining nine were found in other exons and
frequently included truncations. CIC mutations were highly associated with
oligodendroglioma histology, 1p/19q co-deletion, and IDH1/2 mutation (p < 0.001).
Although we observed no differences in the clinical outcomes of CIC mutant versus
wild-type tumours, in a background of 1p/19q co-deletion, hemizygous CIC
mutations are likely important. We hypothesize that the mutant CIC on the single
retained 19q allele is linked to the pathogenesis of oligodendrogliomas with IDH
mutation. Our detailed study of genetic aberrations in oligodendroglioma suggests
a functional interaction between CIC mutation, IDH1/2 mutation, and 1p/19q co
deletion.
PMID- 22072543
TI - The Italian Registry of Therapeutic Apheresis: granulocyte-monocyte apheresis in
the treatment of inflammatory bowel disease. A multicentric study.
AB - Leukocytes are thought to play an important role in the pathogenesis of
inflammatory bowel diseases; granulocyte-monocyte adsorptive (GMA) apheresis, an
extracorporeal technique aimed at removing activated circulating leukocytes from
the blood, may represent a safe and effective therapeutic tool in these patients.
The Italian Registry of Therapeutic Apheresis performed an observational,
multicentric study involving 24 Gastroenterology Units. In this study, laboratory
data and clinical outcomes of 230 patients (148 males, mean age 43.5 years)
affected with ulcerative colitis (UC, n = 194) or Crohn's disease (CD, n = 36)
who underwent one or more cycles of GMA were analyzed. Each cycle consisted of
five GMA treatments. The patients were followed up for a mean of 8.7 (min. 3 to
max. 12) months. At 3 months, positive outcome was achieved in 77.7% of UC
patients (72.0% remission, 5.7% clinical response) and 61.3% of CD patients
(54.8% remission, 6.5% clinical response). The cumulative proportion of positive
outcome at 12 months was 87.1% for UC patients (83.7% remission, 3.4% clinical
response) and 77.4% for CD patients (74.2% remission, 3.2% clinical response). No
single clinical or laboratory parameter among those analyzed (age, sex, disease
characteristics, history of smoking, medication history, baseline values of
clinical activity index (CAI)/Crohn's disease activity index (CDAI), hemoglobin,
white blood cells count, and erythrocyte sedimentation rate) was independently
associated with clinical outcome. The procedure was well tolerated with no
significant adverse effects registered.
PMID- 22072544
TI - Surface-histogram: a new shape descriptor for protein-protein docking.
AB - Determining the structure of protein-protein complexes remains a difficult and
lengthy process, either by NMR or by X-ray crystallography. Several computational
methods based on docking have been developed to support and even serve as
possible alternatives to these experimental methods. In this article, we
introduce a new protein-protein docking algorithm, shDock, based on shape
complementarity. We characterize the local geometry on each protein surface with
a new shape descriptor, the surface-histogram. We measure the complementarity
between two surface-histograms, one on each protein, using a modified Manhattan
distance. When a match is found between two local protein surfaces, a model is
generated for the protein complex, which is then scored by checking for collision
between the two proteins. We have tested our algorithm on Version 3 of the ZDOCK
protein-protein docking benchmark. We found that for 110 out of the 124 test
cases of bound docking in the benchmark, our algorithm was able to generate a
model in the top 3600 candidates for the protein complex within an root-mean
square deviation of 2.5 A from its native structure. For unbound docking
predictions, we found a model within 2.5 A in the top 3600 models in 54 out of
124 test cases. A comparison with other shape-based docking algorithms
demonstrates that our approach gives significantly improved performance for both
bound and unbound docking test cases.
PMID- 22072545
TI - Reduction of inflammatory hyperplasia in the intestine in colon cancer-prone mice
by water-extract of Cistanche deserticola.
AB - Cistanche deserticola has commonly been used in traditional Chinese medicine to
treat many health problems including irritable bowel syndrome or constipation.
This study was designed to test the efficacy of a water-extract of C. deserticola
in the prevention of colorectal cancer in a mouse model. Polysaccharide-rich
water-extract of C. deserticola was prepared by boiling its stem powder in
distilled water. Tgfb1Rag2 null mice were used as an experimental model. Here we
showed that feeding of water-extract of C. deserticola significantly reduced the
number of mucosal hyperplasia and intestinal helicobacter infection in mice. This
beneficial effect correlated with significant stimulation of the immune system,
evidenced by the enlargement of the spleens with increased number of splenic
macrophage and natural killer cells, and with more potent cytotoxicity of
splenocytes. In vitro water-extract of C. deserticola enhanced the cytotoxicity
of naive splenocytes against a human colon cancer cell line, and in macrophage
cultures up-regulated nitric oxide synthase II expression and stimulated
phagocytosis. In conclusion, our data indicate that oral administration of C.
deserticola extract reduces inflammatory hyperplastic polyps and helicobacter
infection in mice by its immune-stimulatory activity, suggesting that C.
deserticola extract may have potential in preventing intestinal inflammation
disorders including colorectal cancer.
PMID- 22072546
TI - Associations between variants in KITLG, SPRY4, BAK1, and DMRT1 and pediatric germ
cell tumors.
AB - Recent genome wide association studies have identified susceptibility loci for
adult testicular germ cell tumors (GCT) near KITLG, SPRY4, BAK1, and DMRT1. We
evaluated variants in these four genes to determine whether these are also
susceptibility loci for pediatric GCTs. DNA was isolated from 52 pediatric GCTs
(ages 0-21 years) obtained from the Cooperative Human Tissue Network. Control DNA
was isolated from de-identified dried blood spots from 141 white newborns.
Genotyping was conducted using TaqMan assays (rs4474514) or by PCR and sequencing
(rs4324715, rs210138, and rs755383). Associations between variants and GCT were
evaluated using logistic regression with adjustment for sex. We also evaluated
whether the associations differed by age at GCT diagnosis (0-9 years, 10-21
years), sex, and tumor location (gonadal, non-gonadal). We observed a significant
association for rs210138 (BAK1) and pediatric GCT overall (odds ratio (OR) =
1.80, 95% confidence interval (CI) 1.10-2.95, P = 0.02) with non-significant
associations similar in magnitude in both the pediatric (P = 0.09) and adolescent
(P = 0.06) age groups. The KITLG (rs4474514) and SPRY4 (rs4324715) variants were
significantly associated with GCT only in the adolescent age group (rs4474514: OR
= 2.28, 95% CI 1.09-4.79, P = 0.03 and rs4324715: OR = 2.40, 95% CI 1.19-4.83, P
= 0.01). Associations were mostly similar when stratified by sex. This is the
first study to suggest that these loci may also be important in susceptibility to
GCTs in the adolescent (KITLG, SPRY4, and BAK1) and pediatric (BAK1) age groups.
PMID- 22072547
TI - A simultaneous space sampling method for DNA fraction collection using a comb
structure in microfluidic devices.
AB - Fraction collection of selected components from a complex mixture plays a
critical role in biomedical research, environmental analysis, and biotechnology.
Here, we introduce a novel electrophoretic chip device based on a signal
processing theorem that allows simultaneous space sampling for fractionation of
ssDNA target fragments. Ten parallel extraction channels, which covered 1.5-mm
long sampling ranges, were used to facilitate the capturing of fast-moving
fragments. Furthermore, the space sampling extraction made it possible to acquire
pure collection, even from partly overlapping fragments that had been
insufficiently separated after a short electrophoretic run. Fragments of 180,
181, and 182 bases were simultaneously collected, and then the recovered DNA was
PCR amplified and assessed by CE analysis. The 181-base target was shown to be
isolated in a 70-mm-long separation length within 10 min, in contrast to the >50
min required for the 300-mm-long separation channel in our previous study. This
method provides effective combination of time and space, which is a breakthrough
in the traditional concept of fraction collection on a chip.
PMID- 22072548
TI - Lenograstim with or without dexamethasone for neutrophil mobilization in healthy
donors: short-term kinetics of white blood cells and effects of granulocyte
apheresis.
AB - OBJECTIVES: To determine the optimal time schedule for neutrophil collection
after single mobilization with glycosylated recombinant granulocyte colony
stimulating factor (G-CSF, lenograstim) with or without dexamethasone (DXM).
DONORS AND METHODS: In this prospective randomized trial, 26 healthy volunteers
were randomly assigned to a single subcutaneous dose of lenograstim 6 MUg/kg plus
8-mg DXM (G-CSF/DXM, n = 13) or placebo (G-CSF/placebo, n = 13). Hematological
and biochemical parameters were analyzed before and 12, 15, 18, 21, 24, 27, 29,
36, 48, 60, 72, and 84 h and 7 and 30 days after mobilization. Six G-CSF/DXM
subjects underwent standard neutrophil apheresis (NA) 12 and 36 h after
mobilization. RESULTS: Polymorphonuclear neutrophil (PMN) counts 12 and 21 h
after mobilization were 22.7 (16.6-32.8) * 10(9) /L and 22.4 (18.6-30.6) * 10(9)
/L for G-CSF/placebo versus 33.1 (24.2-44.9) * 10(9) /L and 32.5 (17.4-39.6) *
10(9) /L for G-CSF/DXM. This mobilization plateau was followed by slow
normalization at 72-84 h. The six NA subjects had median PMN yields of 62 (47
101) * 10(9) and 39 (23-42) * 10(9) per therapeutic unit. After the first
apheresis, PMN counts sharply decreased to 21.1 (14.8-26.3) * 10(9) /L and then
temporarily recovered to 25.9 (18.9-36.5) * 10(9) /L (P <= 0.001) over the next 8
h. CONCLUSIONS: Single doses of lenograstim with or without DXM induced a PMN
plateau that lasted 9 h (12-21 h after mobilization), with PMN counts suitable
for neutrophil collection. Lenograstim plus DXM made it possible to perform NA
twice, 12 and 36 h after mobilization.
PMID- 22072549
TI - On the molecular structure of human neuroserpin polymers.
AB - The polymerization of serpins is at the root of a large class of diseases; the
molecular structure of serpin polymers has been recently debated. In this work,
we study the polymerization kinetics of human neuroserpin by Fourier Transform
Infra Red spectroscopy and by time-lapse Size Exclusion Chromatography. First, we
show that two distinct neuroserpin polymers, formed at 45 and 85 degrees C,
display the same isosbestic points in the Amide I' band, and therefore share
common secondary structure features. We also find a concentration independent
polymerization rate at 45 degrees C suggesting that the polymerization rate
limiting step is the formation of an activated monomeric species. The polymer
structures are consistent with a model that predicts the bare insertion of
portions of the reactive center loop into the A beta-sheet of neighboring serpin
molecule, although with different extents at 45 and 85 degrees C.
PMID- 22072550
TI - Frequency-dependent electrophysiological remodeling of the AV node by
hydroalcohol extract of Crocus sativus L. (saffron) during experimental atrial
fibrillation: the role of endogenous nitric oxide.
AB - The study assessed the hydroalcohol extract effects of Crocus sativus L.
(saffron) on (i) the basic and rate-dependent electrophysiological properties of
the AV node, (ii) remodeling of the AV node during experimental atrial
fibrillation (AF) and (iii) the role of nitric oxide (NO) in the effects of
saffron on the AV node. Stimulation protocols in isolated AV node were used to
quantify AV nodal recovery, facilitation and fatigue in four groups of rabbits (n
= 8-16 per group). In addition, the nodal response to AF was evaluated at
multiple cycle lengths and during AF. Saffron had a depressant effect on AV nodal
rate-dependent properties; further, it increased Wenckebach block cycle length,
functional refractory period, facilitation and fatigue (p < 0.05). A NO-synthase
inhibitor (L-NAME) prevented the depressant effects of saffron on the AV node (p
< 0.05). Saffron increased the zone of concealment in experimental AF (p < 0.05).
The present research showed, for the first time, established electrophysiological
remodeling of the AV node during AF by saffron. Saffron increased the AV nodal
refractoriness and zone of concealment. These depressant effects of saffron were
mediated by endogenous NO.
PMID- 22072551
TI - Solvent bonding of poly(methyl methacrylate) microfluidic chip using phase
changing agar hydrogel as a sacrificial layer.
AB - In this report, a solvent bonding method based on phase-changing agar hydrogel
has been developed for the fabrication of poly(methyl methacrylate) (PMMA)
microfluidic chips. Prior to bonding, the channels and the reservoir ports on
PMMA channel plates were filled with molten agar hydrogel that could gelate to
form solid sacrificial layers at room temperature. Subsequently, PMMA cover
sheets were covered on the channeled plates and 1,2-dichlororethane was applied
to the interspaces between them. The agar hydrogel in the channels could prevent
the bonding solvent and the softened surface of the PMMA cover sheets from
filling in the channels. After solvent bonding, the agar hydrogel in the channels
and the reservoir ports was melted and removed under pressure. The sealed
channels in the complete microchips had been examined by an optical microscope
and a scanning electron microscope. The results indicated that high-quality
bonding was achieved at room temperature. The prepared microfluidic microchips
have been successfully employed in the electrophoresis separation and detection
of three cations in combination with contactless conductivity detection.
PMID- 22072552
TI - Requirement of phospholipase C and protein kinase C in cholecystokinin-mediated
facilitation of NMDA channel function and anxiety-like behavior.
AB - Although cholecystokinin (CCK) has long been known to exert anxiogenic effects in
both animal anxiety models and humans, the underlying cellular and molecular
mechanisms are ill-defined. CCK interacts with CCK-1 and CCK-2 receptors
resulting in up-regulation of phospholipase C (PLC) and protein kinase C (PKC).
However, the roles of PLC and PKC in CCK-mediated anxiogenic effects have not
been determined. We have shown previously that CCK facilitates glutamate release
in the hippocampus especially at the synapses formed by the perforant path and
dentate gyrus granule cells via activations of PLC and PKC. Here we further
demonstrated that CCK enhanced NMDA receptor function in dentate gyrus granule
cells via activation of PLC and PKC pathway. At the single-channel level, CCK
increased NMDA single-channel open probability and mean open time, reduced the
mean close time, and had no effects on the conductance of NMDA channels. Because
elevation of glutamatergic functions results in anxiety, we explored the roles of
PLC and PKC in CCK-induced anxiogenic actions using the Vogel Conflict Test
(VCT). Our results from both pharmacological approach and knockout mice
demonstrated that microinjection of CCK into the dentate gyrus concentration
dependently increased anxiety-like behavior via activation of PLC and PKC. Our
results provide a novel unidentified signaling mechanism whereby CCK increases
anxiety.
PMID- 22072553
TI - Identification and characterization of Hoxa9 binding sites in hematopoietic
cells.
AB - The clustered homeobox proteins play crucial roles in development, hematopoiesis,
and leukemia, yet the targets they regulate and their mechanisms of action are
poorly understood. Here, we identified the binding sites for Hoxa9 and the Hox
cofactor Meis1 on a genome-wide level and profiled their associated epigenetic
modifications and transcriptional targets. Hoxa9 and the Hox cofactor Meis1
cobind at hundreds of highly evolutionarily conserved sites, most of which are
distant from transcription start sites. These sites show high levels of histone
H3K4 monomethylation and CBP/P300 binding characteristic of enhancers.
Furthermore, a subset of these sites shows enhancer activity in transient
transfection assays. Many Hoxa9 and Meis1 binding sites are also bound by PU.1
and other lineage-restricted transcription factors previously implicated in
establishment of myeloid enhancers. Conditional Hoxa9 activation is associated
with CBP/P300 recruitment, histone acetylation, and transcriptional activation of
a network of proto-oncogenes, including Erg, Flt3, Lmo2, Myb, and Sox4.
Collectively, this work suggests that Hoxa9 regulates transcription by
interacting with enhancers of genes important for hematopoiesis and leukemia.
PMID- 22072554
TI - NF-Y is necessary for hematopoietic stem cell proliferation and survival.
AB - HSC function depends on the tight control of proliferation and the balance
between self-renewal and differentiation. Here, we report that the trimeric
transcription factor NF-Y is critical for the survival of cycling, but not
quiescent HSCs. With the use of a conditional knockout mouse model, we
demonstrate that NF-Ya deletion creates an accumulation of HSCs in G(2)/M and
prompts apoptosis, causing hematopoietic failure and death of the animal. These
defects are accompanied by the dysregulation of multiple genes that influence
cell cycle control (cyclin b1 and p21), apoptosis (Bcl-2), and self-renewal
(HoxB4, Notch1, Bmi-1) and are independent of p53. Our results identify NF-Y as a
pivotal upstream participant in a regulatory network necessary for the
preservation of cycling HSCs.
PMID- 22072555
TI - Distinct graft-versus-leukemic stem cell effects of early or delayed donor
leukocyte infusions in a mouse chronic myeloid leukemia model.
AB - Among hematologic neoplasms, chronic myeloid leukemia (CML) is exquisitely
sensitive to graft-versus-leukemia (GVL) because patients relapsing after
allogeneic hematopoietic stem-cell transplantation (alloHSCT) can be cured by
donor leukocyte infusion (DLI); however, the cellular mechanisms and strategies
to separate GVL from GVHD are unclear. We used a BCR-ABL1
transduction/transplantation mouse model to study the mechanisms of DLI in MHC
matched, minor histocompatibility antigen-mismatched allogeneic chimeras with CML
like leukemia, in which DLI can be administered at the time of transplantation
(early) or after recovery of hematopoiesis (delayed). After early DLI, CML-like
leukemia cannot be transferred into immunocompetent secondary recipients as soon
as 4 days after primary transplantation, demonstrating that cotransplantation of
T lymphocytes blocks the engraftment of BCR-ABL1-transduced stem cells. In
contrast, in allogeneic chimeras with established CML-like leukemia, combined
treatment with delayed DLI and the kinase inhibitor imatinib eradicates leukemia
with minimal GVHD. The GVL effect is directed against minor histocompatibility
antigens shared by normal and leukemic stem cells, and is mediated predominantly
by CD8+ T cells, with minor contributions from CD5- splenocytes, including
natural killer cells. These results define a physiologic model of adoptive
immunotherapy of CML that will be useful for investigating the cellular and
molecular mechanisms of GVL.
PMID- 22072556
TI - Donor B-cell alloantibody deposition and germinal center formation are required
for the development of murine chronic GVHD and bronchiolitis obliterans.
AB - Chronic GVHD (cGVHD) poses a significant risk for HSCT patients. Preclinical
development of new therapeutic modalities has been hindered by models with
pathologic findings that may not simulate the development of human cGVHD.
Previously, we have demonstrated that cGVHD induced by allogeneic HSCT after a
conditioning regimen of cyclophosphamide and total-body radiation results in
pulmonary dysfunction and airway obliteration, which leads to bronchiolitis
obliterans (BO), which is pathognomonic for cGVHD of the lung. We now report
cGVHD manifestations in a wide spectrum of target organs, including those with
mucosal surfaces. Fibrosis was demonstrated in the lung and liver and was
associated with CD4(+) T cells and B220(+) B-cell infiltration and alloantibody
deposition. Donor bone marrow obtained from mice incapable of secreting IgG
alloantibody resulted in less BO and cGVHD. Robust germinal center reactions were
present at the time of cGVHD disease initiation. Blockade of germinal center
formation with a lymphotoxin-receptor-immunoglobulin fusion protein suppressed
cGVHD and BO. We conclude that cGVHD is caused in part by alloantibody secretion,
which is associated with fibrosis and cGVHD manifestations including BO, and that
treatment with a lymphotoxin-beta receptor-immunoglobulin fusion protein could be
beneficial for cGVHD prevention and therapy.
PMID- 22072557
TI - The BRAF V600E mutation in hairy cell leukemia and other mature B-cell neoplasms.
AB - The somatically acquired V600E mutation of the BRAF gene has been recently
described as a molecular marker of hairy cell leukemia (HCL). We developed an
allele-specific PCR for this mutation and studied 62 patients with HCL, 1 with
HCL variant, 91 with splenic marginal zone lymphoma, 29 with Waldenstrom
macroglobulinemia, and 57 with B-cell chronic lymphoproliferative disorders. The
BRAF V600E mutation was detected in all HCL cases and in only 2 of the remaining
178 patients. These 2 subjects had B-cell chronic lymphoproliferative disorders
that did not fulfill the diagnostic criteria for HCL. Despite the positive PCR
finding, the mutation could not be detected by Sanger sequencing in these 2
cases, suggesting that it was associated with a small subclone. We conclude that
the BRAF V600E mutation is present in all patients with HCL and that, in
combination with clinical and morphologic features, represents a reliable
molecular marker for this condition.
PMID- 22072558
TI - An intermediate-risk multiple myeloma subgroup is defined by sIL-6r: levels
synergistically increase with incidence of SNP rs2228145 and 1q21 amplification.
AB - IL-6 signaling can be enhanced through transsignaling by the soluble IL-6
receptor (sIL-6r), allowing for the pleiotropic cytokine to affect cells it would
not ordinarily have an effect on. Serum levels of sIL-6r can be used as an
independent prognostic indicator and further stratify the GEP 70-gene low-risk
group to identify an intermediate-risk group in multiple myeloma (MM). By
analyzing more than 600 MM patients with ELISA, genotyping, and gene expression
profiling tools, we show how the combination of 2 independent molecular genetic
events is related to synergistic increases in sIL-6r levels. We also show that
the rs2228145 minor allele is related to increased expression levels of an IL-6r
splice variant that purportedly codes exclusively for a sIL-6r isoform. Together,
the SNP rs2228145 minor allele C and amplification of chromosome 1q21 are
significantly correlated to an increase in sIL-6r levels, which are associated
with lower overall survival in 70-gene low-risk disease, and aid in
identification of the intermediate-risk MM group.
PMID- 22072559
TI - Emergence of NK-cell progenitors and functionally competent NK-cell lineage
subsets in the early mouse embryo.
AB - The earliest stages of natural killer (NK)-cell development are not well
characterized. In this study, we investigated in different fetal hematopoietic
tissues how NK-cell progenitors and their mature NK-cell progeny emerge and
expand during fetal development. Here we demonstrate, for the first time, that
the counterpart of adult BM Lin(-)CD122(+)NK1.1(-)DX5(-) NK-cell progenitor (NKP)
emerges in the fetal liver at E13.5. After NKP expansion, immature NK cells
emerge at E14.5 in the liver and E15.5 in the spleen. Thymic NK cells arise at
E15.5, whereas functionally competent cytotoxic NK cells were present in the
liver and spleen at E16.5 and E17.5, respectively. Fetal NKPs failed to produce B
and myeloid cells but sustained combined NK- and T-lineage potential at the
single-cell level. NKPs were also found in the fetal blood, spleen, and thymus.
These findings show the emergence and expansion of bipotent NK/T-cell progenitor
during fetal and adult lymphopoiesis, further supporting that NK/T-lineage
restriction is taking place prethymically. Uncovering the earliest NK-cell
developmental stages will provide important clues, helping to understand the
origin of diverse NK-cell subsets, their progenitors, and key regulators.
PMID- 22072560
TI - Three-dimensional repositioning tasks show differences in joint position sense
between active and passive shoulder motion.
AB - Proprioception is important in maintaining shoulder joint stability. Previous
studies investigated the effects of unconstrained multiplanar motion, with
subjects able to move freely in space, on repositioning tasks for active shoulder
motion but not passive motion. We sought to further explore joint position sense
with 3D passive, robot-guided motions. We hypothesized that target repositioning
error would be greater in the case of passively placed targets than for actively
placed targets. To investigate, 15 healthy individuals participated (8 female, 7
male), who were at most 6 ft (183 cm) tall to accommodate the equipment, and who
had no history of shoulder injury, surgery, or significant participation in
throwing sports. Target orientations were centered at 44 degrees of elevation
and 32 degrees of horizontal rotation from the frontal plane. Two sets of 10
trials were performed. The first set involved active placement followed by active
replacement, and the second set involved passive, robot-guided, placement
followed by active replacement. Repositioning error was greater following passive
placement than active placement (p < 0.001). These results further our
understanding of the differences between active and passive joint position sense
at the shoulder.
PMID- 22072561
TI - Interstitial fluid pressure correlates with intravoxel incoherent motion imaging
metrics in a mouse mammary carcinoma model.
AB - The effective delivery of a therapeutic drug to the core of a tumor is often
impeded by physiological barriers, such as the interstitial fluid pressure (IFP).
There are a number of therapies that can decrease IFP and induce tumor vascular
normalization. However, a lack of a noninvasive means to measure IFP hinders the
utilization of such a window of opportunity for the maximization of the treatment
response. Thus, the purpose of this study was to investigate the feasibility of
using intravoxel incoherent motion (IVIM) diffusion parameters as noninvasive
imaging biomarkers for IFP. Mice bearing the 4T1 mammary carcinoma model were
studied using diffusion-weighted imaging (DWI), immediately followed by wick-in
needle IFP measurement. Voxelwise analysis was conducted with a conventional
monoexponential diffusion model, as well as a biexponential model taking IVIM
into account. There was no significant correlation of IFP with either the median
apparent diffusion coefficient from the monoexponential model (r = 0.11, p =
0.78) or the median tissue diffusivity from the biexponential model (r = 0.30, p
= 0.44). However, IFP was correlated with the median pseudo-diffusivity (D(p)) of
apparent vascular voxels (r = 0.76, p = 0.02) and with the median product of the
perfusion fraction and pseudo-diffusivity (f(p)D(p)) of apparent vascular voxels
(r = 0.77, p = 0.02). Although the effect of IVIM in tumors has been reported
previously, to our knowledge, this study represents the first direct comparison
of IVIM metrics with IFP, with the results supporting the feasibility of the use
of IVIM DWI metrics as noninvasive biomarkers for tumor IFP.
PMID- 22072562
TI - Staging of multivessel percutaneous coronary interventions: an expert consensus
statement from the Society for Cardiovascular Angiography and Interventions.
AB - Percutaneous coronary interventions (PCIs) to treat multivessel coronary artery
disease (MVCAD) may involve single-vessel or multivessel interventions, performed
in one or more stages. This consensus statement reviews factors that may
influence choice of strategy and includes six recommendations to guide decisions
regarding staging of PCI. Every patient who undergoes PCI should receive optimal
therapy for coronary disease, ideally before starting the procedure. Multivessel
PCI at the time of diagnostic catheterization should be considered only if
informed consent included the risks and benefits of multivessel PCI and the risks
and benefits of alternative treatments. When considering multivessel PCI, the
interventionist should develop a strategy regarding which stenoses to treat or
evaluate, and their order, method, and timing. This strategy should maximize
patient benefits, minimize patient risk, and consider the factors described in
this article. For planned multivessel PCI, additional vessel(s) should be treated
only if the first vessel is treated successfully and if anticipated contrast and
radiation doses and patient and operator conditions are favorable. After the
first stage of the planned multistage PCI, the need for subsequent PCI should be
reviewed before it is performed. Third party payers and quality auditors should
recognize that multistage PCI for MVCAD is neither an indication of poor quality
nor an attempt to increase reimbursement when performed according to
recommendations in this article.
PMID- 22072563
TI - Tryptophan side chain conformers monitored by NMR and time-resolved fluorescence
spectroscopies.
AB - We have inserted a tryptophan (F77W) in the core of the regulatory domain of
cardiac troponin C (cNTnC), and previously determined the structure of this
mutant with and without the cosolvent trifluoroethanol (TFE). Interestingly, the
orientations of the indole side chain of the Trp are in opposite directions in
the two structures (Julien et al., Protein Sci 2009; 18:1165-1174). Fluorescence
decay experiments for single Trp-containing proteins often show several
lifetimes, which have been interpreted as reflecting conformational heterogeneity
of the Trp side chain resulting from different rotamers. To test this
interpretation, we monitored the effect of TFE on wild type, F77W and F77W-V82A
calcium-saturated cNTnC using 2D (13)C-HSQC NMR and time-correlated single photon
counting fluorescence spectroscopies. The time dependence of the Trp fluorescence
decay was fit with three lifetimes. Addition of TFE caused a gradual, but limited
decrease of the lifetimes due to dynamic quenching. For F77W cNTnC, the amplitude
fractions of the lifetimes also changed upon addition of TFE-the long lifetime
increased from 13 to 29%, while the middle lifetime decreased from 63 to 50% and
the short lifetime remained relatively unchanged. For F77W-V82A cNTnC, comparable
NMR changes are observed, confirming the switch in rotamer conformation, but only
much smaller changes in fluorescence decay parameters were detected. These data
indicate that the balance between the rotamer states can be changed without
changing the lifetime amplitude fractions appreciably, and suggest that the
environment(s) of the indole ring, responsible for the different lifetimes, can
result from factors other than the intrinsic rotamer state of the tryptophan.
PMID- 22072564
TI - Developmental profile of SK2 channel expression and function in CA1 neurons.
AB - We investigated the temporal and spatial expression of SK2 in the developing
mouse hippocampus using molecular and biochemical techniques, quantitative
immunogold electron microscopy, and electrophysiology. The mRNA encoding SK2 was
expressed in the developing and adult hippocampus. Western blotting and
immunohistochemistry showed that SK2 protein increased with age. This was
accompanied by a shift in subcellular localization. Early in development (P5),
SK2 was predominantly localized to the endoplasmic reticulum in the pyramidal
cell layer. But by P30 SK2 was almost exclusively expressed in the dendrites and
spines. The level of SK2 at the postsynaptic density (PSD) also increased during
development. In the adult, SK2 expression on the spine plasma membrane showed a
proximal-to-distal gradient. Consistent with this redistribution and gradient of
SK2, the selective SK channel blocker apamin increased evoked excitatory
postsynaptic potentials (EPSPs) only in CA1 pyramidal neurons from mice older
than P15. However, the effect of apamin on EPSPs was not different between
synapses in proximal or distal stratum radiatum or stratum lacunosum-moleculare
in adult. These results show a developmental increase and gradient in SK2
containing channel surface expression that underlie their influence on
neurotransmission, and that may contribute to increased memory acquisition during
early development.
PMID- 22072565
TI - A possible overestimation of the effect of acetylation on lysine residues in KQ
mutant analysis.
AB - Acetylation of lysine residues, one of the most common protein post
transcriptional modifications, is thought to regulate protein affinity with other
proteins or nucleotides. Experimentally, the effects of acetylation have been
studied using recombinant mutants in which lysine residues (K) are substituted
with glutamine (Q) as a mimic of acetyl lysine (KQ mutant), or with arginine (R)
as a mimic of nonacetylated lysine (KR mutant). These substitutions, however,
have not been properly validated. The effects lysine acetylation on Ku, a
multifunctional protein that has been primarily implicated in DNA repair and cell
survival, are characterized herein using a series of computer simulations. The
binding free energy was reduced in the KQ mutant, while the KR mutant had no
effect, which is consistent with previous experimental results. Unexpectedly, the
binding energy between Ku and DNA was maintained at almost the same level as in
the wild type protein despite full acetylation of the lysine residues. These
results suggest that the effects of acetylation may be overestimated when the KQ
mutant is used as a mimic of the acetylated protein.
PMID- 22072566
TI - Global characterization of the SRC-1 transcriptome identifies ADAM22 as an ER
independent mediator of endocrine-resistant breast cancer.
AB - The development of breast cancer resistance to endocrine therapy results from an
increase in cellular plasticity that permits the emergence of a hormone
independent tumor. The steroid coactivator protein SRC-1, through interactions
with developmental proteins and other nonsteroidal transcription factors, drives
this tumor adaptability. In this discovery study, we identified ADAM22, a non
protease member of the ADAM family of disintegrins, as a direct estrogen receptor
(ER)-independent target of SRC-1. We confirmed SRC-1 as a regulator of ADAM22 by
molecular, cellular, and in vivo studies. ADAM22 functioned in cellular migration
and differentiation, and its levels were increased in endocrine resistant-tumors
compared with endocrine-sensitive tumors in mouse xenograft models of human
breast cancer. Clinically, ADAM22 was found to serve as an independent predictor
of poor disease-free survival. Taken together, our findings suggest that SRC-1
switches steroid-responsive tumors to a steroid-resistant state in which the SRC
1 target gene ADAM22 has a critical role, suggesting this molecule as a
prognostic and therapeutic drug target that could help improve the treatment of
endocrine-resistant breast cancer.
PMID- 22072568
TI - Rigid-CLL: avoiding constant-distance computations in cell linked-lists
algorithms.
AB - Many of the existing molecular simulation tools require the efficient
identification of the set of nonbonded interacting atoms. This is necessary, for
instance, to compute the energy values or the steric contacts between atoms. Cell
linked-lists can be used to determine the pairs of atoms closer than a given
cutoff distance in asymptotically optimal time. Despite this long-term
optimality, many spurious distances are anyway computed with this method.
Therefore, several improvements have been proposed, most of them aiming to refine
the volume of influence for each atom. Here, we suggest a different improvement
strategy based on avoiding to fill cells with those atoms that are always at a
constant distance of a given atom. This technique is particularly effective when
large groups of the particles in the simulation behave as rigid bodies as it is
the case in simplified models considering only few of the degrees of freedom of
the molecule. In these cases, the proposed technique can reduce the number of
distance computations by more than one order of magnitude, as compared with the
standard cell linked-list technique. The benefits of this technique are obtained
without incurring in additional computation costs, because it carries out the
same operations as the standard cell linked-list algorithm, although in a
different order. Since the focus of the technique is the order of the operations,
it might be combined with existing improvements based on bounding the volume of
influence for each atom.
PMID- 22072567
TI - Radiosensitization of human pancreatic cancer cells by MLN4924, an
investigational NEDD8-activating enzyme inhibitor.
AB - Radiotherapy is used in locally advanced pancreatic cancers in which it can
improve survival in combination with gemcitabine. However, prognosis is still
poor in this setting in which more effective therapies remain needed. MLN4924 is
an investigational small molecule currently in phase I clinical trials. MLN4924
inhibits NAE (NEDD8 Activating Enzyme), a pivotal regulator of the E3 ubiquitin
ligase SCF (SKP1, Cullins, and F-box protein), that has been implicated recently
in DNA damage and repair. In this study, we provide evidence that MLN4924 can be
used as an effective radiosensitizer in pancreatic cancer. Specifically, MLN4924
(20-100 nmol/L) effectively inhibited cullin neddylation and sensitized
pancreatic cancer cells to ionizing radiation in vitro with a sensitivity
enhancement ratio of approximately 1.5. Mechanistically, MLN4924 treatment
stimulated an accumulation of several SCF substrates, including CDT1, WEE1, and
NOXA, in parallel with an enhancement of radiation-induced DNA damage,
aneuploidy, G(2)/M phase cell-cycle arrest, and apoptosis. RNAi-mediated
knockdown of CDT1 and WEE1 partially abrogated MLN4924-induced aneuploidy, G(2)/M
arrest, and radiosensitization, indicating a causal effect. Furthermore, MLN4924
was an effective radiosensitizer in a mouse xenograft model of human pancreatic
cancer. Our findings offer proof-of-concept for use of MLN4924 as a novel class
of radiosensitizer for the treatment of pancreatic cancer.
PMID- 22072569
TI - Public preferences for responsibility versus public preferences for reducing
inequalities.
AB - In cost-utility analysis, the numbers of quality-adjusted life years (QALYs)
gained are aggregated by placing the same weight on each QALY. Deviations from
this rubric have been proposed on a number of grounds, including the degree to
which persons might be deemed responsible for the illness faced, and inequality
in lifetime health between groups. Most research has looked at these factors in
isolation. This paper analyses public preferences about the relative importance
of these factors. Over 500 members of the general public in the UK are
interviewed in their homes. Where "blameworthy" groups experience a moderate drop
in quality of life due to their behaviour, they appear to receive higher priority
than an otherwise "trustworthy" group if they also experience poorer health
prospects because the latter is weighted more heavily than the former.
PMID- 22072570
TI - Effect of partial meniscectomy at the medial posterior horn on tibiofemoral
contact mechanics and meniscal hoop strains in human knees.
AB - We examined the influence of partial meniscectomy of 10 mm width on 10 human
cadaveric knee joints, as it is performed during the treatment of radial tears in
the posterior horn of the medial meniscus, on maximum contact pressure, contact
area (CA), and meniscal hoop strain in the lateral and medial knee compartments.
In case of 0 degrees and 30 degrees flexion angle, 20% and 50% partial
meniscectomy did not influence maximum contact pressure and area. Only in case of
60 degrees knee flexion, 50% partial resection increased medial maximum contact
pressure and decreased the medial CA statistically significant. However, 100%
partial resection increased maximum contact pressure and decreased CA
significantly in the meniscectomized medial knee compartment in all tested knee
positions. No significant differences were noted for meniscal hoop strain. From a
biomechanical point of view, our in vitro study suggests that the medial joint
compartment is not in danger of accelerated cartilage degeneration up to a
resection limit of 20% meniscal depth and 10 mm width. Contact mechanics are
likely to be more sensitive to partial meniscectomy at higher flexion angles,
which has to be further investigated.
PMID- 22072571
TI - Polymorphisms of stress-related genes and the risk of nonsyndromic cleft lip with
or without cleft palate.
AB - BACKGROUND: Nonsyndromic cleft lip with or without cleft palate (NCL/P) is a
common structural malformation with a complex and multifactorial etiology. It has
been shown that maternal psychological stress in the periconceptional period can
contribute to an increase in the risk of NCL/P affecting pregnancy. METHODS:
Twenty-four single nucleotide polymorphisms of 11 stress-related genes (COMT,
CRHR1, FKBP5, GABRA6, HSD11beta2, MAOA, NPY, NR3C1, SERPINA6, SLC6A4, and TPH2)
were investigated in 220 healthy mothers of children with facial clefts and 210
matched controls using restriction fragment-length polymorphism and high
resolution melting analysis. RESULTS: We found that polymorphisms in SLC6A4,
TPH2, and SERPINA6 appear to be maternal factors increasing the risk of having a
child with facial clefts. The closest correlations with NCL/P were found for the
SLC6A4 rs2020942 and TPH2 rs10879357 gene variants (odds ratio [OR], 1.720; 95%
confidence interval [CI], 1.158-2.553; p = 0.0069; p(trend) = 0.0036; and OR,
1.837; 95% CI, 1.226-2.753, p = 0.0030, p(trend) = 0.0057; respectively).
Moreover, haplotype analysis revealed that several combinations of markers in
SLC6A4, TPH2, and SERPINA6 might be significantly associated with the risk of
NCL/P affected pregnancies. However, these associations were not statistically
significant after correction for multiple testing. CONCLUSION: This study
suggests that nucleotide variants of genes encoding components of the
hypothalamus-pituitary-adrenal axis and serotoninergic system have a role in the
etiology of NCL/P in the Polish population. SLC6A4, TPH2, and SERPINA6 might be
novel candidate genes for this common congenital anomaly.
PMID- 22072572
TI - Carbidopa enhances antitumoral activity of bicalutamide on the androgen receptor
axis in castration-resistant prostate tumors.
AB - BACKGROUND: Response to bicalutamide after castration failure is not durable and
treatment options at this stage are limited. Carbidopa, an L-dopa decarboxylase
(AR-coactivator) inhibitor, has been shown to retard prostate tumor growth/PSA
production in xenografts. Here, we hypothesize that pharmacological targeting of
the AR-axis by combination treatment with bicalutamide plus carbidopa
significantly enhances antitumoral activity in vitro and in vivo compared to
monotherapy with either drug. METHODS: Carbidopa was tested for its ability to
enhance the effects of bicalutamide on cell viability, apoptosis and PSA
transactivation in LNCaP and C4-2 cells. The castration-resistant prostate cancer
(CRPC) LNCaP xenograft tumor model was used in vivo. After CRPC progression, mice
were treated with carbidopa (50 mg/kg) and bicalutamide (50 mg/kg) as monotherapy
or in combination. Tumor volume and serum PSA were evaluated weekly. RESULTS:
Combination treatment of carbidopa plus bicalutamide significantly inhibited cell
viability in both cell lines and induced apoptosis. The combination treatment
also decreased androgen-induced PSA transactivation by 62.6% in LNCaP cells and
by 55.6% in C4-2 cells compared to control, while bicalutamide monotherapy
reduced PSA levels by 27.5% and 29.1% in LNCaP and C4-2 cells. In vivo,
bicalutamide monotherapy delayed LNCaP CRPC tumor growth rate by 72.2%, while
combination treatment reduced tumor growth by 84.4% compared to control. Serum
PSA was also reduced 70.6% with bicalutamide monotherapy, while combination
therapy reduced PSA levels by 76.7% compared to control. CONCLUSIONS: This study
demonstrates preclinical proof-of-principle that pharmacological targeting of
prostate tumors by combination treatment of bicalutamide plus carbidopa
significantly reduces AR activity, and thereby delays CRPC tumor progression in
vivo.
PMID- 22072573
TI - Structural and mechanical effects of in vivo fatigue damage induction on murine
tendon.
AB - The purpose of this study was to develop and validate an in vivo mouse model of
tendon fatigue and use this model to investigate and quantify the physical
manifestations of fatigue damage in mouse tendon. Patellar tendons of C57BL/6J
mice were fatigue loaded at 2 Hz to three endpoints (4 N peak force per cycle for
1 h, 6 N for 1 h, and 4 N for 2 h), during which hysteresis, tangent stiffness,
and peak strain of each cycle were measured. Damage accumulation was then
quantified using in situ histology, and each tendon was loaded monotonically to
failure. Histological damage increased significantly in all three groups (>=2
fold), and monotonic stiffness decreased significantly in the 6 N, 1 h and 4 N, 2
h groups (~25%), suggesting that damage initially manifests as changes to the
collagen structure of the tendon and subsequently as changes to the function. For
the fatigue loading protocols used in this study, none of the evaluated real-time
parameters from fatigue loading correlated with damage area fraction measured
structural damage or monotonic stiffness, suggesting that they are not suited to
serve as proxies for damage accumulation. In future studies, this model will be
used to compare the biological response of mouse tendon to fatigue damage across
genetic strains.
PMID- 22072574
TI - Tbx5 overexpression favors a first heart field lineage in murine embryonic stem
cells and in Xenopus laevis embryos.
AB - The T-box transcription factor Tbx5 is involved in several developmental
processes including cardiogenesis. Early steps of cardiac development are
characterised by the formation of two cardiogenic lineages, the first (FHF) and
the second heart field (SHF) lineage, which arise from a common cardiac
progenitor cell population. To further investigate the function of Tbx5 during
cardiogenesis, we generated a murine embryonic stem cell line constitutively
overexpressing Tbx5. Differentiation of these cells is characterised by an
earlier and increased appearance of contracting cardiomyocytes that beat with a
higher frequency than control cells. In semi-quantitative and quantitative RT-PCR
analyses, we observed an up-regulation of cardiac marker genes such as Troponin
T, endogenous Tbx5, and Nkx2.5 and a down-regulation of others like BMP4 and
Hand2. Similar data were gained in Xenopus laevis arguing for a conserved
function of Tbx5. Furthermore, markers of the conduction system and atrial
cardiomyocytes were increased.
PMID- 22072575
TI - Deficiency in Crumbs homolog 2 (Crb2) affects gastrulation and results in
embryonic lethality in mice.
AB - The Crumbs family of transmembrane proteins has an important role in the
differentiation of the apical membrane domain in various cell types, regulating
such processes as epithelial cell polarization. The mammalian Crumbs protein
family is composed of three members. Here, we inactivated the mouse Crb2 gene
with gene-targeting techniques and found that the protein is crucial for early
embryonic development with severe abnormalities appearing in Crb2-deficient
embryos at late-gastrulation. Our findings indicate that the primary defect in
the mutant embryos is disturbed polarity of the epiblast cells at the primitive
streak, which affects epithelial to mesenchymal transition (EMT) during
gastrulation, resulting in impaired mesoderm and endoderm formation, and
embryonic lethality by embryonic day 12.5. These findings therefore indicate a
novel role for the Crumbs family of proteins.
PMID- 22072576
TI - Two promoters with distinct activities in different tissues drive the expression
of heparanase in Xenopus.
AB - In Xenopus laevis embryos, heparanase, the enzyme that degrades heparan sulfate,
is synthesized as a preproheparanase (XHpaL) and processed to become
enzymatically active (XHpa active). A short nonenzymatic heparanase splice
variant (XHpaS) is also expressed. Using immunohistochemistry, Western blot, and
heparanase promoter analysis, we studied the dynamic developmental expression of
the three heparanases. Our results indicate that (1) all three isoforms are
maternally expressed; (2) XHpaS is a developmental variant; (3) in the early
embryo, heparanase is localized to both the plasma membrane and the nucleus; (4)
several tissues express heparanase, but expression in the developing nervous
system is most evident; (5) two promoters with distinct activities in different
tissues drive heparanase expression; (6) Oct binding transcription factors may
modulate heparanase promoter activity in the early embryo. These data argue that
heparanase is expressed widely during development, but localization and levels
are finely regulated.
PMID- 22072577
TI - Primer and interviews: advances in targeted gene modification. Interview by Julie
C. Kiefer.
AB - Gene targeting in mice, first reported 25 years ago, has led to monumental
advances in the understanding of basic biology and human disease. The ability to
employ a similarly straightforward method for gene manipulation in other
experimental organisms would make their already significant contributions all the
more powerful. Here, we briefly outline the strengths and weaknesses of reverse
genetics techniques in non-murine model organisms, ending with a more detailed
description of two that promise to bring targeted gene modification to the
masses: zinc finger nucleases (ZFNs) and transcription activator-like effector
nucleases (TALENs). Dana Caroll, a forefather of zinc finger technology, and Bo
Zhang, among the first to introduce TALEN-targeted mutagenesis to zebrafish,
discuss their experience with these techniques, and speculate about the future of
the field.
PMID- 22072581
TI - Controlled ambipolar-to-unipolar conversion in graphene field-effect transistors
through surface coating with poly(ethylene imine)/poly(ethylene glycol) films.
AB - A controlled ambipolar-to-unipolar (n-type) conversion, along with a maximum
fourfold increase in the electron mobility, in graphene field-effect transistors
(FETs) is achieved by coating the surface of graphene with a layer of a mixed
polymer system, poly(ethylene imine) (PEI) in poly(ethylene glycol) (PEG). The
PEG serves as a physisorption adhesion agent for the PEI. Both unipolar and
ambipolar n-type doping can be realized by adjusting the thickness of PEI films
atop the graphene channel. The observed phenomena are attributed to the
doping/dedoping effects of the external PEI film. The study provides a guide to
engineering graphene transport properties through chemical modifications.
PMID- 22072583
TI - Remote real-time monitoring of free flaps via smartphone photography and 3G
wireless Internet: a prospective study evidencing diagnostic accuracy.
AB - This prospective study was designed to compare the accuracy rate between remote
smartphone photographic assessments and in-person examinations for free flap
monitoring. One hundred and three consecutive free flaps were monitored with in
person examinations and assessed remotely by three surgeons (Team A) via
photographs transmitted over smartphone. Four other surgeons used the traditional
in-person examinations as Team B. The response time to re-exploration was defined
as the interval between when a flap was evaluated as compromised by the
nurse/house officer and when the decision was made for re-exploration. The
accuracy rate was 98.7% and 94.2% for in-person and smartphone photographic
assessments, respectively. The response time of 8 +/- 3 min in Team A was
statistically shorter than the 180 +/- 104 min in Team B (P = 0.01 by the Mann
Whitney test). The remote smartphone photography assessment has a comparable
accuracy rate and shorter response time compared with in-person examination for
free flap monitoring.
PMID- 22072582
TI - Inverse association between glutathione peroxidase activity and both selenium
binding protein 1 levels and Gleason score in human prostate tissue.
AB - BACKGROUND: Data from human epidemiological studies, cultured mammalian cells,
and animal models have supported a potentially beneficial role of selenium (Se)
in prostate cancer prevention. In addition, Se-containing proteins including
members of the glutathione peroxidase (GPx) family and Selenium-Binding Protein 1
(SBP1) have been linked to either cancer risk or development. For example, SBP1
levels are typically reduced in tumors compared to non-cancerous tissue, with the
degree of reduction associated with increasingly poor clinical outcome. METHODS:
In order to investigate inter-relationships between blood and tissue Se levels
and GPx activity, tissue SBP1 levels, and disease aggressiveness using the
Gleason score, we measured levels of selenium and selected selenoproteins in
fasting serum and histologically normal prostate tissues obtained from 24 men
undergoing radical prostatectomy for the treatment of localized prostate cancer.
RESULTS: GPx enzyme activity was inversely correlated with SBP1 levels in
prostate tissue as determined by densitometry of Western blots obtained using
anti-SBP1 antibodies [partial Spearman's correlation coefficients and
corresponding P-values overall and in African-Americans = -0.42 (0.08) and -0.53
(0.10), respectively], which is consistent with previous observations in cultured
cells and mice. Of particular interest was the positive correlation between
tissue GPx activity and Gleason score, with this relationship achieving
statistical significance among African-Americans (r = 0.67, P = 0.02).
CONCLUSION: These studies support the continued investigation of the role of Se
and selenoproteins in prostate cancer prevention, development, and prognosis.
PMID- 22072584
TI - Peripheral nerve reconstruction with collagen tubes filled with denatured
autologous muscle tissue in the rat model.
AB - Conventional nerve conduits lack cellular and extracellular guidance structures
critical for bridging larger defects. In this study, an exogenous matrix for
axonal regeneration was provided by pretreated muscle tissue. In 24 rats, 14-mm
sciatic nerve segments were resected and surgically reconstructed using one of
the following methods: autograft (AG); bovine type I collagen conduit; (MDM)
collagen tube filled with modified denatured autologous muscle tissue. For 8
weeks, functional regeneration was evaluated by footprint and video gait
analysis. Evaluation was complemented by electrophysiology, as well as
qualitative and quantitative structural assessment of nerves and target muscles.
Group AG was superior both structurally and functionally, showing higher axon
counts, a more normal gait pattern, and less severe muscle atrophy. Fiber quality
(fiber size and myelin thickness) was highest in group MDM, possibly related to
the myelin-producing effect of muscular laminin. However, axon count was lowest
in this group, and ultrastructural analysis of the denatured muscle tissue showed
areas of incomplete denaturation that had acted as a mechanical barrier for
regenerating axons. In light of these results, the often advocated use of
muscular exogenous matrix for peripheral nerve reconstruction is reviewed in the
literature, and its clinical application is critically discussed. In conclusion,
combined muscle tubes may have a positive influence on nerve fiber maturation.
However, muscle pretreatment is not without risks, and denaturation processes
need to be further refined.
PMID- 22072585
TI - Probing diffusion of single nanoparticles at water-oil interfaces.
AB - The diffusion of nanoparticles at a water-alkane interface is studied using
fluorescence correlation spectroscopy. Hydrophilic and hydrophobic quantum dots
of 5, 8, and 11 nm radius are used. A slow-down of nanoparticle diffusion at the
liquid-liquid interface is observed. The effect is most evident when the
viscosities of both liquid phases are similar, here, at the water-decane
interface. In this case, the interfacial diffusion coefficients of the
hydrophilic particles are 1.5 times and those of the hydrophobic particles 2
times lower than the corresponding bulk values.
PMID- 22072586
TI - Cassettes for PCR-mediated gene tagging in Candida albicans utilizing
nourseothricin resistance.
AB - In recent years a number of molecular tools have been reported for use in the
human fungal pathogen Candida albicans, including PCR-mediated approaches for
gene disruption, conditional expression and epitope tagging. Traditionally these
methods have utilized auxotrophic markers; however, the availability of
auxotrophic markers can be limiting and in some instances their use may also
impact on the interpretation of results. As a result, the use of positive
selection markers has now become more commonplace. Here we report the development
and validation of a set of cassettes for PCR-mediated gene tagging and
overexpression studies utilizing the nourseothricin resistance (CaNAT1) positive
selection marker. In particular we have produced cassettes containing yeast
enhanced GFP, YFP, CFP, RFP and a combined V5-6xHis epitope tag. The cassettes
are engineered for use in PCR-mediated gene tagging strategies where insertion is
targeted to the 3' end of the gene of interest. In addition, to facilitate
protein functional analysis and genetic suppression studies through the use of
overexpression, we have also constructed a promoter replacement cassette
containing the ENO1 promoter which is known to be expressed at a high level.
These cassettes expand on the range of molecular tools available for working with
C. albicans and may also be used in other Candida species that display
sensitivity to nourseothricin.
PMID- 22072587
TI - Posttranscriptional upregulation by microRNAs.
AB - MicroRNAs are small non-coding RNA guide molecules that regulate gene expression
via association with effector complexes and sequence-specific recognition of
target sites on other RNAs; misregulated microRNA expression and functions are
linked to a variety of tumors, developmental disorders, and immune disease.
MicroRNAs have primarily been demonstrated to mediate posttranscriptional
downregulation of expression; translational repression, and deadenylation
dependent decay of messages through partially complementary microRNA target sites
in mRNA untranslated regions (UTRs). However, an emerging assortment of studies,
discussed in this review, reveal that microRNAs and their associated protein
complexes (microribonucleoproteins or microRNPs) can additionally function to
posttranscriptionally stimulate gene expression by direct and indirect
mechanisms. These reports indicate that microRNA-mediated effects can be
selective, regulated by the RNA sequence context, and associated with RNP factors
and cellular conditions. Like repression, translation upregulation by microRNAs
has been observed to range from fine-tuning effects to significant alterations in
expression. These studies uncover remarkable, new abilities of microRNAs and
associated microRNPs in gene expression control and underscore the importance of
regulation, in cis and trans, in directing appropriate microRNP responses.
PMID- 22072590
TI - Height loss predicts subsequent hip fracture in men and women of the Framingham
Study.
AB - Although height is a risk factor for osteoporotic fracture, current risk
assessments do not consider height loss. Height loss may be a simple measurement
that clinicians could use to predict fracture or need for further testing. The
objective was to examine height loss and subsequent hip fracture, evaluating both
long-term adult height loss and recent height loss. Prospective cohort of 3081
adults from the Framingham Heart Study. Height was measured biennially since
1948, and cohort followed for hip fracture through 2005. Adult height loss from
middle-age years across 24 years and recent height loss in elderly years were
considered. Cox proportional hazard regression was used to estimate association
between height loss and risk of hip fracture. Of 1297 men and 1784 women, mean
baseline age was 66 years (SD = 7.8). Average height loss for men was 1.06 inches
(0.76), and for women was 1.12 inches (0.84). A total of 11% of men and 15% of
women lost >=2 inches of height. Mean follow-up was 17 years, during which 71 men
and 278 women had incident hip fractures. For each 1-inch of height loss, hazard
ratio (HR) = 1.4 in men [95% confidence interval (CI): 1.00, 1.99], and 1.04 in
women (95% CI: 0.88, 1.23). Men and women who lost >=2 inches of height had
increased fracture risk (compared with 0 to <2 inches) of borderline
significance: men HR = 1.8, 95% CI: 0.86, 3.61; women HR = 1.3, 95% CI: 0.90,
1.76. Recent height loss in elders significantly increased the risk of hip
fracture, 54% in men and 21% in women (95% CI: 1.14, 2.09; 1.03, 1.42,
respectively). Adult height loss predicted hip fracture risk in men in our study.
Recent height loss in elderly men and women predicted risk of hip fracture.
PMID- 22072591
TI - Next-generation sequencing in molecular diagnosis: NUBPL mutations highlight the
challenges of variant detection and interpretation.
AB - Next-generation sequencing (NGS) is transitioning from being a research tool to
being used in routine genetic diagnostics, where a major challenge is
distinguishing which of many sequence variants in an individual are truly
pathogenic. We describe some limitations of in silico analyses of NGS data that
emphasize the need for experimental confirmation. Using NGS, we recently
identified an apparently homozygous missense mutation in NUBPL in a patient with
mitochondrial complex I deficiency. Causality was established via lentiviral
correction studies with wild-type NUBPL cDNA. NGS data, however, provided an
incomplete understanding of the genetic abnormality. We show that the maternal
allele carries an unbalanced inversion, while the paternal allele carries a
branch-site mutation in addition to the missense mutation. We demonstrate that
the branch-site mutation, which is present in approximately one of 120 control
chromosomes, likely contributes to pathogenicity and may be one of the most
common autosomal mutations causing mitochondrial dysfunction. Had these analyses
not been performed following NGS, the original missense mutation may be
incorrectly annotated as pathogenic and a potentially common pathogenic variant
not detected. It is important that locus-specific databases contain accurate
information on pathogenic variation. NGS data, therefore, require rigorous
experimental follow-up to confirm mutation pathogenicity.
PMID- 22072592
TI - Cyclic RGD-polyethylene glycol-polyethylenimine for intracranial glioblastoma
targeted gene delivery.
AB - Even though the blood-brain barrier (BBB) is compromised for angiogenesis,
therapeutic agents for glioblastoma multiforme (GBM) are particularly inefficient
due to the existence of a blood-tumor barrier (BTB), which hampers tumor
accumulation and uptake. Integrin alpha(v)beta(3) is overexpressed on
glioblastoma U87 cells and neovasculture, thus making its ligands such as the RGD
motif target glioblastoma in vitro and in vivo. In the present work, we have
designed a modified polyethylene glycol-polyethylenimine (PEG-PEI) gene carrier
by conjugating it with a cyclic RGD sequence, c(RGDyK) (cyclic arginine-glycine
aspartic acid-D-tyrosine-lysine). When complexed with plasmid DNA, this gene
carrier, termed RGD-PEG-PEI, formed homogenous nanoparticles with a mean diameter
of 73 nm. These nanoparticles had a high binding affinity with U87 cells and
facilitated targeted gene delivery against intracranial glioblastoma in vivo,
thereby leading to a higher gene transfer efficiency compared to the PEG-PEI gene
carrier without RGD decoration. This intracranial glioblastoma-targeted gene
carrier also enhanced the therapeutic efficacy of pORF-hTRAIL, as evidenced by a
significantly prolonged survival of intracranial glioblastoma-bearing nude mice.
Considering the contribution of glioblastoma neovasculature to the BBB under
angiogenic conditions, our results demonstrated the therapeutic feasibility of
treating a brain tumor through mediation of integrin alpha(v)beta(3), as well as
the potential of using RGD-PEG-PEI as a targeted gene carrier in the treatment of
intracranial glioblastoma.
PMID- 22072593
TI - Height loss in older women: risk of hip fracture and mortality independent of
vertebral fractures.
AB - We examined if height loss in older women predicts risk of hip fractures, other
nonspine fractures, and mortality, and whether this risk is independent of both
vertebral fractures (VFx) and bone mineral density (BMD) by dual-energy X-ray
absorptiometry. Among 3124 women age 65 and older in the Study of Osteoporotic
Fractures, we assessed the association with measured height change between year 0
(1986-1988) and year 15 (2002-2004) and subsequent risk of radiologically
confirmed hip fractures, other nonspine fractures, and mortality assessed via
death certificates. Follow-up occurred every 4 months for fractures and vital
status (>95% contacts complete). Cox proportional hazards models assessed risk of
hip fracture, nonspine fracture, and mortality over a mean of 5 years after
height change was assessed (ie, after final height measurement). After adjustment
for VFx, BMD, and other potential covariates, height loss >5 cm was associated
with a marked increased risk of hip fracture [hazard ratio (HR) 1.50, 95%
confidence interval (CI) 1.06, 2.12], nonspine fracture (HR 1.48; 95% CI 1.20,
1.83), and mortality (1.45; 95% CI 1.21, 1.73). Although primary analyses were a
subset of 3124 survivors healthy enough to return for a year 15 height
measurement, a sensitivity analysis in the entire cohort (n = 9677) using initial
height in earlier adulthood [self-reported height at age 25 (-40 years) to
measured height age >65 years (Year 0)] demonstrated consistent results. Height
loss >5 cm (2") in older women was associated with a nearly 50% increased risk of
hip fracture, nonspine fracture, and mortality-independent of incident VFx and
BMD.
PMID- 22072594
TI - Oligomerization of SLC4A11 protein and the severity of FECD and CHED2 corneal
dystrophies caused by SLC4A11 mutations.
AB - Mutations in the SLC4A11 gene, which encodes a plasma membrane borate
transporter, cause recessive congenital hereditary endothelial corneal dystrophy
type 2 (CHED2), corneal dystrophy and perceptive deafness (Harboyan syndrome),
and dominant late-onset Fuchs endothelial corneal dystrophy (FECD). We analyzed
missense SLC4A11 mutations identified in FECD and CHED2 patients and expressed in
transfected HEK 293 cells. Chemical cross-linking and migration in nondenaturing
gels showed that SLC4A11 exists as a dimer. Furthermore, co-immunoprecipitation
of epitope-tagged proteins revealed heteromeric interactions between wild-type
(WT) and mutant SLC4A11 proteins. When expressed alone, FECD- and CHED2-causing
mutant SLC4A11 proteins are primarily retained intracellularly. Co-expression
with WT SLC4A11 partially rescued the cell surface trafficking of CHED2 mutants,
but not FECD mutants. CHED2 alleles of SLC4A11 did not affect cell surface
processing of WT SLC4A11. In contrast, FECD mutants reduced WT cell surface
processing efficiency, consistent with dominant inheritance of FECD. The
reduction in movement of WT protein to the cell surface caused by FECD SLC4A11
helps to explain the dominant inheritance of this disorder. Similarly, the
failure of CHED2 mutant SLC4A11 to affect the processing of WT protein, explains
the lack of symptoms found in CHED2 carriers and the recessive inheritance of the
disorder.
PMID- 22072595
TI - Ca-rich Ca-Al-oxide, high-temperature-stable sorbents prepared from hydrotalcite
precursors: synthesis, characterization, and CO2 capture capacity.
AB - We present the design and synthesis of Ca-rich Ca-Al-O oxides, with Ca(2+)/Al(3+)
ratios of 1:1, 3:1, 5:1, and 7:1, which were prepared by hydrothermal
decomposition of coprecipitated hydrotalcite-like Ca-Al-CO(3) precursors, for
high-temperature CO(2) adsorption at 500-700 degrees C. In situ X-ray
diffraction measurements indicate that the coprecipitated, Ca-rich, hydrotalcite
like powders with Ca(2+)/Al(3+) ratios of 5:1 and 7:1 contained Ca(OH)(2) and
layered double hydroxide (LDH) phases. Upon annealing, LDH was first destroyed at
approximately 200 degrees C to form an amorphous matrix, and then at 450-550
degrees C, the Ca(OH)(2) phase was converted into a CaO matrix with incorporated
Al(3+) to form a homogeneous solid solution without a disrupted lattice
structure. CaO nanocrystals were grown by thermal treatment of the weakly
crystalline Ca-Al-O oxide matrix. Thermogravimetric analysis indicates that a
CO(2) adsorption capacity of approximately 51 wt. % can be obtained from Ca-rich
Ca-Al-O oxides prepared by calcination of 7:1 Ca-Al-CO(3) LDH phases at 600-700
degrees C. Furthermore, a relatively high CO(2) capture capability can be
achieved, even with gas flows containing very low CO(2) concentrations
(CO(2)/N(2) = 10 %). Approximately 95.6 % of the initial CO(2) adsorption
capacity of the adsorbent is retained after 30 cycles of carbonation-calcination.
TEM analysis indicates that carbonation-promoted CaCO(3) formation in the Ca-Al-O
oxide matrix at 600 degrees C, but a subsequent desorption in N(2) at 700
degrees C, caused the formation CaO nanocrystals of approximately 10 nm. The CaO
nanocrystals are widely distributed in the weakly crystalline Ca-Al-O oxide
matrix and are present during the carbonation-calcination cycles. This
demonstrates that Ca-Al-O sorbents that developed through the synthesis and
calcination of Ca-rich Ca-Al LDH phases are suitable for long-term cyclic
operation in severe temperature environments.
PMID- 22072596
TI - Extension of the modified Poisson regression model to prospective studies with
correlated binary data.
AB - The Poisson regression model using a sandwich variance estimator has become a
viable alternative to the logistic regression model for the analysis of
prospective studies with independent binary outcomes. The primary advantage of
this approach is that it readily provides covariate-adjusted risk ratios and
associated standard errors. In this article, the model is extended to studies
with correlated binary outcomes as arise in longitudinal or cluster randomization
studies. The key step involves a cluster-level grouping strategy for the
computation of the middle term in the sandwich estimator. For a single binary
exposure variable without covariate adjustment, this approach results in risk
ratio estimates and standard errors that are identical to those found in the
survey sampling literature. Simulation results suggest that it is reliable for
studies with correlated binary data, provided the total number of clusters is at
least 50. Data from observational and cluster randomized studies are used to
illustrate the methods.
PMID- 22072597
TI - Protein-protein interaction sites are hot spots for disease-associated
nonsynonymous SNPs.
AB - Many nonsynonymous single nucleotide polymorphisms (nsSNPs) are disease causing
due to effects at protein-protein interfaces. We have integrated a database of
the three-dimensional (3D) structures of human protein/protein complexes and the
humsavar database of nsSNPs. We analyzed the location of nsSNPS in terms of their
location in the protein core, at protein-protein interfaces, and on the surface
when not at an interface. Disease-causing nsSNPs that do not occur in the protein
core are preferentially located at protein-protein interfaces rather than surface
noninterface regions when compared to random segregation. The disruption of the
protein-protein interaction can be explained by a range of structural effects
including the loss of an electrostatic salt bridge, the destabilization due to
reduction of the hydrophobic effect, the formation of a steric clash, and the
introduction of a proline altering the main-chain conformation.
PMID- 22072598
TI - Investigation of different cell types and gel carriers for cell-based
intervertebral disc therapy, in vitro and in vivo studies.
AB - Biological treatment options for the repair of intervertebral disc damage have
been suggested for patients with chronic low back pain. The aim of this study was
to investigate possible cell types and gel carriers for use in the regenerative
treatment of degenerative intervertebral discs (IVD). In vitro: human mesenchymal
cells (hMSCs), IVD cells (hDCs), and chondrocytes (hCs) were cultivated in three
gel types: hyaluronan gel (Durolane(r)), hydrogel (Puramatrix(r)), and tissue
glue gel (TISSEEL(r)) in chondrogenic differentiation media for 9 days. Cell
proliferation and proteoglycan accumulation were evaluated with microscopy and
histology. In vivo: hMSCs or hCs and hyaluronan gel were co-injected into injured
IVDs of six minipigs. Animals were sacrificed at 3 or 6 months. Transplanted
cells were traced with anti-human antibodies. IVD appearance was visualized by
MRI, immunohistochemistry, and histology. Hyaluronan gel induced the highest cell
proliferation in vitro for all cell types. Xenotransplanted hMSCs and hCs
survived in porcine IVDs for 6 months and produced collagen II in all six
animals. Six months after transplantation of cell/gel, pronounced endplate
changes indicating severe IVD degeneration were observed at MRI in 1/3 hC/gel,
1/3 hMSCs/gel and 1/3 gel only injected IVDs at MRI and 1/3 hMSC/gel, 3/3 hC/gel,
2/3 gel and 1/3 injured IVDs showed positive staining for bone mineralization. In
1 of 3 discs receiving hC/gel, in 1 of 3 receiving hMSCs/gel, and in 1 of 3 discs
receiving gel alone. Injected IVDs on MRI results in 1 of 3 hMSC/gel, in 3 of 3
hC/gel, in 2 of 3 gel, and in 1 of 3 injured IVDs animals showed positive
staining for bone mineralization. The investigated hyaluronan gel carrier is not
suitable for use in cell therapy of injured/degenerated IVDs. The high cell
proliferation observed in vitro in the hyaluronan could have been a negative
factor in vivo, since most cell/gel transplanted IVDs showed degenerative changes
at MRI and positive bone mineralization staining. However, this
xenotransplantation model is valuable for evaluating possible cell therapy
strategies for human degenerated IVDs.
PMID- 22072599
TI - Problems hearing in noise in older adults: a review of spatial processing
disorder.
AB - Difficulty understanding speech in background noise, even with amplification to
restore audibility, is a common problem for hearing-impaired individuals and is
especially frequent in older adults. Despite the debilitating nature of the
problem the cause is not yet completely clear. This review considers the role of
spatial processing ability in understanding speech in noise, highlights the
potential impact of disordered spatial processing, and attempts to establish if
aging leads to reduced spatial processing ability. Evidence supporting and
opposing the hypothesis that spatial processing is disordered among the aging
population is presented. With a few notable exceptions, spatial processing
ability was shown to be reduced in an older population in comparison to young
adults, leading to poorer speech understanding in noise. However, it is argued
that to conclude aging negatively effects spatial processing ability may be
oversimplified or even premature given potentially confounding factors such as
cognitive ability and hearing impairment. Further research is required to
determine the effect of aging and hearing impairment on spatial processing and to
investigate possible remediation options for spatial processing disorder.
PMID- 22072600
TI - Predicting flexible loop regions that interact with ligands: the challenge of
accurate scoring.
AB - Flexible loop regions play a critical role in the biological function of many
proteins and have been shown to be involved in ligand binding. In the context of
structure-based drug design, using or predicting an incorrect loop configuration
can be detrimental to the study if the loop is capable of interacting with the
ligand. Three protein systems, each with at least one flexible loop region in
close proximity to the known binding site, were selected for loop prediction
using the CorLps program; a six residue loop region from
phosphoribosylglycinamide formyltransferase (GART), two nine residue loop regions
from cytochrome P450 (CYP) 119, and an 11 residue loop region from enolase were
selected for loop prediction. The results of this study indicate that the
statistically based DFIRE scoring function implemented in the CorLps program did
not accurately rank native-like predicted loop configurations in any protein
system. In an attempt to improve the ranking of the native-like predicted loop
configurations, the MM/GBSA and the optimized MM/GBSA-dsr scoring functions were
used to re-rank the predicted loops with and without bound ligand. In general,
single snapshot MM/GBSA scoring provided the best ranking of native-like loop
configurations. Based on the scoring function analyses presented, the optimal
ranking of native-like loop configurations is still a difficult challenge and the
choice of the "best" scoring function appears to be system dependent.
PMID- 22072601
TI - Evaluation of the minimum energy hypothesis and other potential optimality
criteria for human running.
AB - A popular hypothesis for human running is that gait mechanics and muscular
activity are optimized in order to minimize the cost of transport (CoT). Humans
running at any particular speed appear to naturally select a stride length that
maintains a low CoT when compared with other possible stride lengths. However, it
is unknown if the nervous system prioritizes the CoT itself for minimization, or
if some other quantity is minimized and a low CoT is a consequential effect. To
address this question, we generated predictive computer simulations of running
using an anatomically inspired musculoskeletal model and compared the results
with data collected from human runners. Three simulations were generated by
minimizing the CoT, the total muscle activation or the total muscle stress,
respectively. While all the simulations qualitatively resembled real human
running, minimizing activation predicted the most realistic joint angles and
timing of muscular activity. While minimizing the CoT naturally predicted the
lowest CoT, minimizing activation predicted a more realistic CoT in comparison
with the experimental mean. The results suggest a potential control strategy
centred on muscle activation for economical running.
PMID- 22072602
TI - Fitness consequences of plants growing with siblings: reconciling kin selection,
niche partitioning and competitive ability.
AB - Plant studies that have investigated the fitness consequences of growing with
siblings have found conflicting evidence that can support different theoretical
frameworks. Depending on whether siblings or strangers have higher fitness in
competition, kin selection, niche partitioning and competitive ability have been
invoked. Here, we bring together these processes in a conceptual synthesis and
argue that they can be co-occurring. We propose that these processes can be
reconciled and argue for a trait-based approach of measuring natural selection
instead of the fitness-based approach to the study of sibling competition. This
review will improve the understanding of how plants interact socially under
competitive situations, and provide a framework for future studies.
PMID- 22072603
TI - Plant establishment and invasions: an increase in a seed disperser combined with
land abandonment causes an invasion of the non-native walnut in Europe.
AB - Successful invasive species often are established for a long time period before
increasing exponentially in abundance. This lag phase is one of the least
understood phenomena of biological invasions. Plant invasions depend on three
factors: a seed source, suitable habitat and a seed disperser. The non-native
walnut, Juglans regia, has been planted for centuries in Central Europe but,
until recently, has not spread beyond planted areas. However, in the past 20
years, we have observed a rapid increase in walnut abundance, specifically in
abandoned agricultural fields. The dominant walnut disperser is the rook, Corvus
frugilegus. During the past 50 years, rooks have increased in abundance and now
commonly inhabit human settlements, where walnut trees are planted. Central
Europe has, in the past few decades, experienced large-scale land abandonment.
Walnut seeds dispersed into ploughed fields do not survive, but when cached into
ploughed and then abandoned fields, they successfully establish. Rooks
preferentially cache seeds in ploughed fields. Thus, land-use change combined
with disperser changes can cause rapid increase of a non-native species, allowing
it to become invasive. This may have cascading effects on the entire ecosystem.
Thus, species that are non-native and not invasive can become invasive as
habitats and dispersers change.
PMID- 22072604
TI - Old World monkeys are more similar to humans than New World monkeys when playing
a coordination game.
AB - There is much debate about how humans' decision-making compares with that of
other primates. One way to explore this is to compare species' performance using
identical methodologies in games with strategical interactions. We presented a
computerized Assurance Game, which was either functionally simultaneous or
sequential, to investigate how humans, rhesus monkeys and capuchin monkeys used
information in decision-making. All species coordinated via sequential play on
the payoff-dominant Nash equilibrium, indicating that information about the
partner's choice improved decisions. Furthermore, some humans and rhesus monkeys
found the payoff-dominant Nash equilibrium in the simultaneous game, even when it
was the first condition presented. Thus, Old World primates solved the task
without any external cues to their partner's choice. Finally, when not explicitly
prohibited, humans spontaneously used language to coordinate on the payoff
dominant Nash equilibrium, indicating an alternative mechanism for converting a
simultaneous move game into a sequential move game. This phylogenetic
distribution implies that no single mechanism drives coordination decisions
across the primates, while humans' ability to spontaneously use language to
change the structure of the game emphasizes that multiple mechanisms may be used
even within the same species. These results provide insight into the evolution of
decision-making strategies across the primates.
PMID- 22072605
TI - Skimming the surface with Burgess Shale arthropod locomotion.
AB - The first arthropod trackways are described from the Middle Cambrian Burgess
Shale Formation of Canada. Trace fossils, including trackways, provide a rich
source of biological and ecological information, including direct evidence of
behaviour not commonly available from body fossils alone. The discovery of large
arthropod trackways is unique for Burgess Shale-type deposits. Trackway
dimensions and the requisite number of limbs are matched with the body plan of a
tegopeltid arthropod. Tegopelte, one of the rarest Burgess Shale animals, is over
twice the size of all other benthic arthropods known from this locality, and only
its sister taxon, Saperion, from the Lower Cambrian Chengjiang biota of China,
approaches a similar size. Biomechanical trackway analysis demonstrates that
tegopeltids were capable of rapidly skimming across the seafloor and, in
conjunction with the identification of gut diverticulae in Tegopelte, supports
previous hypotheses on the locomotory capabilities and carnivorous mode of life
of such arthropods. The trackways occur in the oldest part (Kicking Horse Shale
Member) of the Burgess Shale Formation, which is also known for its scarce
assemblage of soft-bodied organisms, and indicate at least intermittent
oxygenated bottom waters and low sedimentation rates.
PMID- 22072606
TI - The structure of cross-cultural musical diversity.
AB - Human cultural traits, such as languages, musics, rituals and material objects,
vary widely across cultures. However, the majority of comparative analyses of
human cultural diversity focus on between-culture variation without consideration
for within-culture variation. In contrast, biological approaches to genetic
diversity, such as the analysis of molecular variance (AMOVA) framework,
partition genetic diversity into both within- and between-population components.
We attempt here for the first time to quantify both components of cultural
diversity by applying the AMOVA model to music. By employing this approach with
421 traditional songs from 16 Austronesian-speaking populations, we show that the
vast majority of musical variability is due to differences within populations
rather than differences between. This demonstrates a striking parallel to the
structure of genetic diversity in humans. A neighbour-net analysis of pairwise
population musical divergence shows a large amount of reticulation, indicating
the pervasive occurrence of borrowing and/or convergent evolution of musical
features across populations.
PMID- 22072607
TI - Embryonic exposure to corticosterone modifies the juvenile stress response,
oxidative stress and telomere length.
AB - Early embryonic exposure to maternal glucocorticoids can broadly impact
physiology and behaviour across phylogenetically diverse taxa. The transfer of
maternal glucocorticoids to offspring may be an inevitable cost associated with
poor environmental conditions, or serve as a maternal effect that alters
offspring phenotype in preparation for a stressful environment. Regardless,
maternal glucocorticoids are likely to have both costs and benefits that are paid
and collected over different developmental time periods. We manipulated yolk
corticosterone (cort) in domestic chickens (Gallus domesticus) to examine the
potential impacts of embryonic exposure to maternal stress on the juvenile stress
response and cellular ageing. Here, we report that juveniles exposed to
experimentally increased cort in ovo had a protracted decline in cort during the
recovery phase of the stress response. All birds, regardless of treatment group,
shifted to oxidative stress during an acute stress response. In addition,
embryonic exposure to cort resulted in higher levels of reactive oxygen
metabolites and an over-representation of short telomeres compared with the
control birds. In many species, individuals with higher levels of oxidative
stress and shorter telomeres have the poorest survival prospects. Given this,
long-term costs of glucocorticoid-induced phenotypes may include accelerated
ageing and increased mortality.
PMID- 22072608
TI - Novel methods reveal shifts in migration phenology of barn swallows in South
Africa.
AB - Many migratory bird species, including the barn swallow (Hirundo rustica), have
advanced their arrival date at Northern Hemisphere breeding grounds, showing a
clear biotic response to recent climate change. Earlier arrival helps maintain
their synchrony with earlier springs, but little is known about the associated
changes in phenology at their non-breeding grounds. Here, we examine the
phenology of barn swallows in South Africa, where a large proportion of the
northern European breeding population spends its non-breeding season. Using novel
analytical methods based on bird atlas data, we show that swallows first arrive
in the northern parts of the country and gradually appear further south. On their
north-bound journey, they leave South Africa rapidly, resulting in mean stopover
durations of 140 days in the south and 180 days in the north. We found that
swallows are now leaving northern parts of South Africa 8 days earlier than they
did 20 years ago, and so shortened their stay in areas where they previously
stayed the longest. By contrast, they did not shorten their stopover in other
parts of South Africa, leading to a more synchronized departure across the
country. Departure was related to environmental variability, measured through the
Southern Oscillation Index. Our results suggest that these birds gain their
extended breeding season in Europe partly by leaving South Africa earlier, and
thus add to scarce evidence for phenology shifts in the Southern Hemisphere.
PMID- 22072609
TI - Evolution of reproductive life histories in island birds worldwide.
AB - Island environments typically share characteristics such as impoverished biotas
and less-seasonal climates, which should be conducive to specific adaptations by
organisms. However, with the exception of morphological studies, broad-scale
tests of patterns of adaptation on islands are rare. Here, I examine reproductive
patterns in island birds worldwide. Reproductive life histories are influenced by
latitude, which could affect the response to insularity; therefore, I
additionally test this hypothesis. Island colonizers showed mostly bi-parental
care, but there was a significant increase in cooperative breeding on islands.
Additionally, I found support for previous suggestions of reduced fecundity,
longer developmental periods and increased investment in young on islands.
However, clutch size increased with latitude at a rate nearly five times faster
on the mainland than on the islands revealing a substantially stronger effect of
insularity at higher latitudes. Latitude and insularity may also interact to
determine egg volume and incubation periods, but these effects were less clear.
Analyses of reproductive success did not support an effect of reduced nest
predation as a driver of reproductive change, but this requires further study.
The effect of latitude detected here suggests that the evolutionary changes
associated with insularity relate to environmental stability and improved adult
survival.
PMID- 22072610
TI - Arctic plant diversity in the Early Eocene greenhouse.
AB - For the majority of the Early Caenozoic, a remarkable expanse of humid,
mesothermal to temperate forests spread across Northern Polar regions that now
contain specialized plant and animal communities adapted to life in extreme
environments. Little is known on the taxonomic diversity of Arctic floras during
greenhouse periods of the Caenozoic. We show for the first time that plant
richness in the globally warm Early Eocene (approx. 55-52 Myr) in the Canadian
High Arctic (76 degrees N) is comparable with that approximately 3500 km further
south at mid-latitudes in the US western interior (44-47 degrees N). Arctic
Eocene pollen floras are most comparable in richness with today's forests in the
southeastern United States, some 5000 km further south of the Arctic. Nearly half
of the Eocene, Arctic plant taxa are endemic and the richness of pollen floras
implies significant patchiness to the vegetation type and clear regional richness
of angiosperms. The reduced latitudinal diversity gradient in Early Eocene North
American plant species demonstrates that extreme photoperiod in the Arctic did
not limit taxonomic diversity of plants.
PMID- 22072611
TI - Sexual conflict over parental investment in repeated bouts: negotiation reduces
overall care.
AB - Understanding the evolution of parental care is complicated by the occurrence of
evolutionary conflicts of interest within the family, variation in the quality
and state of family members, and repeated bouts of investment in a family of
offspring. As a result, family members are expected to negotiate over care. We
present a model for the resolution of sexual conflict in which parents negotiate
over repeated bouts of care. Negotiation is mediated by parents deciding at the
start of each bout how much care to give on the basis of the state (mass) of
offspring, which reflects the amount of care previously received. The
evolutionarily stable pattern of care depends on whether the parents care
together for the whole family, or each cares alone for part of the divided
family. When they care together, they provide less care in the first bout, more
in the last bout, and less care overall, resulting in lower parental and
offspring fitness. Our results emphasize that negotiation over parental care may
occur as a means of avoiding exploitation owing to sexual conflict, even in the
absence of variation in the quality of either sex of parent, and lead to a
reduction in fitness.
PMID- 22072612
TI - Structural insight into mechanism and diverse substrate selection strategy of L
ribulokinase.
AB - The araBAD operon encodes three different enzymes required for catabolism of L
arabinose, which is one of the most abundant monosaccharides in nature. L
ribulokinase, encoded by the araB gene, catalyzes conversion of L-ribulose to L
ribulose-5-phosphate, the second step in the catabolic pathway. Unlike other
kinases, ribulokinase exhibits diversity in substrate selectivity and catalyzes
phosphorylation of all four 2-ketopentose sugars with comparable k(cat) values.
To understand ribulokinase recognition and phosphorylation of a diverse set of
substrates, we have determined the X-ray structure of ribulokinase from Bacillus
halodurans bound to L-ribulose and investigated its substrate and ATP co-factor
binding properties. The polypeptide chain is folded into two domains, one small
and the other large, with a deep cleft in between. By analogy with related sugar
kinases, we identified (447)GGLPQK(452) as the ATP-binding motif within the
smaller domain. L-ribulose binds in the cleft between the two domains via
hydrogen bonds with the side chains of highly conserved Trp126, Lys208, Asp274,
and Glu329 and the main chain nitrogen of Ala96. The interaction of L
ribulokinase with L-ribulose reveals versatile structural features that help
explain recognition of various 2-ketopentose substrates and competitive
inhibition by L-erythrulose. Comparison of our structure to that of the
structures of other sugar kinases revealed conformational variations that suggest
domain-domain closure movements are responsible for establishing the observed
active site environment.
PMID- 22072613
TI - RhoA/ROCK may involve in cardiac hypertrophy induced by experimental
hyperthyroidism.
AB - In this study, the role of the RhoA/Rho-kinase (RhoA/ROCK)-signaling pathway in
cardiovascular dysfunction associated with hyperthyroidism was examined with the
use of fasudil, a Rho-kinase inhibitor. Male Spraque-Dawley rats were treated
with l-thyroxine (T(4)) alone, T(4) + low-dose fasudil (2 mg/kg/day) or T(4) +
high-dose fasudil (10 mg/kg/day) and compared with control animals. Rats in the
T(4) group showed an increase in the ratio of heart weight to body weight, which
was ameliorated by fasudil at both low and high doses. Morphometric and
hemodynamic parameters were also evaluated and confirmed that fasudil attenuated
the cardiac hypertrophy induced by T(4). The extent of phosphorylation of the
myosin phosphatase targeting subunit was quantified by Western blotting to
evaluate the activity of Rho-kinase in the heart tissue. Both Western blotting
and reverse transcriptase-polymerase chain reaction analyses revealed enhancement
of Rho-kinase and activator protein 1 activity and reduction of c-FLIP(L)
expression in the T(4) group, and this response was inhibited by fasudil in a
dose-dependent manner. Furthermore, fasudil inhibited apoptosis induced by T(4)
as evidenced by the detection of terminal deoxynucleotidyl transferase dUTP nick
end labeling-positive cells and the expressions of bax and bcl-2. These results
suggested that the RhoA/ROCK pathway is involved in the cardiac hypertrophy
induced by experimental hyperthyroidism. The antagonism of this pathway may thus
be useful as an alternative target in the treatment of hyperthyroid heart
disease.
PMID- 22072614
TI - Regulation of pancreatic cancer by neuropsychological stress responses: a novel
target for intervention.
AB - Pancreatic cancer has a poor prognosis and is associated with high levels of
psychological stress that may adversely affect clinical outcomes. However, the
potential influence of neuropsychological factors on pancreatic cancer has not
been investigated to date. Using a mouse model of social stress, we have tested
the hypothesis that psychological stress promotes the progression of pancreatic
cancer xenografts via neurotransmitter-induced activation of multiple pathways
and that the inhibitory neurotransmitter gamma-aminobutiric acid (GABA) inhibits
these responses. Sytemic and xenograft levels of noradrenalin, adrenalin, GABA,
cortisol, vascular endothelial growth factor (VEGF) and cyclic adenosine 3', 5'
monophosphate (cAMP) were measured by immunoassays. Xenograft expression of
nicotinic acetylcholine receptors (nAChRs) alpha3, alpha4, alpha5, alpha6 and
alpha7 and beta-adrenergic receptors 1 and 2 were assessed by real-time PCR and
western blots. Expression of glutamate decarboxylases GAD65 and GAD67 and
phosphorylated and unphosphorylated signaling proteins of relevance to pancreatic
cancer were determined in tumor tissue by western blots. Psychological stress
significantly promoted xenograft growth and increased systemic and tumor levels
of noradrenalin, adrenalin, cortisol, VEGF and cAMP while GABA and GAD were
suppressed. Stress upregulated nAChR proteins but not RNAs and induced
phosphorylated ERK, CREB, Src and AKT in xenografts. Reduction of cAMP by
treatment with GABA prevented tumor progression and activation of signaling
proteins. Our findings suggest that neurotransmitter responses to psychological
stress negatively impact clinical outcomes of pancreatic cancer via the
activation of multiple pathways and that replacement of the suppressed inhibitory
neurotransmitter GABA prevents these effects.
PMID- 22072615
TI - microRNA-365, down-regulated in colon cancer, inhibits cell cycle progression and
promotes apoptosis of colon cancer cells by probably targeting Cyclin D1 and Bcl
2.
AB - Deregulated microRNAs participate in carcinogenesis and cancer progression, but
their roles in cancer development remain unclear. In this study, miR-365
expression was found to be downregulated in human colon cancer tissues as
compared with that in matched non-neoplastic mucosa tissues, and its
downregulation was correlated with cancer progression and poor survival in colon
cancer patients. Functional studies revealed that restoration of miR-365
expression inhibited cell cycle progression, promoted 5-fluorouracil-induced
apoptosis and repressed tumorigenicity in colon cancer cell lines. Furthermore,
bioinformatic prediction and experimental validation were used to identify miR
365 target genes and indicated that the antitumor effects of miR-365 were
probably mediated by its targeting and repression of Cyclin D1 and Bcl-2
expression, thus inhibiting cell cycle progression and promoting apoptosis. These
results suggest that downregulation of miR-365 in colon cancer may have potential
applications in prognosis prediction and gene therapy in colon cancer patients.
PMID- 22072617
TI - Cell signaling pathways associated with a reduction in mammary cancer burden by
dietary common bean (Phaseolus vulgaris L.).
AB - Emerging evidence indicates that common bean (Phaseolus vulgaris L.) is
associated with reduced cancer risk in human populations and rodent
carcinogenesis models. This study sought to identify cancer-associated molecular
targets that mediate the effects of bean on cancer burden in a chemically induced
rat model for breast cancer. Initial experiments were conducted using a high
dietary concentration of bean (60% wt/wt) where carcinoma burden in bean-fed rats
was reduced 62.2% (P < 0.001) and histological and western blot analyses revealed
that the dominant cellular process associated with reduced burden was induction
of apoptosis. Further analysis of mammary carcinomas revealed changes in the
phosphorylation states of mammalian target of rapamycin (mTOR) substrates (4E
binding protein 1 and p70S6 kinase) and mTOR regulators adenosine monophosphate
activated protein kinase and protein kinase B (Akt) (P < 0.001). Effects on mTOR
signaling in carcinomas were also found at lower dietary concentrations of bean
(7.5-30% wt/wt). Liquid chromatography-time of flight-mass spectrometry analysis
of plasma provided evidence of altered lipid metabolism consistent with reduced
mTOR network activity in the liver (P < 0.001). Plasma concentrations of insulin
and insulin-like growth factor-1 were reduced by 36.3 and 38.9%, respectively, (P
< 0.001), identifying a link to Akt regulation. Plasma C-reactive protein, a
prognostic marker for long-term survival in breast cancer patients, was reduced
by 23% (P < 0.001) in bean-fed rats. Identification of a role for the mTOR
signaling network in the reduction of cancer burden by dietary bean is highly
relevant given that this pathway is deregulated in the majority of human breast
cancers.
PMID- 22072616
TI - DNA adducts of 2-amino-1-methyl-6-phenylimidazo[4,5-b]pyridine and 4
aminobiphenyl are infrequently detected in human mammary tissue by liquid
chromatography/tandem mass spectrometry.
AB - Some epidemiological investigations have revealed that frequent consumption of
well-done cooked meats and tobacco smoking are risk factors for breast cancer in
women. 2-Amino-1-methyl-6-phenylimidazo[4,5-b]pyridine (PhIP) is a heterocyclic
aromatic amine that is formed in well-done cooked meat, and 4-aminobiphenyl (4
ABP) is an aromatic amine that arises in tobacco smoke and occurs as a
contaminant in the atmosphere. Both compounds are rodent mammary carcinogens, and
putative DNA adducts of PhIP and 4-ABP have been frequently detected, by
immunohistochemistry (IHC) or (32)P-post-labeling methods, in mammary tissue of
USA women. Because of these findings, PhIP and 4-ABP have been implicated as
causal agents of human breast cancer. However, the biomarker data are
controversial: both IHC and (32)P-post-labeling are non-selective screening
methods and fail to provide confirmatory spectral data. Consequently, the
identities of the lesions are equivocal. We employed a specific and sensitive
liquid chromatography/mass spectrometry (MS) method, to screen tumor-adjacent
normal mammary tissue for DNA adducts of PhIP and 4-ABP. Only 1 of 70 biopsy
samples obtained from Minneapolis, Minnesota breast cancer patients contained a
PhIP-DNA adduct. The level was three adducts per 10(9) nucleotides, a level that
is 100-fold lower than the mean level of PhIP adducts reported by IHC or (32)P
post-labeling methods. The occurrence of 4-ABP-DNA adducts was nil in those same
breast tissues. Our findings, derived from a specific mass spectrometry method,
signify that PhIP and 4-ABP are not major DNA-damaging agents in mammary tissue
of USA women and raise questions about the roles of these chemicals in breast
cancer.
PMID- 22072618
TI - Functional FEN1 genetic variants contribute to risk of hepatocellular carcinoma,
esophageal cancer, gastric cancer and colorectal cancer.
AB - As a DNA repair protein, Flap endonuclease 1 (FEN1) plays crucial parts in
preventing carcinogenesis. Two functional germ line variants (-69G > A and 4150G
> T) in the FEN1 gene have been associated with DNA damage levels in coke oven
workers and lung cancer risk in general populations. However, the role of these
genetic variants on gastrointestinal cancer susceptibility is unknown. Therefore,
we evaluated the association between these polymorphisms and gastrointestinal
cancer risk in two independent case-control cohorts consisted of a total of 1850
gastrointestinal cancer (hepatocellular carcinoma, esophageal cancer, gastric
cancer and colorectal cancer) patients and 2222 healthy controls. The impact of
these variations on FEN1 expression was also examined using liver, esophagus,
stomach and colon normal tissues. It was found that the FEN1 -69GG genotypes were
significantly correlated to increased risk for developing gastrointestinal cancer
compared with the -69AA genotype in both cohorts [Jinan cohort: odds ratios (OR)
= 2.14, 95% confidence interval (CI) = 1.47-2.80, P = 1.0 * 10(-)(6); Huaian
cohort: OR = 1.93, 95% CI = 1.37-2.50, P = 0.5 * 10(-6)]. Similar results were
observed for 4150G > T polymorphism. In the combined meta-analyses, OR for -69GG
or 4150GG genotype was 2.02 (95% CI = 1.59-2.45) or 1.86 (95% CI = 1.45-2.28)
compared with -69AA or 4150TT genotype. In vivo FEN1 messenger RNA expression
analyses showed that the -69G or 4150G allele carriers had ~2-fold decreased FEN1
expression in gastrointestinal tissues compared with -69A or 4150T carriers,
indicating that lower FEN1 expression may lead to higher risk for malignant
transformation of gastrointestinal cells. Our results highlight FEN1 as an
important gene in human gastrointestinal oncogenesis and genetic polymorphisms in
FEN1 confer susceptibility to gastrointestinal cancers.
PMID- 22072619
TI - Telomere length variation in normal epithelial cells adjacent to tumor: potential
biomarker for breast cancer local recurrence.
AB - A better understanding of the risk of local recurrence (LR) will facilitate
therapeutic decision making in the management of early breast cancers. In the
present study, we investigated whether telomere length in the normal breast
epithelial cells surrounding the tumor is predictive of breast cancer LR; 152
women who were diagnosed with breast cancer at the Lombardi Comprehensive Cancer
Center were included in this nested case-control study. Cases (patients had LR)
and controls (patients had no LR) were matched on year of surgery, age at
diagnosis and type of surgery. Telomere fluorescent in situ hybridization was
used to determine the telomere length using formalin fixed paraffin-embedded
breast tissues. Small telomere length variation (TLV), defined as the coefficient
variation of telomere lengths among examined cells, in normal epithelial cells
adjacent to the tumor was significantly associated with a 5-fold (95% confidence
interval = 1.2-22.2) increased risk of breast cancer LR. When the subjects were
categorized into quartiles, a significant inverse dose-response relationship was
observed with lowest versus highest quartile odds ratio of 15.3 (P(trend) =
0.012). Patients who had large TLV had significantly better 10 year recurrence
free survival rate compared with patients who had small TLV (80 versus 33%). The
present study revealed that TLV in normal epithelial cells adjacent to tumor is a
strong predictor of breast cancer LR. If confirmed by future studies, TLV in
normal epithelial cells adjacent to tumor has the potential to become a promising
biomarker for predicting breast cancer LR after breast conserving surgery.
PMID- 22072620
TI - Plumbagin (5-hydroxy-2-methyl-1,4-naphthoquinone), isolated from Plumbago
zeylanica, inhibits ultraviolet radiation-induced development of squamous cell
carcinomas.
AB - Plumbagin (PL) (5-hydroxy-2-methyl-1,4-napthoquinone), a medicinal plant-derived
naphthoquinone, was isolated from the roots of the Plumbago zeylanica L. (also
known as Chitrak). The roots of P. zeylanica L. have been used in Indian medicine
for >2500 years as an anti-atherogenic, cardiotonic, hepatoprotective and
neuroprotective agent. We present here that topical application of non-toxic
doses (100-500 nmol) of PL to skin elicits dose-dependent inhibition of
ultraviolet radiation (UVR)-induced development of squamous cell carcinomas
(SCC). In this experiment, FVB/N mice were exposed to UVR (2 kJ/m(2)) three times
weekly from a bank of six Kodacel-filtered FS40 sunlamps (~ 60% UVB and 40% UVA).
Carcinoma incidence in mice treated with vehicle, 100, 200 or 500 nmol PL, at 44
weeks post-UVR, were 86, 80 (P = 0.67), 53 (P = 0.12) and 7% (P = 0.0075),
respectively. Both vehicle and PL-treated mice gained weight and did not exhibit
any signs of toxicity during the entire period of the experiment. Molecular
mechanisms associated with inhibition of UVR-induced development of SCC involved
induction of apoptosis and inhibition of cell proliferation. Specific findings
are that PL treatment (i) inhibited UVR-induced DNA binding of activating protein
1, nuclear factor-kappaB, Stat3 transcription factors and Stat3-regulated
molecules (cdc25A and Survivin); (ii) inhibited protein levels of pERK1/2,
PI3K85, pAKTSer473, Bcl(2), BclxL, proliferating cell nuclear antigen and cell
cycle inhibitory proteins p27 and p21 and (iii) increased UVR-induced Fas
associated death domain expression, poly (ADP-ribose) polymerase protein cleavage
and Bax/Bcl(2) ratio. Taken together, our findings suggest that PL may be a novel
agent for the prevention of skin cancer.
PMID- 22072621
TI - Induction of NAD(P)H-quinone oxidoreductase 1 by antioxidants in female ACI rats
is associated with decrease in oxidative DNA damage and inhibition of estrogen
induced breast cancer.
AB - Exact mechanisms underlying the initiation and progression of estrogen-related
cancers are not clear. Literature, evidence and our studies strongly support the
role of estrogen metabolism-mediated oxidative stress in estrogen-induced breast
carcinogenesis. We have recently demonstrated that antioxidants vitamin C and
butylated hydroxyanisole (BHA) or estrogen metabolism inhibitor alpha
naphthoflavone (ANF) inhibit 17beta-estradiol (E2)-induced mammary tumorigenesis
in female ACI rats. The objective of the current study was to identify the
mechanism of antioxidant-mediated protection against E2-induced DNA damage and
mammary tumorigenesis. Female ACI rats were treated with E2 in the presence or
absence of vitamin C or BHA or ANF for up to 240 days. Nuclear factor erythroid 2
related factor 2 (NRF2) and NAD(P)H-quinone oxidoreductase 1 (NQO1) were
suppressed in E2-exposed mammary tissue and in mammary tumors after treatment of
rats with E2 for 240 days. This suppression was overcome by co-treatment of rats
with E2 and vitamin C or BHA. Time course studies indicate that NQO1 levels tend
to increase after 4 months of E2 treatment but decrease on chronic exposure to E2
for 8 months. Vitamin C and BHA significantly increased NQO1 levels after 120
days. 8-Hydroxydeoxyguanosine (8-OHdG) levels were higher in E2-exposed mammary
tissue and in mammary tumors compared with age-matched controls. Vitamin C or BHA
treatment significantly decreased E2-mediated increase in 8-OHdG levels in the
mammary tissue. In vitro studies using silencer RNA confirmed the role of NQO1 in
prevention of oxidative DNA damage. Our studies further demonstrate that NQO1
upregulation by antioxidants is mediated through NRF2.
PMID- 22072622
TI - MicroRNA-21 induces stemness by downregulating transforming growth factor beta
receptor 2 (TGFbetaR2) in colon cancer cells.
AB - Although microRNA-21 (miR-21) is emerging as an oncogene and has been shown to
target several tumor suppressor genes, including programmed cell death 4 (PDCD4),
its precise mechanism of action on cancer stem cells (CSCs) is unclear. Herein,
we report that FOLFOX-resistant HCT-116 and HT-29 cells that are enriched in CSCs
show a 3- to 7-fold upregulation of pre- and mature miR-21 and downregulation of
PDCD4. Likewise, overexpression of miR-21 in HCT-116 cells, achieved through
stable transfection, led to the downregulation of PDCD4 and transforming growth
factor beta receptor 2 (TGFbetaR2). In contrast, the levels of beta-catenin,
TCF/LEF activity and the expression of c-Myc, Cyclin-D, which are increased in
CSCs, are also augmented in miR-21 overexpressing colon cancer cells, accompanied
by an increased sphere forming ability in vitro and tumor formation in SCID mice.
Downregulation of TGFbetaR2 could be attributed to decreased expression of the
receptor as evidenced by reduction in the activity of the luciferase gene
construct comprising TGFbetaR2-3' untranslated region (UTR) sequence that binds
to miR-21. Moreover, we observed that downregulation of miR-21 enhances
luciferase-TGFbetaR2-3' UTR activity suggesting TGFbetaR2 as being one of the
direct targets of miR-21. Further support is provided by the observation that
transfection of TGFbetaR2 in HCT-116 cells attenuates TCF/LEF luciferase
activity, accompanied by decreased expression of beta-catenin, c-Myc and Cyclin
D1. Our current data suggest that miR-21 plays an important role in regulating
stemness by modulating TGFbetaR2 signaling in colon cancer cells.
PMID- 22072623
TI - Allosteric regulation of PKCtheta: understanding multistep phosphorylation and
priming by ligands in AGC kinases.
AB - Protein kinases play critical roles in cellular activation and differentiation,
and are involved in numerous pathophysiological processes. As a critical
component of the regulatory circuitry of the cell, the kinase domain has the
ability to integrate multiple signals, yielding a predetermined output. In PKC
and other protein kinases of the AGC family, several phosphorylation sites
control the activity, but these are in turn influenced by the presence of ligands
in the binding pocket, which promotes phosphorylation. Here, we take PKC-theta as
a prototypical member of the family and use molecular dynamics simulations to
investigate the cross-talk that exists between regulatory and functional sites.
We first show how the apo-unphosphorylated form of the kinase is populating a
conformational space in which access to the ATP binding site and to the
activation loop (AL) are simultaneously hindered. This could explain why the
inactive state is not only catalytically incompetent but also resistant to
activation. AL phosphorylation induces ATP binding site opening, which can then
readily accept the cofactor. But the signal transmission mechanism works both
ways, and if ligand binding to the unphosphorylated form occurs first, the AL is
de-protected and becomes exposed to phosphorylation, thus providing an
explanation for the paradoxical activation of PKCs by their inhibitors.
PMID- 22072624
TI - Physiological and behavioural stress responses in cynomolgus macaques (Macaca
fascicularis) to noise associated with construction work.
AB - The aim of the present study was to evaluate the behavioural and physiological
responses to environmental disturbances (live and recorded dynamite explosions)
in laboratory non-human primates in preparation for a future tunnel construction
underneath our animal facility. In a pilot study (A) on 20 female Macaca
fascicularis, a day of test blasts resulted in an increase in faecal cortisol and
immunoreactive cortisol metabolites (CICM), and the animals reacted behaviourally
with vertical flight and vocalizations. In a follow-up study (B), we assessed the
impact of 10 days of exposure to recorded detonations on the behaviour and CICM
in 16 M. fascicularis. In the latter study we introduced a predictive signal,
serving as a conditional stimulus, to half of the animals. We found no
significant effects of the noise in the Signal group; while the Control groups'
CICM values were affected. The behaviour was largely unaffected in the two
groups. It was decided not to introduce a research moratorium on biomedical
research planned to be conducted during the future tunnel construction, and that
a conditional stimulus ('warning signal') will be used.
PMID- 22072625
TI - Severe hypoxaemia with a left ventricular assist device in a minipig model with
an undiagnosed congenital cardiac disease.
AB - We describe the placement of a left ventricular assist device (LVAD) in a pig
with spontaneously occurring atrial septal defect (ASD) (incidental finding) that
created a right-left cardiac shunt, with subsequent severe hypoxaemia. Early
diagnosis was critical in order to prevent end-organ damage due to hypoxaemia.
Adequate monitoring alerted us to the deterioration in oxygenation, haemodynamics
and cerebral oxygen metabolism. This forced us to change the level of assistance
provided by the pump, and thus dramatically correct this impairment. Necropsy
revealed an ostium secundum ASD. In conclusion, if hypoxaemia presents after
implementation of an LVAD, the presence of a right-left shunt must be ruled out.
The first step must be a judicious reduction in assist device flow to minimize
intracardiac shunting. Subsequently, atrial septal closure of the defect should
be considered. We report an experimental model of severe hypoxaemia after
placement of an LVAD as part of a larger research project.
PMID- 22072626
TI - Computational studies of LXR molecular interactions reveal an allosteric
communication pathway.
AB - The liver X receptor, LXRalpha, is an important regulator of genes involved in
metabolism and inflammation. The mechanism of communication between the cofactor
peptide and the ligand in the ligand-binding pocket is a crucial and often
discussed issue for the nuclear receptors (NRs), but such allosteric signaling
pathways are difficult to detect and the transmission mechanism remains elusive.
Here, we apply the anisotropic thermal diffusion method to the LXRalpha with
bound coactivator and ligand. We detected a possible communication pathway
between the coactivator peptide and the ligand. The signal is transmitted both
through the receptor backbone and side chains. A key signaling residue is the
first leucine in the cofactor peptide recognition motif LXXLL, which is conserved
within the NR cofactors, suggesting a general mechanism for allosteric signaling.
Furthermore, we studied the LXR receptor and cofactor molecular interactions in
detail using molecular dynamics simulations. The protein-protein interaction
patterns in the complexes of nine different cofactor peptides and holo-LXRalpha
were characterized, revealing the importance of the receptor-cofactor charge
clamp interaction. Specific, but infrequently occurring interactions were
observed toward the cofactor peptide C-terminal residues. Thus, additional
specificity between LXRalpha and its cofactors is likely to be found in molecular
interactions outside the cofactor peptide or in other biological factors.
PMID- 22072627
TI - Minimally invasive childhood and adult circumcision.
AB - Using the Gomco circumcision clamp and sealing the wound with tissue adhesive
results in a minimally invasive circumcision suitable for all age groups beyond
the neonatal period. It is easy to perform and can be performed by generalists
with local anaesthetic and standard instruments.
PMID- 22072628
TI - Structural characterization by nuclear magnetic resonance of the impact of
phosphorylation in the proline-rich region of the disordered Tau protein.
AB - Phosphorylation of the neuronal Tau protein is implicated in both the regulation
of its physiological function of microtubule stabilization and its pathological
propensity to aggregate into the fibers that characterize Alzheimer's diseased
neurons. However, how specific phosphorylation events influence both aspects of
Tau biology remains largely unknown. In this study, we address the structural
impact of phosphorylation of the Tau protein by Nuclear Magnetic Resonance (NMR)
spectroscopy on a functional fragment of Tau (Tau[Ser208-Ser324] = TauF4). TauF4
was phosphorylated by the proline-directed CDK2/CycA3 kinase on Thr231
(generating the AT180 epitope), Ser235, and equally on Thr212 and Thr217 in the
Proline-rich region (Tau[Ser208-Gln244] or PRR). These modifications strongly
decrease the capacity of TauF4 to polymerize tubulin into microtubules. While all
the NMR parameters are consistent with a globally disordered Tau protein
fragment, local clusters of structuration can be defined. The most salient result
of our NMR analysis is that phosphorylation in the PRR stabilizes a short alpha
helix that runs from pSer235 till the very beginning of the microtubule-binding
region (Tau[Thr245-Ser324] or MTBR of TauF4). Phosphorylation of Thr231/Ser235
creates a N-cap with helix stabilizing role while phosphorylation of
Thr212/Thr217 does not induce modification of the local transient secondary
structure, showing that the stabilizing effect is sequence specific. Using
paramagnetic relaxation experiments, we additionally show a transient interaction
between the PRR and the MTBR, observed in both TauF4 and phospho-TauF4.
PMID- 22072629
TI - Modulated nicking endonuclease function by the N-terminal extended region of the
smr domain in human Bcl-3 binding protein.
PMID- 22072630
TI - Roles of human sulfotransferases in genotoxicity of carcinogens using genetically
engineered umu test strains.
AB - Human sulfotransferase (SULT) 1A1, 1A2, and 1A3 cDNA genes were subcloned
separately into the pTrc99A(KM) vector. The generated plasmids were introduced
into the Salmonella typhimurium O-acetyltransferase-deficient strain NM6000
(TA1538/1,8-DNP/pSK1002), resulting in the new strains NM7001, NM7002, and
NM7003. We compared the sensitivities of these three strains with the parental
strain NM7000 against 51 chemicals including aromatic amines, nitroarenes,
alkenylbenzenes, estrogens-like chemicals, and other compounds with and without
S9 mix by making use of the umu test system that is based on the bacterial SOS
induction. 2-Amino-6-methyl-dipyrido[1,2-alpha:3',2'-d]imidazole, 3-methoxy-4
aminoazobenzene, 3-nitrobenzanthrone, 5-nitroacenaphthene, and 3,9
dinitrofluoranthene caused high genotoxicity in the NM7001 strain. The genotoxic
effects of 2-aminofluorene, 2-acetylaminofluorene, 2-amino-1-methyl-6
phenylimidazo[4,5-b]pyridine, 2-nitrofluorene, 1-nitropyrene, and 2-nitropropane
were stronger in the NM7002 strain compared with the NM7001 and NM7003 strains.
Among the tested benzylic and allylic compounds, 1-hydroxymethylpyrene was
detected in the NM7001 strain with the highest sensitivity. Estragole and 1'
hydroxysafrole exhibited strong genotoxicity in the NM7003 strain. The estrogen
like chemicals such as bisphenol A, genistein, p,n-nonylphenol, and 4
hydroxytamoxifen were not detected as genotoxins in any strain used.
Collectively, the present results suggest that the generated test strains are
valuable tools in order to elucidate the role of SULT enzymes in the
bioactivation of chemicals to environmental carcinogens.
PMID- 22072632
TI - Novel techniques and targets in cardiovascular microRNA research.
AB - MicroRNAs (miRNAs) are highly conserved, tiny (~22 nucleotides) non-coding RNAs
that have emerged as potent regulators of mRNA translation. miRNAs exhibit fine
tuning of the control of proteins involved in cell signalling (AE) pathways and
in vital cellular and developmental processes. miRNAs are expressed in
cardiovascular tissues, and multiple functional aspects of miRNAs underscore
their key role in cardiovascular (patho)physiology. The development and
increasing use of novel molecular biology tools have contributed to the recent
success in miRNA research. In the present review, we discuss current updates on
important and novel miRNA techniques, including: (i) miRNA screening tools; (ii)
bioanalytical target prediction tools; (iii) target validation tools; and (iv)
manipulative miRNA expression tools. We also present an update about recently
identified miRNA targets that play a key role in cardiovascular development and
disorders.
PMID- 22072631
TI - N-terminal domain of soluble epoxide hydrolase negatively regulates the VEGF
mediated activation of endothelial nitric oxide synthase.
AB - AIMS: The mammalian soluble epoxide hydrolase (sEH) has both an epoxide hydrolase
and a phosphatase domain. The role of sEH hydrolase activity in the metabolism of
epoxyeicosatrienoic acids (EETs) and the activation of endothelial nitric oxide
synthase (eNOS) in endothelial cells (ECs) has been well defined. However, far
less is known about the role of sEH phosphatase activity in eNOS activation. In
the present study, we investigated whether the phosphatase domain of sEH was
involved in the eNOS activation in ECs. METHODS AND RESULTS: The level of eNOS
phosphorylation in aortas is higher in the sEH knockout (sEH(-/-)) mice than in
wild-type mice. In ECs, pharmacological inhibition of sEH phosphatase or
overexpressing sEH with an inactive phosphatase domain enhanced vascular
endothelial growth factor (VEGF)-induced NO production and eNOS phosphorylation.
In contrast, overexpressing the phosphatase domain of sEH prevented the VEGF
mediated NO production and eNOS phosphorylation at Ser617, Ser635, and Ser1179.
Additionally, treatment with VEGF induced a c-Src kinase-dependent increase in
transient tyrosine phosphorylation of sEH and the formation of a sEH-eNOS
complex, which was abolished by treatment with a c-Src kinase inhibitor, PP1, or
the c-Src dominant-negative mutant K298M. We also demonstrated that the
phosphatase domain of sEH played a key role in VEGF-induced angiogenesis by
detecting the tube formation in ECs and neovascularization in Matrigel plugs in
mice. CONCLUSION: In addition to epoxide hydrolase activity, phosphatase activity
of sEH plays a pivotal role in the regulation of eNOS activity and NO-mediated EC
functions.
PMID- 22072633
TI - Conduction block in micropatterned cardiomyocyte cultures replicating the
structure of ventricular cross-sections.
AB - AIMS: Structural and functional heterogeneities in cardiac tissue have been
implicated in conduction block and arrhythmogenesis. However, the propensity of
specific sites within the heart to initiate conduction block has not been
systematically explored. We utilized cardiomyocyte cultures replicating the
realistic, magnetic resonance imaging-measured tissue boundaries and fibre
directions of ventricular cross-sections to investigate their roles in the
development of conduction block. METHODS AND RESULTS: The Sprague-Dawley neonatal
rat cardiomyocytes were micropatterned to obtain cultures with realistic
ventricular tissue boundaries and either random or realistic fibre directions.
Rapid pacing was applied at multiple sites, with action potential propagation
optically mapped. Excitation either failed at the stimulus site or conduction
block developed remotely, often initiating reentry. The incidence of conduction
block in isotropic monolayers (0% of cultures) increased with the inclusion of
realistic tissue boundaries (17%) and further with realistic fibre directions
(34%). Conduction block incidence was stimulus site-dependent and highest (77%)
with rapid pacing from the right ventricular (RV) free wall. Furthermore,
conduction block occurred exclusively at the insertion of the RV free wall into
the septum, where structure-mediated current source-load mismatches acutely
reduced wavefront and waveback velocity. Tissue boundaries and sharp gradients in
fibre direction uniquely determined the evolution, shape, and position of
conduction block lines. CONCLUSION: Our study suggests that specific micro- and
macrostructural features of the ventricle determine the incidence and
spatiotemporal characteristics of conduction block, independent of spatial
heterogeneities in ion channel expression.
PMID- 22072634
TI - The mPTP and its regulatory proteins: final common targets of signalling pathways
for protection against necrosis.
AB - The mitochondrial permeability transition pore (mPTP) is a non-selective, large
conductance channel that is closed under physiological conditions. Opening of the
mPTP, leading to abolition of mitochondrial functions, is a major mechanism of
myocyte necrosis by ischaemia/reperfusion, and direct inhibition of mPTP opening
by use of pharmacological or genetic manipulations limits infarct size in vivo.
Multiple pro-survival signal pathways commonly target the mPTP and inhibit its
opening. Although the molecular structure of the mPTP has not been established,
recent studies have characterized roles of each mPTP subunit and functions of
several proteins directly interacting with the mPTP. This article briefly
describes the understanding of mPTP regulation and interaction of the mPTP with
four proteins (hexokinase II, glycogen synthase kinase-3beta, signal transducer
and activator of transcription 3, and sirtuin 3) that are downstream of signal
pathways relevant to protection from ischaemia/reperfusion injury.
PMID- 22072635
TI - C-path: a Watson-like visit to the pathology lab.
AB - Computer-based quantification of tumor morphology has arguably solved the problem
of standardized tumor grading (Beck et al., this issue).
PMID- 22072636
TI - Harmonization of immune biomarker assays for clinical studies.
AB - Assays that measure a patient's immune response play an increasingly important
role in the development of immunotherapies. The inherent complexity of these
assays and independent protocol development between laboratories result in high
data variability and poor reproducibility. Quality control through harmonization-
based on integration of laboratory-specific protocols with standard operating
procedures and assay performance benchmarks--is one way to overcome these
limitations. Harmonization guidelines can be widely implemented to address assay
performance variables. This process enables objective interpretation and
comparison of data across clinical trial sites and also facilitates the
identification of relevant immune biomarkers, guiding the development of new
therapies.
PMID- 22072637
TI - A peptidomimetic targeting white fat causes weight loss and improved insulin
resistance in obese monkeys.
AB - Obesity, defined as body mass index greater than 30, is a leading cause of
morbidity and mortality and a financial burden worldwide. Despite significant
efforts in the past decade, very few drugs have been successfully developed for
the treatment of obese patients. Biological differences between rodents and
primates are a major hurdle for translation of anti-obesity strategies either
discovered or developed in rodents into effective human therapeutics. Here, we
evaluate the ligand-directed peptidomimetic CKGGRAKDC-GG-(D)(KLAKLAK)(2)
(henceforth termed adipotide) in obese Old World monkeys. Treatment with
adipotide induced targeted apoptosis within blood vessels of white adipose tissue
and resulted in rapid weight loss and improved insulin resistance in obese
monkeys. Magnetic resonance imaging and dual-energy x-ray absorptiometry
confirmed a marked reduction in white adipose tissue. At experimentally
determined optimal doses, monkeys from three different species displayed
predictable and reversible changes in renal proximal tubule function. Together,
these data in primates establish adipotide as a prototype in a new class of
candidate drugs that may be useful for treating obesity in humans.
PMID- 22072638
TI - Systematic analysis of breast cancer morphology uncovers stromal features
associated with survival.
AB - The morphological interpretation of histologic sections forms the basis of
diagnosis and prognostication for cancer. In the diagnosis of carcinomas,
pathologists perform a semiquantitative analysis of a small set of morphological
features to determine the cancer's histologic grade. Physicians use histologic
grade to inform their assessment of a carcinoma's aggressiveness and a patient's
prognosis. Nevertheless, the determination of grade in breast cancer examines
only a small set of morphological features of breast cancer epithelial cells,
which has been largely unchanged since the 1920s. A comprehensive analysis of
automatically quantitated morphological features could identify characteristics
of prognostic relevance and provide an accurate and reproducible means for
assessing prognosis from microscopic image data. We developed the C-Path
(Computational Pathologist) system to measure a rich quantitative feature set
from the breast cancer epithelium and stroma (6642 features), including both
standard morphometric descriptors of image objects and higher-level contextual,
relational, and global image features. These measurements were used to construct
a prognostic model. We applied the C-Path system to microscopic images from two
independent cohorts of breast cancer patients [from the Netherlands Cancer
Institute (NKI) cohort, n = 248, and the Vancouver General Hospital (VGH) cohort,
n = 328]. The prognostic model score generated by our system was strongly
associated with overall survival in both the NKI and the VGH cohorts (both log
rank P <= 0.001). This association was independent of clinical, pathological, and
molecular factors. Three stromal features were significantly associated with
survival, and this association was stronger than the association of survival with
epithelial characteristics in the model. These findings implicate stromal
morphologic structure as a previously unrecognized prognostic determinant for
breast cancer.
PMID- 22072640
TI - Predictive factors of hospice use among Blacks: applying Andersen's Behavioral
Model.
AB - The purpose of this prospective, correlational study was to examine the
differential ability of demographic variables, beliefs, and values about end-of
life, spirituality, and social relationships to predict hospice use among blacks.
The framework for this study was the Behavioral Model of Health Services Use.
Data were collected from 104 terminally ill black men and women recruited from 6
inpatient and outpatient settings. Only 34% of the individuals participated in
hospice services. Chi-square, sequential, and stepwise logistic regressions
revealed that the best predictive model consisted of presence of a caregiver,
having a religious affiliation, and male gender. Together these factors predicted
13.7% to 19% of hospice use among blacks. Health care providers can use these
findings in planning care for patients early in their disease trajectory.
PMID- 22072641
TI - CYP8A1 gene polymorphisms and left main coronary artery disease.
AB - BACKGROUND: Left main (LM) disease is rare but the most hazardous phenotype of
coronary artery disease (CAD). Thus, early detection of participants at high risk
of developing left main coronary heart disease (LM-CAD) is crucial. The aim of
this study was to identify gene polymorphisms which could distinguish
participants who are at high risk of developing LM-CAD. Such a candidate can be
the prostaglandin I(2) or prostacyclin (PGI(2)) gene. METHODS: The DNA of 254
participants (151 participants with angiographically documented LM-CAD and 103
healthy controls) was analyzed for the frequency of C1117A polymorphism in the
gene coding CYP8A1. RESULTS: The genotype distribution was different between the
LM-CAD and the control group. Particularly, the CC genotype of CYP8A1 was
commoner in the LM-CAD than in the healthy group (P < .001). Allele frequencies
were also differently distributed between the 2 groups. C allele frequency was
higher in LM-CAD group (P = .016). CONCLUSIONS: The CC genotype of C1117A
polymorphism is associated with higher risk of LM-CAD, which prospectively may
have potential importance in screening high-risk populations. However, further
investigations in larger populations are required to confirm these findings.
PMID- 22072639
TI - Differential inhibitor sensitivity of anaplastic lymphoma kinase variants found
in neuroblastoma.
AB - Activating mutations in the anaplastic lymphoma kinase (ALK) gene were recently
discovered in neuroblastoma, a cancer of the developing autonomic nervous system
that is the most commonly diagnosed malignancy in the first year of life. The
most frequent ALK mutations in neuroblastoma cause amino acid substitutions
(F1174L and R1275Q) in the intracellular tyrosine kinase domain of the intact ALK
receptor. Identification of ALK as an oncogenic driver in neuroblastoma suggests
that crizotinib (PF-02341066), a dual-specific inhibitor of the ALK and Met
tyrosine kinases, will be useful in treating this malignancy. Here, we assessed
the ability of crizotinib to inhibit proliferation of neuroblastoma cell lines
and xenografts expressing mutated or wild-type ALK. Crizotinib inhibited
proliferation of cell lines expressing either R1275Q-mutated ALK or amplified
wild-type ALK. In contrast, cell lines harboring F1174L-mutated ALK were
relatively resistant to crizotinib. Biochemical analyses revealed that this
reduced susceptibility of F1174L-mutated ALK to crizotinib inhibition resulted
from an increased adenosine triphosphate-binding affinity (as also seen in
acquired resistance to epidermal growth factor receptor inhibitors). Thus, this
effect should be surmountable with higher doses of crizotinib and/or with higher
affinity inhibitors.
PMID- 22072642
TI - Moderate intensities of leisure-time physical activity are associated with lower
levels of high-sensitivity C-reactive protein in healthy middle-aged men.
AB - Circulating C-reactive protein (CRP), reflective of systemic chronic low-grade
inflammation, is a marker associated with cardiovascular disease (CVD). One of
the mechanisms through which physical activity might promote cardiovascular
health is by preventing changes in inflammation biomarkers, such as CRP. The
present study examined the association of self-reported physical activity with an
inflammation biomarker, high-sensitivity CRP (hs-CRP), in a population-based
cohort of clinically healthy 58-year-old men. Compared with a sedentary lifestyle
both moderate (1.81 [0.94-3.69] vs 1.28 [0.55-2.90] mg/L; P < .05) and vigorous
physical activity (1.81 [0.94-3.69] vs 0.88 [0.42-1.81] mg/L; P < .001) were
associated with decrease in hs-CRP levels. In summary, we identified an
association between self-reported leisure time physical activity and hs-CRP in a
cross-sectional study of healthy 58-year-old men, with decreased levels of CRP by
increased intensities of physical activity.
PMID- 22072643
TI - Serum bilirubin level is negatively correlated with disease progression of
peripheral arterial disease: an observational cohort study.
AB - We determined whether low bilirubin level is a risk factor for peripheral
arterial disease (PAD). We recruited 318 patients with PAD and 100 healthy
volunteers. Patients were divided into 4 groups by the Fontaine classification
for PAD, namely, group 1 (grade 1, n = 4); group 2 (grade 2, n = 114), group 3
(grade 3, n = 164), and group 4 (grade 4, n = 36). Total bilirubin (T-BIL),
direct bilirubin (D-BIL), and indirect bilirubin (I-BIL) levels were compared
using stepwise multiple regressions adjusted for selected factors. After
adjusting for gender, age, smoking, and diastolic blood pressure, serum levels of
T-BIL, D-BIL, and I-BIL were significantly lower in the PAD group (P < .05).
Patients with grade 4 PAD showed significantly (P < .05) lower levels of T-BIL
when compared with grade 2 patients. We concluded that serum bilirubin levels are
negatively correlated with the severity and progression of PAD.
PMID- 22072644
TI - Complete genome sequence of the animal pathogen Listeria ivanovii, which provides
insights into host specificities and evolution of the genus Listeria.
AB - We report the complete and annotated genome sequence of the animal pathogen
Listeria ivanovii subsp. ivanovii strain PAM 55 (serotype 5), isolated in 1997 in
Spain from an outbreak of abortion in sheep. The sequence and its analysis are
available at an interactive genome browser at the Institut Pasteur
(http://genolist.pasteur.fr/LivaList/).
PMID- 22072645
TI - Genome sequence of Pseudomonas putida strain B6-2, a superdegrader of polycyclic
aromatic hydrocarbons and dioxin-like compounds.
AB - Pseudomonas putida strain B6-2 can efficiently degrade environmental
pollutants/toxicants, such as polycyclic aromatic hydrocarbons and dioxin-like
compounds, and has unique tolerance to organic solvents. Here, we present a 6.24
Mb draft genome sequence of B6-2, which could provide further insights into the
biodegradative mechanisms of a diverse range of chemical compounds.
PMID- 22072646
TI - Draft genome sequence of Dietzia alimentaria 72T, belonging to the family
Dietziaceae, isolated from a traditional Korean food.
AB - Actinobacterial strain 72(T), named Dietzia alimentaria, which belongs to the
family Dietziaceae, was isolated from a traditional Korean food made from clams.
The draft genome sequence of D. alimentaria 72(T) contains 3,352,817 bp, with a
G+C content of 67.34%.
PMID- 22072647
TI - Genome sequence of clinical isolate Mycobacterium tuberculosis NCGM2209.
AB - We report the annotated genome sequence of a clinical isolate, Mycobacterium
tuberculosis strain NCGM2209, which belongs to the "Beijing family" and was
isolated in Japan.
PMID- 22072648
TI - Genome sequence of Desulfovibrio sp. A2, a highly copper resistant, sulfate
reducing bacterium isolated from effluents of a zinc smelter at the Urals.
AB - Desulfovibrio sp. A2 is an anaerobic gram-negative sulfate-reducing bacterium
with remarkable tolerance to copper. It was isolated from wastewater effluents of
a zinc smelter at the Urals. Here, we report the 4.2-Mb draft genome sequence of
Desulfovibrio sp. A2 and identify potential copper resistance mechanisms.
PMID- 22072649
TI - Draft genome sequence of the biocontrol bacterium Pseudomonas putida B001, an
oligotrophic bacterium that induces systemic resistance to plant diseases.
AB - Pseudomonas putida B001 is a rhizobacterium that was isolated on the basis of its
abilities to grow under low-nutrient conditions and induce systemic resistance
against bacterial, fungal, and viral diseases of plants. Here we report the draft
genome sequence and automatic annotation of strain B001. Comparison of this
sequence to the sequenced genome of P. putida KT2440 points to a subset of gene
functions that may be related to the defense-inducing functions of B001.
PMID- 22072650
TI - Draft genome sequence of Bizionia argentinensis, isolated from Antarctic surface
water.
AB - A psychrotolerant marine bacterial strain, designated JUB59(T), was isolated from
Antarctic surface seawater and classified as a new species of the genus Bizionia.
Here, we present the first draft genome sequence for this genus, which suggests
interesting features such as UV resistance, hydrolytic exoenzymes, and nitrogen
metabolism.
PMID- 22072651
TI - Genome sequence of Bifidobacterium breve DPC 6330, a strain isolated from the
human intestine.
AB - The draft genome of Bifidobacterium breve DPC 6330, isolated from an elderly
patient, was determined. B. breve DPC 6330 was previously identified to
synthesize the beneficial metabolite conjugated linoleic acid from free linoleic
acid. The sequence will allow identification and characterization of the genetic
determinants of its putative beneficial properties.
PMID- 22072652
TI - Draft genome sequence of Bacteroides faecis MAJ27T, a strain isolated from human
feces.
AB - Despite the ecological importance of the dominant gut bacteria Bacteroides, few
genomes have been defined. The Gram-negative, strictly anaerobic intestinal
bacterium Bacteroides faecis MAJ27(T) was isolated from the feces of a healthy
adult. Here, the draft genome sequence of the type strain B. faecis MAJ27 (6.11
Mbp) is reported.
PMID- 22072653
TI - Draft genome sequence of the biocontrol bacterium Chromobacterium sp. strain C
61.
AB - Chromobacterium sp. strain C-61 is a plant-associated bacterium with proven
capacities to suppress plant diseases. Here, we report the draft genome sequence
and automatic annotation of strain C-61. A comparison of this sequence to the
sequenced genome of Chromobacterium violaceum ATCC 12472 indicates the novelty of
C-61 and a subset of gene functions that may be related to its biocontrol
activities.
PMID- 22072654
TI - From synapse to nucleus and back again--communication over distance within
neurons.
AB - How do neurons integrate intracellular communication from synapse to nucleus and
back? Here we briefly summarize aspects of this topic covered by a symposium at
Neuroscience 2011. A rich repertoire of signaling mechanisms link both dendritic
terminals and axon tips with neuronal soma and nucleus, using motor-dependent
transport machineries to traverse the long intracellular distances along neuronal
processes. Activation mechanisms at terminals include localized translation of
dendritic or axonal RNA, proteolytic cleavage of receptors or second messengers,
and differential phosphorylation of signaling moieties. Signaling complexes may
be transported in endosomes, or as non-endosomal complexes associated with
importins and dynein. Anterograde transport of RNA granules from the soma to
neuronal processes, coupled with retrograde transport of proteins translated
locally at terminals or within processes, may fuel ongoing bidirectional
communication between soma and synapse to modulate synaptic plasticity as well as
neuronal growth and survival decisions.
PMID- 22072655
TI - Short- and long-term plasticity at the axon initial segment.
AB - The axon initial segment (AIS) is a highly specialized neuronal subregion that is
the site of action potential initiation and the boundary between axonal and
somatodendritic compartments. In recent years, our understanding of the molecular
structure of the AIS, its maturation, and its multiple fundamental roles in
neuronal function has seen major advances. We are beginning to appreciate that
the AIS is dynamically regulated, both over short timescales via adaptations in
ion channel function, and long timescales via activity-dependent structural
reorganization. Here, we review results from this emerging field highlighting how
structural and functional plasticity relate to the development of the initial
segment, and to neuronal disorders linked to AIS dysfunction.
PMID- 22072657
TI - The role of microglia in the healthy brain.
AB - Microglia were recently shown to play unexpected roles in normal brain
development and adult physiology. This has begun to dramatically change our view
of these resident "immune" cells. Here, we briefly review topics covered in our
2011 Society for Neuroscience minisymposium "The Role of Microglia in the Healthy
Brain." This summary is not meant to be a comprehensive review of microglia
physiology, but rather to share new results and stimulate further research into
the cellular and molecular mechanisms by which microglia influence postnatal
development, adult neuronal plasticity, and circuit function.
PMID- 22072656
TI - Rapid estrogen signaling in the brain: implications for the fine-tuning of
neuronal circuitry.
AB - Rapid actions of estrogens were first described >40 years ago. However, the
importance of rapid estrogen-mediated actions in the CNS is only now becoming
apparent. Several lines of evidence demonstrate that rapid estrogen-mediated
signaling elicits potent effects on molecular and cellular events, resulting in
the "fine-tuning" of neuronal circuitry. At an ultrastructural level, the details
of estrogen receptor localization and how these are regulated by the circulating
hormone and age are now becoming evident. Furthermore, the mechanisms that allow
membrane-associated estrogen receptors to couple with intracellular signaling
pathways are also now being revealed. Elucidation of complex actions of rapid
estrogen-mediated signaling on synaptic proteins, connectivity, and synaptic
function in pyramidal neurons has demonstrated that this neurosteroid engages
specific mechanisms in different areas of the brain. The regulation of synaptic
properties most likely underlies the fine-tuning of neuronal circuitry. This in
turn may influence how learned behaviors are encoded by different circuitry in
male and female subjects. Importantly, as estrogens have been suggested as
potential treatments of a number of disorders of the CNS, advancements in our
understanding of rapid estrogen signaling in the brain will serve to aid in the
development of potential novel estrogen-based treatments.
PMID- 22072658
TI - Cellular reprogramming: recent advances in modeling neurological diseases.
AB - The remarkable advances in cellular reprogramming have made it possible to
generate a renewable source of human neurons from fibroblasts obtained from skin
samples of neonates and adults. As a result, we can now investigate the etiology
of neurological diseases at the cellular level using neuronal populations derived
from patients, which harbor the same genetic mutations thought to be relevant to
the risk for pathology. Therapeutic implications include the ability to establish
new humanized disease models for understanding mechanisms, conduct high
throughput screening for novel biogenic compounds to reverse or prevent the
disease phenotype, identify and engineer genetic rescue of causal mutations, and
develop patient-specific cellular replacement strategies. Although this field
offers enormous potential for understanding and treating neurological disease,
there are still many issues that must be addressed before we can fully exploit
this technology. Here we summarize several recent studies presented at a
symposium at the 2011 annual meeting of the Society for Neuroscience, which
highlight innovative approaches to cellular reprogramming and how this
revolutionary technique is being refined to model neurodevelopmental and
neurodegenerative diseases, such as autism spectrum disorders, schizophrenia,
familial dysautonomia, and Alzheimer's disease.
PMID- 22072659
TI - The neurophysiology and pathology of brain zinc.
AB - Our understanding of the roles played by zinc in the physiological and
pathological functioning of the brain is rapidly expanding. The increased
availability of genetically modified animal models, selective zinc-sensitive
fluorescent probes, and novel chelators is producing a remarkable body of
exciting new data that clearly establishes this metal ion as a key modulator of
intracellular and intercellular neuronal signaling. In this Mini-Symposium, we
will review and discuss the most recent findings that link zinc to synaptic
function as well as the injurious effects of zinc dyshomeostasis within the
context of neuronal death associated with major human neurological disorders,
including stroke, epilepsy, and Alzheimer's disease.
PMID- 22072660
TI - Local RNA translation at the synapse and in disease.
AB - Local regulation of protein synthesis in neurons has emerged as a leading
research focus because of its importance in synaptic plasticity and neurological
diseases. The complexity of neuronal subcellular domains and their distance from
the soma demand local spatial and temporal control of protein synthesis.
Synthesis of many synaptic proteins, such as GluR and PSD-95, is under local
control. mRNA binding proteins (RBPs), such as FMRP, function as key regulators
of local RNA translation, and the mTORC1 pathway acts as a primary signaling
cascade for regulation of these proteins. Much of the regulation occurs through
structures termed RNA granules, which are based on reversible aggregation of the
RBPs, some of which have aggregation prone domains with sequence features similar
to yeast prion proteins. Mutations in many of these RBPs are associated with
neurological diseases, including FMRP in fragile X syndrome; TDP-43, FUS (fused
in sarcoma), angiogenin, and ataxin-2 in amyotrophic lateral sclerosis; ataxin-2
in spinocerebellar ataxia; and SMN (survival of motor neuron protein) in spinal
muscular atrophy.
PMID- 22072661
TI - Melanopsin-positive intrinsically photosensitive retinal ganglion cells: from
form to function.
AB - Melanopsin imparts an intrinsic photosensitivity to a subclass of retinal
ganglion cells (ipRGCs). Generally thought of as irradiance detectors, ipRGCs
target numerous brain regions involved in non-image-forming vision. ipRGCs
integrate their intrinsic, melanopsin-mediated light information with rod/cone
signals relayed via synaptic connections to influence light-dependent behaviors.
Early observations indicated diversity among these cells and recently several
specific subtypes have been identified. These subtypes differ in morphological
and physiological form, controlling separate functions that range from biological
rhythm via circadian photoentrainment, to protective behavioral responses
including pupil constriction and light avoidance, and even image-forming vision.
In this Mini-Symposium review, we will discuss some recent findings that
highlight the diversity in both form and function of these recently discovered
atypical photoreceptors.
PMID- 22072662
TI - Thalamic contributions to Basal Ganglia-related behavioral switching and
reinforcement.
AB - Although the existence of prominent connections between the intralaminar thalamic
nuclei and the basal ganglia has long been established, the limited knowledge of
the functional relevance of this network has considerably hampered progress in
our understanding of the neural mechanisms by which the thalamostriatal system
integrates and regulates the basal ganglia circuitry. In this brief commentary,
we will address this gap of knowledge through a discussion of the key points of a
symposium entitled "Thalamic Contributions to Basal Ganglia-Related Behavioral
Switching and Reinforcement" that will be presented at the 2011 Society for
Neuroscience meeting. Recent anatomical and physiological data that support the
role of the thalamostriatal system in action selection, attentional shifting, and
reinforcement will be discussed. We will also address the possibility that
degeneration of the thalamostriatal system could underlie some of the deficits in
redirection of attention in response to salient stimuli seen in Parkinson's
disease.
PMID- 22072663
TI - Sleep, rhythms, and the endocrine brain: influence of sex and gonadal hormones.
AB - While much is known about the mechanisms that underlie sleep and circadian
rhythms, the investigation into sex differences and gonadal steroid modulation of
sleep and biological rhythms is in its infancy. There is a growing recognition of
sex disparities in sleep and rhythm disorders. Understanding how neuroendocrine
mediators and sex differences influence sleep and biological rhythms is central
to advancing our understanding of sleep-related disorders. While it is known that
ovarian steroids affect circadian rhythms in rodents, the role of androgen is
less understood. Surprising findings that androgens, acting via androgen
receptors in the master "circadian clock" within the suprachiasmatic nucleus,
modulate photic effects on activity in males point to novel mechanisms of
circadian control. Work in aromatase-deficient mice suggests that some sex
differences in photic responsiveness are independent of gonadal hormone effects
during development. In parallel, aspects of sex differences in sleep are also
reported to be independent of gonadal steroids and may involve sex chromosome
complement. This a summary of recent work illustrating how sex differences and
gonadal hormones influence sleep and circadian rhythms that was presented at a
Mini-Symposium at the 2011 annual meeting of the Society for Neuroscience.
PMID- 22072664
TI - The placebo effect: advances from different methodological approaches.
AB - There is accumulating evidence from different methodological approaches that the
placebo effect is a neurobiological phenomenon. Behavioral, psychophysiological,
and neuroimaging results have largely contributed to accepting the placebo
response as real. A major aspect of recent and future advances in placebo
research is to demonstrate linkages between behavior, brain, and bodily
responses. This article provides an overview of the processes involved in the
formation of placebo responses by combining research findings from behavioral,
psychophysiological, and neuroimaging methods. The integration of these different
methodological approaches is a key objective, motivating our scientific pursuits
toward a placebo research that can inform and guide important future scientific
knowledge.
PMID- 22072666
TI - Toward a neurobiological model of cue-induced self-control in decision making:
relevance to addiction and obesity.
PMID- 22072665
TI - Large-scale automated histology in the pursuit of connectomes.
AB - How does the brain compute? Answering this question necessitates neuronal
connectomes, annotated graphs of all synaptic connections within defined brain
areas. Further, understanding the energetics of the brain's computations requires
vascular graphs. The assembly of a connectome requires sensitive hardware tools
to measure neuronal and neurovascular features in all three dimensions, as well
as software and machine learning for data analysis and visualization. We present
the state of the art on the reconstruction of circuits and vasculature that link
brain anatomy and function. Analysis at the scale of tens of nanometers yields
connections between identified neurons, while analysis at the micrometer scale
yields probabilistic rules of connection between neurons and exact vascular
connectivity.
PMID- 22072667
TI - Dendritic spine dynamics regulate the long-term stability of synaptic plasticity.
AB - Long-term synaptic plasticity requires postsynaptic influx of Ca2+ and is
accompanied by changes in dendritic spine size. Unless Ca2+ influx mechanisms and
spine volume scale proportionally, changes in spine size will modify spine Ca2+
concentrations during subsequent synaptic activation. We show that the
relationship between Ca2+ influx and spine volume is a fundamental determinant of
synaptic stability. If Ca2+ influx is undercompensated for increases in spine
size, then strong synapses are stabilized and synaptic strength distributions
have a single peak. In contrast, overcompensation of Ca2+ influx leads to binary,
persistent synaptic strengths with double-peaked distributions. Biophysical
simulations predict that CA1 pyramidal neuron spines are undercompensating. This
unifies experimental findings that weak synapses are more plastic than strong
synapses, that synaptic strengths are unimodally distributed, and that
potentiation saturates for a given stimulus strength. We conclude that structural
plasticity provides a simple, local, and general mechanism that allows dendritic
spines to foster both rapid memory formation and persistent memory storage.
PMID- 22072669
TI - Integrins modulate relapse to cocaine-seeking.
AB - Relapse to cocaine-seeking involves impairments in plasticity at glutamatergic
synapses in the nucleus accumbens. Integrins are cell adhesion molecules that
bind to the extracellular matrix and regulate aspects of synaptic plasticity,
including glutamate receptor trafficking. To determine a role for integrins in
cocaine-seeking, rats were trained to self-administer cocaine, the operant
response extinguished, and cocaine-seeking induced by a conditioned cue or
noncontingent cocaine injection. This cocaine self-administration protocol
reduced the content of the beta3 integrin subunit in postsynaptic density of the
accumbens core at 24 h after the last self-administration session. However, after
3 weeks of forced abstinence plus extinction training, the level of beta3 was
elevated and was further regulated over 120 min during cocaine-induced drug
seeking. A small peptide ligand [arginine-glycine-aspartate (RGD)] that mimics
extracellular matrix protein binding to integrins was microinjected into the
accumbens core during self-administration or extinction training, or just before
cocaine-reinstated drug seeking. The daily RGD injections during self
administration or just before a reinstatement session inhibited cocaine-induced
drug-seeking, while RGD microinjection during extinction training was without
consequence on reinstated cocaine-seeking. Daily RGD during self-administration
also prevented the enduring changes in beta3 levels. Finally, reduced surface
expression of the GluR2 subunit of the AMPA receptor is associated with cocaine
seeking, and daily RGD microinjections during self-administration training
normalized the surface expression of GluR2. Together, these data indicate that
the regulation integrins may contribute to cocaine-reinstated drug-seeking, in
part by promoting reduced GluR2 surface expression.
PMID- 22072668
TI - Cosine directional tuning of theta cell burst frequencies: evidence for spatial
coding by oscillatory interference.
AB - The rodent septohippocampal system contains "theta cells," which burst
rhythmically at 4-12 Hz, but the functional significance of this rhythm remains
poorly understood (Buzsaki, 2006). Theta rhythm commonly modulates the spike
trains of spatially tuned neurons such as place (O'Keefe and Dostrovsky, 1971),
head direction (Tsanov et al., 2011a), grid (Hafting et al., 2005), and border
cells (Savelli et al., 2008; Solstad et al., 2008). An "oscillatory interference"
theory has hypothesized that some of these spatially tuned neurons may derive
their positional firing from phase interference among theta oscillations with
frequencies that are modulated by the speed and direction of translational
movements (Burgess et al., 2005, 2007). This theory is supported by studies
reporting modulation of theta frequency by movement speed (Rivas et al., 1996;
Geisler et al., 2007; Jeewajee et al., 2008a), but modulation of theta frequency
by movement direction has never been observed. Here we recorded theta cells from
hippocampus, medial septum, and anterior thalamus of freely behaving rats. Theta
cell burst frequencies varied as the cosine of the rat's movement direction, and
this directional tuning was influenced by landmark cues, in agreement with
predictions of the oscillatory interference theory. Computer simulations and
mathematical analysis demonstrated how a postsynaptic neuron can detect location
dependent synchrony among inputs from such theta cells, and thereby mimic the
spatial tuning properties of place, grid, or border cells. These results suggest
that theta cells may serve a high-level computational function by encoding a
basis set of oscillatory signals that interfere with one another to synthesize
spatial memory representations.
PMID- 22072670
TI - Optimal control of natural eye-head movements minimizes the impact of noise.
AB - When shifting gaze to foveate a new target, humans mostly choose a unique set of
eye and head movements from an infinite number of possible combinations. This
stereotypy suggests that a general principle governs the movement choice. Here,
we show that minimizing the impact of uncertainty, i.e., noise affecting motor
performance, can account for the choice of combined eye-head movements. This
optimization criterion predicts all major features of natural eye-head movements
including the part where gaze is already on target and the eye counter-rotates
such as movement durations, relative eye-head contributions, velocity profiles,
and the dependency of gaze shifts on initial eye position. As a critical test of
this principle, we show that it also correctly predicts changes in eye and head
movement imposed by an experimental increase in the head moment of inertia. This
suggests that minimizing the impact of noise is a simple and powerful principle
that explains the choice of a unique set of movement profiles and segment
coordination in goal-directed action.
PMID- 22072671
TI - Deletion of densin-180 results in abnormal behaviors associated with mental
illness and reduces mGluR5 and DISC1 in the postsynaptic density fraction.
AB - Densin is an abundant scaffold protein in the postsynaptic density (PSD) that
forms a high-affinity complex with alphaCaMKII and alpha-actinin. To assess the
function of densin, we created a mouse line with a null mutation in the gene
encoding it (LRRC7). Homozygous knock-out mice display a wide variety of abnormal
behaviors that are often considered endophenotypes of schizophrenia and autism
spectrum disorders. At the cellular level, loss of densin results in reduced
levels of alpha-actinin in the brain and selective reduction in the localization
of mGluR5 and DISC1 in the PSD fraction, whereas the amounts of ionotropic
glutamate receptors and other prominent PSD proteins are unchanged. In addition,
deletion of densin results in impairment of mGluR- and NMDA receptor-dependent
forms of long-term depression, alters the early dynamics of regulation of CaMKII
by NMDA-type glutamate receptors, and produces a change in spine morphology.
These results indicate that densin influences the function of mGluRs and CaMKII
at synapses and contributes to localization of mGluR5 and DISC1 in the PSD
fraction. They are consistent with the hypothesis that mutations that disrupt the
organization and/or dynamics of postsynaptic signaling complexes in excitatory
synapses can cause behavioral endophenotypes of mental illness.
PMID- 22072672
TI - Adaptation to conflict via context-driven anticipatory signals in the dorsomedial
prefrontal cortex.
AB - Behavioral interference elicited by competing response tendencies adapts to
contextual changes. Recent nonhuman primate research suggests a key mnemonic role
of distinct prefrontal cells in supporting such context-driven behavioral
adjustments by maintaining conflict information across trials, but corresponding
prefrontal functions have yet to be probed in humans. Using event-related
functional magnetic resonance imaging, we investigated the human neural
substrates of contextual adaptations to conflict. We found that a neural system
comprising the rostral dorsomedial prefrontal cortex and portions of the
dorsolateral prefrontal cortex specifically encodes the history of previously
experienced conflict and influences subsequent adaptation to conflict on a trial
by-trial basis. This neural system became active in anticipation of stimulus
onsets during preparatory periods and interacted with a second neural system
engaged during the processing of conflict. Our findings suggest that a dynamic
interaction between a system that represents conflict history and a system that
resolves conflict underlies the contextual adaptation to conflict.
PMID- 22072673
TI - On the distribution of firing rates in networks of cortical neurons.
AB - The distribution of in vivo average firing rates within local cortical networks
has been reported to be highly skewed and long tailed. The distribution of
average single-cell inputs, conversely, is expected to be Gaussian by the central
limit theorem. This raises the issue of how a skewed distribution of firing rates
might result from a symmetric distribution of inputs. We argue that skewed rate
distributions are a signature of the nonlinearity of the in vivo f-I curve.
During in vivo conditions, ongoing synaptic activity produces significant
fluctuations in the membrane potential of neurons, resulting in an expansive
nonlinearity of the f-I curve for low and moderate inputs. Here, we investigate
the effects of single-cell and network parameters on the shape of the f-I curve
and, by extension, on the distribution of firing rates in randomly connected
networks.
PMID- 22072674
TI - Morphine protects against intracellular amyloid toxicity by inducing estradiol
release and upregulation of Hsp70.
AB - Certain experimental models support morphine can play a beneficial role against
damage in the neuronal system. In this study, we find morphine as well as
endomorphin-1 and endomorphin-2 can protect against intracellular amyloid beta
(iAbeta) toxicity in human and rat primary neuronal cultures and in rat brains in
vivo. Morphine reverses the electrophysiological changes induced by iAbeta,
including current density, resting membrane potential and capacitance. Also
morphine improves the spatial memory performance in rats infected by iAbeta
packaged virus and in APP/PS1 mice in Morris water maze tests. Morphine
protection is mediated through inducing estradiol release in hippocampal neurons
measured by ELISA and liquid chromatography-mass spectrometry, possibly by
increasing P450 cytochrome aromatase activity. Released estradiol induces
upregulation of heat shock protein 70 (Hsp70). Hsp70 protects against
intracellular amyloid toxicity by rescuing proteasomal activity which is impaired
by iAbeta. This is the first time, to our knowledge, that induction of estradiol
release in hippocampal neurons by morphine is reported. Our data may contribute
to both Alzheimer's disease therapy and pain clinics where morphine is widely
used.
PMID- 22072675
TI - CX3CR1 deficiency leads to impairment of hippocampal cognitive function and
synaptic plasticity.
AB - The protective/neurotoxic role of fractalkine (CX3CL1) and its receptor CX3C
chemokine receptor 1 (CX3CR1) signaling in neurodegenerative disease is an
intricate and highly debated research topic and it is becoming even more
complicated as new studies reveal discordant results. It appears that the
CX3CL1/CX3CR1 axis plays a direct role in neurodegeneration and/or
neuroprotection depending on the CNS insult. However, all the above studies
focused on the role of CX3CL1/CX3CR1 signaling in pathological conditions,
ignoring the relevance of CX3CL1/CX3CR1 signaling under physiological conditions.
No approach to date has been taken to decipher the significance of defects in
CX3CL1/CX3CR1 signaling in physiological condition. In the present study we used
CX3CR1-/-, CX3CR1+/-, and wild-type mice to investigate the physiological role of
CX3CR1 receptor in cognition and synaptic plasticity. Our results demonstrate for
the first time that mice lacking the CX3CR1 receptor show contextual fear
conditioning and Morris water maze deficits. CX3CR1 deficiency also affects motor
learning. Importantly, mice lacking the receptor have a significant impairment in
long-term potentiation (LTP). Infusion with IL-1beta receptor antagonist
significantly reversed the deficit in cognitive function and impairment in LTP.
Our results reveal that under physiological conditions, disruption in CX3CL1
signaling will lead to impairment in cognitive function and synaptic plasticity
via increased action of IL-1beta.
PMID- 22072676
TI - Segregation and pathfinding of callosal axons through EphA3 signaling.
AB - The corpus callosum, composed of callosal axons, is the largest structure among
commissural connections in eutherian animals. Axon pathfinding of callosal
neurons has been shown to be guided by intermediate targets, such as midline
glial structures. However, it has not yet been understood completely how axon
axon interactions, another major mechanism for axon pathfinding, are involved in
the pathfinding of callosal neurons. Here, we show that callosal axons from the
medial and lateral regions of the mouse cerebral cortex pass through the dorsal
and ventral parts, respectively, of the corpus callosum. Using an explant culture
system, we observed that the axons from the medial and lateral cortices were
segregated from each other in vitro, and that this segregation was attenuated by
inhibition of EphA3 signaling. We also found that knockdown of EphA3, which is
preferentially expressed in the lateral cortex, resulted in disorganized
segregation of the callosal axons and disrupted axon pathfinding in vivo. These
results together suggest the role of axonal segregation in the corpus callosum,
mediated at least in part by EphA3, in correct pathfinding of callosal neurons.
PMID- 22072677
TI - The Liprin homology domain is essential for the homomeric interaction of SYD
2/Liprin-alpha protein in presynaptic assembly.
AB - Synapses are asymmetric structures that are specialized for neuronal signal
transduction. A unique set of proteins is present at the presynaptic active zone,
which is a core structure essential for neurotransmitter release. In
Caenorhabditis elegans HSN neurons, SYD-2, a Liprin-alpha family protein, acts
together with a GAP protein SYD-1 to promote presynaptic assembly. Previous
studies have shown that elevating the activity of syd-2 can bypass the
requirement of syd-1. Liprin-alpha proteins are composed of coiled-coil-rich
regions in the N-terminal half, which mediate interactions with adapter proteins
at the presynaptic active zone, and three SAM domains in the C terminus, which
bind proteins such as LAR receptor tyrosine phosphatase. To address the molecular
mechanism by which SYD-2 activity is regulated, we performed structure-function
studies. By monitoring the ability of SYD-2 transgenes to rescue syd-2(lf) and to
suppress syd-1(lf) phenotypes in HSN neuron synapses, we identified the N
terminal half of SYD-2 as minimally required for rescuing syd-2(lf) phenotypes. A
highly conserved short coiled-coil segment named Liprin Homology 1 (LH1) domain
is both necessary and sufficient to suppress syd-1(lf) defects. We show that the
LH1 domain forms a dimer and promotes further oligomerization and/or complex
formation of SYD-2/Liprin-alpha proteins. The role of the LH1 domain in
presynaptic assembly can be partially complemented by artificial dimerization.
These findings suggest a model by which the self-assembly of SYD-2/Liprin-alpha
proteins mediated by the coiled-coil LH1 domain is one of the key steps to the
accumulation of presynaptic components at nascent synaptic junctions.
PMID- 22072678
TI - Spinocerebellar ataxia type 7 cerebellar disease requires the coordinated action
of mutant ataxin-7 in neurons and glia, and displays non-cell-autonomous bergmann
glia degeneration.
AB - Spinocerebellar ataxia type 7 (SCA7) is a dominantly inherited disorder
characterized by cerebellum and brainstem neurodegeneration. SCA7 is caused by a
CAG/polyglutamine (polyQ) repeat expansion in the ataxin-7 gene. We previously
reported that directed expression of polyQ-ataxin-7 in Bergmann glia (BG) in
transgenic mice leads to ataxia and non-cell-autonomous Purkinje cell (PC)
degeneration. To further define the cellular basis of SCA7, we derived a
conditional inactivation mouse model by inserting a loxP-flanked ataxin-7 cDNA
with 92 repeats into the translational start site of the murine prion protein
(PrP) gene in a bacterial artificial chromosome (BAC). The PrP-floxed-SCA7-92Q
BAC mice developed neurological disease, and exhibited cerebellar degeneration
and BG process loss. To inactivate polyQ-ataxin-7 expression in specific
cerebellar cell types, we crossed PrP-floxed-SCA7-92Q BAC mice with Gfa2-Cre
transgenic mice (to direct Cre to BG) or Pcp2-Cre transgenic mice (which yields
Cre in PCs and inferior olive). Excision of ataxin-7 from BG partially rescued
the behavioral phenotype, but did not prevent BG process loss or molecular layer
thinning, while excision of ataxin-7 from PCs and inferior olive provided
significantly greater rescue and prevented both pathological changes, revealing a
non-cell-autonomous basis for BG pathology. When we prevented expression of
mutant ataxin-7 in BG, PCs, and inferior olive by deriving Gfa2-Cre;Pcp2-Cre;PrP
floxed-SCA7-92Q BAC triple transgenic mice, we noted a dramatic improvement in
SCA7 disease phenotypes. These findings indicate that SCA7 disease pathogenesis
involves a convergence of alterations in a variety of different cell types to
fully recapitulate the cerebellar degeneration.
PMID- 22072679
TI - Hippocampal GluA1-containing AMPA receptors mediate context-dependent
sensitization to morphine.
AB - Glutamatergic systems, including AMPA receptors (AMPARs), are involved in opiate
induced neuronal and behavioral plasticity, although the mechanisms underlying
these effects are not fully understood. In the present study, we investigated the
effects of repeated morphine administration on AMPAR expression, synaptic
plasticity, and context-dependent behavioral sensitization to morphine. We found
that morphine treatment produced changes of synaptic AMPAR expression in the
hippocampus, a brain area that is critically involved in learning and memory.
These changes could be observed 1 week after the treatment, but only when mice
developed context-dependent behavioral sensitization to morphine in which
morphine treatment was associated with drug administration environment. Context
dependent behavioral sensitization to morphine was also associated with increased
basal synaptic transmission and disrupted hippocampal long-term potentiation
(LTP), whereas these effects were less robust when morphine administration was
not paired with the drug administration environment. Interestingly, some effects
may be related to the prior history of morphine exposure in the drug-associated
environment, since alterations of AMPAR expression, basal synaptic transmission,
and LTP were observed in mice that received a saline challenge 1 week after
discontinuation of morphine treatment. Furthermore, we demonstrated that
phosphorylation of GluA1 AMPAR subunit plays a critical role in the acquisition
and expression of context-dependent behavioral sensitization, as this behavior is
blocked by a viral vector that disrupts GluA1 phosphorylation. These data provide
evidence that glutamatergic signaling in the hippocampus plays an important role
in context-dependent sensitization to morphine and supports further investigation
of glutamate-based strategies for treating opiate addiction.
PMID- 22072680
TI - Abeta inhibition of ionic conductance in mouse basal forebrain neurons is
dependent upon the cellular prion protein PrPC.
AB - Current therapies for Alzheimer's disease (AD) address a loss of cholinergic
neurons, while accumulation of neurotoxic amyloid beta (Abeta) peptide assemblies
is thought central to molecular pathogenesis. Overlaps may exist between
prionopathies and AD wherein Abeta oligomers bind to the cellular prion protein
PrP(C) and inhibit synaptic plasticity in the hippocampus (Lauren et al., 2009).
Here we applied oligomeric Abeta to neurons with different PrP (Prnp) gene
dosage. Whole-cell recordings were obtained from dissociated neurons of the
diagonal band of Broca (DBB), a cholinergic basal forebrain nucleus. In wild-type
(wt) mice, Abeta1-42 evoked a concentration-dependent reduction of whole-cell
outward currents in a voltage range between -30 and +30 mV; reduction occurred
through a combined modulation of a suite of potassium conductances including the
delayed rectifier (I(K)), the transient outward (I(A)), and the iberiotoxin
sensitive (calcium-activated potassium, I(C)) currents. Inhibition was not seen
with Abeta42-1 peptide, while Abeta1-42-induced responses were reduced by
application of anti-PrP antibody, attenuated in cells from Prnp0/+ hemizygotes,
and absent in Prnp0/0 homozygotes. Similarly, amyloidogenic amylin peptide
depressed DBB whole-cell currents in DBB cells from wt mice, but not Prnp0/0
homozygotes. While prior studies give broad support for a neuroprotective
function for PrP(C), our data define a latent pro-pathogenic role in the presence
of amyloid assemblies.
PMID- 22072681
TI - Inhibition of the Ca2+-dependent K+ channel, KCNN4/KCa3.1, improves tissue
protection and locomotor recovery after spinal cord injury.
AB - Spinal cord injury (SCI) triggers inflammatory responses that involve
neutrophils, macrophages/microglia and astrocytes and molecules that potentially
cause secondary tissue damage and functional impairment. Here, we assessed the
contribution of the calcium-dependent K+ channel KCNN4 (KCa3.1, IK1, SK4) to
secondary damage after moderate contusion lesions in the lower thoracic spinal
cord of adult mice. Changes in KCNN4 mRNA levels (RT-PCR), KCa3.1 protein
expression (Western blots), and cellular expression (immunofluorescence) in the
mouse spinal cord were monitored between 1 and 28 d after SCI. KCNN4 mRNA and
KCa3.1 protein rapidly increased after SCI; double labeling identified astrocytes
as the main cellular source accounting for this upregulation. Locomotor function
after SCI, evaluated for 28 d in an open-field test using the Basso Mouse Scale,
was improved in a dose-dependent manner by treating mice with a selective
inhibitor of KCa3.1 channels, TRAM-34 (triarylmethane-34). Improved locomotor
function was accompanied by reduced tissue loss at 28 d and increased neuron and
axon sparing. The rescue of tissue by TRAM-34 treatment was preceded by reduced
expression of the proinflammatory mediators, tumor necrosis factor-alpha and
interleukin-1beta in spinal cord tissue at 12 h after injury, and reduced
expression of inducible nitric oxide synthase at 7 d after SCI. In astrocytes in
vitro, TRAM-34 inhibited Ca2+ signaling in response to metabotropic purinergic
receptor stimulation. These results suggest that blocking the KCa3.1 channel
could be a potential therapeutic approach for treating secondary damage after
spinal cord injury.
PMID- 22072682
TI - Real-time functional magnetic resonance imaging neurofeedback for treatment of
Parkinson's disease.
AB - Self-regulation of brain activity in humans based on real-time feedback of
functional magnetic resonance imaging (fMRI) signal is emerging as a potentially
powerful, new technique. Here, we assessed whether patients with Parkinson's
disease (PD) are able to alter local brain activity to improve motor function.
Five patients learned to increase activity in the supplementary motor complex
over two fMRI sessions using motor imagery. They attained as much activation in
this target brain region as during a localizer procedure with overt movements.
Concomitantly, they showed an improvement in motor speed (finger tapping) and
clinical ratings of motor symptoms (37% improvement of the motor scale of the
Unified Parkinson's Disease Rating Scale). Activation during neurofeedback was
also observed in other cortical motor areas and the basal ganglia, including the
subthalamic nucleus and globus pallidus, which are connected to the supplementary
motor area (SMA) and crucial nodes in the pathophysiology of PD. A PD control
group of five patients, matched for clinical severity and medication, underwent
the same procedure but did not receive feedback about their SMA activity. This
group attained no control of SMA activation and showed no motor improvement.
These findings demonstrate that self-modulation of cortico-subcortical motor
circuits can be achieved by PD patients through neurofeedback and may result in
clinical benefits that are not attainable by motor imagery alone.
PMID- 22072683
TI - Ca2+ influx slows single synaptic vesicle endocytosis.
AB - Ca2+-dependent synaptic vesicle recycling is critical for maintenance of
neurotransmission. However, uncoupling the roles of Ca2+ in synaptic vesicle
fusion and retrieval has been difficult, as studies probing the role of Ca2+ in
endocytosis relied on measurements of bulk synaptic vesicle retrieval. Here, to
dissect the role of Ca2+ in these processes, we used a low signal-to-noise
pHluorin-tagged vesicular probe to monitor single synaptic vesicle recycling in
rat hippocampal neurons. We show that Ca2+ increases synaptic vesicle fusion
probability in the classical sense, but surprisingly decreases the rate of
synaptic vesicle retrieval. This negative regulation of synaptic vesicle
retrieval is blocked by the Ca2+ chelator, EGTA, as well as FK506, a specific
inhibitor of Ca2+-calmodulin-dependent phosphatase calcineurin. The slow time
course of aggregate synaptic vesicle retrieval detected during repetitive
activity could be explained by a progressive decrease in the rate of synaptic
vesicle retrieval during the stimulation train. These results indicate that Ca2+
entry during single action potentials slows the pace of subsequent synaptic
vesicle recycling.
PMID- 22072684
TI - CX3CL1 is neuroprotective in permanent focal cerebral ischemia in rodents.
AB - The chemokine CX3CL1 and its receptor CX3CR1 are constitutively expressed in the
nervous system. In this study, we used in vivo murine models of permanent middle
cerebral artery occlusion (pMCAO) to investigate the protective potential of
CX3CL1. We report that exogenous CX3CL1 reduced ischemia-induced cerebral infarct
size, neurological deficits, and caspase-3 activation. CX3CL1-induced
neuroprotective effects were long lasting, being observed up to 50 d after pMCAO
in rats. The neuroprotective action of CX3CL1 in different models of brain
injuries is mediated by its inhibitory activity on microglia and, in vitro,
requires the activation of adenosine receptor 1 (A1R). We show that, in the
presence of the A1R antagonist 1,3-dipropyl-8-cyclopentylxanthine and in A1R-/-
mice, the neuroprotective effect of CX3CL1 on pMCAO was abolished, indicating the
critical importance of the adenosine system in CX3CL1 protection also in vivo. In
apparent contrast with the above reported data but in agreement with previous
findings, cx3cl1-/- and cx3cr1(GFP/GFP) mice, respectively, deficient in CX3CL1
or CX3CR1, had less severe brain injury on pMCAO, and the administration of
exogenous CX3CL1 increased brain damage in cx3cl1-/- ischemic mice. We also
report that CX3CL1 induced a different phagocytic activity in wild type and
cx3cl1-/- microglia in vitro during cotreatment with the medium conditioned by
neurons damaged by oxygen-glucose deprivation. Together, these data suggest that
acute administration of CX3CL1 reduces ischemic damage via an adenosine-dependent
mechanism and that the absence of constitutive CX3CL1-CX3CR1 signaling changes
the outcome of microglia-mediated effects during CX3CL1 administration to
ischemic brain.
PMID- 22072685
TI - Perirhinal cortex removal dissociates two memory systems in matching-to-sample
performance in rhesus monkeys.
AB - Dissociations of memory systems are typically made using independent cognitive
tests. For example, in monkeys habits have been inferred from performance in
object discrimination tests, while non-matching-to-sample tests are thought to
measure familiarity resulting from single exposures. Such tests cannot measure
individual memory processes accurately when more than one memory process
contributes to performance. In process dissociation procedures (PDPs), two memory
processes cooperate and compete in the performance of a single cognitive task,
allowing quantitative estimates of the contributions of each process. We used PDP
to measure the contributions of habits and one-trial memory to visual matching-to
sample performance. Sets of test images were shown only once in each daily
testing session but were repeated day after day. To produce habits, high
frequency images were correct more frequently than other images across days.
Habits were manifest in the extent to which choices in the test phase of matching
to-sample trials were made to the high-frequency images, regardless of which
image had been presented as the sample. One-trial memory was measured by the
extent to which choices at test were made to the image that had appeared as the
sample on that trial, regardless of habit. Perirhinal cortex removal reduced the
contribution of one-trial memory to matching performance, but left both habits
and the ability to discriminate images intact. PDP can be applied in monkeys in a
way that parallels its use in humans, providing a new tool for investigating the
neurobiology of memory in nonhuman animals and for comparing memory across
species.
PMID- 22072686
TI - Brain activity during ankle proprioceptive stimulation predicts balance
performance in young and older adults.
AB - Proprioceptive information from the foot/ankle provides important information
regarding body sway for balance control, especially in situations where visual
information is degraded or absent. Given known increases in catastrophic injury
due to falls with older age, understanding the neural basis of proprioceptive
processing for balance control is particularly important for older adults. In the
present study, we linked neural activity in response to stimulation of key foot
proprioceptors (i.e., muscle spindles) with balance ability across the lifespan.
Twenty young and 20 older human adults underwent proprioceptive mapping; foot
tendon vibration was compared with vibration of a nearby bone in an fMRI
environment to determine regions of the brain that were active in response to
muscle spindle stimulation. Several body sway metrics were also calculated for
the same participants on an eyes-closed balance task. Based on regression
analyses, multiple clusters of voxels were identified showing a significant
relationship between muscle spindle stimulation-induced neural activity and
maximum center of pressure excursion in the anterior-posterior direction. In this
case, increased activation was associated with greater balance performance in
parietal, frontal, and insular cortical areas, as well as structures within the
basal ganglia. These correlated regions were age- and foot-stimulation side
independent and largely localized to right-sided areas of the brain thought to be
involved in monitoring stimulus-driven shifts of attention. These findings
support the notion that, beyond fundamental peripheral reflex mechanisms, central
processing of proprioceptive signals from the foot is critical for balance
control.
PMID- 22072687
TI - Two distinct modes of forebrain circuit dynamics underlie temporal patterning in
the vocalizations of young songbirds.
AB - Accurate timing is a critical aspect of motor control, yet the temporal structure
of many mature behaviors emerges during learning from highly variable exploratory
actions. How does a developing brain acquire the precise control of timing in
behavioral sequences? To investigate the development of timing, we analyzed the
songs of young juvenile zebra finches. These highly variable vocalizations, akin
to human babbling, gradually develop into temporally stereotyped adult songs. We
find that the durations of syllables and silences in juvenile singing are formed
by a mixture of two distinct modes of timing: a random mode producing broadly
distributed durations early in development, and a stereotyped mode underlying the
gradual emergence of stereotyped durations. Using lesions, inactivations, and
localized brain cooling, we investigated the roles of neural dynamics within two
premotor cortical areas in the production of these temporal modes. We find that
LMAN (lateral magnocellular nucleus of the nidopallium) is required specifically
for the generation of the random mode of timing and that mild cooling of LMAN
causes an increase in the durations produced by this mode. On the contrary, HVC
(used as a proper name) is required specifically for producing the stereotyped
mode of timing, and its cooling causes a slowing of all stereotyped components.
These results show that two neural pathways contribute to the timing of juvenile
songs and suggest an interesting organization in the forebrain, whereby different
brain areas are specialized for the production of distinct forms of neural
dynamics.
PMID- 22072688
TI - Quantitative and integrative proteome analysis of peripheral nerve myelin
identifies novel myelin proteins and candidate neuropathy loci.
AB - Peripheral nerve myelin facilitates rapid impulse conduction and normal motor and
sensory functions. Many aspects of myelin biogenesis, glia-axonal interactions,
and nerve homeostasis are poorly understood at the molecular level. We therefore
hypothesized that only a fraction of all relevant myelin proteins has been
identified so far. Combining gel-based and gel-free proteomic approaches, we
identified 545 proteins in purified mouse sciatic nerve myelin, including 36
previously known myelin constituents. By mass spectrometric quantification, the
predominant P0, periaxin, and myelin basic protein constitute 21, 16, and 8% of
the total myelin protein, respectively, suggesting that their relative abundance
was previously misestimated due to technical limitations regarding protein
separation and visualization. Focusing on tetraspan-transmembrane proteins, we
validated novel myelin constituents using immuno-based methods. Bioinformatic
comparison with mRNA-abundance profiles allowed the categorization in functional
groups coregulated during myelin biogenesis and maturation. By differential
myelin proteome analysis, we found that the abundance of septin 9, the protein
affected in hereditary neuralgic amyotrophy, is strongly increased in a novel
mouse model of demyelinating neuropathy caused by the loss of prion protein.
Finally, the systematic comparison of our compendium with the positions of human
disease loci allowed us to identify several candidate genes for hereditary
demyelinating neuropathies. These results illustrate how the integration of
unbiased proteome, transcriptome, and genome data can contribute to a molecular
dissection of the biogenesis, cell biology, metabolism, and pathology of myelin.
PMID- 22072689
TI - Tonic nanomolar dopamine enables an activity-dependent phase recovery mechanism
that persistently alters the maximal conductance of the hyperpolarization
activated current in a rhythmically active neuron.
AB - The phases at which network neurons fire in rhythmic motor outputs are critically
important for the proper generation of motor behaviors. The pyloric network in
the crustacean stomatogastric ganglion generates a rhythmic motor output wherein
neuronal phase relationships are remarkably invariant across individuals and
throughout lifetimes. The mechanisms for maintaining these robust phase
relationships over the long-term are not well described. Here we show that tonic
nanomolar dopamine (DA) acts at type 1 DA receptors (D1Rs) to enable an activity
dependent mechanism that can contribute to phase maintenance in the lateral
pyloric (LP) neuron. The LP displays continuous rhythmic bursting. The activity
dependent mechanism was triggered by a prolonged decrease in LP burst duration,
and it generated a persistent increase in the maximal conductance (G(max)) of the
LP hyperpolarization-activated current (I(h)), but only in the presence of steady
state DA. Interestingly, micromolar DA produces an LP phase advance accompanied
by a decrease in LP burst duration that abolishes normal LP network function.
During a 1 h application of micromolar DA, LP phase recovered over tens of
minutes because, the activity-dependent mechanism enabled by steady-state DA was
triggered by the micromolar DA-induced decrease in LP burst duration. Presumably,
this mechanism restored normal LP network function. These data suggest steady
state DA may enable homeostatic mechanisms that maintain motor network output
during protracted neuromodulation. This DA-enabled, activity-dependent mechanism
to preserve phase may be broadly relevant, as diminished dopaminergic tone has
recently been shown to reduce I(h) in rhythmically active neurons in the
mammalian brain.
PMID- 22072690
TI - Measuring the quality of neuronal identification in ensemble recordings.
AB - Technological advances in electrode construction and digital signal processing
now allow recording simultaneous extracellular action potential discharges from
many single neurons, with the potential to revolutionize understanding of the
neural codes for sensory, motor, and cognitive variables. Such studies have
revealed the importance of ensemble neural codes, encoding information in the
dynamic relationships among the action potential spike trains of multiple single
neurons. Although the success of this research depends on the accurate
classification of extracellular action potentials to individual neurons, there
are no widely used quantitative methods for assessing the quality of the
classifications. Here we describe information theoretic measures of action
potential waveform isolation applicable to any dataset that have an intuitive,
universal interpretation, that are not dependent on the methods or choice of
parameters for single-unit isolation, and that have been validated using a
dataset of simultaneous intracellular and extracellular neuronal recordings from
Sprague Dawley rats.
PMID- 22072691
TI - Phox2b-expressing neurons of the parafacial region regulate breathing rate,
inspiration, and expiration in conscious rats.
AB - The retrotrapezoid nucleus contains Phox2b-expressing glutamatergic neurons (RTN
Phox2b neurons) that regulate breathing in a CO2-dependent manner. Here we use
channelrhodopsin-based optogenetics to explore how these neurons control
breathing in conscious and anesthetized adult rats. Respiratory entrainment
(pacing) of breathing frequency (fR) was produced over 57% (anesthetized) and 28%
(conscious) of the natural frequency range by burst activation of RTN-Phox2b
neurons (3-8 * 0.5-20 ms pulses at 20 Hz). In conscious rats, pacing under
normocapnic conditions increased tidal volume (V(T)) and each inspiration was
preceded by active expiration, denoting abdominal muscle contraction. During long
term pacing V(T) returned to prestimulation levels, suggesting that central
chemoreceptors such as RTN-Phox2b neurons regulate V(T) partly independently of
their effect on fR. Randomly applied light trains reset the respiratory rhythm
and shortened the expiratory phase when the stimulus coincided with late
inspiration or early-expiration. Importantly, continuous (20 Hz) photostimulation
of the RTN-Phox2b neurons and a saturating CO2 concentration produced similar
effects on breathing that were much larger than those elicited by phasic RTN
stimulation. In sum, consistent with their anatomical projections, RTN-Phox2b
neurons regulate lung ventilation by controlling breathing frequency,
inspiration, and active expiration. Adult RTN-Phox2b neurons can entrain the
respiratory rhythm if their discharge is artificially synchronized, but
continuous activation of these neurons is much more effective at increasing lung
ventilation. These results suggest that RTN-Phox2b neurons are no longer
rhythmogenic in adulthood and that their average discharge rate may be far more
important than their discharge pattern in driving lung ventilation.
PMID- 22072692
TI - The anticonvulsant response to valproate in kindled rats is correlated with its
effect on neuronal firing in the substantia nigra pars reticulata: a new
mechanism of pharmacoresistance.
AB - Resistance to antiepileptic drugs (AEDs) is a major problem in epilepsy
treatment. However, mechanisms of resistance are only incompletely understood. We
have recently shown that repeated administration of the AED phenytoin allows
selecting resistant and responsive rats from the amygdala kindling model of
epilepsy, providing a tool to study mechanisms of AED resistance. We now tested
whether individual amygdala-kindled rats also differ in their anticonvulsant
response to the major AED valproate (VPA) and which mechanism may underlie the
different response to VPA. VPA has been proposed to act, at least in part, by
reducing spontaneous activity in the substantia nigra pars reticulata (SNr), a
main basal ganglia output structure involved in seizure propagation, seizure
control, and epilepsy-induced neuroplasticity. Thus, we evaluated whether poor
anticonvulsant response to VPA is correlated with low efficacy of VPA on SNr
firing rate and pattern in kindled rats. We found (1) that good and poor VPA
responders can be selected in kindled rats by repeatedly determining the effect
of VPA on the electrographic seizure threshold, and (2) a significant correlation
between the anticonvulsant response to VPA in kindled rats and its effect on SNr
firing rate and pattern. The less VPA was able to raise seizure threshold, the
lower was the VPA-induced reduction of SNr firing rate and the VPA-induced
regularity of SNr firing. The data demonstrate for the first time an involvement
of the SNr in pharmacoresistant experimental epilepsy and emphasize the relevance
of the basal ganglia as target structures for new treatment options.
PMID- 22072693
TI - Glutamate spillover promotes the generation of NMDA spikes.
AB - NMDA spikes are prominent in the basal dendrites of cortical pyramidal neurons
and greatly expand their ability to integrate synaptic inputs. Calcium (Ca)
signals during these spikes are important for synaptic plasticity and
fundamentally depend on activation of NMDA receptors. However, the factors that
shape the activation of these receptors and the initiation of NMDA spikes remain
unclear. Here we examine the properties of NMDA spikes in the basal dendrites of
layer 5 pyramidal neurons in the mouse prefrontal cortex. Using two-photon
imaging, we demonstrate that NMDA spikes evoke large Ca signals in both
postsynaptic spines and nearby dendrites. We find that the dendrite Ca signals
depend on NMDA and AMPA receptors but not sodium (Na) or Ca channels. Using
voltage-clamp recordings, we show that activation of dendrite NMDA receptors is
enhanced by concerted synaptic activity. Blocking glutamate reuptake further
increases activation of these receptors and promotes the initiation of NMDA
spikes. We conclude that glutamate spillover and recruitment of extrasynaptic
receptors contribute to the initiation of NMDA spikes. These results have
important implications for how synaptic activity generates both electrical and
biochemical signals in dendrites and spines.
PMID- 22072694
TI - Overexpression of CREB in the nucleus accumbens shell increases cocaine
reinforcement in self-administering rats.
AB - Chronic exposure to addictive drugs enhances cAMP response element binding
protein (CREB)-regulated gene expression in nucleus accumbens (NAc), and these
effects are thought to reduce the positive hedonic effects of passive cocaine
administration. Here, we used viral-mediated gene transfer to produce short- and
long-term regulation of CREB activity in NAc shell of rats engaging in volitional
cocaine self-administration. Increasing CREB expression in NAc shell markedly
enhanced cocaine reinforcement of self-administration behavior, as indicated by
leftward (long-term) and upward (short-term) shifts in fixed ratio dose-response
curves. CREB also increased the effort exerted by rats to obtain cocaine on more
demanding progressive ratio schedules, an effect highly correlated with viral
induced modulation of BDNF protein in the NAc shell. CREB enhanced cocaine
reinforcement when expressed either throughout acquisition of self-administration
or when expression was limited to postacquisition tests, indicating a direct
effect of CREB independent of reinforcement-related learning. Downregulating
endogenous CREB in NAc shell by expressing a short hairpin RNA reduced cocaine
reinforcement in similar tests, while overexpression of a dominant-negative
CREB(S133A) mutant had no significant effect on cocaine self-administration.
Finally, increasing CREB expression after withdrawal from self-administration
enhanced cocaine-primed relapse, while reducing CREB levels facilitated
extinction of cocaine seeking, but neither altered relapse induced by cocaine
cues or footshock stress. Together, these findings indicate that CREB activity in
NAc shell increases the motivation for cocaine during active self-administration
or after withdrawal from cocaine. Our results also highlight that volitional and
passive drug administration can lead to substantially different behavioral
outcomes.
PMID- 22072695
TI - Plasticity of prefrontal attention circuitry: upregulated muscarinic excitability
in response to decreased nicotinic signaling following deletion of alpha5 or
beta2 subunits.
AB - Attention depends on cholinergic stimulation of nicotinic and muscarinic
acetylcholine receptors in the medial prefrontal cortex. Pyramidal neurons in
layer VI of this region express cholinergic receptors of both families and play
an important role in attention through their feedback projections to the
thalamus. Here, we investigate how nicotinic and muscarinic cholinergic receptors
affect the excitability of these neurons using whole-cell recordings in acute
brain slices of prefrontal cortex. Since attention deficits have been documented
in both rodents and humans having genetic abnormalities in nicotinic receptors,
we focus in particular on how the cholinergic excitation of layer VI neurons is
altered by genetic deletion of either of two key nicotinic receptor subunits, the
accessory alpha5 subunit or the ligand-binding beta2 subunit. We find that the
cholinergic excitation of layer VI neurons is dominated by nicotinic receptors in
wild-type mice and that the reduction or loss of this nicotinic stimulation is
accompanied by a surprising degree of plasticity in excitatory muscarinic
receptors. These findings suggest that disrupting nicotinic receptors
fundamentally alters the mechanisms and timing of excitation in prefrontal
attentional circuitry.
PMID- 22072696
TI - Increase in cortical pyramidal cell excitability accompanies depression-like
behavior in mice: a transcranial magnetic stimulation study.
AB - Clinical evidence suggests that cortical excitability is increased in
depressives. We investigated its cellular basis in a mouse model of depression.
In a modified version of forced swimming (FS), mice were initially forced to swim
for 5 consecutive days and then were treated daily with repetitive transcranial
magnetic stimulation (rTMS) or sham treatment for the following 4 weeks without
swimming. On day 2 through day 5, the mice manifested depression-like behaviors.
The next and last FS was performed 4 weeks later, which revealed a 4 week
maintenance of depression-like behavior in the sham mice. In slices from the sham
controls, excitability in cingulate cortex pyramidal cells was elevated in terms
of membrane potential and frequencies of spikes evoked by current injection.
Depolarized resting potential was shown to depend on suppression of large
conductance calcium-activated potassium (BK) channels. This BK channel
suppression was confirmed by measuring spike width, which depends on BK channels.
Chronic rTMS treatment during the 4 week period significantly reduced the
depression-like behavior. In slices obtained from the rTMS mice, normal
excitability and BK channel activity were recovered. Expression of a scaffold
protein Homer1a was reduced by the FS and reversed by rTMS in the cingulate
cortex. Similar recovery in the same behavioral, electrophysiological, and
biochemical features was observed after chronic imipramine treatment. The present
study demonstrated that manifestation and disappearance of depression-like
behavior are in parallel with increase and decrease in cortical neuronal
excitability in mice and suggested that regulation of BK channels by Homer1a is
involved in this parallelism.
PMID- 22072697
TI - Concurrent exposure to methamphetamine and sexual behavior enhances subsequent
drug reward and causes compulsive sexual behavior in male rats.
AB - Methamphetamine (Meth) users report having heightened sexual pleasure, numerous
sexual partners, and engaging in unprotected sex due to loss of inhibitory
control. This compulsive sexual behavior contributes to increased prevalence of
sexually transmitted infections, but the neural basis for this is unknown. We
previously established a paradigm for compulsive sexual behavior in male rats in
which visceral illness induced by lithium chloride was paired with sexual
behavior (Davis et al., 2010; Frohmader et al., 2010a). The current study
examined the effects of repeated Meth administration on sexual performance,
compulsive sexual behavior, and sex or Meth reward. First, results demonstrated
that seven daily administrations of 2 mg/kg, but not 1 mg/kg, Meth increased
latencies to initiate mating. This impairment was evident 30 min after last Meth
administration, but dissipated after 1 or 7 d of subsequent drug abstinence.
Repeated 1 mg/kg Meth exposure resulted in compulsive sex-seeking behavior 2
weeks following last Meth administration. This effect was dependent on Meth
administration being concurrent with sexual experience and was not observed in
sexually experienced animals that received Meth alone. Moreover, concurrent Meth
and sexual experience enhanced conditioned place preference (CPP) for Meth, and
for concurrent Meth and mating compared with Meth or mating alone. In contrast,
CPP for mating alone was decreased. Together, these data indicate that the
association between drug use and mating may be required for expression of
compulsive sexual behavior and is correlated with increased reward seeking for
concurrent Meth exposure and mating.
PMID- 22072698
TI - Focal manipulations of formant trajectories reveal a role of auditory feedback in
the online control of both within-syllable and between-syllable speech timing.
AB - Within the human motor repertoire, speech production has a uniquely high level of
spatiotemporal complexity. The production of running speech comprises the
traversing of spatial positions with precisely coordinated articulator movements
to produce 10-15 sounds/s. How does the brain use auditory feedback, namely the
self-perception of produced speech sounds, in the online control of spatial and
temporal parameters of multisyllabic articulation? This question has important
bearings on the organizational principles of sequential actions, yet its answer
remains controversial due to the long latency of the auditory feedback pathway
and technical challenges involved in manipulating auditory feedback in precisely
controlled ways during running speech. In this study, we developed a novel
technique for introducing time-varying, focal perturbations in the auditory
feedback during multisyllabic, connected speech. Manipulations of spatial and
temporal parameters of the formant trajectory were tested separately on two
groups of subjects as they uttered "I owe you a yo-yo." Under these
perturbations, significant and specific changes were observed in both the spatial
and temporal parameters of the produced formant trajectories. Compensations to
spatial perturbations were bidirectional and opposed the perturbations.
Furthermore, under perturbations that manipulated the timing of auditory feedback
trajectory (slow-down or speed-up), significant adjustments in syllable timing
were observed in the subjects' productions. These results highlight the
systematic roles of auditory feedback in the online control of a highly over
learned action as connected speech articulation and provide a first look at the
properties of this type of sensorimotor interaction in sequential movements.
PMID- 22072699
TI - Validation of a method for accurate and highly reproducible quantification of
brain dopamine transporter SPECT studies.
AB - In nuclear medicine brain imaging, it is important to delineate regions of
interest (ROIs) so that the outcome is both accurate and reproducible. The
purpose of this study was to validate a new time-saving algorithm (DATquan) for
accurate and reproducible quantification of the striatal dopamine transporter
(DAT) with appropriate radioligands and SPECT and without the need for structural
brain scanning. METHODS: In a reconstructed DAT SPECT image, DATquan
automatically calculated the ratio at steady state of specifically bound
radioligand to nondisplaceable radioligand in tissue (BP(ND)) within striatal
ROIs that were delineated by use of a semiautomatic template-based alignment
approach. DATquan was tested with (123)I-N-(3-iodoprop-2E-enyl)-2-beta
carbomethoxy-3beta-(4-methylphenyl) SPECT images from 15 patients. In each image,
ROIs were first manually delineated, and then corresponding BP(ND) values were
derived by an experienced physician. Afterward, 2 independent novice operators
used DATquan to analyze the same 15 images. The resulting DATquan-derived BP(ND)
data were compared with the data retrieved by manual delineation to assess the
accuracy and reproducibility of DATquan. Also, the operational aspects of DATquan
were assessed on the basis of measurements of the mean running time of the
algorithm as well as on the basis of quantification of the overlap of the DATquan
delineated ROIs obtained by the 2 operators. RESULTS: The mean algorithm running
time was 3 min, and the operators' striatal ROIs had a mean overlap of more than
82%. DATquan-derived BP(ND) values obtained by the 2 operators showed high
agreement (the mean difference was 0.00 [SD, 0.05] in the striatum, 0.02 [SD,
0.26] in the putamen, and 0.03 [SD, 0.43] in the caudate nucleus). The
interoperator variability was 2.2% (SD, 1.3%) in the striatum, 11.7% (SD, 9.9%)
in the putamen, and 12.9% (SD, 4.0%) in the caudate nucleus. DATquan-derived
BP(ND) values showed high agreement with the values manually derived by the
experienced delineator. CONCLUSION: DATquan is a freely available, accurate, and
highly reproducible method for quantification of DAT binding in the brain by
SPECT. Once implemented in clinics, DATquan will serve as a useful and time
saving tool.
PMID- 22072700
TI - Experiences with citrate rather than heparin as an anticoagulant for 18F-FDG
labeling of leukocytes.
AB - In all reported clinical studies with (18)F-FDG-labeled leukocytes, heparin was
used as an anticoagulant during labeling. Theoretically, the substitution of
heparin with citrate should be advantageous. METHODS: Blood from healthy controls
was sampled in duplicate, anticoagulated with citrate or heparin, and labeled
with (18)F-FDG, and the labeling yield was measured. Viability was checked with
the trypan blue exclusion technique. Moreover, 4 in vivo PET/CT studies were
performed after the reinjection of leukocytes labeled after citrate
anticoagulation. RESULTS: The labeling yields obtained with citrate and heparin
were not significantly different (P = 0.447). Viability was greater than or equal
to 99%. The quality of the PET/CT studies was excellent. In the in vivo studies,
the mean labeling yield was 78%-better than or equal to that reported with
heparin as an anticoagulant. CONCLUSION: Citrate is at least as effective as
heparin as an anticoagulant, does not (unlike heparin) increase granulocyte
activation, and should be the preferred anticoagulant for (18)F-FDG labeling of
leukocytes.
PMID- 22072701
TI - Cardiovascular fitness as a risk factor for amyotrophic lateral sclerosis:
indirect evidence from record linkage study.
AB - BACKGROUND: Amyotrophic lateral sclerosis (ALS) appears to be a sporadic disorder
in 95% of cases. Although few personal characteristics associated with developing
ALS are known, identification of those at risk is essential to any vision of
early intervention. There is persistent anecdotal observation that those with ALS
are premorbidly physically 'fitter', although such observations are susceptible
to bias. Hospital admission for coronary heart disease (CHD) might serve as an
objective marker of reduced cardiovascular fitness. METHODS: A record linkage
study of two large databases of hospital admissions, the Oxford Record Linkage
Study (ORLS) and an English national record linkage dataset of Hospital Episode
Statistics was undertaken. The ratio of the rate of ALS in people without a
record of CHD to that in those with a record of CHD was calculated, factoring out
premature death in both cohorts. Similar analysis for Parkinson's disease (PD)
and multiple sclerosis (MS) was undertaken. RESULTS: In the English population,
the rate ratio for ALS in the non-CHD cohort, compared with the CHD cohort, was
1.14 (95% CI 1.05 to 1.22); for PD it was 0.95 (95% CI 0.93 to 0.98); and for MS
0.95 (95% CI 0.88 to 1.04). The ORLS data yielded similar findings. CONCLUSIONS:
Those without a record of CHD were at modestly higher risk of ALS, but not for PD
or MS. This lends support to the assertion that ALS arises within a population
who may have relatively higher levels of cardiovascular fitness.
PMID- 22072702
TI - Impaired vasoreactivity in mildly disabled CADASIL patients.
AB - BACKGROUND AND PURPOSE: CADASIL (cerebral autosomal dominant arteriopathy with
subcortical infarcts and leukoencephalopathy) is a rare genetic disease caused by
NOTCH3 gene mutations. A dysfunction in vasoreactivity has been proposed as an
early event in the pathogenesis of the disease. The aim of this study was to
verify whether endothelium dependent and/or independent function is altered in
CADASIL patients with respect to controls. METHODS: Vasoreactivity was studied by
a non-invasive pletismographic method in 49 mildly disabled CADASIL patients (30
65 years, 58% male, Rankin scale <=2) and 25 controls. Endothelium dependent
vasodilatation was assessed by reactive hyperaemia (flow mediated dilation
peripheral arterial tone (FMD-PAT)) and endothelium independent vasoreactivity by
glyceryl trinitrate (GTN) administration (GTN-PAT). RESULTS: Patients and
controls showed comparable age, gender and cardiovascular risk factor
distribution. GTN-PAT values were significantly lower in CADASIL patients (1.54
(1.01 to 2.25)) than in controls (1.89 (1.61 to 2.59); p=0.041). FMD-PAT scores
did not differ between patients and controls (1.88 (1.57 to 2.43) vs 2.08 (1.81
to 2.58); p=0.126) but 17 CADASIL patients (35%) had FMD-PAT scores below the
fifth percentile of controls. FMD-PAT and GTN-PAT values correlated both in
controls (rho=0.648, p<0.001) and CADASIL patients (rho=0.563, p<0.001). By
multivariable logistic regression for clinical and laboratory variables, only GTN
PAT (OR 0.39, 95% CI 0.15 to 0.97; p=0.044) was independently associated with FMD
PAT below the fifth percentile in CADASIL patients. CONCLUSIONS: The impaired
vasoreactivity observed in CADASIL patients highlights the fact that both
endothelial and smooth muscle functional alterations may already be present in
mildly disabled subjects. The improvement in vascular function could be a new
target for pharmacological trials in CADASIL patients.
PMID- 22072703
TI - Cortical folding influences migraine aura symptoms in CADASIL.
AB - OBJECTIVE: Migraine with aura is a hallmark of cerebral autosomal dominant
arteriopathy with subcortical infarcts and leukoencephalopathy (CADASIL). In
contrast with the majority of CADASIL patients, some affected subjects never
experience visual symptoms during their attacks of migraine with aura. The aim of
this study was to determine whether specific morphology of the primary visual
cortex is associated with the absence of visual symptoms during migraine aura in
CADASIL. METHODS: Patients from a large cohort of CADASIL patients, aged <45
years, and with a modified Rankin's scale <=1 were included in the study. Width
and depth of the calcarine sulcus in the primary visual cortex as well as
cortical thickness in its neighbourhood were compared between patients with
visual and those with non-visual migraine auras. RESULTS: 31 patients had visual
symptoms (VA group) while nine reported only non-visual symptoms (NVA group)
during their migraine auras. Asymmetry index of the calcarine sulcal depth
largely differed between the NVA group and the VA group (0.22+/-0.1 vs -0.004+/
0.2; p=1.7*10(-6)). The width of the right calcarine sulcus was significantly
lower in the VA group (p=0.04) and cortical thickness was larger in the NVA group
(p=0.03). CONCLUSION: The absence of visual symptoms during migraine auras was
associated with a profound asymmetry of the primary visual cortex. Aura symptoms
seem to be linked to the morphology of the primary visual cortex in CADASIL. This
finding potentially reflects more general relationships between spreading
depression and cortex morphology in migraine with aura.
PMID- 22072704
TI - 68Ga-DOTATOC versus 68Ga-DOTATATE PET/CT in functional imaging of neuroendocrine
tumors.
AB - Radiolabeled somatostatin analogs represent valuable tools for both in vivo
diagnosis and therapy of neuroendocrine tumors (NETs) because of the frequent
tumoral overexpression of somatostatin receptors (sst). The 2 compounds most
often used in functional imaging with PET are (68)Ga-DOTATATE and (68)Ga-DOTATOC.
Both ligands share a quite similar sst binding profile. However, the in vitro
affinity of (68)Ga-DOTATATE in binding the sst subtype 2 (sst2) is approximately
10-fold higher than that of (68)Ga-DOTATOC. This difference may affect their
efficiency in the detection of NET lesions because it is the sst2 that is
predominantly overexpressed in NET. We thus compared the diagnostic value of
PET/CT with both radiolabeled somatostatin analogs ((68)Ga-DOTATATE and (68)Ga
DOTATOC) in the same NET patients. METHODS: Forty patients with metastatic NETs
underwent (68)Ga-DOTATOC and (68)Ga-DOTATATE PET/CT as part of the work-up before
prospective peptide receptor radionuclide therapy. The performance of both
imaging methods was analyzed and compared for the detection of individual lesions
per patient and for 8 defined body regions. A region was regarded positive if at
least 1 lesion was detected in that region. In addition, radiopeptide uptake in
terms of the maximal standardized uptake value (SUVmax) was compared for
concordant lesions and renal parenchyma. RESULTS: Seventy-eight regions were
found positive with (68)Ga-DOTATATE versus 79 regions with (68)Ga-DOTATOC (not
significant). Overall, however, significantly fewer lesions were detected with
(68)Ga-DOTATATE than with (68)Ga-DOTATOC (254 vs. 262, P < 0.05). Mean (68)Ga
DOTATATE SUVmax across all lesions was significantly lower than (68)Ga-DOTATOC
(16.0 +/- 10.8 vs. 20.4 +/- 14.7, P < 0.01). Mean SUVmax for renal parenchyma was
not significantly different between (68)Ga-DOTATATE and (68)Ga-DOTATOC (12.7 +/-
3.0 vs. 13.2 +/- 3.3). CONCLUSION: (68)Ga-DOTATOC and (68)Ga-DOTATATE possess a
comparable diagnostic accuracy for the detection of NET lesions, with (68)Ga
DOTATOC having a potential advantage. The approximately 10-fold higher affinity
for the sst2 of (68)Ga-DOTATATE does not prove to be clinically relevant. Quite
unexpectedly, SUVmax of (68)Ga-DOTATOC scans tended to be higher than their
(68)Ga-DOTATATE counterparts.
PMID- 22072705
TI - PET of aromatase in gastric parietal cells using 11C-vorozole.
AB - Aromatase is a rate-limiting enzyme for estrogen biosynthesis and has been
implicated in pathophysiological states of various diseases via estrogen
production. This enzyme is known to be widely distributed in extragonadal and
gonadal tissues including the stomach. In contrast to circulating estrogen, the
functional role of gastric aromatase/estrogen has not been elucidated in detail,
because there is no efficient methodology to investigate spatiotemporal changes
of gastric aromatase/estrogen in vivo. Recently, (S)-(11)C-6-[(4-chlorophenyl)(1H
1,2,4-triazole-1-yl)methyl]-1-methyl-1H-benzotriazole ((11)C-labeled vorozole),
based on a potent nonsteroidal aromatase inhibitor, has been developed as a
tracer to investigate aromatase distribution in living animals and humans using a
noninvasive PET technique. In the present study, we investigated gastric
aromatase expression by means of PET with (11)C-vorozole. METHODS: After bolus
injection of (11)C-vorozole into the tail vein, emission scans were obtained for
90 min on male and female rats under isoflurane anesthesia. Displacement studies
with unlabeled vorozole and autoradiographic analysis were conducted for
demonstration of specific binding. Immunohistochemistry was performed to confirm
aromatase expression. RESULTS: PET scans revealed that (11)C-vorozole highly
accumulated in the stomach and adrenal glands. Displacement studies and
autoradiography demonstrated that aromatase was expressed in the stomach but that
the accumulation of (11)C-vorozole in the adrenal glands might be through
nonspecific binding. Immunohistochemical analysis revealed that aromatase is
expressed in gastric parietal cells but not in adrenal glands. Moreover, the
accumulation of (11)C-vorozole in the stomach was significantly increased in
fatigued rats. CONCLUSION: These results suggest that the (11)C-vorozole PET
technique is a useful tool for evaluation of gastric aromatase dynamics in vivo,
which may provide important information for understanding the molecular
mechanisms of gastric aromatase/estrogen-related pathophysiological processes and
for the development of new drugs.
PMID- 22072706
TI - PET with the 89Zr-labeled transforming growth factor-beta antibody fresolimumab
in tumor models.
AB - Transforming growth factor-beta (TGF-beta) promotes cancer invasion and
metastasis and is therefore a potential drug target for cancer treatment.
Fresolimumab, which neutralizes all mammalian active isoforms of TGF-beta, was
radiolabeled with (89)Zr for PET to analyze TGF-beta expression, antibody tumor
uptake, and organ distribution. METHODS: (89)Zr was conjugated to fresolimumab
using the chelator N-succinyldesferrioxamine-B-tetrafluorphenol. (89)Zr
fresolimumab was analyzed for conjugation ratio, aggregation, radiochemical
purity, stability, and immunoreactivity. (89)Zr-fresolimumab tumor uptake and
organ distribution were assessed using 3 protein doses (10, 50, and 100 MUg) and
compared with (111)In-IgG in a human TGF-beta-transfected Chinese hamster ovary
xenograft model, human breast cancer MDA-MB-231 xenograft, and metastatic model.
Latent and active TGF-beta1 expression was analyzed in tissue homogenates with
enzyme-linked immunosorbent assay. RESULTS: (89)Zr was labeled to fresolimumab
with high specific activity (>1 GBq/mg), high yield, and high purity. In vitro
validation of (89)Zr-fresolimumab showed a fully preserved immunoreactivity and
long (>1 wk) stability in solution and in human serum. In vivo validation showed
an (89)Zr-fresolimumab distribution similar to IgG in most organs, except for a
higher uptake in the liver in all mice and higher kidney uptake in the 10-MUg
group. (89)Zr-fresolimumab induced no toxicity in mice; it accumulated in primary
tumors and metastases in a manner similar to IgG. Both latent and active TGF-beta
was detected in tumor homogenates, whereas only latent TGF-beta could be detected
in liver homogenates. Remarkably high (89)Zr-fresolimumab uptake was seen in
sites of tumor ulceration and in scar tissue, processes in which TGF-beta is
known to be highly active. CONCLUSION: Fresolimumab tumor uptake and organ
distribution can be visualized and quantified with (89)Zr-fresolimumab PET. This
technique will be used to guide further clinical development of fresolimumab and
could possibly identify patients most likely to benefit.
PMID- 22072707
TI - Oxidized LDL promotes the mitogenic actions of Chlamydia pneumoniae in vascular
smooth muscle cells.
AB - AIMS: The atherogenic actions of Chlamydia pneumoniae (C. pneumoniae), a common
respiratory pathogen, are dependent upon a high-cholesterol environment in vivo.
It is possible that oxidized low-density lipoprotein (oxLDL) is responsible for
promoting the atherogenic effects of C. pneumoniae through a stimulation of cell
proliferation. This study determined whether oxLDL can enhance the mitogenic
action of C. pneumoniae in vascular smooth muscle cells (VSMCs) and the
involvement of mitogen-activated protein kinase (MAPK) pathways and heat shock
protein 60 (HSP60) in these mechanisms. METHODS AND RESULTS: Primary rabbit VSMCs
were treated with live C. pneumoniae, heat-inactivated C. pneumoniae or infection
medium, and subsequently incubated for up to 48 h in the presence or absence of
oxLDL. Chlamydia pneumoniae infection alone stimulated cell proliferation and the
addition of oxLDL significantly amplified this proliferative effect. This
proliferation was accompanied by extracellular signal-regulated kinase-1 and -2
(ERK1/2) activation and an up-regulation of HSP60 expression. Changes in
proliferation and HSP60 expression were attenuated by the inhibition of ERK1/2.
CONCLUSION: These results indicate a novel role for oxLDL in promoting the
mitogenic actions of C. pneumoniae in the vasculature. ERK1/2 is an important
factor in the stress-mediated response and HSP60 up-regulation in VSMC. These
data provide mechanistic evidence that C. pneumoniae may stimulate atherogenesis.
PMID- 22072708
TI - Terminal amino acids disturb xylanase thermostability and activity.
AB - Protein structure is composed of regular secondary structural elements (alpha
helix and beta-strand) and non-regular region. Unlike the helix and strand, the
non-regular region consists of an amino acid defined as a disordered residue
(DR). When compared with the effect of the helix and strand, the effect of the DR
on enzyme structure and function is elusive. An Aspergillus niger GH10 xylanase
(Xyn) was selected as a model molecule of (beta/alpha)(8) because the general
structure consists of ~10% enzymes. The Xyn has five N-terminal DRs and one C
terminal DR, respectively, which were deleted to construct three mutants,
XynDeltaN, XynDeltaC, and XynDeltaNC. Each mutant was ~2-, 3-, or 4-fold more
thermostable and 7-, 4-, or 4-fold more active than the Xyn. The N-terminal
deletion decreased the xylanase temperature optimum for activity (T(opt)) 6
degrees C, but the C-terminal deletion increased its T(opt) 6 degrees C. The N-
and C-terminal deletions had opposing effects on the enzyme T(opt) but had
additive effects on its thermostability. The five N-terminal DR deletions had
more effect on the enzyme kinetics but less effect on its thermo property than
the one C-terminal DR deletion. CD data showed that the terminal DR deletions
increased regular secondary structural contents, and hence, led to slow decreased
Gibbs free energy changes (DeltaG(0)) in the thermal denaturation process, which
ultimately enhanced enzyme thermostabilities.
PMID- 22072709
TI - Quality control of fungus-specific glucosylceramide in Cryptococcus neoformans by
endoglycoceramidase-related protein 1 (EGCrP1).
AB - A fungus-specific glucosylceramide (GlcCer), which contains a unique sphingoid
base possessing two double bonds and a methyl substitution, is essential for
pathogenicity in fungi. Although the biosynthetic pathway of the GlcCer has been
well elucidated, little is known about GlcCer catabolism because a GlcCer
degrading enzyme (glucocerebrosidase) has yet to be identified in fungi. We found
a homologue of endoglycoceramidase tentatively designated endoglycoceramidase
related protein 1 (EGCrP1) in several fungal genomic databases. The recombinant
EGCrP1 hydrolyzed GlcCer but not other glycosphingolipids, whereas
endoglycoceramidase hydrolyzed oligosaccharide-linked glycosphingolipids but not
GlcCer. Disruption of egcrp1 in Cryptococcus neoformans, a typical pathogenic
fungus causing cryptococcosis, resulted in the accumulation of fungus-specific
GlcCer and immature GlcCer that possess sphingoid bases without a methyl
substitution concomitant with a dysfunction of polysaccharide capsule formation.
These results indicated that EGCrP1 participates in the catabolism of GlcCer and
especially functions to eliminate immature GlcCer in vivo that are generated as
by-products due to the broad specificity of GlcCer synthase. We conclude that
EGCrP1, a glucocerebrosidase identified for the first time in fungi, controls the
quality of GlcCer by eliminating immature GlcCer incorrectly generated in C.
neoformans, leading to accurate processing of fungus-specific GlcCer.
PMID- 22072710
TI - HIV-1 Vpu protein antagonizes innate restriction factor BST-2 via lipid-embedded
helix-helix interactions.
AB - The Vpu protein of HIV-1 antagonizes BST-2 (tetherin), a broad spectrum effector
of the innate immune response to viral infection, by an intermolecular
interaction that maps genetically to the alpha-helical transmembrane domains
(TMDs) of each protein. Here we utilize NMR spectroscopy to describe key features
of the helix-helix pairing that underlies this interaction. The antagonism of BST
2 involves a sequence of three alanines and a tryptophan spaced at four residue
intervals within the Vpu TMD helix. Responsiveness to Vpu involves bulky
hydrophobic residues in the C-terminal region of the BST-2 TMD helix that likely
fit between the alanines on the interactive face of Vpu. These aspects of Vpu and
BST-2 form an anti-parallel, lipid-embedded helix-helix interface. Changes in
human BST-2 that mimic sequences found in nonhuman primate orthologs unresponsive
to Vpu change the tilt angle of the TMD in the lipid bilayer without abrogating
its intrinsic ability to interact with Vpu. These data explain the mechanism by
which HIV-1 evades a key aspect of innate immunity and the species specificity of
Vpu using an anti-parallel helix-helix packing model.
PMID- 22072711
TI - Polarity-regulating kinase partitioning-defective 1b (PAR1b) phosphorylates
guanine nucleotide exchange factor H1 (GEF-H1) to regulate RhoA-dependent actin
cytoskeletal reorganization.
AB - Partitioning-defective 1b (PAR1b), also known as microtubule affinity-regulating
kinase 2 (MARK2), is a member of evolutionally conserved PAR1/MARK
serine/threonine kinase family, which plays a key role in the establishment and
maintenance of cell polarity at least partly by phosphorylating microtubule
associated proteins (MAPs) that regulate microtubule stability. PAR1b has also
been reported to influence actin cytoskeletal organization, raising the
possibility that PAR1b functionally interacts with the Rho family of small
GTPases, central regulators of the actin cytoskeletal system. Consistent with
this notion, PAR1 was recently found to be physically associated with a RhoA
specific guanine nucleotide exchange factor H1 (GEF-H1). This observation
suggests a functional link between PAR1b and GEF-H1. Here we show that PAR1b
induces phosphorylation of GEF-H1 on serine 885 and serine 959. We also show that
PAR1b-induced serine 885/serine 959 phosphorylation inhibits RhoA-specific GEF
activity of GEF-H1. As a consequence, GEF-H1 phosphorylated on both of the serine
residues loses the ability to stimulate RhoA and thereby fails to induce RhoA
dependent stress fiber formation. These findings indicate that PAR1b not only
regulates microtubule stability through phosphorylation of MAPs but also
influences actin stress fiber formation by inducing GEF-H1 phosphorylation. The
dual function of PAR1b in the microtubule-based cytoskeletal system and the actin
based cytoskeletal system in the coordinated regulation of cell polarity, cell
morphology, and cell movement.
PMID- 22072712
TI - Unifying concept of serotonin transporter-associated currents.
AB - Serotonin (5-HT) uptake by the human serotonin transporter (hSERT) is driven by
ion gradients. The stoichiometry of transported 5-HT and ions is predicted to
result in electroneutral charge movement. However, hSERT mediates a current when
challenged with 5-HT. This discrepancy can be accounted for by an uncoupled ion
flux. Here, we investigated the mechanistic basis of the uncoupled currents and
its relation to the conformational cycle of hSERT. Our observations support the
conclusion that the conducting state underlying the uncoupled ion flux is in
equilibrium with an inward facing state of the transporter with K+ bound. We
identified conditions associated with accumulation of the transporter in inward
facing conformations. Manipulations that increased the abundance of inward facing
states resulted in enhanced steady-state currents. We present a comprehensive
kinetic model of the transport cycle, which recapitulates salient features of the
recorded currents. This study provides a framework for exploring transporter
associated currents.
PMID- 22072714
TI - Loss of the methyl lysine effector protein PHF20 impacts the expression of genes
regulated by the lysine acetyltransferase MOF.
AB - In epigenetic signaling pathways, histone tails are heavily modified, resulting
in the recruitment of effector molecules that can influence transcription. One
such molecule, plant homeodomain finger protein 20 (PHF20), uses a Tudor domain
to read dimethyl lysine residues and is a known component of the MOF (male absent
on the first) histone acetyltransferase protein complex, suggesting it plays a
role in the cross-talk between lysine methylation and histone acetylation. We
sought to investigate the biological role of PHF20 by generating a knockout
mouse. Without PHF20, mice die shortly after birth and display a wide variety of
phenotypes within the skeletal and hematopoietic systems. Mechanistically, PHF20
is not required for maintaining the global H4K16 acetylation levels or locus
specific histone acetylation but instead works downstream in transcriptional
regulation of MOF target genes.
PMID- 22072713
TI - SOD1 (copper/zinc superoxide dismutase) deficiency drives amyloid beta protein
oligomerization and memory loss in mouse model of Alzheimer disease.
AB - Oxidative stress is closely linked to the pathogenesis of neurodegeneration.
Soluble amyloid beta (Abeta) oligomers cause cognitive impairment and synaptic
dysfunction in Alzheimer disease (AD). However, the relationship between
oligomers, oxidative stress, and their localization during disease progression is
uncertain. Our previous study demonstrated that mice deficient in cytoplasmic
copper/zinc superoxide dismutase (CuZn-SOD, SOD1) have features of drusen
formation, a hallmark of age-related macular degeneration (Imamura, Y., Noda, S.,
Hashizume, K., Shinoda, K., Yamaguchi, M., Uchiyama, S., Shimizu, T., Mizushima,
Y., Shirasawa, T., and Tsubota, K. (2006) Proc. Natl. Acad. Sci. U.S.A. 103,
11282-11287). Amyloid assembly has been implicated as a common mechanism of
plaque and drusen formation. Here, we show that Sod1 deficiency in an amyloid
precursor protein-overexpressing mouse model (AD mouse, Tg2576) accelerated Abeta
oligomerization and memory impairment as compared with control AD mouse and that
these phenomena were basically mediated by oxidative damage. The increased plaque
and neuronal inflammation were accompanied by the generation of N(epsilon)
carboxymethyl lysine in advanced glycation end products, a rapid marker of
oxidative damage, induced by Sod1 gene-dependent reduction. The Sod1 deletion
also caused Tau phosphorylation and the lower levels of synaptophysin.
Furthermore, the levels of SOD1 were significantly decreased in human AD patients
rather than non-AD age-matched individuals, but mitochondrial SOD (Mn-SOD, SOD2)
and extracellular SOD (CuZn-SOD, SOD3) were not. These findings suggest that
cytoplasmic superoxide radical plays a critical role in the pathogenesis of AD.
Activation of Sod1 may be a therapeutic strategy for the inhibition of AD
progression.
PMID- 22072715
TI - PPARdelta coordinates angiotensin II-induced senescence in vascular smooth muscle
cells through PTEN-mediated inhibition of superoxide generation.
AB - Cellular senescence-associated changes in blood vessels have been implicated in
aging and age-related cardiovascular disorders. Here, we demonstrate that
peroxisome proliferator-activated receptor (PPAR) delta coordinates angiotensin
(Ang) II-induced senescence of human vascular smooth muscle cells (VSMCs).
Activation of PPARdelta by GW501516, a specific ligand for PPARdelta,
significantly attenuated Ang II-induced generation of superoxides and suppressed
senescence of VSMCs. A marked increase in the levels of p53 and p21 induced by
Ang II was blunted by the treatment with GW501516. Ligand-activated PPARdelta up
regulated expression of phosphatase and tensin homolog deleted on chromosome 10
(PTEN) and suppressed the phosphatidylinositol 3-kinase (PI3K)/Akt pathway.
Knockdown of PTEN with siRNA abrogated the effects of PPARdelta on cellular
senescence, on PI3K/Akt signaling, and on generation of ROS in VSMCs treated with
Ang II. Finally, administration of GW501516 to apoE-deficient mice treated with
Ang II significantly reduced the number of senescent cells in the aorta, where up
regulation of PTEN with reduced levels of phosphorylated Akt and ROS was
demonstrated. Thus, ligand-activated PPARdelta confers resistance to Ang II
induced senescence by up-regulation of PTEN and ensuing modulation of the
PI3K/Akt signaling to reduce ROS generation in vascular cells.
PMID- 22072716
TI - Protein-linked ubiquitin chain structure restricts activity of deubiquitinating
enzymes.
AB - The attachment of lysine 48 (Lys(48))-linked polyubiquitin chains to proteins is
a universal signal for degradation by the proteasome. Here, we report that long
Lys(48)-linked chains are resistant to many deubiquitinating enzymes (DUBs).
Representative enzymes from this group, Ubp15 from yeast and its human ortholog
USP7, rapidly remove mono- and diubiquitin from substrates but are slow to remove
longer Lys(48)-linked chains. This resistance is lost if the structure of Lys(48)
linked chains is disrupted by mutation of ubiquitin or if chains are linked
through Lys(63). In contrast to Ubp15 and USP7, Ubp12 readily cleaves the ends of
long chains, regardless of chain structure. We propose that the resistance to
many DUBs of long, substrate-attached Lys(48)-linked chains helps ensure that
proteins are maintained free from ubiquitin until a threshold of ubiquitin ligase
activity enables degradation.
PMID- 22072717
TI - Pharmacologic uncoupling of angiogenesis and inflammation during initiation of
pathological corneal neovascularization.
AB - Pathological neovascularization occurs when a balance of pro- and anti-angiogenic
factors is disrupted, accompanied by an amplifying inflammatory cascade. However,
the interdependence of these responses and the mechanism triggering the initial
angiogenic switch have remained unclear. We present data from an epithelial
debridement model of corneal neovascularization describing an initial 3-day
period when a substantial component of neovascular growth occurs. Administration
of selective inhibitors shows that this initial growth requires signaling through
VEGFR-2 (vascular endothelial growth factor receptor-2), independent of the
accompanying inflammatory response. Instead, increased VEGF production is found
prominently in repair epithelial cells and is increased prior to recruitment of
neutrophil/granulocytes and macrophage/monocytes. Consequently, early granulocyte
and monocyte depletion has little effect on corneal neovascularization outgrowth.
These data indicate that it is possible to pharmacologically uncouple these
mechanisms during early injury-driven neovascularization in the cornea and
suggest that initial tissue responses are coordinated by repair epithelial cells.
PMID- 22072718
TI - Inhibitor of Nrf2 (INrf2 or Keap1) protein degrades Bcl-xL via phosphoglycerate
mutase 5 and controls cellular apoptosis.
AB - INrf2 (Keap1) is an adaptor protein that facilitates INrf2-Cul3-Rbx1-mediated
ubiquitination/degradation of Nrf2, a master regulator of cytoprotective gene
expression. Here, we present evidence that members of the phosphoglycerate mutase
family 5 (PGAM5) proteins are involved in the INrf2-mediated
ubiquitination/degradation of anti-apoptotic factor Bcl-xL. Mass spectrometry and
co-immunoprecipitation assays revealed that INrf2, through its DGR domain,
interacts with PGAM5, which in turn interacts with anti-apoptotic Bcl-xL protein.
INrf2-Cul3-Rbx1 complex facilitates ubiquitination and degradation of both PGAM5
and Bcl-xL. Overexpression of PGAM5 protein increased INrf2-mediated degradation
of Bcl-xL, whereas knocking down PGAM5 by siRNA decreased INrf2 degradation of
Bcl-xL, resulting in increased stability of Bcl-xL. Mutation of PGMA5-E79A/S80A
abolished INrf2/PGAM5/Bcl-xL interaction. Therefore, PGAM5 protein acts as a
bridge between INrf2 and Bcl-xL interaction. Further studies showed that
overexpression of INrf2 enhanced degradation of PGAM5-Bcl-xL complex, led to
etoposide-mediated accumulation of Bax, increased release of cytochrome c from
mitochondria, activated caspase-3/7, and enhanced DNA fragmentation and
apoptosis. In addition, antioxidant (tert-butylhydroquinone) treatment
destabilized the Nrf2-INrf2-PGAM5-Bcl-xL complex, which resulted in release of
Nrf2 in cytosol and mitochondria, release of Bcl-xL in mitochondria, increase in
Bcl-xL heterodimerization with Bax in mitochondria, and reduced cellular
apoptosis. These data provide the first evidence that INrf2 controls Bcl-xL via
PGAM5 and controls cellular apoptosis.
PMID- 22072719
TI - Phosphorylation of PhoP protein plays direct regulatory role in lipid
biosynthesis of Mycobacterium tuberculosis.
AB - Mycobacterium tuberculosis PhoP is essential for virulence and intracellular
growth of the tubercle bacilli. Genetic evidence suggests that PhoP regulates
complex lipid biosynthesis, and absence of some of these lipid molecules in a
phoP mutant partly accounts for its attenuated growth in macrophages and/or mice.
To investigate the mechanism of regulation, here we demonstrate the essentiality
of phosphorylation of PhoP in the regulation of complex lipid biosynthesis. We
show that phosphorylated PhoP activates transcription of pks2 and msl3, gene(s)
encoding polyketide beta-ketoacyl synthases through direct DNA binding at the
upstream regulatory region(s) of the target genes. Our results identify the
genetic determinants recognized by PhoP and show that activation of target genes
requires interaction(s) of the phosphorylated regulator at the cognate binding
sites. The fact that these sites within the regulatory region of respective genes
do not bind in vitro with either unphosphorylated or phosphorylation-deficient
PhoP protein is consistent with phosphorylation-dependent assembly of the
transcription initiation complex leading to in vivo transcriptional activation.
Together, these results reveal so far unknown molecular mechanisms of how PhoP
contributes to M. tuberculosis cell wall composition by regulating complex lipid
biosynthesis.
PMID- 22072721
TI - Long-term antibody and immune memory response induced by pulmonary delivery of
the influenza Iscomatrix vaccine.
AB - Pulmonary delivery of an influenza Iscomatrix adjuvant vaccine induces a strong
systemic and mucosal antibody response. Since an influenza vaccine needs to
induce immunological memory that lasts at least 1 year for utility in humans, we
examined the longevity of the immune response induced by such a pulmonary
vaccination, with and without antigen challenge. Sheep were vaccinated in the
deep lung with an influenza Iscomatrix vaccine, and serum and lung antibody
levels were quantified for up to 1 year. The immune memory response to these
vaccinations was determined following antigen challenge via lung delivery of
influenza antigen at 6 months and 1 year postvaccination. Pulmonary vaccination
of sheep with the influenza Iscomatrix vaccine induced antigen-specific
antibodies in both sera and lungs that were detectable until 6 months
postimmunization. Importantly, a memory recall response following antigenic
challenge was detected at 12 months post-lung vaccination, including the
induction of functional antibodies with hemagglutination inhibition activity.
Pulmonary delivery of an influenza Iscomatrix vaccine induces a long-lived
influenza virus-specific antibody and memory response of suitable length for
annual vaccination against influenza.
PMID- 22072720
TI - Vaccines displaying mycobacterial proteins on biopolyester beads stimulate
cellular immunity and induce protection against tuberculosis.
AB - New improved vaccines are needed for control of both bovine and human
tuberculosis. Tuberculosis protein vaccines have advantages with regard to safety
and ease of manufacture, but efficacy against tuberculosis has been difficult to
achieve. Protective cellular immune responses can be preferentially induced when
antigens are displayed on small particles. In this study, Escherichia coli and
Lactococcus lactis were engineered to produce spherical polyhydroxybutyrate (PHB)
inclusions which displayed a fusion protein of Mycobacterium tuberculosis,
antigen 85A (Ag85A)-early secreted antigenic target 6-kDa protein (ESAT-6). L.
lactis was chosen as a possible production host due its extensive use in the food
industry and reduced risk of lipopolysaccharide contamination. Mice were
vaccinated with PHB bead vaccines with or without displaying Ag85A-ESAT-6,
recombinant Ag85A-ESAT-6, or M. bovis BCG. Separate groups of mice were used to
measure immune responses and assess protection against an aerosol M. bovis
challenge. Increased amounts of antigen-specific gamma interferon, interleukin
17A (IL-17A), IL-6, and tumor necrosis factor alpha were produced from
splenocytes postvaccination, but no or minimal IL-4, IL-5, or IL-10 was produced,
indicating Th1- and Th17-biased T cell responses. Decreased lung bacterial counts
and less extensive foci of inflammation were observed in lungs of mice receiving
BCG or PHB bead vaccines displaying Ag85A-ESAT-6 produced in either E. coli or L.
lactis compared to those observed in the lungs of phosphate-buffered saline
treated control mice. No differences between those receiving wild-type PHB beads
and those receiving recombinant Ag85A-ESAT-6 were observed. This versatile
particulate vaccine delivery system incorporates a relatively simple production
process using safe bacteria, and the results show that it is an effective
delivery system for a tuberculosis protein vaccine.
PMID- 22072722
TI - Persistence and titer changes of rubella virus antibodies in primiparous women
who had been vaccinated with strain RA 27/3 in junior high school.
AB - Taiwan's rubella vaccination program was launched in 1986; each schoolgirl in the
third grade of junior high school received one dose of rubella (RA 27/3) vaccine.
We reviewed the results of 14,090 prenatal rubella tests for primiparas from
three areas of Taiwan during 2002 to 2008 to investigate seronegativity rates and
titer changes. In all primiparous women, the average rubella virus seronegativity
rate was 6.5% (95% confidence interval [95% CI], 6.1 to 6.9%), and the average
rubella virus antibody titer was 65.9 IU/ml (95% CI, 64.7 to 67.1 IU/ml). There
were 1,220 women (8.7%) with weakly positive antibody titers (10 to 20 IU/ml).
The rubella virus seronegativity rates, which ranged from 5.4 to 9.7%, did not
exhibit a linear trend from 9 to 22 years after vaccination (P = 0.201); in
contrast, a significant trend appeared in the average rubella virus IgG titer (P
= 0.003), dropping from 69.9 IU/ml in the 9th year after vaccination to 54.8
IU/ml in the 22nd year. The mean annual antibody decay rate was -0.77 IU/ml. This
study reveals that the level of rubella virus antibodies declined slowly in women
of childbearing age who were vaccinated with RA 27/3 at junior high school age.
The number of women who were seronegative or had weakly positive antibody titers
was still high (15.2%). Therefore, in countries that implement a single-dose
regimen in children or teenagers, it should remain an important policy to
encourage voluntary immunization in seronegative women and to immunize all
postpartum women who are susceptible to rubella virus infection before they leave
the hospital.
PMID- 22072723
TI - The nonstructural protein NSs induces a variable antibody response in domestic
ruminants naturally infected with Rift Valley fever virus.
AB - Rift Valley fever (RVF) is an emerging zoonosis in Africa which has spread to
Egypt, the Arabian Peninsula, Madagascar, and Comoros. RVF virus (RVFV)
(Bunyaviridae family, Phlebovirus genus) causes a wide range of symptoms in
humans, from benign fever to fatal hemorrhagic fever. Ruminants are severely
affected by the disease, which leads to a high rate of mortality in young animals
and to abortions and teratogenesis in pregnant females. Diagnostic tests include
virus isolation and genome or antibody detection. During RVFV infection, the
nucleoprotein encapsidating the tripartite RNA genome is expressed in large
amounts and raises a robust antibody response, while the envelope glycoproteins
elicit neutralizing antibodies which play a major role in protection. Much less
is known about the antigenicity/immunogenicity of the nonstructural protein NSs,
which is a major virulence factor. Here we have developed a competitive enzyme
linked immunosorbent assay (ELISA) enabling detection of low levels of NSs
specific antibodies in naturally infected or vaccinated ruminants. Detection of
the NSs antibodies was validated by Western blotting. Altogether, our data showed
that the NSs antibodies were detected in only 55% of animals naturally infected
by RVFV, indicating that NSs does not induce a consistently high immune response.
These results are discussed in light of differentiation between infected and
vaccinated animals (DIVA) tests distinguishing naturally infected animals and
those vaccinated with NSs-defective vaccines.
PMID- 22072724
TI - Association of serotype-specific antibody concentrations and functional antibody
titers with subsequent pneumococcal carriage in toddlers immunized with a 9
valent pneumococcal conjugate vaccine.
AB - Association of pneumococcal nasopharyngeal carriage with the concentration and
opsonophagocytic activity (OPA) of serum serotype-specific antibodies was
determined for toddlers 1 month after immunization with a 9-valent pneumococcal
conjugate vaccine. Higher anti-serotype 14 and anti-serotype 19F IgG and anti
serotype 14 IgM correlated with a lowered probability of pneumococcal
acquisition. Postvaccination OPA did not correlate with pneumococcal carriage.
PMID- 22072726
TI - Eye- and feature-based modulation of onset rivalry caused by the preceding
stimulus.
AB - Pre-exposure to a stimulus can modulate initial perceptual dominance experienced
in binocular rivalry with brief test stimuli (onset rivalry). This study
investigated this modulating effect using both color and pattern stimuli. We
confirmed separate contributions of eye- and feature-based suppressions and
showed that their relative strength varied with temporal parameters. Eye-based
suppression was stronger with a short test duration (10 ms) and shorter ISIs
between the preceding and test stimuli. On the other hand, feature-based
suppression grew with ISI and was more pronounced with a longer test duration
(200 ms). We also investigated the nature of the modulating effect associated
with feature-based suppression using chromatic gratings of high luminance
contrast. Results revealed that different features of the preceding stimulus
(i.e., color and orientation) exerted nearly independent effects on onset
rivalry. However, different features shared their fate in competitive
interactions for perceptual dominance; when one feature became dominant, the
other also dominated. These findings suggest that competitive interactions for
perceptual dominance and the modulation of these interactions are mediated at
least partially by different mechanisms. Overall, the present findings are
consistent with a theoretical view that initial dominance is established through
competitive interactions at multiple levels of processing.
PMID- 22072727
TI - Attention alters decision criteria but not appearance: a reanalysis of Anton
Erxleben, Abrams, and Carrasco (2010).
AB - Paying attention to a stimulus affords it many behavioral advantages, but whether
attention also changes its subjective appearance is controversial. K. A.
Schneider and M. Komlos (2008) demonstrated that the results of previous studies
suggesting that attention increased perceived contrast could also be explained by
a biased decision mechanism. This bias could be neutralized by altering the
methodology to ask subjects whether two stimuli were equal in contrast or not
rather than which had the higher contrast. K. Anton-Erxleben, J. Abrams, and M.
Carrasco (2010) claimed that, even using this equality judgment, attention could
still be shown to increase perceived contrast. In this reply, we analyze their
data and conclude that the effects that they reported resulted from fitting
symmetric functions that poorly characterized the individual subject data, which
exhibited significant asymmetries between the high- and low-contrast tails. The
strength of the effect attributed to attentional enhancement in each subject was
strongly correlated with this skew. By refitting the data with a response model
that included a non-zero asymptotic response in the low-contrast regime, we show
that the reported attentional effects are better explained as changes in
subjective criteria. Thus, the conclusion of Schneider and Komlos that attention
biases the decision mechanism but does not alter appearance is still valid and is
in fact supported by the data from Anton-Erxleben et al.
PMID- 22072728
TI - Equality judgments cannot distinguish between attention effects on appearance and
criterion: a reply to Schneider (2011).
AB - Whether attention modulates the appearance of stimulus features is debated.
Whereas many previous studies using a comparative judgment have found evidence
for such an effect, two recent studies using an equality judgment have not.
Critically, these studies have relied on the assumption that the equality
paradigm yields bias-free PSE estimates and is as sensitive as the comparative
judgment, without testing these assumptions. Anton-Erxleben, Abrams, and Carrasco
(2010) compared comparative judgments and equality judgments with and without the
manipulation of attention. They demonstrated that the equality paradigm is less
sensitive than the comparative judgment and also bias-prone. Furthermore, they
reported an effect of attention on the PSE using both paradigms. Schneider (2011)
questions the validity of the latter finding, stating that the data in the
equality experiment are corrupted because of skew in the response distributions.
Notably, this argument supports the original conclusion by Anton-Erxleben et al.:
that the equality paradigm is bias-prone. Additionally, the necessary analyses to
show that the attention effect observed in Anton-Erxleben et al. was due to skew
in the data were not conducted. Here, we provide these analyses and show that
although the equality judgment is bias-prone, the effects we observe are
consistent with an increase of apparent contrast by attention.
PMID- 22072729
TI - Race-specific norms for coding face identity and a functional role for norms.
AB - Models of face perception often adopt a framework in which faces are represented
as points or vectors in a multidimensional space, relative to the average face
that serves as a norm for encoding. Since faces are very similar in their
configuration and share many visual properties, they could be encoded in one
common space against one norm. However, certain face properties may result in
grouping and "subclassification" of similar faces. We studied the processing of
faces of different races, using high-level aftereffects, where exposure to one
face systematically distorts the perception of a subsequently viewed face toward
the "opposite" identity in face space. We measured identity aftereffects for
adapt-test pairs that were opposite relative to race-specific (Asian and
Caucasian) averages and pairs that were opposite relative to a "generic" average
(both races morphed together). Aftereffects were larger for race-specific
compared to mixed-race adapt-test pairs. These results suggest that race-specific
norms are used to code identity because aftereffects are generally larger for
adapt-test pairs drawn from trajectories passing through the norm (opposite
pairs) than for those that do not. We also found that identification thresholds
were lower when targets were distributed around race-specific averages than
around the mixed-race average, suggesting that norm-based face encoding may play
a functional role in facilitating identity discrimination.
PMID- 22072730
TI - Unseen complex motion is modulated by attention and generates a visible
aftereffect.
AB - The relationship between attention and awareness and the processing of visual
information outside of attention and awareness remain controversial issues. We
employed the motion aftereffect (MAE) illusion and continuous flash suppression
(CFS) to study the behavioral effects of unseen and unattended visual motion. The
main finding was that either withdrawal of attention or the lack of visual
awareness on the adaptors did not eliminate the formation of translational MAEs,
spiral MAEs, or the interocular transfer of the MAE. However, no spiral MAE was
generated when attention was diverted from the unseen spiral adaptors.
Interestingly, all MAEs that arose in the absence of awareness or in the absence
of attention were reduced in size. The pattern of results is consistent with
suggestions that the magnitude of visual motion adaptation depends on both
attention and awareness.
PMID- 22072731
TI - Competitive inhibition of the luminal efflux by multidrug and toxin extrusions,
but not basolateral uptake by organic cation transporter 2, is the likely
mechanism underlying the pharmacokinetic drug-drug interactions caused by
cimetidine in the kidney.
AB - Cimetidine, an H2 receptor antagonist, has been used to investigate the tubular
secretion of organic cations in human kidney. We report a systematic
comprehensive analysis of the inhibition potency of cimetidine for the influx and
efflux transporters of organic cations [human organic cation transporter 1
(hOCT1) and hOCT2 and human multidrug and toxin extrusion 1 (hMATE1) and hMATE2
K, respectively]. Inhibition constants (K(i)) of cimetidine were determined by
using five substrates [tetraethylammonium (TEA), metformin, 1-methyl-4
phenylpyridinium, 4-(4-(dimethylamino)styryl)-N-methylpyridinium, and m
iodobenzylguanidine]. They were 95 to 146 MUM for hOCT2, providing at most 10%
inhibition based on its clinically reported plasma unbound concentrations (3.6
7.8 MUM). In contrast, cimetidine is a potent inhibitor of MATE1 and MATE2-K with
K(i) values (MUM) of 1.1 to 3.8 and 2.1 to 6.9, respectively. The same tendency
was observed for mouse Oct1 (mOct1), mOct2, and mouse Mate1. Cimetidine showed a
negligible effect on the uptake of metformin by mouse kidney slices at 20 MUM.
Cimetidine was administered to mice by a constant infusion to achieve a plasma
unbound concentration of 21.6 MUM to examine its effect on the renal disposition
of Mate1 probes (metformin, TEA, and cephalexin) in vivo. The kidney- and liver
to-plasma ratios of metformin both were increased 2.4-fold by cimetidine, whereas
the renal clearance was not changed. Cimetidine also increased the kidney-to
plasma ratio of TEA and cephalexin 8.0- and 3.3-fold compared with a control and
decreased the renal clearance from 49 to 23 and 11 to 6.6 ml/min/kg,
respectively. These results suggest that the inhibition of MATEs, but not OCT2,
is a likely mechanism underlying the drug-drug interactions with cimetidine in
renal elimination.
PMID- 22072732
TI - The TM2 6' position of GABA(A) receptors mediates alcohol inhibition.
AB - Ionotropic GABA(A) receptors (GABA(A)Rs), which mediate inhibitory
neurotransmission in the central nervous system, are implicated in the behavioral
effects of alcohol and alcoholism. Site-directed mutagenesis studies support the
presence of discrete molecular sites involved in alcohol enhancement and, more
recently, inhibition of GABA(A)Rs. We used Xenopus laevis oocytes to investigate
the 6' position in the second transmembrane region of GABA(A)Rs as a site
influencing alcohol inhibition. We asked whether modification of the 6' position
by substitution with larger residues or methanethiol labeling [using methyl
methanethiosulfonate (MMTS)] of a substituted cysteine, reduced GABA action
and/or blocked further inhibition by alcohols. Labeling of the 6' position in
either alpha2 or beta2 subunits reduced responses to GABA. In addition, methanol
and ethanol potentiation increased after MMTS labeling or substitution with
tryptophan or methionine, consistent with elimination of an inhibitory site for
these alcohols. Specific alcohols, but not the anesthetic etomidate, competed
with MMTS labeling at the 6' position. We verified a role for the 6' position in
previously tested alpha2beta2 as well as more physiologically relevant
alpha2beta2gamma2s GABA(A)Rs. Finally, we built a novel molecular model based on
the invertebrate glutamate-gated chloride channel receptor, a GABA(A)R homolog,
revealing that the 6' position residue faces the channel pore, and modification
of this residue alters volume and polarity of the pore-facing cavity in this
region. These results indicate that the 6' positions in both alpha2 and beta2
GABA(A)R subunits mediate inhibition by short-chain alcohols, which is consistent
with the presence of multiple counteracting sites of action for alcohols on
ligand-gated ion channels.
PMID- 22072733
TI - Leflunomide induces apoptosis in fludarabine-resistant and clinically refractory
CLL cells.
AB - PURPOSE: Environmental conditions in lymph node proliferation centers protect
chronic lymphocytic leukemia (CLL) cells from apoptotic triggers. This situation
can be mimicked by in vitro stimulation with CD40 ligand (CD40L) and interleukin
4 (IL-4). Our study investigates the impact of the drug leflunomide to overcome
apoptosis resistance of CLL cells. EXPERIMENTAL DESIGN: CLL cells were stimulated
with CD40L and IL-4 and treated with fludarabine and the leflunomide metabolite
A771726. RESULTS: Resistance to fludarabine-mediated apoptosis was induced by
CD40 activation alone stimulating high levels of BCL-XL and MCL1 protein
expression. Apoptosis resistance was further enhanced by a complementary Janus
activated kinase (JAK)/STAT signal induced by IL-4. In contrast, CLL
proliferation required both a CD40 and a JAK/STAT signal and could be completely
blocked by pan-JAK inhibition. Leflunomide (A771726) antagonized CD40L/IL-4
induced proliferation at very low concentrations (3 MUg/mL) reported to inhibit
dihydroorotate dehydrogenase. At a concentration of 10 MUg/mL, A771726
additionally attenuated STAT3/6 phosphorylation, whereas apoptosis of CD40L/IL-4
activated ("resistant") CLL cells was achieved with higher concentrations
(IC(50): 80 MUg/mL). Apoptosis was also effectively induced by A771726 in
clinically refractory CLL cells with and without a defective p53 pathway.
Induction of apoptosis involved inhibition of NF-kappaB activity and loss of BCL
XL and MCL1 expression. In combination with fludarabine, A771726 synergistically
induced apoptosis (IC(50): 56 MUg/mL). CONCLUSION: We thus show that A771726
overcomes CD40L/IL-4-mediated resistance to fludarabine in CLL cells of untreated
as well as clinically refractory CLL cells. We present a possible novel
therapeutic principle for attacking chemoresistant CLL cells.
PMID- 22072734
TI - The importance of doing trials right while doing the right trials.
AB - Effort is being expended in investigating efficiency measures (i.e., doing trials
right) through achievement of accrual and endpoint goals for clinical trials. It
is time to assess the impact of such trials on meeting the critical needs of
cancer patients by establishing effectiveness measures (i.e., doing the right
trials).
PMID- 22072736
TI - Increased activation of the PI3K/AKT pathway compromises decidualization of
stromal cells from endometriosis.
AB - CONTEXT: Endometriosis affects approximately 10% of women in the United States
and causes pain and infertility. Decidualization of endometrial stromal cells
from women with endometriosis is aberrant. OBJECTIVE: The objective of this study
was to investigate a potential mechanism for the inadequate decidual response in
stromal cells from ovarian endometriomas. DESIGN: Stromal cells of the
endometrium from women without endometriosis (HSC) or from ovarian endometriomas
(OsisSC) were grown in culture and treated with 10 MUm LY294002 or 250 nm MK2206,
100 nm medroxyprogesterone acetate (M), and 0.5 mm dibutyryl cAMP (A) or
infection with 100 multiplicity of infection adenoviral constructs containing
wild-type Forkhead box O1 or triple-mutant FOXO1. Real-time PCR was used to
measure the expression of FOXO1, IGF binding protein-1 (IGFBP1), and prolactin
(PRL) mRNA, and Western blot and immunohistochemical staining were used to detect
the levels of progesterone receptor (PR), FOXO1, AKT, and p(Ser473)-AKT protein
in vitro or in vivo. RESULTS: Expression of the decidua-specific genes, IGFBP1
and PRL, were significantly lower in OsisSC compared with normal HSC in response
to M+A treatment. Basal expression levels of PRA, PRB, and FOXO1 proteins were
dramatically lower in OsisSC. Overexpression of triple-mutant FOXO1 increased
mRNA levels of IGFBP1 and PRL in OsisSC in the presence of M+A, whereas the
overexpression of wild-type FOXO1 had no effect. AKT was highly phosphorylated in
OsisSC compared with HSC and inhibition of phosphatidylinositol 3-kinase, with
LY294002, increased levels of FOXO1 protein as well as IGFBP1 mRNA in the
presence of M+A. Moreover, inhibition of AKT with MK2206, an allosteric AKT
inhibitor, dramatically increased the accumulation of nuclear FOXO1 as well as
expression of IGFBP1. Finally, immunohistochemical staining demonstrated higher
p(Ser473)-AKT and lower FOXO1 levels in endometriosis tissues, compared with
normal endometrial tissues. CONCLUSIONS: In endometriotic stromal cells,
overactivation of the phosphatidylinositol 3-kinase/AKT signaling pathway
contributes to the reduced expression of the decidua-specific gene, IGFBP1,
potentially through reduced levels of nuclear FOXO1.
PMID- 22072737
TI - The syndrome of 17,20 lyase deficiency.
AB - CONTEXT: Disorders of steroidogenesis have been instrumental in delineating human
steroidogenic pathways. Each genetic disorder seemed to correspond to a different
steroidogenic activity, helping to identify several enzymes. Beginning in 1972,
several patients have been reported as having "17,20 lyase deficiency," but there
have been inconsistent genetic findings. OBJECTIVE: This manuscript reviews the
biochemistry, genetics, and clinical disorders of 17,20 lyase activity, which
converts 21-carbon precursors of glucocorticoids to 19-carbon precursors of sex
steroids. FINDINGS: A single enzyme, cytochrome P450c17, catalyzes both 17alpha
hydroxylase activity and 17,20 lyase activity. The 17,20 lyase activity is
especially sensitive to the activities of the accessory proteins P450
oxidoreductase and cytochrome b(5). The first cases of genetically and
biochemically proven 17,20 lyase deficiency were reported in 1997, in which
specific P450c17 mutations were identified that lost 17,20 lyase activity but not
17alpha-hydroxylase activity when assayed in vitro. Subsequent work identified
other P450c17 mutations and mutations in the genes encoding P450 oxidoreductase
and cytochrome b(5). Recently, the initially reported cases from 1972 were found
to carry mutations in two aldo-keto reductases, AKR1C2 and AKR1C4. These AKR1C
isozymes catalyze 3alpha-hydroxysteroid dehydrogenase activity in the so-called
"backdoor pathway" by which the fetal testis produces dihydrotestosterone without
the intermediacy of testosterone. CONCLUSIONS: 17,20 Lyase deficiency should be
considered a syndrome with multiple causes, and not a single disease. Study of
this very rare disorder has substantially advanced our understanding of the
pathways, mechanisms, and control of androgen synthesis. Mutations in other, as
yet unidentified genes may also cause this phenotype.
PMID- 22072738
TI - Vitamin D deficiency in obese children and its relationship to glucose
homeostasis.
AB - OBJECTIVES: The aim of the study was to compare the prevalence of vitamin D
deficiency in obese and non-overweight children in North Texas, to examine
relationships between dietary habits and 25-hydroxyvitamin D [25(OH)D] level in
obese children, and to examine the relationship between 25(OH)D level and markers
of abnormal glucose metabolism and blood pressure. PATIENTS AND METHODS: Using a
cross-sectional design, systolic and diastolic blood pressure, dietary
information, serum 25(OH)D, fasting glucose and insulin, 2-h glucose from oral
glucose tolerance test, hemoglobin A1c, and homeostasis model assessment of
insulin resistance were recorded for 411 obese subjects (6-16 yr old) at an
obesity referral clinic. 25(OH)D was also obtained from 87 control non-overweight
subjects (6-16 yr old). RESULTS: Ninety-two percent of obese subjects had a
25(OH)D level below 75 nmol/liter, and 50% were below 50 nmol/liter. Among non
overweight subjects, these frequencies were 68 and 22%, respectively (both P <
0.01 compared with obese subjects). 25(OH)D was negatively associated with soda
intake (P < 0.001), juice intake (P = 0.009), and skipping breakfast (P < 0.001).
25(OH)D was negatively correlated with homeostasis model assessment of insulin
resistance (r = -0.19; P = 0.001) and 2-h glucose (r = -0.12; P = 0.04) after
adjustment for body mass index and age but was not correlated with hemoglobin
A1c, systolic blood pressure Z score, or diastolic blood pressure Z score.
CONCLUSIONS: Vitamin D deficiency is common in children in this southern United
States location and is significantly more prevalent in obese children. Lower
25(OH)D level is associated with risk factors for type 2 diabetes in obese
children.
PMID- 22072739
TI - Diabetes and femoral neck strength: findings from the Hip Strength Across the
Menopausal Transition Study.
AB - CONTEXT: Diabetes mellitus is associated with increased hip fracture risk,
despite being associated with higher bone mineral density in the femoral neck.
OBJECTIVE: The objective of the study was to test the hypothesis that composite
indices of femoral neck strength, which integrate dual-energy x-ray
absorptiometry derived femoral neck size, femoral neck areal bone mineral
density, and body size and are inversely associated with hip fracture risk, would
be lower in diabetics than in nondiabetics and be inversely related to insulin
resistance, the primary pathology in type 2 diabetes. DESIGN: This was a cross
sectional analysis. SETTING AND PARTICIPANTS: The study consisted of a multisite,
multiethnic, community-dwelling sample of 1887 women in pre- or early
perimenopause. OUTCOME MEASUREMENTS: Composite indices for femoral neck strength
in different failure modes (axial compression, bending, and impact) were
measured. RESULTS: Adjusted for age, race/ethnicity, menopausal stage, body mass
index, smoking, physical activity, calcium and vitamin D supplementation, and
study site, diabetic women had higher femoral neck areal bone mineral density
[+0.25 sd, 95% confidence interval (CI) (+0.06, +0.44) sd] but lower composite
strength indices [-0.20 sd, 95% CI (-0.38, -0.03) sd for compression, -0.19 sd,
95% CI (-0.38, -0.003) sd for bending, -0.19 sd, 95% CI (-0.37, -0.02) sd for
impact] than nondiabetic women. There were graded inverse relationships between
homeostasis model-assessed insulin resistance and all three strength indices,
adjusted for the same covariates. CONCLUSIONS: Despite having higher bone
density, diabetic women have lower indices of femoral neck strength relative to
load, consistent with their documented higher fracture risk. Insulin resistance
appears to play an important role in bone strength reduction in diabetes.
PMID- 22072740
TI - Olfactory phenotypic spectrum in idiopathic hypogonadotropic hypogonadism:
pathophysiological and genetic implications.
AB - CONTEXT: The olfactory phenotype in patients with idiopathic hypogonadotropic
hypogonadism (IHH) ranges from complete anosmia (Kallmann syndrome) to normosmia
(normosmic IHH). However, the true prevalence of intermediary olfactory
phenotypes (hyposmia) in IHH patients has not yet been assessed, and systematic
correlations with anatomical and genetic abnormalities have not been reported.
OBJECTIVE: The objective of this study was to evaluate olfactory function in a
large IHH cohort and correlate these findings with olfactory magnetic resonance
imaging (MRI) and underlying genetic etiology. DESIGN AND SETTING: We conducted a
cross-sectional case-control study at an academic referral center. PATIENTS: A
total of 286 IHH patients (201 males and 85 females) and 2183 healthy historic
controls (1011 males and 1172 females) were studied. MAIN OUTCOME MEASURES: We
measured olfactory function using the University of Pennsylvania Smell
Identification Test; in 208 subjects, the genetic etiology of IHH was ascertained
by DNA sequencing; in a minor subset [39 of 286 subjects (13%)], olfactory
structures were determined by MRI. RESULTS: In the IHH cohort, 31.5% were
anosmic, 33.6% were hyposmic, and 34.9% were normosmic. Most hyposmic (seven of
11) subjects with MRI data exhibited olfactory structure abnormalities. Of
hyposmic subjects, 39.5% harbored mutations in genes involved in either GnRH
neuronal migration or GnRH secretion. CONCLUSIONS: IHH subjects display a broad
spectrum of olfactory function, with a significant hyposmic phenotype in nearly
one third of subjects. The hyposmic subjects harbor mutations in genes affecting
GnRH neuronal migration and its secretion, suggesting a pathophysiological
overlap between Kallmann syndrome and normosmic IHH. Accurate olfactory
phenotyping in IHH subjects will inform the pathophysiology of this condition and
guide genetic testing.
PMID- 22072741
TI - Low-dose zoledronate in osteopenic postmenopausal women: a randomized controlled
trial.
AB - CONTEXT: Annual iv administration of 5 mg zoledronate decreases fracture risk.
The skeletal effects of annual treatment with doses of zoledronate under 4 mg
have not been assessed. OBJECTIVE: Our objective was to determine the skeletal
effects of single doses of zoledronate of 5 mg or less. DESIGN, SETTING, AND
PARTICIPANTS: This was a double-blind, randomized, placebo-controlled trial over
1 yr at an academic research center in 180 postmenopausal women with osteopenia.
INTERVENTION: Intervention was a single baseline administration of iv zoledronate
in doses of 1, 2.5, or 5 mg, or placebo. MAIN OUTCOME MEASURES: The primary
endpoint was change in bone mineral density (BMD) at the lumbar spine. Secondary
endpoints were change in BMD at the proximal femur and total body and changes in
biochemical markers of bone turnover. RESULTS: After 12 months, change in spine
BMD was greater in each of the zoledronate groups than in the placebo group [mean
(95% confidence interval) difference vs. placebo was 3.5% (2.2-4.8%) for 1 mg,
4.0% (2.7-5.3%) for 2.5 mg, and 3.6% (2.3-4.9%) for 5 mg zoledronate, P < 0.001
for each dose]. Change in BMD at the total hip was greater in each of the
zoledronate groups than the placebo group [mean (95% confidence interval)
difference vs. placebo was 2.7% (1.9-3.5%) for 1 mg, 3.6% (2.8-4.4%) for 2.5 mg,
and 3.6% (2.8-4.4%) for 5 mg zoledronate, P < 0.001 for each dose]. Each of the
bone turnover markers, beta-C-terminal telopeptide of type I collagen and
procollagen type I N-terminal propeptide, was lower by at least 40% in each of
the zoledronate groups than the placebo group throughout the trial (P < 0.001 vs.
placebo for each marker for each dose). There was evidence for a dose-dependent
effect of zoledronate on each of the markers (P for trend <0.001). CONCLUSION:
Annual administration of doses of iv zoledronate lower than 5 mg produces
substantial antiresorptive effects. Trials assessing the antifracture efficacy of
low doses of zoledronate are justified.
PMID- 22072742
TI - Pioglitazone-mediated changes in lipoprotein particle composition are predicted
by changes in adiponectin level in type 2 diabetes.
AB - CONTEXT: In animal and observational studies, adiponectin is associated with
lipoprotein risk factors for cardiovascular disease. OBJECTIVE: We analyzed data
from a randomized clinical trial to evaluate the relationship between changes in
adiponectin to changes in lipoprotein risk factors after an intervention that
alters adiponectin levels. DESIGN AND SETTING: Adiponectin levels were measured
at baseline and follow-up, as were lipoprotein risk factors for cardiovascular
disease, at academic medical centers and ambulatory care centers. PATIENTS AND
OTHER PARTICIPANTS: Participants included 361 men and women with type 2 diabetes.
INTERVENTION: Intervention included randomization to treatment with glimepiride
or pioglitazone for 72 wk. MAIN OUTCOME MEASURE: The relationship of treatment
related differences in adiponectin level to treatment-related differences in
lipoprotein cardiovascular risk factors at 72 wk was evaluated. RESULTS:
Pioglitazone led to an increase in adiponectin compared with glimepiride.
Compared with baseline, pioglitazone treatment at 72 wk led to an increase in low
density lipoprotein (LDL) and high-density lipoprotein (HDL) particle size and a
decrease in very-low-density lipoprotein (VLDL) particle size and LDL particle
number. Glimepiride treatment more modestly decreased LDL particle number and
increased LDL particle size. At 72 wk, there were significant treatment group
differences for HDL, LDL, and VLDL particle size, and triglyceride and HDL
cholesterol level. The increase in adiponectin predicted treatment-related
improvement for triglyceride and HDL cholesterol level and LDL and HDL particle
size. CONCLUSION: Increased adiponectin contributed to treatment-related benefit
in lipoprotein cardiovascular disease risk factors in obese diabetic subjects
treated with pioglitazone. These results provide support for a model that
mechanistically links changes in adiponectin level to changes in lipoprotein
composition in humans.
PMID- 22072743
TI - BAG3 down-modulation reduces anaplastic thyroid tumor growth by enhancing
proteasome-mediated degradation of BRAF protein.
AB - CONTEXT: Anaplastic thyroid tumors (ATC) express high levels of BAG3, a member of
the BAG family of cochaperone proteins that is involved in regulating cell
apoptosis through multiple mechanisms. OBJECTIVE: The objective of the study was
the investigation of the influence of B-cell lymphoma-2-associated athanogene 3
(BAG3) on ATC growth. DESIGN AND SUBJECTS: We investigated the effects of BAG3
down-modulation, obtained by using a specific small interfering RNA, on in vitro
and in vivo growth of the human ATC cell line 8505C. Because BRAF protein plays
an important role in ATC cell growth, we analyzed the effects of BAG3 down
modulation on BRAF protein levels. Furthermore, by using a proteasome inhibitor,
we verified whether BAG3-mediated regulation of BRAF levels involved a proteasome
dependent mechanism. RESULTS: BAG3 down-modulation significantly inhibits ATC
growth in vitro and in vivo. BAG3 coimmunoprecipitates with BRAF protein, and its
down-modulation results in a significant reduction of BRAF protein levels, which
can be reverted by incubation with the proteasome inhibitor MG132. CONCLUSION:
BAG3 protein sustains ATC growth in vitro and in vivo. The underlying molecular
mechanism appears to rely on BAG3 binding to BRAF, thus protecting it from
proteasome-dependent degradation. These results are in line with the reported
ability of BAG3 to interfere with the proteasomal delivery of a number of other
client proteins.
PMID- 22072744
TI - For protection from HIV-1 infection, more might not be better: a systematic
analysis of HIV Gag epitopes of two alleles associated with different outcomes of
HIV-1 infection.
AB - A subset of women in the Pumwani Sex Worker Cohort, established in 1985 in
Nairobi, Kenya, remains uninfected despite repeated high-risk exposure (HIV
exposed, seronegative [HESN]) through active sex work. This HESN phenotype is
associated with several alleles of human leukocyte antigens (HLAs) and specific
CD8(+) and CD4(+) T cell responses to HIV-1. The associations of HLA alleles with
differential HIV-1 infection are most likely due to their different abilities to
present antigen and the different immune responses they induce. The
characteristics of epitopes of HLA alleles associated with different outcomes of
HIV-1 infection might therefore point to a vital clue for developing an effective
vaccine. In this study, we systematically analyzed HIV-1 clade A and D Gag CD8(+)
T cell epitopes of two HLA class I alleles associated with different outcomes of
HIV-1 infection. Binding affinity and off-rates of the identified epitopes were
determined. Gamma interferon (IFN-gamma) enzyme-linked immunospot (ELISpot)
assays with patient peripheral blood mononuclear cells (PBMCs) validated the
epitopes. Epitope-specific CD8(+) T cells were further phenotyped for memory
markers with tetramer staining. Our study showed that the protective allele
A*01:01 recognizes only three Gag epitopes. By contrast, B*07:02, the allele
associated with susceptibility, binds 30 epitope variants. These two alleles
differ most importantly in the spectrum of Gag epitopes they can present and not
in affinity, off-rates, the location of the epitopes, or epitope-specific Tem/Tcm
frequencies. The binding of more epitopes and strong IFN-gamma ELISpot responses
are associated with susceptibility to HIV-1 infection, while more focused antigen
recognition of multiple subtypes is protective. Rational vaccine design should
take these observations into account.
PMID- 22072745
TI - Direct interaction of baculovirus capsid proteins VP39 and EXON0 with kinesin-1
in insect cells determined by fluorescence resonance energy transfer-fluorescence
lifetime imaging microscopy.
AB - Autographa californica multiple nucleopolyhedrovirus (AcMNPV) replicates in the
nucleus of insect cells to produce nucleocapsids, which are transported from the
nucleus to the plasma membrane for budding through GP64-enriched areas to form
budded viruses. However, little is known about the anterograde trafficking of
baculovirus nucleocapsids in insect cells. Preliminary confocal scanning laser
microscopy studies showed that enhanced green fluorescent protein (EGFP)-tagged
nucleocapsids and capsid proteins aligned and colocalized with the peripheral
microtubules of virus-infected insect cells. A colchicine inhibition assay of
virus-infected insect cells showed a significant reduction in budded virus
production, providing further evidence for the involvement of microtubules and
suggesting a possible role of kinesin in baculovirus anterograde trafficking. We
investigated the interaction between AcMNPV nucleocapsids and kinesin-1 with
fluorescence resonance energy transfer-fluorescence lifetime imaging microscopy
(FRET-FLIM) and show for the first time that AcMNPV capsid proteins VP39 and
EXON0, but not Orf1629, interact with the tetratricopeptide repeat (TPR) domain
of kinesin. The excited-state fluorescence lifetime of EGFP fused to VP39 or
EXON0 was quenched from 2.4 +/- 1 ns to 2.1 +/- 1 ns by monomeric fluorescent
protein (mDsRed) fused to TPR (mDsRed-TPR). However, the excited-state
fluorescence lifetime of an EGFP fusion of Orf1629 remained unquenched by mDsRed
TPR. These data indicate that kinesin-1 plays an important role in the
anterograde trafficking of baculovirus in insect cells.
PMID- 22072746
TI - Adenovirus serotype 5-specific neutralizing antibodies target multiple hexon
hypervariable regions.
AB - The immunogenicity of adenovirus serotype 5 (Ad5) vectors has been shown to be
suppressed by neutralizing antibodies (NAbs) directed primarily against the hexon
hypervariable regions (HVRs). We previously reported that replacing all seven
HVRs with those from the rare serotype virus Ad48 resulted in a chimeric
Ad5HVR48(1-7) vector that largely evaded preexisting Ad5 immunity in mice and
rhesus monkeys. In this study, we evaluated the extent to which Ad5-specific NAbs
are directed against various HVRs. We constructed partial HVR-chimeric Ad5
vectors with only a subset of HVRs exchanged, and we utilized these vectors in
both NAb assays and murine immunogenicity studies with and without baseline Ad5
immunity. Our results demonstrate that Ad5-specific NAbs target multiple HVRs,
suggesting that replacing all HVRs is required to optimize evasion of anti-Ad5
immunity. These data have important implications for the development of novel
vectors for both vaccines and gene therapy.
PMID- 22072747
TI - Cross-species transmission of simian foamy virus to humans in rural Gabon,
Central Africa.
AB - In order to characterize simian foamy retroviruses (SFVs) in wild-born nonhuman
primates (NHPs) in Gabon and to investigate cross-species transmission to humans,
we obtained 497 NHP samples, composed of 286 blood and 211 tissue (bush meat)
samples. Anti-SFV antibodies were found in 31 of 286 plasma samples (10.5%). The
integrase gene sequence was found in 38/497 samples, including both blood and
tissue samples, with novel SFVs in several Cercopithecus species. Of the 78
humans, mostly hunters, who had been bitten or scratched by NHPs, 19 were SFV
seropositive, with 15 cases confirmed by PCR. All but one were infected with ape
SFV. We thus found novel SFV strains in NHPs in Gabon and high cross-species
transmission of SFVs from gorilla bites.
PMID- 22072748
TI - Measles virus C protein interferes with Beta interferon transcription in the
nucleus.
AB - Transcriptional induction of beta interferon (IFN-beta) through pattern
recognition receptors is a key event in the host defense against invading
viruses. Infection of cells by paramyxoviruses, like measles virus (MV) (genus
Morbillivirus), is sensed predominantly by the ubiquitous cytoplasmic helicase
RIG-I, recognizing viral 5'-triphosphate RNAs, and to some degree by MDA5. While
MDA5 activation is effectively prevented by the MV V protein, the viral
mechanisms for inhibition of MDA5-independent induction of IFN-beta remained
obscure. Here, we identify the 186-amino-acid MV C protein, which shuttles
between the nucleus and the cytoplasm, as a major viral inhibitor of IFN-beta
transcription in human cells. Activation of the transcription factor IRF3 by
upstream kinases and nuclear import of activated IRF3 were not affected in the
presence of C protein, suggesting a nuclear target. Notably, C proteins of wild
type MV isolates, which are poor IFN-beta inducers, were found to comprise a
canonical nuclear localization signal (NLS), whereas the NLSs of all vaccine
strains, irrespective of their origins, were mutated. Site-directed mutagenesis
of the C proteins from an MV wild-type isolate and from the vaccine virus strain
Schwarz confirmed a correlation of nuclear localization and inhibition of IFN
beta transcription. A functional NLS and efficient nuclear accumulation are
therefore critical for MV C to retain its potential to downregulate IFN-beta
induction. We suggest that a defect in efficient nuclear import of C protein
contributes to attenuation of MV vaccine strains.
PMID- 22072749
TI - Evidence against extracellular exposure of a highly immunogenic region in the C
terminal domain of the simian immunodeficiency virus gp41 transmembrane protein.
AB - The generally accepted model for human immunodeficiency virus type 1 (HIV-1)
envelope glycoprotein topology includes a single membrane-spanning domain. An
alternate model has been proposed which features multiple membrane-spanning
domains. Consistent with the alternate model, a high percentage of HIV-1-infected
individuals produce unusually robust antibody responses to a region of envelope,
the so-called "Kennedy epitope," that in the conventional model should be in the
cytoplasm. Here we show analogous, robust antibody responses in simian
immunodeficiency virus SIVmac239-infected rhesus macaques to a region of
SIVmac239 envelope located in the C-terminal domain, which in the conventional
model should be inside the cell. Sera from SIV-infected rhesus macaques
consistently reacted with overlapping oligopeptides corresponding to a region
located within the cytoplasmic domain of gp41 by the generally accepted model, at
intensities comparable to those observed for immunodominant areas of the surface
component gp120. Rabbit serum raised against this highly immunogenic region (HIR)
reacted with SIV envelope in cell surface-staining experiments, as did monoclonal
anti-HIR antibodies isolated from an SIVmac239-infected rhesus macaque. However,
control experiments demonstrated that this surface staining could be explained in
whole or in part by the release of envelope protein from expressing cells into
the supernatant and the subsequent attachment to the surfaces of cells in the
culture. Serum and monoclonal antibodies directed against the HIR failed to
neutralize even the highly neutralization-sensitive strain SIVmac316.
Furthermore, a potential N-linked glycosylation site located close to the HIR and
postulated to be outside the cell in the alternate model was not glycosylated. An
artificially introduced glycosylation site within the HIR was also not utilized
for glycosylation. Together, these data support the conventional model of SIV
envelope as a type Ia transmembrane protein with a single membrane-spanning
domain and without any extracellular loops.
PMID- 22072750
TI - Covariation of major and minor viral capsid proteins in norovirus genogroup II
genotype 4 strains.
AB - We report sequence hypervariability in the viral protein 1 (VP1) interaction
domain of VP2 in the norovirus (NoV) genogroup II genotype 4 (GII.4) lineage on 3
levels: (i) the global evolution of pandemic/epidemic strains from the mid-1970s
through post-2006, (ii) the local emergence of an epidemic strain, and (iii) an
immunocompromised patient chronically shedding NoV. When a quantitative yeast two
hybrid assay was used, VP2 was found to interact with VP1 in a time-ordered,
strain-dependent manner among 3 NoV GII.4 strains. Our findings suggest that VP1
and VP2 may covary in virus evolution and that sequence hypervariability of VP2
may be functionally driven. Further investigations are warranted.
PMID- 22072751
TI - IkappaB kinase epsilon-dependent phosphorylation and degradation of X-linked
inhibitor of apoptosis sensitizes cells to virus-induced apoptosis.
AB - X-linked inhibitor of apoptosis (XIAP) is a potent antagonist of caspase 3-, 7-,
and 9-dependent apoptotic activities that functions as an E3 ubiquitin ligase,
and it targets caspases for degradation. In this study, we demonstrate that
Sendai virus (SeV) infection results in the IKKepsilon- or TBK1-mediated
phosphorylation of XIAP in vivo at Ser430, resulting in Lys(48)-linked
autoubiquitination at Lys322/328 residues, followed by the subsequent proteasomal
degradation of XIAP. Interestingly, IKKepsilon expression and XIAP turnover
increases SeV-triggered mitochondrion-dependent apoptosis via the release of
caspase 3, whereas TBK1 expression does not increase apoptosis. Interestingly,
phosphorylation also regulates XIAP interaction with the transcription factor
IRF3, suggesting a role in IRF3-Bax-mediated apoptosis. Our findings reveal a
novel function of IKKepsilon as a regulator of the virus-induced triggering of
apoptosis via the phosphorylation-dependent turnover of XIAP.
PMID- 22072752
TI - Combination of PB2 271A and SR polymorphism at positions 590/591 is critical for
viral replication and virulence of swine influenza virus in cultured cells and in
vivo.
AB - Triple reassortant swine influenza viruses (SIVs) and 2009 pandemic H1N1 (pH1N1)
virus contain an avian-origin PB2 with 271A, 590S, 591R, and 627E. To evaluate
the role of PB2 271A, 590S, and 591R in the replication and virulence of SIV,
single (1930-TX98-PB2-271T)-, double (1930-TX98-PB2-590A591A)-, and triple (1930
TX98-PB2-271T590A591A)-mutated viruses were generated in the background of the
H1N1 A/swine/Iowa/15/30 (1930) virus with an avian-origin PB2 from the triple
reassortant A/swine/Texas/4199-2/98 (TX98) virus, called the parental 1930-TX98
PB2. Compared to parental virus and single- and double-mutated viruses, the
triple-mutated virus replicated less efficiently in cell cultures and was
attenuated in mice. These results suggest that a combination of 271A with the
590/591 SR polymorphism is critical for pH1N1 and triple-reassortant SIVs for
efficient replication and adaptation in mammals.
PMID- 22072753
TI - Polyfunctional T cells accumulate in large human cytomegalovirus-specific T cell
responses.
AB - Large cytomegalovirus (CMV)-specific CD8 T-cell responses are observed in both
young and, somewhat more often, old people. Frequent CMV reactivation is thought
to exhaust these cells and render them dysfunctional so that larger numbers of
them are needed to control CMV. Expansions of CMV-specific CD4 T cells are also
seen but are less well studied. In this study, we examined the T-cell response to
the dominant CMV pp65 and IE-1 antigens in healthy CMV-infected people across a
wide age range (20 to 84 years) by using multicolor flow cytometry. CMV-specific
T cells were characterized by the activation markers CD40 ligand (CD40L),
interleukin-2 (IL-2), tumor necrosis factor alpha (TNF-alpha), and gamma
interferon (IFN-gamma) and the memory markers CD27 and CD45RA. The proportions of
effector memory T cells increased in large responses, as did the proportions of
polyfunctional CD8 (IFN-gamma(+) IL-2(+/-) TNF-alpha(+)) and CD4 (CD40L(+/-) IFN
gamma(+) IL-2(+) TNF-alpha(+)) T-cell subsets, while the proportion of naive T
cells decreased. The bigger the CD4 or CD8 T-cell response to pp65, the larger
was the proportion of T cells with an advanced memory phenotype in the entire
(including non-CMV-specific) T-cell compartment. In addition, the number of
activation markers per cell correlated with the degree of T-cell receptor
downregulation, suggesting increased antigen sensitivity in polyfunctional cells.
In summary, our findings show that polyfunctional CMV-specific T cells were not
superseded by dysfunctional cells, even in very large responses. At the same
time, however, the memory subset composition of the entire T-cell compartment
correlated with the size of the T-cell response to CMV pp65, confirming a strong
effect of CMV infection on the immune systems of some, but not all, infected
people.
PMID- 22072754
TI - Structural map of a microRNA-122: hepatitis C virus complex.
AB - MicroRNA-122 (miR-122) enhances hepatitis C virus (HCV) fitness via targeting two
sites in the 5'-untranslated region (UTR) of HCV. We used selective 2'-hydroxyl
acylation analyzed by primer extension to resolve the HCV 5'-UTR's RNA secondary
structure in the presence of miR-122. Nearly all nucleotides in miR-122 are
involved in targeting the second site, beyond classic seed base pairings. These
additional interactions enhance HCV replication in cell culture. To our
knowledge, this is the first biophysical study of this complex to reveal the
importance of 'tail' miR-122 nucleotide interactions.
PMID- 22072755
TI - Viral adaptation to host immune responses occurs in chronic hepatitis B virus
(HBV) infection, and adaptation is greatest in HBV e antigen-negative disease.
AB - Hepatitis B virus (HBV)-specific T-cell responses are important in the natural
history of HBV infection. The number of known HBV-specific T-cell epitopes is
limited, and it is not clear whether viral evolution occurs in chronic HBV
infection. We aimed to identify novel HBV T-cell epitopes by examining the
relationship between HBV sequence variation and the human leukocyte antigen (HLA)
type in a large prospective clinic-based cohort of Asian patients with chronic
HBV infection recruited in Australia and China (n = 119). High-resolution 4-digit
HLA class I and II typing and full-length HBV sequencing were undertaken for
treatment-naive individuals (52% with genotype B, 48% with genotype C, 63% HBV e
antigen [HBeAg] positive). Statistically significant associations between HLA
types and HBV sequence variation were identified (n = 49) at 41 sites in the HBV
genome. Using prediction programs, we determined scores for binding between
peptides containing these polymorphisms and associated HLA types. Among the
regions that could be tested, HLA binding was predicted for 14/18 (78%). We
identified several HLA-associated polymorphisms involving likely known anchor
residues that resulted in altered predicted binding scores. Some HLA-associated
polymorphisms fell within known T-cell epitopes with matching HLA restriction.
Enhanced viral adaptation (defined as the presence of the relevant HLA and the
escaped amino acid) was independently associated with HBeAg-negative disease (P =
0.003). Thus, HBV appears to be under immune pressure in chronic HBV infection,
particularly in HBeAg-negative disease.
PMID- 22072756
TI - Chicken cells sense influenza A virus infection through MDA5 and CARDIF signaling
involving LGP2.
AB - Avian influenza viruses (AIV) raise worldwide veterinary and public health
concerns due to their potential for zoonotic transmission. While infection with
highly pathogenic AIV results in high mortality in chickens, this is not
necessarily the case in wild birds and ducks. It is known that innate immune
factors can contribute to the outcome of infection. In this context, retinoic
acid-inducible gene I (RIG-I) is the main cytosolic pattern recognition receptor
known for detecting influenza A virus infection in mammalian cells. Chickens,
unlike ducks, lack RIG-I, yet chicken cells do produce type I interferon (IFN) in
response to AIV infection. Consequently, we sought to identify the cytosolic
recognition elements in chicken cells. Chicken mRNA encoding the putative chicken
analogs of CARDIF and LGP2 (chCARDIF and chLGP2, respectively) were identified.
HT7-tagged chCARDIF was observed to associate with mitochondria in chicken DF-1
fibroblasts. The exogenous expression of chCARDIF, as well as of the caspase
activation and recruitment domains (CARDs) of the chicken melanoma
differentiation-associated protein 5 (chMDA5), strongly activated the chicken IFN
beta (chIFN-beta) promoter. The silencing of chMDA5, chCARDIF, and chIRF3 reduced
chIFN-beta levels induced by AIV, indicating their involvement in AIV sensing. As
with mammalian cells, chLGP2 had opposing effects. While overexpression decreased
the activation of the chIFN-beta promoter, the silencing of endogenous chLGP2
reduced chIFN-beta induced by AIV. We finally demonstrate that the chMDA5
signaling pathway is inhibited by the viral nonstructural protein 1. In
conclusion, chicken cells, including DF-1 fibroblasts and HD-11 macrophage-like
cells, employ chMDA5 for sensing AIV.
PMID- 22072757
TI - Activation of NF-kappaB in CD8+ dendritic cells Ex Vivo by the gamma134.5 null
mutant correlates with immunity against herpes simplex virus 1.
AB - The gamma(1)34.5 protein of herpes simplex viruses (HSV) is essential for
virulence. Accordingly, an HSV mutant lacking gamma(1)34.5 is attenuated in vivo.
Despite its vaccine potential, the mechanism by which the gamma(1)34.5 null
mutant triggers protective immunity is unknown. In this report we show that
vaccination with the gamma(1)34.5 null mutant protects against lethal challenge
from wild-type virus via IkappaB kinase in dendritic cells (DCs), which sense
virus-associated molecular patterns. Unlike mock-treated DCs, DCs primed with the
gamma(1)34.5 null mutant ex vivo mediate resistance to wild-type HSV after
adoptive transfer into naive mice. Furthermore, the gamma(1)34.5 null mutant
activates IkappaB kinase, which facilitates p65/RelA phosphorylation and nuclear
translocation, resulting in DC maturation. While unable to produce infectious
virus in DCs, this mutant virus expresses early and late genes. In its abortive
infection, the gamma(1)34.5 null mutant induces protective immunity more
effectively in CD8(+) DCs than in CD8(-) DCs. This is mirrored by a higher level
of interleukin-6 (IL-6) and IL-12 secretion by CD8(+) DCs than CD8(-) DCs.
Remarkably, inhibition of p65/RelA phosphorylation or nuclear translocation in
CD8(+) DCs disrupts protective immunity. These results suggest that engagement of
the gamma(1)34.5 null mutant with CD8(+) DCs elicits innate immunity to activate
NF-kappaB, which translates into protective immunity.
PMID- 22072759
TI - Escape from a dominant HLA-B*15-restricted CD8+ T cell response against hepatitis
C virus requires compensatory mutations outside the epitope.
AB - Antiviral CD8(+) T cells are a key component of the adaptive immune system
against hepatitis C virus (HCV). For the development of immune therapies, it is
essential to understand how CD8(+) T cells contribute to clearance of infection
and why they fail so often. A mechanism for secondary failure is mutational
escape of the virus. However, some substitutions in viral epitopes are associated
with fitness costs and often require compensatory mutations. We hypothesized that
compensatory mutations may point toward epitopes under particularly strong
selection pressure that may be beneficial for vaccine design because of a higher
genetic barrier to escape. We previously identified two HLA-B*15-restricted
CD8(+) epitopes in NS5B (LLRHHNMVY(2450-2458) and SQRQKKVTF(2466-2474)), based on
sequence analysis of a large HCV genotype 1b outbreak. Both epitopes are targeted
in about 70% of HLA-B*15-positive individuals exposed to HCV. Reproducible
selection of escape mutations was confirmed in an independent multicenter cohort
in the present study. Interestingly, mutations were also selected in the epitope
flanking region, suggesting that compensatory evolution may play a role.
Covariation analysis of sequences from the database confirmed a significant
association between escape mutations inside one of the epitopes (H2454R and
M2456L) and substitutions in the epitope flanking region (S2439T and K2440Q).
Functional analysis with the subgenomic replicon Con1 confirmed that the primary
escape mutations impaired viral replication, while fitness was restored by the
additional substitutions in the epitope flanking region. We concluded that
selection of escape mutations inside an HLA-B*15 epitope requires secondary
substitutions in the epitope flanking region that compensate for fitness costs.
PMID- 22072758
TI - Potent autologous and heterologous neutralizing antibody responses occur in HIV-2
infection across a broad range of infection outcomes.
AB - Few studies have explored the role of neutralizing antibody (NAb) responses in
controlling HIV-2 viremia and disease progression. Using a TZM-bl neutralization
assay, we assessed heterologous and autologous NAb responses from a community
cohort of HIV-2-infected individuals with a broad range of disease outcomes in
rural Guinea-Bissau. All subjects (n = 40) displayed exceptionally high
heterologous NAb titers (50% inhibitory plasma dilution or 50% inhibitory
concentration [IC(50)], 1:7,000 to 1:1,000,000) against 5 novel primary HIV-2
envelopes and HIV-2 7312A, whereas ROD A and 3 primary envelopes were relatively
resistant to neutralization. Most individuals also showed high autologous NAb
against contemporaneous envelopes (78% of plasma-envelope combinations in 69
envelopes from 21 subjects), with IC(50)s above 1:10,000. No association between
heterologous or autologous NAb titer and greater control of HIV-2 was found. A
subset of envelopes was found to be more resistant to neutralization (by plasma
and HIV-2 monoclonal antibodies). These envelopes were isolated from individuals
with greater intrapatient sequence diversity and were associated with changes in
potential N-linked glycosylation sites but not CD4 independence or CXCR4 use.
Plasma collected from up to 15 years previously was able to potently neutralize
recent autologous envelopes, suggesting a lack of escape from NAb and the
persistence of neutralization-sensitive variants over time, despite significant
NAb pressure. We conclude that despite the presence of broad and potent NAb
responses in HIV-2-infected individuals, these are not the primary forces behind
the dichotomous outcomes observed but reveal a limited capacity for adaptive
selection and escape from host immunity in HIV-2 infection.
PMID- 22072760
TI - Conserved glycine 33 residue in flexible domain I of hepatitis C virus core
protein is critical for virus infectivity.
AB - Hepatitis C virus core protein forms the viral nucleocapsid and plays a critical
role in the formation of infectious particles. In this study, we demonstrate that
the highly conserved residue G33, located within domain 1 of the core protein, is
important for the production of cell culture-infectious virus (HCVcc). Alanine
substitution at this position in the JFH1 genome did not alter viral RNA
replication but reduced infectivity by ~2 logs. Virus production by this core
mutant could be rescued by compensatory mutations located immediately upstream
and downstream of the original G33A mutation. The examination of the helix-loop
helix motif observed in the core protein structure (residues 15 to 41; Protein
Data Bank entry 1CWX) indicated that the residues G33 and F24 are in close
contact with each other, and that the G33A mutation induces a steric clash with
F24. Molecular simulations revealed that the compensatory mutations increase the
helix-loop-helix flexibility, allowing rescue of the core active conformation
required for efficient virus production. Taken together, these data highlight the
plasticity of core domain 1 conformation and illustrate the relationship between
its structural tolerance to mutations and virus infectivity.
PMID- 22072761
TI - Crystallographic analysis reveals octamerization of viroplasm matrix protein P9-1
of Rice black streaked dwarf virus.
AB - The P9-1 protein of Rice black streaked dwarf virus accumulates in viroplasm
inclusions, which are structures that appear to play an important role in viral
morphogenesis and are commonly found in viruses in the family Reoviridae.
Crystallographic analysis of P9-1 revealed structural features that allow the
protein to form dimers via hydrophobic interactions. Each dimer has carboxy
terminal regions, resembling arms, that extend to neighboring dimers, thereby
uniting sets of four dimers via lateral hydrophobic interactions, to yield
cylindrical octamers. The importance of these regions for the formation of
viroplasm-like inclusions was confirmed by the absence of such inclusions when P9
1 was expressed without its carboxy-terminal arm. The octamers are vertically
elongated cylinders resembling the structures formed by NSP2 of rotavirus, even
though there are no significant similarities between the respective primary and
secondary structures of the two proteins. Our results suggest that an octameric
structure with an internal pore might be important for the functioning of the
respective proteins in the events that occur in the viroplasm, which might
include viral morphogenesis.
PMID- 22072762
TI - Uncoupling cis-Acting RNA elements from coding sequences revealed a requirement
of the N-terminal region of dengue virus capsid protein in virus particle
formation.
AB - Little is known about the mechanism of flavivirus genome encapsidation. Here,
functional elements of the dengue virus (DENV) capsid (C) protein were
investigated. Study of the N-terminal region of DENV C has been limited by the
presence of overlapping cis-acting RNA elements within the protein-coding region.
To dissociate these two functions, we used a recombinant DENV RNA with a
duplication of essential RNA structures outside the C coding sequence. By the use
of this system, the highly conserved amino acids FNML, which are encoded in the
RNA cyclization sequence 5'CS, were found to be dispensable for C function. In
contrast, deletion of the N-terminal 18 amino acids of C impaired DENV particle
formation. Two clusters of basic residues (R5-K6-K7-R9 and K17-R18-R20-R22) were
identified as important. A systematic mutational analysis indicated that a high
density of positive charges, rather than particular residues at specific
positions, was necessary. Furthermore, a differential requirement of N-terminal
sequences of C for viral particle assembly was observed in mosquito and human
cells. While no viral particles were observed in human cells with a virus lacking
the first 18 residues of C, DENV propagation was detected in mosquito cells,
although to a level about 50-fold less than that observed for a wild-type (WT)
virus. We conclude that basic residues at the N terminus of C are necessary for
efficient particle formation in mosquito cells but that they are crucial for
propagation in human cells. This is the first report demonstrating that the N
terminus of C plays a role in DENV particle formation. In addition, our results
suggest that this function of C is differentially modulated in different host
cells.
PMID- 22072763
TI - Role of multiple hosts in the cross-species transmission and emergence of a
pandemic parvovirus.
AB - Understanding the mechanisms of cross-species virus transmission is critical to
anticipating emerging infectious diseases. Canine parvovirus type 2 (CPV-2)
emerged as a variant of a feline parvovirus when it acquired mutations that
allowed binding to the canine transferrin receptor type 1 (TfR). However, CPV-2
was soon replaced by a variant virus (CPV-2a) that differed in antigenicity and
receptor binding. Here we show that the emergence of CPV involved an additional
host range variant virus that has circulated undetected in raccoons for at least
24 years, with transfers to and from dogs. Raccoon virus capsids showed little
binding to the canine TfR, showed little infection of canine cells, and had
altered antigenic structures. Remarkably, in capsid protein (VP2) phylogenies,
most raccoon viruses fell as evolutionary intermediates between the CPV-2 and CPV
2a strains, suggesting that passage through raccoons assisted in the evolution of
CPV-2a. This highlights the potential role of alternative hosts in viral
emergence.
PMID- 22072764
TI - Genetic inactivation of COPI coatomer separately inhibits vesicular stomatitis
virus entry and gene expression.
AB - Viruses coopt cellular membrane transport to invade cells, establish
intracellular sites of replication, and release progeny virions. Recent genome
wide RNA interference (RNAi) screens revealed that genetically divergent viruses
require biosynthetic membrane transport by the COPI coatomer complex for
efficient replication. Here we found that disrupting COPI function by RNAi
inhibited an early stage of vesicular stomatitis virus (VSV) replication. To
dissect which replication stage(s) was affected by coatomer inactivation, we used
visual and biochemical assays to independently measure the efficiency of viral
entry and gene expression in hamster (ldlF) cells depleted of the temperature
sensitive epsilon-COP subunit. We show that epsilon-COP depletion for 12 h caused
a primary block to virus internalization and a secondary defect in viral gene
expression. Using brefeldin A (BFA), a chemical inhibitor of COPI function, we
demonstrate that short-term (1-h) BFA treatments inhibit VSV gene expression,
while only long-term (12-h) treatments block virus entry. We conclude that
prolonged coatomer inactivation perturbs cellular endocytic transport and thereby
indirectly impairs VSV entry. Our results offer an explanation of why COPI
coatomer is frequently identified in screens for cellular factors that support
cell invasion by microbial pathogens.
PMID- 22072765
TI - Human pulmonary microvascular endothelial cells support productive replication of
highly pathogenic avian influenza viruses: possible involvement in the
pathogenesis of human H5N1 virus infection.
AB - Highly pathogenic avian influenza (HPAI) H5N1 viruses continue to cause sporadic
human infections with a high fatality rate. Respiratory failure due to acute
respiratory distress syndrome (ARDS) is a complication among hospitalized
patients. Since progressive pulmonary endothelial damage is the hallmark of ARDS,
we investigated host responses following HPAI virus infection of human pulmonary
microvascular endothelial cells. Evaluation of these cells for the presence of
receptors preferred by influenza virus demonstrated that avian-like (alpha2-3
linked) receptors were more abundant than human-like (alpha2-6-linked) receptors.
To test the permissiveness of pulmonary endothelial cells to virus infection, we
compared the replication of selected seasonal, pandemic (2009 H1N1 and 1918), and
potentially pandemic (H5N1) influenza virus strains. We observed that these cells
support productive replication only of HPAI H5N1 viruses, which preferentially
enter through and are released from the apical surface of polarized human
endothelial monolayers. Furthermore, A/Thailand/16/2004 and A/Vietnam/1203/2004
(VN/1203) H5N1 viruses, which exhibit heightened virulence in mammalian models,
replicated to higher titers than less virulent H5N1 strains. VN/1203 infection
caused a significant decrease in endothelial cell proliferation compared to other
subtype viruses. VN/1203 virus was also found to be a potent inducer of cytokines
and adhesion molecules known to regulate inflammation during acute lung injury.
Deletion of the H5 hemagglutinin (HA) multibasic cleavage site did not affect
virus infectivity but resulted in decreased virus replication in endothelial
cells. Our results highlight remarkable tropism and infectivity of the H5N1
viruses for human pulmonary endothelial cells, resulting in the potent induction
of host inflammatory responses.
PMID- 22072766
TI - Durable protection from vaginal simian-human immunodeficiency virus infection in
macaques by tenofovir gel and its relationship to drug levels in tissue.
AB - A vaginal gel containing 1% tenofovir (TFV) was found to be safe and effective in
reducing HIV infection in women when used pericoitally. Because of the long
intracellular half-life of TFV and high drug exposure in vaginal tissues, we
hypothesized that a vaginal gel containing TFV may provide long-lasting
protection. Here, we performed delayed-challenge experiments and showed that
vaginal 1% TFV gel protected 4/6 macaques against vaginal simian-human
immunodeficiency virus (SHIV) exposures occurring 3 days after gel application,
demonstrating long-lasting protection. Despite continued gel dosing
postinfection, neither breakthrough infection had evidence of drug resistance by
ultrasensitive testing of SHIV in plasma and vaginal lavage. Analysis of the
active intracellular tenofovir diphosphate (TFV-DP) in vaginal lymphocytes
collected 4 h to 3 days after gel dosing persistently showed high TFV-DP levels
(median, 1,810 fmol/10(6) cells) between 4 and 24 h that exceed the 95%
inhibitory concentration (IC(95)), reflecting rapid accumulation and long
persistence. In contrast to those in peripheral blood mononuclear cells (PBMCs)
following oral dosing, TFV-DP levels in vaginal lymphocytes decreased
approximately 7-fold by 3 days, exhibiting a much higher rate of decay. We
observed a strong correlation between intracellular TFV-DP in vaginal
lymphocytes, in vitro antiviral activity, and in vivo protection, suggesting that
TFV-DP above the in vitro IC(95) in vaginal lymphocytes is a good predictor of
high efficacy. Data from this model reveal an extended window of protection by
TFV gel that supports coitus-independent use. The identification of protective
TFV-DP concentrations in vaginal lymphocytes may facilitate the evaluation of
improved delivery methods of topical TFV and inform clinical studies.
PMID- 22072767
TI - Proteomic profiling of the human cytomegalovirus UL35 gene products reveals a
role for UL35 in the DNA repair response.
AB - Human cytomegalovirus infections involve the extensive modification of host cell
pathways, including cell cycle control, the regulation of the DNA damage
response, and averting promyelocytic leukemia (PML)-mediated antiviral responses.
The UL35 gene from human cytomegalovirus is important for viral gene expression
and efficient replication and encodes two proteins, UL35 and UL35a, whose
mechanism of action is not well understood. Here, affinity purification coupled
with mass spectrometry was used to identify previously unknown human cellular
targets of UL35 and UL35a. We demonstrate that both viral proteins interact with
the ubiquitin-specific protease USP7, and that UL35 expression can alter USP7
subcellular localization. In addition, UL35 (but not UL35a) was found to
associate with three components of the Cul4(DCAF1) E3 ubiquitin ligase complex
(DCAF1, DDB1, and DDA1) previously shown to be targeted by the HIV-1 Vpr protein.
The coimmunoprecipitation and immunofluorescence microscopy of DCAF1 mutants
revealed that the C-terminal region of DCAF1 is required for association with
UL35 and mediates the dramatic relocalization of DCAF1 to UL35 nuclear bodies,
which also contain conjugated ubiquitin. As previously reported for the Vpr-DCAF1
interaction, UL35 (but not UL35a) expression resulted in the accumulation of
cells in the G(2) phase of the cell cycle, which is typical of a DNA damage
response, and activated the G(2) checkpoint in a DCAF1-dependent manner. In
addition, UL35 (but not UL35a) induced gamma-H2AX and 53BP1 foci, indicating the
activation of DNA damage and repair responses. Therefore, the identified
interactions suggest that UL35 can contribute to viral replication through the
manipulation of host responses.
PMID- 22072768
TI - RNA interference against animal viruses: how morbilliviruses generate extended
diversity to escape small interfering RNA control.
AB - Viruses are serious threats to human and animal health. Vaccines can prevent
viral diseases, but few antiviral treatments are available to control evolving
infections. Among new antiviral therapies, RNA interference (RNAi) has been the
focus of intensive research. However, along with the development of efficient
RNAi-based therapeutics comes the risk of emergence of resistant viruses. In this
study, we challenged the in vitro propensity of a morbillivirus (peste des petits
ruminants virus), a stable RNA virus, to escape the inhibition conferred by
single or multiple small interfering RNAs (siRNAs) against conserved regions of
the N gene. Except with the combination of three different siRNAs, the virus
systematically escaped RNAi after 3 to 20 consecutive passages. The genetic
modifications involved consisted of single or multiple point nucleotide mutations
and a deletion of a stretch of six nucleotides, illustrating that this virus has
an unusual genomic malleability.
PMID- 22072769
TI - HIV latency in the humanized BLT mouse.
AB - Even after extended treatment with powerful antiretroviral drugs, HIV is not
completely eliminated from infected individuals. Latently infected CD4(+) T cells
constitute one reservoir of replication-competent HIV that needs to be eliminated
to completely purge virus from antiretroviral drug-treated patients. However, a
major limitation in the development of therapies to eliminate this latent
reservoir is the lack of relevant in vivo models that can be used to test purging
strategies. Here, we show that the humanized BLT (bone marrow-liver-thymus) mouse
can be used as both an abundant source of primary latently infected cells for ex
vivo latency analysis and also as an in vivo system for the study of latency. We
demonstrate that over 2% of human cells recovered from the spleens of HIV
infected BLT mice can be latently infected and that this virus is integrated,
activation inducible, and replication competent. The non-tumor-inducing phorbol
esters prostratin and 12-deoxyphorbol-13-phenylacetate can each induce HIV ex
vivo from these latently infected cells, indicating that this model can be used
as a source of primary cells for testing latency activators. Finally, we show
activation-inducible virus is still present following suppression of plasma viral
loads to undetectable levels by using the antiretroviral drugs zidovudine,
indinavir sulfate, and didanosine, demonstrating that this model can also be used
to assess the in vivo efficacy of latency-purging strategies. Therefore, the HIV
infected BLT mouse should provide a useful model for assessment of HIV latency
activators and approaches to eliminate persistent in vivo HIV reservoirs.
PMID- 22072770
TI - Contributions of CTCF and DNA methyltransferases DNMT1 and DNMT3B to Epstein-Barr
virus restricted latency.
AB - Establishment of persistent Epstein-Barr virus (EBV) infection requires
transition from a program of full viral latency gene expression (latency III) to
one that is highly restricted (latency I and 0) within memory B lymphocytes. It
is well established that DNA methylation plays a critical role in EBV gene
silencing, and recently the chromatin boundary protein CTCF has been implicated
as a pivotal regulator of latency via its binding to several loci within the EBV
genome. One notable site is upstream of the common EBNA gene promoter Cp, at
which CTCF may act as an enhancer-blocking factor to initiate and maintain
silencing of EBNA gene transcription. It was previously suggested that increased
expression of CTCF may underlie its potential to promote restricted latency, and
here we also noted elevated levels of DNA methyltransferase 1 (DNMT1) and DNMT3B
associated with latency I. Within B-cell lines that maintain latency I, however,
stable knockdown of CTCF, DNMT1, or DNMT3B or of DNMT1 and DNMT3B in combination
did not result in activation of latency III protein expression or EBNA gene
transcription, nor did knockdown of DNMTs significantly alter CpG methylation
within Cp. Thus, differential expression of CTCF and DNMT1 and -3B is not
critical for maintenance of restricted latency. Finally, mutant EBV lacking the
Cp CTCF binding site exhibited sustained Cp activity relative to wild-type EBV in
a recently developed B-cell superinfection model but ultimately was able to
transition to latency I, suggesting that CTCF contributes to but is not
necessarily essential for the establishment of restricted latency.
PMID- 22072771
TI - Requirements for mouse mammary tumor virus Rem signal peptide processing and
function.
AB - Mouse mammary tumor virus (MMTV) encodes a Rev-like protein, Rem, which is
involved in the nuclear export and expression of viral RNA. Previous data have
shown that all Rev-like functions are localized to the 98-amino-acid signal
peptide (SP) at the N terminus of MMTV Rem or envelope proteins. MMTV-SP uses
endoplasmic reticulum-associated degradation (ERAD) for protein trafficking. Rem
cleavage by signal peptidase in the ER is necessary for MMTV-SP function in a
reporter assay, but many requirements for trafficking are not known. To allow
detection and localization of both MMTV-SP and the C-terminal cleavage product,
we prepared plasmids expressing green fluorescent protein (GFP) tags. N-terminal
Rem tagging led to protein accumulation relative to untagged Rem and allowed
signal peptidase cleavage but reduced its specific activity. C-terminal tagging
also led to Rem accumulation yet dramatically reduced cleavage, GFP fluorescence,
and activity relative to N-terminally tagged Rem (GFPRem). Substitutions of an
invariant leucine at position 71 between the known RNA-binding and nuclear export
sequences interfered with GFPRem accumulation and activity but not cleavage.
Similarly, deletion of 100 or 150 C-terminal amino acids from GFPRem dramatically
reduced both Rem and MMTV-SP levels and function. Removal of the entire C
terminus (203 amino acids) restored both protein levels and activity of MMTV-SP.
Only C-terminal GFP tagging, and not other modifications, appeared to trap Rem in
the ER membrane. Thus, Rem conformation in both the ER lumen and cytoplasm
determines cleavage, retrotranslocation, and MMTV-SP function. These mutants
further characterize intermediates in Rem trafficking and have implications for
all proteins affected by ERAD.
PMID- 22072772
TI - Impact of host proteases on reovirus infection in the respiratory tract.
AB - Virion uncoating is an essential early event in reovirus infection. In natural
enteric infections, rapid proteolytic uncoating of virions is mediated by
pancreatic serine proteases. The proteases that promote reovirus disassembly and
cell entry in the respiratory tract remain unknown. In this report, we show that
endogenous respiratory and inflammatory proteases can promote reovirus infection
in vitro and that preexisting inflammation augments in vivo infection in the
murine respiratory tract.
PMID- 22072773
TI - Ex vivo and in vivo inhibition of human rhinovirus replication by a new
pseudosubstrate of viral 2A protease.
AB - Human rhinoviruses (HRVs) remain a significant public health problem as they are
the major cause of both upper and lower respiratory tract infections.
Unfortunately, to date no vaccine or antiviral against these pathogens is
available. Here, using a high-throughput yeast two-hybrid screening, we
identified a 6-amino-acid hit peptide, LVLQTM, which acted as a pseudosubstrate
of the viral 2A cysteine protease (2A(pro)) and inhibited its activity. This
peptide was chemically modified with a reactive electrophilic fluoromethylketone
group to form a covalent linkage with the nucleophilic active-site thiol of the
enzyme. Ex vivo and in vivo experiments showed that thus converted, LVLQTM was a
strong inhibitor of HRV replication in both A549 cells and mice. To our
knowledge, this is the first report validating a compound against HRV infection
in a mouse model.
PMID- 22072774
TI - Arterivirus and nairovirus ovarian tumor domain-containing Deubiquitinases target
activated RIG-I to control innate immune signaling.
AB - The innate immune response constitutes the first line of defense against viral
infection and is extensively regulated through ubiquitination. The removal of
ubiquitin from innate immunity signaling factors by deubiquitinating enzymes
(DUBs) therefore provides a potential opportunity for viruses to evade this host
defense system. It was previously found that specific proteases encoded by the
unrelated arteri- and nairoviruses resemble the ovarian tumor domain-containing
(OTU) family of DUBs. In arteriviruses, this domain has been characterized before
as a papain-like protease (PLP2) that is also involved in replicase polyprotein
processing. In nairoviruses, the DUB resides in the polymerase protein but is not
essential for RNA replication. Using both in vitro and cell-based assays, we now
show that PLP2 DUB activity is conserved in all members of the arterivirus family
and that both arteri- and nairovirus DUBs inhibit RIG-I-mediated innate immune
signaling when overexpressed. The potential relevance of RIG-I-like receptor
(RLR) signaling for the innate immune response against arterivirus infection is
supported by our finding that in mouse embryonic fibroblasts, the production of
beta interferon primarily depends on the recognition of arterivirus RNA by the
pattern-recognition receptor MDA5. Interestingly, we also found that both arteri-
and nairovirus DUBs inhibit RIG-I ubiquitination upon overexpression, suggesting
that both MDA5 and RIG-I have a role in countering infection by arteriviruses.
Taken together, our results support the hypothesis that arteri- and nairoviruses
employ their deubiquitinating potential to inactivate cellular proteins involved
in RLR-mediated innate immune signaling, as exemplified by the deubiquitination
of RIG-I.
PMID- 22072776
TI - Adenovirus serotype 5 neutralizing antibodies target both hexon and fiber
following vaccination and natural infection.
AB - The immunogenicity of adenovirus serotype 5 (Ad5) vectors has been shown to be
suppressed by neutralizing antibodies (NAbs) directed primarily against the hexon
hypervariable regions (HVRs). However, the role of NAbs directed against other
capsid components, particularly the adenovirus fiber, remains unclear. Here we
show that Ad5 NAbs target both hexon and fiber following vaccination and natural
infection. Utilizing neutralization assays with capsid chimeric vectors, we
observed that NAb responses to hexon appeared dominant and NAb responses against
fiber were subdominant in sera from vaccinated mice, vaccinated humans, and
naturally exposed humans. A novel chimeric Ad5 vector in which both the hexon
HVRs and the fiber knob were exchanged nearly completely evaded Ad5-specific NAbs
both in vitro and in vivo.
PMID- 22072775
TI - Cellular VPS4 is required for efficient entry and egress of budded virions of
Autographa californica multiple nucleopolyhedrovirus.
AB - Membrane budding is essential for the egress of many enveloped viruses, and this
process shares similarities with the biogenesis of multivesicular bodies (MVBs).
In eukaryotic cells, the budding of intraluminal vesicles (IVLs) is mediated by
the endosomal sorting complex required for transport (ESCRT) machinery and some
viruses require ESCRT machinery components or functions to bud from host cells.
Baculoviruses, such as Autographa californica multiple nucleopolyhedrovirus
(AcMNPV), enter host cells by clathrin-mediated endocytosis. Viral DNA
replication and nucleocapsid assembly occur within the nucleus. Some progeny
nucleocapsids are subsequently trafficked to, and bud from, the plasma membrane,
forming budded virions (BV). To determine whether the host ESCRT machinery is
important or necessary for AcMNPV replication, we cloned a cDNA of Spodoptera
frugiperda VPS4, a key regulator for disassembly and recycling of ESCRT III. We
then examined viral infection and budding in the presence of wild-type (WT) or
dominant negative (DN) forms of VPS4. First, we used a viral complementation
system, in combination with fluorescent tags, to examine the effects of
transiently expressed WT or DN VPS4 on viral entry. We found that dominant
negative VPS4 substantially inhibited virus entry. Entering virus was observed
within aberrant compartments containing the DN VPS4 protein. We next used
recombinant bacmids expressing WT or DN VPS4 proteins to examine virus egress. We
found that production of infectious AcMNPV BV was substantially reduced by
expression of DN VPS4 but not by WT VPS4. Together, these results indicate that a
functional VPS4 is necessary for efficient AcMNPV BV entry into, and egress from,
insect cells.
PMID- 22072777
TI - Deltagamma1134.5 herpes simplex viruses encoding human cytomegalovirus IRS1 or
TRS1 induce interferon regulatory factor 3 phosphorylation and an interferon
stimulated gene response.
AB - The chimeric herpes simplex viruses (HSV) are Deltagamma134.5 vectors encoding
the human cytomegalovirus (HCMV) IRS1 or TRS1 genes. They are capable of late
viral protein synthesis and are superior to Deltagamma134.5 HSVs in oncolytic
activity. The interferon (IFN) response limits efficient HSV gene expression and
replication. HCMV TRS1 and IRS1 restore one gamma134.5 gene function: evasion of
IFN-inducible protein kinase R, allowing late viral protein synthesis. Here we
show that, unlike wild-type HSV, the chimeric HSV do not restore another
gamma134.5 function, the suppression of early IFN signaling mediated by IFN
regulatory factor 3 (IRF3).
PMID- 22072778
TI - Recent mumps outbreaks in vaccinated populations: no evidence of immune escape.
AB - Recently, numerous large-scale mumps outbreaks have occurred in vaccinated
populations. Clinical isolates sequenced from these outbreaks have invariably
been of genotypes distinct from those of vaccine viruses, raising concern that
certain mumps virus strains may escape vaccine-induced immunity. To investigate
this concern, sera obtained from children 6 weeks after receipt of measles,
mumps, and rubella (MMR) vaccine were tested for the ability to neutralize a
carefully selected group of genetically diverse mumps virus strains. Although the
geometric mean neutralizing antibody titer of the sera was lower against some
virus strains than others, all viruses were readily neutralized, arguing against
immune escape.
PMID- 22072779
TI - A pH-sensitive heparin-binding sequence from Baculovirus gp64 protein is
important for binding to mammalian cells but not to Sf9 insect cells.
AB - Binding to heparan sulfate is essential for baculovirus transduction of mammalian
cells. Our previous study shows that gp64, the major glycoprotein on the virus
surface, binds to heparin in a pH-dependent way, with a stronger binding at pH
6.2 than at 7.4. Using fluorescently labeled peptides, we mapped the pH-dependent
heparin-binding sequence of gp64 to a 22-amino-acid region between residues 271
and 292. Binding of this region to the cell surface was also pH dependent, and
peptides containing this sequence could efficiently inhibit baculovirus
transduction of mammalian cells at pH 6.2. When the heparin-binding peptide was
immobilized onto the bead surface to mimic the high local concentration of gp64
on the virus surface, the peptide-coated magnetic beads could efficiently pull
down cells expressing heparan sulfate but not cells pretreated with heparinase or
cells not expressing heparan sulfate. Interestingly, although this heparin
binding function is essential for baculovirus transduction of mammalian cells, it
is dispensable for infection of Sf9 insect cells. Virus infectivity on Sf9 cells
was not reduced by the presence of heparin or the identified heparin-binding
peptide, even though the peptide could bind to Sf9 cell surface and be
efficiently internalized. Thus, our data suggest that, depending on the
availability of the target molecules on the cell surface, baculoviruses can use
two different methods, electrostatic interaction with heparan sulfate and more
specific receptor binding, for cell attachment.
PMID- 22072780
TI - Complex dynamic development of poliovirus membranous replication complexes.
AB - Replication of all positive-strand RNA viruses is intimately associated with
membranes. Here we utilize electron tomography and other methods to investigate
the remodeling of membranes in poliovirus-infected cells. We found that the viral
replication structures previously described as "vesicles" are in fact convoluted,
branching chambers with complex and dynamic morphology. They are likely to
originate from cis-Golgi membranes and are represented during the early stages of
infection by single-walled connecting and branching tubular compartments. These
early viral organelles gradually transform into double-membrane structures by
extension of membranous walls and/or collapsing of the luminal cavity of the
single-membrane structures. As the double-membrane regions develop, they enclose
cytoplasmic material. At this stage, a continuous membranous structure may have
double- and single-walled membrane morphology at adjacent cross-sections. In the
late stages of the replication cycle, the structures are represented mostly by
double-membrane vesicles. Viral replication proteins, double-stranded RNA
species, and actively replicating RNA are associated with both double- and single
membrane structures. However, the exponential phase of viral RNA synthesis occurs
when single-membrane formations are predominant in the cell. It has been shown
previously that replication complexes of some other positive-strand RNA viruses
form on membrane invaginations, which result from negative membrane curvature.
Our data show that the remodeling of cellular membranes in poliovirus-infected
cells produces structures with positive curvature of membranes. Thus, it is
likely that there is a fundamental divergence in the requirements for the
supporting cellular membrane-shaping machinery among different groups of positive
strand RNA viruses.
PMID- 22072781
TI - The toll-like receptor 3-mediated antiviral response is important for protection
against poliovirus infection in poliovirus receptor transgenic mice.
AB - RIG-I-like receptors and Toll-like receptors (TLRs) play important roles in the
recognition of viral infections. However, how these molecules contribute to the
defense against poliovirus (PV) infection remains unclear. We characterized the
roles of these sensors in PV infection in transgenic mice expressing the PV
receptor. We observed that alpha/beta interferon (IFN-alpha/beta) production in
response to PV infection occurred in an MDA5-dependent but RIG-I-independent
manner in primary cultured kidney cells in vitro. These results suggest that,
similar to the RNA of other picornaviruses, PV RNA is recognized by MDA5.
However, serum IFN-alpha levels, the viral load in nonneural tissues, and
mortality rates did not differ significantly between MDA5-deficient mice and wild
type mice. In contrast, we observed that serum IFN production was abrogated and
that the viral load in nonneural tissues and mortality rates were both markedly
higher in TIR domain-containing adaptor-inducing IFN-beta (TRIF)-deficient and
TLR3-deficient mice than in wild-type mice. The mortality rate of MyD88-deficient
mice was slightly higher than that of wild-type mice. These results suggest that
multiple pathways are involved in the antiviral response in mice and that the
TLR3-TRIF-mediated signaling pathway plays an essential role in the antiviral
response against PV infection.
PMID- 22072782
TI - Potent intratype neutralizing activity distinguishes human immunodeficiency virus
type 2 (HIV-2) from HIV-1.
AB - HIV-2 has a lower pathogenicity and transmission rate than HIV-1. Neutralizing
antibodies could be contributing to these observations. Here we explored side by
side the potency and breadth of intratype and intertype neutralizing activity
(NAc) in plasma of 20 HIV-1-, 20 HIV-2-, and 11 dually HIV-1/2 (HIV-D)
seropositive individuals from Guinea-Bissau, West Africa. Panels of primary
isolates, five HIV-1 and five HIV-2 isolates, were tested in a plaque reduction
assay using U87.CD4-CCR5 cells as targets. Intratype NAc in HIV-2 plasma was
found to be considerably more potent and also broader than intratype NAc in HIV-1
plasma. This indicates that HIV-2-infected individuals display potent type
specific neutralizing antibodies, whereas such strong type-specific antibodies
are absent in HIV-1 infection. Furthermore, the potency of intratype NAc was
positively associated with the viral load of HIV-1 but not HIV-2, suggesting that
NAc in HIV-1 infection is more antigen stimulation dependent than in HIV-2
infection, where plasma viral loads typically are at least 10-fold lower than in
HIV-1 infection. Intertype NAc of both HIV-1 and HIV-2 infections was, instead,
of low potency. HIV-D subjects had NAc to HIV-2 with similar high potency as
singly HIV-2-infected individuals, whereas neutralization of HIV-1 remained poor,
indicating that the difference in NAc between HIV-1 and HIV-2 infections depends
on the virus itself. We suggest that immunogenicity and/or antigenicity, meaning
the neutralization phenotype, of HIV-2 is distinct from that of HIV-1 and that
HIV-2 may display structures that favor triggering of potent neutralizing
antibody responses.
PMID- 22072783
TI - Epigenetic changes mediated by microRNA miR29 activate cyclooxygenase 2 and
lambda-1 interferon production during viral infection.
AB - Lambda-1 interferon (IFN-lambda1) and cyclooxygenase-2 (COX-2) were reported to
play an important role in host antiviral defense. However, the mechanism by which
IFN-lambda1 and COX2 are activated and modulated during viral infection remains
unclear. In this study, we found that expression of both circulating IFN-lambda1
and COX2-derived prostaglandin E2 (PGE2) was coordinately elevated in a cohort of
influenza patients compared to healthy individuals. Expression of IFN-lambda1 was
blocked by a selective COX2 inhibitor during influenza A virus infection in A549
human lung epithelial cells but enhanced by overexpression of COX2, indicating
that the production of IFN-lambda1 is COX2 dependent. COX2 was able to increase
IFN-lambda1 expression by promoting NF-kappaB binding to the enhancer in the IFN
lambda1 promoter. We found that epigenetic changes activate COX2 expression and
PGE2 accumulation during viral infection. The expression of DNA methyltransferase
3a (DNMT3a) and DNMT3b, but not that of DNMT1, was downregulated following
influenza A virus infection in both A549 cells and peripheral blood mononuclear
cells (PBMCs). We showed that microRNA miR29 suppresses DNMT activity and thus
induces expression of COX2 and PGE2. Furthermore, miR29 expression was elevated
50-fold in virally infected A549 cells and 10-fold in PBMCs from influenza
patients, compared to expression after mock infection of A549 cells or in healthy
individuals, respectively. Activation of the protein kinase A signaling pathway
and phosphorylation of CREB1 also contributed to COX2 expression. Collectively,
our work defines a novel proinflammatory cascade in the control of influenza A
virus infection.
PMID- 22072784
TI - Impact of vaccination on cytotoxic T lymphocyte immunodominance and cooperation
against simian immunodeficiency virus replication in rhesus macaques.
AB - Cytotoxic T lymphocyte (CTL) responses play a central role in viral suppression
in human immunodeficiency virus (HIV) infections. Prophylactic vaccination
resulting in effective CTL responses after viral exposure would contribute to HIV
control. It is important to know how CTL memory induction by vaccination affects
postexposure CTL responses. We previously showed vaccine-based control of a
simian immunodeficiency virus (SIV) challenge in a group of Burmese rhesus
macaques sharing a major histocompatibility complex class I haplotype. Gag(206
216) and Gag(241-249) epitope-specific CTL responses were responsible for this
control. In the present study, we show the impact of individual epitope-specific
CTL induction by prophylactic vaccination on postexposure CTL responses. In the
acute phase after SIV challenge, dominant Gag(206-216)-specific CTL responses
with delayed, naive-derived Gag(241-249)-specific CTL induction were observed in
Gag(206-216) epitope-vaccinated animals with prophylactic induction of single
Gag(206-216) epitope-specific CTL memory, and vice versa in Gag(241-249) epitope
vaccinated animals with single Gag(241-249) epitope-specific CTL induction.
Animals with Gag(206-216)-specific CTL induction by vaccination selected for a
Gag(206-216)-specific CTL escape mutation by week 5 and showed significantly less
decline of plasma viral loads from week 3 to week 5 than in Gag(241-249) epitope
vaccinated animals without escape mutations. Our results present evidence
indicating significant influence of prophylactic vaccination on postexposure CTL
immunodominance and cooperation of vaccine antigen-specific and non-vaccine
antigen-specific CTL responses, which affects virus control. These findings
provide great insights into antigen design for CTL-inducing AIDS vaccines.
PMID- 22072785
TI - Structural characterization of the hemagglutinin receptor specificity from the
2009 H1N1 influenza pandemic.
AB - Influenza virus hemagglutinin (HA) is the viral envelope protein that mediates
viral attachment to host cells and elicits membrane fusion. The HA receptor
binding specificity is a key determinant for the host range and transmissibility
of influenza viruses. In human pandemics of the 20th century, the HA normally has
acquired specificity for human-like receptors before widespread infection.
Crystal structures of the H1 HA from the 2009 human pandemic
(A/California/04/2009 [CA04]) in complex with human and avian receptor analogs
reveal conserved recognition of the terminal sialic acid of the glycan ligands.
However, favorable interactions beyond the sialic acid are found only for alpha2
6-linked glycans and are mediated by Asp190 and Asp225, which hydrogen bond with
Gal-2 and GlcNAc-3. For alpha2-3-linked glycan receptors, no specific
interactions beyond the terminal sialic acid are observed. Our structural and
glycan microarray analyses, in the context of other high-resolution HA structures
with alpha2-6- and alpha2-3-linked glycans, now elucidate the structural basis of
receptor-binding specificity for H1 HAs in human and avian viruses and provide a
structural explanation for the preference for alpha2-6 siaylated glycan receptors
for the 2009 pandemic swine flu virus.
PMID- 22072787
TI - Molecular determinants of severe acute respiratory syndrome coronavirus
pathogenesis and virulence in young and aged mouse models of human disease.
AB - SARS coronavirus (SARS-CoV) causes severe acute respiratory tract disease
characterized by diffuse alveolar damage and hyaline membrane formation. This
pathology often progresses to acute respiratory distress (such as acute
respiratory distress syndrome [ARDS]) and atypical pneumonia in humans, with
characteristic age-related mortality rates approaching 50% or more in
immunosenescent populations. The molecular basis for the extreme virulence of
SARS-CoV remains elusive. Since young and aged (1-year-old) mice do not develop
severe clinical disease following infection with wild-type SARS-CoV, a mouse
adapted strain of SARS-CoV (called MA15) was developed and was shown to cause
lethal infection in these animals. To understand the genetic contributions to the
increased pathogenesis of MA15 in rodents, we used reverse genetics and evaluated
the virulence of panels of derivative viruses encoding various combinations of
mouse-adapted mutations. We found that mutations in the viral spike (S)
glycoprotein and, to a much less rigorous extent, in the nsp9 nonstructural
protein, were primarily associated with the acquisition of virulence in young
animals. The mutations in S likely increase recognition of the mouse angiotensin
converting enzyme 2 (ACE2) receptor not only in MA15 but also in two additional,
independently isolated mouse-adapted SARS-CoVs. In contrast to the findings for
young animals, mutations to revert to the wild-type sequence in nsp9 and the S
glycoprotein were not sufficient to significantly attenuate the virus compared to
other combinations of mouse-adapted mutations in 12-month-old mice. This panel of
SARS-CoVs provides novel reagents that we have used to further our understanding
of differential, age-related pathogenic mechanisms in mouse models of human
disease.
PMID- 22072788
TI - Recruitment of OCRL and Inpp5B to phagosomes by Rab5 and APPL1 depletes
phosphoinositides and attenuates Akt signaling.
AB - Sealing of phagosomes is accompanied by the disappearance of phosphatidylinositol
(4,5)-bisphosphate (PtdIns(4,5)P(2)) from their cytoplasmic leaflet. Elimination
of PtdIns(4,5)P(2), which is required for actin remodeling during phagosome
formation, has been attributed to hydrolysis by phospholipase C and
phosphorylation by phosphatidylinositol 3-kinase. We found that two inositol 5
phosphatases, OCRL and Inpp5B, become associated with nascent phagosomes. Both
phosphatases, which are Rab5 effectors, associate with the adaptor protein APPL1,
which is recruited to the phagosomes by active Rab5. Knockdown of APPL1 or
inhibition of Rab5 impairs association of OCRL and Inpp5B with phagosomes and
prolongs the presence of PtdIns(4,5)P(2) and actin on their membranes. Even
though APPL1 can serve as an anchor for Akt, its depletion accentuated the
activation of the kinase, likely by increasing the amount of PtdIns(4,5)P(2)
available to generate phosphatidylinositol (3,4,5)-trisphosphate. Thus, inositol
5-phosphatases are important contributors to the phosphoinositide remodeling and
signaling that are pivotal for phagocytosis.
PMID- 22072786
TI - Human pathogens and the host cell SUMOylation system.
AB - Since posttranslational modification (PTM) by the small ubiquitin-related
modifiers (SUMOs) was discovered over a decade ago, a huge number of cellular
proteins have been found to be reversibly modified, resulting in alteration of
differential cellular pathways. Although the molecular consequences of SUMO
attachment are difficult to predict, the underlying principle of SUMOylation is
altering inter- and/or intramolecular interactions of the modified substrate,
changing localization, stability, and/or activity. Unsurprisingly, many different
pathogens have evolved to exploit the cellular SUMO modification system due to
its functional flexibility and far-reaching functional downstream consequences.
Although the extensive knowledge gained so far is impressive, a definitive
conclusion about the role of SUMO modification during virus infection in general
remains elusive and is still restricted to a few, yet promising concepts. Based
on the available data, this review aims, first, to provide a detailed overview of
the current state of knowledge and, second, to evaluate the currently known
common principles/molecular mechanisms of how human pathogenic microbes,
especially viruses and their regulatory proteins, exploit the host cell SUMO
modification system.
PMID- 22072790
TI - The kinase activity of human Rio1 is required for final steps of cytoplasmic
maturation of 40S subunits.
AB - RIO proteins form a conserved family of atypical protein kinases. Humans possess
three distinct RIO kinases-hRio1, hRio2, and hRio3, of which only hRio2 has been
characterized with respect to its role in ribosomal biogenesis. Here we show that
both hRio1 and hRio3, like hRio2, are associated with precursors of 40S ribosomal
subunits in human cells. Furthermore, we demonstrate that depletion of hRio1 by
RNA interference affects the last step of 18S rRNA maturation and causes defects
in the recycling of several trans-acting factors (hEnp1, hRio2, hLtv1,
hDim2/PNO1, and hNob1) from pre-40S subunits in the cytoplasm. Although the
effects of hRio1 and hRio2 depletion are similar, we show that the two kinases
are not fully interchangeable. Moreover, rescue experiments with a kinase-dead
mutant of hRio1 revealed that the kinase activity of hRio1 is essential for the
recycling of the endonuclease hNob1 and its binding partner hDim2 from
cytoplasmic pre-40S. Kinase-dead hRio1 is trapped on pre-40S particles containing
hDim2 and hNob1 but devoid of hEnp1, hLtv1, and hRio2. These data reveal a role
of hRio1 in the final stages of cytoplasmic pre-40S maturation.
PMID- 22072789
TI - Yeast mRNA cap-binding protein Cbc1/Sto1 is necessary for the rapid reprogramming
of translation after hyperosmotic shock.
AB - In response to osmotic stress, global translation is inhibited, but the mRNAs
encoding stress-protective proteins are selectively translated to allow cell
survival. To date, the mechanisms and factors involved in the specific
translation of osmostress-responsive genes in Saccharomyces cerevisiae are
unknown. We find that the mRNA cap-binding protein Cbc1 is important for yeast
survival under osmotic stress. Our results provide new evidence supporting a role
of Cbc1 in translation initiation. Cbc1 associates with polysomes, while the
deletion of the CBC1 gene causes hypersensitivity to the translation inhibitor
cycloheximide and yields synthetic "sickness" in cells with limiting amounts of
translation initiator factor eIF4E. In cbc1Delta mutants, translation drops
sharply under osmotic stress, the subsequent reinitiation of translation is
retarded, and "processing bodies" containing untranslating mRNAs remain for long
periods. Furthermore, osmostress-responsive mRNAs are transcriptionally induced
after osmotic stress in cbc1Delta cells, but their rapid association with
polysomes is delayed. However, in cells containing a thermosensitive eIF4E
allele, their inability to grow at 37oC is suppressed by hyperosmosis, and Cbc1
relocalizes from nucleus to cytoplasm. These data support a model in which eIF4E
translation could be stress-sensitive, while Cbc1-mediated translation is
necessary for the rapid translation of osmostress-protective proteins under
osmotic stress.
PMID- 22072791
TI - FAT10 is a proteasomal degradation signal that is itself regulated by
ubiquitination.
AB - FAT10 is a ubiquitin-like protein modifier that is induced in vertebrates
following certain inflammatory stimuli. Its functions and the repertoire of its
target substrates have remained elusive. In contrast to ubiquitin, its cellular
abundance is tightly controlled by both transcriptional and posttranslational
regulation, and it was reported to be rapidly degraded by the proteasome. Here we
provide data to indicate that the degradation of FAT10 requires ubiquitination:
degradation was inhibited in cells expressing a ubiquitin mutant that cannot be
polymerized and in a mutant cell harboring a thermolabile ubiquitin-activating
enzyme, E1. Of importance, FAT10 can serve as a degradation signal for otherwise
stable proteins, and in this case, too, the targeting to the proteasome requires
ubiquitination. Degradation of FAT10 is accelerated after induction of apoptosis,
suggesting that it plays a role in prosurvival pathways.
PMID- 22072792
TI - Three-dimensional structure of the radial spokes reveals heterogeneity and
interactions with dyneins in Chlamydomonas flagella.
AB - Radial spokes (RSs) play an essential role in the regulation of axonemal dynein
activity and thus of ciliary and flagellar motility. However, few details are
known about the complexes involved. Using cryo-electron tomography and
subtomogram averaging, we visualized the three-dimensional structure of the
radial spokes in Chlamydomonas flagella in unprecedented detail. Unlike many
other species, Chlamydomonas has only two spokes per axonemal repeat, RS1 and
RS2. Our data revealed previously uncharacterized features, including two-pronged
spoke bases that facilitate docking to the doublet microtubules, and that inner
dyneins connect directly to the spokes. Structures of wild type and the headless
spoke mutant pf17 were compared to define the morphology and boundaries of the
head, including a direct RS1-to-RS2 interaction. Although the overall structures
of the spokes are very similar, we also observed some differences, corroborating
recent findings about heterogeneity in the docking of RS1 and RS2. In place of a
third radial spoke we found an uncharacterized, shorter electron density named
"radial spoke 3 stand-in," which structurally bears no resemblance to RS1 and RS2
and is unaltered in the pf17 mutant. These findings demonstrate that radial
spokes are heterogeneous in structure and may play functionally distinct roles in
axoneme regulation.
PMID- 22072793
TI - Connecdenn 3/DENND1C binds actin linking Rab35 activation to the actin
cytoskeleton.
AB - The small GTPase Rab35 regulates endosomal membrane trafficking but also recruits
effectors that modulate actin assembly and organization. Differentially expressed
in normal and neoplastic cells (DENN)-domain proteins are a newly identified
class of Rab guanine-nucleotide exchange factors (GEFs) that are grouped into
eight families, each activating a common Rab. The members of one family,
connecdenn 1-3/DENND1A-C, are all GEFs for Rab35. Why Rab35 requires multiple
GEFs is unknown. We demonstrate that connecdenn 3 uses a unique C-terminal motif,
a feature not found in connecdenn 1 or 2, to directly bind actin. This
interaction couples Rab35 activation to the actin cytoskeleton, resulting in
dramatic changes in cell shape, notably the formation of protrusive membrane
extensions. These alterations are specific to Rab35 activated by connecdenn 3 and
require both the actin-binding motif and N-terminal DENN domain, which harbors
the GEF activity. It was previously demonstrated that activated Rab35 recruits
the actin-bundling protein fascin to actin, but the relevant GEF for this
activity was unknown. We demonstrate that connecdenn 3 and Rab35 colocalize with
fascin and actin filaments, suggesting that connecdenn 3 is the relevant GEF.
Thus, whereas connecdenn 1 and 2 activate Rab35 for endosomal trafficking,
connecdenn 3 uniquely activates Rab35 for its role in actin regulation.
PMID- 22072794
TI - Dependence of Chs2 ER export on dephosphorylation by cytoplasmic Cdc14 ensures
that septum formation follows mitosis.
AB - Cytokinesis, which leads to the physical separation of two dividing cells, is
normally restrained until after nuclear division. In Saccharomyces cerevisiae,
chitin synthase 2 (Chs2), which lays down the primary septum at the mother
daughter neck, also ensures proper actomyosin ring constriction during
cytokinesis. During the metaphase-to-anaphase transition, phosphorylation of Chs2
by the mitotic cyclin-dependent kinase (Cdk1) retains Chs2 at the endoplasmic
reticulum (ER), thereby preventing its translocation to the neck. Upon Cdk1
inactivation at the end of mitosis, Chs2 is exported from the ER and targeted to
the neck. The mechanism for triggering Chs2 ER export thus far is unknown. We
show here that Chs2 ER export requires the direct reversal of the inhibitory Cdk1
phosphorylation sites by Cdc14 phosphatase, the ultimate effector of the mitotic
exit network (MEN). We further show that only Cdc14 liberated by the MEN after
completion of chromosome segregation, and not Cdc14 released in early anaphase by
the Cdc fourteen early anaphase release pathway, triggers Chs2 ER exit.
Presumably, the reduced Cdk1 activity in late mitosis further favors
dephosphorylation of Chs2 by Cdc14. Thus, by requiring declining Cdk1 activity
and Cdc14 nuclear release for Chs2 ER export, cells ensure that septum formation
is contingent upon chromosome separation and exit from mitosis.
PMID- 22072795
TI - miR-503 represses CUG-binding protein 1 translation by recruiting CUGBP1 mRNA to
processing bodies.
AB - microRNAs (miRNAs) and RNA-binding proteins (RBPs) jointly regulate gene
expression at the posttranscriptional level and are involved in many aspects of
cellular functions. The RBP CUG-binding protein 1 (CUGBP1) destabilizes and
represses the translation of several target mRNAs, but the exact mechanism that
regulates CUGBP1 abundance remains elusive. In this paper, we show that miR-503,
computationally predicted to associate with three sites of the CUGBP1 mRNA,
represses CUGBP1 expression. Overexpression of an miR-503 precursor (pre-miR-503)
reduced the de novo synthesis of CUGBP1 protein, whereas inhibiting miR-503 by
using an antisense RNA (antagomir) enhanced CUGBP1 biosynthesis and elevated its
abundance; neither intervention changed total CUGBP1 mRNA levels. Studies using
heterologous reporter constructs revealed a greater repressive effect of miR-503
through the CUGBP1 coding region sites than through the single CUGBP1 3'
untranslated region target site. CUGBP1 mRNA levels in processing bodies (P
bodies) increased in cells transfected with pre-miR-503, while silencing P-body
resident proteins Ago2, RCK, or LSm4 decreased miR-503-mediated repression of
CUGBP1 expression. Decreasing the levels of cellular polyamines reduced
endogenous miR-503 levels and promoted CUGBP1 expression, an effect that was
prevented by ectopic miR-503 overexpression. Repression of CUGBP1 by miR-503 in
turn altered the expression of CUGBP1 target mRNAs and thus increased the
sensitivity of intestinal epithelial cells to apoptosis. These findings identify
miR-503 as both a novel regulator of CUGBP1 expression and a modulator of
intestinal epithelial homoeostasis.
PMID- 22072798
TI - The patient impact factor.
PMID- 22072796
TI - UHRF1 phosphorylation by cyclin A2/cyclin-dependent kinase 2 is required for
zebrafish embryogenesis.
AB - Ubiquitin-like, containing PHD and RING finger domains 1 (uhrf1) is regulated at
the transcriptional level during the cell cycle and in developing zebrafish
embryos. We identify phosphorylation as a novel means of regulating UHRF1 and
demonstrate that Uhrf1 phosphorylation is required for gastrulation in zebrafish.
Human UHRF1 contains a conserved cyclin-dependent kinase 2 (CDK2) phosphorylation
site at Ser-661 that is phosphorylated in vitro by CDK2 partnered with cyclin A2
(CCNA2), but not cyclin E. An antibody specific for phospho-Ser-661 recognizes
UHRF1 in both mammalian cancer cells and in nontransformed zebrafish cells, but
not in zebrafish bearing a mutation in ccna2. Depleting Uhrf1 from zebrafish
embryos by morpholino injection causes arrest before gastrulation and early
embryonic death. This phenotype is rescued by wild-type UHRF1, but not by UHRF1
in which the phospho-acceptor site is mutated, demonstrating that UHRF1
phosphorylation is essential for embryogenesis. UHRF1 was detected in the nucleus
and cytoplasm, whereas nonphosphorylatable UHRF1 is unable to localize to the
cytoplasm, suggesting the importance of localization in UHRF1 function. Together,
these data point to an essential role for UHRF1 phosphorylation by CDK/CCNA2
during early vertebrate development.
PMID- 22072799
TI - Shining the light on aurora-a kinase as a drug target in pancreatic cancer.
PMID- 22072800
TI - First report of functional Chk1 siRNA studies applied to drug discovery.
PMID- 22072801
TI - The discovery and development of SU14813, a next-generation multitargeted
tyrosine kinase inhibitor for the treatment of human malignancies.
PMID- 22072802
TI - PI3K inhibitors for cancer treatment: five years of preclinical and clinical
research after BEZ235.
PMID- 22072803
TI - Discovering and developing PI3 kinase inhibitors for cancer: rapid progress
through academic-biotech-pharma interactions.
PMID- 22072804
TI - The discovery of lapatinib (GW572016).
PMID- 22072805
TI - Methylation profiling of lung cancer: a decade of progress.
PMID- 22072806
TI - MicroRNAs in cancer pharmacology and therapeutics: exploiting a natural synergy
between '-omic' and hypothesis-driven research.
PMID- 22072807
TI - Development of the first generation c-met kinase inhibitors: beginning of a path
to a new treatment for cancer.
PMID- 22072808
TI - Proof of principle for crizotinib in anaplastic lymphoma kinase-positive
malignancies was achieved in ALK-positive nonclinical models.
PMID- 22072809
TI - Lapatinib: functional genomics study leads to insights into mechanism of action.
PMID- 22072810
TI - Bench to bedside and back again: personalizing treatment for patients with GIST.
PMID- 22072811
TI - The importance of PK/PD data-key biological answers needed to evaluate the
success of potential cancer therapeutics.
PMID- 22072812
TI - Bortezomib: understanding the mechanism of action.
PMID- 22072813
TI - Starting with the ABCs: Akt in breast cancer.
PMID- 22072814
TI - Cell line models identify different sensitivity of mutant forms of c-KIT to
kinase inhibitory drugs and predict the response of patients to therapy.
PMID- 22072815
TI - Mechanism of action of proteasome inhibitors and deacetylase inhibitors and the
biological basis of synergy in multiple myeloma.
AB - Novel agents, including the proteasome inhibitor bortezomib, have significantly
improved the response and survival of patients with multiple myeloma over the
last decade. Despite these advances, many patients relapse or do not benefit from
the currently available therapies; thus, multiple myeloma remains an incurable
disease. Deacetylase inhibitors (DACi), including panobinostat and vorinostat,
have recently emerged as novel agents being evaluated in the treatment of
multiple myeloma. Deacetylases are a group of enzymes with effects on various
intracellular proteins, including histones, transcription factors, and molecular
chaperones. Although DACi inhibit cell growth and induce apoptosis in multiple
myeloma cells as a single agent, synergistic activity has been observed when they
were used in combination with bortezomib. The mechanistic basis of synergy is
multifactorial and includes disruption of protein degradation and inhibition of
the interaction of multiple myeloma cells with the tumor microenvironment. This
review summarizes recent advancements in the understanding of the mechanism of
action of proteasome inhibitors and DACi in multiple myeloma and examines the
biological basis of their synergistic effects. Data from the studies summarized
here have been used as the rationale for the implementation of phase II and III
clinical trials of DACi, alone and combined with bortezomib, in relapsed and
refractory multiple myeloma.
PMID- 22072816
TI - Microarray analysis of the primate luteal transcriptome during chorionic
gonadotrophin administration simulating early pregnancy.
AB - To explore chorionic gonadotrophin (CG)-regulated gene expression in the primate
corpus luteum (CL), adult female rhesus macaques were treated in a model of
simulated early pregnancy (SEP). Total RNA was isolated from individual CL after
specific intervals of exposure (1, 3, 6 and 9 days) to recombinant hCG in vivo
and hybridized to AffymetrixTM GeneChip Rhesus Macaque Genome Arrays. The mRNA
levels of 1192 transcripts changed >=2-fold [one-way ANOVA, false discovery rate
(FDR) correction; P< 0.05] during SEP when compared with Day 10 untreated
controls. Real-time PCR validation indicated that 15 of 17 genes matched in
expression pattern between PCR and microarray. Protein levels of three genes
identified as CG-sensitive, CYP19A1 (aromatase), PGRMC1 (progestin-binding
protein) and STAR (steroidogenic acute regulatory protein) were quantified by
western blot analysis. To further analyze global changes in gene expression
induced by CG exposure, luteal gene expression was compared between SEP (rescued)
and regressing CL, utilizing previously banked GeneChip data from the luteal
phase of the menstrual cycle. Expression patterns and mRNA levels were analyzed
between time-matched intervals. Transcripts for 7677 mRNAs differed in expression
patterns >=2-fold (one-way ANOVA, FDR correction; P< 0.05) between the hCG
exposed (SEP) CL and regressing CL. Regressed CL (at menses) were most unlike all
other CL. Pathway analysis of significantly affected transcripts was performed;
the pathway most impacted by CG exposure was steroid biosynthesis. Further
comparisons of the genome-wide changes in luteal gene expression during CG rescue
and luteolysis in the natural menstrual cycle should identify additional key
regulatory pathways promoting primate fertility.
PMID- 22072817
TI - The novel antipsychotic drug lurasidone enhances N-methyl-D-aspartate receptor
mediated synaptic responses.
AB - N-Methyl-D-aspartate (NMDA) receptor (NMDAR) hypofunction has been postulated to
contribute to the cognitive deficit of schizophrenia. In this study, we examined
the effect of lurasidone (Latuda; Dainippon Sumitomo Pharma Co. Ltd., Tokyo,
Japan), a newly approved atypical antipsychotic drug (APD), on NMDAR synaptic
function in rat frontal cortical pyramidal neurons. In vivo administration of
lurasidone produced a significant and selective enhancement of NMDAR-mediated
synaptic responses and surface expression of NR2A and NR2B subunits. Lurasidone
has high affinity for serotonin 5-HT(1A), 5-HT(2A), and 5-HT(7) receptors and
dopamine D(2) receptors. In vivo administration of the 5-HT(7) receptor
antagonist (2R)-1-[(3-hydroxyphenyl)sulfonyl]-2 -(2-(4-methyl-1
piperidinyl)ethyl)pyrrolidine (SB-269970) mimicked the enhancing effect of
lurasidone on NMDAR responses, whereas the D(2) receptor antagonist haloperidol
failed to do so. Previous studies have found that short-term administration of
lurasidone reverses the cognitive impairment induced by subchronic administration
of phencyclidine (PCP), an NMDAR noncompetitive antagonist. In this study, we
found that lurasidone, as well as the prototypical atypical APD clozapine,
restored NMDAR-mediated synaptic responses to normal levels in the PCP model of
schizophrenia. These results suggest that NMDAR is the potential key molecular
target of lurasidone, possibility via antagonizing 5-HT(7) receptors, which is
consistent with evidence that 5-HT(7) receptor antagonism contributes to
cognitive enhancement by atypical APDs in patients with schizophrenia.
PMID- 22072818
TI - Allosteric interactions between delta and kappa opioid receptors in peripheral
sensory neurons.
AB - The peripheral delta opioid receptor (DOR) is an attractive target for analgesic
drug development. There is evidence that DOR can form heteromers with the kappa
opioid receptor (KOR). As drug targets, heteromeric receptors offer an additional
level of selectivity and, because of allosteric interactions between protomers,
functionality. Here we report that selective KOR antagonists differentially
altered the potency and/or efficacy of DOR agonists in primary cultures of adult
rat peripheral sensory neurons and in a rat behavioral model of thermal
allodynia. In vitro, the KOR antagonist nor-binaltorphimine (nor-BNI) enhanced
the potency of [D-Pen(2,5)]-enkephalin (DPDPE), decreased the potency of [D
Ala(2),D-Leu(5)]-enkephalin (DADLE), and decreased the potency and efficacy of 4
[(R)-[(2S,5R)-4-allyl-2,5-dimethylpiperazin-1-yl](3-methoxyphenyl)methyl]-N,N
diethylbenzamide (SNC80) to inhibit prostaglandin E(2) (PGE(2))-stimulated
adenylyl cyclase activity. In vivo, nor-BNI enhanced the effect of DPDPE and
decreased the effect of SNC80 to inhibit PGE(2)-stimulated thermal allodynia. In
contrast to nor-BNI, the KOR antagonist 5'-guanidinonaltrindole (5'-GNTI) reduced
the response of DPDPE both in cultured neurons and in vivo. Evidence for DOR-KOR
heteromers in peripheral sensory neurons included coimmunoprecipitation of DOR
with KOR, a DOR-KOR heteromer selective antibody augmented the antinociceptive
effect of DPDPE in vivo, and the DOR-KOR heteromer agonist 6'-GNTI inhibited
adenylyl cyclase activity in vitro as well as PGE(2)-stimulated thermal allodynia
in vivo. Taken together, these data suggest that DOR-KOR heteromers exist in rat
primary sensory neurons and that KOR antagonists can act as modulators of DOR
agonist responses most likely through allosteric interactions between the
protomers of the DOR-KOR heteromer.
PMID- 22072819
TI - Development and clinical evaluation of a rapid serodiagnostic test for
toxoplasmosis of cats using recombinant SAG1 antigen.
AB - Rapid serodiagnostic methods for Toxoplasma gondii infection in cats are urgently
needed for effective control of transmission routes toward human infections. In
this work, 4 recombinant T. gondii antigens (SAG1, SAG2, GRA3, and GRA6) were
produced and tested for the development of rapid diagnostic test (RDT). The
proteins were expressed in Escherichia coli, affinity-purified, and applied onto
the nitrocellulose membrane of the test strip. The recombinant SAG1 (rSAG1)
showed the strongest antigenic activity and highest specificity among them. We
also performed clinical evaluation of the rSAG1-loaded RDT in 182 cat sera (55
household and 127 stray cats). The kit showed 0.88 of kappa value comparing with
a commercialized ELISA kit, which indicated a significant correlation between
rSAG1-loaded RDT and the ELISA kit. The overall sensitivity and specificity of
the RDT were 100% (23/23) and 99.4% (158/159), respectively. The rSAG1-loaded RDT
is rapid, easy to use, and highly accurate. Thus, it would be a suitable
diagnostic tool for rapid detection of antibodies in T. gondii-infected cats
under field conditions.
PMID- 22072820
TI - Changing patterns of acute phase proteins and inflammatory mediators in
experimental caprine coccidiosis.
AB - This experiment was conducted to assess the changing patterns and relative values
of acute phase proteins and inflammatory cytokines in experimental caprine
coccidiosis. Eighteen newborn kids were allocated to 3 equal groups. Two groups,
A and B, were inoculated with a single dose of 1*10(3) and1*10(5) sporulated
oocysts of Eimeria arloingi, respectively. The third group, C, received distilled
water as the control. Blood samples were collected from the jugular vein of each
kid in both groups before inoculation and at days 7, 14, 21, 28, 35, and 42 post
inoculation (PI), and the levels of haptoglobin (Hp), serum amyloid A (SAA), TNF
alpha, and IFN-gamma were measured. For histopathological examinations, 2 kids
were selected from each group, euthanized, and necropsied on day 42 PI. Mean Hp
concentrations in groups A and B (0.34 and 0.68 g/L) at day 7 PI were 3.2 and 6.3
times higher than the levels before inoculation. The mean SAA concentrations in
groups A and B (25.6 and 83.5 ug/ml) at day 7 PI were 4.2 and 13.7 times higher
than the levels before inoculation. The magnitude and duration of the Hp and SAA
responses correlated well with the inoculation doses and the severity of the
clinical signs and diarrhea in kids. These results were consistent with the
histopathological features, which showed advanced widespread lesions in group B.
In both groups, significant correlations were observed for TNF-alpha and IFN
gamma with SAA and Hp, respectively. In conclusion, Hp and SAA can be useful non
specific diagnostic indicators in caprine coccidiosis.
PMID- 22072821
TI - Expressed sequence tag analysis of the erythrocytic stage of Plasmodium berghei.
AB - Rodent malaria parasites, such as Plasmodium berghei, are practical and useful
model organisms for human malaria research because of their analogies to the
human malaria in terms of structure, physiology, and life cycle. Exploiting the
available genetic sequence information, we constructed a cDNA library from the
erythrocytic stages of P. berghei and analyzed the expressed sequence tag (EST).
A total of 10,040 ESTs were generated and assembled into 2,462 clusters. These
EST clusters were compared against public protein databases and 48 putative new
transcripts, most of which were hypothetical proteins with unknown function, were
identified. Genes encoding ribosomal or membrane proteins and purine nucleotide
phosphorylases were highly abundant clusters in P. berghei. Protein domain
analyses and the Gene Ontology functional categorization revealed
translation/protein folding, metabolism, protein degradation, and multiple family
of variant antigens to be mainly prevalent. The presently-collected ESTs and its
bioinformatic analysis will be useful resources to identify for drug target and
vaccine candidates and validate gene predictions of P. berghei.
PMID- 22072822
TI - Non-specific defensive factors of the Pacific oyster Crassostrea gigas against
infection with Marteilioides chungmuensis: a flow-cytometric study.
AB - In order to assess changes in the activity of immunecompetency present in
Crassostrea gigas infected with Marteilioides chungmuensis (Protozoa), the total
hemocyte counts (THC), hemocyte populations, hemocyte viability, and phagocytosis
rate were measured in oysters using flow cytometry. THC were increased
significantly in oysters infected with M. chungmuensis relative to the healthy
appearing oysters (HAO) (P<0.05). Among the total hemocyte composition,
granulocyte levels were significantly increased in infected oysters as compared
with HAO (P<0.05). In addition, the hyalinocyte was reduced significantly
(P<0.05). The hemocyte viability did not differ between infected oysters and HAO.
However, the phagocytosis rate was significantly higher in infected oysters
relative to HAO (P<0.05). The measurement of alterations in the activity of
immunecompetency in oysters, which was conducted via flow cytometry in this
study, might be a useful biomarker of the defense system for evaluating the
effects of ovarian parasites of C. gigas.
PMID- 22072823
TI - Protease-activated receptor 2 is involved in Th2 responses against Trichinella
spiralis infection.
AB - In order to get a better understanding of the role of protease-activated receptor
2 (PAR2) in type 2 helper T (Th2) cell responses against Trichinella spiralis
infection, we analyzed Th2 responses in T. spiralis-infected PAR2 knockout (KO)
mice. The levels of the Th2 cell-secreted cytokines, IL-4, IL-5, and IL-13 were
markedly reduced in the PAR2 KO mice as compared to the wild type mice following
infection with T. spiralis. The serum levels of parasite-specific IgE increased
significantly in the wild type mice as the result of T. spiralis infection, but
this level was not significantly increased in PAR2 KO mice. The expression level
of thymic stromal lymphopoietin, IL-25, and eotaxin gene (the genes were recently
known as Th2 response initiators) of mouse intestinal epithelial cells were
increased as the result of treatment with T. spiralis excretory-secretory
proteins. However, the expression of these chemokine genes was inhibited by
protease inhibitor treatments. In conclusion, PAR2 might involve in Th2 responses
against T. spiralis infection.
PMID- 22072824
TI - Parasitic helminth cystatin inhibits DSS-induced intestinal inflammation via IL
10(+)F4/80(+) macrophage recruitment.
AB - Many immune down-regulatory molecules have been isolated from parasites,
including cystatin (cystain protease inhibitor). In a previous study, we isolated
and characterized Type I cystatin (CsStefin-1) of the liver fluke, Clonorchis
sinensis. To investigate whether the CsStefin-1 might be a new host immune
modulator, we induced intestinal inflammation in mice by dextran sodium sulfate
(DSS) and treated them with recombinant CsStefin-1 (rCsStefin-1). The disease
activity index (DAI) increased in DSS only-treated mice. In contrast, the DAI
value was significantly reduced in rCsStefin-1-treated mice than DSS only-treated
mice. In addition, the colon length of DSS only-treated mice was shorter than
that of rCsStefin-1 treated mice. The secretion levels of IFN-gamma and TNF-alpha
in the spleen and mesenteric lymph nodes (MLNs) were significantly increased by
DSS treatment, but the level of TNF-alpha in MLNs was significantly decreased by
rCsStefin-1 treatment. IL-10 production in both spleen and MLNs was significantly
increased, and IL-10(+)F4/80(+) macrophage cells were significantly increased in
the spleen and MLNs of rCsStefin-1 treated mice after DSS treatment. In
conclusion, rCsStefin-1 could reduce the intestinal inflammation occurring after
DSS treatment, these effects might be related with recruitment of IL-10 secreting
macrophages.
PMID- 22072825
TI - In vitro effects of some herbs used in Egyptian traditional medicine on viability
of protoscolices of hydatid cysts.
AB - The present work evaluated the effects of alcoholic extracts of salvia (Salvia
officinalis), thyme (Thymus vulgaris), and 2 pure compounds (thymol and menthol)
on the viability of Echinococcus granulosus protoscolices in vitro. Four
different concentrations of each extract (2,500, 1,500, 1,000, and 500 ug/ml) and
3 different concentrations each of thymol and menthol (50, 10, and 1 ug/ml) were
used. Concentration of 2,500 ug/ml of both extracts showed a significant
protoscolicidal activity on the 6th day. Complete loss of viability of
protoscolices occurred with 500 ug/ml concentration of both extracts at day 6 and
day 7 post-treatment (PT), respectively. Pure compounds, i.e., menthol and
thymol, showed potent effects with 50 ug/ml concentration at day 2 and day 5 PT,
respectively. These effects were compared with those of albendazole sulfoxide
(800 ug/ml), a commonly used treatment drug for hydatidosis. Krebs-Ringer
solution and the hydatid cystic fluid at a ratio of 4:1 was a good preservative
solution which kept the protoscolices viable for 15 days.
PMID- 22072826
TI - Two cases of human thelaziasis and brief review of Korean cases.
AB - The present study was performed to describe 2 cases of human thelaziasis (HT)
which occurred in Gyeongsangnam- do and to briefly review the previously reported
Korean cases. A 58-year old woman, residing in Hadong-gun, Gyeongsangnam-do, came
to Gyeongsang National University Hospital (GNUH) complaining of foreign body
sensation and itching of the right eye in March 2000. Total 6 adult nematodes of
Thelazia callipaeda (2 males and 4 females) were detected in her right eye. A 80
year old man, residing in Jinju-si, Gyeongsangnam-do, came to GNUH complaining of
foreign body sensation, itching, and pain of the right eye in December 2007. A
total of 5 worms (4 females and 1 degenerated) were removed from his right eye.
We analyzed characteristics of the total 39 Korean HT cases reported to date,
including the present 2 cases. Most of the cases (71.8%) occurred in Seoul and
Gyeonggi-do before 2000, and 21 cases (53.8%) were males and 18 (46.2%) were
females. The prevalence was higher in younger ages below 30 years (48.7%) than 31
60 years (41.0%) and over 61 years (10.3%). The seasonal prevalence showed a
higher incidence in autumn (43.6%) than in other seasons. Most of the cases
(94.9%) were conjunctival sac infections and only 2 (5.1%) were intraocular
cases. The present 2 HT cases are the first reported cases in Gyeongsangnam-do.
Some characteristics of Korean HT cases were analyzed.
PMID- 22072827
TI - Hypersensitive reaction to praziquantel in a clonorchiasis patient.
AB - Praziquantel is the drug of choice for clonorchiasis. Since clonorchiasis is
endemic in most river basins, praziquantel has been widely used for 30 years in
Korea. A 54-year-old Korean woman suffered from hypersensitive reactions, such as
nausea, dyspnea, rash, and urticaria after taking the first dose of praziquantel
to treat clonorchiasis. She ingested one dose again and the same symptoms
appeared, and she was treated at a clinic with anti-histamines. She tried one
more dose with anti-histamines but found the same symptoms. Later, she was found
to pass eggs of Clonorchis sinensis and medicated with flubendazole. The
hypersensitive reaction to praziquantel is rare but occurs. This is the 5th case
report in the world.
PMID- 22072828
TI - Cystic echinococcosis of the pelvic bone with recurrences: a case report.
AB - Hydatid cysts commonly affect the liver and the lung. However, they rarely
involve bones with vertebral column. We hereby report a case of a female patient
with cystic echinococcosis of the hip bone and ilium. She presented with a long
history of frequent recurrences highlighting the dismal prognosis at this rare
site. Resection of the hydatid cyst from the sacroiliac region was done with
allograft and autograft (rib graft) with lumbosacroiliac fixation. Follow-up of
the patient at 6 months showed no detectable abnormality on radiology and the
patient was doing well.
PMID- 22072829
TI - PCR diagnosis of Entamoeba histolytica cysts in stool samples.
AB - Amebiasis is a protozoan disease caused by Entamoeba histolytica and a potential
health threat in areas where sanitation and hygiene are inappropriate. Highly
sensitive PCR methods for detection of E. histolytica in clinical and
environmental samples are extremely useful to control amebiasis and to promote
public health. The present study compared several primer sets for small subunit
(SSU) rDNA and histone genes of E. histolytica cysts. A 246 bp of the SSU rDNA
gene of pure cysts contained in phosphate-buffered saline (PBS) and in stool
samples was successfully amplified by nested PCR, using the 1,147-246 bp primer
set, of the primary PCR products which were pre-amplified using the 1,147 bp
primer as the template. The detection limit of the nested PCR using the 1,147-246
primer set was 10 cysts in both groups (PBS and stool samples). The PCR to detect
histone gene showed negative results. We propose that the nested PCR technique to
detect SSU rDNA can be used as a highly sensitive genetic method to detect E.
histolytica cysts in stool samples.
PMID- 22072830
TI - Naegleria fowleri lysate induces strong cytopathic effects and pro-inflammatory
cytokine release in rat microglial cells.
AB - Naegleria fowleri, a ubiquitous free-living ameba, causes fatal primary amebic
meningoencephalitis in humans. N. fowleri trophozoites are known to induce
cytopathic changes upon contact with microglial cells, including necrotic and
apoptotic cell death and pro-inflammatory cytokine release. In this study, we
treated rat microglial cells with amebic lysate to probe contact-independent
mechanisms for cytotoxicity, determining through a combination of light
microscopy and scanning and transmission electron microscopy whether N. fowleri
lysate could effect on both necrosis and apoptosis on microglia in a time- as
well as dose-dependent fashion. A (51)Cr release assay demonstrated pronounced
lysate induction of cytotoxicity (71.5%) toward microglial cells by 24 hr after
its addition to cultures. In an assay of pro-inflammatory cytokine release,
microglial cells treated with N. fowleri lysate produced TNF-alpha, IL-6, and IL
1beta, though generation of the former 2 cytokines was reduced with time, and
that of the last increased throughout the experimental period. In summary, N.
fowleri lysate exerted strong cytopathic effects on microglial cells, and
elicited pro-inflammatory cytokine release as a primary immune response.
PMID- 22072831
TI - NF-kappaB and CREB are involved in IL-8 production of human neutrophils induced
by Trichomonas vaginalis-derived secretory products.
AB - Trichomonas vaginalis is a flagellated lumen-dwelling extracellular protozoan
parasite that causes human trichomoniasis via sexual intercourse. Human
neutrophils play a crucial role in acute tissue inflammatory responses in T.
vaginalis infection. In this study, we investigated the signaling mechanism of
neutrophil responses when stimulated with T. vaginalis-derived secretory products
(TvSP), which were collected from 1*10(7) live trichomonads. Incubation of human
neutrophils isolated from peripheral blood with TvSP induced up-regulation of IL
8 protein secretion. In addition, stimulation with TvSP induced phosphorylation
of NF-kappaB and CREB in neutrophils. Moreover, TvSP-induced IL-8 production was
also significantly inhibited by pretreatment of neutrophils with ikappaB
inhibitor or CREB inhibitor. These results suggest that transcription factors NF
kappaB and CREB are involved in IL-8 production in human neutrophils induced by
stimulation with T. vaginalis infection.
PMID- 22072832
TI - Lactobacillus acidophilus contributes to a healthy environment for vaginal
epithelial cells.
AB - Lactobacillus species in the female genital tract are thought to act as a barrier
to infection. Several studies have demonstrated that lactobacilli can adhere to
vaginal epithelial cells. However, little is known about how the adherence of
lactobacilli to vaginal epithelial cells affects the acidity, cell viability, or
proliferation of the lactobacilli themselves or those of vaginal epithelial
cells. Lactobacillus acidophilus was co-cultured with immortalized human vaginal
epithelial cells (MS74 cell line), and the growth of L. acidophilus and the
acidity of the culture medium were measured. MS74 cell density and viability were
also assessed by counting cell numbers and observing the cell attachment state.
L. acidophilus showed exponential growth for the first 6 hr until 9 hr, and the
pH was maintained close to 4.0-5.0 at 24 hr after culture, consistent with
previous studies. The growth curve of L. acidophilus or the pH values were
relatively unaffected by co-culture with MS74 cells, confirming that L.
acidophilus maintains a low pH in the presence of MS74 cells. This co-culture
model could therefore potentially be used to mimic vaginal conditions for future
in vitro studies. On the other hand, MS74 cells co-cultured with L. acidophilus
more firmly attached to the culture plate, and a higher number of cells were
present compared to cells cultured in the absence of L. acidophilus. These
results indicate that L. acidophilus increases MS74 cell proliferation and
viability, suggesting that lactobacilli may contribute to the healthy environment
for vaginal epithelial cells.
PMID- 22072833
TI - Effects of simple and disposable chicken cages for experimental Eimeria
infections.
AB - During experimental Eimeria infections in chickens, facilities are often
contaminated by fecal oocysts known to be highly resistant to both chemical and
enzymatic treatments. Thus, studies using experimental Eimeria infections have
been limited due to the difficulty of complete elimination of residual oocysts
from both cages and facilities. To overcome this limitation, simple, inexpensive,
and disposable cages were constructed from cardboard boxes and tested during
experimental Eimeria maxima infections. The cages were used in animal rooms with
only a 1.7% evidence of coccidia contamination between adjacent cages. No
significant differences in fecal oocyst output and body weight gain were noted
between animals housed in disposable cages and animals housed in wire control
cages. This cage design is a useful means for preventing oocyst contamination
during experimental conditions, suggesting that this disposable cage design could
be used for other avian infectious disease studies.
PMID- 22072834
TI - Resistance to Toxoplasma gondii infection in mice treated with silk protein by
enhanced immune responses.
AB - This study investigated whether elevated host immune capacity can inhibit T.
gondii infection. For this purpose, we used silk protein extracted from Bombyx
mori cocoons as a natural supplement to augment immune capacity. After silk
protein administration to BALB/c mice for 6 weeks, ratios of T lymphocytes
(CD4(+) and CD8(+) T-cells) and splenocyte proliferative capacities in response
to Con A or T. gondii lysate antigen (TLA) were increased. Of various cytokines,
which regulate immune systems, Th1 cytokines, such as IFN-gamma, IL-2, and IL-12,
were obviously increased in splenocyte primary cell cultures. Furthermore, the
survival of T. gondii (RH strain)-infected mice increased from 2 days to 5 or
more days. In a state of immunosuppression induced by methylprednisolone acetate,
silk protein-administered mice were resistant to reduction in T-lymphocyte
(CD4(+) and CD8(+) T-cells) numbers and the splenocyte proliferative capacity
induced by Con A or TLA with a statistical significance. Taken together, our
results suggest that silk protein augments immune capacity in mice and the
increased cellular immunity by silk protein administration increases host
protection against acute T. gondii infection.
PMID- 22072835
TI - Maintained seroprevalence of toxoplasmosis among the residents of Jeju island,
Korea.
AB - Seroepidemiological status of toxoplasmosis among the residents of Jeju island
was surveyed and evaluated by ELISA with crude extract of Toxoplasma gondii. The
sera of 2,348 residents (male 1,157 and female 1,191) were collected and checked
for the IgG antibody titers, which showed 13.2% positive rate (309 sera). The
positive rates were increasing gradually according to the age from 4.3% in
teenage to 20.6% in seventies. The positive rates were significantly different
between the sex by 16.2% for male and 10.2% for female (P<0.05). This positive
rate of toxoplasmosis in Jeju island residents is regarded relatively higher than
any other regions of Korea. And the high positive rate may be maintained
continuously among Jeju island residents without any clear reasons until now but
due to some parts peculiar socio-cultural tradition of Jeju island. Therefore, it
is necessary to study further the epidemiology of toxoplasmosis of Jeju island.
PMID- 22072836
TI - Mosquito species composition and Plasmodium vivax infection rates on Baengnyeong
do (island), Republic of Korea.
AB - Vivax malaria is a significant military and civilian health threat in the north
of the Republic of Korea (ROK). The island of Baengnyeong-do is the westernmost
point of the ROK and is located close to the southwestern coast of the Democratic
People's Republic of Korea (DPRK). Mosquitoes were collected using a black light
trap on Baengnyeong-do, and Anopheles spp. were assayed by PCR, to identify the
species, and screened for sporozoites of Plasmodium vivax. Of a subsample of 257
mosquitoes, Anopheles lesteri was the most frequently collected (49.8%), followed
by Anopheles sinensis (22.6%), Anopheles pullus (18.7%), Anopheles kleini (7.8%),
and Anopheles belenrae (1.2%). The overall sporozoite rate was 3.1%, with the
highest rates observed in An. kleini (15.0%), An. sinensis (5.2%), and An.
lesteri (1.6%). No sporozoite positive An. pullus or An. belenrae were observed.
The results extend our knowledge of the distribution and potential role in
malaria transmission of An. kleini, An. lesteri, and An. sinensis, for an area
previously considered to be at a low risk for contracting vivax malaria.
PMID- 22072837
TI - The Jeju weasel, Mustela sibilica quelpartis, a new definitive host for
Gnathostoma nipponicum Yamaguti, 1941.
AB - Adult gnathostomes were discovered in the stomach of the Jeju weasel, Mustela
sibilica quelpartis, road-killed in Jeju-do (Province). Their morphological
characters were examined to identify the species. Total 50 gnathostome adults
were collected from 6 out of 10 weasels examined. In infected weasels, 4-6 worms
were grouped and embedded in each granulomatous gastric tumor, except 1 weasel.
Male worms were 25.0*1.4 mm in average size, and had a tail with pedunculate
papillae, a spicule, and minute tegumental spines. Females were 40.0*2.5 mm in
average size, and had a tail without tegumental spines. Pointed and posteriorly
curved hooklets were arranged in 8-10 rows on the head bulb. Tegumental spines
were distributed from behind the head bulb to the middle portion of the body. The
spines were different in size and shape by the distribution level of the body
surface. Fertilized eggs were 65.5*38.9 um in average size, and had a mucoid plug
at 1 pole. These gnathostomes from Jeju weasels were identified as Gnathostoma
nipponicum Yamaguti, 1941. By the present study, it was confirmed for the first
time that G. nipponicum is distributed in Jeju-do, the Republic of Korea, and the
Jeju weasel, M. sibilica quelpartis, plays a crucial role for its definitive
host.
PMID- 22072838
TI - Enterobius vermicularis eggs discovered in coprolites from a medieval Korean
mummy.
AB - While the presence of pinworm eggs in archaeological samples has been reported by
many researchers in the New World, those have been detected very scarcely in the
Old World, especially in East Asian countries. In fact, many parasite species
were recovered from the archeological remains in Korea, eggs of Enterobius
vermicularis had not been found. Recently, a female mummy buried in the 17th
century was discovered in the Joseon tomb from Dangjin-gun, Chungcheongnam-do,
Korea. After rehydration process for 12 days, investigations were carried on the
luminal surface of the colon. From them, 3 eggs of E. vermicularis were
recovered. They were elliptical, transparent with a thin egg shell, 50.3+/-5.2 um
(length) and 28.2+/-3.9 um (width) in size. This is the first discovery of E.
vermicularis eggs in East Asia.
PMID- 22072839
TI - Discovery of taeniid eggs from a 17th century tomb in Korea.
AB - Even though Taenia spp. eggs are occasionally discovered from archeological
remains around the world, these eggs have never been discovered in ancient
samples from Korea. When we attempted to re-examine the archeological samples
maintained in our collection, the eggs of Taenia spp., 5 in total number, were
recovered from a tomb of Gongju-si. The eggs had radially striated embryophore,
and 37.5-40.0 um*37.5 um in size. This is the first report on taeniid eggs from
ancient samples of Korea, and it is suggested that intensive examination of
voluminous archeological samples should be needed for identification of Taenia
spp.
PMID- 22072840
TI - Ticks collected from selected mammalian hosts surveyed in the Republic of Korea
during 2008-2009.
AB - A tick survey was conducted to determine the relative abundance and distribution
of ticks associated with selected mammals in the Republic of Korea (ROK) during
2008-2009. A total of 918 ticks were collected from 76 mammals (6 families, 9
species) captured at 6 provinces and 3 Metropolitan Cities in ROK. Haemaphysalis
longicornis (54.4%) was the most frequently collected tick, followed by
Haemaphysalis flava (28.5%), Ixodes nipponensis (7.6%), Ixodes pomerantzevi
(4.8%), Ixodes persulcatus (4.6%), and Haemaphysalis japonica (0.1%). Adults
(57.0%) and nymphs (28.7%) of Ixodes and Haemaphysalis spp. were collected most
frequently from medium or large mammals in this survey, while few larvae (14.3%)
were collected. Hydropotes inermis was the most frequently captured mammal
(52.6%), with a 16.4 tick index and 5 of 6 species of ticks collected during this
survey. H. longicornis (69.7%) was the predominant tick collected from H.
inermis, followed by H. flava (22.2%), I. persulcatus (6.1%), I. nipponensis
(1.8%), and H. japonica (0.2%).
PMID- 22072841
TI - Predicting overt and covert antisocial behaviors: parents, peers, and
homelessness.
AB - Parental deviance, parental monitoring, and deviant peers were examined as
predictors of overt and covert antisocial behaviors. Homeless (N=231) and housed
(N=143) adolescents were assessed in adolescence and again in early adulthood.
Homelessness predicted both types of antisocial behaviors, and effects persisted
in young adulthood. Parental deviance predicted only overt antisocial behaviors
in adolescence, and was fully mediated by parental monitoring. Parental
monitoring predicted both types of antisocial behaviors in adolescence, and was
partially mediated by peer deviance. Parenting and peer influences did not
consistently predict antisocial behaviors in adulthood.
PMID- 22072842
TI - Engagement in Adulthood: Perceptions and Participation in Daily Activities.
AB - The present research explores how older adults experience daily activities
through an application of the Day Reconstruction Method (Kahneman, Kreuger,
Schakade, Schwartz, & Stone, 2004). Over the course of the day, individuals (N =
192, M = 72 years) spent an average of 14.50 hours engaged in a variety of
activities. Individual differences in activity patterns could be partly explained
by age and educational attainment. The oldest individuals (81-92 years) perceived
lower levels of competence when engaging in daily activities. Regardless of age,
however, individuals with greater educational attainment allocated more time and
felt more intellectually challenged in their daily experiences.
PMID- 22072843
TI - Challenges to Aging in Place: Understanding Home Maintenance Difficulties.
AB - Age-related declines in capabilities may compromise older adults' ability to
maintain their homes thus threatening successful aging in place. Structured
interviews were conducted with forty-four independently living older adults
(M(age) = 76.1, SD = 4.7) to discuss difficult home maintenance tasks and how
they managed those tasks. Solutions to managing difficulties were categorized as
person-related or environment-related. The majority (85%) of responses were
person-related solutions. An understanding of the specific challenges that older
adults face in maintaining their homes can guide redesign efforts and
interventions to effectively support older adults' desire to age in place.
PMID- 22072844
TI - Posttraumatic Stress and Alcohol Use Motives: A Test of the Incremental and
Mediating Role of Distress Tolerance.
AB - This investigation first examined the incremental validity of distress tolerance
in terms of alcohol use coping motives within a trauma-exposed community sample
of adults, beyond the variance contributed by posttraumatic stress symptom
severity, difficulties in emotion regulation, alcohol consumption, and other
(noncriterion) alcohol use motives. Secondly, the potential mediating role of
distress tolerance in the association between posttraumatic stress symptom
severity and alcohol use coping motives was tested. Participants were 83
community-recruited individuals (63.8% women; M(age) = 22.98, SD = 9.24) who
endorsed exposure to at least one traumatic life event and past-month alcohol
use. Participants were assessed using structured diagnostic interviews and a
series of self-report inventories. Results were consistent with hypotheses,
because distress tolerance was significantly and incrementally associated with
alcohol use coping motives; and distress tolerance at least partially mediated
the association between posttraumatic stress and alcohol use coping motives.
Theoretical and clinical implications as well as future directions regarding the
association between distress tolerance and alcohol use motives among trauma
exposed persons are discussed.
PMID- 22072845
TI - Selection criteria for cytoreductive surgery and hyperthermic intraperitoneal
chemotherapy in gastric cancer.
AB - Peritoneal carcinomatosis in gastric cancer is associated with a dismal
prognosis. Systemic chemotherapy is not effective because of the existence of a
blood-peritoneal barrier. Cytoreductive surgery and intraperitoneal chemotherapy
can improve survival and quality of life in selected patients. Patient selection
for this multimodal approach is one of the most critical issues, and calls for
interdisciplinary evaluation by radiologists, medical and surgical oncologists,
and anaesthetists. This article sets forth criteria for selection of gastric
cancer patients suffering from peritoneal carcinomatosis.
PMID- 22072846
TI - Distinct colonoscopy findings of microscopic colitis: not so microscopic after
all?
AB - Microscopic colitis (MC) is considered an "umbrella term", comprising two
subtypes, i.e., collagenous colitis (CC) and lymphocytic colitis (LC). They are
classically associated with normal or unremarkable colonoscopy. In the last few
years, reports have been published revealing findings that are thought to be
characteristic or pathognomonic of MC, especially CC. A systematic electronic and
manual search of PubMed and EMBASE (to December 2010), for publications on
distinct endoscopic findings in MC, resulted in 42 relevant reports for inclusion
in this review. Eighty eight patients with collagenous colitis were presented.
Only one publication describing a distinct endoscopic pattern in LC was found.
Typical findings in CC are alteration of the vascular mucosal pattern, mucosal
nodularity, a sequence of change from mucosal defects to mucosal cicatricial
lesions, and perhaps (although of doubtful relevance) mucosal pseudomembranes. A
causal connection of mucosal defects with the use of lansoprazole seems to exist.
Adoption of the proposed lesion description herein is recommended in order to
improve homogeneity of future reports.
PMID- 22072847
TI - Optimizing 6-mercaptopurine and azathioprine therapy in the management of
inflammatory bowel disease.
AB - The thiopurine drugs, 6-mercaptopurine (6-MP) and azathioprine, are efficacious
in the arsenal of inflammatory bowel disease (IBD) therapy. Previous reports
indicate that 6-thioguanine nucleotide (6-TGN) levels correlate with therapeutic
efficacy, whereas high 6-methylmercaptopurine (6-MMP) levels are associated with
hepatotoxicity and myelotoxicity. Due to their complex metabolism, there is wide
individual variation in patient response therein, both in achieving therapeutic
drug levels as well as in developing adverse reactions. Several strategies to
optimize 6-TGN while minimizing 6-MMP levels have been adopted to administer the
thiopurine class of drugs to patients who otherwise would not tolerate these
drugs due to side-effects. In this report, we will review different approaches to
administer the thiopurine medications, including the administration of 6
mercaptopurine in those unsuccessfully treated with azathioprine; co
administration of thiopurine with allopurinol; co-administration of thiopurine
with anti-tumor necrosis factor alpha; 6-TGN administration; desensitization
trials; and split dosing of 6-MP.
PMID- 22072849
TI - Hepatic steatosis prevents heme oxygenase-1 induction by isoflurane in the rat
liver.
AB - AIM: To characterize the inductive effects of isoflurane (ISO) on hepatic heme
oxygenase-1 (HO-1) in an animal model of hepatic steatosis. METHODS: Lean (LEAN)
and obese (FAT) Zucker rats were randomized into 4 groups: 1: LEAN +
pentobarbital sodium (PEN); 2: LEAN + ISO; 3: FAT + PEN; 4: FAT + ISO. The
animals were mechanically ventilated for 6 h. In vitro analyses of liver tissue
included determination of HO-1 mRNA and protein expression as well as measurement
of HO enzyme activity and immunohistochemical analyses. RESULTS: Compared to PEN
treatment, ISO administration profoundly induced hepatic HO-1 mRNA and protein
expression and significantly increased HO enzyme activity in lean Zucker rats. In
contrast, no difference in HO-1 gene expression was observed after ISO or PEN
anesthesia in obese Zucker rats. CONCLUSION: The present study demonstrates that
ISO is an inducer of hepatic HO-1 gene expression in non-steatotic organs but
failed to upregulate HO-1 in steatotic livers.
PMID- 22072848
TI - Barrett's esophagus with high-grade dysplasia: focus on current treatment
options.
AB - High-grade dysplasia (HGD) in Barrett's esophagus (BE) is the critical step
before invasive esophageal adenocarcinoma. Although its natural history remains
unclear, an aggressive therapeutic approach is usually indicated. Esophagectomy
represents the only treatment able to reliably eradicate the neoplastic
epithelium. In healthy patients with reasonable life expectancy, vagal-sparing
esophagectomy, with associated low mortality and low early and late postoperative
morbidity, is considered the treatment of choice for BE with HGD. Patients unfit
for surgery should be managed in a less aggressive manner, using endoscopic
ablation or endoscopic mucosal resection of the entire BE segment, followed by
lifelong surveillance. Patients eligible for surgery who present with a long BE
segment, multifocal dysplastic lesions, severe reflux symptoms, a large fixed
hiatal hernia or dysphagia comprise a challenging group with regard to the
appropriate treatment, either surgical or endoscopic.
PMID- 22072850
TI - Decreased accumulation of ultrasound contrast in the liver of nonalcoholic
steatohepatitis rat model.
AB - AIM: To investigate the diagnosis of nonalcoholic steatohepatitis (NASH) using
contrast ultrasonography in the NASH rat model. METHODS: The liver in methionine
choline-deficient diet (MCDD) rats, a NASH model constructed by feeding an MCDD,
was examined by contrast ultrasonography at weeks 2, 4, 8, 12 and 16, with late
phase images of contrast ultrasonography (Kupffer imaging) in which contrast
enhancement was achieved by incorporation of a contrast agent by Kupffer cells
(KCs), and images were compared to those in rats taking a regular chow. RESULTS:
Decrease in contrast enhancement was observed first in MCDD rats at week 2. KCs
were counted based on immunohistochemistry, but their numbers were not reduced
and it was assumed that attenuation of contrast enhancement was attributable to
reduced phagocytic activity of the KCs. CONCLUSION: It is suggested that clinical
application of contrast ultrasonography may be valuable for non-invasive
diagnosis of NASH.
PMID- 22072851
TI - Comparative outcome of stapled trans-anal rectal resection and macrogol in the
treatment of defecation disorders.
AB - AIM: To prospectively assess the efficacy and safety of stapled trans-anal rectal
resection (STARR) compared to standard conservative treatment, and whether
preoperative symptoms and findings at defecography and anorectal manometry can
predict the outcome of STARR. METHODS: Thirty patients (Female, 28; age: 51 +/- 9
years) with rectocele or rectal intussusception, a defecation disorder, and
functional constipation were submitted for STARR. Thirty comparable patients
(Female, 30; age 53 +/- 13 years), who presented with symptoms of rectocele or
rectal intussusception and were treated with macrogol, were assessed. Patients
were interviewed with a standardized questionnaire at study enrollment and 38 +/-
18 mo after the STARR procedure or during macrogol treatment. A responder was
defined as an absence of the Rome III diagnostic criteria for functional
constipation. Defecography and rectoanal manometry were performed before and
after the STARR procedure in 16 and 12 patients, respectively. RESULTS: After
STARR, 53% of patients were responders; during conservative treatment, 75% were
responders. After STARR, 30% of the patients reported the use of laxatives, 17%
had intermittent anal pain, 13% had anal leakage, 13% required digital
facilitation, 6% experienced defecatory urgency, 6% experienced fecal
incontinence, and 6% required re-intervention. During macrogol therapy, 23% of
the patients complained of abdominal bloating and 13% of borborygmi, and 3%
required digital facilitation. No preoperative symptom, defecographic, or
manometric finding predicted the outcome of STARR. Post-operative defecography
showed a statistically significant reduction (P < 0.05) of the rectal diameter
and rectocele. The post-operative anorectal manometry showed that anal pressure
and rectal sensitivity were not significantly modified, and that rectal
compliance was reduced (P = 0.01). CONCLUSION: STARR is not better and is less
safe than macrogol in the treatment of defecation disorders. It could be
considered as an alternative therapy in patients unresponsive to macrogol.
PMID- 22072852
TI - Comparison of Milan and UCSF criteria for liver transplantation to treat
hepatocellular carcinoma.
AB - AIM: To assess the validity of the Milan and University of California San
Francisco (UCSF) criteria and examine the long-term outcome of orthotopic liver
transplantation (OLT) in patients with hepatocellular carcinoma (HCC) in a single
center study. METHODS: This study is a retrospective review of prospectively
collected data. Between 1998 and 2009, 56 of 356 OLTs were performed in patients
with HCC. Based on pathological examination of liver explants, patients were
retrospectively categorized into 3 grou-ps: Milan + (n = 34), Milan -/UCSF + (n =
7) and UCSF - (n = 14). RESULTS: Median follow-up period was 39.5 (1-124) mo. The
5-year overall survival rates in the Milan +, Milan -/UCSF + and UCSF-groups were
87.7%, 53.6% and 33.3%, respectively (P < 0.000). Within these groups, tumor
recurrence was determined in 5.8%, 14.3% and 40% of patients, respectively (P <
0.011). Additionally, the presence of microvascular invasion within the explanted
liver had a negative effect on the 5-year disease free survival (74.7% vs. 46.7%,
P < 0.044). CONCLUSION: The Milan criteria are reliable in the selection of
suitable candidates for OLT for the treatment of HCC. For cases of OLT involving
living donors, the UCSF criteria may be applied.
PMID- 22072853
TI - Efficacy of premedication with activated Dimethicone or N-acetylcysteine in
improving visibility during upper endoscopy.
AB - AIM: To assess the efficacy of N-acetylcysteine (NAC) and activated Dimethicone
in improving endoscopic mucosal visibility. METHODS: A total of 148 patients were
randomly allocated into four groups to receive one of the following
premedications: group A: 100 mL water alone; group B: activated Dimethicone plus
water (up to 100 mL); group C: NAC plus water (up to 100 mL); and group D:
activated Dimethicone and NAC plus water (up to 100 mL). A single endoscopist
blinded to the patients group assessed the gastric mucosal visibility scores
(range 1-4) at four sites. The sum of the scores from the four sites was
considered as the total mucosal visibility score (TMVS). RESULTS: The patients in
group B showed a significantly lower TMVS than those of groups A and C (P <
0.001). The TMVS in patients of group D was significantly lower than that of
groups A and C (P < 0.001). The TMVS did not significantly differ between groups
B and D (P > 0.05). The difference between TMVS of groups C and A was not
significant (P > 0.05). CONCLUSION: Premedication with activated Dimethicone 20
min prior to the upper endoscopy leads to the best visibility. NAC does not
improve visualization by itself.
PMID- 22072854
TI - Usefulness of fecal lactoferrin in predicting and monitoring the clinical
severity of infectious diarrhea.
AB - AIM: To explore the value of fecal lactoferrin in predicting and monitoring the
clinical severity of infectious diarrhea. METHODS: Patients with acute infectious
diarrhea ranging from 3 mo to 10 years in age were enrolled, and one to three
stool samples from each subject were collected. Certain parameters, including
white blood cells /differential count, C-reactive protein, fecal mucus, fecal pus
cells, duration of fever, vomiting, diarrhea and severity (indicated by Clark and
Vesikari scores), were recorded and analyzed. Fecal lactoferrin was determined by
enzyme-linked immunosorbent assay and compared in different pathogen and disease
activity. Generalized estimating equations (GEE) were also used for analysis.
RESULTS: Data included 226 evaluations for 117 individuals across three different
time points. Fecal lactoferrin was higher in patients with Salmonella (11.17
MUg/g +/- 2.73 MUg/g) or Campylobacter (10.32 MUg/g +/- 2.94 MUg/g) infections
and lower in patients with rotavirus (2.82 MUg/g +/- 1.27 MUg/g) or norovirus
(3.16 MUg/g +/- 1.18 MUg/g) infections. Concentrations of fecal lactoferrin were
significantly elevated in patients with severe (11.32 MUg/g +/- 3.29 MUg/g) or
moderate (3.77 MUg/g +/- 2.08 MUg/g) disease activity compared with subjects with
mild (1.51 MUg/g +/- 1.36 MUg/g) disease activity (P < 0.05). GEE analysis
suggests that this marker could be used to monitor the severity and course of
gastrointestinal infections and may provide information for disease management.
CONCLUSION: Fecal lactoferrin increased during bacterial infection and with
greater disease severity and may be a good marker for predicting and monitoring
intestinal inflammation in children with infectious diarrhea.
PMID- 22072855
TI - Aberrant methylation of the 3q25 tumor suppressor gene PTX3 in human esophageal
squamous cell carcinoma.
AB - AIM: To identify the novel methylation-silenced gene pentraxin 3 (PTX3) in
esophageal squamous cell carcinoma (ESCC). METHODS: PTX3 mRNA expression was
examined in six human ESCC cell lines, one human immortalized normal esophageal
epithelial cell line, primary ESCC tumor tissue, and paired adjacent nontumor
tissue using reverse transcription polymerase chain reaction (RT-PCR). Semi
quantitative immunohistochemistry was used to examine cellular localisation and
protein levels. Methylation specific PCR and bisulphite genomic sequencing were
employed to investigate the methylation of the candidate gene. RESULTS: In the
majority of ESCC cell lines, we found that PTX3 expression was down-regulated due
to gene promoter hypermethylation, which was further confirmed by bisulphite
genomic sequencing. Demethylation treatment with 5-aza-2'-deoxycytidine restored
PTX3 mRNA expression in ESCC cell lines. Methylation was more common in tumor
tissues (85%) than in adjacent nontumor tissues (25%) (P < 0 .01). CONCLUSION:
PTX3 is down-regulated through promoter hypermethylation in ESCC, and could
potentially serve as a biomarker of ESCC.
PMID- 22072856
TI - Role of Kasai procedure in surgery of hilar bile duct strictures.
AB - AIM: To assess the application of the Kasai procedure in the surgical management
of hilar bile duct strictures. METHODS: Ten consecutive patients between 2005 and
2011 with hilar bile duct strictures who underwent the Kasai procedure were
retrospectively analyzed. Kasai portoenterostomy with the placement of biliary
stents was performed in all patients. Clinical characteristics, postoperative
complications, and long-term outcomes were analyzed. All patients were followed
up for 2-60 mo postoperatively. RESULTS: Patients were classified according to
the Bismuth classification of biliary strictures. There were two Bismuth III and
eight Bismuth IV lesions. Six lesions were benign and four were malignant. Of the
benign lesions, three were due to post-cholecystectomy injury, one to trauma, one
to inflammation, and one to inflammatory pseudotumor. Of the malignant lesions,
four were due to hilar cholangiocarcinoma. All patients underwent Kasai
portoenterostomy with the placement of biliary stents. There were no
perioperative deaths. One patient experienced anastomotic leak and was managed
conservatively. No other complications occurred perioperatively. During the
follow-up period, all patients reported a good quality of life. CONCLUSION: The
Kasai procedure combined with biliary stents may be appropriate for patients with
hilar biliary stricture that cannot be managed by standard surgical methods.
PMID- 22072857
TI - Three initial diets for management of mild acute pancreatitis: a meta-analysis.
AB - AIM: To compare non-liquid and clear-liquid diets, and to assess whether the
latter is the optimal treatment for mild acute pancreatitis. METHODS: The
Cochrane Library, PUBMED, EMBASE, EBM review databases, Science Citation Index
Expanded, and several Chinese databases were searched up to March 2011.
Randomized controlled trials (RCTs) that compared non-liquid with clear-liquid
diets in patients with mild acute pancreatitis were included. A meta-analysis was
performed using available evidence from RCTs. RESULTS: Three RCTs of adequate
quality involving a total of 362 participants were included in the final
analysis. Compared to liquid diet, non-liquid diet significantly decreased the
length of hospitalization [mean difference (MD): 1.18, 95% CI: 0.82-1.55;
P<0.00001] and total length of hospitalization (MD: 1.31, 95% CI: 0.45-2.17; P =
0.003). The subgroup analysis showed solid diet was more favorable than clear
liquid diet in the length of hospitalization, with a pooled MD being -1.05 (95%
CI: -1.43 to -0.66; P<0.00001). However, compared with clear liquid diet, both
soft and solid diets did not show any significant differences for recurrence of
pain after re-feeding, either alone [relative risk (RR): 0.95; 95% CI: 0.51-1.87;
P = 0.88] and (RR: 1.22; 95% CI: 0.69-2.16; P = 0.49), respectively, or analyzed
together as non-liquid diet (RR: 0.80; 95% CI: 0.47-1.36; P = 0.41). CONCLUSION:
The non-liquid soft or solid diet did not increase pain recurrence after re
feeding, compared with the clear-liquid diet. The non-liquid diet reduced
hospitalization.
PMID- 22072859
TI - Johanson-Blizzard syndrome.
AB - Johanson-Blizzard syndrome (JBS) is a rare autosomal recessive disease
characterized by exocrine pancreatic insufficiency, hypoplastic or aplastic nasal
alae, cutis aplasia on the scalp, and other features including developmental
delay, failure to thrive, hearing loss, mental retardation, hypothyroidism,
dental abnormalities, and anomalies in cardiac and genitourinary systems. More
than 60 cases of this syndrome have been reported to date. We describe the case
of a male infant with typical symptoms of JBS. In addition, a new clinical
feature which has not previously been documented, that is anemia requiring
frequent blood transfusions and mild to moderate thrombocytopenia was observed. A
molecular study was performed which revealed a novel homozygous UBR1 mutation.
Possible explanations for this new association are discussed.
PMID- 22072858
TI - Integration of human papillomavirus 18 DNA in esophageal carcinoma 109 cells.
AB - AIM: To detect human papillomavirus (HPV) DNA in esophageal carcinoma (EC) 109
cells and investigate the relationship between HPV and EC. METHODS: Genomic DNA
and total RNA from EC109 cells were isolated. HPV DNA was detected by polymerase
chain reaction (PCR) with the general primer sets of My09/11 and GP5 +/6 + for
the HPV L1 gene and type-specific primer sets for HPV18 E6 and HPV18 E6-E7.
Reverse transcription (RT) of mRNA isolated from EC109 cells was performed to
produce a cDNA. And then a PCR-based protocol for the amplification of
papillomavirus oncogene transcripts was used to analyze HPV18 DNA and integrated
transcripts of HPV18 in the chromosomes of EC109 cells. The final nested PCR
products were cloned into a pMD-18T vector and sequenced to analyze the
chromosomal location of HPV integration. RESULTS: HPV18 DNA was detected in EC109
cells by PCR using the general primer sets of My09/11 and GP5 +/6 + for HPV L1
and the type-specific primer sets for HPV18 E6 and E6-E7 to generate products of
450 bp, 150 bp, 335 bp and 944 bp, respectively. Approximately 600 bp of
integrated HPV18-specific transcript was identified. The final nested PCR product
of integrated HPV18 DNA was cloned into a pMD-18T vector and sequenced to analyze
the chromosomal location of HPV integration. Sequence alignment showed that the
HPV18 sequence from EC109 cells was identical to that of the encoded early
protein E7-E1 of the standard HPV18 strain X05015, and another partial gene
sequence was identical to a partial sequence of human chromosome 8. CONCLUSION:
Integration of the HPV genome into the host cell chromosome suggests that
persistent HPV infection is vital for malignant cell transformation and
carcinogenesis.
PMID- 22072860
TI - Count-Rate Performance of the Discovery STE PET Scanner Using Partial
Collimation.
AB - We investigated the use of partial collimation on a clinical PET scanner by
removing septa from conventional 2D collimators. The goal is to improve noise
equivalent count-rates (NEC) compared to 2D and 3D scans for clinically relevant
activity concentrations. We evaluated two cases: removing half of the septa
(2.5D); and removing two-thirds of the septa (2.7D). System performance was first
modeled using the SimSET simulation package, and then measured with the NEMA NU2
2001 count-rate cylinder (20 cm dia., 70 cm long), and 27 cm and 35 cm diameter
cylinders of the same length. An image quality phantom was also imaged with the
2.7D collimator. SimSET predicted the relative NEC curves very well, as confirmed
by measurements, with 2.5D and 2.7D NEC greater than 2D and 3D NEC in the range
of ~5-20 mCi in the phantom. We successfully reconstructed images of the image
quality phantom from measured 2.7D data using custom 2.7D normalization. Partial
collimation shows promise for optimized clinical imaging in a fixed-collimator
system.
PMID- 22072861
TI - Simulations of the Effect of Collimation on Count Rates of an LSO PET System.
AB - We present a simulation study of the effect of different degrees of collimation
on countrate performance of a hypothetical PET scanner with LSO crystals. The
simulated scanner is loosely based on the geometry of the Siemens Biograph Hi-Rez
scanner.System behavior is studied with a photon tracking simulation package
(SimSET).We investigate the NEMA NU2-2001 count rate and scatter fraction
behavior for systems with different amounts of collimation, which is achieved by
adding septa to the fully-3D system as in clinical use. We study systems with 2,
5, 11, and 40 septa. The effect of collimation is studied for three patient
thicknesses.The resulting count rate curves for true, scattered, and random
coincidences as well as noise equivalent count rates are compared for the
different collimation cases. Improved countrate performance with partial
collimation is seen. However, except for the largest diameter phantom, the NEC
rate increase is seen at higher activities than those used clinically.The NEC
countrate versus activity curves for the LSO systems are also compared to those
from a BGO system where partial collimation increases NEC countrate over a
clinically relevant activity range.
PMID- 22072862
TI - Design and Implementation of a Block Detector Simulation in SimSET.
AB - SimSET (a Simulation System for Emission Tomography) is being modified to more
accurately simulate typical PET block detector tomographs. A new detector module
that models the detector system as a collection of rectangular boxes is being
added to the software. The new model is sufficiently general to allow many more
imaginative or speculative detector systems to be simulated.
PMID- 22072863
TI - Phase I dose escalation safety study of nanoparticulate paclitaxel (CTI 52010) in
normal dogs.
AB - BACKGROUND: Paclitaxel is highly effective in the treatment of many cancers in
humans, but cannot be routinely used in dogs as currently formulated due to the
exquisite sensitivity of this species to surfactant-solubilizing agents. CTI
52010 is a formulation of nanoparticulate paclitaxel consisting of drug and
normal saline. Our objectives were to determine the maximally tolerated dose,
dose-limiting toxicities, and pharmacokinetics of CTI 52010 administered
intravenously to normal dogs. METHODS: Three normal adult hound dogs were
evaluated by physical examination, complete blood count, chemistry profile, and
urinalysis. Dogs were treated with staggered escalating dosages of CTI 52010 with
a 28-day washout. All dogs were treated with a starting dosage of 40 mg/m(2), and
subsequent dosages were escalated at 50% (dog 1), 100% (dog 2), or 200% (dog 3)
with each cycle, to a maximum of 240 mg/m(2). Dogs were monitored by daily
physical assessment and weekly laboratory evaluation. Standard criteria were used
to grade adverse events. Plasma was collected at regular intervals to determine
pharmacokinetics. Dogs were euthanized humanely, and necropsy was performed one
week after the last treatment. RESULTS: The dose-limiting toxicity was grade 4
neutropenia and the maximum tolerated dosage was 120 mg/m(2). Grade 1-2
gastrointestinal toxicity was noted at higher dosages. Upon post mortem
evaluation, no evidence of organ (liver, kidney, spleen) toxicity was noted.
CONCLUSION: CTI 52010 was well tolerated when administered intravenously to
normal dogs. A starting dosage for a Phase I/II trial in tumor-bearing dogs is 80
mg/m(2).
PMID- 22072864
TI - Quantum dot-based quantitative immunofluorescence detection and spectrum analysis
of epidermal growth factor receptor in breast cancer tissue arrays.
AB - BACKGROUND: The epidermal growth factor receptor (EGFR) is a promising
therapeutic target in cancer, but its clinical value in breast cancer remains
controversial. Our previous studies have found that quantitative analysis of
biomarkers with quantum dot-based nanotechnology had better detection performance
than conventional immunohistochemistry. The present study was undertaken to
investigate the prognostic value of EGFR in breast cancer using quantum dot-based
quantitative spectral analysis. METHODS: EGFR expression in 65 breast cancer
specimens was detected by immunohistochemistry and quantum dot
immunohistochemistry, and comparisons were made between the two methods. EGFR
expression in tissue microarrays of 240 breast cancer patients was then detected
by quantum dot-immunohistochemistry and spectral analysis. The prognostic value
of EGFR immunofluorescence area (EGFR area) for five-year recurrence-free
survival was investigated. RESULTS: The same antigen localization, high
correlation of staining rates (r = 0.914), and high agreement of measurement
(kappa = 0.848) of EGFR expression in breast cancer were found by quantum dot
immunohistochemistry and immunohistochemistry. The EGFR area showed significant
differences by tumor grade, lymph node status, HER2 status, and hormone receptor
status (all P < 0.05). Patients in the large EGFR area (>= 30.51) group had a
significantly higher five-year recurrence rate (47.2% versus 27.4%, P = 0.002)
and worse five-year recurrence-free survival (log-rank test, P = 0.0015) than
those in the small EGFR area (<30.51) group. In the subgroups, EGFR area was an
independent prognosticator in the HER2-positive and lymph node-positive
subgroups. CONCLUSION: Quantum dot-based quantitative detection demonstrates the
prognostic value of EGFR area in the HER2-positive and lymph node-positive
subgroups of invasive breast cancer.
PMID- 22072865
TI - Preparation, characterization, and efficient transfection of cationic liposomes
and nanomagnetic cationic liposomes.
AB - PURPOSE: Cationic liposomes (CLs) are composed of phospholipid bilayers. One of
the most important applications of these particles is in drug and gene delivery.
However, using CLs to deliver therapeutic nucleic acids and drugs to target
organs has some problems, including low transfection efficiency in vivo. The aim
of this study was to develop novel CLs containing magnetite to overcome the
deficiencies. MATERIALS AND METHODS: CLs and magnetic cationic liposomes (MCLs)
were prepared using the freeze-dried empty liposome method. Luciferase-harboring
vectors (pGL3) were transferred into liposomes and the transfection efficiencies
were determined by luciferase assay. Firefly luciferase is one of most popular
reporter genes often used to measure the efficiency of gene transfer in vivo and
in vitro. Different formulations of liposomes have been used for delivery of
different kinds of gene reporters. Lipoplex (liposome-plasmid DNA complexes)
formation was monitored by gel retardation assay. Size and charge of lipoplexes
were determined using particle size analysis. Chinese hamster ovary cells were
transfected by lipoplexes (liposome-pGL3); transfection efficiency and gene
expression level was evaluated by luciferase assay. RESULTS: High transfection
efficiency of plasmid by CLs and novel nanomagnetic CLs was achieved. Moreover,
lipoplexes showed less cytotoxicity than polyethyleneimine and Lipofectamine.
CONCLUSION: Novel liposome compositions (1,2-dipalmitoyl-sn-glycero-3
phosphocholine [DPPC]/dioctadecyldimethylammonium bromide [DOAB] and
DPPC/cholesterol/DOAB) with high transfection efficiency can be useful in gene
delivery in vitro. MCLs can also be used for targeted gene delivery, due to
magnetic characteristic for conduction of genes or drugs to target organs.
PMID- 22072866
TI - Novel formulation of a methotrexate derivative with a lipid nanoemulsion.
AB - BACKGROUND: Lipid nanoemulsions that bind to low-density lipoprotein receptors
can concentrate chemotherapeutic agents in tissues with low-density lipoprotein
receptor overexpression and decrease the toxicity of the treatment. The aim of
this study was to develop a new formulation using a lipophilic derivative of
methotrexate, ie, didodecyl methotrexate (ddMTX), associated with a lipid
nanoemulsion (ddMTX-LDE). METHODS: ddMTX was synthesized by an esterification
reaction between methotrexate and dodecyl bromide. The lipid nanoemulsion was
prepared by four hours of ultrasonication of a mixture of phosphatidylcholine,
triolein, and cholesteryloleate. Association of ddMTX with the lipid nanoemulsion
was performed by additional cosonication of ddMTX with the previously prepared
lipid nanoemulsion. Formulation stability was evaluated, and cell uptake,
cytotoxicity, and acute animal toxicity studies were performed. RESULTS: The
yield of ddMTX incorporation was 98% and the particle size of LDE-ddMTX was 60
nm. After 48 hours of incubation with plasma, approximately 28% ddMTX was
released from the lipid nanoemulsion. The formulation remained stable for at
least 45 days at 4 degrees C. Cytotoxicity of LDE-ddMTX against K562 and HL60
neoplastic cells was higher than for methotrexate (50% inhibitory concentration
[IC(50)] 1.6 versus 18.2 mM and 0.2 versus 26 mM, respectively), and cellular
uptake of LDE-ddMTX was 90-fold higher than that of methotrexate in K562 cells
and 75-fold in HL60 cells. Toxicity of LDE-ddMTX, administered at escalating
doses, was higher than for methotrexate (LD(50) 115 mg/kg versus 470 mg/kg;
maximum tolerated dose 47 mg/kg versus 94 mg/kg) in mice. However, the
hematological toxicity of LDE-ddMTX was lower than for methotrexate. CONCLUSION:
LDE-ddMTX was stable, and uptake of the formulation by neoplastic cells was
remarkably greater than of methotrexate, which resulted in markedly greater
cytotoxicity. LDE-ddMTX is thus a promising formulation to be tested in future
animal models of cancer or rheumatic disease, wherein methotrexate is widely
used.
PMID- 22072867
TI - Reduction of atherosclerotic lesions in rabbits treated with etoposide associated
with cholesterol-rich nanoemulsions.
AB - OBJECTIVES: Cholesterol-rich nanoemulsions (LDE) bind to low-density lipoprotein
(LDL) receptors and after injection into the bloodstream concentrate in aortas of
atherosclerotic rabbits. Association of paclitaxel with LDE markedly reduces the
lesions. In previous studies, treatment of refractory cancer patients with
etoposide associated with LDE had been shown devoid of toxicity. In this study,
the ability of etoposide to reduce lesions and inflammatory factors in
atherosclerotic rabbits was investigated. METHODS: Eighteen New Zealand rabbits
were fed a 1% cholesterol diet for 60 days. Starting from day 30, nine animals
were treated with four weekly intravenous injections of etoposide oleate (6
mg/kg) associated with LDE, and nine control animals were treated with saline
solution injections. RESULTS: LDE-etoposide reduced the lesion areas of
cholesterol-fed animals by 85% and intima width by 50% and impaired macrophage
and smooth muscle cell invasion of the intima. Treatment also markedly reduced
the protein expression of lipoprotein receptors (LDL receptor, LDL-related
protein-1, cluster of differentiation 36, and scavenger receptor class B member
1), inflammatory cytokines (interleukin-1beta and tumor necrosis factor-alpha),
matrix metallopeptidase-9, and cell proliferation markers (topoisomerase IIalpha
and tubulin). CONCLUSION: The ability of LDE-etoposide to strongly reduce the
lesion area and the inflammatory process warrants the great therapeutic potential
of this novel preparation to target the inflammatory-proliferative basic
mechanisms of the disease.
PMID- 22072868
TI - Fungus-mediated biological synthesis of gold nanoparticles: potential in
detection of liver cancer.
AB - BACKGROUND: Nanomaterials are considered to be the pre-eminent component of the
rapidly advancing field of nanotechnology. However, developments in the
biologically inspired synthesis of nanoparticles are still in their infancy and
consequently attracting the attention of material scientists throughout the
world. Keeping in mind the fact that microorganism-assisted synthesis of
nanoparticles is a safe and economically viable prospect, in the current study we
report Candida albicans-mediated biological synthesis of gold nanoparticles.
METHODS AND RESULTS: Transmission electron microscopy, atomic force microscopy,
and various spectrophotometric analyses were performed to characterize the gold
nanoparticles. The morphology of the synthesized gold particles depended on the
abundance of C. albicans cytosolic extract. Transmission electron microscopy,
nanophox particle analysis, and atomic force microscopy revealed the size of
spherical gold nanoparticles to be in the range of 20-40 nm and nonspherical gold
particles were found to be 60-80 nm. We also evaluated the potential of biogenic
gold nanoparticles to probe liver cancer cells by conjugating them with liver
cancer cell surface-specific antibodies. The antibody-conjugated gold particles
were found to bind specifically to the surface antigens of the cancer cells.
CONCLUSION: The antibody-conjugated gold particles synthesized in this study
could successfully differentiate normal cell populations from cancerous cells.
PMID- 22072869
TI - Anticancer efficacy enhancement and attenuation of side effects of doxorubicin
with titanium dioxide nanoparticles.
AB - BACKGROUND: Doxorubicin has a broad spectrum of anticancer activity, but its
clinical application is limited due to serious side effects. The aim of this
study was to explore a novel drug delivery system based on titanium dioxide
(TiO(2)) nanoparticles for its potential role in enhancing the anticancer
efficacy of doxorubicin while reducing its side effects. METHODS AND RESULTS:
Doxorubicin was loaded into TiO(2) nanoparticles by forming complexes with the
transition metal, titanium, to construct doxorubicin-titanium dioxide (DOX
TiO(2)) nanocomposites as a drug delivery system. The anticancer activity of the
DOX-TiO(2) nanocomposites was demonstrated by MTT assay, and the possible
signaling pathway was explored by Western blot. In human SMMC-7721
hepatocarcinoma cells, our observations demonstrated that this drug delivery
system markedly increased the efficiency of drug per dosage and decreased the
IC(50), resulting in anticancer efficacy enhancement and side effect attenuation.
CONCLUSION: Such a doxorubicin delivery strategy is promising in cancer therapy.
Apoptosis may contribute to the mechanism, due to protein expression of Bcl-2
being downregulated and that of Bax and caspase 3 being upregulated.
PMID- 22072870
TI - Intratracheal instillation of cerium oxide nanoparticles induces hepatic toxicity
in male Sprague-Dawley rats.
AB - BACKGROUND: Cerium oxide (CeO(2)) nanoparticles have been posited to have both
beneficial and toxic effects on biological systems. Herein, we examine if a
single intratracheal instillation of CeO(2) nanoparticles is associated with
systemic toxicity in male Sprague-Dawley rats. METHODS AND RESULTS: Compared with
control animals, CeO(2) nanoparticle exposure was associated with increased liver
ceria levels, elevations in serum alanine transaminase levels, reduced albumin
levels, a diminished sodium-potassium ratio, and decreased serum triglyceride
levels (P < 0.05). Consistent with these data, rats exposed to CeO(2)
nanoparticles also exhibited reductions in liver weight (P < 0.05) and dose
dependent hydropic degeneration, hepatocyte enlargement, sinusoidal dilatation,
and accumulation of granular material. No histopathological alterations were
observed in the kidney, spleen, and heart. Analysis of serum biomarkers suggested
an elevation of acute phase reactants and markers of hepatocyte injury in the
rats exposed to CeO(2) nanoparticles. CONCLUSION: Taken together, these data
suggest that intratracheal instillation of CeO(2) nanoparticles can result in
liver damage.
PMID- 22072871
TI - Multifunctional dendrimer/combretastatin A4 inclusion complexes enable in vitro
targeted cancer therapy.
AB - BACKGROUND: We report here a unique approach to using multifunctional
dendrimer/combretastatin A4 (CA4) inclusion complexes for targeted cancer
therapeutics. METHODS: Amine-terminated generation 5 polyamidoamine dendrimers
were first partially acetylated to neutralize a significant portion of the
terminal amines, and then the remaining dendrimer terminal amines were
sequentially modified with fluorescein isothiocyanate as an imaging agent and
folic acid as a targeting ligand. The multifunctional dendrimers formed (G5.NHAc
FI-FA) were utilized to encapsulate the anticancer drug, CA4, for targeted
delivery into cancer cells overexpressing folic acid receptors. RESULTS: The
inclusion complexes of G5.NHAc-FI-FA/CA4 formed were stable and are able to
significantly improve the water solubility of CA4 from 11.8 to 240 MUg/mL. In
vitro release studies showed that the multifunctional dendrimers complexed with
CA4 could be released in a sustained manner. Both 3-(4,5-dimethylthiazol-2-yl)
2,5-diphenyltetrazolium bromide colorimetric assay and morphological cell
observation showed that the inhibitory effect of the G5.NHAc-FI-FA/CA4 complexes
was similar to that of free CA4 at the same selected drug concentration. More
importantly, the complexes were able to target selectively and display specific
therapeutic efficacy to cancer cells overexpressing high-affinity folic acid
receptors. CONCLUSION: Multifunctional dendrimers may serve as a valuable carrier
to form stable inclusion complexes with various hydrophobic anticancer drugs with
improved water solubility, for targeting chemotherapy to different types of
cancer.
PMID- 22072873
TI - Solid lipid nanoparticle suspension enhanced the therapeutic efficacy of
praziquantel against tapeworm.
AB - Hydatid disease caused by tapeworm is an increasing public health and
socioeconomic concern. In order to enhance the therapeutic efficacy of
praziquantel (PZQ) against tapeworm, PZQ-loaded hydrogenated castor oil solid
lipid nanoparticle (PZQ-HCO-SLN) suspension was prepared by a hot homogenization
and ultrasonication method. The stability of the suspension at 4 degrees C and
room temperature was evaluated by the physicochemical characteristics of the
nanoparticles and in-vitro release pattern of the suspension. Pharmacokinetics
was studied after subcutaneous administration of the suspension in dogs. The
therapeutic effect of the novel formulation was evaluated in dogs naturally
infected with Echinococcus granulosus. The results showed that the drug recovery
of the suspension was 97.59% +/- 7.56%. Nanoparticle diameter, polydispersivity
index, and zeta potential were 263.00 +/- 11.15 nm, 0.34 +/- 0.06, and -11.57 +/-
1.12 mV, respectively and showed no significant changes after 4 months of storage
at both 4 degrees C and room temperature. The stored suspensions displayed
similar in-vitro release patterns as that of the newly prepared one. SLNs
increased the bioavailability of PZQ 5.67-fold and extended the mean residence
time of the drug from 56.71 to 280.38 hours. Single subcutaneous administration
of PZQ-HCO-SLN suspension obtained enhanced therapeutic efficacy against tapeworm
in infected dogs. At the dose of 5 mg/kg, the stool-ova reduction and negative
conversion rates and tapeworm removal rate of the suspension were 100%, while the
native PZQ were 91.55%, 87.5%, and 66.7%. When the dose reduced to 0.5 mg/kg, the
native drug showed no effect, but the suspension still got the same therapeutic
efficacy as that of the 5 mg/kg native PZQ. These results demonstrate that the
PZQ-HCO-SLN suspension is a promising formulation to enhance the therapeutic
efficacy of PZQ.
PMID- 22072872
TI - Rehydrated sterically stabilized phospholipid nanomicelles of budesonide for
nebulization: physicochemical characterization and in vitro, in vivo evaluations.
AB - BACKGROUND: Inhaled corticosteroids provide unique systems for local treatment of
asthma or chronic obstructive pulmonary disease. However, the use of poorly
soluble drugs for nebulization has been inadequate, and many patients rely on
large doses to achieve optimal control of their disease. Theoretically,
nanotechnology with a sustained-release formulation may provide a favorable
therapeutic index. The aim of this study was to determine the feasibility of
using sterically stabilized phospholipid nanomicelles of budesonide for pulmonary
delivery via nebulization. METHODS: PEG(5000)-DSPE polymeric micelles containing
budesonide (BUD-SSMs) were prepared by the coprecipitation and reconstitution
method, and the physicochemical and pharmacodynamic characteristics of BUD-SSMs
were investigated. RESULTS: The optimal concentration of solubilized budesonide
at 5 mM PEG(5000)-DSPE was 605.71 +/- 6.38 MUg/mL, with a single-sized peak
population determined by photon correlation spectroscopy and a particle size
distribution of 21.51 +/- 1.5 nm. The zeta potential of BUD-SSMs was -28.43 +/-
1.98 mV. The percent entrapment efficiency, percent yield, and percent drug
loading of the lyophilized formulations were 100.13% +/- 1.09%, 97.98% +/- 1.95%,
and 2.01% +/- 0.02%, respectively. Budesonide was found to be amorphous by
differential scanning calorimetry, and had no chemical interaction with PEGylated
polymer according to Fourier transform infrared spectroscopy. Transmission
electron microscopic images of BUD-SSMs revealed spherical nanoparticles. BUD
SSMs exhibited prolonged dissolution behavior compared with Pulmicort Respules (P
< 0.05). Aerodynamic characteristics indicated significantly higher deposition in
the lungs compared with Pulmicort Respules. The mass median aerodynamic,
geometric standard deviation, percent emitted dose, and the fine particle
fraction were 2.83 +/- 0.08 MUm, 2.33 +/- 0.04 MUm, 59.13% +/- 0.19%, and 52.31%
+/- 0.25%, respectively. Intratracheal administration of BUD-SSMs 23 hours before
challenge (1 mg/kg) in an asthmatic/chronic obstructive pulmonary disease rat
model led to a significant reduction in inflammatory cell counts (76.94 +/- 5.11)
in bronchoalveolar lavage fluid compared with administration of Pulmicort
Respules (25.06 +/- 6.91). CONCLUSION: The BUD-SSMs system might be advantageous
for asthma or chronic obstructive pulmonary disease and other inflammatory airway
diseases.
PMID- 22072874
TI - Manufacturing of biodegradable polyurethane scaffolds based on polycaprolactone
using a phase separation method: physical properties and in vitro assay.
AB - BACKGROUND: Biodegradable polyurethanes have found widespread use in soft tissue
engineering due to their suitable mechanical properties and biocompatibility.
METHODS: In this study, polyurethane samples were synthesized from
polycaprolactone, hexamethylene diisocyanate, and a copolymer of 1,4-butanediol
as a chain extender. Polyurethane scaffolds were fabricated by a combination of
liquid-liquid phase separation and salt leaching techniques. The effect of the
NCO:OH ratio on porosity content and pore morphology was investigated. RESULTS:
Scanning electron micrographs demonstrated that the scaffolds had a regular
distribution of interconnected pores, with pore diameters of 50-300 MUm, and
porosities of 64%-83%. It was observed that, by increasing the NCO:OH ratio, the
average pore size, compressive strength, and compressive modulus increased. L929
fibroblast and chondrocytes were cultured on the scaffolds, and all samples
exhibited suitable cell attachment and growth, with a high level of
biocompatibility. CONCLUSION: These biodegradable polyurethane scaffolds
demonstrate potential for soft tissue engineering applications.
PMID- 22072875
TI - Molecular network topology and reliability for multipurpose diagnosis.
AB - This investigation proposes the use of molecular network topology for drug
delivery and diagnosis network design. Three modules of molecular network
topologies, such as bus, star, and ring networks, are designed and manipulated
based on a micro- and nanoring resonator system. The transportation of the
trapping molecules by light in the network is described and the theoretical
background is reviewed. The quality of the network is analyzed and calculated in
terms of signal transmission (ie, signal to noise ratio and crosstalk effects).
Results obtained show that a bus network has advantages over star and ring
networks, where the use of mesh networks is possible. In application, a thin film
network can be fabricated in the form of a waveguide and embedded in artificial
bone, which can be connected to the required drug targets. The particular
drug/nutrient can be transported to the required targets via the particular
network used.
PMID- 22072876
TI - The comparison of protein-entrapped liposomes and lipoparticles: preparation,
characterization, and efficacy of cellular uptake.
AB - Fluorescein isothiocyanate-conjugated bovine serum albumin (FITC-BSA)-loaded
polyethylene glycol (PEG)-modified liposomes and lipoparticles with high protein
entrapment were developed. The lipid formula of the liposomes contained PEGylated
lipids and unsaturated fatty acids for enhancing membrane fluidity and effective
delivery into cells. The preparation techniques, lipid content, and PEG-modified
lipoparticle ratios were evaluated. The PEG-modified lipoparticles prepared by
ethanol injection extrusion (100 nm pore size) achieve a population of blank
liposomes with a mean size of 125 +/- 2.3 nm and a zeta potential of -12.4 +/-
1.5 mV. The average particle size of the PEG-modified lipoparticles was 133.7 +/-
8.6 nm with a zeta potential of +13.3 mV. Lipoparticle conformation was
determined using transmission electron microscopy and field-emission scanning
electron microscopy. The FITC-BSA encapsulation efficiency was dramatically
increased from 19.0% for liposomes to 59.7% for lipoparticles. Sodium dodecyl
sulfate polyacrylamide gel electrophoresis (SDS-PAGE) results confirmed the
preparation process, and an 8-hour leaching test did not harm the protein
structure. Once prepared, the physical and chemical stability of the PEG-modified
lipoparticle formulations was satisfactory over 90 days. In vitro retention tests
indicated that the 50% retention time for the protein-containing lipoparticles
was 7.9 hours, substantially longer than the liposomes at 3.3 hours. A Caco-2
cell model was used for evaluating the cytotoxicity and cell uptake efficiency of
the PEG-modified lipoparticles. At a lipid content below 0.25 mM, neither the
liposomes nor the lipoparticles caused significant cellular cytotoxicity (P <
0.01) and FITC-BSA was significantly taken up into cells within 60 minutes (P <
0.01).
PMID- 22072877
TI - Gene therapy for C-26 colon cancer using heparin-polyethyleneimine nanoparticle
mediated survivin T34A.
AB - BACKGROUND: Gene therapy provides a novel method for the prevention and treatment
of cancer, but the clinical application of gene therapy is restricted, mainly
because of the absence of an efficient and safe gene delivery system. Recently,
we developed a novel nonviral gene carrier, ie, heparin-polyethyleneimine (HPEI)
nanoparticles for this purpose. METHODS AND RESULTS: HPEI nanoparticles were used
to deliver plasmid-expressing mouse survivin-T34A (ms-T34A) to treat C-26
carcinoma in vitro and in vivo. According to the in vitro studies, HPEI
nanoparticles could efficiently transfect the pGFP report gene into C-26 cells,
with a transfection efficiency of 30.5% +/- 2%. Moreover, HPEI nanoparticle
mediated ms-T34A could efficiently inhibit the proliferation of C-26 cells by
induction of apoptosis in vitro. Based on the in vivo studies, HPEI nanoparticles
could transfect the Lac-Z report gene into C-26 cells in vivo. Intratumoral
injection of HPEI nanoparticle-mediated ms-T34A significantly inhibited growth of
subcutaneous C-26 carcinoma in vivo by induction of apoptosis and inhibition of
angiogenesis. CONCLUSION: This research suggests that HPEI nanoparticle-mediated
ms-T34A may have a promising role in C-26 colon carcinoma therapy.
PMID- 22072878
TI - Eudragit nanoparticles containing genistein: formulation, development, and
bioavailability assessment.
AB - BACKGROUND: Genistein, one of the major isoflavones, has received great attention
as a phytoestrogen and potential cancer chemoprevention agent. However, the
dissolution and bioavailability of genistein from solid oral preparations is low
due to its poor water solubility. METHODS: In order to improve the oral
bioavailability of genistein, genistein nanoparticles were prepared by the
nanoprecipitation technique using Eudragit((r)) E100 as carriers and an optimized
formulation of mass ratio (genistein:Eudragit E100, 1:10). The mean particle size
of genistein nanoparticles was approximately 120 nm when diluted 100 times with
distilled water. The drug-loaded nanoparticles were spherical on observation by
transmission electric microscopy. RESULTS: Encapsulation efficiency and drug
loading of the genistein nanoparticles were approximately 50.61% and 5.02%,
respectively. Release of drug from the genistein nanoparticles was two times
greater than that from the conventional capsules. After administration of
genistein suspension or genistein nanoparticles at a single dose of 100 mg/kg to
fasted rats, the relative bioavailability of genistein from the nanoparticles
compared with the reference suspension was 241.8%. CONCLUSION: These results
suggested that a nanoparticle system is a potentially promising formulation for
the efficient delivery of poorly water-soluble drugs by oral administration.
PMID- 22072879
TI - Quantum dots affect expression of CD133 surface antigen in melanoma cells.
AB - BACKGROUND: In novel treatment approaches, therapeutics should be designed to
target cancer stem cells (CSCs). Quantum dots (QDs) are a promising new tool in
fighting against cancer. However, little is known about accumulation and
cytotoxicity of QDs in CSCs. METHODS: Accumulation and cytotoxicity of CdTe-MPA
(mercaptopropionic acid) QDs in CSCs were assessed using flow cytometry and
fluorescence-activated cell sorting techniques as well as a colorimetric cell
viability assay. RESULTS: We investigated the expression of two cell surface
associated glycoproteins, CD44 and CD133, in four different cancer cell lines
(glioblastoma, melanoma, pancreatic, and prostate adenocarcinoma). Only the
melanoma cells were positive to both markers of CD44 and CD133, whereas the other
cells were only CD44-positive. The QDs accumulated to a similar extent in all
subpopulations of the melanoma cells. The phenotypical response after QD
treatment was compared with the response after ionizing radiation treatment. The
percentage of the CD44(high-)CD133(high) subpopulation decreased from 72% to 55%
58% for both treatments. The stem-like subpopulation CD44(high)CD133(low/-)
increased from 26%-28% in the untreated melanoma cells to 36%-40% for both
treatments. CONCLUSION: Treatment of melanoma cells with QDs results in an
increase of stem-like cell subpopulations. The changes in phenotype distribution
of the melanoma cells after the treatment with QDs are comparable with the
changes after ionizing radiation.
PMID- 22072880
TI - In situ formation of nanocrystals from a self-microemulsifying drug delivery
system to enhance oral bioavailability of fenofibrate.
AB - OBJECTIVES: In situ formation of nanocrystals and dissolution profiles of
fenofibrate (FFB) from a self-microemulsifying drug delivery system (SMEDDS) were
characterized. METHODS: SMEDDS formulated with Myritol and surfactant mixture
(Smix) of D-alpha-Tocopheryl polyethylene glycol 1000 succinate (TPGS) and either
Tween 20 (A, C, E, G, M, S, N, T, O) or Tween 80 (B, D, F, H, P, U, Q, V, R) at
various oil/Smix ratios (Group I: A and B of 0.42, C and D of 0.25, E and F of
0.11; Group II: G and H of 1.38, M and P of 1.11, S and U of 0.9, N and Q of
0.73, T and V of 0.58, and O and R of 0.46) and water contents (1: 9.5%, 2: 5.0%,
3: 0.0%, G-V: 4.5%). Their dissolutions were conducted at different rotation
speeds. Two optimal SMEDDSs containing Tween 80(B2) or a higher oil/Smix ratio(Q)
and B2(solution) were selected for pharmacokinetic study. RESULTS: FFB particles
formed within the nanosize range from Group I gradually increased with time but
decreased with increasing stirring rates. However, the mean size of FFB formed by
B series was as low as 200 nm, which was smaller than that of A series at three
stirring rates. The release rate from both groups obviously increased with
increasing stirring rate. However, incomplete release was observed for S and N in
Tween 20 series, whereas a faster release rate and complete release were observed
for Tween 80 series with an insignificant difference among them. Results of
pharmacokinetic study demonstrated that the highest-ranked area under the curve
and Cmax values were for Q(SMEDDS) and B2(solution), respectively. The relative
bioavailability of Q(SMEDDS) with respect to Tricor was enhanced by about 1.14
1.22-fold. CONCLUSION: SMEDDS, consisting of Myritol 318 and TPGS combined with
Tween 80 at 4:1, was able to enhance the oral bioavailability of FFB.
PMID- 22072881
TI - Tat peptide-admixed elastic liposomal formulation of hirsutenone for the
treatment of atopic dermatitis in NC/Nga mice.
AB - BACKGROUND: The aim of the present study was to enhance a topical delivery of
hirsutenone (HST), a naturally occuring immunomodulator, employing Tat peptide
admixed elastic liposomes (EL/T). METHODS: HST-loaded EL, consisting of
phosphatidylcholine and Tween 80 (85:15 w/w%), were prepared using thin film
hydration method. By adding Tat peptide to EL (0.16 w/w%), EL/T were formulated.
The in vitro skin permeation of HST was examined using a Franz diffusion cell
mounted with depilated mouse skin. Lesions for atopic dermatitis (AD) were
induced by a topical application of diphenylcyclopropenone to NC/Nga mice.
Therapeutic improvements of AD were evaluated by clinical skin severity scores.
Immunological analyses on inducible nitric oxide synthase and cyclooxygenase-2
levels in the skin and interleukin (IL)-4, IL-13, immunoglobulin E, and
eosinophil levels in the blood were also performed. RESULTS: EL systems were
superior to conventional cream, revealing greater flux values in a permeation
study. The addition of Tat peptide further increased the skin permeation of HST.
In an efficacy study with AD-induced NC/Nga mice, an HST-containing EL/T
formulation brought a significant improvement in both skin severity score and
immune-related responses for the levels of nitric oxide synthase, cyclooxygenase
2, IL-4, IL-13, immunoglobulin E, and eosinophils. CONCLUSION: A novel EL/T
formulation was successfully developed for topical delivery of HST to treat AD.
PMID- 22072882
TI - Enhanced transdermal delivery of evodiamine and rutaecarpine using microemulsion.
AB - OBJECTIVE: The purpose of this study was to improve skin permeation of evodiamine
and rutaecarpine for transdermal delivery with microemulsion as vehicle and
investigate real-time cutaneous absorption of the drugs via in vivo
microdialysis. METHODS: Pseudoternary phase diagrams were constructed to evaluate
microemulsion regions with various surfactants and cosurfactants. Nine
formulations of oil in water microemulsions were selected as vehicles for
assessing skin permeation of evodiamine and rutaecarpine in ex vivo transdermal
experiments. With a microdialysis hollow fiber membrane implanted in the skin
beneath the site of topical drug administration, dialysis sampling was maintained
for 10 hours and the samples were detected directly by high performance liquid
chromatography. Real-time concentrations of the drugs in rat skin were
investigated and compared with those of conventional formulations, such as
ointment and tincture. Furthermore, the drugs were applied to various regions of
the skin using microemulsion as vehicle. RESULTS: In ex vivo transdermal
experiments, cutaneous fluxes of evodiamine and rutaecarpine microemulsions were
2.55-fold to 11.36-fold and 1.17-fold to 6.33-fold higher, respectively, than
those of aqueous suspensions. Different drug loadings, microemulsion water
content, and transdermal enhancers markedly influenced the permeation of
evodiamine and rutaecarpine. In microemulsion application with in vivo
microdialysis, the maximum concentration of the drugs (evodiamine: 18.23 +/- 1.54
ng/mL; rutaecarpine: 16.04 +/- 0.69 ng/mL) were the highest, and the area under
the curve(0-t) of evodiamine and rutaecarpine was 1.52-fold and 2.27-fold higher
than ointment and 3.06-fold and 4.23-fold higher than tincture, respectively. A
greater amount of drugs penetrated through and was absorbed by rat abdominal skin
than shoulder and chest, and a reservoir in the skin was found to supply drugs
even after the microemulsion was withdrawn. CONCLUSION: Compared to conventional
formulations, higher cutaneous fluxes of evodiamine and rutaecarpine were
achieved with microemulsion. Based on this novel transdermal delivery, the
transdermal route was effective for the administration of the two active
alkaloids.
PMID- 22072883
TI - Skeletal myotube formation enhanced by electrospun polyurethane carbon nanotube
scaffolds.
AB - BACKGROUND: This study examined the effects of electrically conductive materials
made from electrospun single- or multiwalled carbon nanotubes with polyurethane
to promote myoblast differentiation into myotubes in the presence and absence of
electrical stimulation. METHODS AND RESULTS: After electrical stimulation, the
number of multinucleated myotubes on the electrospun polyurethane carbon nanotube
scaffolds was significantly larger than that on nonconductive electrospun
polyurethane scaffolds (5% and 10% w/v polyurethane). In the absence of
electrical stimulation, myoblasts also differentiated on the electrospun
polyurethane carbon nanotube scaffolds, as evidenced by expression of Myf-5 and
myosin heavy chains. The myotube number and length were significantly greater on
the electrospun carbon nanotubes with 10% w/v polyurethane than on those with 5%
w/v polyurethane. The results suggest that, in the absence of electrical
stimulation, skeletal myotube formation is dependent on the morphology of the
electrospun scaffolds, while with electrical stimulation it is dependent on the
electrical conductivity of the scaffolds. CONCLUSION: This study indicates that
electrospun polyurethane carbon nanotubes can be used to modulate skeletal
myotube formation with or without application of electrical stimulation.
PMID- 22072884
TI - Formulation and in vitro release evaluation of newly synthesized palm kernel oil
esters-based nanoemulsion delivery system for 30% ethanolic dried extract derived
from local Phyllanthus urinaria for skin antiaging.
AB - BACKGROUND: Recently there has been a remarkable surge of interest about natural
products and their applications in the cosmetic industry. Topical delivery of
antioxidants from natural sources is one of the approaches used to reverse signs
of skin aging. The aim of this research was to develop a nanoemulsion cream for
topical delivery of 30% ethanolic extract derived from local Phyllanthus urinaria
(P. urinaria) for skin antiaging. METHODS: Palm kernel oil esters (PKOEs)-based
nanoemulsions were loaded with P. urinaria extract using a spontaneous method and
characterized with respect to particle size, zeta potential, and rheological
properties. The release profile of the extract was evaluated using in vitro Franz
diffusion cells from an artificial membrane and the antioxidant activity of the
extract released was evaluated using the 2, 2-diphenyl-1-picrylhydrazyl (DPPH)
method. RESULTS: Formulation F12 consisted of wt/wt, 0.05% P. urinaria extract,
1% cetyl alcohol, 0.5% glyceryl monostearate, 12% PKOEs, and 27% Tween 80/Span 80
(9/1) with a hydrophilic lipophilic balance of 13.9, and a 59.5% phosphate buffer
system at pH 7.4. Formulation F36 was comprised of 0.05% P. urinaria extract, 1%
cetyl alcohol, 1% glyceryl monostearate, 14% PKOEs, 28% Tween 80/Span 80 (9/1)
with a hydrophilic lipophilic balance of 13.9, and 56% phosphate buffer system at
pH 7.4 with shear thinning and thixotropy. The droplet size of F12 and F36 was
30.74 nm and 35.71 nm, respectively, and their nanosizes were confirmed by
transmission electron microscopy images. Thereafter, 51.30% and 51.02% of the
loaded extract was released from F12 and F36 through an artificial cellulose
membrane, scavenging 29.89% and 30.05% of DPPH radical activity, respectively.
CONCLUSION: The P. urinaria extract was successfully incorporated into a PKOEs
based nanoemulsion delivery system. In vitro release of the extract from the
formulations showed DPPH radical scavenging activity. These formulations can
neutralize reactive oxygen species and counteract oxidative injury induced by
ultraviolet radiation and thereby ameliorate skin aging.
PMID- 22072885
TI - Folate receptor-targeted fluorescent paramagnetic bimodal liposomes for tumor
imaging.
AB - RATIONALE AND OBJECTIVE: Receptor-targeted delivery of imaging and therapeutic
agents can lead to enhanced efficacy for both. Multimodality imaging offers
unique advantages over traditional single modality imaging. Tumor marker folate
receptor (FR)-targeted fluorescent paramagnetic bimodal liposomes were
synthesized to co-deliver paramagnetic and fluorescence agents for magnetic
resonance (MR) and optical bimodal imaging contrast enhancement. MATERIALS AND
METHODS: Fluorescent and paramagnetic bimodal liposomes were synthesized with a
mean diameter of 136 nm and a low polydispersity index. The liposomes
incorporated folate-PEG(3350)-CHEMS for FR targeting, Gd(III)[N,N-Bis
stearylamidomethyl-N'-amidomethyl]diethylenetriamine tetraacetic acid (Gd-DTPA
BSA) for MR contrast, and calcein for fluorescence. To determine the specificity
and efficiency of delivery, the liposomes were evaluated in FR-positive KB and
HeLa cells and FR-negative A549 cells, which were analyzed by fluorescence
microscopy, magnetic resonance imaging (MRI), and flow cytometry (FCM). RESULTS:
FR-specific and efficient cellular uptake of the FR-targeted bimodal liposomes
was confirmed by fluorescence microscopy and by FCM. The mean fluorescence
intensity (MFI) of KB cells treated with FR-targeted liposomes was 45* that of
cells treated with nontargeted liposomes, and 18* that of cells treated with FR
targeted liposomes and excess folic acid (FA). The MFI of HeLa cells treated with
targeted liposomes was 33* that of nontargeted liposomes, and was 16* that of the
mixture of targeted liposomes and free FA. In contrast, the MFI of A549 cells
treated with FR-targeted liposomes was nearly the same as those treated with
nontargeted liposomes. The T(1)-weighted MR images of HeLa and KB cells incubated
with FR-targeted liposomes had much higher signal intensity than those treated
with nontargeted liposomes or free Gd-DTPA. Furthermore, the FR-targeting effect
could be blocked by excess free FA. CONCLUSION: FR-targeted fluorescent
paramagnetic bimodal liposomes provided a novel platform for bimodal tumor
imaging and theranostic delivery.
PMID- 22072886
TI - Biomimetic component coating on 3D scaffolds using high bioactivity of mesoporous
bioactive ceramics.
AB - BACKGROUND: Mesoporous bioactive glasses (MBGs) are very attractive materials for
use in bone tissue regeneration because of their extraordinarily high bone
forming bioactivity in vitro. That is, MBGs may induce the rapid formation of
hydroxy apatite (HA) in simulated body fluid (SBF), which is a major inorganic
component of bone extracellular matrix (ECM) and comes with both good
osteoconductivity and high affinity to adsorb proteins. Meanwhile, the high
bioactivity of MBGs may lead to an abrupt initial local pH variation during the
initial Ca ion-leaching from MBGs at the initial transplant stage, which may
induce unexpected negative effects on using them in in vivo application. In this
study we suggest a new way of using MBGs in bone tissue regeneration that can
improve the strength and make up for the weakness of MBGs. We applied the
outstanding bone-forming bioactivity of MBG to coat the main ECM components HA
and collagen on the MBG-polycarplolactone (PCL) composite scaffolds for improving
their function as bone scaffolds in tissue regeneration. This precoating process
can also expect to reduce initial local pH variation of MBGs. METHODS AND
MATERIALS: The MBG-PCL scaffolds were immersed in the mixed solution of the
collagen and SBF at 37 degrees C for 24 hours. The coating of ECM components on
the MBG-PCL scaffolds and the effect of ECM coating on in vitro cell behaviors
were confirmed. RESULTS: The ECM components were fully coated on MBG-PCL
scaffolds after immersing in SBF containing dilute collagen-I solution only for
24 hours due to the high bone-forming bioactivity of MBG. Both cell affinity and
osteoconductivity of MBG-PCL scaffolds were dramatically enhanced by this
precoating process. CONCLUSION: The precoating process of ECM components on MBG
PCL scaffold using a high bioactivity of MBG was not only effective in enhancing
the functionality of scaffolds but also effective in eliminating the unexpected
side effect. The MBG-PCL scaffold-coated ECM components ideally satisfied the
required conditions of scaffold in tissue engineering, including 3D well
interconnected pore structures with high porosity, good bioactivity, enhanced
cell affinity, biocompatibility, osteoconductivity, and sufficient mechanical
properties, and promise excellent potential application in the field of
biomaterials.
PMID- 22072887
TI - PLLA-PEG-TCH-labeled bioactive molecule nanofibers for tissue engineering.
AB - By mimicking the native extracellular matrix, electrospun nanofibrous scaffolds
(ENSs) can provide both chemical and physical cues to modulate cell adherence and
differentiation and to promote tissue regeneration while retaining bioresorbable
and biocompatible properties. In this study, ENSs were developed to deliver
multiple biomolecules by loading them into the core-sheath structure and/or by
conjugating them to the nanofiber surfaces. In this work, poly(L-lactide)
poly(ethylene glycol)-NH(2) and poly(L-lactide) were emulsion electrospun into
nanofibers with a core-sheath structure. A model drug, tetracycline
hydrochloride, was loaded within the nanofibers. Amino and carboxyl reactive
groups were then activated on the fiber surfaces using saturated water vapor
exposure and base hydrolysis, respectively. These reactive groups allowed the
surface of the ENS to be functionalized with two other bioactive molecules,
fluorescein isothiocyanate- and rhodamine-labeled bovine serum albumins, which
were used as model proteins. The ENSs were shown to retain their antimicrobial
capacity after two functionalization reactions, indicating that multifunctional
nanofibers can potentially be developed into functional wound dressings or
periodontal membranes or used in more complicated tissue systems where multiple
growth factors and anti-infection precautions are critical for the successful
implantation and regeneration of tissues.
PMID- 22072889
TI - Development and optimization of oil-filled lipid nanoparticles containing
docetaxel conjugates designed to control the drug release rate in vitro and in
vivo.
AB - THREE DOCETAXEL (DX) LIPID CONJUGATES: 2'-lauroyl-docetaxel (C12-DX), 2'-stearoyl
docetaxel (C18-DX), and 2'-behenoyl-docetaxel (C22-DX) were synthesized to
enhance drug loading, entrapment, and retention in liquid oil-filled lipid
nanoparticles (NPs). The three conjugates showed ten-fold higher solubility in
the liquid oil phase Miglyol 808 than DX. To further increase the drug entrapment
efficiency in NPs, orthogonal design was performed. The optimized formulation was
composed of Miglyol 808, Brij 78, and Vitamin E tocopheryl polyethylene glycol
succinate (TPGS). The conjugates were successfully entrapped in the reduced
surfactant NPs with entrapment efficiencies of about 50%-60% as measured by gel
permeation chromatography (GPC) at a final concentration of 0.5 mg/mL. All three
conjugates showed 45% initial burst release in 100% mouse plasma. Whereas C12-DX
showed another 40% release over the next 8 hours, C18-DX and C22-DX in NPs showed
no additional release after the initial burst of drug. All conjugates showed
significantly lower cytotoxicity than DX in human DU-145 prostate cancer cells.
The half maximal inhibitory concentration values (IC(50)) of free conjugates and
conjugate NPs were comparable except for C22-DX, which was nontoxic in the tested
concentration range and showed only vehicle toxicity when entrapped in NPs. In
vivo, the total area under the curve (AUC(0-infinity)) values of all DX conjugate
NPs were significantly greater than that of Taxotere, demonstrating prolonged
retention of drug in the blood. The AUC(0-infinity) value of DX in Taxotere was
8.3-fold, 358.0-fold, and 454.5-fold lower than that of NP-formulated C12-DX, C18
DX, and C22-DX, respectively. The results of these studies strongly support the
idea that the physical/chemical properties of DX conjugates may be fine-tuned to
influence the affinity and retention of DX in oil-filled lipid NPs, which leads
to very different pharmacokinetic profiles and blood exposure of an otherwise
potent chemo-therapeutic agent. These studies and methodologies may allow for
improved and more potent nanoparticle-based formulations.
PMID- 22072890
TI - Physicochemical properties and biocompatibility of a polymer-paclitaxel conjugate
for cancer treatment.
AB - BACKGROUND: Poly(L-gamma-glutamylglutamine) paclitaxel (PGG-PTX) conjugate is a
non-diblock polymeric drug nanoparticle intended to improve the therapeutic index
of paclitaxel. The purpose of the present study was to elucidate further the
physicochemical properties of PGG-PTX in order to proceed with its clinical
development. METHODS AND RESULTS: PGG-PTX was designed by integration of a
hydrophobic paclitaxel conjugate through an added hydrophilic glutamic acid onto
poly(L-glutamic acid). The addition of a flexible glutamic linker between PGA and
paclitaxel resulted in spontaneous self-assembly of a PGG-PTX conjugate into
nanoparticles. The PGG-PTX conjugate was stable as a lyophilized solid form. An
in vitro viability experiment showed that PGG-PTX was effective after a longer
incubation period, the same trend as Taxol. In vitro studies using NCI-H460 and
B16F0 cancer cells demonstrated significantly high cellular uptake after 30
minutes of incubation. The in vivo biocompatibility of PGG-PTX conjugate was
evaluated in the NCI-H460 tumor model, the assessment of tissue seemed to be
normal after 21 days of treatment. CONCLUSION: These results are encouraging for
further development of non-block polymeric paclitaxel nanoparticles for treatment
of cancer.
PMID- 22072891
TI - Consistent group selection in high-dimensional linear regression.
AB - In regression problems where covariates can be naturally grouped, the group Lasso
is an attractive method for variable selection since it respects the grouping
structure in the data. We study the selection and estimation properties of the
group Lasso in high-dimensional settings when the number of groups exceeds the
sample size. We provide sufficient conditions under which the group Lasso selects
a model whose dimension is comparable with the underlying model with high
probability and is estimation consistent. However, the group Lasso is, in
general, not selection consistent and also tends to select groups that are not
important in the model. To improve the selection results, we propose an adaptive
group Lasso method which is a generalization of the adaptive Lasso and requires
an initial estimator. We show that the adaptive group Lasso is consistent in
group selection under certain conditions if the group Lasso is used as the
initial estimator.
PMID- 22072892
TI - Antioxidant properties of the edible Basidiomycete Armillaria mellea in submerged
cultures.
AB - Antioxidant components, ascorbic acid, total flavonoids and total phenols are
produced effectively by Armillaria mellea submerged cultures. Dried mycelia and
mycelia-free broths obtained by A. mellea submerged cultures are extracted with
methanol and hot water and investigated for antioxidant properties. Methanolic
extracts from dried mycelia (MEM) and mycelia-free broth (MEB) and hot water
extracts from dried mycelia (HWEM) by A. mellea submerged cultures show good
antioxidant properties as evidenced by low EC(50) values (<10 mg/mL). Total
flavonoid is mainly found in hot water extracts; however, total phenol is rich in
methanol and hot water extracts from mycelia. Ascorbic acid and total phenol
contents are well correlated with the reducing power and the scavenging effect on
superoxide anions. Total flavonoid content is dependent on the antioxidant
activity and the chelating effect on ferrous ions. Total antioxidant component
contents are closely related to the antioxidant activity and the scavenging
superoxide anion ability. Results confirm that extracts with good antioxidant
properties from fermenting products by A. mellea are potential good substitutes
for synthetic antioxidants and can be applied to antioxidant-related functional
food and pharmaceutical industries.
PMID- 22072893
TI - Assessment of Tamarindus indica extracts for antibacterial activity.
AB - Ethanolic and aqueous (hot and cold) extracts of the fruit pulp, stem bark and
leaves of Tamarindus indica were evaluated for antibacterial activity, in vitro,
against 13 Gram negative and 5 Gram positive bacterial strains using agar well
diffusion and macro broth dilution techniques, simultaneously. The fruit pulp
extracts exhibited a wide spectrum of activity; the cold water extract against
95.5% of the test bacterial strains; and the hot water and ethanolic extracts
against 90.9% and 86.4%, respectively. In contrast the cold water extract of the
leaves and stem bark, each was active against 16.7%; while the ethanolic extract
of each was active against 75% of the test strains. The minimum inhibitory
concentrations (MIC) ranged from 7.81 mg/mL against Bacillus subtilis ATCC 6051
to 31.25 mg/mL against Escherichia coli ATCC 11775; and the minimum bactericidal
concentration (MBC) ranged from 125 mg/mL against Pseudomonas aeruginosa ATCC
10145 to 250 mg/mL against Bacillus subtilis ATCC 6051.
PMID- 22072894
TI - Mossbauer spectroscopy and X-ray diffraction study of Fe-labeled
tetrachloroferrate(III)-based magnetic ionic liquids.
AB - Four (57)Fe-labeled tetrachloroferrates(III) of organic cations (1-butyl-3
methylimidazolium, 1-allyl-3-methylimidazolium, 1-methyl-1-propylpyrrolidinium,
tetraphenylphosphonium) were examined by temperature-dependent Mossbauer
spectroscopy. The hyperfine and dynamic parameters of the iron(III) site were
determined. Single crystal X-ray diffraction data of [Ph(4)P][FeCl(4)] were
collected at four temperatures (295, 223, 173, and 123 K), and the dynamics of
the iron atom inferred from the Mossbauer data and the single crystal U(i,j)
parameters have been compared.
PMID- 22072895
TI - Effect of Celluclast 1.5L on the physicochemical characterization of gold
kiwifruit pectin.
AB - The effects of Celluclast 1.5L concentration on the physicochemical
characterization of gold kiwifruit pectin was evaluated. Varying the enzyme
concentration affected the pectin yield and pectin physicochemical properties.
The viscosity of extracted pectin was largely dependent on the enzyme
concentration. Celluclast 1.5L with medium concentration exhibited the highest
viscosity. Varying the enzyme concentration also influenced the molecular weight
distribution. High molecular weight (M(w)) pectin (1.65 * 10(6) g/mol) was
obtained when the medium concentration was used. Overall, the study clearly
reflects the importance of taking into consideration the amount of cellulytic
enzyme added in order to determine the final quality of pectin.
PMID- 22072896
TI - Genetic variation of the Nile soft-shelled turtle (Trionyx triunguis).
AB - We studied the genetic structure of Trionyx triunguis populations from the
Mediterranean and African continent based on mtDNA D-loop (776 bp) and nine
microsatellite loci. A total of 102 polymorphic sites and 13 mtDNA haplotypes
were described. Nucleotide diversity and haplotypes diversity were 0.047 and
0.974 respectively. Both mtDNA and nDNA supported the existence of two main
management units as the Mediterranean and Africa. Based on the mtDNA results, the
Mediterranean can be divided into two subunits; western Turkey and the eastern
Mediterranean.
PMID- 22072897
TI - Antibacterial activity of new dibenzoxepinone oximes with fluorine and
trifluoromethyl group substituents.
AB - In this paper we present the antimicrobial activity of some newly synthesized
dibenz[b,e]oxepin derivatives bearing the oximino moiety, and fluorine (F) and
trifluoromethyl (CF(3)) group substituents. The chemical structure and purity of
the new compounds were assessed by using elemental analysis, NMR and FTIR
spectroscopy. The new compounds were screened for their antibacterial activity
towards Gram-positive and Gram-negative strains, by qualitative and quantitative
assays. Our results demonstrated that the CF(3) and F disubstituted compounds
could be considered for the further development of novel antimicrobial drugs.
PMID- 22072898
TI - A determination of potential alpha-glucosidase inhibitors from Azuki Beans (Vigna
angularis).
AB - A 70% ethanol extract from azuki beans (Vigna angularis) was extracted further
with CH(2)Cl(2), EtOAc and n-BuOH to afford four fractions: CH(2)Cl(2)-soluble,
EtOAc-soluble, n-BuOH-soluble and residual extract fractions. The EtOAc-soluble
fractions showed the highest alpha-glucosidase inhibitory activity. Two pure
flavonoid compounds, vitexin and isovitexin, were isolated (using the enzyme
assay-guide fractionation method) from the EtOAc-soluble fractions. We further
evaluated the interaction between the flavonoid compounds and alpha-glucosidase
by fluorescence spectroscopy. Vitexin and isovitexin showed high inhibitory
activities, with IC(50) values of 0.4 mg.mL(-1) and 4.8 mg.mL(-1), respectively.
This is the first study of the active compositions of azuki beans against alpha
glucosidase.
PMID- 22072899
TI - Betalain, Acid ascorbic, phenolic contents and antioxidant properties of purple,
red, yellow and white cactus pears.
AB - Commercialization of cactus pears based on their antioxidant properties can
generate competitive advantages, and these can turn into business opportunities
and the development of new products and a high-value ingredient for the food
industry. This work evaluated the antioxidant activities (1,1-diphenyl-2
picrylhydrazyl radical-scavenging, protection against oxidation of a beta
carotene-linoleic acid emulsion, and iron (II) chelation), the content of total
phenolic compounds, ascorbic acid, betacyanin, betaxanthin and the stability of
betacyanin pigments in presence of Cu (II)-dependent hydroxyl radicals (OH*), in
18 cultivars of purple, red, yellow and white cactus pear from six Mexican
states. Our results indicated that the antiradical activities from yellow and
white cactus pear cultivars were not significantly different (p < 0.05) and were
lower than the average antiradical activities in red and purple cultivars. The
red cactus pear from the state of Zacatecas showed the highest antioxidant
activity. The free radical scavenging activity for red cactus pears was
significantly correlated (p < 0.05) to the concentration of total phenolic
compounds (R(2) = 0.90) and ascorbic acid (R(2) = 0.86). All 18 cultivars of
cactus pears studied showed significant chelating activity of ferrous ions. The
red and purple cactus pears showed a great stability when exposed to OH*.
PMID- 22072901
TI - 3D-QSAR studies on thiazolidin-4-one S1P1receptor agonists by CoMFA and CoMSIA.
AB - Selective S1P(1) receptor agonists have therapeutic potential to treat a variety
of immune-mediated diseases. A series of 2-imino-thiazolidin-4-one derivatives
displaying potent S1P(1) receptor agonistic activity were selected to establish
3D-QSAR models using CoMFA and CoMSIA methods. Internal and external cross
validation techniques were investigated as well as some measures including region
focusing, progressive scrambling, bootstraping and leave-group-out. The
satisfactory CoMFA model predicted a q(2) value of 0.751 and an r(2) value of
0.973, indicating that electrostatic and steric properties play a significant
role in potency. The best CoMSIA model, based on a combination of steric,
electrostatic, hydrophobic and H-bond donor descriptors, predicted a q(2) value
of 0.739 and an r(2) value of 0.923. The models were graphically interpreted
using contour plots which gave more insight into the structural requirements for
increasing the activity of a compound, providing a solid basis for future
rational design of more active S1P(1) receptor agonists.
PMID- 22072900
TI - Metabolomics of oxidative stress in recent studies of endogenous and exogenously
administered intermediate metabolites.
AB - Aerobic metabolism occurs in a background of oxygen radicals and reactive oxygen
species (ROS) that originate from the incomplete reduction of molecular oxygen in
electron transfer reactions. The essential role of aerobic metabolism, the
generation and consumption of ATP and other high energy phosphates, sustains a
balance of approximately 3000 essential human metabolites that serve not only as
nutrients, but also as antioxidants, neurotransmitters, osmolytes, and
participants in ligand-based and other cellular signaling. In hypoxia, ischemia,
and oxidative stress, where pathological circumstances cause oxygen radicals to
form at a rate greater than is possible for their consumption, changes in the
composition of metabolite ensembles, or metabolomes, can be associated with
physiological changes. Metabolomics and metabonomics are a scientific disciplines
that focuse on quantifying dynamic metabolome responses, using multivariate
analytical approaches derived from methods within genomics, a discipline that
consolidated innovative analysis techniques for situations where the number of
biomarkers (metabolites in our case) greatly exceeds the number of subjects. This
review focuses on the behavior of cytosolic, mitochondrial, and redox metabolites
in ameliorating or exacerbating oxidative stress. After reviewing work regarding
a small number of metabolites-pyruvate, ethyl pyruvate, and fructose-1,6
bisphosphate-whose exogenous administration was found to ameliorate oxidative
stress, a subsequent section reviews basic multivariate statistical methods
common in metabolomics research, and their application in human and preclinical
studies emphasizing oxidative stress. Particular attention is paid to new NMR
spectroscopy methods in metabolomics and metabonomics. Because complex
relationships connect oxidative stress to so many physiological processes,
studies from different disciplines were reviewed. All, however, shared the common
goal of ultimately developing "omics"-based, diagnostic tests to help influence
therapies.
PMID- 22072902
TI - Characterization of terpenoids from the root of Ceriops tagal with antifouling
activity.
AB - One new dimeric diterpenoid, 8(14)-enyl-pimar-2'(3')-en-4'(18')-en-15'(16')
endolabr- 16,15,2',3'-oxoan-16-one (1) and five known terpenoids: Tagalsin C (2),
Tagalsin I (3), lup-20(29)-ene-3beta,28-diol (4), 3-oxolup-20(29)-en-28-oic acid
(5) and 28-hydroxylup- 20(29)-en-3-one (6) were isolated from the roots of the
mangrove plant Ceriops tagal. Their structures and relative stereochemistry were
elucidated by means of extensive NMR, IR and MS analysis. The antifouling
activity against larval settlement of the barnacle Balanus albicostatus were
evaluated using capsaicin as a positive control. All these terpenoids exhibited
antifouling activity against cyprid larvae of the barnacle without significant
toxicity. The structure-activity relationship results demonstrated that the order
of antifouling activity was diterpenoid (Compound 2) > triterpenoid (Compounds 4,
5 and 6) > dimeric diterpenoid (Compounds 1 and 3). The functional groups on the
C-28 position of lupane triterpenoid significantly affect the antifouling
activity. The diterpenoid dimmer with two identical diterpenoid subunits might
display more potent antifouling activity than one with two different diterpenoid
subunits. The stability test showed that Compounds 2, 4, 5 and 6 remained stable
over 2-month exposure under filtered seawater.
PMID- 22072903
TI - Hepatoprotective and antioxidant effects of licorice extract against CCl4-induced
oxidative damage in rats.
AB - Licorice has been used in Chinese folk medicine for the treatment of various
disorders. Licorice has the biological capabilities of detoxication,
antioxidation, and antiinfection. In this study, we evaluated the antihepatotoxic
effect of licorice aqueous extract (LE) on the carbon tetrachloride (CCl(4))
induced liver injury in a rat model. Hepatic damage, as reveled by histology and
the increased activities of serum aspartate aminotransferase (AST), alanine
aminotransferase (ALT), alkaline phosphatase (ALP) activities, and decreased
levels of serum total protein (TP), albumin (Alb) and globulin (G) were induced
in rats by an administration of CCl(4) at 3 mL/kg b.w. (1:1 in groundnut oil).
Licorice extract significantly inhibited the elevated AST, ALP and ALT activities
and the decreased TP, Alb and G levels caused by CCl(4) intoxication. It also
enhanced liver super oxide dismutase (SOD), catalase (CAT), glutathione
peroxidase (GSH-Px), glutathione reductase (GR), Glutathione S-transferase (GST)
activities and glutathione (GSH) level, reduced malondialdehyde (MDA) level.
Licorice extract still markedly reverses the increased liver hydroxyproline and
serum TNF-alpha levels induced by CCl(4) intoxication. The data of this study
support a chemopreventive potential of licorice extract against liver oxidative
injury.
PMID- 22072904
TI - ATP-dependent chromatin remodeling factors and their roles in affecting
nucleosome fiber composition.
AB - ATP-dependent chromatin remodeling factors of the SNF2 family are key components
of the cellular machineries that shape and regulate chromatin structure and
function. Members of this group of proteins have broad and heterogeneous
functions ranging from controlling gene activity, facilitating DNA damage repair,
promoting homologous recombination to maintaining genomic stability. Several
chromatin remodeling factors are critical components of nucleosome assembly
processes, and recent reports have identified specific functions of distinct
chromatin remodeling factors in the assembly of variant histones into chromatin.
In this review we will discuss the specific roles of ATP-dependent chromatin
remodeling factors in determining nucleosome composition and, thus, chromatin
fiber properties.
PMID- 22072906
TI - Crystallization study and comparative in vitro-in vivo hydrolysis of PLA
reinforcement ligament.
AB - In the present work, the crystallization behavior and in vitro-in vivo hydrolysis
rates of PLA absorbable reinforcement ligaments used in orthopaedics for the
repair and reinforcement of articulation instabilities were studied. Tensile
strength tests showed that this reinforcement ligament has similar mechanical
properties to Fascia Latta, which is an allograft sourced from the ilio-tibial
band of the human body. The PLA reinforcement ligament is a semicrystalline
material with a glass transition temperature around 61 degrees C and a melting
point of ~178 degrees C. Dynamic crystallization revealed that, although the
crystallization rates of the material are slow, they are faster than the often
reported PLA crystallization rates. Mass loss and molecular weight reduction
measurements showed that in vitro hydrolysis at 50 degrees C initially takes
place at a slow rate, which gets progressively higher after 30-40 days. As found
from SEM micrographs, deterioration of the PLA fibers begins during this time.
Furthermore, as found from in vivo hydrolysis in the human body, the PLA
reinforcement ligament is fully biocompatible and after 6 months of implantation
is completely covered with flesh. However, the observed hydrolysis rate from in
vivo studies was slow due to high molecular weight and degree of crystallinity.
PMID- 22072905
TI - The potential of antimicrobial peptides as biocides.
AB - Antimicrobial peptides constitute a diverse class of naturally occurring
antimicrobial molecules which have activity against a wide range of pathogenic
microorganisms. Antimicrobial peptides are exciting leads in the development of
novel biocidal agents at a time when classical antibiotics are under intense
pressure from emerging resistance, and the global industry in antibiotic research
and development stagnates. This review will examine the potential of
antimicrobial peptides, both natural and synthetic, as novel biocidal agents in
the battle against multi-drug resistant pathogen infections.
PMID- 22072907
TI - Modulation of human serotonin transporter expression by 5-HTTLPR in colon cells.
AB - Serotonin (5-HT) is a monoamine neurotransmitter and plays important roles in
several of the human body's systems. Known as a primary target for psychoactive
drug development, the 5-HT transporter (5-HTT, SERT) plays a critical role in the
regulation of serotonergic function by reuptaking 5-HT. The allelic variation of
5-HTT expression is caused by functional gene promoter polymorphism with two
principal variant alleles, 5-HTT gene-linked polymorphic region (5-HTTLPR). It
has been demonstrated that 5-HTTLPR is associated with numerous neuropsychiatric
disorders. The functional roles of 5-HTTLPR have been reported in human
choriocarcinoma (JAR), lymphoblast and raphe cells. To date, the significance of
5-HTTLPR in gastrointestinal tract-derived cells has never been elucidated. Thus,
the impact of 5-HTTLPR on 5-HTT transcription was studied in SW480 human colon
carcinoma cells, which were shown to express 5-HTT. We found 42-bp fragment in
long (L) allele as compared to short (S) allele, and this allelic difference
resulted in 2-fold higher transcriptional efficiency of L allele (P < 0.05) as
demonstrated using a functional reporter gene assay. Nevertheless, the
transcriptional effect of estrogen and glucocorticoid on 5-HTT expression via 5
HTTLPR was not found in this cell line. Our study was the first to demonstrate
the molecular role of this allelic variation in gastrointestinal tract cells.
PMID- 22072908
TI - Quantitative comparative analysis of the bio-active and toxic constituents of
leaves and spikes of Schizonepeta tenuifolia at different harvesting times.
AB - A GC-MS-Selected Ion Monitoring (SIM) detection method was developed for
simultaneous determination of four monoterpenes: (-)-menthone, (+)-pulegone, (-)
limonene and (+)-menthofuran as the main bio-active and toxic constituents, and
four other main compounds in the volatile oils of Schizonepeta tenuifolia (ST)
leaves and spikes at different harvesting times. The results showed that the
method was simple, sensitive and reproducible, and that harvesting time was a
possible key factor in influencing the quality of ST leaves, but not its spikes.
The research might be helpful for determining the harvesting time of ST samples
and establishing a validated method for the quality control of ST volatile oil
and other relative products.
PMID- 22072909
TI - Expression of elongation factor (EF)-Tu is correlated with prognosis of gastric
adenocarcinomas.
AB - Altered expressions of mitochondria elongation factor Tu (EF-Tu) have been
observed in certain types of cancers, including gastric cancer cell lines, but
the impact of the alterations in gastric adenocarcinoma remains unclear. The
purpose of this study was to investigate the expression of EF-Tu in gastric
adenocarcinoma and to assess its clinical significance. A total of 104 paired
resected gastric adenocarcinoma and corresponding normal specimens were collected
in this study. EF-Tu expression was assessed by immunohistochemical staining. The
correlation of EF-Tu expression and patients' clinicopathological parameters was
statically evaluated and the prognostic significance of EF-Tu expression was
assessed by univariate and multivariate analyses. Forty-nine out of 104 (47.1%)
gastric adenocarcinoma specimens showed high expression of EF-Tu, while the
remaining 55 specimens showed weak or negative expression of EF-Tu. In contrast,
EF-Tu high expression was detected in 62.5% (65 of 104) normal tissues. Down
regulation of EF-Tu was associated with serosal invasion (P = 0.042) and node
involvement (P = 0.005), and down-regulation of EF-Tu was correlated with poor
overall survival (P = 0.020). In curative resection (R0) patients, there were
also significant differences (P = 0.043). In the multivariate analysis, the EF-Tu
expression remained a significant independent prognostic factor (P = 0.038). Our
results indicate that EF-Tu is expressed in both gastric adenocarcinoma and
corresponding normal tissues. Down-regulation of EF-Tu expression is associated
with advanced disease stage and EF-Tu expression maybe served as an independent
prognostic factor.
PMID- 22072910
TI - Molecular quantum spintronics: supramolecular spin valves based on single
molecule magnets and carbon nanotubes.
AB - We built new hybrid devices consisting of chemical vapor deposition (CVD) grown
carbon nanotube (CNT) transistors, decorated with TbPc(2) (Pc = phthalocyanine)
rare-earth based single-molecule magnets (SMMs). The drafting was achieved by
tailoring supramolecular pi-pi interactions between CNTs and SMMs. The
magnetoresistance hysteresis loop measurements revealed steep steps, which we can
relate to the magnetization reversal of individual SMMs. Indeed, we established
that the electronic transport properties of these devices depend strongly on the
relative magnetization orientations of the grafted SMMs. The SMMs are playing the
role of localized spin polarizer and analyzer on the CNT electronic conducting
channel. As a result, we measured magneto-resistance ratios up to several hundred
percent. We used this spin valve effect to confirm the strong uniaxial anisotropy
and the superparamagnetic blocking temperature (T(B) ~ 1 K) of isolated TbPc(2)
SMMs. For the first time, the strength of exchange interaction between the
different SMMs of the molecular spin valve geometry could be determined. Our
results introduce a new design for operable molecular spintronic devices using
the quantum effects of individual SMMs.
PMID- 22072911
TI - Principal component analysis coupled with artificial neural networks--a combined
technique classifying small molecular structures using a concatenated spectral
database.
AB - In this paper we present several expert systems that predict the class identity
of the modeled compounds, based on a preprocessed spectral database. The expert
systems were built using Artificial Neural Networks (ANN) and are designed to
predict if an unknown compound has the toxicological activity of amphetamines
(stimulant and hallucinogen), or whether it is a nonamphetamine. In attempts to
circumvent the laws controlling drugs of abuse, new chemical structures are very
frequently introduced on the black market. They are obtained by slightly
modifying the controlled molecular structures by adding or changing substituents
at various positions on the banned molecules. As a result, no substance similar
to those forming a prohibited class may be used nowadays, even if it has not been
specifically listed. Therefore, reliable, fast and accessible systems capable of
modeling and then identifying similarities at molecular level, are highly needed
for epidemiological, clinical, and forensic purposes. In order to obtain the
expert systems, we have preprocessed a concatenated spectral database,
representing the GC-FTIR (gas chromatography-Fourier transform infrared
spectrometry) and GC-MS (gas chromatography-mass spectrometry) spectra of 103
forensic compounds. The database was used as input for a Principal Component
Analysis (PCA). The scores of the forensic compounds on the main principal
components (PCs) were then used as inputs for the ANN systems. We have built
eight PC-ANN systems (principal component analysis coupled with artificial neural
network) with a different number of input variables: 15 PCs, 16 PCs, 17 PCs, 18
PCs, 19 PCs, 20 PCs, 21 PCs and 22 PCs. The best expert system was found to be
the ANN network built with 18 PCs, which accounts for an explained variance of
77%. This expert system has the best sensitivity (a rate of classification C =
100% and a rate of true positives TP = 100%), as well as a good selectivity (a
rate of true negatives TN = 92.77%). A comparative analysis of the validation
results of all expert systems is presented, and the input variables with the
highest discrimination power are discussed.
PMID- 22072912
TI - Effect of peptide size on antioxidant properties of African yam bean seed
(Sphenostylis stenocarpa) protein hydrolysate fractions.
AB - Enzymatic hydrolysate of African yam bean seed protein isolate was prepared by
treatment with alcalase. The hydrolysate was further fractionated into peptide
sizes of <1, 1-3, 3-5 and 5-10 kDa using membrane ultrafiltration. The protein
hydrolysate (APH) and its membrane ultrafiltration fractions were assayed for in
vitro antioxidant activities. The <1 kDa peptides exhibited significantly better
(p < 0.05) ferric reducing power, diphenyl-1-picryhydradzyl (DPPH) and hydroxyl
radical scavenging activities when compared to peptide fractions of higher
molecular weights. The high activity of <1 kDa peptides in these antioxidant
assay systems may be related to the high levels of total hydrophobic and aromatic
amino acids. In comparison to glutathione (GSH), the APH and its membrane
fractions had significantly higher (p < 0.05) ability to chelate metal ions. In
contrast, GSH had significantly greater (p < 0.05) ferric reducing power and free
radical scavenging activities than APH and its membrane fractions. The APH and
its membrane fractions effectively inhibited lipid peroxidation, results that
were concentration dependent. The activity of APH and its membrane fractions
against linoleic acid oxidation was higher when compared to that of GSH but lower
than that of butylated hydroxyl toluene (BHT). The results show potential use of
APH and its membrane fractions as antioxidants in the management of oxidative
stress-related metabolic disorders and in the prevention of lipid oxidation in
food products.
PMID- 22072913
TI - Comparative kinetic study and microwaves non-thermal effects on the formation of
poly(amic acid) 4,4'-(hexafluoroisopropylidene)diphthalic anhydride (6FDA) and
4,4'-(hexafluoroisopropylidene)bis(p-phenyleneoxy)dianiline (BAPHF). Reaction
activated by microwave, ultrasound and conventional heating.
AB - Green chemistry is the design of chemical processes that reduce or eliminate
negative environmental impacts. The use and production of chemicals involve the
reduction of waste products, non-toxic components, and improved efficiency. Green
chemistry applies innovative scientific solutions in the use of new reagents,
catalysts and non-classical modes of activation such as ultrasounds or
microwaves. Kinetic behavior and non-thermal effect of poly(amic acid)
synthesized from (6FDA) dianhydride and (BAPHF) diamine in a low microwave
absorbing p-dioxane solvent at low temperature of 30, 50, 70 degrees C were
studied, under conventional heating (CH), microwave (MW) and ultrasound
irradiation (US). Results show that the polycondensation rate decreases (MW > US
> CH) and that the increased rates observed with US and MW are due to decreased
activation energies of the Arrhenius equation. Rate constant for a chemical
process activated by conventional heating declines proportionally as the
induction time increases, however, this behavior is not observed under microwave
and ultrasound activation. We can say that in addition to the thermal microwave
effect, a non-thermal microwave effect is present in the system.
PMID- 22072914
TI - Molecular identification of Fusarium species in Gibberella fujikuroi species
complex from rice, sugarcane and maize from Peninsular Malaysia.
AB - The objective of this study was to identify Fusarium species in the Gibberella
fujikuroi species complex from rice, sugarcane and maize as most of the Fusarium
species in the species complex are found on the three crops. Isolates used were
collected from the field and obtained from culture collection. The Fusarium
isolates were initially sorted based on morphology and identifications confirmed
based on the DNA sequence of the translation elongation factor 1-alpha (TEF
1alpha) gene. Based on the closest match of BLAST analysis, five species were
recovered, namely, F. sacchari, F. fujikuroi, F. proliferatum, F. andiyazi and F.
verticillioides. This is the first report regarding F. andiyazi from rice in
Malaysia and Southeast Asia. The phylogenetic tree generated by using the
neighbor joining method showed that isolates from the same species were grouped
in the same clade. The present study indicated that Fusarium species in the G.
fujikuroi species complex are widespread in rice, sugarcane and maize in
Peninsular Malaysia. The findings also suggest that the use of morphological
characters for identification of Fusarium species in the G. fujikuroi species
complex from the three crops will lead to incorrect species designation.
PMID- 22072916
TI - A set of novel microsatellite markers developed for a distylous species Luculia
gratissima (Rubiaceae).
AB - Luculia gratissima (Wall.) Sweet (Rubiaceae) is a perennial shrub distributed in
the southeast margin of the Tibetan Plateau in southwest China and adjacent
region of Nepal and Myanmar. The plant is a distylous species with reciprocally
placed stigmas and anthers in each floral morph. By using the Fast Isolation by
Amplified Fragment Length Polymorphism (AFLP) of Sequences Containing (FIASCO)
repeats protocol, 19 primer sets were identified in two wild populations. Of
these primers, 10 displayed polymorphisms and nine were monomorphic. The number
of alleles per locus ranged from two to five, values for observed and expected
heterozygosities ranged from 0.000 to 1.000 and from 0.289 to 0.760, with
averages of 0.303 and 0.555, respectively. These microsatellite loci will
facilitate further studies on breeding system, gene flow patterns, and population
structure of L. gratissima and its allied species.
PMID- 22072915
TI - The role of microRNAs in the biology of rare diseases.
AB - Rare diseases (RD) are characterized by low prevalence and affect not more than
five individuals per 10,000 in the European population; they are a large and
heterogeneous group of disorders including more than 7,000 conditions and often
involve all organs and tissues, with several clinical subtypes within the same
disease. Very often information concerning either diagnosis and/or prognosis on
many RD is insufficient. microRNAs are a class of small non-coding RNAs that
regulate gene expression at the posttranscriptional level by either degrading or
blocking translation of messenger RNA targets. Recently, microRNA expression
patterns of body fluids underscored their potential as noninvasive biomarkers for
various diseases. The role of microRNAs as potential biomarkers has become
particularly attractive. The identification of disease-related microRNAs is
essential for understanding the pathogenesis of diseases at the molecular level,
and is critical for designing specific molecular tools for diagnosis, treatment
and prevention. Computational analysis of microRNA-disease associations is an
important complementary means for prioritizing microRNAs for further experimental
examination. In this article, we explored the added value of miRs as biomarkers
in a selected panel of RD hitting different tissues/systems at different life
stages, but sharing the need of better biomarkers for diagnostic and prognostic
purposes.
PMID- 22072917
TI - Hyaluronan and fibrin biomaterial as scaffolds for neuronal differentiation of
adult stem cells derived from adipose tissue and skin.
AB - Recently, we have described a simple protocol to obtain an enriched culture of
adult stem cells organized in neurospheres from two post-natal tissues: skin and
adipose tissue. Due to their possible application in neuronal tissue
regeneration, here we tested two kinds of scaffold well known in tissue
engineering application: hyaluronan based membranes and fibrin-glue meshes.
Neurospheres from skin and adipose tissue were seeded onto two scaffold types:
hyaluronan based membrane and fibrin-glue meshes. Neurospheres were then induced
to acquire a glial and neuronal-like phenotype. Gene expression, morphological
feature and chromosomal imbalance (kariotype) were analyzed and compared. Adipose
and skin derived neurospheres are able to grow well and to differentiate into
glial/neuron cells without any chromosomal imbalance in both scaffolds. Adult
cells are able to express typical cell surface markers such as S100; GFAP;
nestin; betaIII tubulin; CNPase. In summary, we have demonstrated that
neurospheres isolated from skin and adipose tissues are able to differentiate in
glial/neuron-like cells, without any chromosomal imbalance in two scaffold types,
useful for tissue engineering application: hyaluronan based membrane and fibrin
glue meshes.
PMID- 22072918
TI - A novel cold-adapted lipase from Sorangium cellulosum strain So0157-2: gene
cloning, expression, and enzymatic characterization.
AB - Genome sequencing of cellulolytic myxobacterium Sorangium cellulosum reveals many
open-reading frames (ORFs) encoding various degradation enzymes with low sequence
similarity to those reported, but none of them has been characterized. In this
paper, a predicted lipase gene (lipA) was cloned from S. cellulosum strain So0157
2 and characterized. lipA is 981-bp in size, encoding a polypeptide of 326 amino
acids that contains the pentapeptide (GHSMG) and catalytic triad residues
(Ser114, Asp250 and His284). Searching in the GenBank database shows that the
LipA protein has only the 30% maximal identity to a human monoglyceride lipase.
The novel lipA gene was expressed in Escherichia coli BL21 and the recombinant
protein (r-LipA) was purified using Ni-NTA affinity chromatography. The enzyme
hydrolyzed the p-nitrophenyl (pNP) esters of short or medium chain fatty acids
(<=C(10)), and the maximal activity was on pNP acetate. The r- LipA is a cold
adapted lipase, with high enzymatic activity in a wide range of temperature and
pH values. At 4 degrees C and 30 degrees C, the K(m) values of r-LipA on pNP
acetate are 0.037 +/- 0.001 and 0.174 +/- 0.006 mM, respectively. Higher pH and
temperature conditions promoted hydrolytic activity toward the pNP esters with
longer chain fatty acids. Remarkably, this lipase retained much of its activity
in the presence of commercial detergents and organic solvents. The results
suggest that the r-LipA protein has some new characteristics potentially
promising for industrial applications and S. cellulosum is an intriguing resource
for lipase screening.
PMID- 22072919
TI - The stimulation of IGF-1R expression by Lewis(y) antigen provides a powerful
development mechanism of epithelial ovarian carcinoma.
AB - OBJECTIVE: This study aimed to measure and correlate the expression of insulin
like growth factor receptor-1 (IGF-1R) and the Lewis(y) antigen in ovarian cancer
cell lines and tissue samples. METHODS: Reverse transcriptase PCR (RT-PCR),
Western blotting, immunoprecipitation, immunohistochemistry, and
immunofluorescence double-labeling techniques were applied to detect and measure
the expression of Lewis(y) and IGF-1R. RESULTS: In alpha1,2-fucosyltransferase
(alpha1,2-FT)-transfected cells, IGF-1R expression was significantly upregulated
compared with cells that do not overexpress alpha1,2-FT (P < 0.05). The amount of
Lewis(y) expressed on IGF-1R increased 1.81-fold in alpha1,2-FT-overexpressing
cells (P < 0.05), but the ratio of Lewis(y) expressed on IGF-1R to total IGF-1R
was unaltered between two cells (P > 0.05). In malignant epithelial ovarian
tumors, the positivity rates of Lewis(y) and IGF-1R detection were 88.3% and
93.33%, respectively, which is higher than the positivity rates in marginal
(60.00% and 63.33%, all P < 0.05), benign (33.00% and 53.33%, all P < 0.01), and
normal (0% and 40%, all P < 0.01) ovarian samples. No correlations were detected
in positivity rates of Lewis(y) or IGF-1R expression with respect to
clinicopathological parameters in ovarian cancers (all P > 0.05). Both IGF-1R and
Lewis(y) were highly expressed in ovarian cancer tissues, and their expression
levels were positively correlated (P < 0.05). CONCLUSION: Overexpression of
Lewis(y) results in overexpression of IGF-1R. Both IGF-1R and Lewis(y) are
associated with the occurrence and development of ovarian cancers.
PMID- 22072920
TI - Nuclear markers of Danube Sturgeons hybridization.
AB - Acipenseriformes are composed of 25 sturgeon species and two paddlefish species
distributed exclusively in the northern hemisphere. The Danube River and the
Black Sea were originally inhabited by six sturgeon species but two are extinct
and only four are still reproducing currently in the Lower Danube: Huso huso,
Acipenser stellatus, A. gueldenstaedtii and A. ruthenus. Sturgeon species
hybridize more easily than other fish and the determination of pure species or
hybrid status is important for conservation and for breeding in fish farms. This
survey demonstrated that morphological determination of this status is not
reliable and a molecular tool, based on eight microsatellites genotypes is
proposed. This method, based on three successive statistical analyses including
Factorial Correspondence Analysis (FCA), Structure assignation and NewHybrids
status determination, showed a high efficiency in discriminating pure species
specimens from F1, F2 and two kinds of backcross individuals involving three of
the four reproducing Lower Danube sturgeon species.
PMID- 22072921
TI - Far from equilibrium percolation, stochastic and shape resonances in the physics
of life.
AB - Key physical concepts, relevant for the cross-fertilization between condensed
matter physics and the physics of life seen as a collective phenomenon in a
system out-of-equilibrium, are discussed. The onset of life can be driven by: (a)
the critical fluctuations at the protonic percolation threshold in membrane
transport; (b) the stochastic resonance in biological systems, a mechanism that
can exploit external and self-generated noise in order to gain efficiency in
signal processing; and (c) the shape resonance (or Fano resonance or Feshbach
resonance) in the association and dissociation processes of bio-molecules (a
quantum mechanism that could play a key role to establish a macroscopic quantum
coherence in the cell).
PMID- 22072922
TI - Nuclei of Tsuga canadensis: role of flavanols in chromatin organization.
AB - Needle primordia of Tsuga canadensis (hemlock) arising from flank meristems of a
shoot apex, form cell lineages consisting of four or eight cells. Within a
recently established lineage there is striking uniformity in the pattern of
nuclear flavanols. This fact points to an identical transcriptional expression of
these flavanols during cell cycling. However two lineages, even if located close
together within the same meristem, can be very different in the expression of
both cell shape and nuclear flavanol pattern, indicating that epigenetic
positional signals are operating in a collective specification of cell lineage
development. There is a wide range of nuclear flavanol patterning from a mosaic
like distribution in an activated cell type to a homogenous appearance in
silenced cell types. Single cells deriving from lineages are desynchronized
because they underlie a signaling network at a higher tissue level which results
in stronger epigenetic modifications of their nuclear flavanols. As an extreme
case of epigenetic modulation, transient drought conditions caused a drastic
reduction of nuclear flavanols. Upon treatment with sucrose or cytokinin, these
nuclear flavanols could be fully restored. Analytical determination of the
flavanols revealed 3.4 mg/g DW for newly sprouting needles and 19.6 mg/g DW for
anthers during meiosis. The roughly 6-fold difference in flavanols is apparently
a reflection of the highly diverging organogenetic processes. Collectively, the
studies provide strong evidence for combinatorial interplay between cell fate and
nuclear flavanols.
PMID- 22072923
TI - Supercritical carbon dioxide extraction of bioactive compounds from Ampelopsis
grossedentata stems: process optimization and antioxidant activity.
AB - Supercritical carbon dioxide (SC-CO(2)) extraction of bioactive compounds
including flavonoids and phenolics from Ampelopsis grossedentata stems was
carried out. Extraction parameters such as pressure, temperature, dynamic time
and modifier, were optimized using an orthogonal array design of L(9) (3(4)), and
antioxidant activities of the extracts were evaluated by 2,2-diphenyl-1
picrylhydrazyl (DPPH) free radical scavenging assay and ferrous ion chelating
(FIC) assay. The best conditions obtained for SC-CO(2) extraction of flavonoids
was 250 bar, 40 degrees C, 50 min, and with a modifier of methanol/ethanol (1:3,
v/v), and that for phenolics extraction was 250 bar, 40 degrees C, 50 min, and
with a modifier of methanol/ethanol (1:1, v/v). Meantime, flavonoids and
phenolics were found to be mainly responsible for the DPPH scavenging activity of
the extracts, but not for the chelating activity on ferrous ion according to
Pearson correlation analysis. Furthermore, several unreported flavonoids such as
apigenin, vitexin, luteolin, etc., have been detected in the extracts from A.
grossedentata stems.
PMID- 22072924
TI - Assessing molecular signature for some potential date (Phoenix dactylifera L.)
cultivars from Saudi Arabia, based on chloroplast DNA sequences rpoB and psbA
trnH.
AB - Phoenix dactylifera L. (date palm), being economically very important, is widely
cultivated in the Middle East and North Africa, having about 400 different
cultivars. Assessment of date cultivars under trading and farming is a widely
accepted problem owing to lack of a unique molecular signature for specific date
cultivars. In the present study, eight different cultivars of dates viz., Khodry,
Khalas, Ruthana, Sukkari, Sefri, Segae, Ajwa and Hilali were sequenced for rpoB
and psbA-trnH genes and analyzed using bioinformatics tools to establish a
cultivar-specific molecular signature. The combined aligned data matrix was of
1147 characters, of which invariable and variable sites were found to be 958 and
173, respectively. The analysis clearly reveals three major groups of these
cultivars: (i) Khodary, Sefri, Ajwa, Ruthana and Hilali (58% BS); (ii) Sukkari
and Khalas (64% BS); and (iii) Segae. The economically most important cultivar
Ajwa showed similarity with Khodary and Sefri (67% BS).The sequences of the date
cultivars generated in the present study showed bootstrap values between 38% and
70% so these sequences could be carefully used as molecular signature for
potential date cultivars under trading and selection of genuine cultivars at the
seedling stage for farming.
PMID- 22072927
TI - Evaluation of antioxidant compounds and total sugar content in a nectarine
[Prunus persica (L.) Batsch] progeny.
AB - Epidemiological studies suggest that consumption of fruit rich in phenolic
compounds is associated with health-protective effects due to their antioxidant
properties. For these reasons quality evaluation has become an important issue in
fruit industry and in breeding programs. Phytochemical traits such as total
phenolics, flavonoids, anthocyanins, L-ascorbic acid, sugar content and relative
antioxidant capacity (RAC) were analyzed over four years in flesh fruit of an F1
population "Venus" * "Big Top" nectarines. Other traits such as harvesting date,
yield, fruit weight, firmness, soluble solids concentration (SSC), pH, titratable
acidity (TA) and ripening index (RI) were also determined in the progeny. Results
showed high variability among genotypes for all analyzed traits. Total phenolics
and flavonoids showed significant positive correlations with RAC implying that
both are important antioxidant bioactive compounds in peaches. We found genotypes
with enhanced antioxidant capacity and a better performance than progenitors, and
in consequence the best marketability.
PMID- 22072926
TI - Metal-induced oxidative stress and plant mitochondria.
AB - A general status of oxidative stress in plants caused by exposure to elevated
metal concentrations in the environment coincides with a constraint on
mitochondrial electron transport, which enhances ROS accumulation at the
mitochondrial level. As mitochondria are suggested to be involved in redox
signaling under environmental stress conditions, mitochondrial ROS can initiate a
signaling cascade mediating the overall stress response, i.e., damage versus
adaptation. This review highlights our current understanding of metal-induced
responses in plants, with focus on the production and detoxification of
mitochondrial ROS. In addition, the potential involvement of retrograde signaling
in these processes will be discussed.
PMID- 22072925
TI - Malignant catarrhal fever: understanding molecular diagnostics in context of
epidemiology.
AB - Malignant catarrhal fever (MCF) is a frequently fatal disease, primarily of
ruminants, caused by a group of gammaherpesviruses. Due to complexities of
pathogenesis and epidemiology in various species, which are either clinically
susceptible or reservoir hosts, veterinary clinicians face significant challenges
in laboratory diagnostics. The recent development of specific assays for viral
DNA and antibodies has expanded and improved the inventory of laboratory tests
and opened new opportunities for use of MCF diagnostics. Issues related to
understanding and implementing appropriate assays for specific diagnostic needs
must be addressed in order to take advantage of molecular diagnostics in the
laboratory.
PMID- 22072928
TI - N-acetylcysteine reduces markers of differentiation in 3T3-L1 adipocytes.
AB - Oxidative stress plays a critical role in the pathogenesis of diabetes,
hypertension and atherosclerosis. Some authors reported that fat accumulation
correlates to systemic oxidative stress in humans and mice, but the relationship
of lipid production and oxidative metabolism is still unclear. In our laboratory
we used 3T3-L1 preadipocytes, which are able to differentiate into mature
adipocytes and accumulate lipids, as obesity model. We showed that intracellular
reactive oxygen species (ROS) and antioxidant enzymes superoxide dismutase (SOD)
and glutathione peroxidase (GPx) activities increased in parallel with fat
accumulation. Meanwhile N-acetylcysteine (NAC), a well known antioxidant and
Glutathione (GSH) precursor, inhibited ROS levels as well as fat accumulation in
a concentration-dependent manner. NAC also inhibited both adipogenic
transcription factors CCAAT/enhancer binding protein beta (C/EBP beta) and
peroxisomal proliferator activated receptor gamma (PPAR gamma) expression; we
suggested that intracellular GSH content could be responsible for these effects.
PMID- 22072929
TI - In vitro anti-listerial activities of crude n-hexane and aqueous extracts of
Garcinia kola (heckel) seeds.
AB - We assessed the anti-Listerial activities of crude n-hexane and aqueous extracts
of Garcinia kola seeds against a panel of 42 Listeria isolates previously
isolated from wastewater effluents in the Eastern Cape Province of South Africa
and belonging to Listeria monocytogenes, Listeria grayi and Listeria ivanovii
species. The n-hexane fraction was active against 45% of the test bacteria with
zones of inhibition ranging between 8-17 mm, while the aqueous fraction was
active against 29% with zones of inhibition ranging between 8-11 mm. The minimum
inhibitory concentrations (MIC) were within the ranges of 0.079-0.625 mg/mL for
the n-hexane extract and 10 to >10 mg/mL for the aqueous extract. The rate of
kill experiment carried out for the n-hexane extract only, revealed complete
elimination of the initial bacterial population for L. grayi (LAL 15) at 3* and
4* MIC after 90 and 60 min; L. monocytogenes (LAL 8) at 3* and 4* MIC after 60
and 15 min; L. ivanovii (LEL 18) at 3* and 4* MIC after 120 and 15 min; L.
ivanovii (LEL 30) at 2, 3 and 4* MIC values after 105, 90 and 15 min exposure
time respectively. The rate of kill activities were time- and concentration
dependant and the extract proved to be bactericidal as it achieved a more than
3log(10) decrease in viable cell counts after 2 h exposure time for all of the
four test organisms at 3* and 4* MIC values. The results therefore show the
potential presence of anti-Listerial compounds in Garcinia kola seeds that can be
exploited in effective anti-Listerial chemotherapy.
PMID- 22072930
TI - Environmental dependence of artifact CD peaks of chiral Schiff base 3d-4f
complexes in soft mater PMMA matrix.
AB - Four chiral Schiff base binuclear 3d-4f complexes (NdNi, NdCu, GdNi, and GdCu)
have been prepared and characterized by means of electronic and CD spectra, IR
spectra, magnetic measurements, and X-ray crystallography (NdNi). A so-called
artifact peak of solid state CD spectra, which was characteristic of oriented
molecules without free molecular rotation, appeared at about 470 nm. Magnetic
data of the complexes in the solid state (powder) and in PMMA cast films or
solutions indicated that only GdCu preserved molecular structures in various
matrixes of soft maters. For the first time, we have used the changes of
intensity of artifact CD peaks to detect properties of environmental (media solid
state (KBr pellets), PMMA cast films, concentration dependence of PMMA in acetone
solutions, and pure acetone solution) for chiral 3d-4f complexes (GdCu). Rigid
matrix keeping anisotropic orientation exhibited a decrease in the intensity of
the artifact CD peak toward negative values. The present results suggest that
solid state artifact CD peaks can be affected by environmental viscosity of a
soft mater matrix.
PMID- 22072932
TI - Structural determinants of CX-4945 derivatives as protein kinase CK2 inhibitors:
a computational study.
AB - Protein kinase CK2, also known as casein kinase-2, is involved in a broad range
of physiological events including cell growth, proliferation and suppression of
apoptosis which are related to human cancers. A series of compounds were
identified as CK2 inhibitors and their inhibitory activities varied depending on
their structures. In order to explore the structure-activity correlation of CX
4945 derivatives as inhibitors of CK2, in the present study, a set of ligand- and
receptor-based 3D-QSAR models were developed employing Comparative Molecular
Field Analysis (CoMFA) and Comparative Molecular Similarity Index Analysis
(CoMSIA). The optimum CoMFA (R(cv) (2) = 0.618, R(pred) (2) = 0.892) and CoMSIA
(R(cv) (2) = 0.681, R(pred) (2) = 0.843) models exhibited reasonable statistical
characteristics for CX-4945 derivatives. The results indicated that electrostatic
effects contributed the most to both CoMFA and CoMSIA models. The combination of
docking analysis and molecular dynamics (MD) simulation showed that Leu45, Lys68,
Glu81, Val116, Asp175 and Trp176 of CK2 which formed several direct or water
bridged H-bonds with CX-4945 are crucial for CX-4945 derivatives recognition to
CK2. These results can offer useful theoretical references for designing more
potent CK2 inhibitors.
PMID- 22072933
TI - Comparative Molecular Field Analysis (CoMFA) and Comparative Molecular Similarity
Indices Analysis (CoMSIA) studies on alpha(1A)-adrenergic receptor antagonists
based on pharmacophore molecular alignment.
AB - The alpha(1A)-adrenergic receptor (alpha(1A)-AR) antagonist is useful in treating
benign prostatic hyperplasia, lower urinary tract symptoms, and cardiac
arrhythmia. Three-dimensional quantitative structure-activity relationship (3D
QSAR) studies were performed on a set of alpha(1A)-AR antagonists of N-aryl and N
nitrogen class. Statistically significant models constructed from comparative
molecular field analysis (CoMFA) and comparative molecular similarity indices
analysis (CoMSIA) were established based on a training set of 32 ligands using
pharmacophore-based molecular alignment. The leave-oneout cross-validation
correlation coefficients were q(2) (CoMFA) = 0.840 and q(2) (CoMSIA) = 0.840. The
high correlation between the cross-validated/predicted and experimental
activities of a test set of 12 ligands revealed that the CoMFA and CoMSIA models
were robust (r(2) (pred) (/CoMFA) = 0.694; r(2) (pred) (/CoMSIA) = 0.671). The
generated models suggested that electrostatic, hydrophobic, and hydrogen bonding
interactions play important roles between ligands and receptors in the active
site. Our study serves as a guide for further experimental investigations on the
synthesis of new compounds. Structural modifications based on the present 3D-QSAR
results may lead to the discovery of other alpha(1A)-AR antagonists.
PMID- 22072931
TI - Protein misdirection inside and outside motor neurons in Amyotrophic Lateral
Sclerosis (ALS): a possible clue for therapeutic strategies.
AB - Amyotrophic lateral sclerosis (ALS) is a devastating neurodegenerative disease
characterized by progressive muscle wasting and weakness with no effective cure.
Emerging evidence supports the notion that the abnormal conformations of ALS
linked proteins play a central role in triggering the motor neuron degeneration.
In particular, mutant types of superoxide dismutase 1 (SOD1) and TAR DNA binding
protein 43kDa (TDP-43) are key molecules involved in the pathogenesis of familial
and sporadic ALS, respectively. The commonalities of the two proteins include a
propensity to aggregate and acquire detrimental conformations through
oligomerization, fragmentation, or post-translational modification that may drive
abnormal subcellular localizations. Although SOD1 is a major cytosolic protein,
mutated SOD1 has been localized to mitochondria, endoplasmic reticulum, and even
the extracellular space. The nuclear exclusion of TDP-43 is a pathological
hallmark for ALS, although the pathogenic priority remains elusive. Nevertheless,
these abnormal behaviors based on the protein misfolding are believed to induce
diverse intracellular and extracellular events that may be tightly linked to non
cell-autonomous motor neuron death. The generation of mutant- or misfolded
protein-specific antibodies would help to uncover the distribution and
propagation of the ALS-linked proteins, and to design a therapeutic strategy to
clear such species. Herein we review the literature regarding the mislocalization
of ALS-linked proteins, especially mutant SOD1 and TDP-43 species, and discuss
the rationale of molecular targeting strategies including immunotherapy.
PMID- 22072934
TI - Candida colonization index in patients admitted to an ICU.
AB - Multiple-site colonization with Candida spp. is commonly recognized as a risk
factor for invasive fungal infection in critically ill patients. We carried out a
study to determine the relationship between Candida colonization and invasive
infection in neurological patients admitted to an ICU. At admission (T0) and
every three days for two weeks, different samples (pharynx swab, tracheal
secretions, stomach contents, etc.) were collected for mycological surveillance.
Candida mannan antigen and Candida anti-mannan antibodies were assayed. The
Colonization Index (CI) and Corrected Colonization Index were calculated for each
time point. Of all patients 70% was already colonized by Candida spp. at T0 and
six of them had CI >= 0.5. Three patients developed candidemia; they had CI >=
0.5 before infection. Positive values of Candida mannan antigen and anti-mannan
antibodies were found only in the patients with candidemia. The sensitivity and
specificity of the Candida mannan test were 66.6% and 100%, respectively, while
the sensitivity and specificity of the anti-mannan antibody test were 100%. In
accordance with other authors, we find the surveillance cultures are useful to
monitor the Candida colonization in ICU patients. In addition, the sequential
observation of anti-mannan antibodies could contribute to early diagnosis of
candidiasis more than Candida mannan antigen in immunocompetent patients.
PMID- 22072935
TI - Biological potential of sixteen legumes in China.
AB - Phenolic acids have been identified in a variety of legumes including lima bean,
broad bean, common bean, pea, jack bean, goa bean, adzuki bean, hyacinth bean,
chicking vetch, garbanzo bean, dral, cow bean, rice bean, mung bean and soybean.
The present study was carried out with the following aims: (1) to identify and
quantify the individual phenolic acid and determine the total phenolic content
(TPC); (2) to assess their antioxidant activity, inhibition activities of alpha
glucosidase, tyrosinase, and formation of advanced glycation endproducts; and (3)
to investigate correlations among the phytochemicals and biological activity.
Common bean possesses the highest antioxidant activity and advanced glycation
endproducts formation inhibition activity. Adzuki bean has the highest alpha
glucosidase inhibition activity, and mung bean has the highest tyrosinase
inhibition activity. There are significant differences in phytochemical content
and functional activities among the bean species investigated. Selecting beans
can help treat diseases such as dermatological hyperpigmentation illness, type 2
diabetes and associated cardiovascular diseases.
PMID- 22072936
TI - Anti-UVC irradiation and metal chelation properties of 6-benzoyl-5,7-dihydroxy-4
phenyl-chromen-2-one: an implications for anti-cataract agent.
AB - Coumarin derivative 1, 5,7-dihydroxy-6-(3-methyl-1-butyryl)-4-phenyl-chromen- 2
one, has been reported to possess radical scavenging activity and DNA protection.
We have synthesized a series of coumarins with structural modifications at
positions C4, C5, C6 and C7 and evaluated them for their anti-UVC properties.
Coumarin 7, 6-benzoyl-5,6-dihydroxy-4-phenyl-chromen-2-one, was found to have the
most potent activity in protecting porcine gamma-crystallin against UVC insults.
Results of fluorescence assays indicated that compound 7 was capable of
decreasing the loss of intensity while lens crystallins and DNA PUC19 were
irradiated with UVC. Presence of compound 7 decreased hydroxyl radical levels
determined by probe 1b and the free iron concentrations determined by Ferrozine
reagent. The chelation assay showed that compound 7 was chelated to metal via 6
CO and 5-OH on the benzopyrone ring. The observed protective effects of compound
7 towards crystallins from insults of UVC and free radicals may be due to its
iron-chelating activity and its peak absorption at 254 nm.
PMID- 22072937
TI - Biomarkers in tumor angiogenesis and anti-angiogenic therapy.
AB - Tumor angiogenesis has been identified to play a critical role in tumor growth
and tumor progression, and is regulated by a balance of angiogenic and anti
angiogenic cytokines. Among them VEGF (vascular endothelial growth factor) and
its signaling through its receptors are of crucial relevance. Inhibition of VEGF
signaling by monoclonal antibodies or small molecules (kinase inhibitors) has
already been successfully established for the treatment of different cancer
entities and multiple new drugs are being tested in clinical trials. However not
all patients are likely to respond to these therapies, but to date there are no
reliable biomarkers available to predict therapy response. Many studies
integrated biomarker programs in their study protocols, thus several potential
biomarkers have been identified which are currently under clinical investigation
in prospective randomized studies. This review intends to give an overview of the
described potential biomarkers as well as different imaging techniques such as
ultrasound and magnetic resonance imaging that can indicate benefit, resistance
and toxicity to anti-angiogenic therapies.
PMID- 22072938
TI - Cardioprotective effects of glycyrrhizic acid against isoproterenol-induced
myocardial ischemia in rats.
AB - The aim of the present study was to look into the possible protective effects of
glycyrrhizic acid (GA) against isoproterenol-induced acute myocardial infarction
in Sprague-Dawley rats. The effect of three doses of glycyrrhizic acid in
response to isoproterenol (ISO)-induced changes in 8-isoprostane, lipid
hydroperoxides, super oxide dismutase and total glutathione were evaluated. Male
Sprague-Dawley rats were divided into control, ISO-control, glycyrrhizic acid
alone (in three doses-5, 10 and 20 mg/kg BW) and ISO with glycyrrhizic acid (in
three doses) groups. ISO was administered at 85 mg/kg BW at two consecutive days
and glycyrrhizic acid was administered intraperitoneally for 14 days. There was a
significant increase in 8-isoprostane (IP) and lipid hydroperoxide (LPO) level in
ISO-control group. A significant decrease in total superoxide dismutase (SOD) and
total glutathione (GSH) was seen with ISO-induced acute myocardial infarction.
Treatment with GA significantly increased SOD and GSH levels and decreased
myocardial LPO and IP levels. Histopathologically, severe myocardial necrosis and
nuclear pyknosis and hypertrophy were seen in ISO-control group, which was
significantly reduced with GA treatment. Gycyrrhizic acid treatment proved to be
effective against isoproterenol-induced acute myocardial infarction in rats and
GA acts as a powerful antioxidant and reduces the myocardial lipid hydroperoxide
and 8-isoprostane level.
PMID- 22072941
TI - Metal complexes of diisopropylthiourea: synthesis, characterization and
antibacterial studies.
AB - Co(II), Cu(II), Zn(II) and Fe(III) complexes of diisopropylthiourea have been
synthesized and characterized by elemental analyses, molar conductivity, magnetic
susceptibility, FTIR and electronic spectroscopy. The compounds are non
electrolytes in solution and spectroscopic data of the complexes are consistent
with 4-coordinate geometry for the metal(II) complexes and six coordinate
octahedral for Fe(III) complex. The complexes were screened for their
antibacterial activities against six bacteria: Escherichia coli, Pseudomonas
auriginosa, Klebsiella pneumoniae, Bacillus cereus, Staphylococcus aureus and
Bacillus pumilus. The complexes showed varied antibacterial activities and their
minimum inhibitory concentrations (MICs) were determined.
PMID- 22072940
TI - Mitochondrial peroxiredoxin III is a potential target for cancer therapy.
AB - Mitochondria are involved either directly or indirectly in oncogenesis and the
alteration of metabolism in cancer cells. Cancer cells contain large numbers of
abnormal mitochondria and produce large amounts of reactive oxygen species (ROS).
Oxidative stress is caused by an imbalance between the production of ROS and the
antioxidant capacity of the cell. Several cancer therapies, such as
chemotherapeutic drugs and radiation, disrupt mitochondrial homeostasis and
release cytochrome c, leading to apoptosome formation, which activates the
intrinsic pathway. This is modulated by the extent of mitochondrial oxidative
stress. The peroxiredoxin (Prx) system is a cellular defense system against
oxidative stress, and mitochondria in cancer cells are known to contain high
levels of Prx III. Here, we review accumulating evidence suggesting that
mitochondrial oxidative stress is involved in cancer, and discuss the role of the
mitochondrial Prx III antioxidant system as a potential target for cancer
therapy. We hope that this review will provide the basis for new strategic
approaches in the development of effective cancer treatments.
PMID- 22072942
TI - Roles of oxidative stress, apoptosis, PGC-1alpha and mitochondrial biogenesis in
cerebral ischemia.
AB - The primary physiological function of mitochondria is to generate adenosine
triphosphate through oxidative phosphorylation via the electron transport chain.
Overproduction of reactive oxygen species (ROS) as byproducts generated from
mitochondria have been implicated in acute brain injuries such as stroke from
cerebral ischemia. It was well-documented that mitochondria-dependent apoptotic
pathway involves pro- and anti-apoptotic protein binding, release of cytochrome
c, leading ultimately to neuronal death. On the other hand, mitochondria also
play a role to counteract the detrimental effects elicited by excessive oxidative
stress. Recent studies have revealed that oxidative stress and the redox state of
ischemic neurons are also implicated in the signaling pathway that involves
peroxisome proliferative activated receptor-gamma (PPARgamma) co-activator 1alpha
(PGC1-alpha). PGC1-alpha is a master regulator of ROS scavenging enzymes
including manganese superoxide dismutase 2 and the uncoupling protein 2, both are
mitochondrial proteins, and may contribute to neuronal survival. PGC1-alpha is
also involved in mitochondrial biogenesis that is vital for cell survival.
Experimental evidence supports the roles of mitochondrial dysfunction and
oxidative stress as determinants of neuronal death as well as endogenous
protective mechanisms after stroke. This review aims to summarize the current
knowledge focusing on the molecular mechanisms underlying cerebral ischemia
involving ROS, mitochondrial dysfunction, apoptosis, mitochondrial proteins
capable of ROS scavenging, and mitochondrial biogenesis.
PMID- 22072943
TI - Optimal production and biochemical properties of a lipase from Candida albicans.
AB - Lipases from microorganisms have multi-faceted properties and play an important
role in ever-growing modern biotechnology and, consequently, it is of great
significance to develop new ones. In the present work, a lipase gene from Candida
albicans (CaLIP10) was cloned and two non-unusual CUG serine codons were mutated
into universal codons, and its expression in Pichia pastoris performed optimally,
as shown by response surface methodology. Optimal conditions were: initial pH of
culture 6.86, temperature 25.53 degrees C, 3.48% of glucose and 1.32% of yeast
extract. The corresponding maximal lipolytic activity of CaLIP10 was 8.06 U/mL.
The purified CaLIP10 showed maximal activity at pH 8.0 and 25 degrees C, and a
good resistance to non-ionic surfactants and polar organic solvent was noticed.
CaLIP10 could effectively hydrolyze coconut oil, but exhibited no obvious
preference to the fatty acids with different carbon length, and diacylglycerol
was accumulated in the reaction products, suggesting that CaLIP10 is a potential
lipase for the oil industry.
PMID- 22072944
TI - Use of oligonucleotides carrying photolabile groups for the control of the
deposition of nanoparticles in surfaces and nanoparticle association.
AB - An oligodeoxynucleotide hairpin containing a photolabile 2-nitrobenzyl group in
the loop and terminated with a thiol function was prepared. The photocleavage of
such a hairpin on gold yields a surface activated with a single stranded
oligonucleotide which can be utilised to direct the assembly of nanoparticles
conjugated with a complementary strand. Analysis of photocleaved surfaces gives
nanoparticle coverage one order of magnitude higher than nonphotocleaved
surfaces. This illustrates the ability of photocleavable hairpins to direct the
assembly of nanomaterials on conducting materials. The conjugation of the
photocleavable hairpin to a gold nanoparticle allows the observation of
intermolecular interactions between hairpins linked in different nanoparticles,
by comparing the thermal dissociations of a hairpin-nanoparticle conjugates at
260 nm and 520 nm. We have also shown that it is possible to permanently alter
the physiochemical properties of DNA-nanoparticles by the introduction of a
photocleavable group. Indeed for the first time it has been shown that by
exposure to UV light the disassembly of nanoparticle aggregates can be induced.
PMID- 22072939
TI - Manganese superoxide dismutase: guardian of the powerhouse.
AB - The mitochondrion is vital for many metabolic pathways in the cell, contributing
all or important constituent enzymes for diverse functions such as beta-oxidation
of fatty acids, the urea cycle, the citric acid cycle, and ATP synthesis. The
mitochondrion is also a major site of reactive oxygen species (ROS) production in
the cell. Aberrant production of mitochondrial ROS can have dramatic effects on
cellular function, in part, due to oxidative modification of key metabolic
proteins localized in the mitochondrion. The cell is equipped with myriad
antioxidant enzyme systems to combat deleterious ROS production in mitochondria,
with the mitochondrial antioxidant enzyme manganese superoxide dismutase (MnSOD)
acting as the chief ROS scavenging enzyme in the cell. Factors that affect the
expression and/or the activity of MnSOD, resulting in diminished antioxidant
capacity of the cell, can have extraordinary consequences on the overall health
of the cell by altering mitochondrial metabolic function, leading to the
development and progression of numerous diseases. A better understanding of the
mechanisms by which MnSOD protects cells from the harmful effects of
overproduction of ROS, in particular, the effects of ROS on mitochondrial
metabolic enzymes, may contribute to the development of novel treatments for
various diseases in which ROS are an important component.
PMID- 22072945
TI - Estimating the octanol/water partition coefficient for aliphatic organic
compounds using semi-empirical electrotopological index.
AB - A new possibility for estimating the octanol/water coefficient (log P) was
investigated using only one descriptor, the semi-empirical electrotopological
index (I(SET)). The predictability of four octanol/water partition coefficient
(log P) calculation models was compared using a set of 131 aliphatic organic
compounds from five different classes. Log P values were calculated employing
atomic-contribution methods, as in the Ghose/Crippen approach and its later
refinement, AlogP; using fragmental methods through the ClogP method; and
employing an approach considering the whole molecule using topological indices
with the MlogP method. The efficiency and the applicability of the I(SET) in
terms of calculating log P were demonstrated through good statistical quality (r
> 0.99; s < 0.18), high internal stability and good predictive ability for an
external group of compounds in the same order as the widely used models based on
the fragmental method, ClogP, and the atomic contribution method, AlogP, which
are among the most used methods of predicting log P.
PMID- 22072946
TI - Isolation and characterization of 11 new microsatellite loci in Erigeron
breviscapus (Asteraceae), an important Chinese traditional herb.
AB - Erigeron breviscapus (Vant.) Hand.-Mazz. (Asteraceae) is a species endemic to
southwestern China and an important traditional Chinese herb for cardiovascular
and cerebral vessel diseases. Applying a modified biotin-streptavidin capture
method, 11 microsatellite loci were discovered. Polymorphism of each locus was
assessed in 24 individuals collected from five wild populations. The number of
alleles per locus ranged from 2 to 7, with an average of 4.273. The observed (HO)
and expected (HE) heterozygosities varied from 0.250 to 0.958 and from 0.337 to
0.786, respectively. Over half of these loci were successfully amplified in two
congeneric species. The developed microsatellite markers will be useful for
future population genetics and conservation studies, as well as accurate
identification of different varieties.
PMID- 22072947
TI - Eighteen years of molecular genotyping the hemophilia inversion hotspot: from
southern blot to inverse shifting-PCR.
AB - The factor VIII gene (F8) intron 22 inversion (Inv22) is a paradigmatic duplicon
mediated rearrangement, found in about one half of patients with severe
hemophilia A worldwide. The identification of this prevalent cause of hemophilia
was delayed for nine years after the F8 characterization in 1984. The aim of this
review is to present the wide diversity of practical approaches that have been
developed for genotyping the Inv22 (and related int22h rearrangements) since
discovery in 1993. The sequence- Southern blot, long distance-PCR and inverse
shifting-PCR-for Inv22 genotyping is an interesting example of scientific
ingenuity and evolution in order to resolve challenging molecular diagnostic
problems.
PMID- 22072948
TI - Dynamics of Word Comprehension in Infancy: Developments in Timing, Accuracy, and
Resistance to Acoustic Degradation.
AB - Online comprehension of naturally spoken and perceptually degraded words was
assessed in 95 children ages 12 to 31 months. The time course of word recognition
was measured by monitoring eye movements as children looked at pictures while
listening to familiar target words presented in unaltered, time-compressed, and
low-pass-filtered forms. Success in word recognition varied with age and level of
vocabulary development, and with the perceptual integrity of the word.
Recognition was best overall for unaltered words, lower for time-compressed
words, and significantly lower in low-pass-filtered words. Reaction times were
fastest in compressed, followed by unaltered and filtered words. Results showed
that children were able to recognize familiar words in challenging conditions and
that productive vocabulary size was more sensitive than chronological age as a
predictor of children's accuracy and speed in word recognition.
PMID- 22072950
TI - Transcriptomic coordination in the human metabolic network reveals links between
n-3 fat intake, adipose tissue gene expression and metabolic health.
AB - Understanding the molecular link between diet and health is a key goal in
nutritional systems biology. As an alternative to pathway analysis, we have
developed a joint multivariate and network-based approach to analysis of a
dataset of habitual dietary records, adipose tissue transcriptomics and
comprehensive plasma marker profiles from human volunteers with the Metabolic
Syndrome. With this approach we identified prominent co-expressed sub-networks in
the global metabolic network, which showed correlated expression with habitual n
3 PUFA intake and urinary levels of the oxidative stress marker 8-iso
PGF(2alpha). These sub-networks illustrated inherent cross-talk between distinct
metabolic pathways, such as between triglyceride metabolism and production of
lipid signalling molecules. In a parallel promoter analysis, we identified
several adipogenic transcription factors as potential transcriptional regulators
associated with habitual n-3 PUFA intake. Our results illustrate advantages of
network-based analysis, and generate novel hypotheses on the transcriptomic link
between habitual n-3 PUFA intake, adipose tissue function and oxidative stress.
PMID- 22072951
TI - How landscape heterogeneity frames optimal diffusivity in searching processes.
AB - Theoretical and empirical investigations of search strategies typically have
failed to distinguish the distinct roles played by density versus patchiness of
resources. It is well known that motility and diffusivity of organisms often
increase in environments with low density of resources, but thus far there has
been little progress in understanding the specific role of landscape
heterogeneity and disorder on random, non-oriented motility. Here we address the
general question of how the landscape heterogeneity affects the efficiency of
encounter interactions under global constant density of scarce resources. We
unveil the key mechanism coupling the landscape structure with optimal search
diffusivity. In particular, our main result leads to an empirically testable
prediction: enhanced diffusivity (including superdiffusive searches), with shift
in the diffusion exponent, favors the success of target encounters in
heterogeneous landscapes.
PMID- 22072952
TI - High degree of heterogeneity in Alzheimer's disease progression patterns.
AB - There have been several reports on the varying rates of progression among
Alzheimer's Disease (AD) patients; however, there has been no quantitative study
of the amount of heterogeneity in AD. Obtaining a reliable quantitative measure
of AD progression rates and their variances among the patients for each stage of
AD is essential for evaluating results of any clinical study. The Global
Deterioration Scale (GDS) and Functional Assessment Staging procedure (FAST)
characterize seven stages in the course of AD from normal aging to severe
dementia. Each GDS/FAST stage has a published mean duration, but the variance is
unknown. We use statistical analysis to reconstruct GDS/FAST stage durations in a
cohort of 648 AD patients with an average follow-up time of 4.78 years.
Calculations for GDS/FAST stages 4-6 reveal that the standard deviations for
stage durations are comparable with their mean values, indicating the presence of
large variations in the AD progression among patients. Such amount of
heterogeneity in the course of progression of AD is consistent with the existence
of several sub-groups of AD patients, which differ by their patterns of decline.
PMID- 22072953
TI - Learning the optimal control of coordinated eye and head movements.
AB - Various optimality principles have been proposed to explain the characteristics
of coordinated eye and head movements during visual orienting behavior. At the
same time, researchers have suggested several neural models to underly the
generation of saccades, but these do not include online learning as a mechanism
of optimization. Here, we suggest an open-loop neural controller with a local
adaptation mechanism that minimizes a proposed cost function. Simulations show
that the characteristics of coordinated eye and head movements generated by this
model match the experimental data in many aspects, including the relationship
between amplitude, duration and peak velocity in head-restrained and the relative
contribution of eye and head to the total gaze shift in head-free conditions. Our
model is a first step towards bringing together an optimality principle and an
incremental local learning mechanism into a unified control scheme for
coordinated eye and head movements.
PMID- 22072954
TI - The statistics of bulk segregant analysis using next generation sequencing.
AB - We describe a statistical framework for QTL mapping using bulk segregant analysis
(BSA) based on high throughput, short-read sequencing. Our proposed approach is
based on a smoothed version of the standard G statistic, and takes into account
variation in allele frequency estimates due to sampling of segregants to form
bulks as well as variation introduced during the sequencing of bulks. Using
simulation, we explore the impact of key experimental variables such as bulk size
and sequencing coverage on the ability to detect QTLs. Counterintuitively, we
find that relatively large bulks maximize the power to detect QTLs even though
this implies weaker selection and less extreme allele frequency differences. Our
simulation studies suggest that with large bulks and sufficient sequencing depth,
the methods we propose can be used to detect even weak effect QTLs and we
demonstrate the utility of this framework by application to a BSA experiment in
the budding yeast Saccharomyces cerevisiae.
PMID- 22072955
TI - Interspecies translation of disease networks increases robustness and predictive
accuracy.
AB - Gene regulatory networks give important insights into the mechanisms underlying
physiology and pathophysiology. The derivation of gene regulatory networks from
high-throughput expression data via machine learning strategies is problematic as
the reliability of these models is often compromised by limited and highly
variable samples, heterogeneity in transcript isoforms, noise, and other
artifacts. Here, we develop a novel algorithm, dubbed Dandelion, in which we
construct and train intraspecies Bayesian networks that are translated and
assessed on independent test sets from other species in a reiterative procedure.
The interspecies disease networks are subjected to multi-layers of analysis and
evaluation, leading to the identification of the most consistent relationships
within the network structure. In this study, we demonstrate the performance of
our algorithms on datasets from animal models of oculopharyngeal muscular
dystrophy (OPMD) and patient materials. We show that the interspecies network of
genes coding for the proteasome provide highly accurate predictions on gene
expression levels and disease phenotype. Moreover, the cross-species translation
increases the stability and robustness of these networks. Unlike existing
modeling approaches, our algorithms do not require assumptions on notoriously
difficult one-to-one mapping of protein orthologues or alternative transcripts
and can deal with missing data. We show that the identified key components of the
OPMD disease network can be confirmed in an unseen and independent disease model.
This study presents a state-of-the-art strategy in constructing interspecies
disease networks that provide crucial information on regulatory relationships
among genes, leading to better understanding of the disease molecular mechanisms.
PMID- 22072956
TI - Stability properties of underdominance in finite subdivided populations.
AB - IN ISOLATED populations underdominance leads to bistable evolutionary dynamics:
below a certain mutant allele frequency the wildtype succeeds. Above this point,
the potentially underdominant mutant allele fixes. In subdivided populations with
gene flow there can be stable states with coexistence of wildtypes and mutants:
polymorphism can be maintained because of a migration-selection equilibrium,
i.e., selection against rare recent immigrant alleles that tend to be
heterozygous. We focus on the stochastic evolutionary dynamics of systems where
demographic fluctuations in the coupled populations are the main source of
internal noise. We discuss the influence of fitness, migration rate, and the
relative sizes of two interacting populations on the mean extinction times of a
group of potentially underdominant mutant alleles. We classify realistic initial
conditions according to their impact on the stochastic extinction process. Even
in small populations, where demographic fluctuations are large, stability
properties predicted from deterministic dynamics show remarkable robustness.
Fixation of the mutant allele becomes unlikely but the time to its extinction can
be long.
PMID- 22072958
TI - Simple rapid near-patient diagnostics for tuberculosis remain elusive--is a
"treat-to-test" strategy more realistic?
PMID- 22072959
TI - SAG101 forms a ternary complex with EDS1 and PAD4 and is required for resistance
signaling against turnip crinkle virus.
AB - EDS1, PAD4, and SAG101 are common regulators of plant immunity against many
pathogens. EDS1 interacts with both PAD4 and SAG101 but direct interaction
between PAD4 and SAG101 has not been detected, leading to the suggestion that the
EDS1-PAD4 and EDS1-SAG101 complexes are distinct. We show that EDS1, PAD4, and
SAG101 are present in a single complex in planta. While this complex is
preferentially nuclear localized, it can be redirected to the cytoplasm in the
presence of an extranuclear form of EDS1. PAD4 and SAG101 can in turn, regulate
the subcellular localization of EDS1. We also show that the Arabidopsis genome
encodes two functionally redundant isoforms of EDS1, either of which can form
ternary complexes with PAD4 and SAG101. Simultaneous mutations in both EDS1
isoforms are essential to abrogate resistance (R) protein-mediated defense
against turnip crinkle virus (TCV) as well as avrRps4 expressing Pseudomonas
syringae. Interestingly, unlike its function as a PAD4 substitute in bacterial
resistance, SAG101 is required for R-mediated resistance to TCV, thus implicating
a role for the ternary complex in this defense response. However, only EDS1 is
required for HRT-mediated HR to TCV, while only PAD4 is required for SA-dependent
induction of HRT. Together, these results suggest that EDS1, PAD4 and SAG101 also
perform independent functions in HRT-mediated resistance.
PMID- 22072960
TI - Assessing predicted HIV-1 replicative capacity in a clinical setting.
AB - HIV-1 replicative capacity (RC) provides a measure of within-host fitness and is
determined in the context of phenotypic drug resistance testing. However it is
unclear how these in-vitro measurements relate to in-vivo processes. Here we
assess RCs in a clinical setting by combining a previously published machine
learning tool, which predicts RC values from partial pol sequences with genotypic
and clinical data from the Swiss HIV Cohort Study. The machine-learning tool is
based on a training set consisting of 65000 RC measurements paired with their
corresponding partial pol sequences. We find that predicted RC values (pRCs)
correlate significantly with the virus load measured in 2073 infected but drug
naive individuals. Furthermore, we find that, for 53 pairs of sequences, each
pair sampled in the same infected individual, the pRC was significantly higher
for the sequence sampled later in the infection and that the increase in pRC was
also significantly correlated with the increase in plasma viral load and with the
length of the time-interval between the sampling points. These findings indicate
that selection within a patient favors the evolution of higher replicative
capacities and that these in-vitro fitness measures are indicative of in-vivo HIV
virus load.
PMID- 22072961
TI - BST2/Tetherin enhances entry of human cytomegalovirus.
AB - Interferon-induced BST2/Tetherin prevents budding of vpu-deficient HIV-1 by
tethering mature viral particles to the plasma membrane. BST2 also inhibits
release of other enveloped viruses including Ebola virus and Kaposi's sarcoma
associated herpesvirus (KSHV), indicating that BST2 is a broadly acting antiviral
host protein. Unexpectedly however, recovery of human cytomegalovirus (HCMV) from
supernatants of BST2-expressing human fibroblasts was increased rather than
decreased. Furthermore, BST2 seemed to enhance viral entry into cells since more
virion proteins were released into BST2-expressing cells and subsequent viral
gene expression was elevated. A significant increase in viral entry was also
observed upon induction of endogenous BST2 during differentiation of the pro
monocytic cell line THP-1. Moreover, treatment of primary human monocytes with
siRNA to BST2 reduced HCMV infection, suggesting that BST2 facilitates entry of
HCMV into cells expressing high levels of BST2 either constitutively or in
response to exogenous stimuli. Since BST2 is present in HCMV particles we propose
that HCMV entry is enhanced via a reverse-tethering mechanism with BST2 in the
viral envelope interacting with BST2 in the target cell membrane. Our data
suggest that HCMV not only counteracts the well-established function of BST2 as
inhibitor of viral egress but also employs this anti-viral protein to gain entry
into BST2-expressing hematopoietic cells, a process that might play a role in
hematogenous dissemination of HCMV.
PMID- 22072962
TI - Genomic transition to pathogenicity in chytrid fungi.
AB - Understanding the molecular mechanisms of pathogen emergence is central to
mitigating the impacts of novel infectious disease agents. The chytrid fungus
Batrachochytrium dendrobatidis (Bd) is an emerging pathogen of amphibians that
has been implicated in amphibian declines worldwide. Bd is the only member of its
clade known to attack vertebrates. However, little is known about the molecular
determinants of - or evolutionary transition to - pathogenicity in Bd. Here we
sequence the genome of Bd's closest known relative - a non-pathogenic chytrid
Homolaphlyctis polyrhiza (Hp). We first describe the genome of Hp, which is
comparable to other chytrid genomes in size and number of predicted proteins. We
then compare the genomes of Hp, Bd, and 19 additional fungal genomes to identify
unique or recent evolutionary elements in the Bd genome. We identified 1,974 Bd
specific genes, a gene set that is enriched for protease, lipase, and microbial
effector Gene Ontology terms. We describe significant lineage-specific expansions
in three Bd protease families (metallo-, serine-type, and aspartyl proteases). We
show that these protease gene family expansions occurred after the divergence of
Bd and Hp from their common ancestor and thus are localized to the Bd branch.
Finally, we demonstrate that the timing of the protease gene family expansions
predates the emergence of Bd as a globally important amphibian pathogen.
PMID- 22072963
TI - The critical role of Notch ligand Delta-like 1 in the pathogenesis of influenza A
virus (H1N1) infection.
AB - Influenza A viral infections have been identified as the etiologic agents for
historic pandemics, and contribute to the annual mortality associated with acute
viral pneumonia. While both innate and acquired immunity are important in
combating influenza virus infection, the mechanism connecting these arms of the
immune system remains unknown. Recent data have indicated that the Notch system
is an important bridge between antigen-presenting cells (APCs) and T cell
communication circuits and plays a central role in driving the immune system to
overcome disease. In the present study, we examine the role of Notch signaling
during influenza H1N1 virus infection, focusing on APCs. We demonstrate here that
macrophages, but not dendritic cells (DCs), increased Notch ligand Delta-like 1
(Dll1) expression following influenza virus challenge. Dll1 expression on
macrophages was dependent on retinoic acid-inducible gene-I (RIG-I) induced type
I IFN pathway, and not on the TLR3-TRIF pathway. We also found that IFNalpha
Receptor knockout mice failed to induce Dll1 expression on lung macrophages and
had enhanced mortality during influenza virus infection. Our results further
showed that specific neutralization of Dll1 during influenza virus challenge
induced higher mortality, impaired viral clearance, and decreased levels of IFN
gamma. In addition, we blocked Notch signaling by using gamma-secretase inhibitor
(GSI), a Notch signaling inhibitor. Intranasal administration of GSI during
influenza infection also led to higher mortality, and higher virus load with
excessive inflammation and an impaired production of IFN-gamma in lungs.
Moreover, Dll1 expression on macrophages specifically regulates IFN-gamma levels
from CD4(+)and CD8(+)T cells, which are important for anti-viral immunity.
Together, the results of this study show that Dll1 positively influences the
development of anti-viral immunity, and may provide mechanistic approaches for
modifying and controlling the immune response against influenza H1N1 virus
infection.
PMID- 22072964
TI - Sequence-based analysis uncovers an abundance of non-coding RNA in the total
transcriptome of Mycobacterium tuberculosis.
AB - RNA sequencing provides a new perspective on the genome of Mycobacterium
tuberculosis by revealing an extensive presence of non-coding RNA, including long
5' and 3' untranslated regions, antisense transcripts, and intergenic small RNA
(sRNA) molecules. More than a quarter of all sequence reads mapping outside of
ribosomal RNA genes represent non-coding RNA, and the density of reads mapping to
intergenic regions was more than two-fold higher than that mapping to annotated
coding sequences. Selected sRNAs were found at increased abundance in stationary
phase cultures and accumulated to remarkably high levels in the lungs of
chronically infected mice, indicating a potential contribution to pathogenesis.
The ability of tubercle bacilli to adapt to changing environments within the host
is critical to their ability to cause disease and to persist during drug
treatment; it is likely that novel post-transcriptional regulatory networks will
play an important role in these adaptive responses.
PMID- 22072965
TI - Unique type I interferon responses determine the functional fate of migratory
lung dendritic cells during influenza virus infection.
AB - Migratory lung dendritic cells (DCs) transport viral antigen from the lungs to
the draining mediastinal lymph nodes (MLNs) during influenza virus infection to
initiate the adaptive immune response. Two major migratory DC subsets, CD103(+)
DCs and CD11b(high) DCs participate in this function and it is not clear if these
antigen presenting cell (APC) populations become directly infected and if so
whether their activity is influenced by the infection. In these experiments we
show that both subpopulations can become infected and migrate to the draining MLN
but a difference in their response to type I interferon (I-IFN) signaling
dictates the capacity of the virus to replicate. CD103(+) DCs allow the virus to
replicate to significantly higher levels than do the CD11b(high) DCs, and they
release infectious virus in the MLNs and when cultured ex-vivo. Virus replication
in CD11b(high) DCs is inhibited by I-IFNs, since ablation of the I-IFN receptor
(IFNAR) signaling permits virus to replicate vigorously and productively in this
subset. Interestingly, CD103(+) DCs are less sensitive to I-IFNs upregulating
interferon-induced genes to a lesser extent than CD11b(high) DCs. The attenuated
IFNAR signaling by CD103(+) DCs correlates with their described superior antigen
presentation capacity for naive CD8(+) T cells when compared to CD11b(high) DCs.
Indeed ablation of IFNAR signaling equalizes the competency of the antigen
presenting function for the two subpopulations. Thus, antigen presentation by
lung DCs is proportional to virus replication and this is tightly constrained by
I-IFN. The "interferon-resistant" CD103(+) DCs may have evolved to ensure the
presentation of viral antigens to T cells in I-IFN rich environments. Conversely,
this trait may be exploitable by viral pathogens as a mechanism for systemic
dissemination.
PMID- 22072966
TI - Rab7A is required for efficient production of infectious HIV-1.
AB - Retroviruses take advantage of cellular trafficking machineries to assemble and
release new infectious particles. Rab proteins regulate specific steps in
intracellular membrane trafficking by recruiting tethering, docking and fusion
factors, as well as the actin- and microtubule-based motor proteins that
facilitate vesicle traffic. Using virological tests and RNA interference
targeting Rab proteins, we demonstrate that the late endosome-associated Rab7A is
required for HIV-1 propagation. Analysis of the late steps of the HIV infection
cycle shows that Rab7A regulates Env processing, the incorporation of mature Env
glycoproteins into viral particles and HIV-1 infectivity. We also show that siRNA
mediated Rab7A depletion induces a BST2/Tetherin phenotype on HIV-1 release.
BST2/Tetherin is a restriction factor that impedes HIV-1 release by tethering
mature virus particles to the plasma membrane. Our results suggest that Rab7A
contributes to the mechanism by which Vpu counteracts the restriction factor
BST2/Tetherin and rescues HIV-1 release. Altogether, our results highlight new
roles for a major regulator of the late endocytic pathway, Rab7A, in the late
stages of the HIV-1 replication cycle.
PMID- 22072968
TI - Fatal prion disease in a mouse model of genetic E200K Creutzfeldt-Jakob disease.
AB - Genetic prion diseases are late onset fatal neurodegenerative disorders linked to
pathogenic mutations in the prion protein-encoding gene, PRNP. The most prevalent
of these is the substitution of Glutamate for Lysine at codon 200 (E200K),
causing genetic Creutzfeldt-Jakob disease (gCJD) in several clusters, including
Jews of Libyan origin. Investigating the pathogenesis of genetic CJD, as well as
developing prophylactic treatments for young asymptomatic carriers of this and
other PrP mutations, may well depend upon the availability of appropriate animal
models in which long term treatments can be evaluated for efficacy and toxicity.
Here we present the first effective mouse model for E200KCJD, which expresses
chimeric mouse/human (TgMHu2M) E199KPrP on both a null and a wt PrP background,
as is the case for heterozygous patients and carriers. Mice from both lines
suffered from distinct neurological symptoms as early as 5-6 month of age and
deteriorated to death several months thereafter. Histopathological examination of
the brain and spinal cord revealed early gliosis and age-related intraneuronal
deposition of disease-associated PrP similarly to human E200K gCJD. Concomitantly
we detected aggregated, proteinase K resistant, truncated and oxidized PrP forms
on immunoblots. Inoculation of brain extracts from TgMHu2ME199K mice readily
induced, the first time for any mutant prion transgenic model, a distinct fatal
prion disease in wt mice. We believe that these mice may serve as an ideal
platform for the investigation of the pathogenesis of genetic prion disease and
thus for the monitoring of anti-prion treatments.
PMID- 22072967
TI - Multiple candidate effectors from the oomycete pathogen Hyaloperonospora
arabidopsidis suppress host plant immunity.
AB - Oomycete pathogens cause diverse plant diseases. To successfully colonize their
hosts, they deliver a suite of effector proteins that can attenuate plant
defenses. In the oomycete downy mildews, effectors carry a signal peptide and an
RxLR motif. Hyaloperonospora arabidopsidis (Hpa) causes downy mildew on the model
plant Arabidopsis thaliana (Arabidopsis). We investigated if candidate effectors
predicted in the genome sequence of Hpa isolate Emoy2 (HaRxLs) were able to
manipulate host defenses in different Arabidopsis accessions. We developed a
rapid and sensitive screening method to test HaRxLs by delivering them via the
bacterial type-three secretion system (TTSS) of Pseudomonas syringae pv tomato
DC3000-LUX (Pst-LUX) and assessing changes in Pst-LUX growth in planta on 12
Arabidopsis accessions. The majority (~70%) of the 64 candidates tested
positively contributed to Pst-LUX growth on more than one accession indicating
that Hpa virulence likely involves multiple effectors with weak accession
specific effects. Further screening with a Pst mutant (DeltaCEL) showed that
HaRxLs that allow enhanced Pst-LUX growth usually suppress callose deposition, a
hallmark of pathogen-associated molecular pattern (PAMP)-triggered immunity
(PTI). We found that HaRxLs are rarely strong avirulence determinants. Although
some decreased Pst-LUX growth in particular accessions, none activated
macroscopic cell death. Fewer HaRxLs conferred enhanced Pst growth on turnip, a
non-host for Hpa, while several reduced it, consistent with the idea that
turnip's non-host resistance against Hpa could involve a combination of
recognized HaRxLs and ineffective HaRxLs. We verified our results by
constitutively expressing in Arabidopsis a sub-set of HaRxLs. Several transgenic
lines showed increased susceptibility to Hpa and attenuation of Arabidopsis PTI
responses, confirming the HaRxLs' role in Hpa virulence. This study shows TTSS
screening system provides a useful tool to test whether candidate effectors from
eukaryotic pathogens can suppress/trigger plant defense mechanisms and to rank
their effectiveness prior to subsequent mechanistic investigation.
PMID- 22072969
TI - Anti-filarial activity of antibiotic therapy is due to extensive apoptosis after
Wolbachia depletion from filarial nematodes.
AB - Filarial nematodes maintain a mutualistic relationship with the endosymbiont
Wolbachia. Depletion of Wolbachia produces profound defects in nematode
development, fertility and viability and thus has great promise as a novel
approach for treating filarial diseases. However, little is known concerning the
basis for this mutualistic relationship. Here we demonstrate using whole mount
confocal microscopy that an immediate response to Wolbachia depletion is
extensive apoptosis in the adult germline, and in the somatic cells of the
embryos, microfilariae and fourth-stage larvae (L4). Surprisingly, apoptosis
occurs in the majority of embryonic cells that had not been infected prior to
antibiotic treatment. In addition, no apoptosis occurs in the hypodermal chords,
which are populated with large numbers of Wolbachia, although disruption of the
hypodermal cytoskeleton occurs following their depletion. Thus, the induction of
apoptosis upon Wolbachia depletion is non-cell autonomous and suggests the
involvement of factors originating from Wolbachia in the hypodermal chords. The
pattern of apoptosis correlates closely with the nematode tissues and processes
initially perturbed following depletion of Wolbachia, embryogenesis and long-term
sterilization, which are sustained for several months until the premature death
of the adult worms. Our observations provide a cellular mechanism to account for
the sustained reductions in microfilarial loads and interruption of transmission
that occurs prior to macrofilaricidal activity following antibiotic therapy of
filarial nematodes.
PMID- 22072970
TI - The pore-forming toxin listeriolysin O mediates a novel entry pathway of L.
monocytogenes into human hepatocytes.
AB - Intracellular pathogens have evolved diverse strategies to invade and survive
within host cells. Among the most studied facultative intracellular pathogens,
Listeria monocytogenes is known to express two invasins-InlA and InlB-that induce
bacterial internalization into nonphagocytic cells. The pore-forming toxin
listeriolysin O (LLO) facilitates bacterial escape from the internalization
vesicle into the cytoplasm, where bacteria divide and undergo cell-to-cell
spreading via actin-based motility. In the present study we demonstrate that in
addition to InlA and InlB, LLO is required for efficient internalization of L.
monocytogenes into human hepatocytes (HepG2). Surprisingly, LLO is an invasion
factor sufficient to induce the internalization of noninvasive Listeria innocua
or polystyrene beads into host cells in a dose-dependent fashion and at the
concentrations produced by L. monocytogenes. To elucidate the mechanisms
underlying LLO-induced bacterial entry, we constructed novel LLO derivatives
locked at different stages of the toxin assembly on host membranes. We found that
LLO-induced bacterial or bead entry only occurs upon LLO pore formation. Scanning
electron and fluorescence microscopy studies show that LLO-coated beads stimulate
the formation of membrane extensions that ingest the beads into an early
endosomal compartment. This LLO-induced internalization pathway is dynamin-and F
actin-dependent, and clathrin-independent. Interestingly, further linking pore
formation to bacteria/bead uptake, LLO induces F-actin polymerization in a
tyrosine kinase-and pore-dependent fashion. In conclusion, we demonstrate for the
first time that a bacterial pathogen perforates the host cell plasma membrane as
a strategy to activate the endocytic machinery and gain entry into the host cell.
PMID- 22072971
TI - A molecular mechanism for bacterial susceptibility to zinc.
AB - Transition row metal ions are both essential and toxic to microorganisms. Zinc in
excess has significant toxicity to bacteria, and host release of Zn(II) at
mucosal surfaces is an important innate defence mechanism. However, the molecular
mechanisms by which Zn(II) affords protection have not been defined. We show that
in Streptococcus pneumoniae extracellular Zn(II) inhibits the acquisition of the
essential metal Mn(II) by competing for binding to the solute binding protein
PsaA. We show that, although Mn(II) is the high-affinity substrate for PsaA,
Zn(II) can still bind, albeit with a difference in affinity of nearly two orders
of magnitude. Despite the difference in metal ion affinities, high-resolution
structures of PsaA in complex with Mn(II) or Zn(II) showed almost no difference.
However, Zn(II)-PsaA is significantly more thermally stable than Mn(II)-PsaA,
suggesting that Zn(II) binding may be irreversible. In vitro growth analyses show
that extracellular Zn(II) is able to inhibit Mn(II) intracellular accumulation
with little effect on intracellular Zn(II). The phenotype of S. pneumoniae grown
at high Zn(II):Mn(II) ratios, i.e. induced Mn(II) starvation, closely mimicked a
DeltapsaA mutant, which is unable to accumulate Mn(II). S. pneumoniae infection
in vivo elicits massive elevation of the Zn(II):Mn(II) ratio and, in vitro, these
Zn(II):Mn(II) ratios inhibited growth due to Mn(II) starvation, resulting in
heightened sensitivity to oxidative stress and polymorphonuclear leucocyte
killing. These results demonstrate that microbial susceptibility to Zn(II)
toxicity is mediated by extracellular cation competition and that this can be
harnessed by the innate immune response.
PMID- 22072972
TI - ChemR23 dampens lung inflammation and enhances anti-viral immunity in a mouse
model of acute viral pneumonia.
AB - Viral diseases of the respiratory tract, which include influenza pandemic,
children acute bronchiolitis, and viral pneumonia of the elderly, represent major
health problems. Plasmacytoid dendritic cells play an important role in anti
viral immunity, and these cells were recently shown to express ChemR23, the
receptor for the chemoattractant protein chemerin, which is expressed by
epithelial cells in the lung. Our aim was to determine the role played by the
chemerin/ChemR23 system in the physiopathology of viral pneumonia, using the
pneumonia virus of mice (PVM) as a model. Wild-type and ChemR23 knock-out mice
were infected by PVM and followed for functional and inflammatory parameters.
ChemR23(-/-) mice displayed higher mortality/morbidity, alteration of lung
function, delayed viral clearance and increased neutrophilic infiltration. We
demonstrated in these mice a lower recruitment of plasmacytoid dendritic cells
and a reduction in type I interferon production. The role of plasmacytoid
dendritic cells was further addressed by performing depletion and adoptive
transfer experiments as well as by the generation of chimeric mice, demonstrating
two opposite effects of the chemerin/ChemR23 system. First, the ChemR23-dependent
recruitment of plasmacytoid dendritic cells contributes to adaptive immune
responses and viral clearance, but also enhances the inflammatory response.
Second, increased morbidity/mortality in ChemR23(-/-) mice is not due to
defective plasmacytoid dendritic cells recruitment, but rather to the loss of an
anti-inflammatory pathway involving ChemR23 expressed by non-leukocytic cells.
The chemerin/ChemR23 system plays important roles in the physiopathology of viral
pneumonia, and might therefore be considered as a therapeutic target for anti
viral and anti-inflammatory therapies.
PMID- 22072973
TI - Sap transporter mediated import and subsequent degradation of antimicrobial
peptides in Haemophilus.
AB - Antimicrobial peptides (AMPs) contribute to host innate immune defense and are a
critical component to control bacterial infection. Nontypeable Haemophilus
influenzae (NTHI) is a commensal inhabitant of the human nasopharyngeal mucosa,
yet is commonly associated with opportunistic infections of the upper and lower
respiratory tracts. An important aspect of NTHI virulence is the ability to avert
bactericidal effects of host-derived antimicrobial peptides (AMPs). The Sap
(sensitivity to antimicrobial peptides) ABC transporter equips NTHI to resist
AMPs, although the mechanism of this resistance has remained undefined. We
previously determined that the periplasmic binding protein SapA bound AMPs and
was required for NTHI virulence in vivo. We now demonstrate, by antibody-mediated
neutralization of AMP in vivo, that SapA functions to directly counter AMP
lethality during NTHI infection. We hypothesized that SapA would deliver AMPs to
the Sap inner membrane complex for transport into the bacterial cytoplasm. We
observed that AMPs localize to the bacterial cytoplasm of the parental NTHI
strain and were susceptible to cytoplasmic peptidase activity. In striking
contrast, AMPs accumulated in the periplasm of bacteria lacking a functional Sap
permease complex. These data support a mechanism of Sap mediated import of AMPs,
a novel strategy to reduce periplasmic and inner membrane accumulation of these
host defense peptides.
PMID- 22072974
TI - Single molecule analysis of replicated DNA reveals the usage of multiple KSHV
genome regions for latent replication.
AB - Kaposi's sarcoma associated herpesvirus (KSHV), an etiologic agent of Kaposi's
sarcoma, Body Cavity Based Lymphoma and Multicentric Castleman's Disease,
establishes lifelong latency in infected cells. The KSHV genome tethers to the
host chromosome with the help of a latency associated nuclear antigen (LANA).
Additionally, LANA supports replication of the latent origins within the terminal
repeats by recruiting cellular factors. Our previous studies identified and
characterized another latent origin, which supported the replication of plasmids
ex-vivo without LANA expression in trans. Therefore identification of an
additional origin site prompted us to analyze the entire KSHV genome for
replication initiation sites using single molecule analysis of replicated DNA
(SMARD). Our results showed that replication of DNA can initiate throughout the
KSHV genome and the usage of these regions is not conserved in two different KSHV
strains investigated. SMARD also showed that the utilization of multiple
replication initiation sites occurs across large regions of the genome rather
than a specified sequence. The replication origin of the terminal repeats showed
only a slight preference for their usage indicating that LANA dependent origin at
the terminal repeats (TR) plays only a limited role in genome duplication.
Furthermore, we performed chromatin immunoprecipitation for ORC2 and MCM3, which
are part of the pre-replication initiation complex to determine the genomic sites
where these proteins accumulate, to provide further characterization of potential
replication initiation sites on the KSHV genome. The ChIP data confirmed
accumulation of these pre-RC proteins at multiple genomic sites in a cell cycle
dependent manner. Our data also show that both the frequency and the sites of
replication initiation vary within the two KSHV genomes studied here, suggesting
that initiation of replication is likely to be affected by the genomic context
rather than the DNA sequences.
PMID- 22072975
TI - A LysM and SH3-domain containing region of the Listeria monocytogenes p60 protein
stimulates accessory cells to promote activation of host NK cells.
AB - Listeria monocytogenes (Lm) infection induces rapid and robust activation of host
natural killer (NK) cells. Here we define a region of the abundantly secreted Lm
endopeptidase, p60, that potently but indirectly stimulates NK cell activation in
vitro and in vivo. Lm expression of p60 resulted in increased IFNgamma production
by naive NK cells co-cultured with treated dendritic cells (DCs). Moreover,
recombinant p60 protein stimulated activation of naive NK cells when co-cultured
with TLR or cytokine primed DCs in the absence of Lm. Intact p60 protein weakly
digested bacterial peptidoglycan (PGN), but neither muropeptide recognition by
RIP2 nor the catalytic activity of p60 was required for NK cell activation.
Rather, the immune stimulating activity mapped to an N-terminal region of p60,
termed L1S. Treatment of DCs with a recombinant L1S polypeptide stimulated them
to activate naive NK cells in a cell culture model. Further, L1S treatment
activated NK cells in vivo and increased host resistance to infection with
Francisella tularensis live vaccine strain (LVS). These studies demonstrate an
immune stimulating function for a bacterial LysM domain-containing polypeptide
and suggest that recombinant versions of L1S or other p60 derivatives can be used
to promote NK cell activation in therapeutic contexts.
PMID- 22072977
TI - Drosophila duplication hotspots are associated with late-replicating regions of
the genome.
AB - Duplications play a significant role in both extremes of the phenotypic spectrum
of newly arising mutations: they can have severe deleterious effects (e.g.
duplications underlie a variety of diseases) but can also be highly advantageous.
The phenotypic potential of newly arisen duplications has stimulated wide
interest in both the mutational and selective processes shaping these variants in
the genome. Here we take advantage of the Drosophila simulans-Drosophila
melanogaster genetic system to further our understanding of both processes.
Regarding mutational processes, the study of two closely related species allows
investigation of the potential existence of shared duplication hotspots, and the
similarities and differences between the two genomes can be used to dissect its
underlying causes. Regarding selection, the difference in the effective
population size between the two species can be leveraged to ask questions about
the strength of selection acting on different classes of duplications. In this
study, we conducted a survey of duplication polymorphisms in 14 different lines
of D. simulans using tiling microarrays and combined it with an analogous survey
for the D. melanogaster genome. By integrating the two datasets, we identified
duplication hotspots conserved between the two species. However, unlike the
duplication hotspots identified in mammalian genomes, Drosophila duplication
hotspots are not associated with sequences of high sequence identity capable of
mediating non-allelic homologous recombination. Instead, Drosophila duplication
hotspots are associated with late-replicating regions of the genome, suggesting a
link between DNA replication and duplication rates. We also found evidence
supporting a higher effectiveness of selection on duplications in D. simulans
than in D. melanogaster. This is also true for duplications segregating at high
frequency, where we find evidence in D. simulans that a sizeable fraction of
these mutations is being driven to fixation by positive selection.
PMID- 22072978
TI - Over-expression of DSCAM and COL6A2 cooperatively generates congenital heart
defects.
AB - A significant current challenge in human genetics is the identification of
interacting genetic loci mediating complex polygenic disorders. One of the best
characterized polygenic diseases is Down syndrome (DS), which results from an
extra copy of part or all of chromosome 21. A short interval near the distal tip
of chromosome 21 contributes to congenital heart defects (CHD), and a variety of
indirect genetic evidence suggests that multiple candidate genes in this region
may contribute to this phenotype. We devised a tiered genetic approach to
identify interacting CHD candidate genes. We first used the well vetted
Drosophila heart as an assay to identify interacting CHD candidate genes by
expressing them alone and in all possible pairwise combinations and testing for
effects on rhythmicity or heart failure following stress. This comprehensive
analysis identified DSCAM and COL6A2 as the most strongly interacting pair of
genes. We then over-expressed these two genes alone or in combination in the
mouse heart. While over-expression of either gene alone did not affect viability
and had little or no effect on heart physiology or morphology, co-expression of
the two genes resulted in ~50% mortality and severe physiological and
morphological defects, including atrial septal defects and cardiac hypertrophy.
Cooperative interactions between DSCAM and COL6A2 were also observed in the H9C2
cardiac cell line and transcriptional analysis of this interaction points to
genes involved in adhesion and cardiac hypertrophy. Our success in defining a
cooperative interaction between DSCAM and COL6A2 suggests that the multi-tiered
genetic approach we have taken involving human mapping data, comprehensive
combinatorial screening in Drosophila, and validation in vivo in mice and in
mammalian cells lines should be applicable to identifying specific loci mediating
a broad variety of other polygenic disorders.
PMID- 22072979
TI - Foxn1 regulates lineage progression in cortical and medullary thymic epithelial
cells but is dispensable for medullary sublineage divergence.
AB - The forkhead transcription factor Foxn1 is indispensable for thymus development,
but the mechanisms by which it mediates thymic epithelial cell (TEC) development
are poorly understood. To examine the cellular and molecular basis of Foxn1
function, we generated a novel and revertible hypomorphic allele of Foxn1. By
varying levels of its expression, we identified a number of features of the Foxn1
system. Here we show that Foxn1 is a powerful regulator of TEC differentiation
that is required at multiple intermediate stages of TE lineage development in the
fetal and adult thymus. We find no evidence for a role for Foxn1 in TEC fate
choice. Rather, we show it is required for stable entry into both the cortical
and medullary TEC differentiation programmes and subsequently is needed at
increasing dosage for progression through successive differentiation states in
both cortical and medullary TEC. We further demonstrate regulation by Foxn1 of a
suite of genes with diverse roles in thymus development and/or function,
suggesting it acts as a master regulator of the core thymic epithelial programme
rather than regulating a particular aspect of TEC biology. Overall, our data
establish a genetics-based model of cellular hierarchies in the TE lineage and
provide mechanistic insight relating titration of a single transcription factor
to control of lineage progression. Our novel revertible hypomorph system may be
similarly applied to analyzing other regulators of development.
PMID- 22072980
TI - Attenuation of the sensing capabilities of PhoQ in transition to obligate insect
bacterial association.
AB - Sodalis glossinidius, a maternally inherited endosymbiont of the tsetse fly,
maintains genes encoding homologues of the PhoP-PhoQ two-component regulatory
system. This two-component system has been extensively studied in facultative
bacterial pathogens and is known to serve as an environmental magnesium sensor
and a regulator of key virulence determinants. In the current study, we show that
the inactivation of the response regulator, phoP, renders S. glossinidius
sensitive to insect derived cationic antimicrobial peptides (AMPs). The resulting
mutant strain displays reduced expression of genes involved in the structural
modification of lipid A that facilitates resistance to AMPs. In addition, the
inactivation of phoP alters the expression of type-III secretion system (TTSS)
genes encoded within three distinct chromosomal regions, indicating that PhoP
PhoQ also serves as a master regulator of TTSS gene expression. In the absence of
phoP, S. glossinidius is unable to superinfect either its natural tsetse fly host
or a closely related hippoboscid louse fly. Furthermore, we show that the S.
glossinidius PhoQ sensor kinase has undergone functional adaptations that result
in a substantially diminished ability to sense ancestral signals. The loss of
PhoQ's sensory capability is predicted to represent a novel adaptation to the
static symbiotic lifestyle, allowing S. glossinidius to constitutively express
genes that facilitate resistance to host derived AMPs.
PMID- 22072981
TI - Pch2 acts through Xrs2 and Tel1/ATM to modulate interhomolog bias and checkpoint
function during meiosis.
AB - Proper segregation of chromosomes during meiosis requires the formation and
repair of double-strand breaks (DSBs) to form crossovers. Repair is biased toward
using the homolog as a substrate rather than the sister chromatid. Pch2 is a
conserved member of the AAA(+)-ATPase family of proteins and is implicated in a
wide range of meiosis-specific processes including the recombination checkpoint,
maturation of the chromosome axis, crossover control, and synapsis. We
demonstrate a role for Pch2 in promoting and regulating interhomolog bias and the
meiotic recombination checkpoint in response to unprocessed DSBs through the
activation of axial proteins Hop1 and Mek1 in budding yeast. We show that Pch2
physically interacts with the putative BRCT repeats in the N-terminal region of
Xrs2, a member of the MRX complex that acts at sites of unprocessed DSBs. Pch2,
Xrs2, and the ATM ortholog Tel1 function in the same pathway leading to the
phosphorylation of Hop1, independent of Rad17 and the ATR ortholog Mec1, which
respond to the presence of single-stranded DNA. An N-terminal deletion of Xrs2
recapitulates the pch2Delta phenotypes for signaling unresected breaks. We
propose that interaction with Xrs2 may enable Pch2 to remodel chromosome
structure adjacent to the site of a DSB and thereby promote accessibility of Hop1
to the Tel1 kinase. In addition, Xrs2, like Pch2, is required for checkpoint
mediated delay conferred by the failure to synapse chromosomes.
PMID- 22072982
TI - Recessive antimorphic alleles overcome functionally redundant loci to reveal TSO1
function in Arabidopsis flowers and meristems.
AB - Arabidopsis TSO1 encodes a protein with conserved CXC domains known to bind DNA
and is homologous to animal proteins that function in chromatin complexes. tso1
mutants fall into two classes due to their distinct phenotypes. Class I,
represented by two different missense mutations in the CXC domain, leads to
failure in floral organ development, sterility, and fasciated inflorescence
meristems. Class II, represented by a nonsense mutation and a T-DNA insertion
line, develops wild-type-like flowers and inflorescences but shows severely
reduced fertility. The phenotypic variability of tso1 alleles presents challenges
in determining the true function of TSO1. In this study, we use artificial
microRNA, double mutant analysis, and bimolecular fluorescence complementation
assay to investigate the molecular basis underlying these two distinct classes of
phenotypes. We show that the class I mutants could be converted into class II by
artificial microRNA knockdown of the tso1 mutant transcript, suggesting that
class I alleles produce antimorphic mutant proteins that interfere with
functionally redundant loci. We identified one such redundant factor coded by the
closely related TSO1 homolog SOL2. We show that the class I phenotype can be
mimicked by knocking out both TSO1 and its homolog SOL2 in double mutants. Such
antimorphic alleles targeting redundant factors are likely prevalent in
Arabidopsis and maybe common in organisms with many sets of paralogous genes such
as human. Our data challenge the conventional view that recessive alleles are
always hypomorphic or null and that antimorphic alleles are always dominant. This
study shows that recessive alleles can also be antimorphic and can produce a
phenotype more severe than null by interfering with the function of related loci.
This finding adds a new paradigm to classical genetic concepts, with important
implications for future genetic studies both in basic research as well as in
agriculture and medicine.
PMID- 22072983
TI - Genome-wide crossover distribution in Arabidopsis thaliana meiosis reveals sex
specific patterns along chromosomes.
AB - In most species, crossovers (COs) are essential for the accurate segregation of
homologous chromosomes at the first meiotic division. Their number and location
are tightly regulated. Here, we report a detailed, genome-wide characterization
of the rate and localization of COs in Arabidopsis thaliana, in male and female
meiosis. We observed dramatic differences between male and female meiosis which
included: (i) genetic map length; 575 cM versus 332 cM respectively; (ii) CO
distribution patterns: male CO rates were very high at both ends of each
chromosome, whereas female CO rates were very low; (iii) correlations between CO
rates and various chromosome features: female CO rates correlated strongly and
negatively with GC content and gene density but positively with transposable
elements (TEs) density, whereas male CO rates correlated positively with the CpG
ratio. However, except for CpG, the correlations could be explained by the
unequal repartition of these sequences along the Arabidopsis chromosome. For both
male and female meiosis, the number of COs per chromosome correlates with
chromosome size expressed either in base pairs or as synaptonemal complex length.
Finally, we show that interference modulates the CO distribution both in male and
female meiosis.
PMID- 22072984
TI - Signatures of environmental genetic adaptation pinpoint pathogens as the main
selective pressure through human evolution.
AB - Previous genome-wide scans of positive natural selection in humans have
identified a number of non-neutrally evolving genes that play important roles in
skin pigmentation, metabolism, or immune function. Recent studies have also shown
that a genome-wide pattern of local adaptation can be detected by identifying
correlations between patterns of allele frequencies and environmental variables.
Despite these observations, the degree to which natural selection is primarily
driven by adaptation to local environments, and the role of pathogens or other
ecological factors as selective agents, is still under debate. To address this
issue, we correlated the spatial allele frequency distribution of a large sample
of SNPs from 55 distinct human populations to a set of environmental factors that
describe local geographical features such as climate, diet regimes, and pathogen
loads. In concordance with previous studies, we detected a significant enrichment
of genic SNPs, and particularly non-synonymous SNPs associated with local
adaptation. Furthermore, we show that the diversity of the local pathogenic
environment is the predominant driver of local adaptation, and that climate, at
least as measured here, only plays a relatively minor role. While background
demography by far makes the strongest contribution in explaining the genetic
variance among populations, we detected about 100 genes which show an
unexpectedly strong correlation between allele frequencies and pathogenic
environment, after correcting for demography. Conversely, for diet regimes and
climatic conditions, no genes show a similar correlation between the
environmental factor and allele frequencies. This result is validated using low
coverage sequencing data for multiple populations. Among the loci targeted by
pathogen-driven selection, we found an enrichment of genes associated to
autoimmune diseases, such as celiac disease, type 1 diabetes, and multiples
sclerosis, which lends credence to the hypothesis that some susceptibility
alleles for autoimmune diseases may be maintained in human population due to past
selective processes.
PMID- 22072985
TI - SOX9 governs differentiation stage-specific gene expression in growth plate
chondrocytes via direct concomitant transactivation and repression.
AB - Cartilage and endochondral bone development require SOX9 activity to regulate
chondrogenesis, chondrocyte proliferation, and transition to a non-mitotic
hypertrophic state. The restricted and reciprocal expression of the collagen X
gene, Col10a1, in hypertrophic chondrocytes and Sox9 in immature chondrocytes
epitomise the precise spatiotemporal control of gene expression as chondrocytes
progress through phases of differentiation, but how this is achieved is not
clear. Here, we have identified a regulatory element upstream of Col10a1 that
enhances its expression in hypertrophic chondrocytes in vivo. In immature
chondrocytes, where Col10a1 is not expressed, SOX9 interacts with a conserved
sequence within this element that is analogous to that within the intronic
enhancer of the collagen II gene Col2a1, the known transactivation target of
SOX9. By analysing a series of Col10a1 reporter genes in transgenic mice, we show
that the SOX9 binding consensus in this element is required to repress expression
of the transgene in non-hypertrophic chondrocytes. Forced ectopic Sox9 expression
in hypertrophic chondrocytes in vitro and in mice resulted in down-regulation of
Col10a1. Mutation of a binding consensus motif for GLI transcription factors,
which are the effectors of Indian hedgehog signaling, close to the SOX9 site in
the Col10a1 regulatory element, also derepressed transgene expression in non
hypertrophic chondrocytes. GLI2 and GLI3 bound to the Col10a1 regulatory element
but not to the enhancer of Col2a1. In addition to Col10a1, paired SOX9-GLI
binding motifs are present in the conserved non-coding regions of several genes
that are preferentially expressed in hypertrophic chondrocytes and the occurrence
of pairing is unlikely to be by chance. We propose a regulatory paradigm whereby
direct concomitant positive and negative transcriptional control by SOX9 ensures
differentiation phase-specific gene expression in chondrocytes. Discrimination
between these opposing modes of transcriptional control by SOX9 may be mediated
by cooperation with different partners such as GLI factors.
PMID- 22072986
TI - A novel protein LZTFL1 regulates ciliary trafficking of the BBSome and
Smoothened.
AB - Many signaling proteins including G protein-coupled receptors localize to primary
cilia, regulating cellular processes including differentiation, proliferation,
organogenesis, and tumorigenesis. Bardet-Biedl Syndrome (BBS) proteins are
involved in maintaining ciliary function by mediating protein trafficking to the
cilia. However, the mechanisms governing ciliary trafficking by BBS proteins are
not well understood. Here, we show that a novel protein, Leucine-zipper
transcription factor-like 1 (LZTFL1), interacts with a BBS protein complex known
as the BBSome and regulates ciliary trafficking of this complex. We also show
that all BBSome subunits and BBS3 (also known as ARL6) are required for BBSome
ciliary entry and that reduction of LZTFL1 restores BBSome trafficking to cilia
in BBS3 and BBS5 depleted cells. Finally, we found that BBS proteins and LZTFL1
regulate ciliary trafficking of hedgehog signal transducer, Smoothened. Our
findings suggest that LZTFL1 is an important regulator of BBSome ciliary
trafficking and hedgehog signaling.
PMID- 22072988
TI - Short day-mediated cessation of growth requires the downregulation of
AINTEGUMENTALIKE1 transcription factor in hybrid aspen.
AB - Day length is a key environmental cue regulating the timing of major
developmental transitions in plants. For example, in perennial plants such as the
long-lived trees of the boreal forest, exposure to short days (SD) leads to the
termination of meristem activity and bud set (referred to as growth cessation).
The mechanism underlying SD-mediated induction of growth cessation is poorly
understood. Here we show that the AIL1-AIL4 (AINTEGUMENTALIKE) transcription
factors of the AP2 family are the downstream targets of the SD signal in the
regulation of growth cessation response in hybrid aspen trees. AIL1 is expressed
in the shoot apical meristem and leaf primordia, and exposure to SD signal
downregulates AIL1 expression. Downregulation of AIL gene expression by SDs is
altered in transgenic hybrid aspen plants that are defective in SD perception
and/or response, e.g. PHYA or FT overexpressors. Importantly, SD-mediated
regulation of growth cessation response is also affected by overexpression or
downregulation of AIL gene expression. AIL1 protein can interact with the
promoter of the key cell cycle genes, e.g. CYCD3.2, and downregulation of the
expression of D-type cyclins after SD treatment is prevented by AIL1
overexpression. These data reveal that execution of SD-mediated growth cessation
response requires the downregulation of AIL gene expression. Thus, while early
acting components like PHYA and the CO/FT regulon are conserved in day-length
regulation of flowering time and growth cessation between annual and perennial
plants, signaling pathways downstream of SD perception diverge, with AIL
transcription factors being novel targets of the CO/FT regulon connecting the
perception of SD signal to the regulation of meristem activity.
PMID- 22072987
TI - Activation of Bmp2-Smad1 signal and its regulation by coordinated alteration of
H3K27 trimethylation in Ras-induced senescence.
AB - Cellular senescence involves epigenetic alteration, e.g. loss of H3K27me3 in
Ink4a-Arf locus. Using mouse embryonic fibroblast (MEF), we here analyzed
transcription and epigenetic alteration during Ras-induced senescence on genome
wide scale by chromatin immunoprecipitation (ChIP)-sequencing and microarray.
Bmp2 was the most activated secreted factor with H3K4me3 gain and H3K27me3 loss,
whereas H3K4me3 loss and de novo formation of H3K27me3 occurred inversely in
repression of nine genes, including two BMP-SMAD inhibitors Smad6 and Noggin. DNA
methylation alteration unlikely occurred. Ras-activated cells senesced with
nuclear accumulation of phosphorylated SMAD1/5/8. Senescence was bypassed in Ras
activated cells when Bmp2/Smad1 signal was blocked by Bmp2 knockdown, Smad6
induction, or Noggin induction. Senescence was induced when recombinant BMP2
protein was added to Bmp2-knocked-down Ras-activated cells. Downstream Bmp2-Smad1
target genes were then analyzed genome-wide by ChIP-sequencing using anti-Smad1
antibody in MEF that was exposed to BMP2. Smad1 target sites were enriched nearby
transcription start sites of genes, which significantly correlated to
upregulation by BMP2 stimulation. While Smad6 was one of Smad1 target genes to be
upregulated by BMP2 exposure, Smad6 repression in Ras-activated cells with
increased enrichment of Ezh2 and gain of H3K27me3 suggested epigenetic disruption
of negative feedback by Polycomb. Among Smad1 target genes that were upregulated
in Ras-activated cells without increased repressive mark, Parvb was found to
contribute to growth inhibition as Parvb knockdown lead to escape from
senescence. It was revealed through genome-wide analyses in this study that Bmp2
Smad1 signal and its regulation by harmonized epigenomic alteration play an
important role in Ras-induced senescence.
PMID- 22072990
TI - Legitimacy and fairness in priority setting in Tanzania.
PMID- 22072989
TI - PcG complexes set the stage for epigenetic inheritance of gene silencing in early
S phase before replication.
AB - Polycomb group (PcG) proteins are part of a conserved cell memory system that
conveys epigenetic inheritance of silenced transcriptional states through cell
division. Despite the considerable amount of information about PcG mechanisms
controlling gene silencing, how PcG proteins maintain repressive chromatin during
epigenome duplication is still unclear. Here we identified a specific time
window, the early S phase, in which PcG proteins are recruited at BX-C PRE target
sites in concomitance with H3K27me3 repressive mark deposition. Notably, these
events precede and are uncoupled from PRE replication timing, which occurs in
late S phase when most epigenetic signatures are reduced. These findings shed
light on one of the key mechanisms for PcG-mediated epigenetic inheritance during
S phase, suggesting a conserved model in which the PcG-dependent H3K27me3 mark is
inherited by dilution and not by de novo methylation occurring at the time of
replication.
PMID- 22072991
TI - Strengthening fairness, transparency and accountability in health care priority
setting at district level in Tanzania.
AB - Health care systems are faced with the challenge of resource scarcity and have
insufficient resources to respond to all health problems and target groups
simultaneously. Hence, priority setting is an inevitable aspect of every health
system. However, priority setting is complex and difficult because the process is
frequently influenced by political, institutional and managerial factors that are
not considered by conventional priority-setting tools. In a five-year EU
supported project, which started in 2006, ways of strengthening fairness and
accountability in priority setting in district health management were studied.
This review is based on a PhD thesis that aimed to analyse health care
organisation and management systems, and explore the potential and challenges of
implementing Accountability for Reasonableness (A4R) approach to priority setting
in Tanzania. A qualitative case study in Mbarali district formed the basis of
exploring the sociopolitical and institutional contexts within which health care
decision making takes place. The study also explores how the A4R intervention was
shaped, enabled and constrained by the contexts. Key informant interviews were
conducted. Relevant documents were also gathered and group priority-setting
processes in the district were observed. The study revealed that, despite the
obvious national rhetoric on decentralisation, actual practice in the district
involved little community participation. The assumption that devolution to local
government promotes transparency, accountability and community participation, is
far from reality. The study also found that while the A4R approach was perceived
to be helpful in strengthening transparency, accountability and stakeholder
engagement, integrating the innovation into the district health system was
challenging. This study underscores the idea that greater involvement and
accountability among local actors may increase the legitimacy and fairness of
priority-setting decisions. A broader and more detailed analysis of health system
elements, and socio-cultural context is imperative in fostering sustainability.
Additionally, the study stresses the need to deal with power asymmetries among
various actors in priority-setting contexts.
PMID- 22072992
TI - New tetromycin derivatives with anti-trypanosomal and protease inhibitory
activities.
AB - Four new tetromycin derivatives, tetromycins 1-4 and a previously known one,
tetromycin B (5) were isolated from Streptomyces axinellae Pol001(T) cultivated
from the Mediterranean sponge Axinella polypoides. Structures were assigned using
extensive 1D and 2D NMR spectroscopy as well as HRESIMS analysis. The compounds
were tested for antiparasitic activities against Leishmania major and Trypanosoma
brucei, and for protease inhibition against several cysteine proteases such as
falcipain, rhodesain, cathepsin L, cathepsin B, and viral proteases SARS-CoV
M(pro), and PL(pro). The compounds showed antiparasitic activities against T.
brucei and time-dependent inhibition of cathepsin L-like proteases with K(i)
values in the low micromolar range.
PMID- 22072993
TI - Design of new alpha-conotoxins: from computer modeling to synthesis of potent
cholinergic compounds.
AB - A series of 14 new analogs of alpha-conotoxin PnIA Conus pennaceus was
synthesized and tested for binding to the human alpha7 nicotinic acetylcholine
receptor (nAChR) and acetylcholine-binding proteins (AChBP) Lymnaea stagnalis and
Aplysia californica. Based on computer modeling and the X-ray structure of the A.
californica AChBP complex with the PnIA[A10L, D14K] analog, single and multiple
amino acid substitutions were introduced in alpha-conotoxin PnIA aimed at
compounds of higher affinity and selectivity. Three analogs, PnIA[L5H],
PnIA[A10L, D14K] and PnIA[L5R, A10L, D14R], have high affinities for AChBPs or
alpha7 nAChR, as found in competition with radioiodinated alpha-bungarotoxin.
That is why we prepared radioiodinated derivatives of these alpha-conotoxins,
demonstrated their specific binding and found that among the tested synthetic
analogs, most had almost 10-fold higher affinity in competition with radioactive
alpha-conotoxins as compared to competition with radioactive alpha-bungarotoxin.
Thus, radioiodinated alpha-conotoxins are a more sensitive tool for checking the
activity of novel alpha-conotoxins and other compounds quickly dissociating from
the receptor complexes.
PMID- 22072994
TI - Seasonal dynamics of Microcystis spp. and their toxigenicity as assessed by qPCR
in a temperate reservoir.
AB - Blooms of toxic cyanobacteria are becoming increasingly frequent, mainly due to
water quality degradation. This work applied qPCR as a tool for early warning of
microcystin(MC)-producer cyanobacteria and risk assessment of water supplies.
Specific marker genes for cyanobacteria, Microcystis and MC-producing
Microcystis, were quantified to determine the genotypic composition of the
natural Microcystis population. Correlations between limnological parameters, pH,
water temperature, dissolved oxygen and conductivity and MC concentrations as
well as Microcystis abundance were assessed. A negative significant correlation
was observed between toxic (with mcy genes) to non-toxic (without mcy genes)
genotypes ratio and the overall Microcystis density. The highest proportions of
toxic Microcystis genotypes were found 4-6 weeks before and 8-10 weeks after the
peak of the bloom, with the lowest being observed at its peak. These results
suggest positive selection of non-toxic genotypes under favorable environmental
growth conditions. Significant positive correlations could be found between
quantity of toxic genotypes and MC concentration, suggesting that the method
applied can be useful to predict potential MC toxicity risk. No significant
correlation was found between the limnological parameters measured and MC
concentrations or toxic genotypes proportions indicating that other abiotic and
biotic factors should be governing MC production and toxic genotypes dynamics.
The qPCR method here applied is useful to rapidly estimate the potential toxicity
of environmental samples and so, it may contribute to the more efficient
management of water use in eutrophic systems.
PMID- 22072995
TI - Therapies from fucoidan; multifunctional marine polymers.
AB - Published research on fucoidans increased three fold between 2000 and 2010. These
algal derived marine carbohydrate polymers present numerous valuable
bioactivities. This review discusses the role for fucoidan in the control of
acute and chronic inflammation via selectin blockade, enzyme inhibition and
inhibiting the complement cascade. The recent data on toxicology and uptake of
fucoidan is detailed together with a discussion on the comparative activities of
fractions of fucoidan from different sources. Recent in vivo, in vitro and
clinical research related to diverse clinical needs is discussed. Targets include
osteoarthritis, kidney and liver disease, neglected infectious diseases,
hemopoietic stem cell modulation, protection from radiation damage and treatments
for snake envenomation. In recent years, the production of well characterized
reproducible fucoidan fractions on a commercial scale has become possible making
therapies from fucoidan a realizable goal.
PMID- 22072996
TI - High-value components and bioactives from sea cucumbers for functional foods--a
review.
AB - Sea cucumbers, belonging to the class Holothuroidea, are marine invertebrates,
habitually found in the benthic areas and deep seas across the world. They have
high commercial value coupled with increasing global production and trade. Sea
cucumbers, informally named as beche-de-mer, or gamat, have long been used for
food and folk medicine in the communities of Asia and Middle East. Nutritionally,
sea cucumbers have an impressive profile of valuable nutrients such as Vitamin A,
Vitamin B1 (thiamine), Vitamin B2 (riboflavin), Vitamin B3 (niacin), and
minerals, especially calcium, magnesium, iron and zinc. A number of unique
biological and pharmacological activities including anti-angiogenic, anticancer,
anticoagulant, anti-hypertension, anti-inflammatory, antimicrobial, antioxidant,
antithrombotic, antitumor and wound healing have been ascribed to various species
of sea cucumbers. Therapeutic properties and medicinal benefits of sea cucumbers
can be linked to the presence of a wide array of bioactives especially triterpene
glycosides (saponins), chondroitin sulfates, glycosaminoglycan (GAGs), sulfated
polysaccharides, sterols (glycosides and sulfates), phenolics, cerberosides,
lectins, peptides, glycoprotein, glycosphingolipids and essential fatty acids.
This review is mainly designed to cover the high-value components and bioactives
as well as the multiple biological and therapeutic properties of sea cucumbers
with regard to exploring their potential uses for functional foods and
nutraceuticals.
PMID- 22072997
TI - Fucoxanthin, a marine carotenoid present in brown seaweeds and diatoms:
metabolism and bioactivities relevant to human health.
AB - The marine carotenoid fucoxanthin can be found in marine brown seaweeds, the
macroalgae, and diatoms, the microalgae, and has remarkable biological
properties. Numerous studies have shown that fucoxanthin has considerable
potential and promising applications in human health. In this article, we review
the current available scientific literature regarding the metabolism, safety, and
bioactivities of fucoxanthin, including its antioxidant, anti-inflammatory,
anticancer, anti-obese, antidiabetic, antiangiogenic and antimalarial activities,
and its protective effects on the liver, blood vessels of the brain, bones, skin,
and eyes. Although some studies have shown the bioavailability of fucoxanthin in
brown seaweeds to be low in humans, many studies have suggested that a dietary
combination of fucoxanthin and edible oil or lipid could increase the absorption
rate of fucoxanthin, and thus it might be a promising marine drug.
PMID- 22072998
TI - Polyhydroxylated steroids from the bamboo coral Isis hippuris.
AB - In previous studies on the secondary metabolites of the Taiwanese octocoral Isis
hippuris, specimens have always been collected at Green Island. In the course of
our studies on bioactive compounds from marine organisms, the acetone-solubles of
the Taiwanese octocoral I. hippuris collected at Orchid Island have led to the
isolation of five new polyoxygenated steroids: hipposterone M-O (1-3),
hipposterol G (4) and hippuristeroketal A (5). The structures of these compounds
were determined on the basis of their spectroscopic and physical data. The anti
HCMV (human cytomegalovirus) activity of 1-5 and their cytotoxicity against
selected cell lines were evaluated. Compound 2 exhibited inhibitory activity
against HCMV, with an EC(50) value of 6.0 MUg/mL.
PMID- 22073001
TI - Studies on synthesis and structure-activity relationship (SAR) of derivatives of
a new natural product from marine fungi as inhibitors of influenza virus
neuraminidase.
AB - Based on the natural isoprenyl phenyl ether from a mangrove-derived fungus, 32
analogues were synthesized and evaluated for inhibitory activity against
influenza H1N1 neuraminidase. Compound 15 (3-(allyloxy)-4-hydroxybenzaldehyde)
exhibited the most potent inhibitory activity, with IC(50) values of 26.96 MUM
for A/GuangdongSB/01/2009 (H1N1), 27.73 MUM for A/Guangdong/03/2009 (H1N1), and
25.13 MUM for A/Guangdong/ 05/2009 (H1N1), respectively, which is stronger than
the benzoic acid derivatives (~mM level). These are a new kind of non-nitrogenous
aromatic ether Neuraminidase (NA) inhibitors. Their structures are simple and the
synthesis routes are not complex. The structure-activity relationship (SAR)
analysis revealed that the aryl aldehyde and unsubstituted hydroxyl were
important to NA inhibitory activities. Molecular docking studies were carried out
to explain the SAR of the compounds, and provided valuable information for
further structure modification.
PMID- 22073000
TI - Cnidarians as a source of new marine bioactive compounds--an overview of the last
decade and future steps for bioprospecting.
AB - Marine invertebrates are rich sources of bioactive compounds and their
biotechnological potential attracts scientific and economic interest worldwide.
Although sponges are the foremost providers of marine bioactive compounds,
cnidarians are also being studied with promising results. This diverse group of
marine invertebrates includes over 11,000 species, 7500 of them belonging to the
class Anthozoa. We present an overview of some of the most promising marine
bioactive compounds from a therapeutic point of view isolated from cnidarians in
the first decade of the 21st century. Anthozoan orders Alcyonacea and Gorgonacea
exhibit by far the highest number of species yielding promising compounds.
Antitumor activity has been the major area of interest in the screening of
cnidarian compounds, the most promising ones being terpenoids (monoterpenoids,
diterpenoids, sesquiterpenoids). We also discuss the future of bioprospecting for
new marine bioactive compounds produced by cnidarians.
PMID- 22072999
TI - Antitumor peptides from marine organisms.
AB - The biodiversity of the marine environment and the associated chemical diversity
constitute a practically unlimited resource of new antitumor agents in the field
of the development of marine bioactive substances. In this review, the progress
on studies of antitumor peptides from marine sources is provided. The biological
properties and mechanisms of action of different marine peptides are described;
information about their molecular diversity is also presented. Novel peptides
that induce apoptosis signal pathway, affect the tubulin-microtubule equilibrium
and inhibit angiogenesis are presented in association with their pharmacological
properties. It is intended to provide useful information for further research in
the fields of marine antitumor peptides.
PMID- 22073002
TI - Antiparasitic bromotyrosine derivatives from the marine sponge Verongula rigida.
AB - Nine bromotyrosine-derived compounds were isolated from the Caribbean marine
sponge Verongula rigida. Two of them, aeroplysinin-1 (1) and dihydroxyaerothionin
(2), are known compounds for this species, and the other seven are unknown
compounds for this species, namely: 3,5-dibromo-N,N,N-trimethyltyraminium (3),
3,5-dibromo-N,N,N, O-tetramethyltyraminium (4), purealidin R (5), 19
deoxyfistularin 3 (6), purealidin B (7), 11-hydroxyaerothionin (8) and fistularin
3 (9). Structural determination of the isolated compounds was performed using one
and two-dimensional NMR, MS and other spectroscopy data. All isolated compounds
were screened for their in vitro activity against three parasitic protozoa:
Leishmania panamensis, Plasmodium falciparum and Trypanosoma cruzi. Compounds 7
and 8 showed selective antiparasitic activity at 10 and 5 MUM against Leishmania
and Plasmodium parasites, respectively. Cytotoxicity of these compounds on a
human promonocytic cell line was also assessed.
PMID- 22073004
TI - Bioactive cembranoids from the soft coral Sinularia crassa.
AB - Eight new cembranoids, crassarines A-H (1-8) were isolated from the Formosan soft
coral Sinularia crassa. Compounds 1-3 represent the rare cembranoids with a 1,12
oxa-bridged tetrahydrofuran ring, while 4 and 5 are the firstly discovered 1,11
oxa-bridged tetrahydropyranocembranoids. The absolute configuration of 6 was
determined using the Mosher's method. Compounds 6 and 8 were found to
significantly inhibit the expression of both pro-inflammatory iNOS and COX-2
proteins at 10 MUM, respectively, while compounds 4-8 were found to be non
cytotoxic toward the selected human liver cancer cells.
PMID- 22073005
TI - Inducible ASABF-type antimicrobial peptide from the sponge Suberites domuncula:
microbicidal and hemolytic activity in vitro and toxic effect on molluscs in
vivo.
AB - Since sponges, as typical filter-feeders, are exposed to a high load of attacking
prokaryotic and eukaryotic organisms, they are armed with a wide arsenal of
antimicrobial/cytostatic low-molecular-weight, non-proteinaceous bioactive
compounds. Here we present the first sponge agent belonging to the group of ASABF
type antimicrobial peptides. The ASABF gene was identified and cloned from the
demosponge Suberites domuncula. The mature peptide, with a length of 64 aa
residues has a predicted pI of 9.24, and comprises the characteristic CSalpha
beta structural motif. Consequently, the S. domuncula ASABF shares high
similarity with the nematode ASABFs; it is distantly related to the defensins.
The recombinant peptide was found to display besides microbicidal activity, anti
fungal activity. In addition, the peptide lyses human erythrocytes. The
expression of ASABF is upregulated after exposure to the apoptosis-inducing agent
2,2'-dipyridyl. During the process of apoptosis of surface tissue of S.
domuncula, grazing gastropods (Bittium sp.) are attracted by quinolinic acid
which is synthesized through the kynurenine pathway by the enzyme 3
hydroxyanthranilate 3,4-dioxygenase (HAD). Finally, the gastropods are repelled
from the sponge tissue by the ASABF. It is shown that the effector peptide ASABF
is sequentially expressed after the induction of the HAD gene and a caspase, as a
central enzyme executing apoptosis.
PMID- 22073003
TI - The structural diversity of carbohydrate antigens of selected gram-negative
marine bacteria.
AB - Marine microorganisms have evolved for millions of years to survive in the
environments characterized by one or more extreme physical or chemical
parameters, e.g., high pressure, low temperature or high salinity. Marine
bacteria have the ability to produce a range of biologically active molecules,
such as antibiotics, toxins and antitoxins, antitumor and antimicrobial agents,
and as a result, they have been a topic of research interest for many years.
Among these biologically active molecules, the carbohydrate antigens,
lipopolysaccharides (LPSs, O-antigens) found in cell walls of gram-negative
marine bacteria, show great potential as candidates in the development of drugs
to prevent septic shock due to their low virulence. The structural diversity of
LPSs is thought to be a reflection of the ability for these bacteria to adapt to
an array of habitats, protecting the cell from being compromised by exposure to
harsh environmental stress factors. Over the last few years, the variety of
structures of core oligosaccharides and O-specific polysaccharides from LPSs of
marine microrganisms has been discovered. In this review, we discuss the most
recently encountered structures that have been identified from bacteria belonging
to the genera Aeromonas, Alteromonas, Idiomarina, Microbulbifer,
Pseudoalteromonas, Plesiomonas and Shewanella of the Gammaproteobacteria phylum;
Sulfitobacter and Loktanella of the Alphaproteobactera phylum and to the genera
Arenibacter, Cellulophaga, Chryseobacterium, Flavobacterium, Flexibacter of the
Cytophaga-Flavobacterium-Bacteroides phylum. Particular attention is paid to the
particular chemical features of the LPSs, such as the monosaccharide type, non
sugar substituents and phosphate groups, together with some of the typifying
traits of LPSs obtained from marine bacteria. A possible correlation is then made
between such features and the environmental adaptations undertaken by marine
bacteria.
PMID- 22073006
TI - Pardaxin, an antimicrobial peptide, triggers caspase-dependent and ROS-mediated
apoptosis in HT-1080 cells.
AB - Pardaxin is an antimicrobial peptide (AMP) that was first isolated from
secretions of the Red Sea Moses sole. The role of pardaxin in inducing apoptosis
for preventing cancer has not yet been investigated. In the present study, we
examined the antitumor activity of pardaxin against human fibrosarcoma HT-1080
cells; pardaxin inhibited cell proliferation by inducing apoptosis, as
demonstrated by an increase in the externalization of plasma membrane
phosphatidylserine and the presence of chromatin condensation. Additionally,
pardaxin-treated cells showed elevation of caspase-3/7 activities, disruption of
the mitochondrial membrane potential, and accumulation of reactive oxygen species
(ROS) production. Inhibition of ROS production and caspase-3/7 activities reduced
pardaxin-induced effects. Taken together, these findings suggest that pardaxin
may be a potential anticancer agent for selectively inducing apoptosis in cancer
cells.
PMID- 22073008
TI - Bioactive eunicellin-based diterpenoids from the soft coral Cladiella krempfi.
AB - Four new eunicellin-based diterpenoids, krempfielins A-D (1-4), along with two
known compounds (5 and 6) have been isolated from a soft coral Cladiella krempfi.
The structures of the new metabolites were elucidated by extensive spectroscopic
analysis and by comparison with spectroscopic data of related known compounds.
Compounds 5 and 6 were shown to exhibit cytotoxicity against a limited panel of
cancer cell lines. Furthermore, compounds 2, 3, 5 and 6 were shown to exert
significant in vitro anti-inflammatory activity against LPS-stimulated RAW264.7
macrophage cells.
PMID- 22073007
TI - Anti-biofilm compounds derived from marine sponges.
AB - Bacterial biofilms are surface-attached communities of microorganisms that are
protected by an extracellular matrix of biomolecules. In the biofilm state,
bacteria are significantly more resistant to external assault, including attack
by antibiotics. In their native environment, bacterial biofilms underpin costly
biofouling that wreaks havoc on shipping, utilities, and offshore industry.
Within a host environment, they are insensitive to antiseptics and basic host
immune responses. It is estimated that up to 80% of all microbial infections are
biofilm-based. Biofilm infections of indwelling medical devices are of particular
concern, since once the device is colonized, infection is almost impossible to
eliminate. Given the prominence of biofilms in infectious diseases, there is a
notable effort towards developing small, synthetically available molecules that
will modulate bacterial biofilm development and maintenance. Here, we highlight
the development of small molecules that inhibit and/or disperse bacterial
biofilms specifically through non-microbicidal mechanisms. Importantly, we
discuss several sets of compounds derived from marine sponges that we are
developing in our labs to address the persistent biofilm problem. We will
discuss: discovery/synthesis of natural products and their analogues-including
our marine sponge-derived compounds and initial adjuvant activity and
toxicological screening of our novel anti-biofilm compounds.
PMID- 22073009
TI - The chemical synthesis of tetrodoxin: an ongoing quest.
AB - This contribution reviews all the synthetic work on tetrodotoxin that has
appeared in the literature through June 2011.
PMID- 22073010
TI - Vasorelaxation, induced by Dictyota pulchella (Dictyotaceae), a brown alga, is
mediated via inhibition of calcium influx in rats.
AB - This study aimed to investigate the cardiovascular effects elicited by Dictyota
pulchella, a brown alga, using in vivo and in vitro approaches. In normotensive
conscious rats, CH(2)Cl(2)/MeOH Extract (CME, 5, 10, 20 and 40 mg/kg) from
Dictyota pulchella produced dose-dependent hypotension (-4 +/- 1; -8 +/- 2; -53
+/- 8 and -63 +/- 3 mmHg) and bradycardia (-8 +/- 6; -17 +/- 11; -257 +/- 36 and
285 +/- 27 b.p.m.). In addition, CME and Hexane/EtOAc Phase (HEP) (0.01-300
MUg/mL) from Dictyota pulchella induced a concentration-dependent relaxation in
phenylephrine (Phe, 1 MUM)-pre-contracted mesenteric artery rings. The
vasorelaxant effect was not modified by the removal of the vascular endothelium
or pre-incubation with KCl (20 mM), tetraethylammonium (TEA, 3 mM) or tromboxane
A(2) agonist U-46619 (100 nM). Furthermore, CME and HEP reversed CaCl(2)-induced
vascular contractions. These results suggest that both CME and HEP act on the
voltage-operated calcium channel in order to produce vasorelaxation. In addition,
CME induced vasodilatation after the vessels have been pre-contracted with L-type
Ca(2+) channel agonist (Bay K 8644, 200 nM). Taken together, our data show that
CME induces hypotension and bradycardia in vivo and that both CME and HEP induce
endothelium-independent vasodilatation in vitro that seems to involve the
inhibition of the Ca(2+) influx through blockade of voltage-operated calcium
channels.
PMID- 22073011
TI - Antibacterial activity of marine and black band disease cyanobacteria against
coral-associated bacteria.
AB - Black band disease (BBD) of corals is a cyanobacteria-dominated polymicrobial
disease that contains diverse populations of heterotrophic bacteria. It is one of
the most destructive of coral diseases and is found globally on tropical and sub
tropical reefs. We assessed ten strains of BBD cyanobacteria, and ten strains of
cyanobacteria isolated from other marine sources, for their antibacterial effect
on growth of heterotrophic bacteria isolated from BBD, from the surface
mucopolysaccharide layer (SML) of healthy corals, and three known bacterial coral
pathogens. Assays were conducted using two methods: co-cultivation of
cyanobacterial and bacterial isolates, and exposure of test bacteria to
(hydrophilic and lipophilic) cyanobacterial cell extracts. During co-cultivation,
15 of the 20 cyanobacterial strains tested had antibacterial activity against at
least one of the test bacterial strains. Inhibition was significantly higher for
BBD cyanobacteria when compared to other marine cyanobacteria. Lipophilic
extracts were more active than co-cultivation (extracts of 18 of the 20 strains
were active) while hydrophilic extracts had very limited activity. In some cases
co-cultivation resulted in stimulation of BBD and SML bacterial growth. Our
results suggest that BBD cyanobacteria are involved in structuring the complex
polymicrobial BBD microbial community by production of antimicrobial compounds.
PMID- 22073012
TI - Important determinants for fucoidan bioactivity: a critical review of structure
function relations and extraction methods for fucose-containing sulfated
polysaccharides from brown seaweeds.
AB - Seaweeds--or marine macroalgae--notably brown seaweeds in the class Phaeophyceae,
contain fucoidan. Fucoidan designates a group of certain fucose-containing
sulfated polysaccharides (FCSPs) that have a backbone built of (1->3)-linked
alpha-L-fucopyranosyl or of alternating (1->3)- and (1->4)-linked alpha-L
fucopyranosyl residues, but also include sulfated galactofucans with backbones
built of (1->6)-beta-D-galacto- and/or (1->2)-beta-D-mannopyranosyl units with
fucose or fuco-oligosaccharide branching, and/or glucuronic acid, xylose or
glucose substitutions. These FCSPs offer several potentially beneficial bioactive
functions for humans. The bioactive properties may vary depending on the source
of seaweed, the compositional and structural traits, the content (charge
density), distribution, and bonding of the sulfate substitutions, and the purity
of the FCSP product. The preservation of the structural integrity of the FCSP
molecules essentially depends on the extraction methodology which has a crucial,
but partly overlooked, significance for obtaining the relevant structural
features required for specific biological activities and for elucidating
structure-function relations. The aim of this review is to provide information on
the most recent developments in the chemistry of fucoidan/FCSPs emphasizing the
significance of different extraction techniques for the structural composition
and biological activity with particular focus on sulfate groups.
PMID- 22073013
TI - Kinase inhibitors from marine sponges.
AB - Protein kinases play a critical role in cell regulation and their deregulation is
a contributing factor in an increasing list of diseases including cancer. Marine
sponges have yielded over 70 novel compounds to date that exhibit significant
inhibitory activity towards a range of protein kinases. These compounds, which
belong to diverse structural classes, are reviewed herein, and ordered based upon
the kinase that they inhibit. Relevant synthetic studies on the marine natural
product kinase inhibitors have also been included.
PMID- 22073014
TI - Oleic acid produced by a marine Vibrio spp. acts as an anti-Vibrio
parahaemolyticus agent.
AB - It is known that some strains of Vibrio parahaemolyticus are responsible for
gastroenteric diseases caused by the ingestion of marine organisms contaminated
with these bacterial strains. Organic products that show inhibitory activity on
the growth of the pathogenic V. parahaemolyticus were extracted from a Vibrio
native in the north of Chile. The inhibitory organic products were isolated by
reverse phase chromatography and permeation by Sephadex LH20, and were
characterized by spectroscopic and spectrometric techniques. The results showed
that the prevailing active product is oleic acid, which was compared with
standards by gas chromatography and high-performance liquid chromatography
(HPLC). These active products might be useful for controlling the proliferation
of pathogenic clones of V. parahaemolyticus.
PMID- 22073015
TI - Identification of occupational cancer risks in British Columbia, Canada: a
population-based case-control study of 1,155 cases of colon cancer.
AB - OBJECTIVE: Cancer has been recognized to have environmental origin, but
occupational cancer risk studies have not been fully documented. The objective of
this paper was to identify occupations and industries with elevated colon cancer
risk based on lifetime occupational histories collected from 15,463 incident
cancer cases. METHOD: A group matched case-control design was used. All cases
were diagnosed with histologically proven colon cancers, with cancer controls
being all other cancer sites, excluding rectum, lung and unknown primary,
diagnosed at the same period of time from the British Columbia Cancer Registry.
Data analyses were done on all 597 Canadian standard occupation titles and 1,104
standard industry titles using conditional logistic regression for matched data
sets and the likelihood ratio test. RESULTS: Excess colon cancer risks was
observed in a number of occupations and industries, particularly those with low
physical activity and those involving exposure to asbestos, wood dusts, engine
exhaust and diesel engine emissions, and ammonia. DISCUSSION: The results of our
study are in line with those from the literature and further suggest that
exposure to wood dusts and to ammonia may carry an increased occupational risk of
colon cancer.
PMID- 22073016
TI - Detection of pesticides in active and depopulated beehives in Uruguay.
AB - The influence of insecticides commonly used for agricultural purposes on beehive
depopulation in Uruguay was investigated. Honeycombs, bees, honey and propolis
from depopulated hives were analyzed for pesticide residues, whereas from active
beehives only honey and propolis were evaluated. A total of 37 samples were
analyzed, representing 14,800 beehives. In depopulated beehives only imidacloprid
and fipronil were detected and in active beehives endosulfan, coumaphos,
cypermethrin, ethion and chlorpyrifos were found. Coumaphos was present in the
highest concentrations, around 1,000 MUg/kg, in all the propolis samples from
active beehives. Regarding depopulated beehives, the mean levels of imidacloprid
found in honeycomb (377 MUg/kg, Standard Deviation: 118) and propolis (60 MUg/kg,
Standard Deviation: 57) are higher than those described to produce bee
disorientation and fipronil levels detected in bees (150 and 170 MUg/kg) are
toxic per se. The other insecticides found can affect the global fitness of the
bees causing weakness and a decrease in their overall productivity. These
preliminary results suggest that bees exposed to pesticides or its residues can
lead them in different ways to the beehive.
PMID- 22073017
TI - Overweight and obesity and associated factors among school-aged adolescents in
Ghana and Uganda.
AB - The aim of this study was to assess overweight and obesity and associated factors
in school-going adolescents in low income African countries (Ghana, Uganda). The
total sample included 5,613 school children aged 13 to 15 years from nationally
representative samples from two African countries. Bivariate and multivariable
analyses were conducted to assess the relationship between dietary behavior,
substance use, physical activity, psychosocial factors and overweight or obesity.
The prevalence of overweight and obesity was determined based on self-reported
height and weight and the international child body mass index standards. Results
indicate a prevalence of overweight or obesity of 10.4% among girls and 3.2%
among boys, and 0.9% and 0.5% obesity only among girls and boys, respectively.
Among girls smoking cigarettes and loneliness and among boys smoking cigarettes
were found to be associated with overweight or obesity in multivariable analysis.
Overweight status was not associated with the intake of fruits, vegetables, and
sedentary behavior. Low prevalence rates of overweight or obesity were found in
Ghana and Uganda. Smoking cessation and social programs could be integrated into
strategies to prevent and treat overweight and obesity in youth.
PMID- 22073018
TI - Quit attempt correlates among smokers by race/ethnicity.
AB - INTRODUCTION: Cigarette smoking is the leading preventable cause of premature
deaths in the U.S., accounting for approximately 443,000 deaths annually.
Although smoking prevalence in recent decades has declined substantially among
all racial/ethnic groups, disparities in smoking-related behaviors among
racial/ethnic groups continue to exist. Two of the goals of Healthy People 2020
are to reduce smoking prevalence among adults to 12% or less and to increase
smoking cessation attempts by adult smokers from 41% to 80%. Our study assesses
whether correlates of quit attempts vary by race/ethnicity among adult (>= 18
years) smokers in the U.S. Understanding racial/ethnic differences in how both
internal and external factors affect quit attempts is important for targeting
smoking-cessation interventions to decrease tobacco-use disparities. METHODS: We
used 2003 Tobacco Use Supplement to the Current Population Survey (CPS) data from
16,213 adults to examine whether the relationship between demographic
characteristics, smoking behaviors, smoking policies and having made a quit
attempt in the past year varied by race/ethnicity. RESULTS: Hispanics and persons
of multiple races were more likely to have made a quit attempt than whites.
Overall, younger individuals and those with >high school education, who smoked
fewer cigarettes per day and had smoked for fewer years were more likely to have
made a quit attempt. Having a smoke-free home, receiving a doctor's advice to
quit, smoking menthol cigarettes and having a greater time to when you smoked
your first cigarette of the day were also associated with having made a quit
attempt. The relationship between these four variables and quit attempts varied
by race/ethnicity; most notably receiving a doctor's advice was not related to
quit attempts among Asian American/Pacific Islanders and menthol use among whites
was associated with a lower prevalence of quit attempts while black menthol users
were more likely to have made a quit attempt than white non-menthol users.
CONCLUSIONS: Most correlates of quit attempts were similar across all
racial/ethnic groups. Therefore population-based comprehensive tobacco control
programs that increase quit attempts and successful cessation among all
racial/ethnic groups should be continued and expanded. Additional strategies may
be needed to encourage quit attempts among less educated, older, and more
addicted smokers.
PMID- 22073020
TI - Family dysfunction differentially affects alcohol and methamphetamine dependence:
a view from the Addiction Severity Index in Japan.
AB - We investigated the differential influence of family dysfunction on alcohol and
methamphetamine dependence in Japan using the Addiction Severity Index (ASI), a
useful instrument that multilaterally measures the severity of substance
dependence. The participants in this study were 321 male patients with alcohol
dependence and 68 male patients with methamphetamine dependence. We conducted
semi-structured interviews with each patient using the ASI, which is designed to
assess problem severity in seven functional domains: Medical, Employment/Support,
Alcohol use, Drug use, Legal, Family/Social relationships, and Psychiatric. In
patients with alcohol dependence, bad relationships with parents, brothers and
sisters, and friends in their lives were related to current severe psychiatric
problems. Bad relationships with brothers and sisters and partners in their lives
were related to current severe employment/support problems, and bad relationships
with partners in their lives were related to current severe family/social
problems. The current severity of psychiatric problems was related to the current
severity of drug use and family/social problems in patients with alcohol
dependence. Patients with methamphetamine dependence had difficulty developing
good relationships with their father. Furthermore, the current severity of
psychiatric problems was related to the current severity of medical,
employment/support, and family/social problems in patients with methamphetamine
dependence. The results of this study suggest that family dysfunction
differentially affects alcohol and methamphetamine dependence. Additionally,
family relationships may be particularly related to psychiatric problems in these
patients, although the ASI was developed to independently evaluate each of seven
problem areas.
PMID- 22073019
TI - Is neurodegenerative disease a long-latency response to early-life genotoxin
exposure?
AB - Western Pacific amyotrophic lateral sclerosis and parkinsonism-dementia complex,
a disappearing neurodegenerative disease linked to use of the neurotoxic cycad
plant for food and/or medicine, is intensively studied because the neuropathology
(tauopathy) is similar to that of Alzheimer's disease. Cycads contain neurotoxic
and genotoxic principles, notably cycasin and methylazoxymethanol, the latter
sharing chemical relations with nitrosamines, which are derived from nitrates and
nitrites in preserved meats and fertilizers, and also used in the rubber and
leather industries. This review includes new data that influence understanding of
the neurobiological actions of cycad and related genotoxins and the putative
mechanisms by which they might trigger neurodegenerative disease.
PMID- 22073021
TI - Internet pathways in suicidality: a review of the evidence.
AB - The general aim of this study was to review the scientific literature concerning
the Internet and suicidality and to examine the different pathways by which
suicidal risks and prevention efforts are facilitated through the Internet. An
online literature search was conducted using the MEDLINE and Google Scholar
databases. The main themes that were investigated included pathological Internet
use and suicidality, pro-suicide websites, suicide pacts on the Internet, and
suicide prevention via the Internet. Articles were screened based on the titles
and abstracts reporting on the themes of interest. Thereafter, articles were
selected based on scientific relevance of the study, and included for full text
assessment. The results illustrated that specific Internet pathways increased the
risk for suicidal behaviours, particularly in adolescents and young people.
Several studies found significant correlations between pathological Internet use
and suicidal ideation and non-suicidal self-injury. Pro-suicide websites and
online suicide pacts were observed as high-risk factors for facilitating suicidal
behaviours, particularly among isolated and susceptible individuals. Conversely,
the evidence also showed that the Internet could be an effective tool for suicide
prevention, especially for socially-isolated and vulnerable individuals, who
might otherwise be unreachable. It is this paradox that accentuates the need for
further research in this field.
PMID- 22073022
TI - A multilevel analysis of neighbourhood built and social environments and adult
self-reported physical activity and body mass index in Ottawa, Canada.
AB - Canadian research examining the combined effects of social and built environments
on physical activity (PA) and obesity is limited. The purpose of this study was
to determine the relationships among built and social environments and PA and
overweight/obesity in 85 Ottawa neighbourhoods. Self-reported PA, height and
weight were collected from 3,883 adults using the International PA Questionnaire
from the 2003-2007 samples of the Rapid Risk Factor Surveillance System. Data on
neighbourhood characteristics were obtained from the Ottawa Neighbourhood Study;
a large study of neighbourhoods and health in Ottawa. Two-level binomial logistic
regression models stratified by sex were used to examine the relationships of
environmental and individual variables with PA and overweight/obesity while using
survey weights. Results identified that approximately half of the adults were
insufficiently active or overweight/obese. Multilevel models identified that for
every additional convenience store, men were two times more likely to be
physically active (OR = 2.08, 95% CI: 1.72, 2.43) and with every additional
specialty food store women were almost two times more likely to be overweight or
obese (OR = 1.77, 95% CI: 1.33, 2.20). Higher green space was associated with a
reduced likelihood of PA (OR = 0.93, 95% CI: 0.86, 0.99) and increased odds of
overweight and obesity in men (OR = 1.10, 95% CI: 1.01, 1.19), and decreased odds
of overweight/obesity in women (OR = 0.66, 95% CI: 0.44, 0.89). In men,
neighbourhood socioeconomic scores, voting rates and sense of community belonging
were all significantly associated with overweight/obesity. Intraclass
coefficients were low, but identified that the majority of neighbourhood
variation in outcomes was explained by the models. Findings identified that green
space, food landscapes and social cohesiveness may play different roles on PA and
overweight/obesity in men and women and future prospective studies are needed.
PMID- 22073024
TI - Patterns of and motivations for concurrent use of video games and substances.
AB - "Behavioral addictions" share biological mechanisms with substance dependence,
and "drug interactions" have been observed between certain substances and self
reinforcing behaviors. This study examines correlates of patterns of and
motivations for playing video games while using or feeling the effects of a
substance (concurrent use). Data were drawn from a nationally-representative
survey of adult Americans who "regularly" or "occasionally" played video games
and had played for at least one hour in the past seven days (n = 3,380). Only
recent concurrent users' data were included in analyses (n = 1,196). Independent
variables included demographics, substance use frequency and problems, game genre
of concurrent use (identified by looking titles up in an industry database), and
general game playing variables including problem video game play (PVP), consumer
involvement, enjoyment, duration, and frequency of play. Exploratory factor
analysis identified the following dimensions underlying patterns of and
motivations for concurrent use: pass time or regulate negative emotion, enhance
an already enjoyable or positive experience, and use of video games and
substances to remediate each other's undesirable effects. Multivariate regression
analyses indicated PVP and hours/day of video game play were associated with most
patterns/motivations, as were caffeine, tobacco, alcohol, marijuana, and
painkiller use problems. This suggests that concurrent use with some regular
situational pattern or effect-seeking motivation is part of the addictive process
underlying both PVP and substance dependence. Various demographic, game playing,
game genre of concurrent use, and substance use variables were associated with
specific motivations/patterns, indicating that all are important in understanding
concurrent use.
PMID- 22073023
TI - Playing video games while using or feeling the effects of substances:
associations with substance use problems.
AB - This study tested the hypothesis that playing video games while using or feeling
the effects of a substance--referred to herein as "concurrent use"-is related to
substance use problems after controlling for substance use frequency, video
gaming as an enthusiastic hobby, and demographic factors. Data were drawn from a
nationally representative online survey of adult video gamers conducted by
Knowledge Networks, valid n = 2,885. Problem video game playing behavior was
operationalized using Tejeiro Salguero and Bersabe Moran's 2002 problem video
game play (PVP) measure, and measures for substance use problems were taken from
the National Survey of Drug Use and Health (NSDUH). Separate structural equation
modeling analyses were conducted for users of caffeine, tobacco, alcohol, and
marijuana. In all four models, concurrent use was directly associated with
substance use problems, but not with PVP. Video gaming as an enthusiastic hobby
was associated with substance use problems via two indirect paths: through PVP
for all substances, and through concurrent use for caffeine, tobacco, and alcohol
only. Results illustrate the potential for "drug interaction" between self
reinforcing behaviors and addictive substances, with implications for the
development of problem use.
PMID- 22073025
TI - The lag structure and the general effect of ozone exposure on pediatric
respiratory morbidity.
AB - Up to now no study has investigated the lag structure of children's respiratory
morbidity due to surface ozone. In the present study, we investigate the lag
structure and the general effect of surface ozone exposure on children and
adolescents' respiratory morbidity using data from a particularly well suited
area in southern Europe to assess the health effects of surface ozone. The
effects of surface ozone are estimated using the recently developed distributed
lag non-linear models, allowing for a relatively long timescale, while
controlling for weather effects, a range of other air pollutants, and long and
short term patterns. The public health significance of the estimated effects is
higher than has been previously reported in the literature, providing evidence
contrary to the conjecture that the surface ozone-morbidity association is mainly
due to short-term harvesting. In fact, our data analysis reveals that the effects
of surface ozone at medium and long timescales (harvesting-resistant) are
substantially larger than the effects at shorter timescales (harvesting-prone), a
finding that is consistent with all children and adolescents being affected by
high surface ozone concentrations, and not just the very frail.
PMID- 22073027
TI - Farmer health and adaptive capacity in the face of climate change and
variability. Part 1: Health as a contributor to adaptive capacity and as an
outcome from pressures coping with climate related adversities.
AB - This paper examines the role farmers' health plays as an element of adaptive
capacity. The study examines which of twenty aspects of adaptation may be related
to overall health outcomes, controlling for demographic and on-farm-factors in
health problems. The analysis is based on 3,993 farmers' responses to a national
survey of climate risk and adaptation. Hierarchical linear regression modelling
was used examine the extent to which, in a multivariate analysis, the use of
adaptive practices was predictively associated with self-assessed health, taking
into account the farmer's rating of whether their health was a barrier to
undertaking farm work. We present two models, one excluding pre-existing health
(model 1) and one including pre-existing health (model 2). The first model
accounted for 21% of the variance. In this model better health was most strongly
predicted by an absence of on-farm risk, greater financial viability, greater
debt pressures, younger age and a desire to continue farming. Social capital
(trust and reciprocity) was moderately associated with health as was the
intention to adopt more sustainable practices. The second model (including the
farmers' health as a barrier to undertaking farm work) accounted for 43% of the
variance. Better health outcomes were most strongly explained, in order of
magnitude, by the absence of pre-existing health problems, greater access to
social support, greater financial viability, greater debt pressures, a desire to
continue farming and the condition of on-farm resources. Model 2 was a more
parsimonious model (only nine predictors, compared with 15 in model 1), and
explained twice as much variance in health outcomes. These results suggest that
(i) pre-existing health problems are a very important factor to consider when
designing adaptation programs and policies and (ii) these problems may mediate or
modify the relationship between adaptation and health.
PMID- 22073026
TI - Considering the definition of addiction.
AB - The definition of addiction is explored. Elements of addiction derived from a
literature search that uncovered 52 studies include: (a) engagement in the
behavior to achieve appetitive effects, (b) preoccupation with the behavior, (c)
temporary satiation, (d) loss of control, and (e) suffering negative
consequences. Differences from compulsions are suggested. While there is some
debate on what is intended by the elements of addictive behavior, we conclude
that these five constituents provide a reasonable understanding of what is
intended by the concept. Conceptual challenges for future research are mentioned.
PMID- 22073028
TI - Farmer health and adaptive capacity in the face of climate change and
variability. Part 2: Contexts, personal attributes and behaviors.
AB - This study extends the emerging body of research on farmer adaptation to climate
change, by segmenting farmers on the basis of specific attributes (health,
values, belief about climate change, sense of responsibility for climate change,
desire to change, social, human and financial capitals and farmer demographics)
and considering such attributes as critical social aspects of the contextualized
capacity to adapt. The segmental analysis was based on a nationally
representative sample of 3,993 farmers concerned with farmer adaptation of
climate risks. The resulting data were subjected to two-step cluster analysis to
identify homogenous groups of farmers based on factors related to climate change
adaptation. A three-cluster solution was identified wherein farmers were
distinguishable on the basis of belief in climate change, desire for financial
assistance and advice, social connectedness, information seeking, and adverse
farm conditions. The largest group (Cluster 1: 55%) was characterized by farmers
who recognized being affected by drought and drying and who were actively engaged
in adaptive practices, despite the fact that they had little income and poor farm
resources. One third of these farmers reported that their health was a barrier to
sustained activity in farming. Cluster 2 (26%) was characterized by farmers not
readily affected by drying, who enjoyed good incomes, good health and better
farming conditions. They expressed little desire to adapt. The smallest cluster
(Cluster 3: 19%) was also characterized by farmers who recognized that they were
affected by drying. However, despite a desire to adapt, they had very little
means to do so. They reported the poorest natural resources and the poorest
health, despite being younger. The findings suggest that it is the intent to
adapt, starting from where people are at, which is a more important indicator of
the capacity to work towards sustainable practices than assets tests alone.
PMID- 22073029
TI - Clarifying exercise addiction: differential diagnosis, co-occurring disorders,
and phases of addiction.
AB - This paper sets out to clarify the unique features of exercise addiction. It
begins by examining how this addiction can be distinguished from compulsions and
impulse control disorders both of which, like an addiction, involve excessive
behavior that creates adverse effects. Assessment of exercise addiction also
requires that clinicians be attuned to other forms of excessive behavior,
especially eating disorders that can co-occur with exercise. Finally in an effort
to clarify exercise addiction, this paper uses the four phases of addiction to
examine the attributes of exercise that define it as a healthy habit distinct
from an addiction. The paper ends with a discussion of the implications of these
topics for effective assessment and treatment.
PMID- 22073030
TI - Prospective power calculations for the Four Lab study of a multigenerational
reproductive/developmental toxicity rodent bioassay using a complex mixture of
disinfection by-products in the low-response region.
AB - In complex mixture toxicology, there is growing emphasis on testing
environmentally representative doses that improve the relevance of results for
health risk assessment, but are typically much lower than those used in
traditional toxicology studies. Traditional experimental designs with typical
sample sizes may have insufficient statistical power to detect effects caused by
environmentally relevant doses. Proper study design, with adequate statistical
power, is critical to ensuring that experimental results are useful for
environmental health risk assessment. Studies with environmentally realistic
complex mixtures have practical constraints on sample concentration factor and
sample volume as well as the number of animals that can be accommodated. This
article describes methodology for calculation of statistical power for non
independent observations for a multigenerational rodent
reproductive/developmental bioassay. The use of the methodology is illustrated
using the U.S. EPA's Four Lab study in which rodents were exposed to chlorinated
water concentrates containing complex mixtures of drinking water disinfection by
products. Possible experimental designs included two single-block designs and a
two-block design. Considering the possible study designs and constraints, a
design of two blocks of 100 females with a 40:60 ratio of control:treated animals
and a significance level of 0.05 yielded maximum prospective power (~90%) to
detect pup weight decreases, while providing the most power to detect increased
prenatal loss.
PMID- 22073031
TI - Improving public health through access to and utilization of medication assisted
treatment.
AB - Providing access to and utilization of medication assisted treatment (MAT) for
the treatment of opioid abuse and dependence provides an important opportunity to
improve public health. Access to health services comprising MAT in the community
is fundamental to achieve broad service coverage. The type and placement of the
health services comprising MAT and integration with primary medical care
including human immunodeficiency virus (HIV) prevention, care and treatment
services are optimal for addressing both substance abuse and co-occurring
infectious diseases. As an HIV prevention intervention, integrated (same medical
record for HIV services and MAT services) MAT with HIV prevention, care and
treatment programs provides the best "one stop shopping" approach for health
service utilization. Alternatively, MAT, medical and HIV services can be
separately managed but co-located to allow convenient utilization of primary
care, MAT and HIV services. A third approach is coordinated care and treatment,
where primary care, MAT and HIV services are provided at distinct locations and
case managers, peer facilitators, or others promote direct service utilization at
the various locations. Developing a continuum of care for patients with opioid
dependence throughout the stages MAT enhances the public health and Recovery from
opioid dependence. As a stigmatized and medical disenfranchised population with
multiple medical, psychological and social needs, people who inject drugs and are
opioid dependent have difficulty accessing services and navigating medical
systems of coordinated care. MAT programs that offer comprehensive services and
medical care options can best contribute to improving the health of these
individuals thereby enhancing the health of the community.
PMID- 22073032
TI - Taste learning and memory: a window on the study of brain aging.
AB - Taste aversion learning exhibits advantages for research on memory brain systems
and its reorganization throughout life. A review of the effects of aging on taste
memory abilities offers a complex picture showing preserved, impaired, and
enhanced functions. Some of the age-related changes in taste memory seem to be
associated with an altered temporal processing. Longer taste-illness delays can
be introduced for acquisition of conditioned taste aversions and the modulation
of taste learning by the temporal context is absent in naive old rats. It is
suggested that an altered hippocampal function is involved in the peculiar
performance of these rats. Evidence is also presented which suggests that
hippocampal-dependent taste memory can be reactivated by previous learning
experiences in old rats. Results obtained after reversible inactivation of the
dorsal Hippocampus by tetrodotoxin (TTX) in old rats support such a view.
Therefore, the interaction between the previous experience and acute brain
interventions should be taken into account when studying the effect of aging on
taste memory.
PMID- 22073034
TI - Viral-Reactivated Pneumonia during Mechanical Ventilation: Is There Need for
Antiviral Treatment?
AB - Respiratory viruses are not a common cause of ventilator-associated pneumonia
(VAP). Herpesviridae [Herpes simplex virus (HSV) and cytomegalovirus (CMV)] are
detected frequently in the lower respiratory tract of ventilated patients. HSV is
detected between days 7 and 14 of invasive mechanical ventilation (IMV); presence
of the virus does not necessarily imply pathogenicity, but the association with
adverse clinical outcomes supports the hypothesis of a pathogenic role in a
variable percentage of patients. Bronchopneumonitis associated with HSV should be
considered in patients with prolonged IMV, reactivation with herpetic
mucocutaneous lesions and those belonging to a risk population with burn injuries
or acute lung injury. Reactivation of CMV is common in critically ill patients
and usually occurs between days 14 and 21 in patients with defined risk factors.
The potential pathogenic role of CMV seems clear in patients with acute lung
injury and persistent respiratory failure in whom there is no isolation of
bacterial agent as a cause of VAP. The best diagnostic test is not defined
although lung biopsies should be considered in addition to the usual methods
before starting specific treatment. The role of mimivirus is uncertain and is yet
to be defined, but the serologic evidence of this new virus in the context of VAP
appears to be associated with adverse clinical outcomes.
PMID- 22073033
TI - Dysregulated mTORC1-Dependent Translational Control: From Brain Disorders to
Psychoactive Drugs.
AB - In the last decade, a plethora of studies utilizing pharmacological, biochemical,
and genetic approaches have shown that precise translational control is required
for long-lasting synaptic plasticity and the formation of long-term memory.
Moreover, more recent studies indicate that alterations in translational control
are a common pathophysiological feature of human neurological disorders,
including developmental disorders, neuropsychiatric disorders, and
neurodegenerative diseases. Finally, translational control mechanisms are
susceptible to modification by psychoactive drugs. Taken together, these findings
point to a central role for translational control in the regulation of synaptic
function and behavior.
PMID- 22073035
TI - Colour-grapheme synesthesia affects binocular vision.
AB - In colour-grapheme synesthesia, non-coloured graphemes are perceived as being
inherently coloured. In recent years, it is debated whether visual processing of
synesthesia-inducing achromatic graphemes is similar to that of chromatic
graphemes. Here, we exploit the phenomenon of binocular rivalry in which
incompatible images presented dichoptically compete for conscious expression.
Importantly, the competition only arises if the two images are sufficiently
different; if the difference between the images is small, the images will fuse
into a single mixed percept. We show that achromatic digits that induce
synesthetic colour percepts increase the incidence of binocular rivalry compared
to achromatic non-digits that do not evoke such percepts. That is, compared to
achromatically perceived non-digits, synesthesia-inducing digits increase the
predominance of binocular rivalry over binocular fusion. This finding shows that
the synesthetic colour experience can provide the conditions for promoting
binocular rivalry, much like stimulus features that induce rivalry in normal
vision.
PMID- 22073036
TI - Preschoolers' novel noun extensions: shape in spite of knowing better.
AB - We examined the puzzling research findings that when extending novel nouns,
preschoolers rely on shape similarity (rather than categorical relations) while
in other task contexts (e.g., property induction) they rely on categorical
relations. Taking into account research on children's word learning,
categorization, and inductive inference we assume that preschoolers have both a
shape-based and a category-based word extension strategy available and can switch
between these two depending on which information is easily available. To this
end, we tested preschoolers on two versions of a novel-noun label extension task.
First, we paralleled the standard extension task commonly used by previous
research. In this case, as expected, preschoolers predominantly selected same
shape items. Second, we supported preschoolers' retrieval of item-related
information from memory by asking them simple questions about each item prior to
the label extension task. Here, they switched to a category-based strategy, thus,
predominantly selecting same-category items. Finally, we revealed that this shape
to-category shift is specific to the word learning context as we did not find it
in a non-lexical classification task. These findings support our assumption that
preschoolers' decision about word extension change in accordance with the
availability of information (from task context or by memory retrieval). We
conclude by suggesting that preschoolers' noun extensions can be conceptualized
within the framework of heuristic decision-making. This provides an ecologically
plausible processing account with respect to which information is selected and
how this information is integrated to act as a guideline for decision-making when
novel words have to be generalized.
PMID- 22073037
TI - Variability in proactive and reactive cognitive control processes across the
adult lifespan.
AB - Task-switching paradigms produce a highly consistent age-related increase in
mixing cost [longer response time (RT) on repeat trials in mixed-task than single
task blocks] but a less consistent age effect on switch cost (longer RT on switch
than repeat trials in mixed-task blocks). We use two approaches to examine the
adult lifespan trajectory of control processes contributing to mixing cost and
switch cost: latent variables derived from an evidence accumulation model of
choice, and event-related potentials (ERP) that temporally differentiate
proactive (cue-driven) and reactive (target-driven) control processes. Under
highly practiced and prepared task conditions, aging was associated with
increasing RT mixing cost but reducing RT switch cost. Both effects were largely
due to the same cause: an age effect for mixed-repeat trials. In terms of latent
variables, increasing age was associated with slower non-decision processes,
slower rate of evidence accumulation about the target, and higher response
criterion. Age effects on mixing costs were evident only on response criterion,
the amount of evidence required to trigger a decision, whereas age effects on
switch cost were present for all three latent variables. ERPs showed age-related
increases in preparation for mixed-repeat trials, anticipatory attention, and
post-target interference. Cue-locked ERPs that are linked to proactive control
were associated with early emergence of age differences in response criterion.
These results are consistent with age effects on strategic processes controlling
decision caution. Consistent with an age-related decline in cognitive
flexibility, younger adults flexibly adjusted response criterion from trial-to
trial on mixed-task blocks, whereas older adults maintained a high criterion for
all trials.
PMID- 22073038
TI - Single-trial analyses: why bother?
PMID- 22073039
TI - Short-time scale dynamics in the responses to multiple stimuli in visual cortex.
AB - Many previous studies have used the presentation of multiple stimuli in the
receptive fields (RFs) of visual cortical neurons to explore how neurons might
operate on multiple inputs. Most of these experiments have used two fixed
stimulus locations within the RF of each neuron. Here the effects of using
different positions within the RF of a neuron were explored. The stimuli were
presented singly at one of six locations, and also at 15 pair-wise combinations,
for 24 V2 cortical neurons in two macaque monkeys. There was considerable
variability in how pairs of stimuli interacted within the receptive field of any
given neuron: changing the position of the stimuli could result in enhancement,
winner-take-all, or suppression relative to the strongest response to a stimulus
presented by itself. Across the population of neurons there was no correlation
between response strength and response latency. However, for many stimulus pairs
the response latency was tightly locked to the shortest response latency of any
single stimulus presented by itself independent of changes in response magnitude.
In other words, a stimulus that by itself elicited a relatively long latency
response, would often affect the magnitude of the response to a pair of stimuli,
but not change the latency. These results may provide constraints on the
development of models of cortical information processing.
PMID- 22073040
TI - ClubSub-P: Cluster-Based Subcellular Localization Prediction for Gram-Negative
Bacteria and Archaea.
AB - The subcellular localization (SCL) of proteins provides important clues to their
function in a cell. In our efforts to predict useful vaccine targets against Gram
negative bacteria, we noticed that misannotated start codons frequently lead to
wrongly assigned SCLs. This and other problems in SCL prediction, such as the
relatively high false-positive and false-negative rates of some tools, can be
avoided by applying multiple prediction tools to groups of homologous proteins.
Here we present ClubSub-P, an online database that combines existing SCL
prediction tools into a consensus pipeline from more than 600 proteomes of fully
sequenced microorganisms. On top of the consensus prediction at the level of
single sequences, the tool uses clusters of homologous proteins from Gram
negative bacteria and from Archaea to eliminate false-positive and false-negative
predictions. ClubSub-P can assign the SCL of proteins from Gram-negative bacteria
and Archaea with high precision. The database is searchable, and can easily be
expanded using either new bacterial genomes or new prediction tools as they
become available. This will further improve the performance of the SCL
prediction, as well as the detection of misannotated start codons and other
annotation errors. ClubSub-P is available online at
http://toolkit.tuebingen.mpg.de/clubsubp/
PMID- 22073042
TI - A qualitative engineering analysis of occlusion effects on mandibular fracture
repair mechanics.
AB - Objectives. The purpose of this analytical study was to examine and critique the
engineering foundations of commonly accepted biomechanical principles of mandible
fracture repair. Materials and Methods. Basic principles of static equilibrium
were applied to intact and plated mandibles, but instead of the traditional lever
forces, the mandibles were subjected to more realistic occlusal forces. Results.
These loading conditions produced stress distributions within the intact mandible
that were very different and more complex than the customary lever-based
gradient. The analyses also demonstrated the entirely different mechanical
environments within intact and plated mandibles. Conclusions. Because the loading
and geometry of the lever-idealized mandible is incomplete, the associated widely
accepted bone stress distribution (tension on top and compression on the bottom)
should not be assumed. Furthermore, the stress gradients within the bone of an
intact mandible should not be extrapolated to the mechanical environment within
the plated regions of a fractured mandible.
PMID- 22073041
TI - Calpain mediates epithelial cell microvillar effacement by enterohemorrhagic
Escherichia coli.
AB - A member of the attaching and effacing (AE) family of pathogens,
enterohemorrhagic Escherichia coli (EHEC) induces dramatic changes to the
intestinal cell cytoskeleton, including effacement of microvilli. Effacement by
the related pathogen enteropathogenic E. coli (EPEC) requires the activity of the
Ca(+2)-dependent host protease, calpain, which participates in a variety of
cellular processes, including cell adhesion and motility. We found that EHEC
infection results in an increase in epithelial (CaCo-2a) cell calpain activity
and that EHEC-induced microvillar effacement was blocked by ectopic expression of
calpastatin, an endogenous calpain inhibitor, or by pretreatment of intestinal
cells with a cell-penetrating version of calpastatin. In addition, ezrin, a known
calpain substrate that links the plasma membrane to axial actin filaments in
microvilli, was cleaved in a calpain-dependent manner during EHEC infection and
lost from its normal locale within microvilli. Calpain may be a central conduit
through which EHEC and other AE pathogens induce enterocyte cytoskeletal
remodeling and exert their pathogenic effects.
PMID- 22073043
TI - Environmental and occupational health disorders.
PMID- 22073045
TI - Toxicological assessment of noxious inhalants.
AB - In the past centuries mankind has been exposed to various forms of air pollution
not only at his occupational but also in his social environment. He mainly gets
exposed with these pollutants through the respiratory organs and partially
absorbs them into the body. Many of these airborne substances can be harmful for
humans and some of them may account for tumorigenic effects.The following essay
describes the main features of toxicological assessment of inhalative
environmental and workplace xenobiotics. The essay also explains relevant
characteristics and limit values of noxious compounds and gases and depicts
modern testing methods. To this end, emphasis is given on methods characterizing
the different stages of tumorigenic processes. Various test systems have been
developed which can be used in vivo, ex vivo or in vitro. They are to a great
part based on the evidence of changes in DNA or particular genes of cells. Among
others they have highlighted the impact of interindividual variability on
enzymatic activation of xenobiotics and on susceptibility of the host to tumor
diseases.Unfortunately, for many inhalative environmental noxious agents no
sufficient risk profiles have been developed. The completion of these profiles
should be the goal of toxicological assessment in order to allow reasonable
socioeconomic or individual-based risk reduction.
PMID- 22073044
TI - Cellular and molecular mechanisms in environmental and occupational inhalation
toxicology.
AB - The central issue of this review are inflammatory changes that take place in the
mucous membranes of the respiratory tract as a result of inhaled pollutants. Of
particular relevance are dusts, SO(2), ozone, aldehydes und volatile organic
compounds. Bioorganic pollutants, especially fragments of bacteria and fungi,
occur predominantly in indoor dusts. They activate the toll-like/IL-1 receptor
and lead to the activation of the transcription factor NF-kappaB for the release
of numerous proinflammatory cytokines. Metals are predominant in ambient air dust
particles. They induce the release of reactive oxygen species that cause damage
to lipids, proteins and the DNA of the cell. As well as NF-kappaB, transcription
factors that foster proliferation are activated via stress activated protein
kinases. Organic compounds such as polycyclic aromatic hydrocarbons and nitroso
compounds of incomplete combustion processes activate additional via the
cytosolic arylhydrocarbon receptor for detoxification enzymes. Sulphur dioxide
leads to acid stress, and ozone to oxidative stress of the cell. This is
accompanied by the release of proinflammatory cytokines via stress activated
protein kinases. Aldehydes and volatile organic compounds activate the vanilloid
receptor of trigeminal nerve fibres and induce a hyperreactivity of the mucous
membrane via the release of nerve growth factors. The mechanisms described work
synergistically and lead to a chronic inflammatory reaction of the mucous
membranes of the upper respiratory tract that is regularly demonstrable in
inhabitants of western industrial nations. It is unclear whether we are dealing
here with a physiological inflammation or with an at least partially avoidable
result of chronic pollutant exposure.
PMID- 22073047
TI - Multiple Chemical Sensitivity (MCS) - Scientific and Public-Health Aspects.
AB - Multiple Chemical Sensitivity (MCS) is a phenomenon which the ENT-doctor should
be familiar with. It has its roots in the description of a syndrome in 1987. A
worker spilled chemicals at his workplace and from then on he reacted highly
sensitive to chemicals. Today, there are many people who explain their complaints
with self-suspected MCS. Various pathopysiological models have been proposed,
including toxicological, immunological or behaviorial models. But no-one could be
proved so far. Since controlled provocation tests have also provided unclear
results, an increasing number of doctors assumes today, that MCS reflects a
psychic condition. In 1996, an expert team of the WHO has suggested the renaming
of MCS to "idiopathic environmental illness" (IEI). However, other doctors still
assume a chemical cause. Since there are neither straightforward diagnostic
methods to proof MCS, nor reliable therapeutic concepts, treatment of MCS
patients is usually difficult. The MCS-debate (somatic vs psychic causes) seems
to reflect the dilemma of the medical profession today, that somatic disorders of
known origin can be well treated, whereas the increasing number of psychosomatic/
somatoform disorders is often resistant to medical help. The ENT-doctor should
pay attention to changes of the nasal mucous membrane, nasal resistance and the
sense of smell. Moreover he should know about the peculiarities of MCS-patients.
The manuscript describes the present knowledge and state of discussion with
special regard to the situation in Germany.
PMID- 22073046
TI - Actual therapeutic management of allergic and hyperreactive nasal disorders.
AB - Allergic rhinitis (AR) and hyperractive disorders of the upper airways, depending
upon the type of releasing stimuli, are defined as nasal hyperreactivity, for
example in the case of AR, or as non-specific nasal hyperreactivity and as
idiopathic rhinitis (IR) (synonyms frequently used in the past: non-specific
nasal hyperreactivity; vasomotor rhinitis) in the case of non-characterised
stimuli.An early and professional therapy of allergic disorders of the upper
airways is of immense importance as allergic rhinitis is detected in
comorbidities such as asthma and rhino sinusitis. The therapeutic concept is
influenced by new and further developments in pharmacological substance classes
such as antihistamines and glucocorticosteroids. Specific immune therapy, the
only causal therapy for AR, has been reviewed over the past few years in respect
of the type and pattern of application. However, to date no firm recommendations
on oral, sublingual and /or nasal immune therapy have yet been drawn up based on
investigations of these modifications.Therapeutic management of IR is aimed at a
symptom-oriented therapy of nasal hyperactivity as etiological factors relating
to this form of rhinitis are not yet sufficiently known. Drug groups such as mast
cell stabilizers, systemic and topic antihistamines, topic and systemic
glucocorticosteroids, ipatroium bromide and alpha symphatomimetics belong to the
spectrum of the therapeutics employed.
PMID- 22073048
TI - Current aspects of hearing loss from occupational and leisure noise.
AB - Hearing loss from occupational and leisure noise numbers amongst the most
frequent causes of an acquired sensorineural hearing loss. Here we present a
review of up-to-date findings on the pathophysiology of acoustic injury to the
inner ear, with special attention being paid to its molecular-biological and
genetic aspects. Epidemiological aspects shall also be dealt with, as shall the
roles of lacking recovery from occupational noise due to additional exposure by
leisure noise and the combined exposure of noise and chemicals. Based on the
epidemiological and pathophysiological findings and against the background of
published animal-experimental, pre-clinical and clinical findings, the various
approaches for prevention, protection and therapeutic intervention with acoustic
trauma are discussed. Pharmacological strategies involving anti-oxidative, anti
excitotoxic and anti-apoptotic substances as well as non-pharmacological
strategies like "sound conditioning" are given attention. Furthermore, systemic
and local substance application as well as the therapy of acute acoustic trauma
and chronic hearing problems (including modern therapy forms for comorbidities
such as tinnitus) shall be delved into.
PMID- 22073050
TI - Reconstructive methods in hearing disorders - surgical methods.
AB - Restoration of hearing is associated in many cases with resocialisation of those
affected and therefore occupies an important place in a society where
communication is becoming ever faster. Not all problems can be solved surgically.
Even 50 years after the introduction of tympanoplasty, the hearing results are
unsatisfactory and often do not reach the threshold for social hearing. The cause
of this can in most cases be regarded as incomplete restoration of the mucosal
function of the middle ear and tube, which leads to ventilation disorders of the
ear and does not allow real vibration of the reconstructed middle ear. However, a
few are also caused by the biomechanics of the reconstructed ossicular chain.
There has been progress in reconstructive middle ear surgery, which applies
particularly to the development of implants. Implants made of titanium, which are
distinguished by outstanding biocompatibility, delicate design and by
biomechanical possibilities in the reconstruction of chain function, can be
regarded as a new generation. Metal implants for the first time allow a
controlled close fit with the remainder of the chain and integration of
micromechanical functions in the implant. Moreover, there has also been progress
in microsurgery itself. This applies particularly to the operative procedures for
auditory canal atresia, the restoration of the tympanic membrane and the coupling
of implants. This paper gives a summary of the current state of reconstructive
microsurgery paying attention to the acousto-mechanical rules.
PMID- 22073051
TI - Restoration of hearing by hearing aids: conventional hearing aids - implantable
hearing aids - cochlear implants - auditory brainstem implants.
AB - Aim of this report is to explain the current concept of hearing restoration using
hearing aids. At present the main issues of conventional hearing aids are the
relative benefits of analogue versus digital devices and different strategies for
the improvement of hearing in noise. Implantable hearing aids provide a better
sound quality and less distortion. The lack of directional microphones is the
major disadvantage of the partially implantable hearing aids commercially
available. Two different clinical studies about fully implantable hearing aids
have been started in 2004. One of the most-promising developments seems to be the
electric-acoustic stimulation.
PMID- 22073049
TI - Therapy of hearing disorders - conservative procedures.
AB - A wide range of therapeutic strategies are available for the therapy of hearing
disorders including pharmaceutical, acoustic, electrical, surgical, radiological,
cognitive-behavioural and so-called "alternative" strategies. This review focuses
on general conservative strategies and specific therapeutic approaches mainly for
inner ear disorders, whereas surgical and device-based therapies including
hearing aids and cochlear implants are described in other chapters in this
volume.In addition to the systemic medication-based therapies for the treatment
of hearing disorders, the rapidly growing field of local drug delivery to the
inner ear as a promising therapeutic strategy is discussed on the background of
unresolved issues. After description of non-drug-based therapeutic procedures,
the conservative therapy of specific diseases and syndromes is reviewed.In
general, there is a major discrepancy between promising animal studies up to
regeneration and stem-cell transplantation, and uncontrolled experimental studies
in humans on the one hand and the shortage of randomized controlled clinical
trials with a high level of evidence on the other hand. Therefore, the review and
comments on published clinical studies should assist the reader in making his/her
own decision about the effectiveness of various, especially pharmaceutical
treatments. From a critical analysis - particularly of the clinical studies
presented - conclusions are drawn for the therapy of hearing disorders in the
future.
PMID- 22073052
TI - Technical devices for hearing-impaired individuals: cochlear implants and brain
stem implants - developments of the last decade.
AB - Over the past two decades, the fascinating possibilities of cochlear implants for
congenitally deaf or deafened children and adults developed tremendously and
created a rapidly developing interdisciplinary research field.The main
advancements of cochlear implantation in the past decade are marked by
significant improvement of hearing and speech understanding in CI users. These
improvements are attributed to the enhancement of speech coding strategies.The
Implantation of more (and increasingly younger) children as well as the
possibilities of the restoration of binaural hearing abilities with cochlear
implants reflect the high standards reached by this development. Despite this
progress, modern cochlear implants do not yet enable normal speech understanding,
not even for the best patients. In particular speech understanding in noise
remains problematic [1]. Until the mid 1990ies research concentrated on
unilateral implantation. Remarkable and effective improvements have been made
with bilateral implantation since 1996. Nowadays an increasing numbers of
patients enjoy these benefits.
PMID- 22073054
TI - Re-establishment of olfactory and taste functions.
AB - The incidence of olfactory disorders is appoximately 1-2% and they can seriously
impact on the quality of life. Quantitative disorders (hyposmia, anosmia) are
distinguished from qualitative disorders (parosmia, phantosmia). Olfactory
disorders are classified according to the etiology and therapy is planned
according to the underlying pathophysiology. In ENT patients olfactory disorders
caused by sinonasal diseases are the most common ones, followed by postviral
disorders. Therapy consists of topical and systemic steroids, whereas systemic
application seems to be of greater value. It is very difficult to predict the
improvement of olfactory function using surgery, moreover, the long term -
success in surgery is questionable. Isolated taste disorders are rare and in most
often caused by underlying diseases or side effects of medications. A meticulous
history is necessary and helps to choose effective treatment. In selected cases
zinc might be useful.
PMID- 22073053
TI - Procedures for restoring vestibular disorders.
AB - This paper will discuss therapeutic possibilities for disorders of the vestibular
organs and the neurons involved, which confront ENT clinicians in everyday
practice. Treatment of such disorders can be tackled either symptomatically or
causally. The possible strategies for restoring the body's vestibular sense,
visual function and co-ordination include medication, as well as physical and
surgical procedures. Prophylactic or preventive measures are possible in some
disorders which involve vertigo (bilateral vestibulopathy, kinetosis, height
vertigo, vestibular disorders when diving (Tables 1 (Tab. 1) and 2 (Tab. 2)).
Glucocorticoid and training therapy encourage the compensation of unilateral
vestibular loss. In the case of a bilateral vestibular loss, it is important to
treat the underlying disease (e.g. Cogan's disease). Although balance training
does improve the patient's sense of balance, it will not restore it completely.In
the case of Meniere's disease, there are a number of medications available to
either treat bouts or to act as a prophylactic (e.g. dimenhydrinate or
betahistine). In addition, there are non-ablative (sacculotomy) as well as
ablative surgical procedures (e.g. labyrinthectomy, neurectomy of the vestibular
nerve). In everyday practice, it has become common to proceed with low risk
therapies initially. The physical treatment of mild postural vertigo can be
carried out quickly and easily in outpatients (repositioning or liberatory
maneuvers). In very rare cases it may be necessary to carry out a semicircular
canal occlusion.Isolated disturbances of the otolith function or an involvement
of the otolith can be found in roughly 50% of labyrinth disturbances. A specific
surgical procedure to selectively block the otolith organs is currently being
studied. When an external perilymph fistula involving loss of perilymph is
suspected, an exploratory tympanotomy involving also the round and oval window
niches must be carried out. A traumatic rupture of the round window membrane can,
for example, also be caused by an implosive inner ear barotrauma during the
decompression phase of diving. Dehiscence of the anterior semicircular canal, a
relatively rare disorder, can be treated conservatively (avoiding stimuli which
cause dizziness), by non-ablative "resurfacing" or by "plugging" the semicircular
canal. A perilymph fistula can cause a Tullio-phenomenon resulting from a
traumatic dislocation or hypermobility of the stapes, which can be surgically
corrected. Vestibular disorders can also result from otosurgical therapy. When
balance disorders persist following stapedectomy it is necessary to carry out a
revision operation in order to either exclude a perilymph fistula or shorten the
piston. Surgically reducing the size of open mastoid cavities (using for example
porous hydroxylapatite or cartilage) can result in a reduction of vertiginous
symptoms while nursing or during exposure to ambient air. Vestibular disturbances
can occur both before and after vestibular nerve surgery (acoustic neuroma).
Initially, good vestibular compensation can be expected after surgically removing
the acoustic neuroma. An aberrant regeneration of nerve fibers of the
vestibulocochlear nerve has been suggested as a cause for secondary worsening.
Episodes of vertigo can be caused by an irritation of the vestibular nerve
(vascular loop). Neurovascular decompression is generally regarded as the best
surgical therapy. In the elderly, vestibular disturbances can severely limit
quality of life and are often aggravated by multiple comorbidities.
Antivertiginous drugs (e.g. dimenhydrinate) in combination with movement training
can significantly reduce symptoms. Administering antivertiginous drugs over
varying periods of time (e.g. transdermal scopolamine application via patches) as
well as kinetosis training can be used as both prophylactically and as a therapy
for kinetosis. Exposure training should be used as a prophylactic for height
vertigo.
PMID- 22073058
TI - Surgical and conservative methods for restoring impaired motor function - facial
nerve, spinal accessory nerve, hypoglossal nerve (not including vagal nerve or
swallowing).
AB - The present review gives a survey of rehabilitative measures for disorders of the
motor function of the mimetic muscles (facial nerve), and muscles innervated by
the spinal accessory and hypoglossal nerves. The dysfunction can present either
as paralysis or hyperkinesis (hyperkinesia). Conservative and surgical treatment
options aimed at restoring normal motor function and correcting the movement
disorders are described. Static reanimation techniques are not dealt with. The
final section describes the use of botulinum toxin in the therapy of dysphagia.
PMID- 22073055
TI - Restorative procedures in disturbed function of the upper airways - nasal
breathing.
AB - These days, functional rhinosurgery is almost always taken to mean the
improvement of nasal airflow. However, air should not only pass through the nose
without obstruction. It needs to be warmed, moistened and filtered. This requires
sufficient air/mucous membrane contact by spreading airflow over the entire
turbinate region, as well as regulation of nasal airway resistance and the degree
of turbulence within the nasal cycle. These factors are not considered enough in
the concept of functional rhinosurgery.There cannot be a rigid concept for
functional/aesthetic rhinosurgery, the surgical procedure must be adapted to the
individual anatomy and pathology. In spite of this, it must be clear (based on
evidence) which surgical steps can solve a functional problem of the nose in the
long term. This paper cannot explain evidence-based treatment strategies to
restore nasal respiratory function because in all branches of rhinosurgery, there
are no prospective studies available with a sufficiently high sample size and
long-term results objectivized by functional diagnosis. Studies available on
septal surgery show better results for SP after Cottle than for SMR after
Killian. However, the success rate of a 70 to 80% improvement in nasal breathing
is not satisfactory. The incidence of postoperative, dry nasal mucosa is also too
high. The task of rhinology is to stress the functional side of rhinosurgery
more. This includes preoperative analysis of the causes of disturbed respiratory
function using the functional diagnosis methods available, the use of evidence
based surgical techniques and postoperative, objectivized quality control. More
research needs to be done on the physiology and pathophysiology of nasal airflow
as well as on the effect of rhinosurgery on airflow. Numerical flow simulation
can contribute greatly to this because the effects of shape changes on the flow
can be visualized. Methods need to be developed which can be used for routine,
diagnostic recording of warming, moistening and filtering of the respiratory air.
PMID- 22073056
TI - Reconstructive procedures for disturbed functions within the upper airway:
pharyngeal breathing/snoring.
AB - Breathing disorders which have their origin within the pharynx mainly occur
during sleep. These so-called obstructive sleep-related breathing disorders
include three different disturbances which have to be distinguished properly:
simple snoring, upper airway resistance syndrome (UARS) and obstructive sleep
apnea (OSA). Each disturbance requires a different treatment.Simple snoring does
not affect the physical health of the snorer himself, but often leads to social
problems due to the annoying character of the breathing sounds. Appropriate
treatment modalities are oral devices and transcutaneous or ttransmucosal
electrical stimulation of the muscles of the floor of the mouth via surface
electrodes. As reconstructive surgical procedures adenotomies, tonsillectomies,
tonsillotomies, or adenotonsillectomies are successfully used in children.
Moreover, in adults radiofrequency treatments of the tonsils, the soft palate and
of the base of tongue, as well as uvulopalatopharyngoplasty (UPPP), laser
assisted uvulopalatoplasty (LAUP) and palatal implants are adequate treatments
for simple snoring.Adequate therapies for UARS and mild OSA (less than 20
breathing events per hour of sleep) are oral appliances. Nasal continuos positive
airway pressure (NCPAP) ventilation is a very successful treatment modality, but
shows low compliance in these patients, as daytime symptoms like excessive
sleepiness or or impaired cognitive functions are often unincisive in patients
with mild OSA. Reconstructive procedures like UPPP, radiofrequency surgery of the
tonsils or the base of tongue, hyoid suspension, mandibular osteotomy with
genioglossus advancement (MO) are successful treatment options either as isolated
procedures or in combination within so-called multi-level surgery
concepts.Goldstandard for the treatment of moderate to severe OSA is the nCPAP
ventilation. All patients should at least try this treatment modality. Only in
the rare cases of nCPAP failure (2%) and in the relatively frequent cases of
nCPAP incompliance (30%) reconstructive surgical procedures become necessary as
second choice treatments. These are adenectomies, tonsillectomies, tonsillotomies
in children and hyoid suspension, MO, multi-level surgery concepts, or
maxillomandibular advancement osteotomies in adults.
PMID- 22073060
TI - Rehabilitation of the trigeminal nerve.
AB - When it comes to restoring impaired neural function by means of surgical
reconstruction, sensory nerves have always been in the role of the neglected
child when compared with motor nerves. Especially in the head and neck area, with
its either sensory, motor or mixed cranial nerves, an impaired sensory function
can cause severe medical conditions. When performing surgery in the head and neck
area, sustaining neural function must not only be highest priority for motor but
also for sensory nerves. In cases with obvious neural damage to sensory nerves,
an immediate neural repair, if necessary with neural interposition grafts, is
desirable. Also in cases with traumatic trigeminal damage, an immediate neural
repair ought to be considered, especially since reconstructive measures at a
later time mostly require for interposition grafts.In terms of the trigeminal
neuralgia, commonly thought to arise from neurovascular brainstem compression, a
pharmaceutical treatment is considered as the state of the art in terms of
conservative therapy. A neurovascular decompression of the trigeminal root can be
an alternative in some cases when surgical treatment is sought after. Besides the
above mentioned therapeutic options, alternative treatments are available.
PMID- 22073057
TI - Reconstructive procedures for impaired upper airway function: laryngeal
respiration.
AB - The larynx is the "bottleneck" of the human airway. For this reason, the effects
of stenosing laryngeal pathologies on the vital factor respiratory gas exchange
are particularly critical.Internal stabilization is a prerequisite for recovery
of the laryngeal respiratory function in severe forms of inspiratory collapse
(laryngomalacia). Effective laser surgery techniques have been developed to this
end in recent years.Glottis-dilating surgery in cases of bilateral vocal cord
motion impairment is now moving in the direction of endoscopic laser cordotomy or
cordectomy, whereas arytenoidectomy and open surgical procedures are now used
only rarely due to higher secondary morbidity rates. In individual cases, in
particular if functional recovery is expected, temporary laterofixation of a
vocal cord using an endoscopic suturing technique can be a helpful
approach.Extensive laryngeal defects can be covered by means of composite grafts
with mucosal lining, a supporting skeleton and their own vascularization.
Autologous transplantation of the larynx, with its complex surgical and
immunological problems, has become a manageable procedure. The problems of post
transplantation reinnervation and risk assessment of immunosuppression-induced
recurrence of the tumor are still under consideration.Reanimation of the
bilaterally paralyzed larynx by means of neurorrhaphy (neurosuture), neural
grafting and, more recently, functional electrostimulation (pacemaker) represents
a challenge for the coming years. In most cases of paralysis of the recurrent
laryngeal nerve, a part of the muscles is maintained by synkinetic reinnervation
when therapy is carried out, which however also prevents effective vocal cord
movement due to simultaneous activity of agonists and antagonists. Modulation of
reinnervation by means of electrostimulation and modern genetic therapy
approaches justify hopes of better outcomes in the future.
PMID- 22073061
TI - Conservative approaches to the management of voice disorders.
AB - The presence of a voice disorder not only affects social interaction but
potentially also has a major impact on the work environment. The latter is
becoming more important given the increasing demands employers make in terms of
competency in both communication skills and adequacy of phonation. The
development of newer and more precise phono-microsurgical techniques for the
treatment of an increasing variety of voice disorders has not entirely replaced a
conservative approach to voice rehabilitation. Nevertheless, conservative methods
have to demonstrate an higher effectiveness in comparison with the microsurgical
intervention given the alternative indications. This would be especially true for
the more specific and systematically a given individual glottic pathophysiology
could be changed in direction of individual phonatory physiology or supplementary
phonation mechanism. This desired changing depends not only on the theoretical
concepts but also on maintaining strict therapeutic principles during their
clinical application. Conservative management of voice disorders has to be
intensive and comprehensive, especially in the case of accepting our model of
Larnygeal Double Phonation Function and the existence of a phonatory feedback
loop.
PMID- 22073059
TI - Reconstructive and rehabilitating methods in patients with dysphagia and
nutritional disturbances.
AB - As diverse as the causes of oropharyngeal dysphagia can be, as broad is the range
of potential therapeutical approaches. In the past two decades, methods of
plastic-reconstructive surgery, in particular microsurgically revascularised
tissue transfer and minimally invasive, endoscopic techniques of every hue have
substantially added to the portfolio of reconstructive surgery available for
rehabilitating deglutition. Numerically, reconstructing the pharyngolaryngeal
tract following resection of squamous-cell carcinomas in the oral cavity, the
pharynx and the larynx has been gaining ground, as has functional deglutitive
therapy performed to treat posttherapeutical sequelae. Dysphagia and malnutrition
are closely interrelated. Every third patient hospitalised in Germany suffers
from malnutrition; ENT tumour patients are not excluded. For patients presenting
with advancing malnutrition, the mortality, the morbidity and the individual
complication rate have all been observed to increase; also a longer duration of
stay in hospital has been noted and a lesser individual toleration of treatment,
diminished immunocompetence, impaired general physical and psychical condition
and, thus, a less favourable prognosis on the whole. Therefore, in oncological
patients, the dietotherapy will have to assume a key role in supportive
treatment. It is just for patients, who are expected to go through a long process
of deglutitive rehabilitation, that enteral nutrition through percutaneous
endoscopically controlled gastrostomy (PEG) performed at an early stage can
provide useful and efficient support to the therapeutic efforts. Nutrition and
oncology are mutually influencing fields where, sooner or later, a change in
paradigms will have to take place, i.e. gradually switching from therapy to
prevention. While cancer causes malnutrition, feasible changes in feeding and
nutrition-associated habits, including habitual drinking and smoking, might lower
the incidence of cancer worldwide by 30 to 40% (American Institute of Cancer
Research 1999).Esse oportet, ut vivas, non vivere ut edas. / Thou shouldst eat to
live, not live to eat.Cicero 106 - 43 B.C.
PMID- 22073063
TI - Rehabilitation of impaired speech function (dysarthria, dysglossia).
AB - Speech disorders can result (1) from sensorimotor impairments of articulatory
movements = dysarthria, or (2) from structural changes of the speech organs, in
adults particularly after surgical and radiochemical treatment of tumors =
dysglossia. The decrease of intelligibility, a reduced vocal stamina, the
stigmatization of a conspicuous voice and manner of speech, the reduction of
emotional expressivity all mean greatly diminished quality of life, restricted
career opportunities and diminished social contacts. Intensive therapy based on
the pathophysiological facts is absolutely essential: Functional exercise therapy
plays a central role; according to symptoms and their progression it can be
complemented with prosthetic and surgical approaches. In severe cases
communicational aids have to be used. All rehabilitation measures have to take
account of frequently associated disorders of body motor control and/or
impairment of cognition and behaviour.
PMID- 22073062
TI - Surgical procedures for voice restoration.
AB - Surgical procedures for voice restoration serve to improve oral communication by
better vocal function. They comprise of phonomicrosurgery, with direct and
indirect access to the larynx; laryngoplasty; laryngeal injections; and surgical
laryngeal reinnervation. The basis for modern surgical techniques for voice
disorders is the knowledge about the ultrastructure of the vocal folds and the
increasing experience of surgeons in voice surgery, while facing high social and
professional demands on the voice. Vocal activity limitation and participation
restriction has become more important in the artistic and social areas. A number
of surgical methods that have been developed worldwide for this reason, are
presented in this article. Functional oriented surgery has to meet high
standards. The diagnostics of vocal function has to be multi-dimensional in order
to determine the indication and the appropriate surgical intervention.
PMID- 22073065
TI - Conservative procedures in skin reconstitution.
AB - Skin exerts a number of essential protective functions ensuring homeostasis of
the whole body. In the present review barrier function of skin and its expression
of antimicrobial peptides are discussed. Barrier function is provided by the
dynamic stratum corneum structure composed of lipids and corneocytes. Stratum
corneum is a conditio sine qua non for terrestrial life. Impairment of barrier
function can be due to injury and inflammatory skin diseases. Therapeutic options
are discussed with special emphasis of radiodermatitis and irritant contact
dermatitis in patients with hearing device. The use of antimicrobial peptides is
illustrated by facial inflammatory skin diseases. In wound healing new
developments include biotechnological developments of matrix- and growth factors
and tissue-engineered skin substitutes. In everyday wound care of chronic wounds
the concept of wound bed preparation (TIME) constitutes the base of successful
treatment.
PMID- 22073064
TI - Restorative procedures in cases of impaired voice function following complete
laryngectomy.
AB - Surgical voice restoration with a tracheo-oesophageal fistula using an
alloplastic voice prosthesis is the current standard in Germany for patients with
laryngectomy. With the increasing widespread use of this type of rehabilitation
new duties emerge, not only for ones colleagues in the hospital but also for
those in general practice. Care of these patients close to their home must be the
aim of us all. With the use of voice prostheses on the increase any problems
arising for the clinician are few and easily treatable; for these a therapy
concept should be borne in mind. Surgical voice restoration is indicated only in
individual cases due, among other reasons, to the high operational costs
involved. If surgical voice restoration is impossible or unsuccessful,
oesophageal voice replacement and electronic voice support are realistic
alternatives. Improvements can be expected as regards the durability of voice
prostheses, methods of replacing them, and speech procedure with finger-free
tracheostomy closure. Greater use of the artificial larynx without tracheostomy
is the objective for the distant future.
PMID- 22073067
TI - Diagnostic and therapeutic-restorative procedures for masticatory dysfunctions.
AB - Temporomandibular disorders (TMD) or craniomandibular disorders, respectively,
involve diseases of the teeth and periodontia as well as the masticatory muscles,
temporomandibular joints and associated structures. It has been shown in recent
years that psychological, social and general medical influences are of enormous
importance in the etiology of TMD in addition to anatomical, physiological,
parafunctional and other biological causes. This signifies that therapists
confronted with TMD should already include at an early stage other specialists
such as pain therapists, neurologists, ENT physicians, psychotherapists and
physiotherapists. Patients need to be referred to dentists specializing in TMD
when ENT examinations yield no pathological findings. The treatment of TMD is
subdivided into the following steps that are always related to underlying
diagnoses: informing patients, self-observation, relaxation therapy, behavioral
therapy, physiotherapy, drug therapy, therapeutic local anesthesia, splint
therapy, and, if necessary, prosthetic and/or orthodontic therapy to restore a
stable occlusion.
PMID- 22073068
TI - Reconstitution of lost cervical spine function: management strategies.
AB - The cervical spine (CS) is the most vulnerable part of the whole spine because it
has least protection. This is due to its high mobility (few bone, but largely
muscle and joint support) which is associated with a high injury risk. The
anatomical characteristics are based on evolutionary biological reasons, i.e.
humans had to be able to freely controlling the surrounding space with their eyes
and to have permanent postural control by an upright position of the head. The
cervical spine, its joint and the surrounding muscles are highly interconnected
(e.g. direct neuronal projections into the brain stem, connections to the TMJ,
Head's zones with projections to the skin surface). Moreover, the spinal pain
memory store can lead to a variets of multi-facette clinical pictures. In
addition to reversible disorders of the cervical spine, posttraumatic disorders
play a major role. The therapy options available include physiotherapy, drug
therapy and surgical measures. However, a multidisciplinary approach is most
favourable.
PMID- 22073066
TI - Operative treatment of functional facial skin disorders.
AB - The skin is the principal interface between the body and the surrounding world
and thus serves as a protective barrier against trauma, temperature extremes and
radiation. With receptors for pressure, movement, heat and cold, it also acts as
sensory organ and through sweat secretion plays a role in thermoregulation and
electrolyte metabolism. Not all of these functions are relevant to facial skin,
however, cosmetic aspects are of vital importance.Disorders primarily affect the
protective skin function in defect and scar areas. For operative correction, the
following principles should be applied: Minimization of scar development by
adherence to indicated incision lines in the face, preferred use of local skin
flaps for defect coverage in order to obtain optimal results regarding texture,
complexion and sensitivity of skin, as well as consideration of aesthetic units.
Recent developments in this field are tissue culture, occlusive dressings, and
the use of growth factors. Age-related skin changes with impairment of cosmetic
function are characterized by the development of creases and looseness of skin.
Rejuvenation has become an important segment of skin surgery. For surface
treatment, especially of creases and acne scars, various types of laser treatment
are employed. Deeper lines can be filled with filler materials. The integration
of the superficial musculoaponeurotic system (SMAS) into face lift procedures has
lead to more viable and natural results. Due to protruding tissue, blepharoplasty
of the upper lid is often carried out in combination with forehead lift and
eyebrow lift procedures.The optimized use of growth factors and synthetic
materials, which serve as a matrix, are aimed at skin replacement which mimics
the quality and functions of skin as closely as possible. On the whole, however,
the reconstruction of defect through local tissue transfer is still considered as
the treatment of choice.
PMID- 22073069
TI - Therapeutic methods for psychosomatic disorders in oto-rhino-laryngology.
AB - Psychosomatic disorders such as tinnitus, acute hearing loss, attacks of
dizziness, globus syndrome, dysphagias, voice disorders and many more are quite
common in ear, nose and throat medicine. They are mostly caused by a number of
factors, although the bio-psycho-social model does play an important role.
Initial contact with a psychosomatically ill patient and compiling a first case
history are important steps to psychosomatic oriented therapy. This contribution
will sum up the most important otorhinolaryngological diseases with psychosomatic
comorbidity and scientifically evaluated methods of treatment. The contribution
will also introduce the reader to important psychosomatic treatment methods from
psychotherapeutic relaxation techniques to talk therapy. To conclude, the
contribution will discuss the criteria for outpatient as well as inpatient
treatment and look at the advantages of psychosomatically oriented therapy, both
for the patient and for the doctor.
PMID- 22073070
TI - Respiratory pathophysiology: sleep-related breathing disorders.
AB - A widespread network of respiratory-related neurons within the brainstem controls
the regular respiratory cycle, which is dependent upon unspecific and specific
drives like hypoxia or hypercapnia. This respiratory network and its respiratory
drives are subjects to typical changes during the transition from wakefulness to
sleep and within the various sleep states, which favor a destabilization of
breathing during sleep. There is also a respiratory-related innervation of the
dilating and stiffening pharyngeal muscles as well as a local reflex control of
the basic tone of upper airway muscles, both of which are influenced by the
different states of wakefulness and sleep. These sleep-related changes cause an
increase in upper airway resistance during sleep. In healthy subjects, however,
these features during sleep are almost completely compensated and the gas
exchange is hardly hindered. However, in the case of illness, severe disordered
breathing, disturbed gas exchange and interrupted sleep may occur. The central
hypoventilation syndrome, central apnea-hypopnea syndromes, as well as the
obstructive sleep apnea syndrome belong to these diseases. Because of the intense
research, we have a detailed picture of the pathophysiological mechanisms of the
origin and the maintenance of sleep-related breathing disorders.
PMID- 22073072
TI - The value of oral appliances in the treatment of obstructive sleep apnoea.
AB - Oral appliances have long been used to treat snoring and mild to moderate
obstructive sleep apnoea. This kind of treatment is considered an alternative,
non-invasive treatment option. Mandibular protrusive appliances enlarge and
stabilise the oro- and hypo-pharyngeal airway space by advancing the mandible,
and stretching the attached soft tissue, in particular the tongue, soft palate,
uvula, and the pharyngeal tissues. This article summarises the indications,
contraindications, and possible side-effects of using oral appliances.
Therapeutic efficacy is influenced by multiple parameters that are clinically
difficult to control. One major parameter is the patient's stomatognathic
situation of the patient. Thus oral appliances are restricted to patients whose
dental retention is adequate for permanent treatment and who do not suffer from
temporomandibular joint dysfunction. Regular follow-up sleep studies and dental
evaluations are necessary to ensure adequate permanent treatment.
PMID- 22073071
TI - Current diagnostic trends in sleep disordered breathing.
AB - Over the past two decades, various methods of sophisticated diagnostics of the
upper airway have been tested in patients with sleep disordered breathing (SDB).
In this context, endoscopic techniques and pharyngeal pressure recordings are of
special interest for the otorhinolaryngologist.Whereas the basic
otorhinolaryngological examination is able to detect anatomical pathologies which
need to and can be corrected, the Muller-Manoeuvre seems to help exclude patients
from uvulopalatopharyngoplasty.To a large extent, videoendoscopy during natural
sleep has been replaced by videoendoscopy under sedation. Despite good
methodological preparation and impressive presentability of the obstructions,
there is not sufficent evidence to demonstrate that videoendoscopy under sedation
improves the success rate of surgery in SDB. However, in assessing the impact of
the epiglottis on upper airway obstructions in the individual patient,
videoendoscopy is the only existing option.Multi-channel pressure recordings
permit analysing the entire sleep period and are well tolerated. They can be used
to determine the Apnea-Hypopnea-Index as well as to quantify obstructive events
in the upper and lower pharyngeal segment. On the other hand, obstructions of the
tongue base cannot be distinguished from obstructions related to the epiglottis.
According to the data available so far, the benefit of sophisticated diagnostics
of the upper airway still has to be judged with caution. Therefore, the promising
approaches of both videoendoscopy under sedation and multi-channel pressure
recordings deserve further intensive research. According to the personal
estimation of the author, they will nevertheless become valuable tools for
otorhinolaryngologists in the near future, thus complementing the basic ENT
examination and improving the treatment of patients.
PMID- 22073073
TI - A critical evaluation of surgery for sleep disordered breathing.
AB - Surgical strategies for the treatment of sleep disordered breathing, although
widespread and frequently used, continue to be a controversial issue. Colleagues
who primarily focus on conservative treatment options point to the limitations in
the available data and remind us of serious methodological concerns surrounding
the current literature for surgical treatment alternatives. In accordance with
the principles of evidence based medicine the best available evidence should be
used to assess the efficacy of surgical treatment. While placebo-controlled
trials are feasible only in selected cases, recent examples of controlled
clinical trials demonstrate that well designed prospective studies are indeed
possible in the field of surgical treatment. Aim of the presented review is to
critically evaluate current knowledge and evidence in this field and to give
examples for how to overcome methodological issues in the future. Furthermore it
will be demonstrated that even the "gold standard" of conservative treatment
still leaves numerous questions open in regard to primary acceptance, long term
compliance and surveillance. The assessment of treatment strategies is still
based primarily on the effects seen in respiratory surrogate parameters under
laboratory conditions. In the future, a broad spectrum of subjective and long
term objective parameters such as cardiovascular mortality needs to be kept in
mind when critically evaluating beneficial effects of any kind of treatment for
sleep disordered breathing. The presented review intends to initiate an open and
critical discussion on the available treatment options.
PMID- 22073074
TI - Perioperative risks and their management in patients with sleep-related breathing
disorders.
AB - Patients with sleep apnea syndromes pose several challenges to the anaesthetist.
These are resulting from comorbidity, but mainly from special requirements for
pre-, intra- and postoperative management.Frequently, the diagnosis is not known
or not diagnosed adequately, making careful preoperative evaluation of the
patient by the anaesthetist imperative to identify patients at risk. Besides
cardiovascular complications, problems with airway maintenance must be expected,
calling for adequate planning. Several general considerations for
anaesthesiologic management should be taken into account. The use of short acting
pharmacologic agents is recommended to reduce the risk of postoperative episodes
of hypoxia following general anaesthesia.Close cooperation of anaesthesia and the
surgical specialties involved is the basis for safe management of patients with
sleep related syndromes.
PMID- 22073076
TI - Central sleep related breathing disorders - diagnostic and therapeutic features.
AB - THREE CLASSES OF CENTRAL SRBD ARE DISTINGUISHED: 1. Central sleep apnea (CSA), 2.
Cheyne-Stokes Respiration as a subgroup of CSA and 3. central hypoventilation
syndromes. Reduced or completely absent central respiratory drive without upper
airway obstruction is the common feature of central SRBD. Hypoventilation
syndromes most often occur secondary in patients with neuromuscular, pulmonary or
sceletal diseases or in patients with massive obesity. In patients with
hypoventilation during sleep nocturnal and exertional dyspnea and headaches are
frequently reported symptoms. Excessive daytime sleepiness is the key symptom in
patients with central sleep apnea syndrome. Cheyne-Stokes Respiration is frequent
in heart failure patients but in many cases does not cause symptoms specific for
the breathing disorder. If there are symptoms or if ambulatory recording of
breathing during sleep suggests a sleep related breathing disorder,
polysomnography is then performed to definitively rule out or confirm the
diagnosis and to initiate treatment, if needed. The indication for treatment in
asymptomatic patients with central sleep apnea and Cheyne-Stokes Respiration may
be difficult, as there are very little data concerning the long-term benefit in
these patients. Symptomatic patients and those with severe central sleep apnea
should be treated. Oxygen and CPAP may be effective in 20-30% of patients each.
If these treatment options are ineffective, non-invasive pressure support
ventilaiton can be used. In patients suffering from hypoventilation syndromes the
treatment of choice is non-invasive pressure support ventilaiton combined with
supplemental oxygen, if required.
PMID- 22073075
TI - Selective indication for positive airway pressure (PAP) in sleep-related
breathing disorders with obstruction.
AB - Positive airway pressure (PAP) is the therapy of choice for most sleep-related
breathing disorders (SRBD). A variety of PAP devices using positive airway
pressure (CPAP, BiPAP, APAP, ASV) must be carefully considered before
application. This overview aims to provide criteria for choosing the optimal PAP
device according to severity and type of sleep-related breathing disorder. In
addition, the range of therapeutic applications, constraints and side effects as
well as alternative methods to PAP will be discussed. This review is based on an
analysis of current literature and clinical experience. The data is presented
from an ENT-sleep-laboratory perspective and is designed to help the ENT
practitioner initiate treatment and provide support. Different titration methods,
current devices and possible applications will be described. In addition to
constant pressure devices (CPAP), most commonly used for symptomatic obstructive
sleep apnoea (OSA) without complicating conditions, BiPAP models will be
introduced. These allow two different positive pressure settings and are thus
especially suitable for patients with cardiopulmonary diseases or patients with
pressure intolerance, increasing compliance in this subgroup considerably.
Compliance can also be increased in patients during first night of therapy,
patients with highly variable pressure demands or position-dependent OSA, by
using self-regulating Auto-adjust PAP devices (Automatic positive airway
pressure, APAP). Patients with Cheyne-Stokes breathing, a subtype of central
sleep apnoea, benefit from adaptive servo-ventilation (ASV), which analyzes
breathing patterns continually and adjusts the actual ventilation pressure
accordingly. This not only reduces daytime sleepiness, but can also influence
heart disease positively. Therapy with positive airway pressure is very effective
in eliminating obstruction-related sleep diseases and symptoms. However, because
therapy is generally applied for life, the optimal PAP device must be carefully
selected, taking into account side effects that influence compliance.
PMID- 22073078
TI - Reconstruction of the auricle.
AB - Reconstructive and aesthetic surgery of the auricle is one of the most
challenging and diverse tasks in plastic head and neck surgery. Injuries, defects
and malformations require multiple different techniques, some of which are
standardized, other situations require huge experience and artistic creativity.
It is a specialty that will never become monotone.
PMID- 22073077
TI - Reconstruction of middle ear malformations.
AB - Malformations of the middle ear are classified as minor and major malformations.
Minor malformations appear with regular external auditory canal, tympanic
membrane and aerated middle ear space. The conducting hearing loss is due to
fixation or interruption of the ossicular chain. The treatment is surgical,
following the rules of ossiculoplasty and stapes surgery. In major malformations
(congenital aural atresia) there is no external auditory canal and a deformed or
missing pinna. The mastoid and the middle ear space may be underdevelopped, the
ossicular chain is dysplastic. Surgical therapy is possible in patients with good
aeration of the temporal bone, existing windows, a near normal positioned facial
nerve and a mobile ossicular chain. Plastic and reconstructive surgery of the
pinna should proceed the reconstruction of the external auditory canal and middle
ear. In cases of good prognosis unilateral aural atresia can be approached
already in childhood. In patients with high risk of surgical failure, bone
anchored hearing aids are the treatment of choice. Recent reports of implantable
hearing devices may be discussed as an alternative treatment for selected
patients.
PMID- 22073079
TI - Complications of auricular correction.
AB - The risk of complications of auricular correction is underestimated. There is
around a 5% risk of early complications (haematoma, infection, fistulae caused by
stitches and granulomae, allergic reactions, pressure ulcers, feelings of pain
and asymmetry in side comparison) and a 20% risk of late complications
(recurrences, telehone ear, excessive edge formation, auricle fitting too
closely, narrowing of the auditory canal, keloids and complete collapse of the
ear). Deformities are evaluated less critically by patients than by the surgeons,
providing they do not concern how the ear is positioned. The causes of
complications and deformities are, in the vast majority of cases, incorrect
diagnosis and wrong choice of operating procedure. The choice of operating
procedure must be adapted to suit the individual ear morphology. Bandaging
technique and inspections and, if necessary, early revision are of great
importance for the occurence and progress of early complications, in addition to
operation techniques. In cases of late complications such as keloids and auricles
that are too closely fitting, unfixed full-thickness skin flaps have proved to be
the most successful. Large deformities can often only be corrected to a limited
degree of satisfaction.
PMID- 22073080
TI - Otoplasty - techniques, characteristics and risks.
AB - The protruding ear as a minor ear abnormality is found in approx. 5% of the
German population and may give rise to serious emotional problems in children and
also in adults. In general, the procedure used for the surgical correction of
protruding ears (otoplasty) is a combination of incision, scoring and suture
techniques. The choice of the surgical procedure is based on the severity of the
ear abnormality and the individual characteristics of the auricular cartilage. In
children up to the age of ten years, a soft, elastic or easily pliable auricular
cartilage is often still present. In this situation, gentle suture techniques,
such as a suturing technique described by Mustarde, are frequently enough to
achieve a cosmetically good and lasting result. In adults, the auricular
cartilage has already become stiff. Therefore, a combination of incision, scoring
and suture techniques is usually required. Apart from reducing the
cephaloauricular angle to 15-20 degrees , emphasis on the antihelical fold and a
smooth rim of the helix without interruption of the contour are desirable
outcomes of this operation. Occasionally, surgical fixation (lobulopexy) may be
required to treat protruding lobules or, in rare cases, an additional conchal
reduction may become necessary in cases of conchal hyperplasia. Since
postoperative complications can often result in severe auricular deformities, as
a matter of principle, each ear should be analysed individually regarding its
problem areas, and the surgical approach that causes the least injury to the
cartilage should be used.
PMID- 22073081
TI - Classification and diagnosis of ear malformations.
AB - In the ENT region 50% of the malformations affect the ear. Malformations of the
outer and middle ear are predominantly unilateral (ca. 70-90%) and mostly involve
the right ear. Inner ear malformations can be unilateral or bilateral. The
incidence of ear malformations is approximately 1 in 3800 newborns. Ear
malformations may be genetic (associated with syndromes or not, with family
history, spontaneous mutations) or acquired in nature. Malformations can affect
the outer ear (pinna and external auditory canal, EAC), middle ear and inner ear,
not infrequently in combination. Formal classification is advisable in order to
be able to predict the prognosis and compare treatment schedules. Various
classifications have been proposed: pinna and EAC malformations according to
Weerda [1], middle ear malformations according to Kosling [2], and inner ear
malformations according to Jackler [3], [4], to Marangos [5] and to Sennaroglu
[6]. Additionally, we describe Altmann's classification of atresia auris
congenita [7] and the Siegert-Mayer-Weerda score [8] for EAC and middle ear
malformations, systems of great practicability that are in widespread clinical
use. The diagnostic steps include clinical examination, audiological testing,
genetic analysis and, especially, CT and MRI. These imaging methods are most
usefully employed in combination. Precise description of the malformations by
means of CT and MRI is indispensable for the planning and successful outcome of
operative ear reconstruction and rehabilitation procedures, including cochlear
implantation.
PMID- 22073082
TI - Implants for reconstructive surgery of the nose and ears.
AB - Implants shorten reconstruction, reduce trauma for the patients, are, in
principle, of unlimited availability and can be given definable qualities that
outnumber those of biological transplants. Lots of sometimes exotic materials
have already been suggested for facial surgery and most of them have turned out
to be unsuitable in the short or long term, because they did not satisfactorily
fulfil the requirements of a "perfect implant". However, transplants obviously
cannot be regarded as ideal either because they often involve the necessity of a
second intervention for removal, they are only available to a limited extent and
some are at risk of postoperative deflection, shrinkage and absorption. This
article is concerned with current knowledge about implant materials for
rhinoplasty and ear reconstruction. Autogenous transplants will also be briefly
discussed. The repetition of known facts should be largely avoided. In relation
to this reference will be made to earlier papers [1].
PMID- 22073083
TI - Disorders of the nasal valve area.
AB - The nasal valve area is not a singular structure, but a complex three-dimensional
construct consisting of several morphological structures. From the physiologic
point of view, it is the place of maximum nasal flow resistance ("flow limiting
segment"). Therefore, according to Poiseuille's law, even minor constrictions of
this area result in a clinically relevant impairment of nasal breathing for the
patient. This narrow passage, also called "ostium internum nasi", is formed by
the mobile lateral nasal wall, the anterior septum with the swell body, the head
of the inferior turbinate and the osseous piriform aperture. Within the framework
of aetiology, static and dynamic disorders of the nasal valve area have to be
distinguished since they result in different therapeutic measures. In the context
of diagnosis, the exploration of the case history for assessing the patient's
extent of suffering and the clinical examination are very important. In addition
to the presentation of the basics of disorders of the nasal valves, this paper
focuses on the treatment of dynamic disorders that mainly constitute the more
important therapeutic issue. In this context, we distinguish between
stabilisation techniques through grafts or implants and stabilising suture
techniques. Following a thorough analysis, the correction of static nasal valve
disorders requires various plastic-reconstructive measures using transposition
grafting and skin or composite grafts.
PMID- 22073084
TI - Risks and complications in rhinoplasty.
AB - Rhinoplasty is regarded to be associated with many risks as the expectations of
patient and physician are not always corresponding. Besides of postoperative
deformities many other risks and complications have to be considered. Reduction
rhinoplasty e.g. can cause breathing disturbances which are reported in 70% of
all revision-rhinoplasty-patients. One has to be aware however that scars and
loss of mucosal-sensation can also give the feeling of a "blocked nose". The main
risks of autogenous transplants are dislocation and resorption, while alloplasts
can cause infection and extrusion. In this respect silicone implants can have a
complication rate between 5-20%. Less complications are reported with other
materials like Gore-Tex. Complications of skin and soft tissues can be atrophy,
fibrosis, numbness, cysts originating from displaced mucosa or subcutaneous
granulomas caused by ointment material. Postoperative swelling depends mainly on
the osteotomy technique. Percutaneous osteotomies cause less trauma, but may
result in visible scars. Infections are rare but sometimes life-threatening
(toxic-shock-syndrome). The risk is higher, when sinus surgery and rhinoplasty
are combined. Osteotomies can also cause injuries of the orbital region. Necrosis
of eye-lids by infections and blindness by central artery occlusion are known.
There are reports on various other risks like rhinoliquorrhea, brain damage,
fistulas between sinus-cavernosus and carotid artery, aneurysms and thrombosis of
the cavernous sinus. Discoloration of incisors are possible by damage of vessels
and nerves. Rhinoplasty can also become a court-case in dissatisfied patients, a
situation that may be called a "typical complication of rhinoplasty". It can be
avoided by proper patient selection and consideration of psychological
disturbances. Postoperative deformities are considered as main risks of
rhinoplasty, causing revision surgery in 5% to 15% of the cases. The analysis of
postoperative deformities allowes the identification of specific risks. The most
frequent postoperative deformity is the "pollybeak" when a deep naso-frontal
angle, cartilaginous hump and reduced tip projection are present preoperatively.
The pollybeak is the indication in about 50% of all revision rhinoplasties. Other
frequent postoperative deformities are a pendant and wide nasal tip, retractions
of the columella base or irregularities of the nasal dorsum. These deformities
are very often combined and caused by a loss of septal support. This is why the
stability of the caudal septum in septorhinoplasty is the key for a predictable
result. Maintaining the position of the tip and the columella is one of the main
issues to avoid typical postoperative deformities. The risks for rhinoplasty
complications can be reduced with increasing experience. A prerequisite is
continuing education and an earnest distinction between complication and mistake.
PMID- 22073087
TI - An update on functional and aesthetic surgery of the nose and ear.
PMID- 22073086
TI - Surgery of the nasal septum and turbinates.
AB - The following article presents nasal septum and turbinate surgery. First an
overview with special consideration of the anatomical and physiological
background is given followed by indications for surgical procedures. Key steps of
the gold standard procedure first described by Cottle and common variations are
presented. Furthermore, some techniques dealing with special problems of the
septumplasty are discussed followed by an overview on complications and long term
results. However, it should be mentioned that studies on surgical procedures of
the nasal septum are still not sufficient as higher evidence levels are very
rare. Within a separated chapter techniques for closure of septum perforations
are presented and indications particularly in the background of the standard
procedure of bridge flaps forwarded by Schultz-Coulon are discussed. The second
part focusses on turbinate surgery. Accordingly, anatomical and physiological
basics are presented followed by indications for surgical procedures and the
surgical steps of different procedures as well as postsurgical treatment and long
term results.
PMID- 22073085
TI - Rhinoplasty - indications and techniques.
AB - Rhinoplasty is considered to be one of the most challenging procedures in
otolaryngology head and neck surgery. Meticulous planning and excellent surgical
skills are pre-requisites for reproducible good outcomes. More than 100 years
after the first rhinoplasty, many controversies regarding indication and
techniques remain unresolved. The aim of this article is to review current
concepts and arguments.
PMID- 22073088
TI - Health economic analysis of screening.
AB - In this article health economic implications of screening are analysed. First,
requirements screening programmes should fulfil are derived, and methodical
standards of health economic evaluation are outlined.Using the example of newborn
hearing screening, it is then examined if empirical studies meet the methodical
requirements of health economic evaluation. Some deficits are realised: Health
economic studies of newborn hearing screening are not randomised, most studies
are even not controlled. Therefore, most studies do not present incremental, but
only average cost-effectiveness ratios (i.e. cost per case identified).
Furthermore, evidence on long-term outcomes of screening and early interventions
is insufficient. In conclusion, there is a need for controlled trials to examine
differences in identified cases, but particularly to examine long-term effects.
PMID- 22073089
TI - Genetic analysis for early diagnosis of otorhinolaryngeal diseases.
AB - Familiarity with the concepts and methods of human genetics is important in order
to be able to perform genetic analysis. The grade of predictability of a genetic
disease is partly given by formal genetics but also depends on the importance of
the mutated gene for the phenotype.Possibilities for genetic analysis range from
differential diagnosis to predictive diagnosis to prenatal diagnosis. After
initial consultation in which the physician fully explains the procedure to the
patient, it is mandatory that the patient give his full consent.This article
summarises and evaluates current knowledge about genetic analysis of important
otorhinolaryngeal diseases, including hereditary hearing disabilities, olfactory
malfunction, hereditary tumorous diseases, hereditary syndromes and dysplasias.
In addition, this article discusses genetic diseases that affect voice and
speech, highlights the relevance of human genetic consultation and discusses the
importance of embedding genetic analysis in medicine in general.
PMID- 22073090
TI - Early diagnosis of sleep related breathing disorders.
AB - Obstructive sleep apnea (OSA) being the most frequent sleep related breathing
disorder results in non-restorative sleep, an increased cardiovascular morbidity
and mortality as well as an elevated number of accidents. In Germany at least two
million people have to be expected. If obstructive sleep apnea is diagnosed early
enough then sleep may regain its restorative function, daytime performance may be
improved and accident risk as well as cardiovascular risk may be normalised. This
review critically evaluates anamnestic parameters, questionnaires, clinical
findings and unattended recordings during sleep regarding their diagnostic
accurracy in recognising OSA. There are numerous tools with insufficient results
or too few data disqualifying them for screening for OSA. Promising preliminary
results are published concerning neural network analysis of a high number of
clinical parameters and non-linear analysis of oximetry itself or in combination
with heart rate. Nasal pressure recordings can be used for risk estimation even
without expertise in sleep medicine. More data is needed. Unattended portable
monitoring used by qualified physicians is the gold standard procedure when
screening methods for OSA are compared. It has a very high sensitivity and
specificity well documented by several meta-analyses.
PMID- 22073091
TI - Early detection of allergic diseases in otorhinolaryngology.
AB - Asthmatic diseases have been reported since the ancient world. Hay fever for
instance, was described for the first time in the late 18(th) century, and the
term "allergy" was introduced about 100 years ago. Today the incidence of
allergies is rising; almost one third of the Western population suffers from its
side effects. Allergies are some of the most chronic medical complaints, which
results in high health expenditures. Therefore, they have a large health and
political relevance.Caused by genetic and environmental factors, the group of IgE
mediated allergies is large. It consists of e.g. atopic dermatitis, allergic
asthma or allergic rhinitis. This paper aims to emphasize the ways of early
diagnosis of allergic rhinitis (AR) as AR represents the most important
representative of allergic diseases in ENT.
PMID- 22073092
TI - Early detection of hearing loss.
AB - The universal newborn hearing screening (UNHS) is currently spreading in Germany,
as well, even though there can be no talk of a comprehensive establishment. The
introduction of UNHS in several federal states such as Hamburg, Hessen, and
Schleswig-Holstein can be ascribed to the personal commitment of individual
pediatric audiologists. Apart from the procurement of the screening equipment and
the training of the staff responsible for the examination of the newborns, the
tracking, i.e. the follow-up on children with conspicuous test results, is of
utmost importance. This involves significant administration effort and work and
is subject to data protection laws that can differ substantially between the
various federal states. Among audiologists, there is consensus that within the
first three months of a child's life, a hearing loss must be diagnosed and that
between the age of 3 and 6 months, the supply of a hearing aid must have been
initiated. For this purpose, screening steps 1 (usually a TEOAE measurement) and
2 (AABR testing) need to be conducted in the maternity hospital. The follow-up of
step 1 then comprises the repetition of the TEOAE- and AABR measurement for
conspicuous children by a specialized physician. The follow-up of step 2
comprises the confirmatory diagnostics in a pediatric audiological center. This
always implies BERA diagnostics during spontaneous sleep or under sedation. The
subsequent early supply of a hearing aid should generally be conducted by a
(pediatric) acoustician specialized on children.
PMID- 22073094
TI - Tissue adhesives in otorhinolaryngology.
AB - The development of medical tissue adhesives has a long history without finding an
all-purpose tissue adhesive for clinical daily routine. This is caused by the
specific demands which are made on a tissue adhesive, and the different areas of
application. In otorhinolaryngology, on the one hand, this is the mucosal
environment as well as the application on bones, cartilage and periphery nerves.
On the other hand, there are stressed regions (skin, oral cavity, pharynx,
oesophagus, trachea) and unstressed regions (middle ear, nose and paranasal
sinuses, cranial bones). But due to the facts that adhesives can have
considerable advantages in assuring surgery results, prevention of complications
and so reduction of medical costs/treatment expenses, the search for new
adhesives for use in otorhinolaryngology will be continued intensively. In
parallel, appropriate application systems have to be developed for microscopic
and endoscopic use.
PMID- 22073093
TI - Early detection in head and neck cancer - current state and future perspectives.
AB - Survival and quality of life in head and neck cancer are directly linked to the
size of the primary tumor at first detection. In order to achieve substantial
gain at these issues, both, primary prevention and secondary prevention, which is
early detection of malignant lesions at a small size, have to be improved. So
far, there is not only a lack in the necessary infrastructure not only in
Germany, but rather worldwide, but additionally the techniques developed so far
for early detection have a significance and specificity too low as to warrant
safe implementation for screening programs. However, the advancements recently
achieved in endoscopy and in quantitative analysis of hypocellular specimens open
new perspectives for secondary prevention. Chromoendoscopy and narrow band
imaging (NBI) pinpoint suspicious lesions more easily, confocal endomicroscopy
and optical coherence tomography obtain optical sections through those lesions,
and hyperspectral imaging classifies lesions according to characteristic spectral
signatures. These techniques therefore obtain optical biopsies. Once a "bloody"
biopsy has been taken, the plethora of parameters that can be quantified
objectively has been increased and could be the basis for an objective and
quantitative classification of epithelial lesions (multiparametric cytometry,
quantitative histology). Finally, cytomics and proteomics approaches, and lab-on
the-chip technology might help to identify patients at high-risk. Sensitivity and
specificity of these approaches have to be validated, yet, and some techniques
have to be adapted for the specific conditions for early detection of head and
neck cancer. On this background it has to be stated that it is still a long way
to go until a population based screening for head and neck cancer is available.
The recent results of screening for cancer of the prostate and breast highlight
the difficulties implemented in such a task.
PMID- 22073095
TI - Nasal packing and stenting.
AB - Nasal packs are indispensable in ENT practice. This study reviews current
indications, effectiveness and risks of nasal packs and stents. In endoscopic
surgery, nasal packs should always have smooth surfaces to minimize mucosal
damage, improve wound healing and increase patient comfort. Functional endoscopic
endonasal sinus surgery allows the use of modern nasal packs, since pressure is
no longer required. So called hemostatic/resorbable materials are a first step in
this direction. However, they may lead to adhesions and foreign body reactions in
mucosal membranes. Simple occlusion is an effective method for creating a moist
milieu for improved wound healing and avoiding dryness. Stenting of the frontal
sinus is recommended if surgery fails to produce a wide, physiologically shaped
drainage path that is sufficiently covered by intact tissue.
PMID- 22073097
TI - Larynx: implants and stents.
AB - In the human larynx, implants a primarily used for the correction of glottis
insufficiency. In a broader sense laryngeal stents may be considered as implants
as well. Laryngeal implants can be differentiated into injectable and solid. The
most important representatives of both groups are discussed in detail along with
the respective technique of application. Laryngeal stents are primarily used
perioperatively. Different types and their use are presented.
PMID- 22073096
TI - Implant-retained craniofacial prostheses for facial defects.
AB - Craniofacial prostheses, also known as epistheses, are artificial substitutes for
facial defects. The breakthrough for rehabilitation of facial defects with
implant-retained prostheses came with the development of the modern silicones and
bone anchorage. Following the discovery of the osseointegration of titanium in
the 1950s, dental implants have been made of titanium in the 1960s. In 1977, the
first extraoral titanium implant was inserted in a patient. Later, various
solitary extraoral implant systems were developed. Grouped implant systems have
also been developed which may be placed more reliably in areas with low bone
presentation, as in the nasal and orbital region, or the ideally pneumatised
mastoid process. Today, even large facial prostheses may be securely retained.
The classical atraumatic surgical technique has remained an unchanged
prerequisite for successful implantation of any system. This review outlines the
basic principles of osseointegration as well as the main features of extraoral
implantology.
PMID- 22073098
TI - Tracheostomy cannulas and voice prosthesis.
AB - Cannulas and voice prostheses are mechanical aids for patients who had to undergo
tracheotomy or laryngectomy for different reasons. For better understanding of
the function of those artificial devices, first the indications and
particularities of the previous surgical intervention are described in the
context of this review. Despite the established procedure of percutaneous
dilatation tracheotomy e.g. in intensive care units, the application of
epithelised tracheostomas has its own position, especially when airway
obstruction is persistent (e.g. caused by traumata, inflammations, or tumors) and
a longer artificial ventilation or special care of the patient are required. In
order to keep the airways open after tracheotomy, tracheostomy cannulas of
different materials with different functions are available. For each patient the
most appropriate type of cannula must be found. Voice prostheses are meanwhile
the device of choice for rapid and efficient voice rehabilitation after
laryngectomy. Individual sizes and materials allow adaptation of the voice
prostheses to the individual anatomical situation of the patients. The combined
application of voice prostheses with HME (Head and Moisture Exchanger) allows a
good vocal as well as pulmonary rehabilitation. Precondition for efficient voice
prosthesis is the observation of certain surgical principles during laryngectomy.
The duration of the prosthesis mainly depends on material properties and
biofilms, mostly consisting of funguses and bacteries. The quality of voice with
valve prosthesis is clearly superior to esophagus prosthesis or electro-laryngeal
voice. Whenever possible, tracheostoma valves for free-hand speech should be
applied. Physicians taking care of patients with speech prostheses after
laryngectomy should know exactly what to do in case the device fails or gets
lost.
PMID- 22073099
TI - Polymeric implant materials for the reconstruction of tracheal and pharyngeal
mucosal defects in head and neck surgery.
AB - The existing therapeutical options for the tracheal and pharyngeal reconstruction
by use of implant materials are described. Inspite of a multitude of options and
the availability of very different materials none of these methods applied for
tracheal reconstruction were successfully introduced into the clinical routine.
Essential problems are insufficiencies of anastomoses, stenoses, lack of
mucociliary clearance and vascularisation. The advances in Tissue Engineering
(TE) offer new therapeutical options also in the field of the reconstructive
surgery of the trachea. In pharyngeal reconstruction far reaching developments
cannot be recognized at the moment which would allow to give a prognosis of their
success in clinical application. A new polymeric implant material consisting of
multiblock copolymers was applied in our own work which was regarded as a
promising material for the reconstruction of the upper aerodigestive tract (ADT)
due to its physicochemical characteristics. In order to test this material for
applications in the ADT under extreme chemical, enzymatical, bacterial and
mechanical conditions we applied it for the reconstruction of a complete defect
of the gastric wall in an animal model. In none of the animals tested either
gastrointestinal complications or negative systemic events occurred, however,
there was a multilayered regeneration of the gastric wall implying a regular
structured mucosa.In future the advanced stem cell technology will allow further
progress in the reconstruction of different kind of tissues also in the field of
head and neck surgery following the principles of Tissue Engineering.
PMID- 22073100
TI - Biomaterials in skull base surgery.
AB - Reconstruction materials and techniques for the base of the skull have undergone
rapid developments and differentiation in recent years. While mostly
autotransplants, collagens or resorbable alloplastic materials are preferred for
duraplasties, pronounced organ-specific differences can be observed in the
reconstruction of hard tissues. The use of polymethylmethacryl bone cement, once
wide-spread, has decreased greatly due to the release of toxic monomers. Bony
autotransplants are still used primarily for smaller skull-base defects,
intraoperatively formable titanium nets may be also used for larger fronto- or
laterobasal reconstructions of bony defects. Defects in visible areas are
increasingly closed with preformed titanium or ceramic implants, which are
planned and fitted to the individual patient using preoperative CT imaging. At
the skull base, this applies especially to reconstructions of the frontal sinus.
For extensive reconstructions of the orbita, titanium nets and non-resorbable
plastics have proven valuable; in closing smaller defects especially of the
orbital floor, resorbable implants based on Polyglactin 901 are also used.
PMID- 22073101
TI - Biomaterials for craniofacial reconstruction.
AB - Biomaterials for reconstruction of bony defects of the skull comprise of
osteosynthetic materials applied after osteotomies or traumatic fractures and
materials to fill bony defects which result from malformation, trauma or tumor
resections. Other applications concern functional augmentations for dental
implants or aesthetic augmentations in the facial region. For ostheosynthesis,
mini- and microplates made from titanium alloys provide major advantages
concerning biocompatibility, stability and individual fitting to the implant bed.
The necessity of removing asymptomatic plates and screws after fracture healing
is still a controversial issue. Risks and costs of secondary surgery for removal
face a low rate of complications (due to corrosion products) when the material
remains in situ. Resorbable osteosynthesis systems have similar mechanical
stability and are especially useful in the growing skull. The huge variety of
biomaterials for the reconstruction of bony defects makes it difficult to decide
which material is adequate for which indication and for which site. The optimal
biomaterial that meets every requirement (e.g. biocompatibility, stability,
intraoperative fitting, product safety, low costs etc.) does not exist. The
different material types are (autogenic) bone and many alloplastics such as
metals (mainly titanium), ceramics, plastics and composites. Future developments
aim to improve physical and biological properties, especially regarding surface
interactions. To date, tissue engineered bone is far from routine clinical
application.
PMID- 22073102
TI - Passive and active middle ear implants.
AB - Besides eradication of chronic middle ear disease, the reconstruction of the
sound conduction apparatus is a major goal of modern ear microsurgery. The
material of choice in cases of partial ossicular replacement prosthesis is the
autogenous ossicle. In the event of more extensive destruction of the ossicular
chain diverse alloplastic materials, e.g. metals, ceramics, plastics or composits
are used for total reconstruction. Their specialised role in conducting sound
energy within a half-open implant bed sets high demands on the biocompatibility
as well as the acoustic-mechanic properties of the prosthesis. Recently,
sophisticated titanium middle ear implants allowing individual adaptation to
anatomical variations are widely used for this procedure. However, despite modern
developments, hearing restoration with passive implants often faces its
limitations due to tubal-middle-ear dysfunction. Here, implantable hearing aids,
successfully used in cases of sensorineural hearing loss, offer a promising
alternative. This article reviews the actual state of affairs of passive and
active middle ear implants.
PMID- 22073103
TI - Biomaterials in cochlear implants.
AB - The cochlear implant (CI) represents, for almost 25 years now, the gold standard
in the treatment of children born deaf and for postlingually deafened adults.
These devices thus constitute the greatest success story in the field of
'neurobionic' prostheses. Their (now routine) fitting in adults, and especially
in young children and even babies, places exacting demands on these implants,
particularly with regard to the biocompatibility of a CI's surface components.
Furthermore, certain parts of the implant face considerable mechanical
challenges, such as the need for the electrode array to be flexible and resistant
to breakage, and for the implant casing to be able to withstand external
forces.As these implants are in the immediate vicinity of the middle-ear mucosa
and of the junction to the perilymph of the cochlea, the risk exists - at least
in principle - that bacteria may spread along the electrode array into the
cochlea. The wide-ranging requirements made of the CI in terms of
biocompatibility and the electrode mechanism mean that there is still further
scope - despite the fact that CIs are already technically highly sophisticated -
for ongoing improvements to the properties of these implants and their
constituent materials, thus enhancing the effectiveness of these devices.This
paper will therefore discuss fundamental material aspects of CIs as well as the
potential for their future development.
PMID- 22073104
TI - Current requirements for polymeric biomaterials in otolaryngology.
AB - In recent years otolaryngology was strongly influenced by newly developed
implants which are based on both, innovative biomaterials and novel implant
technologies. Since the biomaterials are integrated into biological systems they
have to fulfill all technical requirements and accommodate biological
interactions. Technical functionality relating to implant specific mechanical
properties, a sufficiently high stability in terms of physiological conditions,
and good biocompatibility are the demands with regard to suitability of
biomaterials. The goal in applying biomaterials for implants is to maintain
biofunctionality over extended periods of time. These general demands to
biomaterials are equally valid for use in otolaryngology. Different classes of
materials can be utilized as biomaterials. Metals belong to the oldest
biomaterials. In addition, alloys, ceramics, inorganic glasses and composites
have been tested successfully. Furthermore, natural and synthetic polymers are
widely used materials, which will be in the focus of the current article with
regard to their properties and usage as cochlear implants, osteosynthesis
implants, stents, and matrices for tissue engineering. Due to their application
as permanent or temporary implants materials are differentiated into biostable
and biodegradable polymers. The here identified general and up to date
requirements for biomaterials and the illustrated applications in otolaryngology
emphasize ongoing research efforts in this area and at the same time demonstrate
the high significance of interdisciplinary cooperation between natural sciences,
engineering, and medical sciences.
PMID- 22073105
TI - Structural grafts and suture techniques in functional and aesthetic rhinoplasty.
AB - Rhinoplasty has undergone important changes. With the advent of the open
structure approach, requirements for structural grafting and direct manipulation
of the cartilaginous skeleton through suture techniques have increased
substantially. The present review analyzes the current literature on frequently
referenced structural grafts and suture techniques. Individual techniques are
described and their utility is discussed in light of available studies and data.
PMID- 22073106
TI - Nasal surgery in patients with systemic disorders.
AB - Multisystemic disorders represent a heterogenous group of diseases which can
primarily manifest at the nose and paranasal sinuses as limited disease or
secondarily as part of systemic involvement. Rhinologists therefore play an
important role in the diagnostic but also therapeutic process. Although therapy
of multisystemic disorders is primary systemic, additional rhinosurgery may
become necessary. The spectrum of procedures consists of sinus surgery, surgery
of the orbit and lacrimal duct, septorhinoplasty and closure of nasal septal
perforation. Since the prevalence of most systemic diseases is very rare,
recommendations are based on the analysis of single case reports and case series
with a limited number of patients only. Although data is still limited,
experiences published so far have shown that autologous cartilage or bone grafts
can be used in nasal reconstruction of deformities caused by tuberculosis,
leprosy, Wegener's granulomatosis, sarcoidosis and relapsing polychondritis.
Experiences gained from these diseases support the concept that well-established
techniques of septorhinoplasty can be used in systemic diseases as well. However,
a state of remission is an essential condition before considering any
rhinosurgery in these patients. Even under these circumstances revision surgery
has to be expected more frequently compared to the typical collective of patients
undergoing septorhinoplasty. In addition, experiences gained from saddle nose
reconstruction may in part be of value for the treatment of nasal septal
perforations since implantation of cartilage grafts often represents an essential
step in multilayer techniques of closure of nasal septal perforations. Aside from
the treatment of orbital complications sinus surgery has been proven beneficial
in reducing nasal symptoms and increasing quality of life in patients refractory
to systemic treatment.
PMID- 22073107
TI - Surgery of the turbinates and "empty nose" syndrome.
AB - Surgical therapy of the inferior and/or middle turbinate is indicated when
conservative treatment options have failed. The desired goal is a reduction of
the soft tissue volume of the turbinates regarding the individual anatomic
findings, whilst simultaneously conserving as much mucosa as possible. As the
turbinates serve as a functional entity within the nose, they ensure
climatisation, humidification and cleaning of the inhaled air. Thus free nasal
breathing means a decent quality of life, as well.Regarding the multitude of
different surgical techniques, we confirm that no ideal standard technique for
turbinate reduction has been developed so far. Moreover, there is a lack of
prospective and comparable long-term studies, which makes it difficult to
recommend evidence-based surgical techniques. However, the anterior turbinoplasty
seems to fulfil the preconditions of limited tissue reduction and mucosa
preservation, and therefore it is the method of choice today.Radical resection of
the turbinates may lead to severe functional disturbances developing a secondary
atrophic rhinitis. The "empty nose" syndrome is a specific entity within the
secondary atrophic rhinitis where intranasal changes in airflow result in
disturbed climatisation and also interfere with pulmonary function. Results
deriving from an actual in vivo study of climatisation and airflow in "empty
nose" patients are presented.
PMID- 22073108
TI - Interaction between otorhinolaryngology and orthodontics: correlation between the
nasopharyngeal airway and the craniofacial complex.
AB - In terms of pathophysiology, an anatomically narrow airway is a predisposing
factor for obstruction of the upper respiratory tract. The correlation between
the nasopharyngeal airway and the craniofacial structures is discussed in this
context. Thus a mutual interaction between the pharynx and the mandibular
position was demonstrated, whereby the transverse dimension of the nasopharynx
was significantly larger in patients with prognathism than in patients with
retrognathism. The influence of chronic obstruction of the nasal airway on
craniofacial development was also discussed. The form-and-function interaction,
which ought to explain the causal relationship between nasal obstruction and
craniofacial growth, appears to be of a multifactorial rather than a one
dimensional, linear nature. It is not disputed, however, that expanding the
maxilla improves not only nasal volume and nasal flow, but also the subjective
sensation of patients, although it is not possible to make a prognostic statement
about the extent of this improvement because of the differing reactions of
individuals. Orthodontic appliances for advancing the mandible can also be
successfully used in the treatment of mild obstructive sleep apnea syndrome. This
treatment method should be considered particularly for patients who are unwilling
to undergo or cannot tolerate CPAP (continuous positive airway pressure)
treatment.
PMID- 22073109
TI - Rhinosurgery in children: developmental and surgical aspects of the growing nose.
AB - The anatomy of the nasal skeleton in newborns and adults are not alike. The
complete cartilaginous framework of the neonatal nose becomes partly and
gradually ossified during the years of growth and is more vulnerable to trauma in
that period. Injury in early youth may have large consequences for development
and may result in a nasal deformity which will increase during growth and reach
its peak during and after the adolescent growth spurt. To understand more of the
underlying problems of nasal malformations and their surgical treatment
(septorhinoplasty) these items became the focus of multiple animal studies in the
last 40 years. The effects of surgery on the nasal septum varied considerably,
seemingly depending on which experimental animal was used. In review, however,
the very different techniques of the experimental surgery might be even more
influential in this respect. Study of one of the larger series of experiments in
young rabbits comprised skeletal measurements with statistical analysis, and
microscopic observations of the tissues. The behaviour of hyaline cartilage of
the human nose appeared to be comparable to that of other mammals. Cartilage,
although resilient, can be easily fractured whereas its tendency to integrated
healing is very low, even when the perichondrium has been saved. Also surgical
procedures - like in septoplasty - may result in growth disturbances of the nasal
skeleton like recurrent deviations or duplicature. Loss of cartilage, as might
occur after a septum abscess, is never completely restored despite some cartilage
regeneration. In this article experimental studies are reviewed and
compared.Still there remains a lack of consensus in the literature concerning the
developmental effects of rhinosurgry in children.Based on their observations in
animals and a few clinical studies, mostly with small numbers of patients but
with a long follow-up, the authors have compiled a list of guidelines to be
considered before starting to perform surgery on the growing midface in children.
PMID- 22073110
TI - Quality of life before and after septoplasty and rhinoplasty.
AB - Subjective assessment of quality of life (QOL) as an important aspect of outcomes
research has received increasing importance during the past decades. QOL is
measured with standardized questionnaires which had been tested with regard to
reliability, validity, and sensitivity. Surgical procedures of the nasal septum
(septoplasty) and the external nose (rhinoplasty) are frequently performed. Since
many years subjectively assessed results of these operations have been reported
in the literature. However, validated QOL instruments were applied only for one
decade. Beforehand, measurements were performed using retrospective assessment of
satisfaction or visual analogue scales. Prospective application of validated
disease-specific and general measuring instruments has to be demanded for future
studies.Most of the septoplasty patients as well as most of the rhinoplasty
patients evaluate the operation being successful. However, a relevant number of
patients is not satisfied with the result of surgery. In this context, QOL
instruments have the potential to identify further factors influencing the
outcome. Especially in rhinoplasty patients, special attention has to be drawn on
potential psychosocial effects of the operation.
PMID- 22073112
TI - Numerical simulation and nasal air-conditioning.
AB - Heating and humidification of the respiratory air are the main functions of the
nasal airways in addition to cleansing and olfaction. Optimal nasal air
conditioning is mandatory for an ideal pulmonary gas exchange in order to avoid
desiccation and adhesion of the alveolar capillary bed. The complex three
dimensional anatomical structure of the nose makes it impossible to perform
detailed in vivo studies on intranasal heating and humidification within the
entire nasal airways applying various technical set-ups. The main problem of in
vivo temperature and humidity measurements is a poor spatial and time resolution.
Therefore, in vivo measurements are feasible only to a restricted extent, solely
providing single temperature values as the complete nose is not entirely
accessible. Therefore, data on the overall performance of the nose are only based
on one single measurement within each nasal segment. In vivo measurements within
the entire nose are not feasible. These serious technical issues concerning in
vivo measurements led to a large number of numerical simulation projects in the
last few years providing novel information about the complex functions of the
nasal airways. In general, numerical simulations merely calculate predictions in
a computational model, e.g. a realistic nose model, depending on the setting of
the boundary conditions. Therefore, numerical simulations achieve only
approximations of a possible real situation. The aim of this review is the
synopsis of the technical expertise on the field of in vivo nasal air
conditioning, the novel information of numerical simulations and the current
state of knowledge on the influence of nasal and sinus surgery on nasal air
conditioning.
PMID- 22073117
TI - Temporal artery flow response during the last minute of a head up tilt test, in
relation with orthostatic intolerance after a 60 day head-down bedrest.
AB - OBJECTIVE: Check if the Temporal flow response to Tilt could provide early
hemodynamic pattern in the minutes preceding a syncope during the Tilt test
performed after a 60-d head down bedrest (HDBR). METHOD: Twenty-one men divided
into 3 groups [Control (Con), Resistive Vibration (RVE) and Chinese Herb (Herb)]
underwent a 60 day HDBR. Pre and Post HDBR a 20 min Tilt identified Finishers (F)
and Non Finishers (NF). Cerebral (MCA), Temporal (TEMP), Femoral (FEM) flow
velocity, were measured by Doppler during the Tilt. Blood pressure (BP) was
measured by arm cuff and cardiopress. RESULTS AND DISCUSSION: Four of the 21
subjects were NF at the post HDBR Tilt test (Con gr:2, RVE gr: 1, Herb gr: 1). At
1 min and 10 s before end of Tilt in NF gr, FEM flow decreased less and MCA
decreased more at post HDBR Tilt compared to pre (p<0.05), while in the F gr they
changed similarly as pre. In NF gr: TEMP flow decreased more at post HDBR Tilt
compared to pre, but only at 10 s before the end of Tilt (P<0.05). During the
last 10 s a negative TEMP diastolic component appeared which induced a drop in
mean velocity until Tilt arrest. CONCLUSION: The sudden drop in TEMP flow with
onset of a negative diastolic flow preceding the decrease in MCA flow confirm
that the TEMP vascular resistance respond more directly than the cerebral one to
the cardiac output redistribution and that this response occur several seconds
before syncope.
PMID- 22073118
TI - A malaria vaccine based on the polymorphic block 2 region of MSP-1 that elicits a
broad serotype-spanning immune response.
AB - Polymorphic parasite antigens are known targets of protective immunity to
malaria, but this antigenic variation poses challenges to vaccine development. A
synthetic MSP-1 Block 2 construct, based on all polymorphic variants found in
natural Plasmodium falciparum isolates has been designed, combined with the
relatively conserved Block 1 sequence of MSP-1 and expressed in E.coli. The MSP-1
Hybrid antigen has been produced with high yield by fed-batch fermentation and
purified without the aid of affinity tags resulting in a pure and extremely
thermostable antigen preparation. MSP-1 hybrid is immunogenic in experimental
animals using adjuvants suitable for human use, eliciting antibodies against
epitopes from all three Block 2 serotypes. Human serum antibodies from Africans
naturally exposed to malaria reacted to the MSP-1 hybrid as strongly as, or
better than the same serum reactivities to individual MSP-1 Block 2 antigens, and
these antibody responses showed clear associations with reduced incidence of
malaria episodes. The MSP-1 hybrid is designed to induce a protective antibody
response to the highly polymorphic Block 2 region of MSP-1, enhancing the
repertoire of MSP-1 Block 2 antibody responses found among immune and semi-immune
individuals in malaria endemic areas. The target population for such a vaccine is
young children and vulnerable adults, to accelerate the acquisition of a full
range of malaria protective antibodies against this polymorphic parasite antigen.
PMID- 22073111
TI - Physiology and pathophysiology of respiratory mucosa of the nose and the
paranasal sinuses.
AB - In this review, anatomy and physiology of the respiratory mucosa of nose and
paranasal sinuses are summarized under the aspect of its clinical significance.
Basics of endonasal cleaning including mucociliary clearance and nasal reflexes,
as well as defence mechanisms are explained. Physiological wound healing, aspects
of endonasal topical medical therapy and typical diagnostic procedures to
evaluate the respiratory functions are presented. Finally, the pathophysiologies
of different subtypes of non-allergic rhinitis are outlined together with
treatment recommendations.
PMID- 22073119
TI - The practical challenges of evaluating a blanket emergency feeding programme in
northern Kenya.
AB - A blanket supplementary feeding programme for young children was implemented for
four months in five northern districts of Kenya from January 2010 because of
fears of food insecurity exacerbated by drought. An attempt to evaluate the
impact of the food on children's anthropometric status was put in place in three
districts. The main aim of the analysis was to assess the quality of the data on
the cohort of children studied in the evaluation and to propose methods by which
it could be improved to evaluate future blanket feeding programmes. Data on the
name, age, sex, weight and height of a systematic sample of children recruited at
61 food distribution sites were collected at the first, second and third rounds
and again at an extra, fifth food distribution, offered only to the evaluation
subjects. Of the 3,544 children enrolled, 483 (13.63%) did not collect a fifth
ration. Of the 2,640 children who were considered by their name to be the same at
the first and fifth food distribution (13% were different), data on only 902
children (34.17%) were considered acceptable based on their age (an arbitrary +/
3 months different) and their length or height (between >-1 or <=4 cm different)
at the two instances they were seen. Data on nearly two thirds of children were
of questionable quality. The main reasons for the poor quality data were
inconsistencies in estimating age or because caretakers may have brought
different children. Recommendations are made about how to improve data quality
including ensuring that entry to a blanket feeding programme is clearly based on
height, not age, to avoid misreporting age; careful identification of subjects at
all contacts; and using well-trained, specialist evaluation staff.
PMID- 22073120
TI - In vivo systematic analysis of Candida albicans Zn2-Cys6 transcription factors
mutants for mice organ colonization.
AB - The incidence of fungal infections in immuno-compromised patients increased
considerably over the last 30 years. New treatments are therefore needed against
pathogenic fungi. With Candida albicans as a model, study of host-fungal pathogen
interactions might reveal new sources of therapies. Transcription factors (TF)
are of interest since they integrate signals from the host environment and
participate in an adapted microbial response. TFs of the Zn2-Cys6 class are
specific to fungi and are important regulators of fungal metabolism. This work
analyzed the importance of the C. albicans Zn2-Cys6 TF for mice kidney
colonization. For this purpose, 77 Zn2-Cys6 TF mutants were screened in a
systemic mice model of infection by pools of 10 mutants. We developed a simple
barcoding strategy to specifically detect each mutant DNA from mice kidney by
quantitative PCR. Among the 77 TF mutant strains tested, eight showed a decreased
colonization including mutants for orf19.3405, orf19.255, orf19.5133, RGT1, UGA3,
orf19.6182, SEF1 and orf19.2646, and four an increased colonization including
mutants for orf19.4166, ZFU2, orf19.1685 and UPC2 as compared to the isogenic
wild type strain. Our approach was validated by comparable results obtained with
the same animal model using a single mutant and the revertant for an ORF
(orf19.2646) with still unknown functions. In an attempt to identify putative
involvement of such TFs in already known C. albicans virulence mechanisms, we
determined their in vitro susceptibility to pH, heat and oxidative stresses, as
well as ability to produce hyphae and invade agar. A poor correlation was found
between in vitro and in vivo assays, thus suggesting that TFs needed for mice
kidney colonization may involve still unknown mechanisms. This large-scale
analysis of mice organ colonization by C. albicans can now be extended to other
mutant libraries since our in vivo screening strategy can be adapted to any
preexisting mutants.
PMID- 22073121
TI - A multi-sample based method for identifying common CNVs in normal human genomic
structure using high-resolution aCGH data.
AB - BACKGROUND: It is difficult to identify copy number variations (CNV) in normal
human genomic data due to noise and non-linear relationships between different
genomic regions and signal intensity. A high-resolution array comparative genomic
hybridization (aCGH) containing 42 million probes, which is very large compared
to previous arrays, was recently published. Most existing CNV detection
algorithms do not work well because of noise associated with the large amount of
input data and because most of the current methods were not designed to analyze
normal human samples. Normal human genome analysis often requires a joint
approach across multiple samples. However, the majority of existing methods can
only identify CNVs from a single sample. METHODOLOGY AND PRINCIPAL FINDINGS: We
developed a multi-sample-based genomic variations detector (MGVD) that uses
segmentation to identify common breakpoints across multiple samples and a k-means
based clustering strategy. Unlike previous methods, MGVD simultaneously considers
multiple samples with different genomic intensities and identifies CNVs and CNV
zones (CNVZs); CNVZ is a more precise measure of the location of a genomic
variant than the CNV region (CNVR). CONCLUSIONS AND SIGNIFICANCE: We designed a
specialized algorithm to detect common CNVs from extremely high-resolution multi
sample aCGH data. MGVD showed high sensitivity and a low false discovery rate for
a simulated data set, and outperformed most current methods when real, high
resolution HapMap datasets were analyzed. MGVD also had the fastest runtime
compared to the other algorithms evaluated when actual, high-resolution aCGH data
were analyzed. The CNVZs identified by MGVD can be used in association studies
for revealing relationships between phenotypes and genomic aberrations. Our
algorithm was developed with standard C++ and is available in Linux and MS
Windows format in the STL library. It is freely available at:
http://embio.yonsei.ac.kr/~Park/mgvd.php.
PMID- 22073122
TI - A point mutation in translation initiation factor eIF2B leads to function--and
time-specific changes in brain gene expression.
AB - BACKGROUND: Mutations in eukaryotic translation initiation factor 2B (eIF2B)
cause Childhood Ataxia with CNS Hypomyelination (CACH), also known as Vanishing
White Matter disease (VWM), which is associated with a clinical pathology of
brain myelin loss upon physiological stress. eIF2B is the guanine nucleotide
exchange factor (GEF) of eIF2, which delivers the initiator tRNA(Met) to the
ribosome. We recently reported that a R132H mutation in the catalytic subunit of
this GEF, causing a 20% reduction in its activity, leads under normal conditions
to delayed brain development in a mouse model for CACH/VWM. To further explore
the effect of the mutation on global gene expression in the brain, we conducted a
wide-scale transcriptome analysis of the first three critical postnatal weeks.
METHODOLOGY/PRINCIPAL FINDINGS: Genome-wide mRNA expression of wild-type and
mutant mice was profiled at postnatal (P) days 1, 18 and 21 to reflect the early
proliferative stage prior to white matter establishment (P1) and the peak of
oligodendrocye differentiation and myelin synthesis (P18 and P21). At each
developmental stage, between 441 and 818 genes were differentially expressed in
the mutant brain with minimal overlap, generating unique time point-specific gene
expression signatures. CONCLUSIONS: The current study demonstrates that a point
mutation in eIF2B, a key translation initiation factor, has a massive effect on
global gene expression in the brain. The overall changes in expression patterns
reflect multiple layers of indirect effects that accumulate as the brain develops
and matures. The differentially expressed genes seem to reflect delayed waves of
gene expression as well as an adaptation process to cope with hypersensitivity to
cellular stress.
PMID- 22073123
TI - Structural annotation of Mycobacterium tuberculosis proteome.
AB - Of the ~4000 ORFs identified through the genome sequence of Mycobacterium
tuberculosis (TB) H37Rv, experimentally determined structures are available for
312. Since knowledge of protein structures is essential to obtain a high
resolution understanding of the underlying biology, we seek to obtain a
structural annotation for the genome, using computational methods. Structural
models were obtained and validated for ~2877 ORFs, covering ~70% of the genome.
Functional annotation of each protein was based on fold-based functional
assignments and a novel binding site based ligand association. New algorithms for
binding site detection and genome scale binding site comparison at the structural
level, recently reported from the laboratory, were utilized. Besides these, the
annotation covers detection of various sequence and sub-structural motifs and
quaternary structure predictions based on the corresponding templates. The study
provides an opportunity to obtain a global perspective of the fold distribution
in the genome. The annotation indicates that cellular metabolism can be achieved
with only 219 folds. New insights about the folds that predominate in the genome,
as well as the fold-combinations that make up multi-domain proteins are also
obtained. 1728 binding pockets have been associated with ligands through binding
site identification and sub-structure similarity analyses. The resource
(http://proline.physics.iisc.ernet.in/Tbstructuralannotation), being one of the
first to be based on structure-derived functional annotations at a genome scale,
is expected to be useful for better understanding of TB and for application in
drug discovery. The reported annotation pipeline is fairly generic and can be
applied to other genomes as well.
PMID- 22073124
TI - Differential modulation of Beta-adrenergic receptor signaling by trace amine
associated receptor 1 agonists.
AB - Trace amine-associated receptors (TAAR) are rhodopsin-like G-protein-coupled
receptors (GPCR). TAAR are involved in modulation of neuronal, cardiac and
vascular functions and they are potentially linked with neurological disorders
like schizophrenia and Parkinson's disease. Subtype TAAR1, the best characterized
TAAR so far, is promiscuous for a wide set of ligands and is activated by trace
amines tyramine (TYR), phenylethylamine (PEA), octopamine (OA), but also by
thyronamines, dopamine, and psycho-active drugs. Unfortunately, effects of trace
amines on signaling of the two homologous beta-adrenergic receptors 1 (ADRB1) and
2 (ADRB2) have not been clarified yet in detail. We, therefore, tested TAAR1
agonists TYR, PEA and OA regarding their effects on ADRB1/2 signaling by co
stimulation studies. Surprisingly, trace amines TYR and PEA are partial
allosteric antagonists at ADRB1/2, whereas OA is a partial orthosteric ADRB2
antagonist and ADRB1-agonist. To specify molecular reasons for TAAR1 ligand
promiscuity and for observed differences in signaling effects on particular
aminergic receptors we compared TAAR, tyramine (TAR) octopamine (OAR), ADRB1/2
and dopamine receptors at the structural level. We found especially for TAAR1
that the remarkable ligand promiscuity is likely based on high amino acid
similarity in the ligand-binding region compared with further aminergic
receptors. On the other hand few TAAR specific properties in the ligand-binding
site might determine differences in ligand-induced effects compared to ADRB1/2.
Taken together, this study points to molecular details of TAAR1-ligand
promiscuity and identified specific trace amines as allosteric or orthosteric
ligands of particular beta-adrenergic receptor subtypes.
PMID- 22073125
TI - A comprehensive evaluation of colonic mucosal isolates of Sutterella
wadsworthensis from inflammatory bowel disease.
AB - Inflammatory bowel disease (IBD) arises in genetically susceptible individuals as
a result of an unidentified environmental trigger, possibly a hitherto unknown
bacterial pathogen. Twenty-six clinical isolates of Sutterella wadsworthensis
were obtained from 134 adults and 61 pediatric patients undergoing colonoscopy,
of whom 69 and 29 respectively had IBD. S. wadsworthensis was initially more
frequently isolated from IBD subjects, hence this comprehensive study was
undertaken to elucidate its role in IBD. Utilizing these samples, a newly
designed PCR was developed, to study the prevalence of this bacterium in adult
patients with ulcerative colitis (UC). Sutterella wadsworthensis was detected in
83.8% of adult patients with UC as opposed to 86.1% of control subjects (p =
0.64). Selected strains from IBD cases and controls were studied to elicit
morphological, proteomic, genotypic and pathogenic differences. This study
reports Scanning Electron Microscopy (SEM) appearances and characteristic MALDI
TOF MS protein profiles of S. wadsworthensis for the very first time. SEM showed
that the bacterium is pleomorphic, existing in predominantly two morphological
forms, long rods and coccobacilli. No differences were noted in the MALDI-TOF
mass spectrometry proteomic analysis. There was no distinct clustering of strains
identified from cases and controls on sequence analysis. Cytokine response after
monocyte challenge with strains from patients with IBD and controls did not yield
any significant differences. Our studies indicate that S. wadsworthensis is
unlikely to play a role in the pathogenesis of IBD. Strains from cases of IBD
could not be distinguished from those identified from controls.
PMID- 22073126
TI - Disturbances in body ownership in schizophrenia: evidence from the rubber hand
illusion and case study of a spontaneous out-of-body experience.
AB - BACKGROUND: A weakened sense of self may contribute to psychotic experiences.
Body ownership, one component of self-awareness, can be studied with the rubber
hand illusion (RHI). Watching a rubber hand being stroked while one's unseen hand
is stroked synchronously can lead to a sense of ownership over the rubber hand, a
shift in perceived position of the real hand, and a limb-specific drop in
stimulated hand temperature. We aimed to assess the RHI in schizophrenia using
quantifiable measures: proprioceptive drift and stimulation-dependent changes in
hand temperature. METHODS: The RHI was elicited in 24 schizophrenia patients and
21 matched controls by placing their unseen hand adjacent to a visible rubber
hand and brushing real and rubber hands synchronously or asynchronously.
Perceived finger location was measured before and after stimulation. Hand
temperature was taken before and during stimulation. Subjective strength of the
illusion was assessed by a questionnaire. RESULTS: Across groups, the RHI was
stronger during synchronous stimulation, indicated by self-report and
proprioceptive drift. Patients reported a stronger RHI than controls. Self
reported strength of RHI was associated with schizotypy in controls
Proprioceptive drift was larger in patients, but only following synchronous
stimulation. Further, we observed stimulation-dependent changes in skin
temperature. During right hand stimulation, temperature dropped in the stimulated
hand and rose in the unstimulated hand. Interestingly, induction of RHI led to an
out-of-body experience in one patient, linking body disownership and psychotic
experiences. CONCLUSIONS: The RHI is quantitatively and qualitatively stronger in
schizophrenia. These findings suggest that patients have a more flexible body
representation and weakened sense of self, and potentially indicate abnormalities
in temporo-parietal networks implicated in body ownership. Further, results
suggest that these body ownership disturbances might be at the heart of a subset
of the pathognomonic delusions of passivity.
PMID- 22073127
TI - Maternal immunization with pneumococcal surface protein A protects against
pneumococcal infections among derived offspring.
AB - Pathogen-specific antibody plays an important role in protection against
pneumococcal carriage and infections. However, neonates and infants exhibit
impaired innate and adaptive immune responses, which result in their high
susceptibility to pneumococci. To protect neonates and infants against
pneumococcal infection it is important to elicit specific protective immune
responses at very young ages. In this study, we investigated the protective
immunity against pneumococcal carriage, pneumonia, and sepsis induced by maternal
immunization with pneumococcal surface protein A (PspA). Mother mice were
intranasally immunized with recombinant PspA (rPspA) and cholera toxin B subunit
(CTB) prior to being mated. Anti-PspA specific IgG, predominantly IgG1, was
present at a high level in the serum and milk of immunized mothers and in the
sera of their pups. The pneumococcal densities in washed nasal tissues and in
lung homogenate were significantly reduced in pups delivered from and/or breast
fed by PspA-immunized mothers. Survival after fatal systemic infections with
various types of pneumococci was significantly extended in the pups, which had
received anti-PspA antibody via the placenta or through their milk. The current
findings strongly suggest that maternal immunization with PspA is an attractive
strategy against pneumococcal infections during early childhood.
PMID- 22073128
TI - Poly(ADP-ribose) polymerase 1 is indispensable for transforming growth factor
beta Induced Smad3 activation in vascular smooth muscle cell.
AB - BACKGROUND: Transforming growth factor type-beta (TGF-beta)/Smad pathway plays an
essential role in vascular fibrosis. Reactive oxygen species (ROS) generation
also mediates TGF-beta signaling-induced vascular fibrosis, suggesting that some
sort of interaction exists between Smad and redox pathways. However, the
underlying molecular mechanism is largely unknown. This study aims to investigate
the influence of poly(ADP-ribose) polymerase 1 (PARP1), a downstream effector of
ROS, on TGF-beta signaling transduction through Smad3 pathway in rat vascular
smooth muscle cells (VSMCs). METHODS AND RESULTS: TGF-beta1 treatment promoted
PARP1 activation through induction of ROS generation in rat VSMCs. TGF-beta1
induced phosphorylation and nuclear accumulation of Smad3 was prevented by
treatment of cells with PARP inhibitor, 3-aminobenzamide (3AB) or N-(6-oxo-5,6
dihydrophenanthridin-2-yl)-2-(N,N-dimethylamino)acetami (PJ34), or PARP1 siRNA.
TGF-beta1 treatment promoted poly(ADP-ribosy)lation of Smad3 via activation of
PARP1 in the nucleus. Poly(ADP-ribosy)lation enhanced Smad-Smad binding element
(SBE) complex formation in nuclear extracts and increased DNA binding activity of
Smad3. Pretreatment with 3AB, PJ34, or PARP1 siRNA prevented TGF-beta1-induced
Smad3 transactivation and expression of Smad3 target genes, including collagen
Ialpha1, collagen IIIalpha1 and tissue inhibitor of metalloproteinase 1, in rat
VSMCs. CONCLUSIONS: PARP1 is indispensable for TGF-beta1 induced Smad3 activation
in rat VSMCs. Targeting PARP1 may be a promising therapeutic approach against
vascular diseases induced by dysregulation of TGF-beta/Smad3 pathway.
PMID- 22073129
TI - An epidemiological reappraisal of the familial aggregation of prostate cancer: a
meta-analysis.
AB - Studies on familial aggregation of cancer may suggest an overall contribution of
inherited genes or a shared environment in the development of malignant disease.
We performed a meta-analysis on familial clustering of prostate cancer. Out of 74
studies reporting data on familial aggregation of prostate cancer in unselected
populations retrieved by a Pubmed search and browsing references, 33 independent
studies meeting the inclusion criteria were used in the analysis performed with
the random effects model. The pooled rate ratio (RR) for first-degree family
history, i.e. affected father or brother, is 2.48 (95% confidence interval: 2.25
2.74). The incidence rate for men who have a brother who got prostate cancer
increases 3.14 times (CI:2.37-4.15), and for those with affected father 2.35
times (CI:2.02-2.72). The pooled estimate of RR for two or more affected first
degree family members relative to no history in father and in brother is 4.39
(CI:2.61-7.39). First-degree family history appears to increase the incidence
rate of prostate cancer more in men under 65 (RR:2.87, CI:2.21-3.74), than in men
aged 65 and older (RR:1.92, CI:1.49-2.47), p for interaction = 0.002. The
attributable fraction among those having an affected first-degree relative equals
to 59.7% (CI:55.6-63.5%) for men at all ages, 65.2% (CI:57.7-71.4%) for men
younger than 65 and 47.9% (CI:37.1-56.8%) for men aged 65 or older. For those
with a family history in 2 or more first-degree family members 77.2% (CI:65.4
85.0%) of prostate cancer incidence can be attributed to the familial clustering.
Our combined estimates show strong familial clustering and a significant effect
modification by age meaning that familial aggregation was associated with earlier
disease onset (before age 65).
PMID- 22073130
TI - A transgenic mouse line expressing cre recombinase in undifferentiated
postmitotic mouse retinal bipolar cell precursors.
AB - Approaches for manipulating cell type-specific gene expression during development
depend on the identification of novel genetic tools. Here, we report the
generation of a transgenic mouse line that utilizes Vsx2 upstream sequences to
direct Cre recombinase to developing retinal bipolar cells. In contrast to the
endogenous Vsx2 expression pattern, transgene expression was not detected in
proliferating retinal progenitor cells and was restricted to post-mitotic bipolar
cells. Cre immunolabeling was detected in rod bipolar cells and a subset of ON
and OFF cone bipolar cells. Expression was first observed at postnatal day 3 and
was detectable between 24 hours and 36 hours after the last S-phase of the cell
cycle. The appearance of Cre-immunolabeled cells preceded the expression of
bipolar cell type-specific markers such as PKCalpha and Cabp5 suggesting that
transgene expression is initiated prior to terminal differentiation. In the
presence of a constitutive conditional reporter transgene, reporter fluorescence
was detected in Cre-expressing bipolar cells in the mature retina as expected,
but was also observed in Cre-negative Type 2 bipolar cells and occasionally in
Cre-negative photoreceptor cells. Together these findings reveal a new transgenic
tool for directing gene expression to post-mitotic retinal precursors that are
mostly committed to a bipolar cell fate.
PMID- 22073131
TI - Antibodies against alpha-synuclein reduce oligomerization in living cells.
AB - Recent research implicates soluble aggregated forms of alpha-synuclein as
neurotoxic species with a central role in the pathogenesis of Parkinson's disease
and related disorders. The pathway by which alpha-synuclein aggregates is
believed to follow a step-wise pattern, in which dimers and smaller oligomers are
initially formed. Here, we used H4 neuroglioma cells expressing alpha-synuclein
fused to hemi:GFP constructs to study the effects of alpha-synuclein monoclonal
antibodies on the early stages of aggregation, as quantified by Bimolecular
Fluorescence Complementation assay. Widefield and confocal microscopy revealed
that cells treated for 48 h with monoclonal antibodies internalized antibodies to
various degrees. C-terminal and oligomer-selective alpha-synuclein antibodies
reduced the extent of alpha-synuclein dimerization/oligomerization, as indicated
by decreased GFP fluorescence signal. Furthermore, ELISA measurements on lysates
and conditioned media from antibody treated cells displayed lower alpha-synuclein
levels compared to untreated cells, suggesting increased protein turnover. Taken
together, our results propose that extracellular administration of monoclonal
antibodies can modify or inhibit early steps in the aggregation process of alpha
synuclein, thus providing further support for passive immunization against
diseases with alpha-synuclein pathology.
PMID- 22073134
TI - Camphene, a plant-derived monoterpene, reduces plasma cholesterol and
triglycerides in hyperlipidemic rats independently of HMG-CoA reductase activity.
AB - BACKGROUND: Central to the pathology of coronary heart disease is the
accumulation of lipids, cholesterol and triglycerides, within the intima of
arterial blood vessels. The search for drugs to treat dislipidemia, remains a
major pharmaceutical focus. In this study, we evaluated the hypolipidemic
properties of the essential oil from Chios mastic gum (MGO).
METHODOLOGY/PRINCIPAL FINDINGS: The hypolipidemic effect of MGO was investigated
in naive as well as in rats susceptible to detergent-induced hyperlipidemia.
Serum cholesterol and triglycerides were determined using commercial kits. HMG
CoA (3-hydroxy-3-methylglutaryl coenzyme A) reductase activity was measured in
HepG2 cell extracts using a radioactive assay; cellular cholesterol and
cholesterol esters were assessed using gas chromatography. MGO administration
into naive rats resulted in a dose-dependent reduction in the constitutive
synthesis of serum cholesterol and triglycerides. In hyperlipidemic rats, MGO
treatment had also a strong hypolipidemic effect. By testing various components
of MGO, we show for the first time that the hypolipidemic action is associated
with camphene. Administration of camphene at a dose of 30 ug/gr of body weight in
hyperlipidemic rats resulted in a 54.5% reduction of total cholesterol (p<0.001),
54% of Low Density Lipoprotein (LDL)-cholesterol (p<0.001) and 34.5% of
triglycerides (p<0.001). Treatment of HepG2 cells with camphene led to a decrease
in cellular cholesterol content to the same extend as mevinolin, a known HMG-CoA
reductase inhibitor. The hypolipidemic action of camphene is independent of HMG
CoA reductase activity, suggesting that its hypocholesterolemic and
hypotriglyceridemic effects are associated with a mechanism of action different
than that of statins. CONCLUSIONS: Given the critical role that the control of
hyperlipidemia plays in cardiovascular disease, the results of our study provide
insights into the use of camphene as an alternative lipid lowering agent and
merits further evaluation.
PMID- 22073135
TI - Rumor has it...: relay communication of stress cues in plants.
AB - Recent evidence demonstrates that plants are able not only to perceive and
adaptively respond to external information but also to anticipate forthcoming
hazards and stresses. Here, we tested the hypothesis that unstressed plants are
able to respond to stress cues emitted from their abiotically-stressed neighbors
and in turn induce stress responses in additional unstressed plants located
further away from the stressed plants. Pisum sativum plants were subjected to
drought while neighboring rows of five unstressed plants on both sides, with
which they could exchange different cue combinations. On one side, the stressed
plant and its unstressed neighbors did not share their rooting volumes (UNSHARED)
and thus were limited to shoot communication. On its other side, the stressed
plant shared one of its rooting volumes with its nearest unstressed neighbor and
all plants shared their rooting volumes with their immediate neighbors (SHARED),
allowing both root and shoot communication. Fifteen minutes following drought
induction, significant stomatal closure was observed in both the stressed plants
and their nearest unstressed SHARED neighbors, and within one hour, all SHARED
neighbors closed their stomata. Stomatal closure was not observed in the UNSHARED
neighbors. The results demonstrate that unstressed plants are able to perceive
and respond to stress cues emitted by the roots of their drought-stressed
neighbors and, via 'relay cuing', elicit stress responses in further unstressed
plants. Further work is underway to study the underlying mechanisms of this new
mode of plant communication and its possible adaptive implications for the
anticipation of forthcoming abiotic stresses by plants.
PMID- 22073136
TI - Time to recurrence and survival in serous ovarian tumors predicted from
integrated genomic profiles.
AB - BACKGROUND: Serous ovarian cancer (SeOvCa) is an aggressive disease with
differential and often inadequate therapeutic outcome after standard treatment.
The Cancer Genome Atlas (TCGA) has provided rich molecular and genetic profiles
from hundreds of primary surgical samples. These profiles confirm mutations of
TP53 in ~100% of patients and an extraordinarily complex profile of DNA copy
number changes with considerable patient-to-patient diversity. This raises the
joint challenge of exploiting all new available datasets and reducing their
confounding complexity for the purpose of predicting clinical outcomes and
identifying disease relevant pathway alterations. We therefore set out to use
multi-data type genomic profiles (mRNA, DNA methylation, DNA copy-number
alteration and microRNA) available from TCGA to identify prognostic signatures
for the prediction of progression-free survival (PFS) and overall survival (OS).
METHODOLOGY/PRINCIPAL FINDINGS: We implemented a multivariate Cox Lasso model and
median time-to-event prediction algorithm and applied it to two datasets
integrated from the four genomic data types. We (1) selected features through
cross-validation; (2) generated a prognostic index for patient risk
stratification; and (3) directly predicted continuous clinical outcome measures,
that is, the time to recurrence and survival time. We used Kaplan-Meier p-values,
hazard ratios (HR), and concordance probability estimates (CPE) to assess
prediction performance, comparing separate and integrated datasets. Data
integration resulted in the best PFS signature (withheld data: p-value = 0.008;
HR = 2.83; CPE = 0.72). CONCLUSIONS/SIGNIFICANCE: We provide a prediction tool
that inputs genomic profiles of primary surgical samples and generates patient
specific predictions for the time to recurrence and survival, along with outcome
risk predictions. Using integrated genomic profiles resulted in information gain
for prediction of outcomes. Pathway analysis provided potential insights into
functional changes affecting disease progression. The prognostic signatures, if
prospectively validated, may be useful for interpreting therapeutic outcomes for
clinical trials that aim to improve the therapy for SeOvCa patients.
PMID- 22073137
TI - Coverage, adherence and costs of intermittent preventive treatment of malaria in
children employing different delivery strategies in Jasikan, Ghana.
AB - BACKGROUND: Intermittent preventive treatment of malaria in children (IPTc)
involves the administration of a course of anti-malarial drugs at specified time
intervals to children at risk of malaria regardless of whether or not they are
known to be infected. IPTc provides a high level of protection against
uncomplicated and severe malaria, with monthly sulphadoxine-pyrimethamine plus
amodiaquine (SP&AQ) and sulphadoxine-pyrimethamine plus piperaquine being the
most efficacious regimens. A key challenge is the identification of a cost
effective delivery strategy. METHODS: A community randomized trial was undertaken
in Jasikan district, Ghana to assess IPTc effectiveness and costs using SP&AQ
delivered in three different ways. Twelve villages were randomly selected to
receive IPTc from village health workers (VHWs) or facility-based nurses working
at health centres' outpatient departments (OPD) or EPI outreach clinics. Children
aged 3 to 59 months-old received one IPT course (three doses) in May, June,
September and October. Effectiveness was measured in terms of children covered
and adherent to a course and delivery costs were calculated in financial and
economic terms using an ingredient approach from the provider perspective.
RESULTS: The economic cost per child receiving at least the first dose of all 4
courses was US$4.58 when IPTc was delivered by VHWs, US$4.93 by OPD nurses and
US$ 5.65 by EPI nurses. The unit economic cost of receiving all 3 doses of all 4
courses was US$7.56 and US$8.51 when IPTc was delivered by VHWs or facility-based
nurses respectively. The main cost driver for the VHW delivery was supervision,
reflecting resources used for travelling to more remote communities rather than
more intense supervision, and for OPD and EPI delivery, it was the opportunity
cost of the time spent by nurses in dispensing IPTc. CONCLUSIONS: VHWs achieve
higher IPTc coverage and adherence at lower costs than facility-based nurses in
Jasikan district, Ghana. TRIAL REGISTRATION: ClinicalTrials.gov NCT00119132.
PMID- 22073138
TI - Protein domain of unknown function 3233 is a translocation domain of
autotransporter secretory mechanism in gamma proteobacteria.
AB - Vibrio cholerae, the enteropathogenic gram negative bacteria is one of the main
causative agents of waterborne diseases like cholera. About 1/3(rd) of the
organism's genome is uncharacterised with many protein coding genes lacking
structure and functional information. These proteins form significant fraction of
the genome and are crucial in understanding the organism's complete functional
makeup. In this study we report the general structure and function of a family of
hypothetical proteins, Domain of Unknown Function 3233 (DUF3233), which are
conserved across gram negative gammaproteobacteria (especially in Vibrio sp. and
similar bacteria). Profile and HMM based sequence search methods were used to
screen homologues of DUF3233. The I-TASSER fold recognition method was used to
build a three dimensional structural model of the domain. The structure resembles
the transmembrane beta-barrel with an axial N-terminal helix and twelve
antiparallel beta-strands. Using a combination of amphipathy and discrimination
analysis we analysed the potential transmembrane beta-barrel forming properties
of DUF3233. Sequence, structure and phylogenetic analysis of DUF3233 indicates
that this gram negative bacterial hypothetical protein resembles the beta-barrel
translocation unit of autotransporter Va secretory mechanism with a gene
organisation that differs from the conventional Va system.
PMID- 22073139
TI - The role of muscarinic receptors in the beneficial effects of adenosine against
myocardial reperfusion injury in rats.
AB - Adenosine, a catabolite of ATP, displays a wide variety of effects in the heart
including regulation of cardiac response to myocardial ischemia and reperfusion
injury. Nonetheless, the precise mechanism of adenosine-induced cardioprotection
is still elusive. Isolated Sprague-Dawley rat hearts underwent 30 min global
ischemia and 120 min reperfusion using a Langendorff apparatus. Both adenosine
and acetylcholine treatment recovered the post-reperfusion cardiac function
associated with adenosine and muscarinic receptors activation. Simultaneous
administration of adenosine and acetylcholine failed to exert any additive
protective effect, suggesting a shared mechanism between the two. Our data
further revealed a cross-talk between the adenosine and acetylcholine receptor
signaling in reperfused rat hearts. Interestingly, the selective M(2) muscarinic
acetylcholine receptor antagonist methoctramine significantly attenuated the
cardioprotective effect of adenosine. In addition, treatment with adenosine
upregulated the expression and the maximal binding capacity of muscarinic
acetylcholine receptor, which were inhibited by the selective A(1) adenosine
receptor antagonist 8-Cyclopentyl-1,3-dipropylxanthine (DPCPX) and the nitric
oxide synthase inhibitor N(omega)-nitro-L-arginine methyl ester (L-NAME). These
data suggested a possible functional coupling between the adenosine and
muscarinic receptors behind the observed cardioprotection. Furthermore, nitric
oxide was found involved in triggering the response to each of the two receptor
agonist. In summary, there may be a cross-talk between the adenosine and
muscarinic receptors in ischemic/reperfused myocardium with nitric oxide synthase
might serve as the distal converging point. In addition, adenosine contributes to
the invigorating effect of adenosine on muscarinic receptor thereby prompting to
regulation of cardiac function. These findings argue for a potentially novel
mechanism behind the adenosine-mediated cardioprotection.
PMID- 22073140
TI - Neonatal overfeeding induced by small litter rearing causes altered
glucocorticoid metabolism in rats.
AB - Elevated glucocorticoid (GC) activity may be involved in the development of the
metabolic syndrome. Tissue GC exposure is determined by the tissue-specific GC
activating enzyme 11beta-hydroxysteriod dehydrogenase type 1 (11beta-HSD1) and
the GC-inactivating enzyme 5alpha-reductase type 1 (5alphaR1), as well as 5beta
reductase (5betaR). Our aim was to study the effects of neonatal overfeeding
induced by small litter rearing on the expression of GC-regulating enzymes in
adipose tissue and/or liver and on obesity-related metabolic disturbances during
development. Male Sprague-Dawley rat pup litters were adjusted to litter sizes of
three (small litters, SL) or ten (normal litters, NL) on postnatal day 3 and then
given standard chow from postnatal week 3 onward (W3). Small litter rearing
induced obesity, hyperinsulinemia, and higher circulating corticosterone in
adults. 11beta-HSD1 expression and enzyme activity in retroperitoneal, but not in
epididymal, adipose tissue increased with postnatal time and peaked at W5/W6 in
both groups before declining. From W8, 11beta-HSD1 expression and enzyme activity
levels in retroperitoneal fat persisted at significantly higher levels in SL
compared to NL rats. Hepatic 11beta-HSD1 enzyme activity in SL rats was elevated
from W3 to W16 compared to NL rats. Hepatic 5alphaR1 and 5betaR expression was
higher in SL compared to NL rats after weaning until W6, whereupon expression
decreased in the SL rats and remained similar to that in NL rats. In conclusion,
small litter rearing in rats induced peripheral tissue-specific alterations in
11beta-HSD1 expression and activity and 5alphaR1 and 5betaR expression during
puberty, which could contribute to elevated tissue-specific GC exposure and
aggravate the development of metabolic dysregulation in adults.
PMID- 22073142
TI - Frequency and associated factors for care giving among elderly patients visiting
a teaching hospital in Karachi, Pakistan.
AB - OBJECTIVE: To study frequency and associated factors for care giving among
elderly patients visiting a teaching hospital in Karachi, Pakistan. METHODOLOGY:
A cross sectional questionnaire-based study was conducted at the Community Health
Centre (CHC), Aga Khan University Hospital (AKUH) Karachi, Pakistan from
September to November 2009. All individuals, visiting the CHC and aged 65 years
or above were interviewed after taking written informed consent. RESULTS: A total
of 400 elderly completed the interview. Majority were females, 65-69 years age,
More than half of the individuals ie: 227 (85%) had received Care Giver
experience for assistance and among these 195(72%) had care provided by an
immediate family member. A large proportion of them stated that their Care Givers
managed to provide less than four hours in a day for care giving. Around 37%
showed substantial improvement in their relationship with the care givers. About
70% of the respondents stated that the care provided by the Care Giver improved
their quality of life. CONCLUSION: Elderly care is provided by majority of the
family members resulting in increased satisfaction level, however small number
still not satisfied due to unfulfilled need of these older people. This demands
that efforts should be made to strengthen the family support by increasing
awareness regarding elderly care and arranging support system by the government.
PMID- 22073141
TI - Differentiation between vergence and saccadic functional activity within the
human frontal eye fields and midbrain revealed through fMRI.
AB - PURPOSE: Eye movement research has traditionally studied solely saccade and/or
vergence eye movements by isolating these systems within a laboratory setting.
While the neural correlates of saccadic eye movements are established, few
studies have quantified the functional activity of vergence eye movements using
fMRI. This study mapped the neural substrates of vergence eye movements and
compared them to saccades to elucidate the spatial commonality and
differentiation between these systems. METHODOLOGY: The stimulus was presented in
a block design where the 'off' stimulus was a sustained fixation and the 'on'
stimulus was random vergence or saccadic eye movements. Data were collected with
a 3T scanner. A general linear model (GLM) was used in conjunction with cluster
size to determine significantly active regions. A paired t-test of the GLM beta
weight coefficients was computed between the saccade and vergence functional
activities to test the hypothesis that vergence and saccadic stimulation would
have spatial differentiation in addition to shared neural substrates. RESULTS:
Segregated functional activation was observed within the frontal eye fields where
a portion of the functional activity from the vergence task was located anterior
to the saccadic functional activity (z>2.3; p<0.03). An area within the midbrain
was significantly correlated with the experimental design for the vergence but
not the saccade data set. Similar functional activation was observed within the
following regions of interest: the supplementary eye field, dorsolateral
prefrontal cortex, ventral lateral prefrontal cortex, lateral intraparietal area,
cuneus, precuneus, anterior and posterior cingulates, and cerebellar vermis. The
functional activity from these regions was not different between the vergence and
saccade data sets assessed by analyzing the beta weights of the paired t-test
(p>0.2). CONCLUSION: Functional MRI can elucidate the differences between the
vergence and saccade neural substrates within the frontal eye fields and
midbrain.
PMID- 22073144
TI - Drosophila TRPA channel painless inhibits male-male courtship behavior through
modulating olfactory sensation.
AB - The Drosophila melanogaster TRPA family member painless, expressed in a subset of
multidendritic neurons embeding in the larval epidermis, is necessary for larval
nociception of noxious heat or mechanical stimuli. However, the function of
painless in adult flies remains largely unknown. Here we report that mutation of
painless leads to a defect in male-male courtship behavior and alteration in
olfaction sensitivity in adult flies. Specific downregulation of the expression
of the Painless protein in the olfactory projection neurons (PNs) of the antennal
lobes (ALs) resulted in a phenotype resembling that found in painless mutant
flies, whereas overexpression of Painless in PNs of painless mutant males
suppressed male-male courtship behavior. The downregulation of Painless
exclusively during adulthood also resulted in male-male courtship behavior. In
addition, mutation of the painless gene in flies caused changes in olfaction,
suggesting a role for this gene in olfactory processing. These results indicate
that functions of painless in the adult central nervous system of Drosophila
include modulation of olfactory processing and inhibition of male-male courtship
behavior.
PMID- 22073143
TI - Serine biosynthesis with one carbon catabolism and the glycine cleavage system
represents a novel pathway for ATP generation.
AB - Previous experimental evidence indicates that some cancer cells have an
alternative glycolysis pathway with net zero ATP production, implying that
upregulation of glycolysis in these cells may not be related to the generation of
ATP. Here we use a genome-scale model of human cell metabolism to investigate the
potential metabolic alterations in cells using net zero ATP glycolysis. We
uncover a novel pathway for ATP generation that involves reactions from serine
biosynthesis, one-carbon metabolism and the glycine cleavage system, and show
that the pathway is transcriptionally upregulated in an inducible murine model of
Myc-driven liver tumorigenesis. This pathway has a predicted two-fold higher flux
rate in cells using net zero ATP glycolysis than those using standard glycolysis
and generates twice as much ATP with significantly lower rate of lactate - but
higher rate of alanine secretion. Thus, in cells using the standard - or the net
zero ATP glycolysis pathways a significant portion of the glycolysis flux is
always associated with ATP generation, and the ratio between the flux rates of
the two pathways determines the rate of ATP generation and lactate and alanine
secretion during glycolysis.
PMID- 22073145
TI - Changes in CRH and ACTH synthesis during experimental and human septic shock.
AB - CONTEXT: The mechanisms of septic shock-associated adrenal insufficiency remain
unclear. This study aimed at investigating the synthesis of corticotropin
releasing hormone (CRH) and vasopressin (AVP) by parvocellular neurons and the
antehypophyseal expression of ACTH in human septic shock and in an experimental
model of sepsis. OBJECTIVE: To test the hypothesis that ACTH secretion is
decreased secondarily to alteration of CRH or AVP synthesis, we undertook a
neuropathological study of the antehypophyseal system in patients who had died
from septic shock and rats with experimental faecal peritonitis. METHODS: Brains
obtained in 9 septic shock patients were compared to 10 nonseptic patients
(controls). Parvocellular expression of AVP and CRH mRNA were evaluated by in
situ hybridization. Antehypophyseal expression of ACTH, vasopressin V1b and CRH
R1 receptors and parvocellular expression of iNOS in the PVN were evaluated by
immunohistochemistry. The same experiments were carried out in a fecal
peritonitis-induced model of sepsis. Data from septic rats with (n = 6) or
without (n = 10) early death were compared to sham-operated (n = 8) animals.
RESULTS: In patients and rats, septic shock was associated with a decreased
expression of ACTH, unchanged expression of V1B receptor, CRHR1 and AVP mRNA, and
increased expression of parvocellular iNOS compared to controls. Septic shock was
also characterized by an increased expression of CRH mRNA in rats but not in
patients, who notably had a greater duration of septic shock. CONCLUSION: The
present study suggests that in humans and in rats, septic shock is associated
with decreased ACTH synthesis that is not compensated by its two natural
secretagogues, AVP and CRH. One underlying mechanism might be increased
expression of iNOS in hypothalamic parvocellular neurons.
PMID- 22073146
TI - Effects of vitamin D supplementation on cognitive and emotional functioning in
young adults--a randomised controlled trial.
AB - BACKGROUND: Epidemiological research links vitamin D status to various brain
related outcomes. However, few trials examine whether supplementation can improve
such outcomes and none have examined effects on cognition. This study examined
whether Vitamin D supplementation led to improvements in diverse measures of
cognitive and emotional functioning, and hypothesised that supplementation would
lead to improvements in these outcomes compared to placebo. METHODS/PRINCIPAL
FINDINGS: Healthy young adults were recruited to a parallel-arm, double-blind
trial conducted at The University of Queensland. Participants were randomly
allocated to receive Vitamin D (one capsule daily, containing 5000 IU
cholecalciferol) or identical placebo capsule for six weeks. All participants and
outcome assessors were blinded to group assignment. Primary outcome measures
assessed at baseline and 6 weeks were working memory, response inhibition and
cognitive flexibility. Secondary outcomes were: hallucination-proneness,
psychotic-like experiences, and ratings of depression, anxiety and anger. 128
participants were recruited, randomised and included in primary analyses (vitamin
D n = 63; placebo n = 65). Despite significant increases in vitamin D status in
the active group, no significant changes were observed in working memory (F =
1.09; p = 0.30), response inhibition (F = 0.82; p = 0.37), cognitive flexibility
(F = 1.37; p = 0.24) or secondary outcomes. No serious adverse effects were
reported. CONCLUSIONS: Our findings indicate that vitamin D supplementation does
not influence cognitive or emotional functioning in healthy young adults. Future
controlled trials in targeted populations of interest are required to determine
whether supplementation can improve functioning in these domains. Australian and
New Zealand Clinical Trials Registry; ACTRN12610000318088.
PMID- 22073147
TI - Changes in culture expanded human amniotic epithelial cells: implications for
potential therapeutic applications.
AB - Human amniotic epithelial cells (hAEC) isolated from term placenta have stem cell
like properties, differentiate into tissue specific cells and reduce lung and
liver inflammation and fibrosis following transplantation into disease models
established in mice. These features together with their low immunogenicity and
immunosuppressive properties make hAEC an attractive source of cells for
potential therapeutic applications. However, generation of large cell numbers
required for therapies through serial expansion in xenobiotic-free media may be a
limiting factor. We investigated if hAEC could be expanded in xenobiotic-free
media and if expansion altered their differentiation capacity, immunophenotype,
immunosuppressive properties and production of immunomodulatory factors. Serial
expansion in xenobiotic-free media was limited with cumulative cell numbers and
population doubling times significantly lower than controls maintained in fetal
calf serum. The epithelial morphology of primary hAEC changed into mesenchymal
stromal like cells by passage 4-5 (P4-P5) with down regulation of epithelial
markers CK7, CD49f, EpCAM and E-cadherin and elevation of mesenchymal-stromal
markers CD44, CD105, CD146 and vimentin. The P5 hAEC expanded in xenobiotic-free
medium differentiated into osteocyte and alveolar epithelium-like cells, but not
chondrocyte, hepatocyte, alpha- and beta-pancreatic-like cells. Expression of HLA
Class IA, Class II and co-stimulatory molecules CD80, CD86 and CD40 remained
unaltered. The P5 hAEC suppressed mitogen stimulated T cell proliferation, but
were less suppressive compared with primary hAEC at higher splenocyte ratios.
Primary and P5 hAEC did not secrete the immunosuppressive factors IL-10 and HGF,
whereas TGF-beta1 and HLA-G were reduced and IL-6 elevated in P5 hAEC. These
findings suggest that primary and expanded hAEC may be suitable for different
cellular therapeutic applications.
PMID- 22073148
TI - Occupancy classification of position weight matrix-inferred transcription factor
binding sites.
AB - BACKGROUND: Computational prediction of Transcription Factor Binding Sites (TFBS)
from sequence data alone is difficult and error-prone. Machine learning
techniques utilizing additional environmental information about a predicted
binding site (such as distances from the site to particular chromatin features)
to determine its occupancy/functionality class show promise as methods to achieve
more accurate prediction of true TFBS in silico. We evaluate the Bayesian Network
(BN) and Support Vector Machine (SVM) machine learning techniques on four
distinct TFBS data sets and analyze their performance. We describe the features
that are most useful for classification and contrast and compare these feature
sets between the factors. RESULTS: Our results demonstrate good performance of
classifiers both on TFBS for transcription factors used for initial training and
for TFBS for other factors in cross-classification experiments. We find that
distances to chromatin modifications (specifically, histone modification islands)
as well as distances between such modifications to be effective predictors of
TFBS occupancy, though the impact of individual predictors is largely TF
specific. In our experiments, Bayesian network classifiers outperform SVM
classifiers. CONCLUSIONS: Our results demonstrate good performance of machine
learning techniques on the problem of occupancy classification, and demonstrate
that effective classification can be achieved using distances to chromatin
features. We additionally demonstrate that cross-classification of TFBS is
possible, suggesting the possibility of constructing a generalizable occupancy
classifier capable of handling TFBS for many different transcription factors.
PMID- 22073149
TI - Quantitative evaluation of scintillation camera imaging characteristics of
isotopes used in liver radioembolization.
AB - BACKGROUND: Scintillation camera imaging is used for treatment planning and post
treatment dosimetry in liver radioembolization (RE). In yttrium-90 (90Y) RE,
scintigraphic images of technetium-99m (99mTc) are used for treatment planning,
while 90Y Bremsstrahlung images are used for post-treatment dosimetry. In holmium
166 (166Ho) RE, scintigraphic images of 166Ho can be used for both treatment
planning and post-treatment dosimetry. The aim of this study is to quantitatively
evaluate and compare the imaging characteristics of these three isotopes, in
order that imaging protocols can be optimized and RE studies with varying
isotopes can be compared. METHODOLOGY/PRINCIPAL FINDINGS: Phantom experiments
were performed in line with NEMA guidelines to assess the spatial resolution,
sensitivity, count rate linearity, and contrast recovery of 99mTc, 90Y and 166Ho.
In addition, Monte Carlo simulations were performed to obtain detailed
information about the history of detected photons. The results showed that the
use of a broad energy window and the high-energy collimator gave optimal
combination of sensitivity, spatial resolution, and primary photon fraction for
90Y Bremsstrahlung imaging, although differences with the medium-energy
collimator were small. For 166Ho, the high-energy collimator also slightly
outperformed the medium-energy collimator. In comparison with 99mTc, the image
quality of both 90Y and 166Ho is degraded by a lower spatial resolution, a lower
sensitivity, and larger scatter and collimator penetration fractions.
CONCLUSIONS/SIGNIFICANCE: The quantitative evaluation of the scintillation camera
characteristics presented in this study helps to optimize acquisition parameters
and supports future analysis of clinical comparisons between RE studies.
PMID- 22073150
TI - Stereo-selectivity of human serum albumin to enantiomeric and isoelectronic
pollutants dissected by spectroscopy, calorimetry and bioinformatics.
AB - 1-naphthol (1N), 2-naphthol (2N) and 8-quinolinol (8H) are general water
pollutants. 1N and 2N are the configurational enantiomers and 8H is isoelectronic
to 1N and 2N. These pollutants when ingested are transported in the blood by
proteins like human serum albumin (HSA). Binding of these pollutants to HSA has
been explored to elucidate the specific selectivity of molecular recognition by
this multiligand binding protein. The association constants (K(b)) of these
pollutants to HSA were moderate (10(4)-10(5) M(-1)). The proximity of the ligands
to HSA is also revealed by their average binding distance, r, which is estimated
to be in the range of 4.39-5.37 nm. The binding free energy (DeltaG) in each case
remains effectively the same for each site because of enthalpy-entropy
compensation (EEC). The difference observed between DeltaC(p) (exp) and DeltaC(p)
(calc) are suggested to be caused by binding-induced flexibility changes in the
HSA. Efforts are also made to elaborate the differences observed in binding
isotherms obtained through multiple approaches of calorimetry, spectroscopy and
bioinformatics. We suggest that difference in dissociation constants of
pollutants by calorimetry, spectroscopic and computational approaches could
correspond to occurrence of different set of populations of pollutants having
different molecular characteristics in ground state and excited state.
Furthermore, our observation of enhanced binding of pollutants (2N and 8H) in the
presence of hemin signifies that ligands like hemin may enhance the storage
period of these pollutants in blood that may even facilitate the ill effects of
these pollutants.
PMID- 22073151
TI - Rapid typing of Coxiella burnetii.
AB - Coxiella burnetii has the potential to cause serious disease and is highly
prevalent in the environment. Despite this, epidemiological data are sparse and
isolate collections are typically small, rare, and difficult to share among
laboratories as this pathogen is governed by select agent rules and fastidious to
culture. With the advent of whole genome sequencing, some of this knowledge gap
has been overcome by the development of genotyping schemes, however many of these
methods are cumbersome and not readily transferable between institutions. As
comparisons of the few existing collections can dramatically increase our
knowledge of the evolution and phylogeography of the species, we aimed to
facilitate such comparisons by extracting SNP signatures from past genotyping
efforts and then incorporated these signatures into assays that quickly and
easily define genotypes and phylogenetic groups. We found 91 polymorphisms (SNPs
and indels) among multispacer sequence typing (MST) loci and designed 14 SNP
based assays that could be used to type samples based on previously established
phylogenetic groups. These assays are rapid, inexpensive, real-time PCR assays
whose results are unambiguous. Data from these assays allowed us to assign 43
previously untyped isolates to established genotypes and genomic groups.
Furthermore, genotyping results based on assays from the signatures provided here
are easily transferred between institutions, readily interpreted phylogenetically
and simple to adapt to new genotyping technologies.
PMID- 22073152
TI - Predicting in vivo anti-hepatofibrotic drug efficacy based on in vitro high
content analysis.
AB - BACKGROUND/AIMS: Many anti-fibrotic drugs with high in vitro efficacies fail to
produce significant effects in vivo. The aim of this work is to use a statistical
approach to design a numerical predictor that correlates better with in vivo
outcomes. METHODS: High-content analysis (HCA) was performed with 49 drugs on
hepatic stellate cells (HSCs) LX-2 stained with 10 fibrotic markers. ~0.3 billion
feature values from all cells in >150,000 images were quantified to reflect the
drug effects. A systematic literature search on the in vivo effects of all 49
drugs on hepatofibrotic rats yields 28 papers with histological scores. The in
vivo and in vitro datasets were used to compute a single efficacy predictor
(E(predict)). RESULTS: We used in vivo data from one context (CCl(4) rats with
drug treatments) to optimize the computation of E(predict). This optimized
relationship was independently validated using in vivo data from two different
contexts (treatment of DMN rats and prevention of CCl(4) induction). A linear in
vitro-in vivo correlation was consistently observed in all the three contexts. We
used E(predict) values to cluster drugs according to efficacy; and found that
high-efficacy drugs tended to target proliferation, apoptosis and contractility
of HSCs. CONCLUSIONS: The E(predict) statistic, based on a prioritized
combination of in vitro features, provides a better correlation between in vitro
and in vivo drug response than any of the traditional in vitro markers
considered.
PMID- 22073153
TI - A novel small molecule 1,2,3,4,6-penta-O-galloyl-alpha-D-glucopyranose mimics the
antiplatelet actions of insulin.
AB - BACKGROUND: We have shown that 1,2,3,4,6-penta-O-galloyl-alpha-D-glucopyranose
(alpha-PGG), an orally effective hypoglycemic small molecule, binds to insulin
receptors and activates insulin-mediated glucose transport. Insulin has been
shown to bind to its receptors on platelets and inhibit platelet activation. In
this study we tested our hypothesis that if insulin possesses anti-platelet
properties then insulin mimetic small molecules should mimic antiplatelet actions
of insulin. PRINCIPAL FINDINGS: Incubation of human platelets with insulin or
alpha-PGG induced phosphorylation of insulin receptors and IRS-1 and blocked ADP
or collagen induced aggregation. Pre-treatment of platelets with alpha-PGG
inhibited thrombin-induced release of P-selectin, secretion of ATP and
aggregation. Addition of ADP or thrombin to platelets significantly decreased the
basal cyclic AMP levels. Pre-incubation of platelets with alpha-PGG blocked ADP
or thrombin induced decrease in platelet cyclic AMP levels but did not alter the
basal or PGE(1) induced increase in cAMP levels. Addition of alpha-PGG to
platelets blocked agonist induced rise in platelet cytosolic calcium and
phosphorylation of Akt. Administration of alpha-PGG (20 mg kg(-1)) to wild type
mice blocked ex vivo platelet aggregation induced by ADP or collagen.
CONCLUSIONS: These data suggest that alpha-PGG inhibits platelet activation, at
least in part, by inducing phosphorylation of insulin receptors leading to
inhibition of agonist induced: (a) decrease in cyclic AMP; (b) rise in cytosolic
calcium; and (c) phosphorylation of Akt. These findings taken together with our
earlier reports that alpha-PGG mimics insulin signaling suggest that inhibition
of platelet activation by alpha-PGG mimics antiplatelet actions of insulin.
PMID- 22073155
TI - Health centre surveys as a potential tool for monitoring malaria epidemiology by
area and over time.
AB - BACKGROUND: Presently, many malaria control programmes use health facility data
to evaluate the impact of their interventions. Facility-based malaria data,
although useful, have problems with completeness, validity and representativeness
and reliance on routinely collected health facility data might undermine
demonstration of the magnitude of the impact of the recent scaleups of malaria
interventions. To determine whether carefully conducted health centre surveys can
be reliable means of monitoring area specific malaria epidemiology, we have
compared malaria specific indices obtained from surveys in health centres with
indices obtained from cross-sectional surveys conducted in their catchment
communities. METHODS: A series of age stratified, seasonal, cross-sectional
surveys were conducted during the peak malaria transmission season in 2008 and
during the following dry season in 2009 in six ecologically diverse areas in The
Gambia. Participants were patients who attended the health centres plus a
representative sample from the catchment villages of these health facilities.
Parasitaemia, anaemia, attributable proportion of fever and anti-MSP1-(19)
antibody seroprevalence were compared in the health facility attendees and
community participants. RESULTS: A total of 16,230 subjects completed the study;
approximately half participated in the health centre surveys and half in the wet
season surveys. Data from both the health centre and community surveys showed
that malaria endemicity in The Gambia is now low, heterogeneous and seasonal. In
the wet season, parasitaemia, seroprevalence and fever prevalence were higher in
subjects seen in the health centres than in the community surveys. Age patterns
of parasitaemia, attributable proportions of fever and seroprevalence rates were
similar in subjects who participated in the community and health centre surveys.
CONCLUSION: Health centre surveys have potential as a surveillance tool for
evaluating area specific malaria control activities and for monitoring changes in
local malaria epidemiology over time.
PMID- 22073154
TI - Selenium and lung cancer: a systematic review and meta analysis.
AB - BACKGROUND: Selenium is a natural health product widely used in the treatment and
prevention of lung cancers, but large chemoprevention trials have yielded
conflicting results. We conducted a systematic review of selenium for lung
cancers, and assessed potential interactions with conventional therapies. METHODS
AND FINDINGS: Two independent reviewers searched six databases from inception to
March 2009 for evidence pertaining to the safety and efficacy of selenium for
lung cancers. Pubmed and EMBASE were searched to October 2009 for evidence on
interactions with chemo- or radiation-therapy. In the efficacy analysis there
were nine reports of five RCTs and two biomarker-based studies, 29 reports of 26
observational studies, and 41 preclinical studies. Fifteen human studies, one
case report, and 36 preclinical studies were included in the interactions
analysis. Based on available evidence, there appears to be a different
chemopreventive effect dependent on baseline selenium status, such that selenium
supplementation may reduce risk of lung cancers in populations with lower
baseline selenium status (serum<106 ng/mL), but increase risk of lung cancers in
those with higher selenium (>= 121.6 ng/mL). Pooling data from two trials yielded
no impact to odds of lung cancer, OR 0.93 (95% confidence interval 0.61-1.43);
other cancers that were the primary endpoints of these trials, OR 1.51 (95%CI
0.70-3.24); and all-cause-death, OR 0.93 (95%CI 0.79-1.10). In the treatment of
lung cancers, selenium may reduce cisplatin-induced nephrotoxicity and side
effects associated with radiation therapy. CONCLUSIONS: Selenium may be effective
for lung cancer prevention among individuals with lower selenium status, but at
present should not be used as a general strategy for lung cancer prevention.
Although promising, more evidence on the ability of selenium to reduce cisplatin
and radiation therapy toxicity is required to ensure that therapeutic efficacy is
maintained before any broad clinical recommendations can be made in this context.
PMID- 22073156
TI - Association of low level viremia with inflammation and mortality in HIV-infected
adults.
AB - BACKGROUND: Whether HIV viremia, particularly at low levels is associated with
inflammation, increased coagulation, and all-cause mortality is unclear. METHODS:
The associations of HIV RNA level with C-reactive protein (CRP), fibrinogen,
interleukin (IL)-6 and mortality were evaluated in 1116 HIV-infected participants
from the Study of Fat Redistribution and Metabolic Change in HIV infection. HIV
RNA level was categorized as undetectable (i.e., "target not detected"), 1-19, 20
399, 400-9999, and >= 10,000 copies/ml. Covariates included demographics,
lifestyle, adipose tissue, and HIV-related factors. RESULTS: HIV RNA level had
little association with CRP. Categories of HIV RNA below 10,000 copies/ml had
similar levels of IL-6 compared with an undetectable HIV RNA level, while HIV RNA
>= 10,000 copies/ml was associated with 89% higher IL-6 (p<0.001). This
association was attenuated by ~50% after adjustment for CD4+ cell count. Higher
HIV RNA was associated with higher fibrinogen. Compared to an undetectable HIV
RNA level, fibrinogen was 0.6%, 1.9%, 4.5%, 4.6%, and 9.4% higher across HIV RNA
categories, respectively, and statistically significant at the highest level (p =
0.0002 for HIV RNA >= 10,000 copies/ml). Higher HIV RNA was associated with
mortality during follow-up in unadjusted analysis, but showed little association
after adjustment for CD4+ cell count and inflammation. CONCLUSION: HIV RNA >=
10,000 copies/ml was associated with higher IL-6 and fibrinogen, but lower levels
of viremia appeared similar, and there was little association with CRP. The
relationship of HIV RNA with IL-6 was strongly affected by CD4 cell depletion.
After adjustment for CD4+ cell count and inflammation, viremia did not appear to
be substantially associated with mortality risk over 5 years.
PMID- 22073157
TI - Empirical models of transitions between coral reef states: effects of region,
protection, and environmental change.
AB - There has been substantial recent change in coral reef communities. To date, most
analyses have focussed on static patterns or changes in single variables such as
coral cover. However, little is known about how community-level changes occur at
large spatial scales. Here, we develop Markov models of annual changes in coral
and macroalgal cover in the Caribbean and Great Barrier Reef (GBR) regions. We
analyzed reef surveys from the Caribbean and GBR (1996-2006). We defined a set of
reef states distinguished by coral and macroalgal cover, and obtained Bayesian
estimates of the annual probabilities of transitions between these states. The
Caribbean and GBR had different transition probabilities, and therefore different
rates of change in reef condition. This could be due to differences in species
composition, management or the nature and extent of disturbances between these
regions. We then estimated equilibrium probability distributions for reef states,
and coral and macroalgal cover under constant environmental conditions. In both
regions, the current distributions are close to equilibrium. In the Caribbean,
coral cover is much lower and macroalgal cover is higher at equilibrium than in
the GBR. We found no evidence for differences in transition probabilities between
the first and second halves of our survey period, or between Caribbean reefs
inside and outside marine protected areas. However, our power to detect such
differences may have been low. We also examined the effects of altering
transition probabilities on the community state equilibrium, along a continuum
from unfavourable (e.g., increased sea surface temperature) to favourable (e.g.,
improved management) conditions. Both regions showed similar qualitative
responses, but different patterns of uncertainty. In the Caribbean, uncertainty
was greatest about effects of favourable changes, while in the GBR, we are most
uncertain about effects of unfavourable changes. Our approach could be extended
to provide risk analysis for management decisions.
PMID- 22073158
TI - Multiple geographic origins of commensalism and complex dispersal history of
Black Rats.
AB - The Black Rat (Rattus rattus) spread out of Asia to become one of the world's
worst agricultural and urban pests, and a reservoir or vector of numerous
zoonotic diseases, including the devastating plague. Despite the global scale and
inestimable cost of their impacts on both human livelihoods and natural
ecosystems, little is known of the global genetic diversity of Black Rats, the
timing and directions of their historical dispersals, and the risks associated
with contemporary movements. We surveyed mitochondrial DNA of Black Rats
collected across their global range as a first step towards obtaining an
historical genetic perspective on this socioeconomically important group of
rodents. We found a strong phylogeographic pattern with well-differentiated
lineages of Black Rats native to South Asia, the Himalayan region, southern
Indochina, and northern Indochina to East Asia, and a diversification that
probably commenced in the early Middle Pleistocene. We also identified two other
currently recognised species of Rattus as potential derivatives of a paraphyletic
R. rattus. Three of the four phylogenetic lineage units within R. rattus show
clear genetic signatures of major population expansion in prehistoric times, and
the distribution of particular haplogroups mirrors archaeologically and
historically documented patterns of human dispersal and trade. Commensalism
clearly arose multiple times in R. rattus and in widely separated geographic
regions, and this may account for apparent regionalism in their associated
pathogens. Our findings represent an important step towards deeper understanding
the complex and influential relationship that has developed between Black Rats
and humans, and invite a thorough re-examination of host-pathogen associations
among Black Rats.
PMID- 22073159
TI - Impact of changing drug treatment and malaria endemicity on the heritability of
malaria phenotypes in a longitudinal family-based cohort study.
AB - Despite considerable success of genome wide association (GWA) studies in
identifying causal variants for many human diseases, their success in unraveling
the genetic basis to complex diseases has been more mitigated. Pathogen
population structure may impact upon the infectious phenotype, especially with
the intense short-term selective pressure that drug treatment exerts on
pathogens. Rigorous analysis that accounts for repeated measures and disentangles
the influence of genetic and environmental factors must be performed. Attempts
should be made to consider whether pathogen diversity will impact upon host
genetic responses to infection.We analyzed the heritability of two Plasmodium
falciparum phenotypes, the number of clinical malaria episodes (PFA) and the
proportion of these episodes positive for gametocytes (Pfgam), in a family-based
cohort followed for 19 years, during which time there were four successive drug
treatment regimes, with documented appearance of drug resistance. Repeated
measures and variance components analyses were performed with fixed
environmental, additive genetic, intra-individual and maternal effects for each
drug period. Whilst there was a significant additive genetic effect underlying
PFA during the first drug period of study, this was lost in subsequent periods.
There was no additive genetic effect for Pfgam. The intra-individual effect
increased significantly in the chloroquine period.The loss of an additive genetic
effect following novel drug treatment may result in significant loss of power to
detect genes in a GWA study. Prior genetic analysis must be a pre-requisite for
more detailed GWA studies. The temporal changes in the individual genetic and the
intra-individual estimates are consistent with those expected if there were
specific host-parasite interactions. The complex basis to the human response to
malaria parasite infection likely includes dominance/epistatic genetic effects
encompassed within the intra-individual variance component. Evaluating their role
in influencing the outcome of infection through host genotype by parasite
genotype interactions warrants research effort.
PMID- 22073160
TI - Evolutionary instability of symbiotic function in Bradyrhizobium japonicum.
AB - Bacterial mutualists are often acquired from the environment by eukaryotic hosts.
However, both theory and empirical work suggest that this bacterial lifestyle is
evolutionarily unstable. Bacterial evolution outside of the host is predicted to
favor traits that promote an independent lifestyle in the environment at a cost
to symbiotic function. Consistent with these predictions, environmentally
acquired bacterial mutualists often lose symbiotic function over evolutionary
time. Here, we investigate the evolutionary erosion of symbiotic traits in
Bradyrhizobium japonicum, a nodulating root symbiont of legumes. Building on a
previous published phylogeny we infer loss events of nodulation capability in a
natural population of Bradyrhizobium, potentially driven by mutation or deletion
of symbiosis loci. Subsequently, we experimentally evolved representative strains
from the symbiont population under host-free in vitro conditions to examine
potential drivers of these loss events. Among Bradyrhizobium genotypes that
evolved significant increases in fitness in vitro, two exhibited reduced
symbiotic quality, but no experimentally evolved strain lost nodulation
capability or evolved any fixed changes at six sequenced loci. Our results are
consistent with trade-offs between symbiotic quality and fitness in a host free
environment. However, the drivers of loss-of-nodulation events in natural
Bradyrhizobium populations remain unknown.
PMID- 22073161
TI - Autonomic modulation and health-related quality of life among schizophrenic
patients treated with non-intensive case management.
AB - BACKGROUND: Schizophrenia is associated with autonomic dysfunction and this may
increase cardiovascular mortality. Past studies on autonomic modulation of
schizophrenic patients focused on inpatients rather than individuals in a
community setting, especially those receiving non-intensive case management (non
ICM). Besides, autonomic modulation and its association with health-related
quality of life (HRQoL) in this population remain unexplored. METHODS: A total of
25 schizophrenic patients treated by non-ICM and 40 healthy volunteers were
matched by age, gender and body mass index; smokers were excluded. Between the
two groups, we compared the individuals' 5 min resting assessments of heart rate
variability and their HRQoL, which was measured using EuroQoL-5D (EQ-5D).
Patients with schizophrenia were assessed for psychopathology using the Positive
and Negative Syndrome Scale for Schizophrenia (PANSS). We examined the
relationship between heart rate variability measurements, HRQoL scores, PANSS
scores, and other clinical variables among the schizophrenic patients treated by
non-ICM. RESULTS: Compared to the controls, patients with schizophrenia showed a
significant impairment of autonomic modulation and a worse HRQoL. Cardiovagal
dysfunction among the schizophrenic patients could be predicted independently
based on lower educational level and more negative symptoms. Sympathetic
predominance was directly associated with anticholinergics use and EQ-5D using a
visual analogue scale (EQ-VAS). CONCLUSION: Patients with schizophrenia treated
by non-ICM show a significant impairment of their autonomic function and HRQoL
compared to the controls. Since the sympathovagal dysfunction is associated with
more negative symptoms or higher VAS score, the treatment of the negative
symptoms as well as the monitoring of HRQoL might help to manage cardiovascular
risk among these individuals. In addition, EQ-VAS scores must be interpreted more
cautiously in such a population.
PMID- 22073162
TI - Xenon pretreatment may prevent early memory decline after isoflurane anesthesia
and surgery in mice.
AB - Postoperative cognitive decline (POCD) is a common complication following
surgery, but its aetiology remains unclear. We hypothesized that xenon
pretreatment prevents POCD by suppressing the systemic inflammatory response or
through an associated protective signaling pathway involving heat shock protein
72 (Hsp72) and PI3-kinase. Twenty-four hours after establishing long-term memory
using fear conditioning training, C57BL/6 adult male mice (n = 12/group) received
one of the following treatments: 1) no treatment group (control); 2) 1.8%
isoflurane anesthesia; 3) 70% xenon anesthesia; 4) 1.8% isoflurane anesthesia
with surgery of the right hind leg tibia that was pinned and fractured; or 5)
pretreatment with 70% xenon for 20 minutes followed immediately by 1.8%
isoflurane anesthesia with the surgery described above. Assessments of
hippocampal-dependent memory were performed on days 1 and 7 after treatment.
Hsp72 and PI3-kinase in hippocampus, and plasma IL-1beta, were measured using
western blotting and ELISA respectively, from different cohorts on day 1 after
surgery. Isoflurane induced memory deficit after surgery was attenuated by xenon
pretreatment. Xenon pretreatment prevented the memory deficit typically seen on
day 1 (P = 0.04) but not on day 7 (P = 0.69) after surgery under isoflurane
anesthesia, when compared with animals that underwent surgery without
pretreatment. Xenon pretreatment modulated the expression of Hsp72 (P = 0.054)
but had no significant effect on PI3-kinase (P = 0.54), when compared to control.
Xenon pretreatment also reduced the plasma level increase of IL-1beta induced by
surgery (P = 0.028). Our data indicated that surgery and/or Isoflurane induced
memory deficit was attenuated by xenon pretreatment. This was associated with a
reduction in the plasma level of IL-1beta and an upregulation of Hsp72 in the
hippocampus.
PMID- 22073163
TI - Designer TGFbeta superfamily ligands with diversified functionality.
AB - Transforming Growth Factor--beta (TGFbeta) superfamily ligands, including
Activins, Growth and Differentiation Factors (GDFs), and Bone Morphogenetic
Proteins (BMPs), are excellent targets for protein-based therapeutics because of
their pervasiveness in numerous developmental and cellular processes. We
developed a strategy termed RASCH (Random Assembly of Segmental Chimera and
Heteromer), to engineer chemically-refoldable TGFbeta superfamily ligands with
unique signaling properties. One of these engineered ligands, AB208, created from
Activin-betaA and BMP-2 sequences, exhibits the refolding characteristics of BMP
2 while possessing Activin-like signaling attributes. Further, we find several
additional ligands, AB204, AB211, and AB215, which initiate the intracellular
Smad1-mediated signaling pathways more strongly than BMP-2 but show no
sensitivity to the natural BMP antagonist Noggin unlike natural BMP-2. In another
design, incorporation of a short N-terminal segment from BMP-2 was sufficient to
enable chemical refolding of BMP-9, without which was never produced nor
refolded. Our studies show that the RASCH strategy enables us to expand the
functional repertoire of TGFbeta superfamily ligands through development of novel
chimeric TGFbeta ligands with diverse biological and clinical values.
PMID- 22073164
TI - Acute overactive endocannabinoid signaling induces glucose intolerance, hepatic
steatosis, and novel cannabinoid receptor 1 responsive genes.
AB - Endocannabinoids regulate energy balance and lipid metabolism by stimulating the
cannabinoid receptor type 1 (CB1). Genetic deletion and pharmacological
antagonism have shown that CB1 signaling is necessary for the development of
obesity and related metabolic disturbances. However, the sufficiency of
endogenously produced endocannabinoids to cause hepatic lipid accumulation and
insulin resistance, independent of food intake, has not been demonstrated. Here,
we show that a single administration of isopropyl dodecylfluorophosphonate
(IDFP), perhaps the most potent pharmacological inhibitor of endocannabinoid
degradation, increases hepatic triglycerides (TG) and induces insulin resistance
in mice. These effects involve increased CB1 signaling, as they are mitigated by
pre-administration of a CB1 antagonist (AM251) and in CB1 knockout mice. Despite
the strong physiological effects of CB1 on hepatic lipid and glucose metabolism,
little is known about the downstream targets responsible for these effects. To
elucidate transcriptional targets of CB1 signaling, we performed microarrays on
hepatic RNA isolated from DMSO (control), IDFP and AM251/IDFP-treated mice. The
gene for the secreted glycoprotein lipocalin 2 (lcn2), which has been implicated
in obesity and insulin resistance, was among those most responsive to alterations
in CB1 signaling. The expression pattern of IDFP mice segregated from DMSO mice
in hierarchal cluster analysis and AM251 pre-administration reduced (>50%) the
majority (303 of 533) of the IDFP induced alterations. Pathway analysis revealed
that IDFP altered expression of genes involved in lipid, fatty acid and steroid
metabolism, the acute phase response, and amino acid metabolism in a CB1
dependent manner. PCR confirmed array results of key target genes in multiple
independent experiments. Overall, we show that acute IDFP treatment induces
hepatic TG accumulation and insulin resistance, at least in part through the CB1
receptor, and identify novel cannabinoid responsive genes.
PMID- 22073165
TI - The ghosts in the computer: the role of agency and animacy attributions in "ghost
controls".
AB - Three studies evaluated the role of 4-year-old children's agency- and animacy
attributions when learning from a computerized ghost control (GC). In GCs,
participants observe events occurring without an apparent agent, as if executed
by a "ghost" or unobserved causal forces. Using a touch-screen, children in
Experiment 1 responded to three pictures in a specific order under three learning
conditions: (i) trial-and-error (Baseline), (ii) imitation and (iii) Ghost
Control. Before testing in the GC, children were read one of three scripts that
determined agency attributions. Post-test assessments confirmed that all children
attributed agency to the computer and learned in all GCs. In Experiment 2,
children were not trained on the computer prior to testing, and no scripts were
used. Three different GCs, varying in number of agency cues, were used. Children
failed to learn in these GCs, yet attributed agency and animacy to the computer.
Experiment 3 evaluated whether children could learn from a human model in the
absence of training under conditions where the information presented by the model
and the computer was either consistent or inconsistent. Children evidenced
learning in both of these conditions. Overall, learning in social conditions
(Exp. 3) was significantly better than learning in GCs (Exp. 2). These results,
together with other published research, suggest that children privilege social
over non-social sources of information and are generally more adept at learning
novel tasks from a human than from a computer or GC.
PMID- 22073166
TI - Differential requirement for the CD45 splicing regulator hnRNPLL for accumulation
of NKT and conventional T cells.
AB - Natural killer T (NKT) cells represent an important regulatory T cell subset that
develops in the thymus and contains immature (NK1.1(lo)) and mature (NK1.1(hi))
cell subsets. Here we show in mice that an inherited mutation in heterogeneous
ribonucleoprotein L-like protein (hnRNPLL(thunder)), that shortens the survival
of conventional T cells, has no discernible effect on NKT cell development,
homeostasis or effector function. Thus, Hnrpll deficiency effectively increases
the NKT?T cell ratio in the periphery. However, Hnrpll mutation disrupts CD45RA,
RB and RC exon silencing of the Ptprc mRNA in both NKT and conventional T cells,
and leads to a comparably dramatic shift to high molecular weight CD45 isoforms.
In addition, Hnrpll mutation has a cell intrinsic effect on the expression of the
developmentally regulated cell surface marker NK1.1 on NKT cells in the thymus
and periphery but does not affect cell numbers. Therefore our results highlight
both overlapping and divergent roles for hnRNPLL between conventional T cells and
NKT cells. In both cell subsets it is required as a trans-acting factor to
regulate alternative splicing of the Ptprc mRNA, but it is only required for
survival of conventional T cells.
PMID- 22073167
TI - Computation emerges from adaptive synchronization of networking neurons.
AB - The activity of networking neurons is largely characterized by the alternation of
synchronous and asynchronous spiking sequences. One of the most relevant
challenges that scientists are facing today is, then, relating that evidence with
the fundamental mechanisms through which the brain computes and processes
information, as well as with the arousal (or progress) of a number of
neurological illnesses. In other words, the problem is how to associate an
organized dynamics of interacting neural assemblies to a computational task. Here
we show that computation can be seen as a feature emerging from the collective
dynamics of an ensemble of networking neurons, which interact by means of
adaptive dynamical connections. Namely, by associating logical states to
synchronous neuron's dynamics, we show how the usual Boolean logics can be fully
recovered, and a universal Turing machine can be constructed. Furthermore, we
show that, besides the static binary gates, a wider class of logical operations
can be efficiently constructed as the fundamental computational elements interact
within an adaptive network, each operation being represented by a specific motif.
Our approach qualitatively differs from the past attempts to encode information
and compute with complex systems, where computation was instead the consequence
of the application of control loops enforcing a desired state into the specific
system's dynamics. Being the result of an emergent process, the computation
mechanism here described is not limited to a binary Boolean logic, but it can
involve a much larger number of states. As such, our results can enlighten new
concepts for the understanding of the real computing processes taking place in
the brain.
PMID- 22073168
TI - "Price-quakes" shaking the world's stock exchanges.
AB - BACKGROUND: Systemic risk has received much more awareness after the excessive
risk taking by major financial instituations pushed the world's financial system
into what many considered a state of near systemic failure in 2008. The IMF for
example in its yearly 2009 Global Financial Stability Report acknowledged the
lack of proper tools and research on the topic. Understanding how disruptions can
propagate across financial markets is therefore of utmost importance.
METHODOLOGY/PRINCIPAL FINDINGS: Here, we use empirical data to show that the
world's markets have a non-linear threshold response to events, consistent with
the hypothesis that traders exhibit change blindness. Change blindness is the
tendency of humans to ignore small changes and to react disproportionately to
large events. As we show, this may be responsible for generating cascading events
-pricequakes--in the world's markets. We propose a network model of the world's
stock exchanges that predicts how an individual stock exchange should be priced
in terms of the performance of the global market of exchanges, but with change
blindness included in the pricing. The model has a direct correspondence to
models of earth tectonic plate movements developed in physics to describe the
slip-stick movement of blocks linked via spring forces. CONCLUSIONS/SIGNIFICANCE:
We have shown how the price dynamics of the world's stock exchanges follows a
dynamics of build-up and release of stress, similar to earthquakes. The nonlinear
response allows us to classify price movements of a given stock index as either
being generated internally, due to specific economic news for the country in
question, or externally, by the ensemble of the world's stock exchanges reacting
together like a complex system. The model may provide new insight into the
origins and thereby also prevent systemic risks in the global financial network.
PMID- 22073169
TI - Divergent changes in plant community composition under 3-decade grazing exclusion
in continental steppe.
AB - An understanding of the factors controlling plant community composition will
allow improved prediction of the responses of plant communities to natural and
anthropogenic environmental change. Using monitoring data from 1980 to 2009, we
quantified the changes in community composition in Leymus chinensis and Stipa
grandis dominated grasslands in Inner Mongolia under long-term grazing-exclusion
and free-grazing conditions, respectively. We demonstrated that the practice of
long-term grazing exclusion has significant effects on the heterogeneity, the
dominant species, and the community composition in the two grasslands. The
community composition of L. chinensis and S. grandis grasslands exhibited
directional changes with time under long-term grazing exclusion. Under free
grazing, the L. chinensis community changed directionally with time, but the
pattern of change was stochastic in the S. grandis community. We attributed the
divergent responses to long-term grazing exclusion in the S. grandis and L.
chinensis grasslands to litter accumulation and changes in the microenvironment
after grazing exclusion, which collectively altered the growth and regeneration
of the dominant species. The changes in the grazed grasslands were primarily
determined by the selective feeding of sheep during long-term heavy grazing.
Overall, the responses of the community composition of the Inner Mongolian
grasslands to long-term grazing exclusion and heavy grazing were divergent, and
depended primarily on the grassland type. Our findings provide new insights into
the role of grazing in the maintenance of community structure and function and
therefore have important implications for grassland management.
PMID- 22073170
TI - An essential farnesylated kinesin in Trypanosoma brucei.
AB - Kinesins are a family of motor proteins conserved throughout eukaryotes. In our
present study we characterize a novel kinesin, Kinesin(CaaX), orthologs of which
are only found in the kinetoplastids and not other eukaryotes. Kinesin(CaaX) has
the CVIM amino acids at the C-terminus, and CVIM was previously shown to be an
ideal signal for protein farnesylation in T. brucei. In this study we show
Kinesin(CaaX) is farnesylated using radiolabeling studies and that farnesylation
is dependent on the CVIM motif. Using RNA interference, we show Kinesin(CaaX) is
essential for T. brucei proliferation. Additionally RNAi Kinesin(CaaX) depleted
T. brucei are 4 fold more sensitive to the protein farneysltransferase (PFT)
inhibitor LN-59, suggesting that Kinesin(CaaX) is a target of PFT inhibitors'
action to block proliferation of T. brucei. Using tetracycline-induced exogenous
tagged Kinesin(CaaX) and Kinesin(CVIMdeletion) (non-farnesylated Kinesin)
expression lines in T. brucei, we demonstrate Kinesin(CaaX) is farnesylated in T.
brucei cells and this farnesylation has functional effects. In cells expressing a
CaaX-deleted version of Kinesin, the localization is more diffuse which suggests
correct localization depends on farnesylation. Through our investigation of cell
cycle, nucleus and kinetoplast quantitation and immunofluorescence assays an
important role is suggested for Kinesin(CaaX) in the separation of nuclei and
kinetoplasts during and after they have been replicated. Taken together, our work
suggests Kinesin(CaaX) is a target of PFT inhibition of T. brucei cell
proliferation and Kinesin(CaaX) functions through both the motor and farnesyl
groups.
PMID- 22073171
TI - Phenotypic pattern-based assay for dynamically monitoring host cellular responses
to Salmonella infections.
AB - The interaction between mammalian host cells and bacteria is a dynamic process,
and the underlying pathologic mechanisms are poorly characterized. Limited
information describing the host-bacterial interaction is based mainly on studies
using label-based endpoint assays that detect changes in cell behavior at a given
time point, yielding incomplete information. In this paper, a novel, label-free,
real-time cell-detection system based on electronic impedance sensor technology
was adapted to dynamically monitor the entire process of intestinal epithelial
cells response to Salmonella infection. Changes in cell morphology and attachment
were quantitatively and continuously recorded following infection. The resulting
impedance-based time-dependent cell response profiles (TCRPs) were compared to
standard assays and showed good correlation and sensitivity. Biochemical assays
further suggested that TCRPs were correlated with cytoskeleton-associated
morphological dynamics, which can be largely attenuated by inhibitions of actin
and microtubule polymerization. Collectively, our data indicate that cell
electrode impedance measurements not only provide a novel, real-time, label-free
method for investigating bacterial infection but also help advance our
understanding of host responses in a more physiological and continuous manner
that is beyond the scope of current endpoint assays.
PMID- 22073172
TI - Differences among major taxa in the extent of ecological knowledge across four
major ecosystems.
AB - Existing knowledge shapes our understanding of ecosystems and is critical for
ecosystem-based management of the world's natural resources. Typically this
knowledge is biased among taxa, with some taxa far better studied than others,
but the extent of this bias is poorly known. In conjunction with the publically
available World Registry of Marine Species database (WoRMS) and one of the
world's premier electronic scientific literature databases (Web of Science(r)), a
text mining approach is used to examine the distribution of existing ecological
knowledge among taxa in coral reef, mangrove, seagrass and kelp bed ecosystems.
We found that for each of these ecosystems, most research has been limited to a
few groups of organisms. While this bias clearly reflects the perceived
importance of some taxa as commercially or ecologically valuable, the relative
lack of research of other taxonomic groups highlights the problem that some key
taxa and associated ecosystem processes they affect may be poorly understood or
completely ignored. The approach outlined here could be applied to any type of
ecosystem for analyzing previous research effort and identifying knowledge gaps
in order to improve ecosystem-based conservation and management.
PMID- 22073173
TI - Multiple phenotypes resulting from a mutagenesis screen for pharynx muscle
mutations in Caenorhabditis elegans.
AB - We describe a novel screen to isolate pharyngeal cell morphology mutants in
Caenorhabditis elegans using myo-2::GFP to rapidly identify abnormally shaped
pharynxes in EMS (Ethyl Methanesulfonate) mutagenized worms. We observed over 83
C. elegans lines with distinctive pharyngeal phenotypes in worms surviving to the
L1 larval stage, with phenotypes ranging from short pharynx, unattached pharynx,
missing cells, asymmetric morphology, and non-adherent pharynx cells. Thirteen of
these mutations have been chromosomally mapped using Single Nucleotide
Polymorphisms (SNPs) and deficiency strain complementation. Our studies have
focused on genetically mapping and functionally testing two phenotypes, the short
pharynx and the loss of muscle cohesion phenotypes. We have also identified new
alleles of sma-1, and our screen suggests many genes directing pharynx assembly
and structure may be either pharynx specific or less critical in other tissues.
PMID- 22073174
TI - Marital status and mortality among middle age and elderly men and women in urban
Shanghai.
AB - BACKGROUND: Previous studies have suggested that marital status is associated
with mortality, but few studies have been conducted in China where increasing
aging population and divorce rates may have major impact on health and total
mortality. METHODS: We examined the association of marital status with mortality
using data from the Shanghai Women's Health Study (1996-2009) and Shanghai Men's
Health Study (2002-2009), two population-based cohort studies of 74,942 women
aged 40-70 years and 61,500 men aged 40-74 years at the study enrollment. Deaths
were identified by biennial home visits and record linkage with the vital
statistics registry. Marital status was categorized as married, never married,
divorced, widowed, and all unmarried categories combined. Cox regression models
were used to derive hazard ratios (HR) and 95% confidence interval (CI). RESULTS:
Unmarried and widowed women had an increased all-cause HR = 1.11, 95% CI: 1.03,
1.21 and HR = 1.10, 95% CI: 1.02, 1.20 respectively) and cancer (HR = 1.17, 95%
CI: 1.04, 1.32 and HR = 1.18, 95% CI: 1.04, 1.34 respectively) mortality. Never
married women had excess all-cause mortality (HR = 1.46, 95% CI: 1.03, 2.09).
Divorce was associated with elevated cardiovascular disease (CVD) mortality in
women (HR = 1.47, 95% CI: 1.01, 2.13) and elevated all-cause mortality (HR =
2.45, 95% CI: 1.55, 3.86) in men. Amongst men, not being married was associated
with excess all-cause (HR = 1.45, 95% CI: 1.12, 1.88) and CVD (HR = 1.65, 95% CI:
1.07, 2.54) mortality. CONCLUSIONS: Marriage is associated with decreased all
cause mortality and CVD mortality, in particular, among both Chinese men and
women.
PMID- 22073175
TI - Vaginal microbiome and epithelial gene array in post-menopausal women with
moderate to severe dryness.
AB - After menopause, many women experience vaginal dryness and atrophy of tissue,
often attributed to the loss of estrogen. An understudied aspect of vaginal
health in women who experience dryness due to atrophy is the role of the resident
microbes. It is known that the microbiota has an important role in healthy
vaginal homeostasis, including maintaining the pH balance and excluding
pathogens. The objectives of this study were twofold: first to identify the
microbiome of post-menopausal women with and without vaginal dryness and symptoms
of atrophy; and secondly to examine any differences in epithelial gene expression
associated with atrophy. The vaginal microbiome of 32 post-menopausal women was
profiled using Illumina sequencing of the V6 region of the 16S rRNA gene. Sixteen
subjects were selected for follow-up sampling every two weeks for 10 weeks. In
addition, 10 epithelial RNA samples (6 healthy and 4 experiencing vaginal
dryness) were acquired for gene expression analysis by Affymetrix Human Gene
array. The microbiota abundance profiles were relatively stable over 10 weeks
compared to previously published data on premenopausal women. There was an
inverse correlation between Lactobacillus ratio and dryness and an increased
bacterial diversity in women experiencing moderate to severe vaginal dryness. In
healthy participants, Lactobacillus iners and L. crispatus were generally the
most abundant, countering the long-held view that lactobacilli are absent or
depleted in menopause. Vaginal dryness and atrophy were associated with down
regulation of human genes involved in maintenance of epithelial structure and
barrier function, while those associated with inflammation were up-regulated
consistent with the adverse clinical presentation.
PMID- 22073176
TI - Transcriptional profiling of protein expression related genes of Pichia pastoris
under simulated microgravity.
AB - The physiological responses and transcription profiling of Pichia pastoris GS115
to simulated microgravity (SMG) were substantially changed compared with normal
gravity (NG) control. We previously reported that the recombinant P. pastoris
grew faster under SMG than NG during methanol induction phase and the
efficiencies of recombinant enzyme production and secretion were enhanced under
SMG, which was considered as the consequence of changed transcriptional levels of
some key genes. In this work, transcriptiome profiling of P. pastoris cultured
under SMG and NG conditions at exponential and stationary phases were determined
using next-generation sequencing (NGS) technologies. Four categories of 141 genes
function as methanol utilization, protein chaperone, RNA polymerase and protein
transportation or secretion classified according to Gene Ontology (GO) were
chosen to be analyzed on the basis of NGS results. And 80 significantly changed
genes were weighted and estimated by Cluster 3.0. It was found that most genes of
methanol metabolism (85% of 20 genes) and protein transportation or secretion
(82.2% of 45 genes) were significantly up-regulated under SMG. Furthermore the
quantity and fold change of up-regulated genes in exponential phase of each
category were higher than those of stationary phase. The results indicate that
the up-regulated genes of methanol metabolism and protein transportation or
secretion mainly contribute to enhanced production and secretion of the
recombinant protein under SMG.
PMID- 22073177
TI - A novel dimer-tetramer transition captured by the crystal structure of the HIV-1
Nef.
AB - HIV-1 Nef modulates disease progression through interactions with over 30 host
proteins. Individual chains fold into membrane-interacting N-terminal and C
terminal core (Nef(core)) domains respectively. Nef exists as small oligomers
near membranes and associates into higher oligomers such as tetramers or
hexadecamers in the cytoplasm. Earlier structures of the Nef(core) in apo and
complexed forms with the Fyn-kinase SH3 domain revealed dimeric association
details and the role of the conserved PXXP recognition motif (residues 72-78) of
Nef in SH3-domain interactions. The crystal structure of the tetrameric Nef
reported here corresponds to the elusive cytoplasmic stage. Comparative analyses
show that subunits of Nef(core) dimers (open conformation) swing out with a
relative displacement of ~22 A and rotation of ~174 degrees to form the 'closed'
tetrameric structure. The changes to the association are around Asp125, a
conserved residue important for viral replication and the important XR motif
(residues 107-108). The tetramer associates through C4 symmetry instead of the
222 symmetry expected when two dimers associate together. This novel dimer
tetramer transition agrees with earlier solution studies including small angle X
ray scattering, analytical ultracentrifugation, dynamic laser light scattering
and our glutaraldehyde cross-linking experiments. Comparisons with the Nef(core)-
Fyn-SH3 domain complexes reveal that the PXXP motif that interacts with the SH3
domain in the dimeric form is sterically occluded in the tetramer. However the
151-180 loop that is distal to the PXXP motif and contains several protein
interaction motifs remains accessible. The results suggest how changes to the
oligomeric state of Nef can help it distinguish between protein partners.
PMID- 22073178
TI - Characterization of intrinsically disordered prostate associated gene (PAGE5) at
single residue resolution by NMR spectroscopy.
AB - BACKGROUND: The Cancer-Testis antigens (CTA) are proteins expressed in human germ
line and certain cancer cells. CTAs form a large gene family, representing 10% of
X-chromosomal genes. They have high potential for cancer-specific immunotherapy.
However, their biological functions are currently unknown. Prostate associated
genes (PAGE) are characterized as CTAs. PAGE5 is one of six proteins belonging to
this protein family, also called CT16. METHODOLOGY/PRINCIPAL FINDINGS: In this
study we show, using bioinformatics, chromatographic and solution state NMR
spectroscopic methods, that PAGE5 is an intrinsically disordered protein (IDP).
CONCLUSION/SIGNIFICANCE: The study stands out as the first time structural
characterization of the PAGE family protein and introduces how solution state NMR
spectroscopy can be effectively utilized for identification of molecular
recognition regions (MoRF) in IDPs, known often as transiently populated
secondary structures.
PMID- 22073179
TI - Interleukin-1 as an injury signal mobilizes retinyl esters in hepatic stellate
cells through down regulation of lecithin retinol acyltransferase.
AB - Retinoids are mostly stored as retinyl esters in hepatic stellate cells (HSCs)
through esterification of retinol and fatty acid, catalyzed by lecithin-retinol
acyltransferase (LRAT). This study is designated to address how retinyl esters
are mobilized in liver injury for tissue repair and wound healing. Initially, we
speculated that acute inflammatory cytokines may act as injury signal to mobilize
retinyl esters by down-regulation of LRAT in HSCs. By examining a panel of
cytokines we found interleukin-1 (IL-1) can potently down-regulate mRNA and
protein levels of LRAT, resulting in mobilization of retinyl esters in primary
rat HSCs. To simulate the microenvironment in the space of Disse, HSCs were
embedded in three-dimensional extracellular matrix, by which HSCs retaine
quiescent phenotypes, indicated by up-regulation of LRAT and accumulation of
lipid droplets. Upon IL-1 stimulation, LRAT expression went down together with
mobilization of lipid droplets. Secreted factors from Kupffer cells were able to
suppress LRAT expression in HSCs, which was neutralized by IL-1 receptor
antagonist. To explore the underlying mechanism we noted that the stability of
LRAT protein is not significantly regulated by IL-1, indicating the regulation is
likely at transcriptional level. Indeed, we found that IL-1 failed to down
regulate recombinant LRAT protein expressed in HSCs by adenovirus, while
transcription of endogenous LRAT was promptly decreased. Following liver damage,
IL-1 was promptly elevated in a close pace with down-regulation of LRAT
transcription, implying their causative relationship. After administration of IL
1, retinyl ester levels in the liver, as measured by LC/MS/MS, decreased in
association with down-regulation of LRAT. Likewise, IL-1 receptor knockout mice
were protected from injury-induced down-regulation of LRAT. In summary, we
identified IL-1 as an injury signal to mobilize retinyl ester in HSCs through
down-regulation of LRAT, implying a mechanism governing transition from hepatic
injury to wound healing.
PMID- 22073180
TI - Rapid evolution of the mitochondrial genome in Chalcidoid wasps (Hymenoptera:
Chalcidoidea) driven by parasitic lifestyles.
AB - Among the Chalcidoids, hymenopteran parasitic wasps that have diversified
lifestyles, a partial mitochondrial genome has been reported only from Nasonia.
This genome had many unusual features, especially a dramatic reorganization and a
high rate of evolution. Comparisons based on more mitochondrial genomic data from
the same superfamily were required to reveal weather these unusual features are
peculiar to Nasonia or not. In the present study, we sequenced the nearly
complete mitochondrial genomes from the species Philotrypesis. pilosa and
Philotrypesis sp., both of which were associated with Ficus hispida. The acquired
data included all of the protein-coding genes, rRNAs, and most of the tRNAs, and
in P. pilosa the control region. High levels of nucleotide divergence separated
the two species. A comparison of all available hymenopteran mitochondrial genomes
(including a submitted partial genome from Ceratosolen solmsi) revealed that the
Chalcidoids had dramatic mitochondrial gene rearrangments, involved not only the
tRNAs, but also several protein-coding genes. The AT-rich control region was
translocated and inverted in Philotrypesis. The mitochondrial genomes also
exhibited rapid rates of evolution involving elevated nonsynonymous mutations.
PMID- 22073181
TI - A numerical analysis model for interpretation of flow cytometric studies of ex
vivo phagocytosis.
AB - The study of ex vivo phagocytosis via flow cytometry requires that one
distinguish experimentally between uptake and adsorption of fluorescently labeled
targets by phagocytes. Removal of the latter quantity from the analysis is the
most common means of analyzing such data. Because the probability of phagocytosis
is a function of the probability of adsorption, and because partially quenched
fluorescence after uptake often overlaps with that of negative controls, this
approach is suboptimal at best. Here, we describe a numerical analysis model
which overcomes these limitations. We posit that the random adsorption of targets
to macrophages, and subsequent phagocytosis, is a function of three parameters:
the ratio of targets to macrophages (m), the mean fluorescence intensity imparted
to the phagocyte by the internalized target (alpha), and the probability of
phagocytosis per adsorbed target (p). The potential values of these parameters
define a parameter space and their values at any point in parameter space can be
used to predict the fraction of adsorption(+) and [adsorption(-),
phagocytosis(+)] cells that might be observed experimentally. By systematically
evaluating the points in parameter space for the latter two values and comparing
them to experimental data, the model arrives at sets of parameter values that
optimally predict such data. Using activated THP-1 cells as macrophages and
platelets as targets, we validate the model by demonstrating that it can
distinguish between the effects of experimental changes in m, alpha, and p.
Finally, we use the model to demonstrate that platelets from a congenitally
thrombocytopenic WAS patient show an increased probability of ex vivo
phagocytosis. This finding correlates with other evidence that rapid in vivo
platelet consumption contributes significantly to the thrombocytopenia of WAS.
Our numerical analysis method represents a useful and innovative approach to
multivariate analysis.
PMID- 22073182
TI - Operational challenges in diagnosing multi-drug resistant TB and initiating
treatment in Andhra Pradesh, India.
AB - BACKGROUND: Revised National TB Control Programme (RNTCP), Andhra Pradesh, India.
There is limited information on whether MDR-TB suspects are identified, undergo
diagnostic assessment and are initiated on treatment according to the programme
guidelines. OBJECTIVES: To assess i) using the programme definition, the number
and proportion of MDR-TB suspects in a large cohort of TB patients on first-line
treatment under RNTCP ii) the proportion of these MDR-TB suspects who underwent
diagnosis for MDR-TB and iii) the number and proportion of those diagnosed as MDR
TB who were successfully initiated on treatment. METHODS: A retrospective cohort
analysis, by reviewing RNTCP records and reports, was conducted in four districts
of Andhra Pradesh, India, among patients registered for first line treatment
during October 2008 to December 2009. RESULTS: Among 23,999 TB patients
registered for treatment there were 559 (2%) MDR-TB suspects (according to
programme definition) of which 307 (55%) underwent diagnosis and amongst these
169 (55%) were found to be MDR-TB. Of the MDR-TB patients, 112 (66%) were
successfully initiated on treatment. Amongst those eligible for MDR-TB services,
significant proportions are lost during the diagnostic and treatment initiation
pathway due to a variety of operational challenges. The programme needs to
urgently address these challenges for effective delivery and utilisation of the
MDR-TB services.
PMID- 22073183
TI - Visualization of painful experiences believed to trigger the activation of
affective and emotional brain regions in subjects with low back pain.
AB - In the management of clinical low back pain (LBP), actual damage to lower back
areas such as muscles, intervertebral discs etc. are normally targeted for
therapy. However, LBP may involve not only sensory pain, but also underlying
affective pain which may also play an important role overall in painful events.
Therefore we hypothesized that visualization of a painful event may trigger
painful memories, thus provoking the affective dimension of pain. The present
study investigated neural correlates of affect processing in subjects with LBP (n
= 11) and subjects without LBP (n = 11) through the use of virtual LBP stimuli.
Whole brain functional magnetic resonance imaging (MRI) was performed for all
subjects while they were shown a picture of a man carrying luggage in a half
crouching position. All subjects with LBP reported experiencing discomfort and 7
LBP subjects reported experiencing pain. In contrast to subjects without LBP,
subjects with LBP displayed activation of the cortical area related to pain and
emotions: the insula, supplementary motor area, premotor area, thalamus,
pulvinar, posterior cingulate cortex, hippocampus, fusiform, gyrus, and
cerebellum. These results suggest that the virtual LBP stimuli caused memory
retrieval of unpleasant experiences and therefore may be associated with
prolonged chronic LBP conditions.
PMID- 22073184
TI - PLGA, PLGA-TMC and TMC-TPP nanoparticles differentially modulate the outcome of
nasal vaccination by inducing tolerance or enhancing humoral immunity.
AB - Development of vaccines in autoimmune diseases has received wide attention over
the last decade. However, many vaccines showed limited clinical efficacy. To
enhance vaccine efficacy in infectious diseases, biocompatible and biodegradable
polymeric nanoparticles have gained interest as antigen delivery systems. We
investigated in mice whether antigen-encapsulated PLGA (poly-lactic-co-glycolic
acid), PLGA-TMC (N-trimethyl chitosan) or TMC-TPP (tri-polyphosphate)
nanoparticles can also be used to modulate the immunological outcome after nasal
vaccination. These three nanoparticles enhanced the antigen presentation by
dendritic cells, as shown by increased in vitro and in vivo CD4(+) T-cell
proliferation. However, only nasal PLGA nanoparticles were found to induce an
immunoregulatory response as shown by enhanced Foxp3 expression in the
nasopharynx associated lymphoid tissue and cervical lymph nodes. Nasal
administration of OVA-containing PLGA particle resulted in functional suppression
of an OVA-specific Th-1 mediated delayed-type hypersensitivity reaction, while
TMC-TPP nanoparticles induced humoral immunity, which coincided with the enhanced
generation of OVA-specific B-cells in the cervical lymph nodes. Intranasal
treatment with Hsp70-mB29a peptide-loaded PLGA nanoparticles suppressed
proteoglycan-induced arthritis, leading to a significant reduction of disease. We
have uncovered a role for PLGA nanoparticles to enhance CD4(+) T-cell mediated
immunomodulation after nasal application. The exploitation of this differential
regulation of nanoparticles to modulate nasal immune responses can lead to
innovative vaccine development for prophylactic or therapeutic vaccination in
infectious or autoimmune diseases.
PMID- 22073185
TI - Estimating gene flow between refuges and crops: a case study of the biological
control of Eriosoma lanigerum by Aphelinus mali in apple orchards.
AB - Parasitoid disturbance populations in agroecosystems can be maintained through
the provision of habitat refuges with host resources. However, specialized
herbivores that feed on different host plants have been shown to form host
specialized races. Parasitoids may subsequently specialize on these herbivore
host races and therefore prefer parasitizing insects from the refuge, avoiding
foraging on the crop. Evidence is therefore required that parasitoids are able to
move between the refuge and the crop and that the refuge is a source of
parasitoids, without being an important source of herbivore pests. A North-South
transect trough the Chilean Central Valley was sampled, including apple orchards
and surrounding Pyracantha coccinea (M. Roem) (Rosales: Rosacea) hedges that were
host of Eriosoma lanigerum (Hemiptera: Aphididae), a globally important aphid
pest of cultivated apples. At each orchard, aphid colonies were collected and
taken back to the laboratory to sample the emerging hymenopteran parasitoid
Aphelinus mali (Hymenoptera: Aphelinidae). Aphid and parasitoid individuals were
genotyped using species-specific microsatellite loci and genetic variability was
assessed. By studying genetic variation, natural geographic barriers of the aphid
pest became evident and some evidence for incipient host-plant specialization was
found. However, this had no effect on the population-genetic features of its most
important parasitoid. In conclusion, the lack of genetic differentiation among
the parasitoids suggests the existence of a single large and panmictic
population, which could parasite aphids on apple orchards and on P. coccinea
hedges. The latter could thus comprise a suitable and putative refuge for
parasitoids, which could be used to increase the effectiveness of biological
control. Moreover, the strong geographical differentiation of the aphid suggests
local reinfestations occur mainly from other apple orchards with only low
reinfestation from P. cocinnea hedges. Finally, we propose that the putative
refuge could act as a source of parasitoids without being a major source of
aphids.
PMID- 22073186
TI - Archaeological soybean (Glycine max) in East Asia: does size matter?
AB - The recently acquired archaeological record for soybean from Japan, China and
Korea is shedding light on the context in which this important economic plant
became associated with people and was domesticated. This paper examines
archaeological (charred) soybean seed size variation to determine what insight
can be gained from a comprehensive comparison of 949 specimens from 22 sites.
Seed length alone appears to represent seed size change through time, although
the length * width * thickness product has the potential to provide better size
change resolution. A widespread early association of small seeded soybean is as
old as 9000-8600 cal BP in northern China and 7000 cal BP in Japan. Direct AMS
radiocarbon dates on charred soybean seeds indicate selection resulted in large
seed sizes in Japan by 5000 cal BP (Middle Jomon) and in Korea by 3000 cal BP
(Early Mumun). Soybean seeds recovered in China from the Shang through Han
periods are similar in length to the large Korean and Japanese specimens, but the
overall size of the large Middle and Late Jomon, Early Mumun through Three
Kingdom seeds is significantly larger than any of the Chinese specimens. The
archaeological record appears to disconfirm the hypothesis of a single
domestication of soybean and supports the view informed by recent phyologenetic
research that soybean was domesticated in several locations in East Asia.
PMID- 22073187
TI - Context mediates antimicrobial efficacy of kinocidin congener peptide RP-1.
AB - Structure-mechanism relationships are key determinants of host defense peptide
efficacy. These relationships are influenced by anatomic, physiologic and
microbiologic contexts. Structure-mechanism correlates were assessed for the
synthetic peptide RP-1, modeled on microbicidal domains of platelet kinocidins.
Antimicrobial efficacies and mechanisms of action against susceptible ((S)) or
resistant ((R)) Salmonella typhimurium (ST), Staphylococcus aureus (SA), and
Candida albicans (CA) strain pairs were studied at pH 7.5 and 5.5. Although RP-1
was active against all study organisms, it exhibited greater efficacy against
bacteria at pH 7.5, but greater efficacy against CA at pH 5.5. RP-1 de-energized
SA and CA, but caused hyperpolarization of ST in both pH conditions. However, RP
1 permeabilized ST(S) and CA strains at both pH, whereas permeabilization was
modest for ST(R) or SA strain at either pH. Biochemical analysis, molecular
modeling, and FTIR spectroscopy data revealed that RP-1 has indistinguishable net
charge and backbone trajectories at pH 5.5 and 7.5. Yet, concordant with organism
specific efficacy, surface plasmon resonance, and FTIR, molecular dynamics
revealed modest helical order increases but greater RP-1 avidity and penetration
of bacterial than eukaryotic lipid systems, particularly at pH 7.5. The present
findings suggest that pH- and target-cell lipid contexts influence selective
antimicrobial efficacy and mechanisms of RP-1 action. These findings offer new
insights into selective antimicrobial efficacy and context-specificity of
antimicrobial peptides in host defense, and support design strategies for potent
anti-infective peptides with minimal concomitant cytotoxicity.
PMID- 22073188
TI - RNA sequencing reveals differential expression of mitochondrial and oxidation
reduction genes in the long-lived naked mole-rat when compared to mice.
AB - The naked mole-rat (Heterocephalus glaber) is a long-lived, cancer resistant
rodent and there is a great interest in identifying the adaptations responsible
for these and other of its unique traits. We employed RNA sequencing to compare
liver gene expression profiles between naked mole-rats and wild-derived mice. Our
results indicate that genes associated with oxidoreduction and mitochondria were
expressed at higher relative levels in naked mole-rats. The largest effect is
nearly 300-fold higher expression of epithelial cell adhesion molecule (Epcam), a
tumour-associated protein. Also of interest are the protease inhibitor, alpha2
macroglobulin (A2m), and the mitochondrial complex II subunit Sdhc, both ageing
related genes found strongly over-expressed in the naked mole-rat. These results
hint at possible candidates for specifying species differences in ageing and
cancer, and in particular suggest complex alterations in mitochondrial and
oxidation reduction pathways in the naked mole-rat. Our differential gene
expression analysis obviated the need for a reference naked mole-rat genome by
employing a combination of Illumina/Solexa and 454 platforms for transcriptome
sequencing and assembling transcriptome contigs of the non-sequenced species.
Overall, our work provides new research foci and methods for studying the naked
mole-rat's fascinating characteristics.
PMID- 22073189
TI - Exome-sequencing confirms DNAJC5 mutations as cause of adult neuronal ceroid
lipofuscinosis.
AB - We performed whole-exome sequencing in two autopsy-confirmed cases and an elderly
unaffected control from a multigenerational family with autosomal dominant
neuronal ceroid lipofuscinosis (ANCL). A novel single-nucleotide variation
(c.344T>G) in the DNAJC5 gene was identified. Mutational screening in an
independent family with autosomal dominant ANCL found an in-frame single codon
deletion (c.346_348 delCTC) resulting in a deletion of p.Leu116del. These
variants fulfill all genetic criteria for disease-causing mutations: they are
found in unrelated families with the same disease, exhibit complete segregation
between the mutation and the disease, and are absent in healthy controls. In
addition, the associated amino acid substitutions are located in evolutionarily
highly conserved residues and are predicted to functionally affect the encoded
protein (CSPalpha). The mutations are located in a cysteine-string domain, which
is required for membrane targeting/binding, palmitoylation, and oligomerization
of CSPalpha. We performed a comprehensive in silico analysis of the functional
and structural impact of both mutations on CSPalpha. We found that these
mutations dramatically decrease the affinity of CSPalpha for the membrane. We did
not identify any significant effect on palmitoylation status of CSPalpha.
However, a reduction of CSPalpha membrane affinity may change its palmitoylation
and affect proper intracellular sorting. We confirm that CSPalpha has a strong
intrinsic aggregation propensity; however, it is not modified by the mutations. A
complementary disease-network analysis suggests a potential interaction with
other NCLs genes/pathways. This is the first replication study of the
identification of DNAJC5 as the disease-causing gene for autosomal dominant ANCL.
The identification of the novel gene in ANCL will allow us to gain a better
understanding of the pathological mechanism of ANCLs and constitutes a great
advance toward the development of new molecular diagnostic tests and may lead to
the development of potential therapies.
PMID- 22073190
TI - Brain biochemistry and personality: a magnetic resonance spectroscopy study.
AB - To investigate the biochemical correlates of normal personality we utilized
proton magnetic resonance spectroscopy ((1)H-MRS). Our sample consisted of 60
subjects ranging in age from 18 to 32 (27 females). Personality was assessed with
the NEO Five-Factor Inventory (NEO-FFI). We measured brain biochemistry within
the precuneus, the cingulate cortex, and underlying white matter. We hypothesized
that brain biochemistry within these regions would predict individual differences
across major domains of personality functioning. Biochemical models were fit for
all personality domains including Neuroticism, Extraversion, Openness,
Agreeableness, and Conscientiousness. Our findings involved differing
concentrations of Choline (Cho), Creatine (Cre), and N-acetylaspartate (NAA) in
regions both within (i.e., posterior cingulate cortex) and white matter
underlying (i.e., precuneus) the Default Mode Network (DMN). These results add to
an emerging literature regarding personality neuroscience, and implicate
biochemical integrity within the default mode network as constraining major
personality domains within normal human subjects.
PMID- 22073191
TI - NNAlign: a web-based prediction method allowing non-expert end-user discovery of
sequence motifs in quantitative peptide data.
AB - Recent advances in high-throughput technologies have made it possible to generate
both gene and protein sequence data at an unprecedented rate and scale thereby
enabling entirely new "omics"-based approaches towards the analysis of complex
biological processes. However, the amount and complexity of data that even a
single experiment can produce seriously challenges researchers with limited
bioinformatics expertise, who need to handle, analyze and interpret the data
before it can be understood in a biological context. Thus, there is an unmet need
for tools allowing non-bioinformatics users to interpret large data sets. We have
recently developed a method, NNAlign, which is generally applicable to any
biological problem where quantitative peptide data is available. This method
efficiently identifies underlying sequence patterns by simultaneously aligning
peptide sequences and identifying motifs associated with quantitative readouts.
Here, we provide a web-based implementation of NNAlign allowing non-expert end
users to submit their data (optionally adjusting method parameters), and in
return receive a trained method (including a visual representation of the
identified motif) that subsequently can be used as prediction method and applied
to unknown proteins/peptides. We have successfully applied this method to several
different data sets including peptide microarray-derived sets containing more
than 100,000 data points. NNAlign is available online at
http://www.cbs.dtu.dk/services/NNAlign.
PMID- 22073192
TI - Objective measures of emotion related to brand attitude: a new way to quantify
emotion-related aspects relevant to marketing.
AB - With this study we wanted to test the hypothesis that individual like and dislike
as occurring in relation to brand attitude can be objectively assessed. First,
individuals rated common brands with respect to subjective preference. Then, they
volunteered in an experiment during which their most liked and disliked brand
names were visually presented while three different objective measures were
taken. Participant's eye blinks as responses to acoustic startle probes were
registered with electromyography (EMG) (i) and their skin conductance (ii) and
their heart rate (iii) were recorded. We found significantly reduced eye blink
amplitudes related to liked brand names compared to disliked brand names. This
finding suggests that visual perception of liked brand names elicits higher
degrees of pleasantness, more positive emotion and approach-oriented motivation
than visual perception of disliked brand names. Also, skin conductance and heart
rate were both reduced in case of liked versus disliked brand names. We conclude
that all our physiological measures highlight emotion-related differences
depending on the like and dislike toward individual brands. We suggest that
objective measures should be used more frequently to quantify emotion-related
aspects of brand attitude. In particular, there might be potential interest to
introduce startle reflex modulation to measure emotion-related impact during
product development, product design and various further fields relevant to
marketing. Our findings are discussed in relation to the idea that self reported
measures are most often cognitively polluted.
PMID- 22073193
TI - Decreasing mortality in severe sepsis and septic shock patients by implementing a
sepsis bundle in a hospital setting.
AB - BACKGROUND: The Surviving Sepsis Campaign (SSC) guidelines for the management of
severe sepsis (SS) and septic shock (SSh) have been recommended to reduce
morbidity and mortality. MATERIALS AND METHODS: A quasi-experimental study was
conducted in a medical-surgical ICU. Multiple interventions to optimize SS and
SSh shock patients' clinical outcomes were performed by applying sepsis bundles
(6- and 24-hour) in May 2006. We compared bundle compliance and patient outcomes
before (July 2005-April 2006) and after (May 2006-December 2009) implementation
of the interventions. RESULTS: A total of 564 SS and SSh patients were
identified. Prior to the intervention, compliance with the 6 hour-sepsis
resuscitation bundle was only 6%. After the intervention, compliance was as
follows: 8.2% from May to December 2006, 9.3% in 2007, 21.1% in 2008 and 13.7% in
2009. For the 24 hour-management bundle, baseline compliance was 15.0%. After the
intervention, compliance was 15.1% from May to December 2006, 21.4% in 2007,
27.8% in 2008 and 44.4% in 2009. The in-hospital mortality was 54.0% from July
2005 to April 2006, 41.1% from May to December 2006, 39.3% in 2007, 41.4% in 2008
and 16.2% in 2009. CONCLUSION: These results suggest reducing SS and SSh patient
mortality is a complex process that involves multiple performance measures and
interventions.
PMID- 22073194
TI - Divalent cations and redox conditions regulate the molecular structure and
function of visinin-like protein-1.
AB - The NCS protein Visinin-like Protein 1 (VILIP-1) transduces calcium signals in
the brain and serves as an effector of the non-retinal receptor guanylyl cyclases
(GCs) GC-A and GC-B, and nicotinic acetyl choline receptors (nAchR). Analysis of
the quaternary structure of VILIP-1 in solution reveals the existence of
monomeric and dimeric species, the relative contents of which are affected but
not exclusively regulated by divalent metal ions and Redox conditions. Using
small-angle X-ray scattering, we have investigated the low resolution structure
of the calcium-bound VILIP-1 dimer under reducing conditions. Scattering profiles
for samples with high monomeric and dimeric contents have been obtained. The
dimerization interface involves residues from EF-hand regions EF3 and EF4.Using
monolayer adsorption experiments, we show that myristoylated and unmyristoylated
VILIP-1 can bind lipid membranes. The presence of calcium only marginally
improves binding of the protein to the monolayer, suggesting that charged
residues at the protein surface may play a role in the binding process.In the
presence of calcium, VILIP-1 undergoes a conformational re-arrangement, exposing
previously hidden surfaces for interaction with protein partners. We hypothesise
a working model where dimeric VILIP-1 interacts with the membrane where it binds
membrane-bound receptors in a calcium-dependent manner.
PMID- 22073195
TI - Killing them with kindness? In-hive medications may inhibit xenobiotic efflux
transporters and endanger honey bees.
AB - BACKGROUND: Honey bees (Apis mellifera) have recently experienced higher than
normal overwintering colony losses. Many factors have been evoked to explain the
losses, among which are the presence of residues of pesticides and veterinary
products in hives. Multiple residues are present at the same time, though most
often in low concentrations so that no single product has yet been associated
with losses. Involvement of a combination of residues to losses may however not
be excluded. To understand the impact of an exposure to combined residues on
honey bees, we propose a mechanism-based strategy, focusing here on Multi-Drug
Resistance (MDR) transporters as mediators of those interactions.
METHODOLOGY/PRINCIPAL FINDINGS: Using whole-animal bioassays, we demonstrate
through inhibition by verapamil that the widely used organophosphate and
pyrethroid acaricides coumaphos and tau-fluvalinate, and three neonicotinoid
insecticides: imidacloprid, acetamiprid and thiacloprid are substrates of one or
more MDR transporters. Among the candidate inhibitors of honey bee MDR
transporters is the in-hive antibiotic oxytetracycline. Bees prefed
oxytetracycline were significantly sensitized to the acaricides coumaphos and tau
fluvalinate, suggesting that the antibiotic may interfere with the normal
excretion or metabolism of these pesticides. CONCLUSIONS/SIGNIFICANCE: Many bee
hives receive regular treatments of oxytetracycline and acaricides for prevention
and treatment of disease and parasites. Our results suggest that seasonal co
application of these medicines to bee hives could increase the adverse effects of
these and perhaps other pesticides. Our results also demonstrate the utility of a
mechanism-based strategy. By identifying pesticides and apicultural medicines
that are substrates and inhibitors of xenobiotic transporters we prioritize the
testing of those chemical combinations most likely to result in adverse
interactions.
PMID- 22073196
TI - Methylation of the CpG sites only on the sense strand of the APC gene is specific
for hepatocellular carcinoma.
AB - Hypermethylation of the promoter of the tumor suppressor gene, adenomatous
polyposis coli (APC), occurs in various malignancies, including hepatocellular
carcinoma (HCC). However, reports on the specificity of the methylation of the
APC gene for HCC have varied. To gain insight into how these variations occur,
bisulfite PCR sequencing was performed to analyze the methylation status of both
sense and antisense strands of the APC gene in samples of HCC tissue, matched
adjacent non-HCC liver tissue, hepatitis, cirrhosis, and normal liver tissues.
DNA derived from fetal liver and 12 nonhepatic normal tissue was also examined.
These experiments revealed liver-specific, antisense strand-biased CpG
methylation of the APC gene and suggested that, although methylation of the
antisense strand of the APC gene exists in normal liver and other non-HCC disease
liver tissue, methylation of the sense strand of the APC gene occurs
predominantly in HCC. To determine the effect of the DNA strand on the
specificity of the methylated APC gene as a biomarker for HCC detection,
quantitative methylation-specific PCR assays for sense and antisense strand DNA
were developed and performed on DNA isolated from HCC (n = 58), matched adjacent
non-HCC (n = 58), cirrhosis (n = 41), and hepatitis (n = 39). Receiver operating
characteristic curves were constructed. With the cutoff value set at the limit of
detection, the specificity of sense and antisense strand methylation was 84% and
43%, respectively, and sensitivity was 67.2% and 72.4%, respectively. This result
demonstrated that the identity of the methylated DNA strand impacted the
specificity of APC for HCC detection. Interestingly, methylation of the sense
strand of APC occurred in 40% of HCCs from patients with serum AFP levels less
than 20 ng/mL, suggesting a potential role for APC as a biomarker to complement
AFP in HCC screening.
PMID- 22073197
TI - Phylogenetic diversity, host-specificity and community profiling of sponge
associated bacteria in the northern Gulf of Mexico.
AB - BACKGROUND: Marine sponges can associate with abundant and diverse consortia of
microbial symbionts. However, associated bacteria remain unexamined for the
majority of host sponges and few studies use phylogenetic metrics to quantify
symbiont community diversity. DNA fingerprinting techniques, such as terminal
restriction fragment length polymorphisms (T-RFLP), might provide rapid profiling
of these communities, but have not been explicitly compared to traditional
methods. METHODOLOGY/PRINCIPAL FINDINGS: We investigated the bacterial
communities associated with the marine sponges Hymeniacidon heliophila and
Haliclona tubifera, a sympatric tunicate, Didemnum sp., and ambient seawater from
the northern Gulf of Mexico by combining replicated clone libraries with T-RFLP
analyses of 16S rRNA gene sequences. Clone libraries revealed that bacterial
communities associated with the two sponges exhibited lower species richness and
lower species diversity than seawater and tunicate assemblages, with differences
in species composition among all four source groups. T-RFLP profiles clustered
microbial communities by source; individual T-RFs were matched to the majority
(80.6%) of clone library sequences, indicating that T-RFLP analysis can be used
to rapidly profile these communities. Phylogenetic metrics of community diversity
indicated that the two sponge-associated bacterial communities include dominant
and host-specific bacterial lineages that are distinct from bacteria recovered
from seawater, tunicates, and unrelated sponge hosts. In addition, a large
proportion of the symbionts associated with H. heliophila were shared with
distant, conspecific host populations in the southwestern Atlantic (Brazil).
CONCLUSIONS/SIGNIFICANCE: The low diversity and species-specific nature of
bacterial communities associated with H. heliophila and H. tubifera represent a
distinctly different pattern from other, reportedly universal, sponge-associated
bacterial communities. Our replicated sampling strategy, which included samples
that reflect the ambient environment, allowed us to differentiate resident
symbionts from potentially transient or prey bacteria. Pairing replicated clone
library construction with rapid community profiling via T-RFLP analyses will
greatly facilitate future studies of sponge-microbe symbioses.
PMID- 22073198
TI - Optimized mouse model for the imaging of tumor metastasis upon experimental
therapy.
AB - Development of new cancer treatments focuses increasingly on the relation of
cancer tissue with its microenvironment. A major obstacle for the development of
new anti-cancer therapies has been the lack of relevant animal models that would
reproduce all the events involved in disease progression from the early-stage
primary tumor until the development of mature metastatic tissue. To this end, we
have developed a readily imageable mouse model of colorectal cancer featuring
highly reproducible formation of spontaneous liver metastases derived from
intrasplenic primary tumors. We optimized several experimental variables, and
found that the correct choice of cell line and the genetic background, as well as
the age of the recipient mice, were critical for establishing a useful model
system. Among a panel of colorectal cancer cell lines tested, the epithelial
carcinoma HT29 line was found to be the most suitable in terms of producing
homogeneous tumor growth and metastases. In our hands, SCID mice at the age of
125 days or older were the most suitable in supporting consistent HT29 tumor
growth after splenic implantation followed by reproducible metastasis to the
liver. A magnetic resonance imaging (MRI) protocol was optimized for use with
this mouse model, and demonstrated to be a powerful method for analyzing the
antitumor effects of an experimental therapy. Specifically, we used this system
to with success to verify by MRI monitoring the efficacy of an intrasplenically
administered oncolytic adenovirus therapy in reducing visceral tumor load and
development of liver metastases. In summary, we have developed a highly optimized
mouse model for liver metastasis of colorectal cancer, which allows detection of
the tumor load at the whole body level and enables an accurate timing of
therapeutic interventions to target different stages of cancer progression and
metastatic development.
PMID- 22073199
TI - Na+/K+-ATPase is present in scrapie-associated fibrils, modulates PrP misfolding
in vitro and links PrP function and dysfunction.
AB - Transmissible spongiform encephalopathies are characterised by widespread
deposition of fibrillar and/or plaque-like forms of the prion protein. These
aggregated forms are produced by misfolding of the normal prion protein, PrP(C),
to the disease-associated form, PrP(Sc), through mechanisms that remain elusive
but which require either direct or indirect interaction between PrP(C) and
PrP(Sc) isoforms. A wealth of evidence implicates other non-PrP molecules as
active participants in the misfolding process, to catalyse and direct the
conformational conversion of PrP(C) or to provide a scaffold ensuring correct
alignment of PrP(C) and PrP(Sc) during conversion. Such molecules may be specific
to different scrapie strains to facilitate differential prion protein misfolding.
Since molecular cofactors may become integrated into the growing protein fibril
during prion conversion, we have investigated the proteins contained in prion
disease-specific deposits by shotgun proteomics of scrapie-associated fibrils
(SAF) from mice infected with 3 different strains of mouse-passaged scrapie.
Concomitant use of negative control preparations allowed us to identify and
discount proteins that are enriched non-specifically by the SAF isolation
protocol. We found several proteins that co-purified specifically with SAF from
infected brains but none of these were reproducibly and demonstrably specific for
particular scrapie strains. The alpha-chain of Na(+)/K(+)-ATPase was common to
SAF from all 3 strains and we tested the ability of this protein to modulate in
vitro misfolding of recombinant PrP. Na(+)/K(+)-ATPase enhanced the efficiency of
disease-specific conversion of recombinant PrP suggesting that it may act as a
molecular cofactor. Consistent with previous results, the same protein inhibited
fibrillisation kinetics of recombinant PrP. Since functional interactions between
PrP(C) and Na(+)/K(+)-ATPase have previously been reported in astrocytes, our
data highlight this molecule as a key link between PrP function, dysfunction and
misfolding.
PMID- 22073200
TI - Genome-wide scan identifies loci associated with classical BSE occurrence.
AB - Classical bovine spongiform encephalopathy (BSE) is an acquired prion disease
that is invariably fatal in cattle and has been implicated as a significant human
health risk. Sequence variations in the coding region of the prion gene (PRNP)
have been associated with acquired transmissible spongiform encephalopathy (TSE)
susceptibility in mammals; however, this is not the case in cattle. It has been
hypothesized that genes, in addition to the prion gene, contribute to genetic
susceptibility of acquired TSEs. Accordingly, genetic studies of classical BSE in
cattle identified loci other than PRNP that are associated with disease
incidence. The objective of this study was to utilize a genome-wide association
study to test for genetic loci associated with classical BSE. The samples include
143 BSE affected (case) and 173 unaffected half sib (control) animals collected
in the mid 1990s in Southern England. The data analysis identifies loci on two
different chromosomes associated with BSE disease occurrence. Most notable is a
single nucleotide polymorphism on chromosome 1 at 29.15 Mb that is associated
with BSE disease (p = 3.09E-05). Additionally, a locus on chromosome 14, within a
cluster of SNPs showed a trend toward significance (p = 5.24E-05). It is worth
noting that in a human vCJD study markers on human chromosome 8, a region with
shared synteny to the region identified on cattle chromosome 14, were associated
with disease. Further, our candidate genes appear to have plausible biological
relevance with the known etiology of TSE disease. One of the candidate genes is
hypothetical gene LOC521010, similar to FK506 binding protein 2 located on
chromosome 1 at 29.32 Mb. This gene encodes a protein that is a member of the
immunophilin protein family and is involved in basic cellular processes including
protein folding. The chromosomal regions identified in this study and candidate
genes within these regions merit further investigation.
PMID- 22073201
TI - Neurons controlling voluntary vocalization in the macaque ventral premotor
cortex.
AB - The voluntary control of phonation is a crucial achievement in the evolution of
speech. In humans, ventral premotor cortex (PMv) and Broca's area are known to be
involved in voluntary phonation. In contrast, no neurophysiological data are
available about the role of the oro-facial sector of nonhuman primates PMv in
this function. In order to address this issue, we recorded PMv neurons from two
monkeys trained to emit coo-calls. Results showed that a population of motor
neurons specifically fire during vocalization. About two thirds of them
discharged before sound onset, while the remaining were time-locked with it. The
response of vocalization-selective neurons was present only during conditioned
(voluntary) but not spontaneous (emotional) sound emission. These data suggest
that the control of vocal production exerted by PMv neurons constitutes a newly
emerging property in the monkey lineage, shedding light on the evolution of
phonation-based communication from a nonhuman primate species.
PMID- 22073202
TI - Spontaneous development of full weight-supported stepping after complete spinal
cord transection in the neonatal opossum, Monodelphis domestica.
AB - Spinal cord trauma in the adult nervous system usually results in permanent loss
of function below the injury level. The immature spinal cord has greater capacity
for repair and can develop considerable functionality by adulthood. This study
used the marsupial laboratory opossum Monodelphis domestica, which is born at a
very early stage of neural development. Complete spinal cord transection was made
in the lower-thoracic region of pups at postnatal-day 7 (P7) or P28, and the
animals grew to adulthood. Injury at P7 resulted in a dense neuronal tissue
bridge that connected the two ends of the cord; retrograde neuronal labelling
indicated that supraspinal and propriospinal innervation spanned the injury site.
This repair was associated with pronounced behavioural recovery, coordinated gait
and an ability to use hindlimbs when swimming. Injury at P28 resulted in a cyst
like cavity encased in scar tissue forming at the injury site. Using retrograde
labelling, no labelled brainstem or propriospinal neurons were found above the
lesion, indicating that detectable neuronal connectivity had not spanned the
injury site. However, these animals could use their hindlimbs to take weight
supporting steps but could not use their hindlimbs when swimming. White matter,
demonstrated by Luxol Fast Blue staining, was present in the injury site of P7-
but not P28-injured animals. Overall, these studies demonstrated that provided
spinal injury occurs early in development, regrowth of supraspinal innervation is
possible. This repair appears to lead to improved functional outcomes. At older
ages, even without detectable axonal growth spanning the injury site, substantial
development of locomotion was still possible. This outcome is discussed in
conjunction with preliminary findings of differences in the local propriospinal
circuits following spinal cord injury (demonstrated with fluororuby labelling),
which may underlie the weight bearing locomotion observed in the apparent absence
of axons bridging the lesion site in P28-injured Monodelphis.
PMID- 22073203
TI - Willingness to share research data is related to the strength of the evidence and
the quality of reporting of statistical results.
AB - BACKGROUND: The widespread reluctance to share published research data is often
hypothesized to be due to the authors' fear that reanalysis may expose errors in
their work or may produce conclusions that contradict their own. However, these
hypotheses have not previously been studied systematically. METHODS AND FINDINGS:
We related the reluctance to share research data for reanalysis to 1148
statistically significant results reported in 49 papers published in two major
psychology journals. We found the reluctance to share data to be associated with
weaker evidence (against the null hypothesis of no effect) and a higher
prevalence of apparent errors in the reporting of statistical results. The
unwillingness to share data was particularly clear when reporting errors had a
bearing on statistical significance. CONCLUSIONS: Our findings on the basis of
psychological papers suggest that statistical results are particularly hard to
verify when reanalysis is more likely to lead to contrasting conclusions. This
highlights the importance of establishing mandatory data archiving policies.
PMID- 22073204
TI - Identification of controlled-complexity thermal therapy models derived from
magnetic resonance thermometry images.
AB - Medical imaging provides information valuable in diagnosis, planning, and control
of therapies. In this paper, we develop a method that uses a specific type of
imaging--the magnetic resonance thermometry--to identify accurate and
computationally efficient site and patient-specific computer models for thermal
therapies, such as focused ultrasound surgery, hyperthermia, and thermally
triggered targeted drug delivery. The developed method uses a sequence of
acquired MR thermometry images to identify a treatment model describing the
deposition and dissipation of thermal energy in tissues. The proper orthogonal
decomposition of thermal images is first used to identify a set of empirical
eigenfunctions, which captures spatial correlations in the thermal response of
tissues. Using the reduced subset of eigenfunction as a functional basis, low
dimensional thermal response and the ultrasound specific absorption rate models
are then identified. Once identified, the treatment models can be used to plan,
optimize, and control the treatment. The developed approach is validated
experimentally using the results of MR thermal imaging of a tissue phantom during
focused ultrasound sonication. The validation demonstrates that our approach
produces accurate low-dimensional treatment models and provides a convenient tool
for balancing the accuracy of model predictions and the computational complexity
of the treatment models.
PMID- 22073205
TI - Laser-based single-axon transection for high-content axon injury and regeneration
studies.
AB - The investigation of the regenerative response of the neurons to axonal injury is
essential to the development of new axoprotective therapies. Here we study the
retinal neuronal RGC-5 cell line after laser transection, demonstrating that the
ability of these cells to initiate a regenerative response correlates with axon
length and cell motility after injury. We show that low energy picosecond laser
pulses can achieve transection of unlabeled single axons in vitro and precisely
induce damage with micron precision. We established the conditions to achieve
axon transection, and characterized RGC-5 axon regeneration and cell body
response using time-lapse microscopy. We developed an algorithm to analyze cell
trajectories and established correlations between cell motility after injury,
axon length, and the initiation of the regeneration response. The
characterization of the motile response of axotomized RGC-5 cells showed that
cells that were capable of repair or regrowth of damaged axons migrated more
slowly than cells that could not. Moreover, we established that RGC-5 cells with
long axons could not recover their injured axons, and such cells were much more
motile. The platform we describe allows highly controlled axonal damage with
subcellular resolution and the performance of high-content screening in cell
cultures.
PMID- 22073206
TI - Determinants of the differential antizyme-binding affinity of ornithine
decarboxylase.
AB - Ornithine decarboxylase (ODC) is a ubiquitous enzyme that is conserved in all
species from bacteria to humans. Mammalian ODC is degraded by the proteasome in a
ubiquitin-independent manner by direct binding to the antizyme (AZ). In contrast,
Trypanosoma brucei ODC has a low binding affinity toward AZ. In this study, we
identified key amino acid residues that govern the differential AZ binding
affinity of human and Trypanosoma brucei ODC. Multiple sequence alignments of the
ODC putative AZ-binding site highlights several key amino acid residues that are
different between the human and Trypanosoma brucei ODC protein sequences,
including residue 119, 124,125, 129, 136, 137 and 140 (the numbers is for human
ODC). We generated a septuple human ODC mutant protein where these seven bases
were mutated to match the Trypanosoma brucei ODC protein sequence. The septuple
mutant protein was much less sensitive to AZ inhibition compared to the WT
protein, suggesting that these amino acid residues play a role in human ODC-AZ
binding. Additional experiments with sextuple mutants suggest that residue 137
plays a direct role in AZ binding, and residues 119 and 140 play secondary roles
in AZ binding. The dissociation constants were also calculated to quantify the
affinity of the ODC-AZ binding interaction. The K(d) value for the wild type ODC
protein-AZ heterodimer ([ODC_WT]-AZ) is approximately 0.22 MUM, while the K(d)
value for the septuple mutant-AZ heterodimer ([ODC_7M]-AZ) is approximately 12.4
MUM. The greater than 50-fold increase in [ODC_7M]-AZ binding affinity shows that
the ODC-7M enzyme has a much lower binding affinity toward AZ. For the mutant
proteins ODC_7M(-Q119H) and ODC_7M(-V137D), the K(d) was 1.4 and 1.2 MUM,
respectively. These affinities are 6-fold higher than the WT_ODC K(d), which
suggests that residues 119 and 137 play a role in AZ binding.
PMID- 22073208
TI - Infant and child mortality in India in the last two decades: a geospatial
analysis.
AB - BACKGROUND: Studies examining the intricate interplay between poverty, female
literacy, child malnutrition, and child mortality are rare in demographic
literature. Given the recent focus on Millennium Development Goals 4 (child
survival) and 5 (maternal health), we explored whether the geographic regions
that were underprivileged in terms of wealth, female literacy, child nutrition,
or safe delivery were also grappling with the elevated risk of child mortality;
whether there were any spatial outliers; whether these relationships have
undergone any significant change over historical time periods. METHODOLOGY: The
present paper attempted to investigate these critical questions using data from
household surveys like NFHS 1992-1993, NFHS 1998-1999 and DLHS 2002-2004. For the
first time, we employed geo-spatial techniques like Moran's-I, univariate LISA,
bivariate LISA, spatial error regression, and spatiotemporal regression to
address the research problem. For carrying out the geospatial analysis, we
classified India into 76 natural regions based on the agro-climatic scheme
proposed by Bhat and Zavier (1999) following the Census of India Study and all
estimates were generated for each of the geographic regions. RESULT/CONCLUSIONS:
This study brings out the stark intra-state and inter-regional disparities in
infant and under-five mortality in India over the past two decades. It further
reveals, for the first time, that geographic regions that were underprivileged in
child nutrition or wealth or female literacy were also likely to be disadvantaged
in terms of infant and child survival irrespective of the state to which they
belong. While the role of economic status in explaining child malnutrition and
child survival has weakened, the effect of mother's education has actually become
stronger over time.
PMID- 22073207
TI - Carbon dynamics, development and stress responses in Arabidopsis: involvement of
the APL4 subunit of ADP-glucose pyrophosphorylase (starch synthesis).
AB - An Arabidopsis thaliana T-DNA insertional mutant was identified and characterized
for enhanced tolerance to the singlet-oxygen-generating herbicide atrazine in
comparison to wild-type. This enhanced atrazine tolerance mutant was shown to be
affected in the promoter structure and in the regulation of expression of the
APL4 isoform of ADP-glucose pyrophosphorylase, a key enzyme of the starch
biosynthesis pathway, thus resulting in decrease of APL4 mRNA levels. The impact
of this regulatory mutation was confirmed by the analysis of an independent T-DNA
insertional mutant also affected in the promoter of the APL4 gene. The resulting
tissue-specific modifications of carbon partitioning in plantlets and the effects
on plantlet growth and stress tolerance point out to specific and non-redundant
roles of APL4 in root carbon dynamics, shoot-root relationships and sink
regulations of photosynthesis. Given the effects of exogenous sugar treatments
and of endogenous sugar levels on atrazine tolerance in wild-type Arabidopsis
plantlets, atrazine tolerance of this apl4 mutant is discussed in terms of
perception of carbon status and of investment of sugar allocation in xenobiotic
and oxidative stress responses.
PMID- 22073209
TI - FMRI supports the sensorimotor theory of motor resonance.
AB - The neural mechanisms mediating the activation of the motor system during action
observation, also known as motor resonance, are of major interest to the field of
motor control. It has been proposed that motor resonance develops in infants
through Hebbian plasticity of pathways connecting sensory and motor regions that
fire simultaneously during imitation or self movement observation. A fundamental
problem when testing this theory in adults is that most experimental paradigms
involve actions that have been overpracticed throughout life. Here, we directly
tested the sensorimotor theory of motor resonance by creating new visuomotor
representations using abstract stimuli (motor symbols) and identifying the neural
networks recruited through fMRI. We predicted that the network recruited during
action observation and execution would overlap with that recruited during
observation of new motor symbols. Our results indicate that a network consisting
of premotor and posterior parietal cortex, the supplementary motor area, the
inferior frontal gyrus and cerebellum was activated both by new motor symbols and
by direct observation of the corresponding action. This tight spatial overlap
underscores the importance of sensorimotor learning for motor resonance and
further indicates that the physical characteristics of the perceived stimulus are
irrelevant to the evoked response in the observer.
PMID- 22073210
TI - Age-corrected beta cell mass following onset of type 1 diabetes mellitus
correlates with plasma C-peptide in humans.
AB - BACKGROUND: The inability to produce insulin endogenously precipitates the
clinical symptoms of type 1 diabetes mellitus. However, the dynamic trajectory of
beta cell destruction following onset remains unclear. Using model-based
inference, the severity of beta cell destruction at onset decreases with age
where, on average, a 40% reduction in beta cell mass was sufficient to
precipitate clinical symptoms at 20 years of age. While plasma C-peptide provides
a surrogate measure of endogenous insulin production post-onset, it is unclear as
to whether plasma C-peptide represents changes in beta cell mass or beta cell
function. The objective of this paper was to determine the relationship between
beta cell mass and endogenous insulin production post-onset. METHODS AND
FINDINGS: Model-based inference was used to compare direct measures of beta cell
mass in 102 patients against contemporary measures of plasma C-peptide obtained
from three studies that collectively followed 834 patients post-onset of clinical
symptoms. An empirical Bayesian approach was used to establish the level of
confidence associated with the model prediction. Age-corrected estimates of beta
cell mass that were inferred from a series of landmark pancreatic autopsy studies
significantly correlate (p>0.9995) with contemporary measures of plasma C-peptide
levels following onset. CONCLUSIONS: Given the correlation between beta cell mass
and plasma C-peptide following onset, plasma C-peptide may provide a surrogate
measure of beta cell mass in humans. The clinical relevance of this study is that
therapeutic strategies that provide an increase in plasma C-peptide over the
predicted value for an individual may actually improve beta cell mass. The model
predictions may establish a standard historical "control" group - a prior in a
Bayesian context - for clinical trials.
PMID- 22073211
TI - High genetic diversity despite the potential for stepping-stone colonizations in
an invasive species of gecko on Moorea, French Polynesia.
AB - Invasive species often have reduced genetic diversity, but the opposite can be
true if there have been multiple introductions and genetic admixture. Reduced
diversity is most likely soon after establishment, in remote locations, when
there is lower propagule pressure and with stepping-stone colonizations. The
common house gecko (Hemidactylus frenatus) was introduced to Moorea, French
Polynesia in the remote eastern Pacific within the last two decades and
accordingly is expected to exhibit low diversity. In contrast, we show that H.
frenatus on Moorea has exceptionally high genetic diversity, similar to that near
the native range in Asia and much higher than reported for other Pacific island
reptiles. The high diversity in this recently founded population likely reflects
extensive genetic admixture in source population(s) and a life history that
promotes retention of diversity. These observations point to the importance of
understanding range-wide dynamics of genetic admixture in highly invasive
species.
PMID- 22073212
TI - Expression screening of fusion partners from an E. coli genome for soluble
expression of recombinant proteins in a cell-free protein synthesis system.
AB - While access to soluble recombinant proteins is essential for a number of
proteome studies, preparation of purified functional proteins is often limited by
the protein solubility. In this study, potent solubility-enhancing fusion
partners were screened from the repertoire of endogenous E. coli proteins. Based
on the presumed correlation between the intracellular abundance and folding
efficiency of proteins, PCR-amplified ORFs of a series of highly abundant E. coli
proteins were fused with aggregation-prone heterologous proteins and then
directly expressed for quantitative estimation of the expression efficiency of
soluble translation products. Through two-step screening procedures involving the
expression of 552 fusion constructs targeted against a series of cytokine
proteins, we were able to discover a number of endogenous E. coli proteins that
dramatically enhanced the soluble expression of the target proteins. This
strategy of cell-free expression screening can be extended to quantitative,
global analysis of genomic resources for various purposes.
PMID- 22073213
TI - Cervical remodeling/ripening at term and preterm delivery: the same mechanism
initiated by different mediators and different effector cells.
AB - BACKGROUND: Premature cervical remodeling/ripening is believed to contribute to
preterm delivery (PTD), the leading cause of perinatal morbidity and mortality.
Despite considerable research, the causes of term and PTD remain unclear, and
there is no effective treatment for PTD. We previously demonstrated that
complement activation plays a causative role in cervical remodeling that leads to
PTD in mice. METHODOLOGY/PRINCIPAL FINDINGS: Here we found that complement
activation is not required for the physiological process that leads to term
delivery in mice. Neither increased C3 cervical deposition nor increased C3a and
C5a serum levels were observed at term. In addition, macrophages infiltration was
found in PTD in contrast to term delivery were no leukocytes were found. Despite
the different role of complement and different cellular effector cells, PTD and
term delivery share a common dowsntream pathway characterized by increased
metalloproteinases (MMPs) release and increased collagen degradation. However,
different sources of MMPs were identified. Macrophages are the source of MMPs in
PTD while cervical fibroblasts and columnar epithelial cells synthesize MMPs at
term delivery. A dramatic diminution in serum progesterone levels precedes
parturition at term but not in PTD, suggesting that progesterone withdrawal
initiates cervical remodeling at term. On the other hand, MMPs release in PTD is
triggered by C5a. CONCLUSION AND SIGNIFICANCE: In conclusion, preterm and term
cervical remodeling occur through the same mechanism but they are initiated by
different mediators and effector cells. That complement activation is required
for PTD but not for the physiological process that leads to term delivery,
suggests that complement is a potential specific biomarker and selective target
to prevent PTD and thus avert neonatal mortality and morbidity.
PMID- 22073214
TI - Asymmetric cell division and Notch signaling specify dopaminergic neurons in
Drosophila.
AB - In Drosophila, dopaminergic (DA) neurons can be found from mid embryonic stages
of development till adulthood. Despite their functional involvement in learning
and memory, not much is known about the developmental as well as molecular
mechanisms involved in the events of DA neuronal specification, differentiation
and maturation. In this report we demonstrate that most larval DA neurons are
generated during embryonic development. Furthermore, we show that loss of
function (l-o-f) mutations of genes of the apical complex proteins in the
asymmetric cell division (ACD) machinery, such as inscuteable and bazooka result
in supernumerary DA neurons, whereas l-o-f mutations of genes of the basal
complex proteins such as numb result in loss or reduction of DA neurons. In
addition, when Notch signaling is reduced or abolished, additional DA neurons are
formed and conversely, when Notch signaling is activated, less DA neurons are
generated. Our data demonstrate that both ACD and Notch signaling are crucial
mechanisms for DA neuronal specification. We propose a model in which ACD results
in differential Notch activation in direct siblings and in this context Notch
acts as a repressor for DA neuronal specification in the sibling that receives
active Notch signaling. Our study provides the first link of ACD and Notch
signaling in the specification of a neurotransmitter phenotype in Drosophila.
Given the high degree of conservation between Drosophila and vertebrate systems,
this study could be of significance to mechanisms of DA neuronal differentiation
not limited to flies.
PMID- 22073215
TI - Genome-wide binding map of the HIV-1 Tat protein to the human genome.
AB - The HIV-1 Trans-Activator of Transcription (Tat) protein binds to multiple host
cellular factors and greatly enhances the level of transcription of the HIV
genome. While Tat's control of viral transcription is well-studied, much less is
known about the interaction of Tat with the human genome. Here, we report the
genome-wide binding map of Tat to the human genome in Jurkat T cells using
chromatin immunoprecipitation combined with next-generation sequencing.
Surprisingly, we found that ~53% of the Tat target regions are within DNA repeat
elements, greater than half of which are Alu sequences. The remaining target
regions are located in introns and distal intergenic regions; only ~7% of Tat
bound regions are near transcription start sites (TSS) at gene promoters.
Interestingly, Tat binds to promoters of genes that, in Jurkat cells, are bound
by the ETS1 transcription factor, the CBP histone acetyltransferase and/or are
enriched for histone H3 lysine 4 tri-methylation (H3K4me3) and H3K27me3. Tat
binding is associated with genes enriched with functions in T cell biology and
immune response. Our data reveal that Tat's interaction with the host genome is
more extensive than previously thought, with potentially important implications
for the viral life cycle.
PMID- 22073216
TI - Perceptions of conflict of interest disclosures among peer reviewers.
AB - BACKGROUND: Disclosure of financial conflicts of interest (COI) is intended to
help reviewers assess the impact of potential bias on the validity of research
results; however, there have been no empiric assessments of how reviewers
understand and use disclosures in article evaluation. We investigate reviewers'
perceptions of potential bias introduced by particular author disclosures, and
whether reviewer characteristics are associated with a greater likelihood of
perceiving bias. METHODOLOGY/PRINCIPAL FINDINGS: Of the 911 active reviewers from
the Annals of Emergency Medicine, 410 were randomly selected and invited to
complete our web-based, 3-part survey. We completed descriptive analysis of all
survey responses and compared those responses across reviewer characteristics
using 2 * 2 analyses and the Fisher exact test. We had a response rate of 54%.
The majority of reviewers surveyed reported a high level of skepticism regarding
financial relationships between authors and industry without a clear or
consistent translation of that skepticism into the self-reported actions that
characterize manuscript assessment. Only 13% of respondents believed physician
consultants authoring articles based on company data are likely to have unlimited
data access. 54% believed that bias most likely exists with any honorarium,
regardless of monetary amount. Between 46% and 64%, depending on the type of
financial relationship disclosed, reported that their recommendation for
publication remains unchanged. Respondents reporting personal financial ties to
industry were less likely to perceive bias in industry relationships and less
likely to believe that bias exists with any monetary amount of honoraria.
CONCLUSIONS: We recommend that the monetary amount of all financial relationships
be reported with manuscript submissions, lead authors certify that they have
unrestricted access to data, and reviewers disclose any financial ties to
industry whether or not they are related to the manuscript under review. Further
research is required to better understand reviewers' perceptions of financial
relationships between authors and industry in order to develop clear and
consistent guidelines for incorporating the perception of potential bias into
manuscript assessments.
PMID- 22073217
TI - A quorum-sensing factor in vegetative Dictyostelium discoideum cells revealed by
quantitative migration analysis.
AB - BACKGROUND: Many cells communicate through the production of diffusible signaling
molecules that accumulate and once a critical concentration has been reached, can
activate or repress a number of target genes in a process termed quorum sensing
(QS). In the social amoeba Dictyostelium discoideum, QS plays an important role
during development. However little is known about its effect on cell migration
especially in the growth phase. METHODS AND FINDINGS: To investigate the role of
cell density on cell migration in the growth phase, we use multisite timelapse
microscopy and automated cell tracking. This analysis reveals a high
heterogeneity within a given cell population, and the necessity to use large data
sets to draw reliable conclusions on cell motion. In average, motion is
persistent for short periods of time (t <= 5 min), but normal diffusive behavior
is recovered over longer time periods. The persistence times are positively
correlated with the migrated distances. Interestingly, the migrated distance
decreases as well with cell density. The adaptation of cell migration to cell
density highlights the role of a secreted quorum sensing factor (QSF) on cell
migration. Using a simple model describing the balance between the rate of QSF
generation and the rate of QSF dilution, we were able to gather all experimental
results into a single master curve, showing a sharp cell transition between high
and low motile behaviors with increasing QSF. CONCLUSION: This study
unambiguously demonstrates the central role played by QSF on amoeboid motion in
the growth phase.
PMID- 22073218
TI - GLUT 5 is not over-expressed in breast cancer cells and patient breast cancer
tissues.
AB - F18 2-Fluoro 2-deoxyglucose (FDG) has been the gold standard in positron emission
tomography (PET) oncologic imaging since its introduction into the clinics
several years ago. Seeking to complement FDG in the diagnosis of breast cancer
using radio labeled fructose based analogs, we investigated the expression of the
chief fructose transporter-GLUT 5 in breast cancer cells and human tissues. Our
results indicate that GLUT 5 is not over-expressed in breast cancer tissues as
assessed by an extensive immunohistochemistry study. RT-PCR studies showed that
the GLUT 5 mRNA was present at minimal amounts in breast cancer cell lines.
Further knocking down the expression of GLUT 5 in breast cancer cells using RNA
interference did not affect the fructose uptake in these cell lines. Taken
together these results are consistent with GLUT 5 not being essential for
fructose uptake in breast cancer cells and tissues.
PMID- 22073219
TI - Moderate antiproteinuric effect of add-on aldosterone blockade with eplerenone in
non-diabetic chronic kidney disease. A randomized cross-over study.
AB - BACKGROUND: Reduction of proteinuria and blood pressure (BP) with blockers of the
renin-angiotensin system (RAS) impairs the progression of chronic kidney disease
(CKD). The aldosterone antagonist spironolactone has an antiproteinuric effect,
but its use is limited by side effects. The present study evaluated the short
term antiproteinuric effect and safety of the selective aldosterone antagonist
eplerenone in non-diabetic CKD. STUDY DESIGN: Open randomized cross-over trial.
SETTING AND PARTICIPANTS: Forty patients with non-diabetic CKD and urinary
albumin excretion greater than 300 mg/24 hours. INTERVENTION: Eight weeks of once
daily administration of add-on 25-50 mg eplerenone to stable standard
antihypertensive treatment including RAS-blockade. OUTCOMES & MEASUREMENTS: 24
hour urinary albumin excretion, BP, p-potassium, and creatinine clearance.
RESULTS: The mean urinary albumin excretion was 22% [CI: 14,28], P < 0.001, lower
during treatment with eplerenone. Mean systolic BP was 4 mmHg [CI: 2,6], P =
0.002, diastolic BP was 2 mmHg [CI: 0,4], P = 0.02, creatinine clearance was 5%
[CI: 2,8], P = 0.005, lower during eplerenone treatment. After correction for BP
and creatinine clearance differences between the study periods, the mean urinary
albumin excretion was 14% [CI: 4,24], P = 0.008 lower during treatment. Mean p
potassium was 0.1 mEq/L [CI: 0.1,0.2] higher during eplerenone treatment,
P<0.001. Eplerenone was thus well tolerated and no patients were withdrawn due to
hyperkalaemia. LIMITATIONS: Open label, no wash-out period and a moderate sample
size. CONCLUSIONS: In non-diabetic CKD patients, the addition of eplerenone to
standard antihypertensive treatment including RAS-blockade caused a moderate BP
independent fall in albuminuria, a minor fall in creatinine clearance and a 0.1
mEq/L increase in p-potassium. TRIAL REGISTRATION: Clinicaltrials.gov
NCT00430924.
PMID- 22073220
TI - Changes in clinical profile, treatment, and mortality in patients hospitalised
for acute myocardial infarction between 1985 and 2008.
AB - OBJECTIVES: To quantify the impact of the implementation of treatment modalities
into clinical practice since 1985, on outcome of patients with ST-segment
elevation myocardial infarction (STEMI) or non-ST-segment elevation myocardial
infarction (NSTEMI). METHODS: All consecutive patients admitted for STEMI or
NSTEMI at the Thoraxcenter between 1985 and 2008 were included. Baseline
characteristics, pharmacological and invasive treatment modalities, and survival
status were collected. The study population was categorised in three groups of
patients: those hospitalised between 1985-1990, 1990-2000, and 2000-2008.
RESULTS: We identified 14,434 patients hospitalised for myocardial infarction
(MI). Both STEMI and NSTEMI patients were increasingly treated with the current
guideline based therapy. In STEMI, at 30 days following admission, cumulative
mortality rate decreased from 17% in 1985-1990 to 13% in 1990-2000, and to 6% in
2000-2008. Adjusted 30-day and three-year mortality in the last period was 80%
and 68% lower than in 1985, respectively. In NSTEMI, at 30 days following
admission, cumulative mortality rate decreased from 6% in 1985-1990 to 4% in 1990
2000, and to 2% in 2000-2008. Adjusted 30-day and three-year mortality in the
last period was 78% and 49% lower than in 1985, respectively. For patients
admitted between 2000 and 2008, 3 year survival of STEMI and NSTEMI patients was
87% and 88%, respectively. CONCLUSIONS: Our results indicate substantial
improvements in acute- and long-term survival in patients hospitalised for MI,
related to improved acute- as well as long-term treatment. Early medical
evaluation in suspected MI and intensive early hospital treatment both remain
warranted in the future.
PMID- 22073221
TI - Excess circulating alternatively activated myeloid (M2) cells accelerate ALS
progression while inhibiting experimental autoimmune encephalomyelitis.
AB - BACKGROUND: Circulating immune cells including autoreactive T cells and monocytes
have been documented as key players in maintaining, protecting and repairing the
central nervous system (CNS) in health and disease. Here, we hypothesized that
neurodegenerative diseases might be associated, similarly to tumors, with
increased levels of circulating peripheral myeloid derived suppressor cells
(MDSCs), representing a subset of suppressor cells that often expand under
pathological conditions and inhibit possible recruitment of helper T cells needed
for fighting off the disease. METHODS AND FINDINGS: We tested this working
hypothesis in amyotrophic lateral sclerosis (ALS) and its mouse model, which are
characterized by a rapid progression once clinical symptoms are evident. Adaptive
transfer of alternatively activated myeloid (M2) cells, which homed to the spleen
and exhibited immune suppressive activity in G93A mutant superoxide dismutase-1
(mSOD1) mice at a stage before emergence of disease symptoms, resulted in earlier
appearance of disease symptoms and shorter life expectancy. The same protocol
mitigated the inflammation-induced disease model of multiple sclerosis,
experimental autoimmune encephalomyelitis (EAE), which requires circulating T
cells for disease induction. Analysis of whole peripheral blood samples obtained
from 28 patients suffering from sporadic ALS (sALS), revealed a two-fold increase
in the percentage of circulating MDSCs (LIN(-/Low)HLA-DR(-)CD33(+)) compared to
controls. CONCLUSIONS: Taken together, these results emphasize the distinct
requirements for fighting the inflammatory neurodegenerative disease, multiple
sclerosis, and the neurodegenerative disease, ALS, though both share a local
inflammatory component. Moreover, the increased levels of circulating MDSCs in
ALS patients indicates the operation of systemic mechanisms that might lead to an
impairment of T cell reactivity needed to overcome the disease conditions within
the CNS. This high level of suppressive immune cells might represent a risk
factor and a novel target for therapeutic intervention in ALS at least at the
early stage.
PMID- 22073222
TI - Carnivoran remains from the Malapa hominin site, South Africa.
AB - Recent discoveries at the new hominin-bearing deposits of Malapa, South Africa,
have yielded a rich faunal assemblage associated with the newly described hominin
taxon Australopithecus sediba. Dating of this deposit using U-Pb and
palaeomagnetic methods has provided an age of 1.977 Ma, being one of the most
accurately dated, time constrained deposits in the Plio-Pleistocene of southern
Africa. To date, 81 carnivoran specimens have been identified at this site
including members of the families Canidae, Viverridae, Herpestidae, Hyaenidae and
Felidae. Of note is the presence of the extinct taxon Dinofelis cf. D. barlowi
that may represent the last appearance date for this species. Extant large
carnivores are represented by specimens of leopard (Panthera pardus) and brown
hyaena (Parahyaena brunnea). Smaller carnivores are also represented, and include
the genera Atilax and Genetta, as well as Vulpes cf. V. chama. Malapa may also
represent the first appearance date for Felis nigripes (Black-footed cat). The
geochronological age of Malapa and the associated hominin taxa and carnivoran
remains provide a window of research into mammalian evolution during a relatively
unknown period in South Africa and elsewhere. In particular, the fauna
represented at Malapa has the potential to elucidate aspects of the evolution of
Dinofelis and may help resolve competing hypotheses about faunal exchange between
East and Southern Africa during the late Pliocene or early Pleistocene.
PMID- 22073223
TI - Phylogenetic and complementation analysis of a single-stranded DNA binding
protein family from lactococcal phages indicates a non-bacterial origin.
AB - BACKGROUND: The single-stranded-nucleic acid binding (SSB) protein superfamily
includes proteins encoded by different organisms from Bacteria and their phages
to Eukaryotes. SSB proteins share common structural characteristics and have been
suggested to descend from an ancestor polypeptide. However, as other proteins
involved in DNA replication, bacterial SSB proteins are clearly different from
those found in Archaea and Eukaryotes. It was proposed that the corresponding
genes in the phage genomes were transferred from the bacterial hosts. Recently
new SSB proteins encoded by the virulent lactococcal bacteriophages (Orf14(bIL67)
like proteins) have been identified and characterized structurally and
biochemically. METHODOLOGY/PRINCIPAL FINDINGS: This study focused on the
determination of phylogenetic relationships between Orf14(bIL67)-like proteins
and other SSBs. We have performed a large scale phylogenetic analysis and
pairwise sequence comparisons of SSB proteins from different phyla. The results
show that, in remarkable contrast to other phage SSBs, the Orf14(bIL67)-like
proteins form a distinct, self-contained and well supported phylogenetic group
connected to the archaeal SSBs. Functional studies demonstrated that, despite the
structural and amino acid sequence differences from bacterial SSBs, Orf14(bIL67)
protein complements the conditional lethal ssb-1 mutation of Escherichia coli.
CONCLUSIONS/SIGNIFICANCE: Here we identified for the first time a group of phages
encoded SSBs which are clearly distinct from their bacterial counterparts. All
methods supported the recognition of these phage proteins as a new family within
the SSB superfamily. Our findings suggest that unlike other phages, the virulent
lactococcal phages carry ssb genes that were not acquired from their hosts, but
transferred from an archaeal genome. This represents a unique example of a
horizontal gene transfer between Archaea and bacterial phages.
PMID- 22073224
TI - Male germ cell-specific RNA binding protein RBMY: a new oncogene explaining male
predominance in liver cancer.
AB - Male gender is a risk factor for the development of hepatocellular carcinoma
(HCC) but the mechanisms are not fully understood. The RNA binding motif gene on
the Y chromosome (RBMY), encoding a male germ cell-specific RNA splicing
regulator during spermatogenesis, is aberrantly activated in human male liver
cancers. This study investigated the in vitro oncogenic effect and the possible
mechanism of RBMY in human hepatoma cell line HepG2 and its in vivo effect with
regards to the livers of human and transgenic mice. RBMY expression in HepG2
cells was knocked down by RNA interference and the cancer cell phenotype was
characterized by soft-agar colony formation and sensitivity to hydrogen-peroxide
induced apoptosis. The results revealed that RBMY knockdown reduced the
transformation and anti-apoptotic efficiency of HepG2 cells. The expression of
RBMY, androgen receptor (AR) and its inhibitory variant AR45, AR-targeted genes
insulin-like growth factor 1 (IGF-1) and insulin-like growth factor binding
protein 3 (IGFBP-3) was analyzed by quantitative RT-PCR. Up-regulation of AR45
variant and reduction of IGF-1 and IGFBP-3 expression was only detected in RBMY
knockdown cells. Moreover, RBMY positive human male HCC expressed lower level of
AR45 as compared to RBMY negative HCC tissues. The oncogenic properties of RBMY
were further assessed in a transgenic mouse model. Liver-specific RBMY transgenic
mice developed hepatic pre-cancerous lesions, adenoma, and HCC. RBMY also
accelerated chemical carcinogen-induced hepatocarcinogenesis in transgenic mice.
Collectively, these findings suggest that Y chromosome-specific RBMY is likely
involved in the regulation of androgen receptor activity and contributes to male
predominance of HCC.
PMID- 22073225
TI - The circadian deadenylase Nocturnin is necessary for stabilization of the iNOS
mRNA in mice.
AB - Nocturnin is a member of the CCR4 deadenylase family, and its expression is under
circadian control with peak levels at night. Because it can remove poly(A) tails
from mRNAs, it is presumed to play a role in post-transcriptional control of
circadian gene expression, but its target mRNAs are not known. Here we
demonstrate that Nocturnin expression is acutely induced by the endotoxin
lipopolysaccharide (LPS). Mouse embryo fibroblasts (MEFs) lacking Nocturnin
exhibit normal patterns of acute induction of TNFalpha and iNOS mRNAs during the
first three hours following LPS treatment, but by 24 hours, while TNFalpha mRNA
levels are indistinguishable from WT cells, iNOS message is significantly reduced
20-fold. Accordingly, analysis of the stability of the mRNAs showed that loss of
Nocturnin causes a significant decrease in the half-life of the iNOS mRNA (t(1/2)
= 3.3 hours in Nocturnin knockout MEFs vs. 12.4 hours in wild type MEFs), while
having no effect on the TNFalpha message. Furthermore, mice lacking Nocturnin
lose the normal nighttime peak of hepatic iNOS mRNA, and have improved survival
following LPS injection. These data suggest that Nocturnin has a novel
stabilizing activity that plays an important role in the circadian response to
inflammatory signals.
PMID- 22073226
TI - Fasting induces the expression of PGC-1alpha and ERR isoforms in the outer stripe
of the outer medulla (OSOM) of the mouse kidney.
AB - BACKGROUND: Peroxisome proliferator-activated receptor-gamma co-activator-1alpha
(PGC-1alpha) is a member of the transcriptional coactivator family that plays a
central role in the regulation of cellular energy metabolism under various
physiological stimuli. During fasting, PGC-1alpha is induced in the liver and
together with estrogen-related receptor a and gamma (ERRalpha and ERRgamma,
orphan nuclear receptors with no known endogenous ligand, regulate sets of genes
that participate in the energy balance program. We found that PGC-1alpha,
ERRalpha and ERRgamma was highly expressed in human kidney HK2 cells and that PGC
1alpha induced dynamic protein interactions on the ERRalpha chromatin. However,
the effect of fasting on the expression of endogenous PGC-1alpha, ERRalpha and
ERRgamma in the kidney is not known. METHODOLOGY/PRINCIPAL FINDINGS: In this
study, we demonstrated by qPCR that the expression of PGC-1alpha, ERRalpha and
ERRgamma was increased in the mouse kidney after fasting. By using
immunohistochemistry (IHC), we showed these three proteins are co-localized in
the outer stripe of the outer medulla (OSOM) of the mouse kidney. We were able to
collect this region from the kidney using the Laser Capture Microdissection (LCM)
technique. The qPCR data showed significant increase of PGC-1alpha, ERRalpha and
ERRgamma mRNA in the LCM samples after fasting for 24 hours. Furthermore, the
known ERRalpha target genes, mitochondrial oxidative phosphorylation gene COX8H
and the tricarboxylic acid (TCA) cycle gene IDH3A also showed an increase. Taken
together, our data suggest that fasting activates the energy balance program in
the OSOM of the kidney.
PMID- 22073227
TI - Dietary cholesterol-induced post-testicular infertility.
AB - This work shows that an overload of dietary cholesterol causes complete
infertility in dyslipidemic male mice (the Liver X Receptor-deficient mouse
model). Infertility resulted from post-testicular defects affecting the
fertilizing potential of spermatozoa. Spermatozoa of cholesterol-fed lxr-/-
animals were found to be dramatically less viable and motile, and highly
susceptible to undergo a premature acrosome reaction. We also provide evidence,
that this lipid-induced infertility is associated with the accelerated appearance
of a highly regionalized epididymal phenotype in segments 1 and 2 of the caput
epididymidis that was otherwise only observed in aged LXR-deficient males. The
epididymal epithelial phenotype is characterized by peritubular accumulation of
cholesteryl ester lipid droplets in smooth muscle cells lining the epididymal
duct, leading to their transdifferentiation into foam cells that eventually
migrate through the duct wall, a situation that resembles the inflammatory
atherosclerotic process. These findings establish the high level of
susceptibility of epididymal sperm maturation to dietary cholesterol overload and
could partly explain reproductive failures encountered by young dyslipidemic men
as well as ageing males wishing to reproduce.
PMID- 22073228
TI - N-terminal arginines modulate plasma-membrane localization of Kv7.1/KCNE1 channel
complexes.
AB - BACKGROUND AND OBJECTIVE: The slow delayed rectifier current (I(Ks)) is important
for cardiac action potential termination. The underlying channel is composed of
Kv7.1 alpha-subunits and KCNE1 beta-subunits. While most evidence suggests a role
of KCNE1 transmembrane domain and C-terminus for the interaction, the N-terminal
KCNE1 polymorphism 38G is associated with reduced I(Ks) and atrial fibrillation
(a human arrhythmia). Structure-function relationship of the KCNE1 N-terminus for
I(Ks) modulation is poorly understood and was subject of this study. METHODS: We
studied N-terminal KCNE1 constructs disrupting structurally important positively
charged amino-acids (arginines) at positions 32, 33, 36 as well as KCNE1
constructs that modify position 38 including an N-terminal truncation mutation.
Experimental procedures included molecular cloning, patch-clamp recording,
protein biochemistry, real-time-PCR and confocal microscopy. RESULTS: All KCNE1
constructs physically interacted with Kv7.1. I(Ks) resulting from co-expression
of Kv7.1 with non-atrial fibrillation '38S' was greater than with any other
construct. Ionic currents resulting from co-transfection of a KCNE1 mutant with
arginine substitutions ('38G-3xA') were comparable to currents evoked from cells
transfected with an N-terminally truncated KCNE1-construct ('Delta1-38'). Western
blots from plasma-membrane preparations and confocal images consistently showed a
greater amount of Kv7.1 protein at the plasma-membrane in cells co-transfected
with the non-atrial fibrillation KCNE1-38S than with any other construct.
CONCLUSIONS: The results of our study indicate that N-terminal arginines in
positions 32, 33, 36 of KCNE1 are important for reconstitution of I(Ks).
Furthermore, our results hint towards a role of these N-terminal amino-acids in
membrane representation of the delayed rectifier channel complex.
PMID- 22073229
TI - Impact of MUC1 mucin downregulation in the phenotypic characteristics of MKN45
gastric carcinoma cell line.
AB - BACKGROUND: Gastric carcinoma is the second leading cause of cancer-associated
death worldwide. The high mortality associated with this disease is in part due
to limited knowledge about gastric carcinogenesis and a lack of available
therapeutic and prevention strategies. MUC1 is a high molecular weight
transmembrane mucin protein expressed at the apical surface of most glandular
epithelial cells and a major component of the mucus layer above gastric mucosa.
Overexpression of MUC1 is found in approximately 95% of human adenocarcinomas,
where it is associated with oncogenic activity. The role of MUC1 in gastric
cancer progression remains to be clarified. METHODOLOGY: We downregulated MUC1
expression in a gastric carcinoma cell line by RNA interference and studied the
effects on cellular proliferation (MTT assay), apoptosis (TUNEL assay), migration
(migration assay), invasion (invasion assay) and aggregation (aggregation assay).
Global gene expression was evaluated by microarray analysis to identify
alterations that are regulated by MUC1 expression. In vivo assays were also
performed in mice, in order to study the tumorigenicity of cells with and without
MUC1 downregulation in MKN45 gastric carcinoma cell line. RESULTS: Downregulation
of MUC1 expression increased proliferation and apoptosis as compared to controls,
whereas cell-cell aggregation was decreased. No significant differences were
found in terms of migration and invasion between the downregulated clones and the
controls. Expression of TCN1, KLK6, ADAM29, LGAL4, TSPAN8 and SHPS-1 was found to
be significantly different between MUC1 downregulated clones and the control
cells. In vivo assays have shown that mice injected with MUC1 downregulated cells
develop smaller tumours when compared to mice injected with the control cells.
CONCLUSIONS: These results indicate that MUC1 downregulation alters the phenotype
and tumorigenicity of MKN45 gastric carcinoma cells and also the expression of
several molecules that can be involved in tumorigenic events. Therefore, MUC1
should be further studied to better clarify its potential as a novel therapeutic
target for gastric cancer.
PMID- 22073230
TI - Methionine sulfoxide reductases are essential for virulence of Salmonella
typhimurium.
AB - Production of reactive oxygen species represents a fundamental innate defense
against microbes in a diversity of host organisms. Oxidative stress, amongst
others, converts peptidyl and free methionine to a mixture of methionine-S- (Met
S-SO) and methionine-R-sulfoxides (Met-R-SO). To cope with such oxidative damage,
methionine sulfoxide reductases MsrA and MsrB are known to reduce MetSOs, the
former being specific for the S-form and the latter being specific for the R
form. However, at present the role of methionine sulfoxide reductases in the
pathogenesis of intracellular bacterial pathogens has not been fully detailed.
Here we show that deletion of msrA in the facultative intracellular pathogen
Salmonella (S.) enterica serovar Typhimurium increased susceptibility to
exogenous H(2)O(2), and reduced bacterial replication inside activated
macrophages, and in mice. In contrast, a DeltamsrB mutant showed the wild type
phenotype. Recombinant MsrA was active against free and peptidyl Met-S-SO,
whereas recombinant MsrB was only weakly active and specific for peptidyl Met-R
SO. This raised the question of whether an additional Met-R-SO reductase could
play a role in the oxidative stress response of S. Typhimurium. MsrC is a
methionine sulfoxide reductase previously shown to be specific for free Met-R-SO
in Escherichia (E.) coli. We tested a DeltamsrC single mutant and a
DeltamsrBDeltamsrC double mutant under various stress conditions, and found that
MsrC is essential for survival of S. Typhimurium following exposure to H(2)O(2,)
as well as for growth in macrophages, and in mice. Hence, this study demonstrates
that all three methionine sulfoxide reductases, MsrA, MsrB and MsrC, facilitate
growth of a canonical intracellular pathogen during infection. Interestingly MsrC
is specific for the repair of free methionine sulfoxide, pointing to an important
role of this pathway in the oxidative stress response of Salmonella Typhimurium.
PMID- 22073231
TI - NFX1-LIKE2 (NFXL2) suppresses abscisic acid accumulation and stomatal closure in
Arabidopsis thaliana.
AB - The NFX1-LIKE1 (NFXL1) and NFXL2 genes were identified as regulators of salt
stress responses. The NFXL1 protein is a nuclear factor that positively affects
adaptation to salt stress. The nfxl1-1 loss-of-function mutant displayed reduced
survival rates under salt and high light stress. In contrast, the nfxl2-1 mutant,
defective in the NFXL2 gene, and NFXL2-antisense plants exhibited enhanced
survival under these conditions. We show here that the loss of NFXL2 function
results in abscisic acid (ABA) overaccumulation, reduced stomatal conductance,
and enhanced survival under drought stress. The nfxl2-1 mutant displayed reduced
stomatal aperture under all conditions tested. Fusicoccin treatment, exposition
to increasing light intensities, and supply of decreasing CO(2) concentrations
demonstrated full opening capacity of nfxl2-1 stomata. Reduced stomatal opening
presumably is a consequence of elevated ABA levels. Furthermore, seedling growth,
root growth, and stomatal closure were hypersensitive to exogenous ABA. The
enhanced ABA responses may contribute to the improved drought stress resistance
of the mutant. Three NFXL2 splice variants were cloned and named NFXL2-78, NFXL2
97, and NFXL2-100 according to the molecular weight of the putative proteins.
Translational fusions to the green fluorescent protein suggest nuclear
localisation of the NFXL2 proteins. Stable expression of the NFXL2-78 splice
variant in nfxl2-1 plants largely complemented the mutant phenotype. Our data
show that NFXL2 controls ABA levels and suppresses ABA responses. NFXL2 may
prevent unnecessary and costly stress adaptation under favourable conditions.
PMID- 22073232
TI - Transcriptomics responses in marine diatom Thalassiosira pseudonana exposed to
the polycyclic aromatic hydrocarbon benzo[a]pyrene.
AB - Diatoms are unicellular, photosynthetic, eukaryotic algae with a ubiquitous
distribution in water environments and they play an important role in the carbon
cycle. Molecular or morphological changes in these species under ecological
stress conditions are expected to serve as early indicators of toxicity and can
point to a global impact on the entire ecosystem. Thalassiosira pseudonana, a
marine diatom and the first with a fully sequenced genome has been selected as an
aquatic model organism for ecotoxicological studies using molecular tools. A
customized DNA microarray containing probes for the available gene sequences has
been developed and tested to analyze the effects of a common pollutant,
benzo(a)pyrene (BaP), at a sub-lethal concentration. This approach in diatoms has
helped to elucidate pathway/metabolic processes involved in the mode of action of
this pollutant, including lipid metabolism, silicon metabolism and stress
response. A dose-response of BaP on diatoms has been made and the effect of this
compound on the expression of selected genes was assessed by quantitative real
time-PCR. Up-regulation of the long-chain acyl-CoA synthetase and the anti
apoptotic transmembrane Bax inhibitor, as well as down-regulation of silicon
transporter 1 and a heat shock factor was confirmed at lower concentrations of
BaP, but not the heat-shock protein 20. The study has allowed the identification
of molecular biomarkers to BaP to be later on integrated into environmental
monitoring for water quality assessment.
PMID- 22073233
TI - Corruption kills: estimating the global impact of corruption on children deaths.
AB - BACKGROUND: Information on the global risk factors of children mortality is
crucial to guide global efforts to improve survival. Corruption has been
previously shown to significantly impact on child mortality. However no recent
quantification of its current impact is available. METHODS: The impact of
corruption was assessed through crude Pearson's correlation, univariate and
multivariate linear models coupling national under-five mortality rates in 2008
to the national "perceived level of corruption" (CPI) and a large set of
adjustment variables measured during the same period. FINDINGS: The final
multivariable model (adjusted R(2)= 0.89) included the following significant
variables: percentage of people with improved sanitation (p.value<0.001),
logarithm of total health expenditure (p.value = 0.006), Corruption Perception
Index (p.value<0.001), presence of an arid climate on the national territory (p =
0.006), and the dependency ratio (p.value<0.001). A decrease in CPI of one point
(i.e. a more important perceived corruption) was associated with an increase in
the log of national under-five mortality rate of 0.0644. According to this
result, it could be roughly hypothesized that more than 140000 annual children
deaths could be indirectly attributed to corruption. INTERPRETATIONS: Global
response to children mortality must involve a necessary increase in funds
available to develop water and sanitation access and purchase new methods for
prevention, management, and treatment of major diseases drawing the global
pattern of children deaths. However without paying regard to the anti-corruption
mechanisms needed to ensure their proper use, it will also provide further
opportunity for corruption. Policies and interventions supported by governments
and donors must integrate initiatives that recognise how they are inter-related.
PMID- 22073234
TI - Granulovacuolar degenerations appear in relation to hippocampal phosphorylated
tau accumulation in various neurodegenerative disorders.
AB - BACKGROUND: Granulovacuolar degeneration (GVD) is one of the pathological
hallmarks of Alzheimer's disease (AD), and it is defined as electron-dense
granules within double membrane-bound cytoplasmic vacuoles. Several lines of
evidence have suggested that GVDs appear within hippocampal pyramidal neurons in
AD when phosphorylated tau begins to aggregate into early-stage neurofibrillary
tangles. The aim of this study is to investigate the association of GVDs with
phosphorylated tau pathology to determine whether GVDs and phosphorylated tau
coexist among different non-AD neurodegenerative disorders. METHODS: An autopsied
series of 28 patients with a variety of neurodegenerative disorders and 9 control
patients were evaluated. Standard histological stains along with
immunohistochemistry using protein markers for GVD and confocal microscopy were
utilized. RESULTS: The number of neurons with GVDs significantly increased with
the level of phosphorylated tau accumulation in the hippocampal regions in non-AD
neurodegenerative disorders. At the cellular level, diffuse staining for
phosphorylated tau was detected in neurons with GVDs. CONCLUSIONS: Our data
suggest that GVDs appear in relation to hippocampal phosphorylated tau
accumulation in various neurodegenerative disorders, while the presence of
phosphorylated tau in GVD-harbouring neurons in non-AD neurodegenerative
disorders was indistinguishable from age-related accumulation of phosphorylated
tau. Although GVDs in non-AD neurodegenerative disorders have not been studied
thoroughly, our results suggest that they are not incidental findings, but rather
they appear in relation to phosphorylated tau accumulation, further highlighting
the role of GVD in the process of phosphorylated tau accumulation.
PMID- 22073236
TI - Climate change impact on neotropical social wasps.
AB - Establishing a direct link between climate change and fluctuations in animal
populations through long-term monitoring is difficult given the paucity of
baseline data. We hypothesized that social wasps are sensitive to climatic
variations, and thus studied the impact of ENSO events on social wasp populations
in French Guiana. We noted that during the 2000 La Nina year there was a 77.1%
decrease in their nest abundance along ca. 5 km of forest edges, and that 70.5%
of the species were no longer present. Two simultaneous 13-year surveys (1997
2009) confirmed the decrease in social wasps during La Nina years (2000 and
2006), while an increase occurred during the 2009 El Nino year. A 30-year weather
survey showed that these phenomena corresponded to particularly high levels of
rainfall, and that temperature, humidity and global solar radiation were
correlated with rainfall. Using the Self-Organizing Map algorithm, we show that
heavy rainfall during an entire rainy season has a negative impact on social
wasps. Strong contrasts in rainfall between the dry season and the short rainy
season exacerbate this effect. Social wasp populations never recovered to their
pre-2000 levels. This is probably because these conditions occurred over four
years; heavy rainfall during the major rainy seasons during four other years also
had a detrimental effect. On the contrary, low levels of rainfall during the
major rainy season in 2009 spurred an increase in social wasp populations. We
conclude that recent climatic changes have likely resulted in fewer social wasp
colonies because they have lowered the wasps' resistance to parasitoids and
pathogens. These results imply that Neotropical social wasps can be regarded as
bio-indicators because they highlight the impact of climatic changes not yet
perceptible in plants and other animals.
PMID- 22073235
TI - Differential expression of Wnts after spinal cord contusion injury in adult rats.
AB - BACKGROUND: Spinal cord injury is a major cause of disability that has no
clinically accepted treatment. Functional decline following spinal cord injury is
caused by mechanical damage, secondary cell death, reactive gliosis and a poor
regenerative capacity of damaged axons. Wnt proteins are a family of secreted
glycoproteins that play key roles in different developmental processes although
little is known of the expression patterns and functions of Wnts in the adult
central nervous system in normal or diseased states. FINDINGS: Using qRT-PCR
analysis, we demonstrate that mRNA encoding most Wnt ligands and soluble
inhibitors are constitutively expressed in the healthy adult spinal cord.
Strikingly, contusion spinal cord injury induced a time-dependent increase in Wnt
mRNA expression from 6 hours until 28 days post-injury, and a narrow peak in the
expression of soluble Wnt inhibitors between 1 and 3 days post-injury. These
results are consistent with the increase in the migration shift, from day 1 to 7,
of the intracellular Wnt signalling component, Dishevelled-3. Moreover, after an
initial decrease by 1 day, we also found an increase in phosphorylation of the
Wnt co-receptor, low-density lipoprotein receptor-related protein 6, and an
increase in active beta-catenin protein, both of which suffer a dramatic change,
from a homogeneous expression pattern in the grey matter to a disorganized injury
induced pattern. CONCLUSIONS: Our results suggest a role for Wnts in spinal cord
homeostasis and injury. We demonstrate that after injury Wnt signalling is
activated via the Wnt/beta-catenin and possibly other pathways. These findings
provide an important foundation to further address the function of individual Wnt
proteins in vivo and the pathophysiology of spinal cord injury.
PMID- 22073237
TI - Mangafodipir protects against hepatic ischemia-reperfusion injury in mice.
AB - INTRODUCTION AND AIM: Mangafodipir is a contrast agent used in magnetic resonance
imaging that concentrates in the liver and displays pleiotropic antioxidant
properties. Since reactive oxygen species are involved in ischemia-reperfusion
damages, we hypothesized that the use of mangafodipir could prevent liver lesions
in a mouse model of hepatic ischemia reperfusion injury. Mangafodipir (MnDPDP)
was compared to ischemic preconditioning and intermittent inflow occlusion for
the prevention of hepatic ischemia-reperfusion injury in the mouse. METHODS: Mice
were subjected to 70% hepatic ischemia (continuous ischemia) for 90 min. Thirty
minutes before the ischemic period, either mangafodipir (10 mg/kg) or saline was
injected intraperitoneally. Those experimental groups were compared with one
group of mice preconditioned by 10 minutes' ischemia followed by 15 minutes'
reperfusion, and one group with intermittent inflow occlusion. Hepatic ischemia
reperfusion injury was evaluated by measurement of serum levels of aspartate
aminotransferase (ASAT) activity, histologic analysis of the livers, and
determination of hepatocyte apoptosis (cytochrome c release, caspase 3 activity).
The effect of mangafodipir on the survival rate of mice was studied in a model of
total hepatic ischemia. RESULTS: Mangafodipir prevented experimental hepatic
ischemia-reperfusion injuries in the mouse as indicated by a reduction in serum
ASAT activity (P<0.01), in liver tissue damages, in markers of apoptosis
(P<0.01), and by higher rates of survival in treated than in untreated animals
(P<0.001). The level of protection by mangafodipir was similar to that observed
following intermittent inflow occlusion and higher than after ischemic
preconditioning. CONCLUSIONS: Mangafodipir is a potential new preventive
treatment for hepatic ischemia-reperfusion injury.
PMID- 22073238
TI - MiR-223 suppresses cell proliferation by targeting IGF-1R.
AB - To study the roles of microRNA-223 (miR-223) in regulation of cell growth, we
established a miR-223 over-expression model in HeLa cells infected with miR-223
by Lentivirus pLL3.7 system. We observed in this model that miR-223 significantly
suppressed the proliferation, growth rate, colony formation of HeLa cells in
vitro, and in vivo tumorigenicity or tumor formation in nude mice. To investigate
the mechanisms involved, we scanned and examined the potential and putative
target molecules of miR-223 by informatics, quantitative PCR and Western blot,
and found that insulin-like growth factor-1 receptor (IGF-1R) was the functional
target of miR-223 inhibition of cell proliferation. Targeting IGF-1R by miR-223
was not only seen in HeLa cells, but also in leukemia and hepatoma cells. The
downstream pathway, Akt/mTOR/p70S6K, to which the signal was mediated by IGF-1R,
was inhibited as well. The relative luciferase activity of the reporter
containing wild-type 3'UTR(3'untranslated region) of IGF-1R was significantly
suppressed, but the mutant not. Silence of IGF-1R expression by vector-based
short hairpin RNA resulted in the similar inhibition with miR-223. Contrarily,
rescued IGF-1R expression in the cells that over-expressed miR-223, reversed the
inhibition caused by miR-223 via introducing IGF-1R cDNA that didn't contain the
3'UTR. Meanwhile, we also noted that miR-223 targeted Rasa1, but the downstream
molecules mediated by Rasa1 was neither targeted nor regulated. Therefore we
believed that IGF-1R was the functional target for miR-223 suppression of cell
proliferation and its downstream PI3K/Akt/mTOR/p70S6K pathway suppressed by miR
223 was by targeting IGF-1R.
PMID- 22073239
TI - Modeling the mechanism of action of a DGAT1 inhibitor using a causal reasoning
platform.
AB - Triglyceride accumulation is associated with obesity and type 2 diabetes. Genetic
disruption of diacylglycerol acyltransferase 1 (DGAT1), which catalyzes the final
reaction of triglyceride synthesis, confers dramatic resistance to high-fat diet
induced obesity. Hence, DGAT1 is considered a potential therapeutic target for
treating obesity and related metabolic disorders. However, the molecular events
shaping the mechanism of action of DGAT1 pharmacological inhibition have not been
fully explored yet. Here, we investigate the metabolic molecular mechanisms
induced in response to pharmacological inhibition of DGAT1 using a recently
developed computational systems biology approach, the Causal Reasoning Engine
(CRE). The CRE algorithm utilizes microarray transcriptomic data and causal
statements derived from the biomedical literature to infer upstream molecular
events driving these transcriptional changes. The inferred upstream events (also
called hypotheses) are aggregated into biological models using a set of
analytical tools that allow for evaluation and integration of the hypotheses in
context of their supporting evidence. In comparison to gene ontology enrichment
analysis which pointed to high-level changes in metabolic processes, the CRE
results provide detailed molecular hypotheses to explain the measured
transcriptional changes. CRE analysis of gene expression changes in high fat
habituated rats treated with a potent and selective DGAT1 inhibitor demonstrate
that the majority of transcriptomic changes support a metabolic network
indicative of reversal of high fat diet effects that includes a number of
molecular hypotheses such as PPARG, HNF4A and SREBPs. Finally, the CRE-generated
molecular hypotheses from DGAT1 inhibitor treated rats were found to capture the
major molecular characteristics of DGAT1 deficient mice, supporting a phenotype
of decreased lipid and increased insulin sensitivity.
PMID- 22073240
TI - Suicidal behavior and depression in smoking cessation treatments.
AB - BACKGROUND: Two treatments for smoking cessation--varenicline and bupropion-
carry Boxed Warnings from the U.S. Food and Drug Administration (FDA) about
suicidal/self-injurious behavior and depression. However, some epidemiological
studies report an increased risk in smoking or smoking cessation independent of
treatment, and differences between drugs are unknown. METHODOLOGY: From the FDA's
Adverse Event Reporting System (AERS) database from 1998 through September 2010
we selected domestic, serious case reports for varenicline (n = 9,575), bupropion
for smoking cessation (n = 1,751), and nicotine replacement products (n = 1,917).
A composite endpoint of suicidal/self-injurious behavior or depression was
defined as a case with one or more Preferred Terms in Standardized MedDRA Query
(SMQ) for those adverse effects. The main outcome measure was the ratio of
reported suicide/self-injury or depression cases for each drug compared to all
other serious events for that drug. RESULTS: Overall we identified 3,249 reported
cases of suicidal/self-injurious behavior or depression, 2,925 (90%) for
varenicline, 229 (7%) for bupropion, and 95 (3%) for nicotine replacement.
Compared to nicotine replacement, the disproportionality results (OR (95% CI))
were varenicline 8.4 (6.8-10.4), and bupropion 2.9 (2.3-3.7). The
disproportionality persisted after excluding reports indicating concomitant
therapy with any of 58 drugs with suicidal behavior warnings or precautions in
the prescribing information. An additional antibiotic comparison group showed
that adverse event reports of suicidal/self-injurious behavior or depression were
otherwise rare in a healthy population receiving short-term drug treatment.
CONCLUSIONS: Varenicline shows a substantial, statistically significant increased
risk of reported depression and suicidal/self-injurious behavior. Bupropion for
smoking cessation had smaller increased risks. The findings for varenicline,
combined with other problems with its safety profile, render it unsuitable for
first-line use in smoking cessation.
PMID- 22073241
TI - The role of speciation in positive Lowenstein-Jensen culture isolates from a high
tuberculosis burden country.
AB - OBJECTIVE: To determine the need for routine speciation of positive Lowenstein
Jensen mycobacterial cultures in HIV-infected patients suspected of having
pulmonary tuberculosis at Mulago Hospital in Kampala, Uganda. METHODS: Sputum and
bronchoalveolar lavage Lowenstein-Jensen mycobacterial culture isolates from
consecutive, HIV-infected patients admitted to Mulago Hospital with 2 weeks or
more of cough were subjected to IS6110 PCR and rpoB genetic analysis to determine
the presence of Mycobacterium tuberculosis complex (MTBC) and non-tuberculous
mycobacteria (NTM). RESULTS: Eighty (100%) mycobacterial cultures from 65
patients were confirmed to be members of MTBC. Subsequent analysis of the
cultures from 54 patients by PCR and sequence analyses to identify co-infection
with NTM confirmed the presence of MTBC as well as the presence of Micrococcus
luteus (n = 4), Janibacter spp. (n = 1) and six cultures had organisms that could
not be identified. CONCLUSIONS: Presumptive diagnosis of tuberculosis on the
basis of a positive Lowenstein-Jensen culture is sufficient in HIV-infected
Ugandans suspected of having tuberculosis. Routine molecular confirmation of
positive Lowenstein-Jensen cultures is unnecessary in this low resource setting.
PMID- 22073242
TI - Phase I evaluation of STA-1474, a prodrug of the novel HSP90 inhibitor
ganetespib, in dogs with spontaneous cancer.
AB - BACKGROUND: The novel water soluble compound STA-1474 is metabolized to
ganetespib (formerly STA-9090), a potent HSP90 inhibitor previously shown to kill
canine tumor cell lines in vitro and inhibit tumor growth in the setting of
murine xenografts. The purpose of the following study was to extend these
observations and investigate the safety and efficacy of STA-1474 in dogs with
spontaneous tumors. METHODS AND FINDINGS: This was a Phase 1 trial in which dogs
with spontaneous tumors received STA-1474 under one of three different dosing
schemes. Pharmacokinetics, toxicities, biomarker changes, and tumor responses
were assessed. Twenty-five dogs with a variety of cancers were enrolled.
Toxicities were primarily gastrointestinal in nature consisting of diarrhea,
vomiting, inappetence and lethargy. Upregulation of HSP70 protein expression was
noted in both tumor specimens and PBMCs within 7 hours following drug
administration. Measurable objective responses were observed in dogs with
malignant mast cell disease (n = 3), osteosarcoma (n = 1), melanoma (n = 1) and
thyroid carcinoma (n = 1), for a response rate of 24% (6/25). Stable disease (>10
weeks) was seen in 3 dogs, for a resultant overall biological activity of 36%
(9/25). CONCLUSIONS: This study provides evidence that STA-1474 exhibits biologic
activity in a relevant large animal model of cancer. Given the similarities of
canine and human cancers with respect to tumor biology and HSP90 activation, it
is likely that STA-1474 and ganetespib will demonstrate comparable anti-cancer
activity in human patients.
PMID- 22073243
TI - The two Caenorhabditis elegans UDP-glucose:glycoprotein glucosyltransferase
homologues have distinct biological functions.
AB - The UDP-Glc:glycoprotein glucosyltransferase (UGGT) is the sensor of glycoprotein
conformations in the glycoprotein folding quality control as it exclusively
glucosylates glycoproteins not displaying their native conformations.
Monoglucosylated glycoproteins thus formed may interact with the lectin
chaperones calnexin (CNX) and calreticulin (CRT). This interaction prevents
premature exit of folding intermediates to the Golgi and enhances folding
efficiency. Bioinformatic analysis showed that in C. elegans there are two open
reading frames (F48E3.3 and F26H9.8 to be referred as uggt-1 and uggt-2,
respectively) coding for UGGT homologues. Expression of both genes in
Schizosaccharomyces pombe mutants devoid of UGGT activity showed that uggt-1
codes for an active UGGT protein (CeUGGT-1). On the other hand, uggt-2 coded for
a protein (CeUGGT-2) apparently not displaying a canonical UGGT activity. This
protein was essential for viability, although cnx/crt null worms were viable. We
constructed transgenic worms carrying the uggt-1 promoter linked to the green
fluorescent protein (GFP) coding sequence and found that CeUGGT-1 is expressed in
cells of the nervous system. uggt-1 is upregulated under ER stress through the
ire-1 arm of the unfolded protein response (UPR). Real-time PCR analysis showed
that both uggt-1 and uggt-2 genes are expressed during the entire C. elegans life
cycle. RNAi-mediated depletion of CeUGGT-1 but not of CeUGGT-2 resulted in a
reduced lifespan and that of CeUGGT-1 and CeUGGT-2 in a developmental delay. We
found that both CeUGGT1 and CeUGGT2 play a protective role under ER stress
conditions, since 10 ug/ml tunicamycin arrested development at the L2/L3 stage of
both uggt-1(RNAi) and uggt-2(RNAi) but not of control worms. Furthermore, we
found that the role of CeUGGT-2 but not CeUGGT-1 is significant in relieving low
ER stress levels in the absence of the ire-1 unfolding protein response signaling
pathway. Our results indicate that both C. elegans UGGT homologues have distinct
biological functions.
PMID- 22073244
TI - Testing the water-energy theory on American palms (Arecaceae) using
geographically weighted regression.
AB - Water and energy have emerged as the best contemporary environmental correlates
of broad-scale species richness patterns. A corollary hypothesis of water-energy
dynamics theory is that the influence of water decreases and the influence of
energy increases with absolute latitude. We report the first use of
geographically weighted regression for testing this hypothesis on a continuous
species richness gradient that is entirely located within the tropics and
subtropics. The dataset was divided into northern and southern hemispheric
portions to test whether predictor shifts are more pronounced in the less oceanic
northern hemisphere. American palms (Arecaceae, n = 547 spp.), whose species
richness and distributions are known to respond strongly to water and energy,
were used as a model group. The ability of water and energy to explain palm
species richness was quantified locally at different spatial scales and regressed
on latitude. Clear latitudinal trends in agreement with water-energy dynamics
theory were found, but the results did not differ qualitatively between
hemispheres. Strong inherent spatial autocorrelation in local modeling results
and collinearity of water and energy variables were identified as important
methodological challenges. We overcame these problems by using simultaneous
autoregressive models and variation partitioning. Our results show that the
ability of water and energy to explain species richness changes not only across
large climatic gradients spanning tropical to temperate or arctic zones but also
within megathermal climates, at least for strictly tropical taxa such as palms.
This finding suggests that the predictor shifts are related to gradual
latitudinal changes in ambient energy (related to solar flux input) rather than
to abrupt transitions at specific latitudes, such as the occurrence of frost.
PMID- 22073245
TI - Finding and testing network communities by lumped Markov chains.
AB - Identifying communities (or clusters), namely groups of nodes with comparatively
strong internal connectivity, is a fundamental task for deeply understanding the
structure and function of a network. Yet, there is a lack of formal criteria for
defining communities and for testing their significance. We propose a sharp
definition that is based on a quality threshold. By means of a lumped Markov
chain model of a random walker, a quality measure called "persistence
probability" is associated to a cluster, which is then defined as an "alpha
community" if such a probability is not smaller than alpha. Consistently, a
partition composed of alpha-communities is an "alpha-partition." These
definitions turn out to be very effective for finding and testing communities. If
a set of candidate partitions is available, setting the desired alpha-level
allows one to immediately select the alpha-partition with the finest
decomposition. Simultaneously, the persistence probabilities quantify the quality
of each single community. Given its ability in individually assessing each single
cluster, this approach can also disclose single well-defined communities even in
networks that overall do not possess a definite clusterized structure.
PMID- 22073246
TI - Adapted to roar: functional morphology of tiger and lion vocal folds.
AB - Vocal production requires active control of the respiratory system, larynx and
vocal tract. Vocal sounds in mammals are produced by flow-induced vocal fold
oscillation, which requires vocal fold tissue that can sustain the mechanical
stress during phonation. Our understanding of the relationship between morphology
and vocal function of vocal folds is very limited. Here we tested the hypothesis
that vocal fold morphology and viscoelastic properties allow a prediction of
fundamental frequency range of sounds that can be produced, and minimal lung
pressure necessary to initiate phonation. We tested the hypothesis in lions and
tigers who are well-known for producing low frequency and very loud roaring
sounds that expose vocal folds to large stresses. In histological sections, we
found that the Panthera vocal fold lamina propria consists of a lateral region
with adipocytes embedded in a network of collagen and elastin fibers and
hyaluronan. There is also a medial region that contains only fibrous proteins and
hyaluronan but no fat cells. Young's moduli range between 10 and 2000 kPa for
strains up to 60%. Shear moduli ranged between 0.1 and 2 kPa and differed between
layers. Biomechanical and morphological data were used to make predictions of
fundamental frequency and subglottal pressure ranges. Such predictions agreed
well with measurements from natural phonation and phonation of excised larynges,
respectively. We assume that fat shapes Panthera vocal folds into an advantageous
geometry for phonation and it protects vocal folds. Its primary function is
probably not to increase vocal fold mass as suggested previously. The large
square-shaped Panthera vocal fold eases phonation onset and thereby extends the
dynamic range of the voice.
PMID- 22073247
TI - Vision is adapted to the natural level of blur present in the retinal image.
AB - BACKGROUND: The image formed by the eye's optics is inherently blurred by
aberrations specific to an individual's eyes. We examined how visual coding is
adapted to the optical quality of the eye. METHODS AND FINDINGS: We assessed the
relationship between perceived blur and the retinal image blur resulting from
high order aberrations in an individual's optics. Observers judged perceptual
blur in a psychophysical two-alternative forced choice paradigm, on stimuli
viewed through perfectly corrected optics (using a deformable mirror to
compensate for the individual's aberrations). Realistic blur of different amounts
and forms was computer simulated using real aberrations from a population. The
blur levels perceived as best focused were close to the levels predicted by an
individual's high order aberrations over a wide range of blur magnitudes, and
were systematically biased when observers were instead adapted to the blur
reproduced from a different observer's eye. CONCLUSIONS: Our results provide
strong evidence that spatial vision is calibrated for the specific blur levels
present in each individual's retinal image and that this adaptation at least
partly reflects how spatial sensitivity is normalized in the neural coding of
blur.
PMID- 22073248
TI - The interaction between regulatory T cells and NKT cells in the liver: a CD1d
bridge links innate and adaptive immunity.
AB - BACKGROUND/AIMS: Regulatory T cells (Tregs) and natural killer T (NKT) cells are
two distinct lymphocyte subsets that independently regulate hepatic adaptive and
innate immunity, respectively. In the current study, we examine the interaction
between Tregs and NKT cells to understand the mechanisms of cross immune
regulation by these cells. METHODS: The frequency and function of Tregs were
evaluated in wild type and NKT cell deficient (CD1dko) mice. In vitro lymphocyte
proliferation and apoptosis assays were performed with NKT cells co-cultured with
Tregs. The ability of Tregs to inhibit NKT cells in vivo was examined by adoptive
transfer of Tregs in a model of NKT cell mediated hepatitis. RESULTS: CD1dko mice
have a significant reduction in hepatic Tregs. Although, the Tregs from CD1dko
mice remain functional and can suppress conventional T cells, their ability to
suppress activation induced NKT cell proliferation and to promote NKT cell
apoptosis is greatly diminished. These effects are CD1d dependent and require
cell to cell contact. Adoptive transfer of Tregs inhibits NKT cell-mediated liver
injury. CONCLUSIONS: NKT cells promote Tregs, and Tregs inhibit NKT cells in a
CD1d dependent manner requiring cell to cell contact. These cross-talk immune
regulations provide a linkage between innate and adaptive immunity.
PMID- 22073249
TI - Up-regulation of MUC2 and IL-1beta expression in human colonic epithelial cells
by Shigella and its interaction with mucins.
AB - BACKGROUND: The entire gastrointestinal tract is protected by a mucous layer,
which contains complex glycoproteins called mucins. MUC2 is one such mucin that
protects the colonic mucosa from invading microbes. The initial interaction
between microbes and mucins is an important step for microbial pathogenesis.
Hence, it was of interest to investigate the relationship between host (mucin)
and pathogen interaction, including Shigella induced expression of MUC2 and IL
1beta during shigellosis. METHODS: The mucin-Shigella interaction was revealed by
an in vitro mucin-binding assay. Invasion of Shigella dysenteriae into HT-29
cells was analyzed by Transmission electron microscopy. Shigella induced mucin
and IL-1beta expression were analyzed by RT-PCR and Immunofluorescence. RESULTS:
The clinical isolates of Shigella were found to be virulent by a congo-red
binding assay. The in vitro mucin-binding assay revealed both Shigella
dysenteriae and Shigella flexneri have binding affinity in the increasing order
of: guinea pig small intestinal mucin2 years). HRM scores were generated for two regions
in gag, one region in pol, and three regions in env. RESULTS: Median HRM scores
were higher in non-recent infection than in recent infection for all six regions
tested. In multivariate models, higher HRM scores in three of the six regions
were independently associated with non-recent HIV infection. CONCLUSIONS: The HRM
diversity assay provides a simple, scalable method for measuring HIV diversity.
HRM scores, which reflect the genetic diversity in a viral population, may be
useful biomarkers for evaluation of HIV incidence, particularly if multiple
regions of the HIV genome are examined.
PMID- 22073291
TI - Helicobacter pylori genotyping from American indigenous groups shows novel
Amerindian vacA and cagA alleles and Asian, African and European admixture.
AB - It is valuable to extend genotyping studies of Helicobacter pylori to strains
from indigenous communities across the world to better define adaption,
evolution, and associated diseases. We aimed to genetically characterize both
human individuals and their infecting H. pylori from indigenous communities of
Mexico, and to compare them with those from other human groups. We studied
individuals from three indigenous groups, Tarahumaras from the North, Huichols
from the West and Nahuas from the center of Mexico. Volunteers were sampled at
their community site, DNA was isolated from white blood cells and mtDNA, Y
chromosome, and STR alleles were studied. H. pylori was cultured from gastric
juice, and DNA extracted for genotyping of virulence and housekeeping genes. We
found Amerindian mtDNA haplogroups (A, B, C, and D), Y-chromosome DYS19T, and
Amerindian STRs alleles frequent in the three groups, confirming Amerindian
ancestry in these Mexican groups. Concerning H.pylori cagA phylogenetic analyses,
although most isolates were of the Western type, a new Amerindian cluster neither
Western nor Asian, was formed by some indigenous Mexican, Colombian, Peruvian and
Venezuelan isolates. Similarly, vacA phylogenetic analyses showed the existence
of a novel Amerindian type in isolates from Alaska, Mexico and Colombia. With
hspA strains from Mexico and other American groups clustered within the three
major groups, Asian, African or European. Genotyping of housekeeping genes
confirmed that Mexican strains formed a novel Asian-related Amerindian group
together with strains from remote Amazon Aborigines. This study shows that
Mexican indigenous people with Amerindian markers are colonized with H. pylori
showing admixture of Asian, European and African strains in genes known to
interact with the gastric mucosa. We present evidence of novel Amerindian cagA
and vacA alleles in indigenous groups of North and South America.
PMID- 22073292
TI - Glycolysis inhibition inactivates ABC transporters to restore drug sensitivity in
malignant cells.
AB - Cancer cells eventually acquire drug resistance largely via the aberrant
expression of ATP-binding cassette (ABC) transporters, ATP-dependent efflux
pumps. Because cancer cells produce ATP mostly through glycolysis, in the present
study we explored the effects of inhibiting glycolysis on the ABC transporter
function and drug sensitivity of malignant cells. Inhibition of glycolysis by 3
bromopyruvate (3BrPA) suppressed ATP production in malignant cells, and restored
the retention of daunorubicin or mitoxantrone in ABC transporter-expressing,
RPMI8226 (ABCG2), KG-1 (ABCB1) and HepG2 cells (ABCB1 and ABCG2). Interestingly,
although side population (SP) cells isolated from RPMI8226 cells exhibited higher
levels of glycolysis with an increased expression of genes involved in the
glycolytic pathway, 3BrPA abolished Hoechst 33342 exclusion in SP cells. 3BrPA
also disrupted clonogenic capacity in malignant cell lines including RPMI8226, KG
1, and HepG2. Furthermore, 3BrPA restored cytotoxic effects of daunorubicin and
doxorubicin on KG-1 and RPMI8226 cells, and markedly suppressed subcutaneous
tumor growth in combination with doxorubicin in RPMI8226-implanted mice. These
results collectively suggest that the inhibition of glycolysis is able to
overcome drug resistance in ABC transporter-expressing malignant cells through
the inactivation of ABC transporters and impairment of SP cells with enhanced
glycolysis as well as clonogenic cells.
PMID- 22073293
TI - Butyrate enhances disease resistance of chickens by inducing antimicrobial host
defense peptide gene expression.
AB - Host defense peptides (HDPs) constitute a large group of natural broad-spectrum
antimicrobials and an important first line of immunity in virtually all forms of
life. Specific augmentation of synthesis of endogenous HDPs may represent a
promising antibiotic-alternative approach to disease control. In this study, we
tested the hypothesis that exogenous administration of butyrate, a major type of
short-chain fatty acids derived from bacterial fermentation of undigested dietary
fiber, is capable of inducing HDPs and enhancing disease resistance in chickens.
We have found that butyrate is a potent inducer of several, but not all, chicken
HDPs in HD11 macrophages as well as in primary monocytes, bone marrow cells, and
jejuna and cecal explants. In addition, butyrate treatment enhanced the
antibacterial activity of chicken monocytes against Salmonella enteritidis, with
a minimum impact on inflammatory cytokine production, phagocytosis, and oxidative
burst capacities of the cells. Furthermore, feed supplementation with 0.1%
butyrate led to a significant increase in HDP gene expression in the intestinal
tract of chickens. More importantly, such a feeding strategy resulted in a nearly
10-fold reduction in the bacterial titer in the cecum following experimental
infections with S. enteritidis. Collectively, the results indicated that butyrate
induced synthesis of endogenous HDPs is a phylogenetically conserved mechanism of
innate host defense shared by mammals and aves, and that dietary supplementation
of butyrate has potential for further development as a convenient antibiotic
alternative strategy to enhance host innate immunity and disease resistance.
PMID- 22073294
TI - Profiling of substrate specificities of 3C-like proteases from group 1, 2a, 2b,
and 3 coronaviruses.
AB - BACKGROUND: Coronaviruses (CoVs) can be classified into alphacoronavirus (group
1), betacoronavirus (group 2), and gammacoronavirus (group 3) based on diversity
of the protein sequences. Their 3C-like protease (3CL(pro)), which catalyzes the
proteolytic processing of the polyproteins for viral replication, is a potential
target for anti-coronaviral infection. METHODOLOGY/PRINCIPAL FINDINGS: Here, we
profiled the substrate specificities of 3CL(pro) from human CoV NL63 (group 1),
human CoV OC43 (group 2a), severe acute respiratory syndrome coronavirus (SARS
CoV) (group 2b) and infectious bronchitis virus (IBV) (group 3), by measuring
their activity against a substrate library of 19 * 8 of variants with single
substitutions at P5 to P3' positions. The results were correlated with structural
properties like side chain volume, hydrophobicity, and secondary structure
propensities of substituting residues. All 3CL(pro) prefer Gln at P1 position,
Leu at P2 position, basic residues at P3 position, small hydrophobic residues at
P4 position, and small residues at P1' and P2' positions. Despite 3CL(pro) from
different groups of CoVs share many similarities in substrate specificities,
differences in substrate specificities were observed at P4 positions, with IBV
3CL(pro) prefers P4-Pro and SARS-CoV 3CL(pro) prefers P4-Val. By combining the
most favorable residues at P3 to P5 positions, we identified super-active
substrate sequences 'VARLQ?SGF' that can be cleaved efficiently by all 3CL(pro)
with relative activity of 1.7 to 3.2, and 'VPRLQ?SGF' that can be cleaved
specifically by IBV 3CL(pro) with relative activity of 4.3.
CONCLUSIONS/SIGNIFICANCE: The comprehensive substrate specificities of 3CL(pro)
from each of the group 1, 2a, 2b, and 3 CoVs have been profiled in this study,
which may provide insights into a rational design of broad-spectrum
peptidomimetic inhibitors targeting the proteases.
PMID- 22073295
TI - Protozoan parasite Toxoplasma gondii manipulates mate choice in rats by enhancing
attractiveness of males.
AB - Females in various species typically avoid males infected with parasites, while
parasite-free males advertise their status through conspicuous phenotypic traits.
This process selects for heritable resistance and reduces direct exposure of the
female to parasites. Coevolving parasites are likely to attempt to circumvent
this obstacle. In this paper, we demonstrate a case of parasitic manipulation of
host mate choice. We report that Toxoplasma gondii, a sexually transmitted
infection of brown rats, enhances sexual attractiveness of infected males. Thus
under some evolutionary niches, parasites can indeed manipulate host sexual
signaling to their own advantage.
PMID- 22073296
TI - Oscillatory protein expression dynamics endows stem cells with robust
differentiation potential.
AB - The lack of understanding of stem cell differentiation and proliferation is a
fundamental problem in developmental biology. Although gene regulatory networks
(GRNs) for stem cell differentiation have been partially identified, the nature
of differentiation dynamics and their regulation leading to robust development
remain unclear. Herein, using a dynamical system modeling cell approach, we
performed simulations of the developmental process using all possible GRNs with a
few genes, and screened GRNs that could generate cell type diversity through cell
cell interactions. We found that model stem cells that both proliferated and
differentiated always exhibited oscillatory expression dynamics, and the
differentiation frequency of such stem cells was regulated, resulting in a robust
number distribution. Moreover, we uncovered the common regulatory motifs for stem
cell differentiation, in which a combination of regulatory motifs that generated
oscillatory expression dynamics and stabilized distinct cellular states played an
essential role. These findings may explain the recently observed heterogeneity
and dynamic equilibrium in cellular states of stem cells, and can be used to
predict regulatory networks responsible for differentiation in stem cell systems.
PMID- 22073297
TI - Generation of micronuclei during interphase by coupling between cytoplasmic
membrane blebbing and nuclear budding.
AB - Micronucleation, mediated by interphase nuclear budding, has been repeatedly
suggested, but the process is still enigmatic. In the present study, we confirmed
the previous observation that there are lamin B1-negative micronuclei in addition
to the positive ones. A large cytoplasmic bleb was found to frequently entrap
lamin B1-negative micronuclei, which were connected to the nucleus by a thin
chromatin stalk. At the bottom of the stalk, the nuclear lamin B1 structure
appeared broken. Chromatin extrusion through lamina breaks has been referred to
as herniation or a blister of the nucleus, and has been observed after the
expression of viral proteins. A cell line in which extrachromosomal double
minutes and lamin B1 protein were simultaneously visualized in different colors
in live cells was established. By using these cells, time-lapse microscopy
revealed that cytoplasmic membrane blebbing occurred simultaneously with the
extrusion of nuclear content, which generated lamin B1-negative micronuclei
during interphase. Furthermore, activation of cytoplasmic membrane blebbing by
the addition of fresh serum or camptothecin induced nuclear budding within 1 to
10 minutes, which suggested that blebbing might be the cause of the budding.
After the induction of blebbing, the frequency of lamin-negative micronuclei
increased. The budding was most frequent during S phase and more efficiently
entrapped small extrachromosomal chromatin than the large chromosome arm. Based
on these results, we suggest a novel mechanism in which cytoplasmic membrane
dynamics pulls the chromatin out of the nucleus through the lamina break.
Evidence for such a mechanism was obtained in certain cancer cell lines including
human COLO 320 and HeLa. The mechanism could significantly perturb the genome and
influence cancer cell phenotypes.
PMID- 22073298
TI - Characterization of a novel type of HIV-1 particle assembly inhibitor using a
quantitative luciferase-Vpr packaging-based assay.
AB - The HIV-1 auxiliary protein Vpr and Vpr-fusion proteins can be copackaged with
Gag precursor (Pr55Gag) into virions or membrane-enveloped virus-like particles
(VLP). Taking advantage of this property, we developed a simple and sensitive
method to evaluate potential inhibitors of HIV-1 assembly in a living cell
system. Two proteins were coexpressed in recombinant baculovirus-infected Sf9
cells, Pr55Gag, which formed the VLP backbone, and luciferase fused to the N
terminus of Vpr (LucVpr). VLP-encapsidated LucVpr retained the enzymatic activity
of free luciferase. The levels of luciferase activity present in the pelletable
fraction recovered from the culture medium correlated with the amounts of
extracellular VLP released by Sf9 cells assayed by conventional immunological
methods. Our luciferase-based assay was then applied to the characterization of
betulinic acid (BA) derivatives that differed from the leader compound PA-457 (or
DSB) by their substituant on carbon-28. The beta-alanine-conjugated and lysine
conjugated DSB could not be evaluated for their antiviral potentials due to their
high cytotoxicity, whereas two other compounds with a lesser cytotoxicity,
glycine-conjugated and epsilon-NH-Boc-lysine-conjugated DSB, exerted a dose
dependent negative effect on VLP assembly and budding. A fifth compound with a
low cytotoxicity, EP-39 (ethylene diamine-conjugated DSB), showed a novel type of
antiviral effect. EP-39 provoked an aberrant assembly of VLP, resulting in
nonenveloped, morula-like particles of 100-nm in diameter. Each morula was
composed of nanoparticle subunits of 20-nm in diameter, which possibly mimicked
transient intermediates of the HIV-1 Gag assembly process. Chemical cross-linking
in situ suggested that EP-39 favored the formation or/and persistence of Pr55Gag
trimers over other oligomeric species. EP-39 showed a novel type of negative
effect on HIV-1 assembly, targeting the Pr55Gag oligomerisation. The biological
effect of EP-39 underlined the critical role of the nature of the side chain at
position 28 of BA derivatives in their anti-HIV-1 activity.
PMID- 22073299
TI - Altered dark- and photoconversion of phytochrome B mediate extreme light
sensitivity and loss of photoreversibility of the phyB-401 mutant.
AB - The phyB-401 mutant is 10(3) fold more sensitive to red light than its wild-type
analogue and shows loss of photoreversibility of hypocotyl growth inhibition. The
phyB-401 photoreceptor displays normal spectral properties and shows almost no
dark reversion when expressed in yeast cells. To gain insight into the molecular
mechanism underlying this complex phenotype, we generated transgenic lines
expressing the mutant and wild-type phyB in phyB-9 background. Analysis of these
transgenic lines demonstrated that the mutant photoreceptor displays a reduced
rate of dark-reversion but normal P(fr) to P(r) photoconversion in vivo and shows
an altered pattern of association/dissociation with nuclear bodies compared to
wild-type phyB. In addition we show (i) an enhanced responsiveness to far-red
light for hypocotyl growth inhibition and CAB2 expression and (ii) that far-red
light mediated photoreversibility of red light induced responses, including
inhibition of hypocotyl growth, formation of nuclear bodies and induction of CAB2
expression is reduced in these transgenic lines. We hypothesize that the
incomplete photoreversibility of signalling is due to the fact that far-red light
induced photoconversion of the chromophore is at least partially uncoupled from
the P(fr) to P(r) conformation change of the protein. It follows that the phyB
401 photoreceptor retains a P(fr)-like structure (P(r) (*)) for a few hours after
the far-red light treatment. The greatly reduced rate of dark reversion and the
formation of a biologically active P(r) (*) conformer satisfactorily explain the
complex phenotype of the phyB-401 mutant and suggest that amino acid residues
surrounding the position 564 G play an important role in fine-tuning phyB
signalling.
PMID- 22073300
TI - Shape-induced terminal differentiation of human epidermal stem cells requires p38
and is regulated by histone acetylation.
AB - Engineered model substrates are powerful tools for examining interactions between
stem cells and their microenvironment. Using this approach, we have previously
shown that restricted cell adhesion promotes terminal differentiation of human
epidermal stem cells via activation of serum response factor (SRF) and
transcription of AP-1 genes. Here we investigate the roles of p38 MAPK and
histone acetylation. Inhibition of p38 activity impaired SRF transcriptional
activity and shape-induced terminal differentiation of human keratinocytes. In
addition, inhibiting p38 reduced histone H3 acetylation at the promoters of SRF
target genes, FOS and JUNB. Although histone acetylation correlated with SRF
transcriptional activity and target gene expression, treatment with the histone
de-acetylase inhibitor, trichostatin A (TSA) blocked terminal differentiation on
micro-patterned substrates and in suspension. TSA treatment simultaneously
maintained expression of LRIG1, TP63, and ITGB1. Therefore, global histone de
acetylation represses stem cell maintenance genes independent of SRF. Our studies
establish a novel role for extrinsic physical cues in the regulation of chromatin
remodeling, transcription, and differentiation of human epidermal stem cells.
PMID- 22073301
TI - Different susceptibility to neurodegeneration of dorsal and ventral hippocampal
dentate gyrus: a study with transgenic mice overexpressing GSK3beta.
AB - Dorsal hippocampal regions are involved in memory and learning processes, while
ventral areas are related to emotional and anxiety processes. Hippocampal
dependent memory and behaviour alterations do not always come out in
neurodegenerative diseases at the same time. In this study we have tested the
hypothesis that dorsal and ventral dentate gyrus (DG) regions respond in a
different manner to increased glycogen synthase kinase-3beta (GSK3beta) levels in
GSK3beta transgenic mice, a genetic model of neurodegeneration. Reactive
astrocytosis indicate tissue stress in dorsal DG, while ventral area does not
show that marker. These changes occurred with a significant reduction of total
cell number and with a significantly higher level of cell death in dorsal area
than in ventral one as measured by fractin-positive cells. Biochemistry analysis
showed higher levels of phosphorylated GSK3beta in those residues that inactivate
the enzyme in hippocampal ventral areas compared with dorsal area suggesting that
the observed susceptibility is in part due to different GSK3 regulation. Previous
studies carried out with this animal model had demonstrated impairment in Morris
Water Maze and Object recognition tests point out to dorsal hippocampal atrophy.
Here, we show that two tests used to evaluate emotional status, the light-dark
box and the novelty suppressed feeding test, suggest that GSK3beta mice do not
show any anxiety-related disorder. Thus, our results demonstrate that in vivo
overexpression of GSK3beta results in dorsal but not ventral hippocampal DG
neurodegeneration and suggest that both areas do not behave in a similar manner
in neurodegenerative processes.
PMID- 22073302
TI - Thermal imaging of the periorbital regions during the presentation of an auditory
startle stimulus.
AB - Infrared thermal imaging of the inner canthi of the periorbital regions of the
face can potentially serve as an input signal modality for an alternative access
system for individuals with conditions that preclude speech or voluntary
movement, such as total locked-in syndrome. However, it is unknown if the
temperature of these regions is affected by the human startle response, as
changes in the facial temperature of the periorbital regions manifested during
the startle response could generate false positives in a thermography-based
access system. This study presents an examination of the temperature
characteristics of the periorbital regions of 11 able-bodied adult participants
before and after a 102 dB auditory startle stimulus. The results indicate that
the startle response has no substantial effect on the mean temperature of the
periorbital regions. This indicates that thermography-based access solutions
would be insensitive to startle reactions in their user, an important advantage
over other modalities being considered in the context of access solutions for
individuals with a severe motor disability.
PMID- 22073303
TI - Do food web models reproduce the structure of mutualistic networks?
AB - BACKGROUND: Simple models inspired by processes shaping consumer-resource
interactions have helped to establish the primary processes underlying the
organization of food webs, networks of trophic interactions among species.
Because other ecological interactions such as mutualisms between plants and their
pollinators and seed dispersers are inherently based in consumer-resource
relationships we hypothesize that processes shaping food webs should organize
mutualistic relationships as well. METHODOLOGY/PRINCIPAL FINDINGS: We used a
likelihood-based model selection approach to compare the performance of food web
models and that of a model designed for mutualisms, in reproducing the structure
of networks depicting mutualistic relationships. Our results show that these food
web models are able to reproduce the structure of most of the mutualistic
networks and even the simplest among the food web models, the cascade model,
often reproduce overall structural properties of real mutualistic networks.
CONCLUSIONS/SIGNIFICANCE: Based on our results we hypothesize that processes
leading to feeding hierarchy, which is a characteristic shared by all food web
models, might be a fundamental aspect in the assembly of mutualisms. These
findings suggest that similar underlying ecological processes might be important
in organizing different types of interactions.
PMID- 22073304
TI - CXCR4 antagonist AMD3100 modulates claudin expression and intestinal barrier
function in experimental colitis.
AB - Ulcerative colitis is a gastrointestinal disorder characterized by local
inflammation and impaired epithelial barrier. Previous studies demonstrated that
CXC chemokine receptor 4 (CXCR4) antagonists could reduce colonic inflammation
and mucosal damage in dextran sulfate sodium (DSS)-induced colitis. Whether CXCR4
antagonist has action on intestinal barrier and the possible mechanism, is
largely undefined. In the present study, the experimental colitis was induced by
administration of 5% DSS for 7 days, and CXCR4 antagonist AMD3100 was
administered intraperitoneally once daily during the study period. For in vitro
study, HT-29/B6 colonic cells were treated with cytokines or AMD3100 for 24 h
until assay. DSS-induced colitis was characterized by morphologic changes in
mice. In AMD3100-treated mice, epithelial destruction, inflammatory infiltration,
and submucosal edema were markedly reduced, and the disease activity index was
also significantly decreased. Increased intestinal permeability in DSS-induced
colitis was also significantly reduced by AMD3100. The expressions of colonic
claudin-1, claudin-3, claudin-5, claudin-7 and claudin-8 were markedly decreased
after DSS administration, whereas colonic claudin-2 expression was significantly
decreased. Treatment with AMD3100 prevented all these changes. However, AMD3100
had no influence on claudin-3, claudin-5, claudin-7 and claudin-8 expression in
HT-29/B6 cells. Cytokines as TNF-alpha, IL-6, and IFN-gamma increased apoptosis
and monolayer permeability, inhibited the wound-healing and the claudin-3,
claudin-7 and claudin-8 expression in HT-29/B6 cells. We suggest that AMD3100
acted on colonic claudin expression and intestinal barrier function, at least
partly, in a cytokine-dependent pathway.
PMID- 22073305
TI - LIS1 regulates osteoclast formation and function through its interactions with
dynein/dynactin and Plekhm1.
AB - Microtubule organization and lysosomal secretion are both critical for the
activation and function of osteoclasts, highly specialized polykaryons that are
responsible for bone resorption and skeletal homeostasis. Here, we have
identified a novel interaction between microtubule regulator LIS1 and Plekhm1, a
lysosome-associated protein implicated in osteoclast secretion. Decreasing LIS1
expression by shRNA dramatically attenuated osteoclast formation and function, as
shown by a decreased number of mature osteoclasts differentiated from bone marrow
macrophages, diminished resorption pits formation, and reduced level of CTx-I, a
bone resorption marker. The ablated osteoclast formation in LIS1-depleted
macrophages was associated with a significant decrease in macrophage
proliferation, osteoclast survival and differentiation, which were caused by
reduced activation of ERK and AKT by M-CSF, prolonged RANKL-induced JNK
activation and declined expression of NFAT-c1, a master transcription factor of
osteoclast differentiation. Consistent with its critical role in microtubule
organization and dynein function in other cell types, we found that LIS1 binds to
and colocalizes with dynein in osteoclasts. Loss of LIS1 led to disorganized
microtubules and aberrant dynein function. More importantly, the depletion of
LIS1 in osteoclasts inhibited the secretion of Cathepsin K, a crucial lysosomal
hydrolase for bone degradation, and reduced the motility of osteoclast
precursors. These results indicate that LIS1 is a previously unrecognized
regulator of osteoclast formation, microtubule organization, and lysosomal
secretion by virtue of its ability to modulate dynein function and Plekhm1.
PMID- 22073306
TI - Protein replacement therapy partially corrects the cholesterol-storage phenotype
in a mouse model of Niemann-Pick type C2 disease.
AB - Niemann-Pick type C2 (NPC2) disease is a fatal autosomal recessive neurovisceral
degenerative disorder characterized by late endosomal-lysosomal sequestration of
low-density lipoprotein derived cholesterol. The breach in intracellular
cholesterol homeostasis is caused by deficiency of functional NPC2, a soluble
sterol binding protein targeted to the lysosomes by binding the mannose-6
phosphate receptor. As currently there is no effective treatment for the
disorder, we have investigated the efficacy of NPC2 replacement therapy in a
murine gene-trap model of NPC2-disease generated on the 129P2/OlaHsd genetic
background. NPC2 was purified from bovine milk and its functional competence
assured in NPC2-deficient fibroblasts using the specific cholesterol fluorescent
probe filipin. For evaluation of phenotype correction in vivo, three-week-old
NPC2(-/-) mice received two weekly intravenous injections of 5 mg/kg NPC2 until
trial termination 66 days later. Whereas the saline treated NPC2(-/-) mice
exhibited massive visceral cholesterol storage as compared to their wild-type
littermates, administration of NPC2 caused a marked reduction in cholesterol
build up. The histological findings, indicating an amelioration of the disease
pathology in liver, spleen, and lungs, corroborated the biochemical results.
Little or no difference in the overall cholesterol levels was observed in the
kidneys, blood, cerebral cortex and hippocampus when comparing NPC2(-/-) and wild
type mice. However, cerebellum cholesterol was increased about two fold in NPC2(
/-) mice compared with wild-type littermates. Weight gain performance was
slightly improved as a result of the NPC2 treatment but significant motor
coordination deficits were still observed. Accordingly, ultrastructural
cerebellar abnormalities were detected in both saline treated and NPC2 treated
NPC2(-/-) animals 87 days post partum. Our data indicate that protein replacement
may be a beneficial therapeutic approach in the treatment of the visceral
manifestations in NPC2 disease and further suggest that neurodegeneration is not
secondary to visceral dysfunction.
PMID- 22073307
TI - Attentional demands of movement observation as tested by a dual task approach.
AB - Movement observation (MO) has been shown to activate the motor cortex of the
observer as indicated by an increase of corticomotor excitability for muscles
involved in the observed actions. Moreover, behavioral work has strongly
suggested that this process occurs in a near-automatic manner. Here we further
tested this proposal by applying transcranial magnetic stimulation (TMS) when
subjects observed how an actor lifted objects of different weights as a single or
a dual task. The secondary task was either an auditory discrimination task
(experiment 1) or a visual discrimination task (experiment 2). In experiment 1,
we found that corticomotor excitability reflected the force requirements
indicated in the observed movies (i.e. higher responses when the actor had to
apply higher forces). Interestingly, this effect was found irrespective of
whether MO was performed as a single or a dual task. By contrast, no such
systematic modulations of corticomotor excitability were observed in experiment 2
when visual distracters were present. We conclude that interference effects might
arise when MO is performed while competing visual stimuli are present. However,
when a secondary task is situated in a different modality, neural responses are
in line with the notion that the observers motor system responds in a near
automatic manner. This suggests that MO is a task with very low cognitive demands
which might be a valuable supplement for rehabilitation training, particularly,
in the acute phase after the incident or in patients suffering from attention
deficits. However, it is important to keep in mind that visual distracters might
interfere with the neural response in M1.
PMID- 22073308
TI - beta-AR blockers suppresses ER stress in cardiac hypertrophy and heart failure.
AB - BACKGROUND: Long-term beta-adrenergic receptor (beta-AR) blockade reduces
mortality in patients with heart failure. Chronic sympathetic hyperactivity in
heart failure causes sustained beta-AR activation, and this can deplete Ca(2+) in
endoplasmic reticulum (ER) leading to ER stress and subsequent apoptosis. We
tested the effect of beta-AR blockers on ER stress pathway in experimental model
of heart failure. METHODS AND DISCUSSIONS: ER chaperones were markedly increased
in failing hearts of patients with end-stage heart failure. In Sprague-Dawley
rats, cardiac hypertrophy and heart failure was induced by abdominal aortic
constriction or isoproterenol subcutaneous injection. Oral beta-AR blockers
treatment was performed in therapy groups. Cardiac remodeling and left
ventricular function were analyzed in rats failing hearts. After 4 or 8 weeks of
banding, rats developed cardiac hypertrophy and failure. Cardiac expression of ER
chaperones was significantly increased. Similar to the findings above, sustained
isoproterenol infusion for 2 weeks induced cardiac hypertrophy and failure with
increased ER chaperones and apoptosis in hearts. beta-AR blockers treatment
markedly attenuated these pathological changes and reduced ER stress and
apoptosis in failing hearts. On the other hand, beta-AR agonist isoproterenol
induced ER stress and apoptosis in cultured cardiomyocytes. beta-AR blockers
largely prevented ER stress and protected myocytes against apoptosis. And beta-AR
blockade significantly suppressed the overactivation of CaMKII in isoproterenol
stimulated cardiomyocytes and failing hearts in rats. CONCLUSIONS: Our results
demonstrated that ER stress occurred in failing hearts and this could be reversed
by beta-AR blockade. Alleviation of ER stress may be an important mechanism
underlying the therapeutic effect of beta-AR blockers on heart failure.
PMID- 22073309
TI - Visfatin impairs endothelium-dependent relaxation in rat and human mesenteric
microvessels through nicotinamide phosphoribosyltransferase activity.
AB - Visfatin, also known as extracellular pre-B-cell colony-enhancing factor (PBEF)
and nicotinamide phosphoribosyltransferase (Nampt), is an adipocytokine whose
circulating levels are enhanced in metabolic disorders, such as type 2 diabetes
mellitus and obesity. Circulating visfatin levels have been positively associated
with vascular damage and endothelial dysfunction. Here, we investigated the
ability of visfatin to directly impair vascular reactivity in mesenteric
microvessels from both male Sprague-Dawley rats and patients undergoing non
urgent, non-septic abdominal surgery. The pre-incubation of rat microvessels with
visfatin (50 and 100 ng/mL) did not modify the contractile response to
noradrenaline (1 pmol/L to 30 umol/L), as determined using a small vessel
myograph. However, visfatin (10 to 100 ng/mL) concentration-dependently impaired
the relaxation to acetylcholine (ACh; 100 pmol/L to 3 umol/L), without
interfering with the endothelium-independent relaxation to sodium nitroprusside
(1 nmol/L to 3 umol/L). In both cultured human umbilical vein endothelial cells
and rat microvascular preparations, visfatin (50 ng/mL) stimulated nicotinamide
adenine dinucleotide phosphate (NADPH) oxidase activity, as determined by
lucigenin-derived chemiluminiscence. The relaxation to ACh impaired by visfatin
was restored by the NADPH oxidase inhibitor apocynin (10 umol/L). Additionally,
the Nampt inhibitor APO866 (10 mmol/L to 10 umol/L), but not an insulin receptor
blocking antibody, also prevented the stimulation of NADPH oxidase and the
relaxation impairment elicited by visfatin. Accordingly, the product of Nampt
activity nicotinamide mononucleotide (100 nmol/L to 1 mmol/L) stimulated
endothelial NADPH oxidase activity and concentration-dependently impaired ACh
induced vasorelaxation. In human mesenteric microvessels pre-contracted with 35
mmol/L potassium chloride, the endothelium-dependent vasodilation to bradykinin
(1 nmol/L to 3 umol/L) was equally impaired by visfatin and restored upon co
incubation with APO866. In conclusion, visfatin impairs endothelium-dependent
relaxation through a mechanism involving NADPH oxidase stimulation and relying on
Nampt enzymatic activity, and therefore arises as a potential new player in the
development of endothelial dysfunction.
PMID- 22073310
TI - Association of genetic loci with blood lipids in the Chinese population.
AB - BACKGROUND: Recent genome-wide association (GWA) studies have identified a number
of novel genetic determinants of blood lipid concentrations in Europeans.
However, it is still unclear whether these loci identified in the Caucasian GWA
studies also exert the same effect on lipid concentrations in the Chinese
population. METHODS AND RESULTS: We conducted a replication study assessing
associations between SNPs at 15 loci and blood lipid and lipoprotein
concentrations in two Chinese cohorts, comprising 2533 and 2105 individuals
respectively. SNPs in APO(A1/C3/A4/A5), TIMD4-HAVCR1, DOCK7, TRIB1, ABCA1, and
TOMM40-APOE showed strong associations with at least one lipids trait, and
rs174546 in FADS1/2/3 showed modest association with triglyceride in the Chinese
population. CONCLUSIONS: We successfully replicated 7 loci associated plasma
lipid concentrations in the Chinese population. Our study confirmed the
implication of APO(A1/C3/A4/A5), TOMM40-APOE, ABCA1, DOCK7, TIMD4-HAVCR1, TRIB1
and FADS1/2 in plasma lipid and lipoprotein concentrations in Chinese population.
PMID- 22073311
TI - The roles of dimensionality, canopies and complexity in ecosystem monitoring.
AB - Canopies are common among autotrophs, increasing their access to light and
thereby increasing competitive abilities. If viewed from above canopies may
conceal objects beneath them creating a 'canopy effect'. Due to complexities in
collecting 3-dimensional data, most ecosystem monitoring programmes reduce
dimensionality when sampling, resorting to planar views. The resultant 'canopy
effects' may bias data interpretation, particularly following disturbances.
Canopy effects are especially relevant on coral reefs where coral cover is often
used to evaluate and communicate ecosystem health. We show that canopies hide
benthic components including massive corals and algal turfs, and as planar views
are almost ubiquitously used to monitor disturbances, the loss of vulnerable
canopy-forming corals may bias findings by presenting pre-existing benthic
components as an altered system. Our reliance on planar views in monitoring
ecosystems, especially coral cover on reefs, needs to be reassessed if we are to
better understand the ecological consequences of ever more frequent disturbances.
PMID- 22073312
TI - A switch from canonical to noncanonical Wnt signaling mediates drug resistance in
colon cancer cells.
AB - Butyrate, a fermentation product of fiber in the colon, acts as a histone
deacetylase inhibitor (HDACi) and induces apoptosis in colon cancer (CC) cells in
vitro. We have reported that the apoptotic effects of butyrate are dependent upon
the hyperactivation of the Wnt/beta-catenin pathway. However, prolonged exposure
of CC cells to increasing concentrations of butyrate results in the acquisition
of resistance to the Wnt/beta-catenin- and apoptosis-inducing effects of this
agent, as well as cross-resistance to structurally different HDACis. Here we
report that one mechanism whereby HDACi resistance arises is through the increase
of beta-catenin-independent (noncanonical) Wnt signaling. Compared to HDACi
sensitive HCT-116 CC cells, HDACi-resistant HCT-R cells exhibit higher levels of
AKT/PKB cell survival signaling, which is in part induced by WNT5A and its
receptor ROR2. The induction of AKT signaling by HDACis is also detected in other
CC cell lines, albeit to a lesser extent than in the drug-resistant HCT-R cells.
The observations suggested that the apoptotic effect of butyrate and other HDACis
in CC cells can be augmented by inhibitors of pAKT. In agreement with the
hypothesis, the combination of MK2206, a pAKT inhibitor, and a HDACi (butyrate or
LBH589) induced higher apoptosis in CC cells compared to each agent alone. The
exposure to both agents also re-sensitized the HCT-R cells to apoptosis. Finally,
the concept of simultaneously inducing canonical Wnt activity and suppressing AKT
signaling was translated into a combination of diet-derived agents. Diet-derived
pAKT inhibitors (caffeic acid phethyl ester, sulforaphane, dilallyl trisulfide)
suppressed the butyrate-induced levels of pAKT, and increased the apoptotic
effects of butyrate in both drug-sensitive and drug-resistant CC cells.Our
findings can be translated into (a) CC therapy employing combinations of
synthetic HDACis and inhibitors of pAKT, as well as (b) CC prevention based upon
diets that result in sufficient amounts of butyrate and pAKT inhibitors.
PMID- 22073313
TI - RpkA, a highly conserved GPCR with a lipid kinase domain, has a role in
phagocytosis and anti-bacterial defense.
AB - RpkA (Receptor phosphatidylinositol kinase A) is an unusual seven-helix
transmembrane protein of Dictyostelium discoideum with a G protein coupled
receptor (GPCR) signature and a C-terminal lipid kinase domain (GPCR-PIPK)
predicted as a phosphatidylinositol-4-phosphate 5-kinase. RpkA-homologs are
present in all so far sequenced Dictyostelidae as well as in several other lower
eukaryotes like the oomycete Phytophthora, and in the Legionella host
Acanthamoeba castellani. Here we show by immunofluorescence that RpkA localizes
to endosomal membranes and is specifically recruited to phagosomes. RpkA
interacts with the phagosomal protein complex V-ATPase as proteins of this
complex co-precipitate with RpkA-GFP as well as with the GST-tagged PIPK domain
of RpkA. Loss of RpkA leads to a defect in phagocytosis as measured by yeast
particle uptake. The uptake of the pathogenic bacterium Legionella pneumophila
was however unaltered whereas its intra-cellular replication was significantly
enhanced in rpkA(-). The difference between wild type and rpkA(-) was even more
prominent when L. hackeliae was used. When we investigated the reason for the
enhanced susceptibility for L. pneumophila of rpkA(-) we could not detect a
difference in endosomal pH but rpkA(-) showed depletion of phosphoinositides (PIP
and PIP(2)) when we compared metabolically labeled phosphoinositides from wild
type and rpkA(-). Furthermore rpkA(-) exhibited reduced nitrogen starvation
tolerance, an indicator for a reduced autophagy rate. Our results indicate that
RpkA is a component of the defense system of D. discoideum as well as other lower
eukaryotes.
PMID- 22073314
TI - Sweepoviruses cause disease in sweet potato and related Ipomoea spp.: fulfilling
Koch's postulates for a divergent group in the genus begomovirus.
AB - Sweet potato (Ipomoea batatas) and related Ipomoea species are frequently
infected by monopartite begomoviruses (genus Begomovirus, family Geminiviridae),
known as sweepoviruses. Unlike other geminiviruses, the genomes of sweepoviruses
have been recalcitrant to rendering infectious clones to date. Thus, Koch's
postulates have not been fullfilled for any of the viruses in this group. Three
novel species of sweepoviruses have recently been described in Spain: Sweet
potato leaf curl Lanzarote virus (SPLCLaV), Sweet potato leaf curl Spain virus
(SPLCSV) and Sweet potato leaf curl Canary virus (SPLCCaV). Here we describe the
generation of the first infectious clone of an isolate (ES:MAL:BG30:06) of
SPLCLaV. The clone consisted of a complete tandem dimeric viral genome in a
binary vector. Successful infection by agroinoculation of several species of
Ipomoea (including sweet potato) and Nicotiana benthamiana was confirmed by PCR,
dot blot and Southern blot hybridization. Symptoms observed in infected plants
consisted of leaf curl, yellowing, growth reduction and vein yellowing. Two
varieties of sweet potato, 'Beauregard' and 'Promesa', were infected by
agroinoculation, and symptoms of leaf curl and interveinal loss of purple
colouration were observed, respectively. The virus present in agroinfected plants
was readily transmitted by the whitefly Bemisia tabaci to I. setosa plants. The
progeny virus population present in agroinfected I. setosa and sweet potato
plants was isolated and identity to the original isolate was confirmed by
sequencing. Therefore, Koch's postulates were fulfilled for the first time for a
sweepovirus.
PMID- 22073315
TI - KIR-HLA genotypes in HIV-infected patients lacking immunological recovery despite
effective antiretroviral therapy.
AB - BACKGROUND: In HIV-infected individuals, mechanisms underlying unsatisfactory
immune recovery during effective combination antiretroviral therapy (cART) have
yet to be fully understood. We investigated whether polymorphism of genes
encoding immune-regulating molecules, such as killer immunoglobulin-like
receptors (KIR) and their ligands class I human leukocyte antigen (HLA), could
influence immunological response to cART. METHODS: KIR and HLA frequencies were
analyzed in 154 HIV-infected and cART-treated patients with undetectable viral
load divided into two groups: 'immunological non responders' (INR, N = 50, CD4(+)
T-cell count <200/mm(3)) and full responders (FR, N = 104, CD4(+) T-cell count
>350/mm(3)). Molecular KIR were typed using polymerase chain reaction-based
genotyping. Comparisons were adjusted for baseline patient characteristics.
RESULTS: The frequency of KIR2DL3 allele was significantly higher in FR than in
INR (83.7% vs. 62%, P = 0.005). The functional compound genotype HLA
C1(+)/KIR2DL3(+), even at multivariable analysis, when adjusted for nadir CD4(+)
T-cell count, was associated with reduced risk of INR status: odds ratio (95%
Confidence Intervals) 0.34 (0.13-0.88), P = 0.03. CONCLUSIONS: Reduced presence
of the inhibitory KIR2DL3 genotype detected in INR might provoke an imbalance in
NK function, possibly leading to increased immune activation, impaired killing of
latently infected cells, and higher proviral burden. These factors would hinder
full immune recovery during therapy.
PMID- 22073316
TI - Restrictions in cell cycle progression of adult vestibular supporting cells in
response to ectopic cyclin D1 expression.
AB - Sensory hair cells and supporting cells of the mammalian inner ear are quiescent
cells, which do not regenerate. In contrast, non-mammalian supporting cells have
the ability to re-enter the cell cycle and produce replacement hair cells.
Earlier studies have demonstrated cyclin D1 expression in the developing mouse
supporting cells and its downregulation along maturation. In explant cultures of
the mouse utricle, we have here focused on the cell cycle control mechanisms and
proliferative potential of adult supporting cells. These cells were forced into
the cell cycle through adenoviral-mediated cyclin D1 overexpression. Ectopic
cyclin D1 triggered robust cell cycle re-entry of supporting cells, accompanied
by changes in p27(Kip1) and p21(Cip1) expressions. Main part of cell cycle
reactivated supporting cells were DNA damaged and arrested at the G2/M boundary.
Only small numbers of mitotic supporting cells and rare cells with signs of two
successive replications were found. Ectopic cyclin D1-triggered cell cycle
reactivation did not lead to hyperplasia of the sensory epithelium. In addition,
a part of ectopic cyclin D1 was sequestered in the cytoplasm, reflecting its
ineffective nuclear import. Combined, our data reveal intrinsic barriers that
limit proliferative capacity of utricular supporting cells.
PMID- 22073317
TI - Microevolution of cis-regulatory elements: an example from the pair-rule
segmentation gene fushi tarazu in the Drosophila melanogaster subgroup.
AB - The importance of non-coding DNAs that control transcription is ever noticeable,
but the characterization and analysis of the evolution of such DNAs presents
challenges not found in the analysis of coding sequences. In this study of the
cis-regulatory elements of the pair rule segmentation gene fushi tarazu (ftz) I
report the DNA sequences of ftz's zebra element (promoter) and a region
containing the proximal enhancer from a total of 45 fly lines belonging to
several populations of the species Drosophila melanogaster, D. simulans, D.
sechellia, D. mauritiana, D. yakuba, D. teissieri, D. orena and D. erecta. Both
elements evolve at slower rate than ftz synonymous sites, thus reflecting their
functional importance. The promoter evolves more slowly than the average for
ftz's coding sequence while, on average, the enhancer evolves more rapidly,
suggesting more functional constraint and effective purifying selection on the
former. Comparative analysis of the number and nature of base substitutions
failed to detect significant evidence for positive/adaptive selection in
transcription-factor-binding sites. These seem to evolve at similar rates to
regions not known to bind transcription factors. Although this result reflects
the evolutionary flexibility of the transcription factor binding sites, it also
suggests a complex and still not completely understood nature of even the
characterized cis-regulatory sequences. The latter seem to contain more
functional parts than those currently identified, some of which probably
transcription factor binding. This study illustrates ways in which functional
assignments of sequences within cis-acting sequences can be used in the search
for adaptive evolution, but also highlights difficulties in how such functional
assignment and analysis can be carried out.
PMID- 22073318
TI - Differential susceptibility to fathers' care and involvement: The moderating
effect of infant reactivity.
AB - The differential susceptibility hypothesis suggests that children differ in their
susceptibility to the influence of both positive and negative environmental
factors. Children with reactive temperaments are hypothesised to be particularly
susceptible to environmental influences, both for better and for worse. The
present study sought to investigate whether infant temperament moderates the
influence of fathers on child prosocial and problem behaviours. In a large
prospective population study (Avon Longitudinal Study of Parents and Children),
5064 children were followed between the ages of six and 81 months (63/4 years).
Infant temperament, child behaviours, and fathers' involvement and depression
were assessed.Although no overall moderating effect of reactive temperament was
found for father involvement or depression, there was an interaction between
reactivity, child gender, and father involvement. Girls with reactive
temperaments were more susceptible to father involvement, showing significantly
fewer problem behaviours and more prosocial behaviours when fathers were more
involved, and more problem behaviours and fewer prosocial behaviours with less
father involvement. The findings provide some support for the differential
susceptibility hypothesis and extend existing findings to include effects of
fathers' involvement on positive and negative behavioural outcomes.
PMID- 22073319
TI - Future applications of contrast ultrasound.
AB - Contrast agents are currently used during echocardiography for enhancement of
structure and function, as well as for perfusion imaging. The next frontiers in
contrast ultrasonography are targeted imaging, and using microbubbles for
therapeutic purposes. Targeted imaging is the detection of specific components of
cardiovascular disease in vivo, with microbubbles which may non-specifically
attach to diseased endothelial cells, or with microbubbles which have been
specifically designed to detect a pathologic process. Therapeutic applications of
contrast ultrasonography include the use of microbubbles to enhance delivery of
agents (like drugs, genes, growth factors, etc.) to the endothelium or
perivascular cells. This review will discuss differences in contrast agents used
for current applications versus targeted imaging, technical considerations
required to achieve site-specific imaging, and potential applications of this
technology. The potential for contrast ultrasonography to enhance drug and gene
delivery to tissue will also be discussed.
PMID- 22073320
TI - Assessment of right ventricular structure and function in pulmonary hypertension.
AB - Right ventricular function plays an important role in determining cardiac
symptoms and exercise capacity in chronic heart failure. It is known that right
ventricle has complex anatomy and physiology. The purpose of this review paper is
to demonstrate the best assessment of the right ventricle with current
echocardiography. Echocardiography can assess sufficiently right ventricular
structure and function and also suggest prognosis in pulmonary hypertension
patients, especially with the use of modern imaging techniques. Finally, the new
imaging modality of real time three dimensional echocardiography is
interchangeable to cardiac magnetic resonance in reproducibility and accuracy.
PMID- 22073321
TI - Aortic root volume and geometry: ready for clinical application?
PMID- 22073322
TI - Validation of three-dimensional echocardiography for quantification of aortic
root geometry: comparison with multi-detector computed tomography.
AB - BACKGROUND: Three-dimensional (3D) echocardiography has been reported to be
valuable for evaluating the geometry of cardiac chambers. We validated the
accuracy of 3D transthoracic echocardiography for quantifying aortic root
geometry in comparison with cardiac multi-detector computed tomography (MDCT).
METHODS: Twenty-three patients who underwent cardiac MDCT and showed normal left
ventricular ejection fraction (> 55%), as assessed by 2-dimensional transthoracic
echocardiography, were enrolled (12 male, mean 53 +/- 9 years). We defined the
aortic root volume as the volume from the aortic annulus to the sinotubular
junction. The aortic root volume at end-diastole measured by both cardiac MDCT
and 3D echocardiography was assessed. RESULTS: The cross-sectional area of the
aortic root was asymmetric. At the annulus level, the cross-sectional area showed
asymmetric triangle. From the aortic annulus to the most dilated point of the
sinus of Valsalva, the asymmetric triangular shape was maintained. From the most
dilated point of the sinus of Valsalva to the sinotubular junction, the cross
sectional shape of the aortic root changed to oval. The average aortic root
volumes measured by 3D echocardiography (ARV-3DE) were 13.6 +/- 4.8 mL at end
diastole and 14.1 +/- 5.3 mL at end-systole, respectively. The average aortic
root volume measured by MDCT at end-diastole (ARV-CT) was 14.1 +/- 5.7 mL. At end
diastole, the ARV-3DE correlated well with the ARV-CT (R(2) = 0.926, difference =
0.5 +/- 1.7 mL), and the two methods were in excellent agreement (the percent
difference was 0%). CONCLUSION: Our results demonstrate both the feasibility and
accuracy of 3D echocardiography for the clinical assessment of the geometry of
the aortic root.
PMID- 22073324
TI - Complete Atrioventricular Block due to Infective Endocarditis of Bicuspid Aortic
Valve.
AB - A 38-year-old man visited our emergency department presenting with a 6-day
persistent fever. The man had undergone an orthodontic procedure 7 days prior to
the visit. He had a fever with a temperature of 38.2C and a diastolic murmur
(grade III) was detected at the left sternal border. Reddish-brown lines beneath
the nails were present, and raised lesions which were red and painful were
detected on the soles of the patient's feet. Laboratory findings showed an
elevated inflammatory marker. Transthoracic and transesophageal echocardiograms,
showed a bicuspid aortic valve, and moderate aortic regurgitation and vegetation
were noted. Treatment with antibiotics was given, but 4 days later, a 12 lead
electrocardiogram revealed complete atrioventricular (AV) block. Immediately, a
temporary pacemaker was inserted, and the following day an aortic valve
replacement was performed. Intraoperative findings revealed a fistula around the
AV node. He has suffered no subsequent cardiac events during the follow-up.
PMID- 22073323
TI - Subclinical Myocardial Dysfunction in Metabolic Syndrome Patients without
Hypertension.
AB - BACKGROUND: The aim of this study was to evaluate myocardial function in patients
with non-hypertensive metabolic syndrome. METHODS: We selected metabolic syndrome
patients (n = 42) without evidence of hypertension and compared them to age
matched control individuals (n = 20). All patients were evaluated by two
dimensional and tissue Doppler echocardiography including tissue Doppler derived
strain and strain rate measurements. RESULTS: There were no significant
differences between the two groups in mitral E and A inflow velocities or the E/A
ratio. However, systolic and early diastolic myocardial velocities, and strain
rate were significantly lower in patients with metabolic syndrome than in the
control group (all p < 0.05). Multiple stepwise regression analyses revealed that
age, waist circumference, and systolic blood pressure were independently
associated with peak systolic myocardial velocity. CONCLUSION: These results
indicate that metabolic syndrome patients without hypertension may have decrease
of myocardial systolic and early diastolic velocities on tissue Doppler imaging,
even if they appear to have normal systolic and diastolic function on
conventional echocardiography.
PMID- 22073325
TI - Hypertensive heart failure associated with middle aortic syndrome reversed
dramatically by endovascular management.
AB - A 42-year-old male patient presented with refractory hypertension and congestive
heart failure. He had taken hydrochlorthiazide 50 mg, carvedilol 25 mg, diltiazem
180 mg, and losartan 100 mg per day. Aortogram revealed a severe luminal
narrowing in the distal thoracic aorta with a peak systolic pressure gradient of
60 mmHg across the lesion. Endovascular management was performed with 22 * 80 mm
self-expandable Nitinol-S stent after predilation with 10 * 40 mm balloon. After
endovascular management, the patient's blood pressure, left ventricular ejection
fraction (LVEF) and dilated LV dimension were remarkably improved.
PMID- 22073326
TI - Spontaneously healed membranous type ventricular septal defect with malaligned
interventricular septal wall and double-chambered right ventricle in a 56-year
old patient.
AB - A 56-year-old male presented with resting dyspnea and chest discomfort for
several years. During transthoracic and transesophageal echocardiography, a
spontaneously healed membranous type ventricular septal defect (VSD) with
malaligned interventricular septal wall, aneurysmal changes, a subaortic ridge
and a double-chambered right ventricle (DCRV) was observed. When combined with
DCRV, VSD with malalignment between the outlet and trabecular septa was
associated with tetralogy of Fallot. The subaortic ridge was due to turbulent
flow caused by the malalignment-type VSD. The VSD with malaligned
interventricular septal wall can be developed after aneurismal changes of a
perimembranous VSD. We report here in the unusual case of a 56-year-old patient
who had a pathology complex comprising DCRV, subaortic ridge, spontaneously
healed membranous type VSD with malaligned interventricular septal wall, and
survived with surgical treatment.
PMID- 22073327
TI - Left ventricular thrombus associated with takotsubo cardiomyopathy: a
cardioembolic cause of cerebral infarction.
AB - Takotsubo cardiomyopathy, also called stress-induced cardiomyopathy, usually
occurs in patients with severe emotional or physiologic stress. The prognosis is
favorable, and the wall motion abnormlities normalize within weeks. However,
stress-induced cardiomyopathy is rarely assosicated with left ventricular
thrombus and thromboembolic complications. Here, we report a case of stress
induced cardiomyopathy with left ventricular thrombus that embolized to cause
cerebral infarction.
PMID- 22073328
TI - Prominent crista terminalis in patients with embolic events.
AB - A prominent crista terminalis is a normal anatomic variant which consist of thick
muscular bridge within the right atrium. However, it could be often misdiagnosed
with an abnormal mass on the transthoracic echocardiography. The case report
presented here, describe the findings of transthoracic echocardiography that
suggested a right atrial mass in patients with pulmonary embolism. However,
subsequent transesophageal echocardiography and cardiac computed
tomography/magnetic resonance imaging differentiated a true right atrial mass
from a prominent crista terminalis.
PMID- 22073329
TI - Transient use of oral bosentan can be an additional option to reduce pulmonary
arterial hypertension in a patient with severe pulmonary arterial hypertension
associated with atrial septal defect.
AB - Atrial septal defect (ASD) with severe pulmonary arterial hypertension (PAH) is
thought to preclude shunt closure. However, there are several reports that
vasodilator treatment is associated with good clinical outcome in these patients,
recently. We report a case of good clinical outcome in a patient with ASD and
severe PAH successfully treated with operative closure of ASD and subsequent use
of oral bosentan medication. This case supports that the corrective repair of ASD
and an oral bosentan treatment can be one of the treatment options in the
selected patients with severe PAH associated with ASD.
PMID- 22073330
TI - A case of balanced type double aortic arch diagnosed incidentally by
transthoracic echocardiography in an asymptomatic adult patient.
AB - A 36-year-old male patient with no remarkable medical history was admitted to our
hospital for a health check up. On chest radiography, bilateral aortic notches at
the level of aortic arch were shown suggesting aortic arch anomaly without any
clinical symptoms. Two aortic arches were almost same-in-size on suprasternal
view of transthoracic echocardiography. In addition, multidetector computed
tomography showed balanced type double aortic arch forming a complete vascular
ring which encircled the trachea and esophagus. The trachea was slightly
compressed by the vascular ring whereas the esophagus was intact. Nevertheless,
the pulmonary function test was normal. The patient was discharged from hospital
with instructions for periodic follow-up.
PMID- 22073331
TI - Ball-shaped thrombi in the left ventricular apex.
PMID- 22073332
TI - Erratum: retained subintimal pellet in a carotid artery.
AB - [This corrects the article on p. 105 in vol. 19, PMID: 21860728.].
PMID- 22073355
TI - Histomorphometric characteristics of immune cells in small intestine of pigs
perorally immunized with vaccine candidate F18ac nonenterotoxigenic E. coli
strain.
AB - Colidiarrhea and colienterotoxemia caused by F4(+) and/or F18(+) enterotoxigenic
E. coli (ETEC) strains are the most prevalent infections of suckling and weaned
pigs. Here we tested the immunogenicity and protective effectiveness of
attenuated F18ac(+) non-ETEC vaccine candidate strain against challenge infection
with F4ac(+) ETEC strain by quantitative phenotypic analysis of small intestinal
leukocyte subsets in weaned pigs.We also evaluated levamisole as an immune
response modifier (IRM) and its adjuvanticity when given in the combination with
the experimental vaccine. The pigs were parenterally immunized with either
levamisole (at days -2, -1 and 0) or with levamisole and perorally given F18ac(+)
non-ETEC strain (at day 0), and challenged with F4ac(+) ETEC strain 7 days
later.At day 13 the pigs were euthanatized and sampled for
immunohistological/histomorphometrical analyses. Lymphoid CD3(+), CD45RA(+),
CD45RC(+), CD21(+), IgA(+) and myeloid SWC3(+) cell subsets were identified in
jejunal and ileal epithelium, lamina propria and Peyer's patches using the avidin
biotin complex method, and their numbers were determined by computer-assisted
histomorphometry. Quantitative immunophenotypic analyses showed that levamisole
treated pigs had highly increased numbers of jejunal CD3(+), CD45RC(+) and
SWC3(+) cells (p<0.05) as compared to those recorded in nontreated control
pigs.In the ileum of these pigs we have recorded that only CD21(+) cells were
significantly increased (p<0.01). The pigs that were treated with levamisole
adjuvanted experimental vaccine had significantly increased numbers of all tested
cell subsets in both segments of the small intestine. It was concluded that
levamisole adjuvanted F18ac(+) non-ETEC vaccine was a requirement for the
elicitation of protective gut immunity in this model; nonspecific immunization
with levamisole was less effective, but confirmed its potential as an IRM.
PMID- 22073356
TI - Localization of DNA methyltransferase-1 during oocyte differentiation, in vitro
maturation and early embryonic development in cow.
AB - DNA methyltransferase-1 (Dnmt1) is involved in the maintenance of DNA methylation
patterns and is crucial for normal mammalian development. The aim of the present
study was to assess the localization of Dnmt1 in cow, during the latest phases of
oocyte differentiation and during the early stages of segmentation. Dnmt1
expression and localization were assessed in oocytes according to the chromatin
configuration, which in turn provides an important epigenetic mechanism for the
control of global gene expression and represents a morphological marker of oocyte
differentiation. We found that the initial chromatin condensation was accompanied
by a slight increase in the level of global DNA methylation, as assessed by 5
methyl-cytosine immunostaining followed by laser scanning confocal microscopy
analysis (LSCM). RT-PCR confirmed the presence of Dnmt1 transcripts throughout
this phase of oocyte differentiation. Analogously, Dnmt1 immunodetection and LSCM
indicated that the protein was always present and localized in the cytoplasm,
regardless the chromatin configuration and the level of global DNA methylation.
Moreover, our data indicate that while Dnmt1 is retained in the cytoplasm in
metaphase II stage oocytes and zygotes, it enters the nuclei of 8-16 cell stage
embryos. As suggested in mouse, the functional meaning of the presence of Dnmt1
in the bovine embryo nuclei could be the maintainement of the methylation pattern
of imprinted genes. In conclusion, the present work provides useful elements for
the study of Dnmt1 function during the late stage of oocyte differentiation,
maturation and early embryonic development in mammals.
PMID- 22073357
TI - p53 and telomerase control rat myocardial tissue response to hypoxia and ageing.
AB - Cellular senescence implies loss of proliferative and tissue regenerative
capability. Also hypoxia, producing Reactive Oxygen Species (ROS), can damage
cellular components through the oxidation of DNA, proteins and lipids, thus
influencing the shortening of telomeres.Since ribonucleoprotein Telomerase
(TERT), catalyzing the replication of the ends of eukaryotic chromosomes,
promotes cardiac muscle cell proliferation, hypertrophy and survival, here we
investigated its role in the events regulating apoptosis occurrence and life span
in hearts deriving from young and old rats exposed to hypoxia.TUNEL (terminal
deoxinucleotidyl -transferase- mediated dUTP nick end-labeling) analysis reveals
an increased apoptotic cell number in both samples after hypoxia exposure, mainly
in the young with respect to the old. TERT expression lowers either in the
hypoxic young, either in the old in both experimental conditions, with respect to
the normoxic young. These events are paralleled by p53 and HIF-1 alpha expression
dramatic increase and by p53/ HIF-1 alpha co-immunoprecipitation in the hypoxic
young, evidencing the young subject as the most stressed by such challenge. These
effects could be explained by induction of damage to genomic DNA by ROS that
accelerates cell senescence through p53 activation. Moreover, by preventing TERT
enzyme down-regulation, cell cycle exit and apoptosis occurrence could be delayed
and new possibilities for intervention against cell ageing and hypoxia could be
opened.
PMID- 22073358
TI - TRPC1 expression and distribution in rat hearts.
AB - Transient receptor potential canonical (TRPC) proteins have been identified as a
family of plasma membrane calcium-permeable channels. TRPC proteins can be
activated by various stimuli and act as cellular sensors in mammals. Stretch
activated ion channels (SACs) have been proposed to underlie cardiac mechano
electric feedback (MEF), although the molecular entity of SAC remains unknown.
There is evidence suggesting that transient receptor potential canonical 1
(TRPC1) is a stretch-activated ion channel. As a non-selective cation channel,
TRPC1 may cause stretch-induced depolarization and arrhythmia and thus may
contribute to the MEF of the heart. In this study, we examined the expression
patterns of TRPC1 in detail at both the mRNA and protein levels in rat hearts. We
isolated total RNA from the left and right atria, and the left and right
ventricles, and detected TRPC1 mRNA in these tissues using reverse-transcriptase
polymerase chain reaction (RT-PCR). To study the protein localization and
targeting, we performed immunohistochemistry and immunofluorescence labeling with
the antibody against TRPC1. TRPC1 was detected in the cardiomyocytes of the
ventricle and atrium at both the mRNA and protein levels. The cell membrane and T
tubule showed strong fluorescence labeling in the ventricular myocytes. Purkinje
cells, the endothelial cells and smooth muscle cells of the coronary arterioles
also displayed TRPC1 labeling. No TRPC1 was detected in fibroblasts. In
conclusion, TRPC1 is widely expressed in the rat heart, including in working
cells, Purkinje cells and vascular cells, suggesting that it plays an important
role in the heart. The specific distribution pattern offered a useful insight
into its function in adult rat ventricular cells. Further investigations are
needed to clarify the role of TRPC1 in regulating cardiac activity, including
cardiac MEF.
PMID- 22073359
TI - Identification of the novel localization of tenascinX in the monkey choroid
plexus and comparison with the mouse.
AB - Tenascin-X (Tn-X) belongs to the tenascin family of glycoproteins and has been
reported to be significantly associated with schizophrenia in a single nucleotide
polymorphism analysis in humans. This finding indicates an important role of Tn-X
in the central nervous system (CNS). However, details of Tn-X localization are
not clear in the primate CNS. Using immunohistochemical techniques, we found
novel localizations of Tn-X in the interstitial connective tissue and around
blood vessels in the choroid plexus (CP) in macaque monkeys. To verify the
reliability of Tn-X localization, we compared the Tn-X localization with the
tenascin-C (Tn-C) localization in corresponding regions using neighbouring
sections. Localization of Tn-C was not observed in CP. This result indicated
consistently restricted localization of Tn-X in CP. Comparative investigations
using mouse tissues showed equivalent results. Our observations provide possible
insight into specific roles of Tn-X in CP for mammalian CNS function.
PMID- 22073360
TI - Aromatase immunoreactivity in fetal ovine neuronal cell cultures exposed to
oxidative injury.
AB - A lot of evidence testifies that aromatase is expressed in the central nervous
system where it has been detected not only in hypothalamic and limbic regions but
also in the cerebral cortex and spinal cord. In physiological conditions,
aromatase is expressed exclusively by neurons, where it has been mainly found in
cell bodies, processes and synaptic terminals. Moreover, primary cultured
cortical astrocytes from female rats are more resistant to oxidant cell death
than those from males, suggesting a protective role of estradiol. The aim of this
study was to evaluate changes in aromatase expression in response to 3-nitro-L
tyrosine, a marker of oxidative stress, in primary neuronal cell cultures from
brains of 60-day old sheep fetuses. Cells were identified as neurons by using
class III beta-tubulin, a marker of neuronal cells. Two morphological types were
consistently recognizable: i) bipolar cells with an oval cell body; ii)
multipolar cells whose processes formed a wide net with those of adjacent cells.
In situ hybridization technique performed on 60-day old fetal neurons revealed
that in baseline conditions aromatase gene expression occurs. Importantly, cells
exposed to 360 uM 3-nitro-L-tyrosine were fewer and showed more globular shape
and shorter cytoplasmic processes in comparison to control cells. The
immunocytochemical study with anti-aromatase antibody revealed that cells exposed
to 360 uM 3-nitro-L-tyrosine were significantly more immunoreactive than control
cells. Thus, it can be postulated that the oxidant effects of the amino acid
analogue 3-nitro-L-tyrosine could be counterbalanced by an increase in aromatase
expression that in turn can lead to the formation of neuroprotective estradiol
via aromatization of testosterone.
PMID- 22073361
TI - Effects of castration on the expression of the NGF and TrkA in the vas deferens
and accessory male genital glands of the rat.
AB - Nerve Growth Factor (NGF) is a member of the neurotrophin family. Neurotrophins
exert their effects by binding to corresponding receptors, which are formed by
the tyrosine protein kinases TrkA, TrkB, and TrkC, and the low affinity p75NTR
receptor. The role of neurotrophins in the biology of male genital organs is far
from clear. In particular, little is known about the influence of sex hormones on
the expression of neurotrophins and their receptors. In the present study, using
immunohistochemistry and real time RT-PCR, we investigated the expression of NGF
and TrkA in the vas deferens and accessory male genital glands in normal and
castrated rats.In normal rats, both NGF- and TrkA-immunoreactivities (IR) were
localized in the epithelial layer of the vas deferens. NGF-IR was also found in
the stroma and epithelium of the vesicular gland and prostate. TrkA-IR was
distributed in the epithelial cells of vesicular and prostate glands. The nerves
were weakly immunoreactive in all the examined organs. After castration the
immunoreactivities increased. Real-time RT-PCR experiments indicated that NGF and
TrkA mRNA levels increased significantly after castration. These results suggest
that NGF and TrkA are expressed in the internal male genital organs of the rat
and that their expression is downregulated by androgen hormones. We hypothesize
NGF and TrkA play a role in the processes that regulate the involution of these
organs under conditions of androgen deprivation.
PMID- 22073362
TI - Differential expression of ryanodine receptor in the developing rat cochlea.
AB - Ryanodine receptors (RyRs) are one of the intracellular calcium channels involved
in regulation of intracellular free calcium concentration ([Ca(2+)]i). The
immunolocalization of RyRs was investigated in the developing rat cochlea at
different postnatal days (PND). The change of [Ca(2+)]i in isolated outer hair
cells (OHCs) was determined. Morphological results showed low expression of RyRs
in the Kolliker's organ from the PND 5 group. RyR expression in inner hair cells
(IHCs) increased as the rats aged, and was mature after PND 14. RyRs in OHCs were
expressed near the synaptic area of afferent and efferent nerves. RyRs in
supporting cells were expressed widely and strongly. The application of ACh,
ryanodine + ACh, and thapsigargin + ACh could induce a significant increase in
[Ca(2+)]i in OHCs in the presence of extracellular calcium. This increase of
[Ca(2+)]i induced by ACh was caused by not only the calcium influx through
surface calcium channels, but also the calciuminduced calcium release (CICR) from
intracellular RyR-sensitive calcium stores. Morphological and Ca imaging results
suggested that RyRs expression is related to cochlear maturity, and may play an
important role in its function.
PMID- 22073363
TI - Proteomics-based investigation in C2C12 myoblast differentiation.
AB - Skeletal muscle cell differentiation is a multistage process extensively studied
over the years. Even if great improvements have been achieved in defining
biological process underlying myogenesis, many molecular mechanisms need still to
be clarified.To further highlight this process, we studied cells at
undifferentiated, intermediate and highly differentiated stages, and we analyzed,
for each condition, morphological and proteomic changes. We also identified the
proteins that showed statistical significant changes by a ESI-Q-TOF mass
spectrometer. This work provides further evidence of the involvement of
particular proteins in skeletal muscle development. Furthermore, the high level
of expression of many heat shock proteins, suggests a relationship between
differentiation and cellular stress. Intriguingly, the discovery of myogenesis
correlated proteins, known to play a role in apoptosis, suggests a link between
differentiation and this type of cell death.
PMID- 22073364
TI - Cytokeratin 20-positive hepatocellular carcinoma.
AB - The differential diagnosis between hepatocellular carcinoma (HCC),
cholangiocarcinoma (CC) and metastatic colorectal adenocarcinoma (MCA) may be
difficult when only based on morphology. For this purpose immunohistochemical
analyses are often required, utilizing antibodies directed against CK8-18, Hep
Par1, glypican 3, CK7, CK19, CK20. Here we report a case of a 65-year-old man who
presented with a clinical picture of decompensated cirrhosis. Ultrasonography
revealed two nodular areas in the right liver lobe. Liver needle biopsy revealed
micro-macronodular cirrhosis associated with HCC with trabecular and
pseudoglandular patterns. Immunohistochemically, tumour cells were diffusely
positive for CK8-18 and also diffusely immunostained by glypican 3 and Hep-Par1.
Interestingly, a diffuse and strong staining for CK20 was detected in the vast
majority of tumor cells, particularly in the areas showing a pseudo-glandular
pattern. No immunostaining for CK7 and CK19 was found in the tumor cells. The
tumor behaved aggressively, with a rapid diffusion to the whole liver. The
patient died from the disease few months after presentation. These findings
underline that the interpretation of the expression of CK20 alone in the
differential diagnosis among HCC, CC and MCA should be done with caution because
a diffuse immunoreactivity for CK20 alone may not rule out the diagnosis of HCC.
PMID- 22073365
TI - Merkel cell carcinoma with an unusual immunohistochemical profile.
AB - The clinical and morphological picture of Merkel cell carcinoma (MCC) may be
rather challenging; therefore, the immunohistochemical profile plays a relevant
role in confirming the microscopic diagnosis. A panel of antibodies including
cytokeratins 20, 7 and epithelial membrane antigen, and neuron-specific enolase
is used in confirming the morphological diagnosis of MCC. The majority of MCCs
express CK20 and are CK7-negative. Herein, we present a case of primary cutaneous
neuroendocrine carcinoma with an atypical immunohistochemical pattern. A 83-years
old woman presented with a painless plaque, red to violaceous in colour, located
in the leg. The skin tumor was excided, formalin-fixed and paraffinembedded.
Tissue sections were immunostained with a panel of antibodies routinely utilized
in complex primary skin tumors for evidencing epithelial and neuroendocrine
differentiation of tumor cells. The neuroendocrine differentiation of tumor cells
was evidenced by their immunoreactivity for synaptophysin, chromograninA and
neuron-specific enolase. Tumor cells also showed diffuse cytoplasmic staining for
CK7. No immunoreactivity was detected for CK20 and thyroid transcription factor
1. Our data, together with previous rare reports of CK20-/CK7+ MCCs, lay stress
on the importance of routinely utilizing a panel of antibodies in the
differential diagnosis of complex primary carcinomas of the skin and may have
important implications in expanding the differential diagnosis of skin tumors. In
particular, caution should be taken in excluding the diagnosis of MCC only on the
basis of the absence of reactivity of tumor cells for CK20, favouring the wrong
diagnosis of less aggressive skin tumors.
PMID- 22073366
TI - Increased number of intestinal villous M cells in levamisole -pretreated weaned
pigs experimentally infected with F4ac+ enterotoxigenic Escherichia coli strain.
AB - Immunoprophylaxis of porcine postweaning colibacillosis (PWC) caused by
enterotoxigenic Escherichia coli (ETEC) expressing F4 fimbriae is an unsolved
problem. Just as ETEC strains can exploit intestinal microfold (M) cells as the
entry portal for infection, their high transcytotic ability make them an
attractive target for mucosally delivered vaccines, adjuvants and therapeutics.
We have developed a model of parenteral/oral immunization of 4-weeks-old pigs
with either levamisole or vaccine candidate F4ac(+) non-ETEC strain to study
their effects on de novo differentiation of antigen-sampling M cells.
Identification, localization and morphometric quantification of cytokeratin 18
positive M cells in the ileal mucosa of 6-weeks-old pigs revealed that they were:
1) exclusively located within villous epithelial layer, 2) significantly numerous
(P< 0.01) in levamisole pretreated/challenged pigs, and 3) only slightly, but not
significantly numerous in vaccinated/challenged pigs compared with non
pretreated/challenged control pigs. The fact that levamisole may affect the M
cells frequency by increasing their numbers, makes it an interesting adjuvant to
study development of an effective M cell-targeted vaccine against porcine PWC.
PMID- 22073367
TI - Expression of lumican and fibromodulin following interleukin-1 beta stimulation
of disc cells of the human temporomandibular joint.
AB - Small leucine-rich repeat proteoglycans (SLRP) are present in the extracellular
matrix of the temporomandibular joint (TMJ) disc. Lumican and fibromodulin,
classified as class 2 SLRPs, play important roles in TMJ assembly, proliferation
and inflammation. Degenerative change in the TMJ disc gives rise to the process
of internal derangement (ID). In this study, we immunohistochemically examined
the expression of lumican and fibromodulin in nine human TMJ specimens and
examined the gene expression of both proteoglycans in cultured human TMJ disc
cells under interleukin-1 beta (IL-1 beta)-stimulated conditions. An articular
disc cell line was established by collagenase treatment of a TMJ disc. The
subcultured cells were then incubated for 1, 3, 6, 12, 24 or 48 h under both
normal and IL-1 beta (1 ng/mL) conditions. The gene expression of lumican and
fibromodulin was examined using the reverse transcription-polymerase chain
reaction (RT-PCR) and real-time RT-PCR. We demonstrated that the expression of
lumican significantly differs from that of fibromodulin in the deformed disc and
that IL-1 beta induces a significant increase in lumican mRNA, but not in
fibromodulin mRNA, after 24~48 h culture compared to cells cultured in the
absence of IL-1 beta (P<0.05). These results indicate that lumican and
fibromodulin display different behaviors and that lumican may promote
regeneration of the TMJ after degeneration and deformation induced by IL-1 beta.
PMID- 22073368
TI - Assessment of proliferating cell nuclear antigen and its relationship with
proinflammatory cytokines and parameters of disease activity in multiple myeloma
patients.
AB - Multiple myeloma (MM) is a malignant plasma cell disease. Several proinflammatory
cytokines produced by malignant plasma cells and bone marrow (BM) stromal cells
are involved in the pathogenesis of the disease. We evaluated serum levels of the
proinflammatory cytokines Interleukin-1beta (IL-1beta), Interleukin-6 (IL-6),
Interleukin-8 (IL-8), macrophage inflammatory protein-1alpha (MIP-1alpha), in MM
patients before treatment, and determined its significance in tumor progression.
We also analyzed the correlation between measured parameters with proliferating
cell nuclear antigen (PCNA). Forty-four MM patients and 20 healthy controls were
studied. Serum levels of the proinflammatory cytokines were measured using enzyme
linked immunosorbent assay (ELISA), whereas PCNA value in the BM was determined
by immunohistochemistry staining. The mean concentrations of the measured
cytokines were significantly different among the three stages of disease, with
higher values in advanced disease stage. Furthermore, patients with MM had
significantly higher serum levels of the measured cytokines than in controls. A
positive correlation was found between IL-6 with IL-1beta, IL-8 and MIP-1alpha.
Similarly, IL-8 and MIP-1alpha were positively correlated with markers of disease
activity such as beta2 microglobulin and LDH. The proliferation index, determined
by PCNA immunostaining, was higher in advanced disease stage. Furthermore PCNA
value correlated significantly with beta2 microglobulin, LDH and the levels of
the measured cytokines. Our results showed that the proliferative activity, as
measured with PCNA, increases in parallel with disease stage. The positive
correlation between PCNA and other measured mediators supports the involvement of
these factors in the biology of myeloma cell growth and can be used as markers of
disease activity and as possible therapeutic targets.
PMID- 22073369
TI - Influence of surgical and chemical orchidectomy on weight and distribution of
AChE-nerve fibres in thymuses of adult rats.
AB - The thymus is a crossroad between the immune and neuroendocrine systems. As such,
it is innervated by acetylcholinesterase (AChE)-positive fibres of the vagus, the
recurrent laryngeal and the phrenic nerves. It is well know, that the
innervations density of the thymus increases with age. In our study, adult rats
were orchidectomized (surgically and chemically by the application of a
luteinizing hormone-releasing hormone). The density of AChE-positive nerve fibres
in thymuses, as well as the weight of thymuses was examined. The authors found
that both surgical and chemical orchidectomy result in macroscopic and
microscopic regeneration of the atrophied thymuses. In regenerated rat's thymuses
after orchidectomy the density of AChE-positive nerve fibres was markedly higher
in comparison with the control animals. The distribution, as well as the density
of AChE-positive nerve fibres in regenerated thymuses after orchidectomy evokes
the images of its innervations like in young animals before age-related
involution. The authors also found a markedly higher weight of thymuses of
orchidectomized rats in comparison with the control groups. In recent study the
authors proved that after 8 weeks surgical orchidectomy leads to the regeneration
of thymic AChE-positive innervation and chemical orchidectomy by administration
of luteinizing hormone-releasing hormone after 4 weeks of adult rats.
PMID- 22073370
TI - NADPH-diaphorase expression in the rat jejunum after intestinal
ischemia/reperfusion.
AB - The purpose of this study was to analyze the nicotinamide adenine dinucleotide
phosphate - diaphorase (NADPH-d) activity in the rat jejunum after a mesenteric
ischemia/reperfusion injury. Nitric oxide, synthetised from L-arginine by the
enzyme nitric oxide synthase, is a nonadrenergic noncholinergic relaxant
neurotransmitter of the intestinal smooth muscle. It plays an important role in
the process of plasticity after the ischemia/reperfusion injury. Experimental
animals were divided in two groups: the control group and the
ischemic/reperfusion group, with different period of the reperfusion. The NADPH-d
histochemical method has been used as a marker for the nitric oxide synthase.
NADPH-d activity has been rapidly decreased in the neurons of both enteric
nervous systems in plexuses of the jejunum after 1 h mesenteric ischemia and 1 h
reperfusion. Differences were predominantly detected in the myenteric plexus;
they were seen in change of the neuronal shape, in the arrangement of neurons and
in intensity of their staining. The NADPH-d positivity was absent in the
intestinal crypts. After 1 h ischemia and 24 h reperfusion, the NADPH-d activity
was gradually increased, but it was lower in comparison with the control group.
On the 30th day following the ischemia/reperfusion there were no changes in NADPH
d positivity compared with the control animals. These results indicated that the
jejunal ischemia/reperfusion has affected the neurons of the enteric nervous
system of adult rats and resulted in the early decrease of NADPH-d positivity 1 h
of the reperfusion insult. The gradual increasing of NADPH-d activity in 24 h
following the reperfusion could be considered as a result of the plasticity
process. On the 30(th) day after the ischemia/reperfusion all histochemical
changes were returned to the control levels.
PMID- 22073371
TI - Immunohistochemical expression of types I and III collagen antibodies in the
temporomandibular joint disc of human foetuses.
AB - The objective was to study the morphology of the articular disc and analyse the
immunohistochemical expression of types I and III collagen markers in the
temporomandibular joint (TMJ) disc of human foetuses of different gestational
ages. Twenty TMJ from human foetuses supplied by Universidade Federal de Uberaba
with gestational ages from 17 to 24 weeks were studied. The gestational age of
the foetuses was determined by measuring the crown-rump (CR) length.
Macroscopically, the foetuses were fixed in 10% formalin solution and dissected
by removing the skin and subcutaneous tissue and exposing the deep structures.
Immunohistochemical markers of type I and III were used to characterize the
existence of collagen fibres. Analysis of the immunohistochemical markers of
types I and III collagen revealed the presence of heterotypical fibril networks.
PMID- 22073372
TI - Expression pattern of thymosin beta 4 in the adult human liver.
AB - Thymosin beta-4 (Tbeta4) is a member of beta-thymosins, a family of small
peptides involved in polymerization of G-actin, and in many critical biological
processes including apoptosis, cell migration, angiogenesis, and fibrosis.
Previous studies in the newborn liver did not reveal any significant reactivity
for Tbeta4 during the intrauterine life. The aim of the present study was to
investigate by immunohistochemistry Tbeta4 expression in the adult normal liver.
Thirty-five human liver samples, including 11 needle liver biopsies and 24 liver
specimens obtained at autopsy, in which no pathological change was detected at
the histological examination, were immunostained utilizing an anti-Tbeta4
commercial antibody. Tbeta4 was detected in the hepatocytes of all adult normal
livers examined. A zonation of Tbeta4 expression was evident in the vast majority
of cases. Immunostaining was preferentially detected in zone 3, while a minor
degree of reactivity was detected in periportal hepatocytes (zone 1). At higher
power, Tbeta4-reactive granules appeared mainly localized at the biliary pole of
hepatocytes. In cases with a strong immunostaining, even perinuclear areas and
the sinusoidal pole of hepatocytes appeared interested by immunoreactivity for
Tbeta4. The current work first evidences a strong diffuse expression of Tbeta4 in
the adult human liver, and adds hepatocytes to the list of human cells able to
synthesize large amounts of Tbeta4 in adulthood. Moreover, Tbeta4 should be added
to the liver proteins characterized by a zonate expression pattern, in a
descending gradient from the terminal vein to the periportal areas of the liver
acinus. Identifying the intimate role played by this peptide intracellularly and
extracellularly, in physiology and in different liver diseases, is a major
challenge for future research focusing on Tbeta4.
PMID- 22073374
TI - Expression of progesterone receptor membrane component-1 in bovine reproductive
system during estrous cycle.
AB - Several reports suggest the participation of progesterone receptor membrane
component 1 (PGRMC1) in progesterone signaling in the reproductive system. This
study aimed at investigating the presence and localization of PGRMC1 in bovine
ovary, oviduct and uterus, during the follicular and luteal phases of the estrous
cycle. In the ovary, PGRMC1 has been detected in surface germinal epithelium,
granulosa cells, theca cells and in the germinal vesicle of the oocytes at all
stages of folliculogenesis. In the corpus luteum the expression of PGRMC1 was
influenced by the stage of the estrous cycle. In the oviducts and in the uterus
horns, PGRMC1 was immunolocalized in the luminal epithelium, in the muscle layer
cells and in the endothelial cells. In the uterus, PGRMC1 was intensely localized
also in the glandular endometrium. However, in the oviducts and in the uterus
horns, the localization of PGRMC1 was independent on the stage of the estrous
cycle and on whether evaluating the ipsilateral or the contralateral organ. In
conclusion, the present immunohistochemical study showed that PGRMC1 is located
in various compartments of the bovine female reproductive organs. With the
exception of the corpora lutea, PGRMC1 localization showed similar pattern during
different stages of the estrous cycle.
PMID- 22073375
TI - Constitutive heterochromatin polymorphisms in human chromosomes identified by
whole comparative genomic hybridization.
AB - Whole comparative genomic hybridization (W-CGH) is a new technique that reveals
cryptic differences in highly repetitive DNA sequences, when different genomes
are compared using metaphase or interphase chromosomes. W-CGH provides a quick
approach to identify differential expansion of these DNA sequences at the single
chromosome level in the whole genome. In this study, we have determined the
frequency of constitutive chromatin polymorphisms in the centromeric regions of
human chromosomes using a whole-genome in situ cross-hybridization method to
compare the whole genome of five different unrelated individuals. Results showed
that the pericentromeric constitutive heterochromatin of chromosome 6 exhibited a
high incidence of polymorphisms in repetitive DNA families located in
pericentromeric regions. The constitutive heterochromatin of chromosomes 5 and 9
was also identified as highly polymorphic. Although further studies are necessary
to corroborate and assess the overall incidence of these polymorphisms in human
populations, the use of W-CGH could be pertinent and of clinical relevance to
assess rapidly, from a chromosomal viewpoint, genome similarities and differences
in closely related genomes such as those of relatives, or in more specific
situations such as bone marrow transplantation where chimerism is produced in the
recipient.
PMID- 22073373
TI - Cultured myoblasts from patients affected by myotonic dystrophy type 2 exhibit
senescence-related features: ultrastructural evidence.
AB - Myotonic dystrophy type 2 (DM2) is an autosomal dominant disorder caused by the
expansion of the tetranucleotidic repeat (CCTG)n in the first intron of the Zinc
Finger Protein-9 gene. In DM2 tissues, the expanded mutant transcripts accumulate
in nuclear focal aggregates where splicing factors are sequestered, thus
affecting mRNA processing. Interestingly, the ultrastructural alterations in the
splicing machinery observed in the myonuclei of DM2 skeletal muscles are
reminiscent of the nuclear changes occurring in age-related muscle atrophy. Here,
we investigated in vitro structural and functional features of satellite cell
derived myoblasts from biceps brachii, in the attempt to investigate cell
senescence indices in DM2 patients by ultrastructural cytochemistry. We observed
that in satellite cell-derived DM2 myoblasts, cell-senescence alterations such as
cytoplasmic vacuolization, reduction of the proteosynthetic apparatus,
accumulation of heterochromatin and impairment of the pre-mRNA maturation
pathways occur earlier than in myoblasts from healthy patients. These results,
together with preliminary in vitro observations on the early onset of defective
structural features in DM2 myoblast derived-myotubes, suggest that the
regeneration capability of DM2 satellite cells may be impaired, thus contributing
to the muscular dystrophy in DM2 patients.
PMID- 22073376
TI - Histochemical properties of sialic acids and antimicrobial substances in canine
anal glands.
AB - The functional properties of sialic acids appear to be manifold. Additionally,
antimicrobial substances serve as a non-specific defense against microorganisms.
In this study, therefore, the localization of sialic acids and antimicrobial
substances in the anal glands of dog was studied by sialoglycoconjugate
histochemistry and immunohistochemistry. The secretory epithelium, luminal
secretions and excretory ducts exhibited high levels of sialoglycoconjugates that
terminated in Siaalpha2-6Gal/GalNAc or Siaalpha2-3Gal1-4GlcNAc. Additionally, O
acetylated sialic acids were detectable in these glandular structures.
Antimicrobial substances, such as lysozyme, immunoglobulin A (IgA), lactoferrin
and the peptide group of beta-defensins, were also demonstrated as products of
the anal glands. The results obtained are discussed with regard to the functional
significance of the anal glands. These secretory products may create a defensive
barrier against microbial invasion at the anal mucosa.
PMID- 22073377
TI - Lubricin is expressed in chondrocytes derived from osteoarthritic cartilage
encapsulated in poly (ethylene glycol) diacrylate scaffold.
AB - Osteoarthritis (OA) is characterized by degenerative changes within joints that
involved quantitative and/or qualitative alterations of cartilage and synovial
fluid lubricin, a mucinous glycoprotein secreted by synovial fibroblasts and
chondrocytes. Modern therapeutic methods, including tissue-engineering
techniques, have been used to treat mechanical damage of the articular cartilage
but to date there is no specific and effective treatment. This study aimed at
investigating lubricin immunohistochemical expression in cartilage explant from
normal and OA patients and in cartilage constructions formed by Poly (ethylene
glycol) (PEG) based hydrogels (PEG-DA) encapsulated OA chondrocytes. The
expression levels of lubricin were studied by immunohistochemistry: i) in tissue
explanted from OA and normal human cartilage; ii) in chondrocytes encapsulated in
hydrogel PEGDA from OA and normal human cartilage. Moreover, immunocytochemical
and western blot analysis were performed in monolayer cells from OA and normal
cartilage. The results showed an increased expression of lubricin in explanted
tissue and in monolayer cells from normal cartilage, and a decreased expression
of lubricin in OA cartilage. The chondrocytes from OA cartilage after 5 weeks of
culture in hydrogels (PEGDA) showed an increased expression of lubricin compared
with the control cartilage. The present study demonstrated that OA chondrocytes
encapsulated in PEGDA, grown in the scaffold and were able to restore lubricin
biosynthesis. Thus our results suggest the possibility of applying autologous
cell transplantation in conjunction with scaffold materials for repairing
cartilage lesions in patients with OA to reduce at least the progression of the
disease.
PMID- 22073378
TI - Cancer Tissue Engineering: A Novel 3D Polystyrene Scaffold for In Vitro Isolation
and Amplification of Lymphoma Cancer Cells from Heterogeneous Cell Mixtures.
AB - Isolation and amplification of primary lymphoma cells in vitro setting is
technically and biologically challenging task. To optimize culture environment
and mimic in vivo conditions, lymphoma cell lines were used as a test case and
were grown in 3-dimension (3D) using a novel 3D tissue culture polystyrene
scaffold with neonatal stromal cells to represent a lymphoma microenvironment. In
this model, the cell proliferation was enhanced more than 200-fold or 20,000%
neoplastic surplus in 7 days when less than 1% lymphoma cells were cocultured
with 100-fold excess of neonatal stroma cells, representing 3.2-fold higher
proliferative rate than 2D coculture model. The lymphoma cells grew and
aggregated to form clusters during 3D coculture and did not maintained the
parental phenotype to grow in single-cell suspension. The cluster size was over 5
fold bigger in the 3D coculture by day 4 than 2D coculture system and contained
less than 0.00001% of neonatal fibroblast trace. This preliminary data indicate
that novel 3D scaffold geometry and coculturing environment can be customized to
amplify primary cancer cells from blood or tissues related to hematological
cancer and subsequently used for personalized drug screening procedures.
PMID- 22073379
TI - The Chemical and Physical Properties of Poly(epsilon-caprolactone) Scaffolds
Functionalised with Poly(vinyl phosphonic acid-co-acrylic acid).
AB - There is a clinical need for a synthetic alternative to bone graft substitute
(BGS) derived from demineralised bone matrix. We report the electrospinning of
Poly(epsilon-caprolactone) (PCL) to form a 3-dimensional scaffold for use as a
synthetic BGS. Additionally, we have used Poly(vinyl phosphonic acid-co-acrylic
acid) (PVPA) to improve bone formation. Fibres were formed using a 10% w/v
PCL/acetone solution. Infrared spectroscopy confirmed that the electrospinning
process had no effect on the functional groups present in the resulting
structure. The electrospun scaffolds were coated with PVPA (PCL/PVPA), and
characterised. The stability of the PVPA coating after immersion in culture
medium was assessed over 21 days. There was rapid release of the coating until
day 2, after which the coating became stable. The wettability of the PCL
scaffolds improved significantly, from 123.3 +/- 10.8 degrees to 43.3 +/- 1.2
degrees after functionalisation with PVPA. The compressive strength of the
PCL/PVPA scaffolds (72 MPa) was significantly higher to that of the PCL scaffold
(14 MPa), and an intermediate between trabecular and cortical bone (7 MPa and 170
MPa, resp.). The study has demonstrated that the PCL/PVPA scaffold has the
desired chemical and biomechanical characteristics required for a material
designed to be used as a BGS.
PMID- 22073380
TI - Factor structure of manic symptoms in adolescents.
AB - OBJECTIVE: To identify the factor structure of manic symptoms in adolescents as
assessed by the Scale for Manic States (SMS). METHOD: Pattern of symptoms was
assessed in a group of 100 adolescents with a diagnosis of manic episode as
defined by the International Classification of Diseases, 10th revision -
Diagnostic Criteria for Research. A principal component analysis of the broad
range of psychiatric symptoms covered by the SMS was conducted. RESULTS: Seven
eigenvalues were greater than unity, and parallel analysis revealed 5 factors,
whereas scree plot was inconclusive. Five-factor solution as obtained by parallel
analysis was chosen, which described our data appropriately and were clinically
relevant. The 5 factors were: aggressive overactivity, dysphoria, psychosis,
hedonia, and thought retardation. These captured 58.14% of the total variance.
CONCLUSIONS: These 5 factors explain the clinical dimensions in adolescent mania
similar to those of the adult population. Nevertheless, certain features, such as
presence of psychosis along with euphoric mood and thought retardation,
distinguish adolescent from adult mania.
PMID- 22073381
TI - An open-label trial of acamprosate in the treatment of pathological gambling.
AB - BACKGROUND: The efficacy and tolerability of acamprosate has been tested in the
treatment of pathological gambling (PG). Acamprosate is known to reduce alcohol
craving and use in persons with alcohol dependence, and it has been hypothesized
that the drug would have a similar effect in individuals with PG. METHODS:
Participants with DSM-IV criteria for PG received acamprosate in an 8-week, open
label trial following a 2-week observation. The primary efficacy measure was the
Yale-Brown Obsessive Compulsive Scale modified for PG (Y-BOCS-PG). Secondary
efficacy measures included the Gambling Severity Assessment Scale (GSAS), the
Clinical Global Impression (CGI) Improvement and Severity Scales, a patient self
rated global rating, the Hamilton Depression Rating Scale (HDRS), the Sheehan
Disability Scale (SDS), and the Timeline Follow Back (TLFB). The study was
conducted at 2 sites. RESULTS: Twenty-six participants (11 men, 15 women) had at
least 1 post-baseline visit and were included in the analysis. Twenty
participants (77%) completed the protocol. Significant improvement was observed
in Y-BOCS-PG and GSAS scores, both CGI scales, a patient self-rated global scale,
all 3 SDS subscales, and number of gambling episodes. Seventeen participants
(65%) were considered responders (ie, achieved "much" or "very much"
improvement). Improvements on the HDRS, in money wagered, and in time spent
gambling were not significant. Few adverse events were reported. CONCLUSIONS: The
results suggest that acamprosate is well tolerated and may be effective in the
treatment of PG.
PMID- 22073382
TI - Clinically insubstantial cognitive side effects of bitemporal electroconvulsive
therapy at 0.5 msec pulse width.
AB - BACKGROUND: We measured cognitive side effects from bitemporal electroconvulsive
therapy (ECT) using stimuli of 0.5 msec pulse width 900 milliamperes (mA).
METHODS: Mini-Mental State Exam (MMSE) and 21-item Hamilton Rating Scale for
Depression (HRSD-21) were rated within 36 hours before and 36 hours after a
series of 6 bitemporal ECT sessions on 15 patients age >=45. RESULTS: MMSE
remained high after ECT (pre-ECT mean 29, standard deviation [SD] 1.60, post-ECT
mean 28.53, SD 1.36) with no significant change. The mean HRSD-21 fell from 27.5
to 16.3. Post-ECT MMSE was significantly and markedly higher than in previous
studies of bitemporal ECT; all had used ECT stimuli of pulse width at least 1
msec. CONCLUSIONS: With stimuli of 0.5 msec pulse width and 900 mA, 6 bitemporal
ECTs did not decrease MMSE score. This result leaves no opportunity for further
decrease in basic cognitive side effects, and complements published reports of
stronger physiological effects with stimuli of 0.5 msec pulse width and 900 mA.
ECT stimuli of 0.5 msec pulse width and 900 mA are more desirable than wider
pulse widths. Six bitemporal ECT sessions using these stimuli generally will not
have more cognitive side effects than treatments with other placements, allowing
maintenance of full efficacy with clinically insubstantial side effects.
PMID- 22073383
TI - Psychiatric comorbidity and treatment response in patients with tuberous
sclerosis complex.
AB - BACKGROUND: Behavioral and psychiatric comorbidity are common in tuberous
sclerosis complex (TSC), but information regarding psychopharmacologic management
is lacking. METHODS: We reviewed clinical records of patients evaluated over a 20
month period at a large, quaternary referral center specializing in the
comprehensive management of patients with TSC. Data were collected regarding
psychiatric diagnoses, psychopharmacologic medications used to treat these
disorders, and clinical response to treatment at follow-up. RESULTS: There were
113 encounters by 62 pediatric and adult patients with TSC, which were included
in the present analysis. Behavioral and anxiety disorders were most prevalent, as
were autism spectrum disorders and attention-deficit/hyperactivity disorder.
Antipsychotics, antidepressants, and anticonvulsants with mood-stabilizing
properties were the most often prescribed psychoactive medications and were
associated with an overall improvement or stabilization of psychiatric symptoms
65% of the time. CONCLUSIONS: Psychiatric comorbidity, especially behavioral
disorders, is very common among patients with TSC. Pharmacologic treatment can be
very effective and should be considered for optimal disease management in
affected individuals.
PMID- 22073384
TI - An open-label trial of aripiprazole in the treatment of aggression in male
adolescents diagnosed with conduct disorder.
AB - BACKGROUND: The adverse effect profiles of typical and atypical antipsychotics
are problematic because of their extrapyramidal and endocrine adverse effects,
respectively. METHODS: Ten adolescent male patients diagnosed with conduct
disorder received aripiprazole in doses of <=20 mg/d in an open-label, intent-to
treat design to establish and characterize the efficacy of the drug in reducing
aggressive behavior. RESULTS: Based on clinician and parent observations,
aripiprazole was effective in reducing aggressive behavior in adolescent boys.
The change in clinician-observed aggression ratings appears to have been driven
by a decrease in physical aggression, whereas the change in parent-observed
aggression ratings appears to have been driven by a decrease in verbal aggression
and aggression against objects and animals. CONCLUSIONS: Aripiprazole was an
effective and relatively well-tolerated treatment for overall aggression in
adolescent males with conduct disorder, in the view of both clinicians and
parents. Depending on the observer, aripiprazole improved aggression categorized
as physical aggression, verbal aggression, and aggression against objects and
animals.
PMID- 22073385
TI - Treatment of depression associated with age-related macular degeneration: a
double-blind, randomized, controlled study.
AB - BACKGROUND: Depression is frequently found in patients with age-related macular
degeneration (AMD). The purpose of this study was to assess the effectiveness of
escitalopram in treating major and minor depression in AMD patients. METHODS: We
conducted a crossover, randomized, double-blind, placebo-controlled, 16-week
study comparing escitalopram with placebo. Inclusion criteria included reduced
vision from AMD and major or minor depression, with a 17-item Hamilton Rating
Scale for Depression (HAMD-17) score of >=10. Participants were randomly assigned
to receive either escitalopram or placebo for 8 weeks and then crossed over to
the other treatment. The primary outcome was change on the total HAMD-17 score
with escitalopram treatment compared with placebo. RESULTS: We enrolled 16 AMD
patients (mean age 79.1), 12 with major depression and 4 with minor depression.
Mean HAMD-17 score at enrollment was 16.1 +/- 4.2, and mean visual acuity in the
better eye was 20/70. During escitalopram treatment, participants showed a
significant reduction in HAMD-17 scores compared with placebo treatment (P =
.01). CONCLUSIONS: These findings suggest escitalopram may be an effective
treatment for depressive symptoms associated with major or minor depression in
AMD patients with vision loss.
PMID- 22073386
TI - Patients with obsessive-compulsive disorder vs depression have comparable health
care costs: a retrospective claims analysis of Florida Medicaid enrollees.
AB - BACKGROUND: The health care burden of obsessive-compulsive disorder (OCD) is
relatively unknown. OBJECTIVE: To compare the health care burden of patients with
OCD vs depression. METHODS: This retrospective claims analysis compared the 2
year median per-patient health care claims and costs for Florida Medicaid adult
enrollees (1997 to 2006) newly diagnosed with "pure OCD" (P-OCD; OCD without
comorbid major depression, bipolar disorder, psychosis, organic mental disorder,
pervasive developmental disorder, nonpsychotic brain damage, developmental delay,
or mental retardation) with matched patients newly diagnosed with "pure
depression" (P-D; similar to P-OCD but excluding OCD instead of depression).
RESULTS: Eighty-five newly diagnosed P-OCD patients were matched with 14,906 P-D
patients. Although median per-patient total health care costs were comparable
across groups, patients with P-D incurred significantly higher median outpatient
medical costs ($1,928 vs $363, P = .003), while those with P-OCD incurred almost
three-fold greater psychiatric costs ($2,028 vs $759, P < .0001). The latter was
due primarily to significantly higher costs of psychotropic medications among
those with P-OCD ($4,307 vs $2,317, P = .0006) rather than to psychiatric
outpatient care. CONCLUSIONS: Patients with P-D and P-OCD carry a similar burden
in overall health care costs. However, the burden of those with P-D was largely
attributable to outpatient medical costs while that of those with P-OCD was due
to higher costs of psychotropic medications.
PMID- 22073387
TI - Empirical findings on legal difficulties among practicing psychiatrists.
AB - BACKGROUND: This article reviews the published literature on areas of legal
difficulty among practicing psychiatrists. METHODS: A literature search using
PubMed identified studies of malpractice lawsuits or medical board discipline of
psychiatrists between 1990 and 2009. Eight studies of physician discipline in the
United States and one from the United Kingdom were identified. Information from 3
insurance companies and 3 sets of aggregated insurance company data also were
available. One follow-up study of hospitalized psychiatric patients also was
reviewed. RESULTS: Studies of medical board discipline indicate that, compared
with other specialties, psychiatrists are at an increased risk of disciplinary
action. Psychiatrists who were female, board certified, and in practice for a
short period of time had a lower chance of medical board discipline. Psychiatry
claims accounted for a very small proportion of overall malpractice claims and
settlements. The amount of patient disability secondary to alleged malpractice
was the most important variable predicting insurance payout. CONCLUSIONS:
Psychiatrists appear to be disciplined by medical boards at an above-average
frequency compared with other medical specialties. However, few malpractice suits
reach the courts, and psychiatry represents a very small proportion of overall
physician malpractice claims and dollars of settlement.
PMID- 22073388
TI - Differential diagnosis of tardive dyskinesia in an older woman taking
antipsychotic medication.
PMID- 22073389
TI - Magnetically immobilized nanoporous giant proteoliposomes as a platform for
biosensing.
AB - We report a biosensing method that is based on magnetically immobilized
functional liposomes. The vesicles encapsulate magnetic nanoparticles (MNP) and
enzymatic sensing reagents. Magnetic attraction between MNP and external magnets
first immobilizes liposomes onto the surface of a coverglass. With the assistance
from alpha-hemolysin (aHL), translocations of analytes through a lipid membrane
trigger intravesicular enzymatic reactions. After 90 s incubation, the product
from the sensing reactions, resorufin, was probed by laser-induced fluorescence.
Detection of two analytes, glucose and ethanol, was demonstrated using two types
of functional vesicles. The effects of MNP-containing vesicles and biotinylated
vesicles on aHL's translocations of analytes were also compared. Unlike
biotinylated lipids, MNP facilitate immobilization of liposomes without
compromising the integrity of membrane and pore-forming activity of aHL.
PMID- 22073390
TI - Varying molecular interactions by coverage in supramolecular surface chemistry.
AB - The possibility of modifying the intermolecular interactions of absorbed benzene
carboxylic acids from coordination to hydrogen bonding by changing their surface
coverage is demonstrated through a combination of scanning tunnelling microscopy,
X-ray photoemission spectroscopy and density functional theory calculations.
PMID- 22073391
TI - Interaction of niobium and tantalum pentahalides with O-donors: coordination
chemistry and activation reactions.
AB - The chemistry of niobium and tantalum pentahalides, MX(5), with oxygen compounds
is reviewed herein. The polynuclear structure of MX(5) is readily broken by
addition of oxygen-containing organic molecules, L, to give either mononuclear or
ionic dinuclear coordination adducts. Then activation of the organic ligand may
take place favoured by several factors, i.e. low M-X bond energy, high
temperature, presence of more than one oxygen function within L, L/M molar ratio
>= 2. The activation reactions are often uncommon in the context of metal
halides; they include the cleavage of C(sp3)-O, C(sp2)-O, C-H and C-C bonds, and
eventual successive rearrangements proceeding with C-O or C-C couplings. The
recently elucidated reactivity of MX(5) with limited amounts of oxygen compounds
will be presented, and possible connections with the relevant MX(5)-directed
syntheses reported in the literature will be outlined.
PMID- 22073392
TI - First enantiospecific synthesis of marine sesquiterpene quinol akaol A.
AB - The first enantiospecific synthesis of akaol A, a marine sesquiterpene quinol,
has been achieved. Key steps of the synthetic sequence are the oxidative
degradation of (-)-sclareol to a dinorlabdane ketoester, mediated by the ozone
lead(IV) acetate system, the diastereoselective alpha-methylation of a
ketoaldehyde, followed by an intramolecular aldol condensation and the further
Diels-Alder cycloaddition of a dienol ether.
PMID- 22073393
TI - Bright blue emissions with temperature-dependent quantum yields from microporous
metal-organic frameworks.
AB - Two 2D microporous metal-organic frameworks have been assembled from a
fluorophore ligand, whose quantum yields of strong blue emissions could vary from
40.3% to 74.5% and 13.7% to 25.8% with the decreasing temperature.
PMID- 22073394
TI - (BEDT-TTF)3Cu2(C2O4)3(CH3OH)2: an organic-inorganic hybrid antiferromagnetic
semiconductor.
AB - An organic-inorganic hybrid combining a semiconducting BEDT-TTF layer and a Jahn
Teller distorted oxalato-bridged honeycomb antiferromagnetic layer
[Cu(2)(C(2)O(4))(3)(2-)](n) was obtained and characterized.
PMID- 22073395
TI - Extraction of ionic liquids from aqueous solutions by humic acid: an
environmentally benign, inexpensive and simple procedure.
AB - A highly effective method for the extraction of ionic liquids from aqueous
solutions has been successfully developed by the formation of associates of ionic
liquids with humic acid, resulted mainly from their strong electrostatic
interactions.
PMID- 22073396
TI - Development of asymmetric phosphine-promoted annulations of allenes with electron
deficient olefins and imines.
AB - Asymmetric annulation of allenes with electron-deficient olefins and imines is
one of the most important reactions for the synthesis of optically active carbo-
and heterocycles, which are useful building blocks for the synthesis of natural
products and medicinally important substances. The use of chiral phosphines as
enantioselective catalysts can be envisaged for such cyclizations. This article
focuses on the important developments concerning asymmetric annulations of
allenes with unsaturated partners in the recent decades and on the perspectives
that these new developments offer.
PMID- 22073397
TI - A novel gated photochromic reactivity controlled by complexation/dissociation
with BF3.
AB - A photochemically active dithiazolethene BN was designed and synthesized,
exhibiting a specific gated photochromism. That is, the photochromic reactivity
of BN is prevented to a great extent by BF(3), showing a "Lock" gate.
PMID- 22073398
TI - Facile preparation of controllable size monodisperse anatase titania
nanoparticles.
AB - Monodisperse anatase titania nanoparticles with controllable sizes (typically 10
300 nm) can be synthesized using an efficient and straightforward protocol via
fine tuning of the ionic strength in the devised sol-gel methodology.
PMID- 22073399
TI - A computational study of organic polyradicals stabilized by chromium atoms.
AB - Density functional theory has been used to investigate the properties of organic
high spin molecules. The M05/cc-pVDZ calculations predict a septet ground state
for the 2,3,6,7,10,11-hexahydro-1,4,5,8,9,12-hexaoxocoronene-2,3,6,7,10,11-hexayl
radical (coronene-6O). The computations show further that the formation of
intermolecular carbon-carbon bonds yields a singlet ground state for the dimer
rather than a possible tridectet state as expected from the monomer's
multiplicity. A benzene molecule placed between coronene-6O molecules leads to
the desired high-spin cluster, but the overall stability of the cluster is low. A
chromium atom inserted between two peripheral C(6) rings of coronene-6O yields a
sandwich structure with the expected tridectet ground state and a binding energy
which is 15 times larger than the corresponding tridectet dimer stabilized by a
benzene molecule. The presented DFT calculations suggest that a chromium atom can
effectively link organic polyradicals to larger magnetic units.
PMID- 22073400
TI - Atmospheric hydrocarbon activation by the hydroxyl radical: a simple yet accurate
computational protocol for calculating rate coefficients.
AB - The overall rate coefficient at standard temperature and pressure for the
hydrogen abstraction reaction by the hydroxyl radical (HO) from common saturated
volatile organic compounds (VOCs) is derived theoretically using electronic
structure calculations and transition state theory (TST). The computational
approach used is based on relatively efficient methods, and hence is applicable
to a large number of compounds with only a modest use of computer resources. The
key methods used are density functional theory (for the calculation of barrier
heights) and simple transition state theory (TST), including a simple correction
for tunnelling. All thermally relevant conformers of the reactant and the
abstraction TS are included in the study. For all compounds in a test set of
thirty-four, the calculated rate coefficient agrees with the experimental value
to within better than an order of magnitude, and to within better than a factor
of three for all but six cases, so that the accuracy is of predictive utility.
PMID- 22073401
TI - Recovered LiCoO2 as anode materials for Ni/Co power batteries.
AB - LiCoO(2) material is recovered from spent lithium-ion batteries and investigated
as anode materials for Ni/Co power batteries for the first time. LiCoO(2)
electrodes with a small amount of S-doping display excellent electrochemical
properties. The electrochemical reactions occurring on M0 electrodes during the
first several cycles and after being activated are proposed, respectively. A
function mechanism of S powder on M10 electrode is also proposed.
PMID- 22073402
TI - Sub-100 fs charge transfer in a novel donor-acceptor-donor triad organized in a
smectic film.
AB - Ultrafast transient absorption spectroscopy is performed on a novel donor
acceptor-donor triad made of two identical bisthiophene derivatives as electron
donors and a central perylenediimide moiety as electron acceptor. The triad is
extended at both ends by covalently bound siloxane chains that confer self
organisation into thin smectic films at ambient temperature. When diluted in
chloroform, selective excitation of the donor moiety leads to resonance energy
transfer within 130 fs to the acceptor moiety, followed by the formation of a
charge transfer (CT) state in ~3 ps. The CT state recombines entirely on a 55 ps
time scale. In the liquid crystal films, excitonic intermolecular coupling leads
to significant changes in the dynamics. Most remarkably, ultrafast intra- and
intermolecular CT state formation occurs in about 60 fs, i.e. on a time scale
comparable to electronic coherence times. While the intra-molecular CT states
recombine on the same time scale as in solution or even faster, inter-molecular
CT states live for about 1 ns. Last, triplet states of the perylenediimide moiety
dominate the differential absorption after ~1 ns. We anticipate that the fast
recombination of intra-molecular CT states and the triplet state formation may
severely limit the photo-current in these materials.
PMID- 22073403
TI - Determination of gas phase protein ion densities via ion mobility analysis with
charge reduction.
AB - We use a charge reduction electrospray (ESI) source and subsequent ion mobility
analysis with a differential mobility analyzer (DMA, with detection via both a
Faraday cage electrometer and a condensation particle counter) to infer the
densities of single and multiprotein ions of cytochrome C, lysozyme, myoglobin,
ovalbumin, and bovine serum albumin produced from non-denaturing (20 mM aqueous
ammonium acetate) and denaturing (1 : 49.5 : 49.5, formic acid : methanol :
water) ESI. Charge reduction is achieved through use of a Po-210 radioactive
source, which generates roughly equal concentrations of positive and negative
ions. Ions produced by the source collide with and reduce the charge on ESI
generated drops, preventing Coulombic fissions, and unlike typical protein ESI,
leading to gas-phase protein ions with +1 to +3 excess charges. Therefore, charge
reduction serves to effectively mitigate any role that Coulombic stretching may
play on the structure of the gas phase ions. Density inference is made via
determination of the mobility diameter, and correspondingly the spherical
equivalent protein volume. Through this approach it is found that for both non
denaturing and denaturing ESI-generated ions, gas-phase protein ions are
relatively compact, with average densities of 0.97 g cm(-3) and 0.86 g cm(-3),
respectively. Ions from non-denaturing ESI are found to be slightly more compact
than predicted from the protein crystal structures, suggesting that low charge
state protein ions in the gas phase are slightly denser than their solution
conformations. While a slight difference is detected between the ions produced
with non-denaturing and denaturing ESI, the denatured ions are found to be much
more dense than those examined previously by drift tube mobility analysis, in
which charge reduction was not employed. This indicates that Coulombic stretching
is typically what leads to non-compact ions in the gas-phase, and suggests that
for gas phase measurements to be correlated to biomolecular structures in
solution, low charge state ions should be analyzed. Further, to determine if
different solution conditions give rise to ions of different structure, ions of
similar charge state should be compared. Non-denatured protein ion densities are
found to be in excellent agreement with non-denatured protein ion densities
inferred from prior DMA and drift tube measurements made without charge reduction
(all ions with densities in the 0.85-1.10 g cm(-3) range), showing that these
ions are not strongly influenced by Coulombic stretching nor by analysis method.
PMID- 22073404
TI - Excited-state proton-relay dynamics of 7-hydroxyquinoline controlled by solvent
reorganization in room temperature ionic liquids.
AB - The excited-state triple proton relay of 7-hydroxyquinoline (7HQ) along a
hydrogen-bonded methanol chain in room temperature ionic liquids (RTILs) has been
investigated using picosecond time-resolved fluorescence spectroscopy. The rate
constant of the proton relay in a methanol-added RTIL is found to be slower by an
order of magnitude than that in bulk methanol and to have unity in its kinetic
isotope effect. These suggest that the excited-state tautomerization dynamics of
7HQ in methanol-added RTILs is mainly controlled by the solvent reorganization
dynamics to form a cyclically hydrogen-bonded complex of 7HQ.(CH(3)OH)(2) upon
absorption of a photon due to high viscosity values of RTILs. Because the cyclic
complex of 7HQ.(CH(3)OH)(2) at the ground state is unstable in RTILs, the
collision-induced slow formation of the cyclic complex should take place upon
excitation prior to undergoing subsequent intrinsic proton transfer rapidly.
PMID- 22073405
TI - Watching over baby: expectant parenthood and the duty to be well.
AB - In contemporary Western society, individuals are encouraged to adopt a "duty to
be well ideology" by assuming personal responsibility for health through
engagement in self-care practices. We explored the duty to be well within the
contexts of pregnancy, first-time parenthood, and marriage. Analyses were
informed by Foucault's work on surveillance. In-depth interviews were conducted
during the 7th or 8th month of pregnancy with 14 married couples expecting their
first child. The sample was recruited from two U.S. university towns.
Participants conceptualized the duty to be well as the expectant mother's
unyielding bodily obligation to her unborn child and the notion that she should
engage in bodily routines to shape fetal development. Both wives and husbands
participated in the duty to be well, which included three dimensions: the need to
feed, the need to take physical care/stay out of harm's way, and the need to
thwart maternal anxiety. However, findings indicated that efforts to safeguard
unborn children were sometimes a slippery slope, representing an uneven path
and/or inciting ambivalence. Further, participants experienced surveillance
associated with the duty to be well as both caring and controlling, depending
upon the context of the surveillance and the perceived meaning of the monitoring.
PMID- 22073406
TI - It's not only for the money: an analysis of adolescent versus adult entry into
street prostitution.
AB - Numerous studies examine the causal factors of entrance into prostitution and
find economic marginalization, substance addiction, and interpersonal networks
are common reasons women enter the trade. However, we know less about the role
that age of onset plays in shaping female pathways into prostitution. Here, we
build from insights into previous research by analyzing not only entry pathways
but also how age categories are linked to time spent in the trade and whether the
length of time in prostitution exacts a greater "toll" on women. Drawing from the
feminist and age of onset literatures, we analyze 40 in-depth interviews with
female street prostitutes from five U.S. cities. Our results underscore the
importance of age as an organizing feature of women's pathways into prostitution
and the potential associated consequences of working in this trade.
PMID- 22073407
TI - Racetalk and sport: the color consciousness of contemporary discourse on
basketball.
AB - This article explores how a sample of college students discursively negotiated
perceptions of race and ability in the context of mediated sport. A majority of
respondents expressed acceptance of a link between racial identity and sport
specific skills. However, rather than articulate this notion overtly and
directly, rhetorical strategies, such as disclaimers and coded language, were
used. We analyze these responses as a form of "racetalk" (Bonilla-Silva and
Forman 2000) to more specifically unpack the significance of discourse within the
post-Civil Rights Movement era. Although the language used to discuss race in
this sample, like the contemporary era more generally, appears colorblind and
progressive on the surface, it is undergirded by a distinct form of color
consciousness.
PMID- 22073408
TI - The potato's contribution to population and urbanization: evidence from a
historical experiment.
AB - We exploit regional variation in suitability for cultivating potatoes, together
with time variation arising from their introduction to the Old World from the
Americas, to estimate the impact of potatoes on Old World population and
urbanization. Our results show that the introduction of the potato was
responsible for a significant portion of the increase in population and
urbanization observed during the eighteenth and nineteenth centuries. According
to our most conservative estimates, the introduction of the potato accounts for
approximately one-quarter of the growth in Old World population and urbanization
between 1700 and 1900. Additional evidence from within-country comparisons of
city populations and adult heights also confirms the cross-country findings.
PMID- 22073409
TI - Identity, morals, and taboos: beliefs as assets.
AB - We develop a theory of moral behavior, individual and collective, based on a
general model of identity in which people care about "who they are" and infer
their own values from past choices. The model sheds light on many empirical
puzzles inconsistent with earlier approaches. Identity investments respond
nonmonotonically to acts or threats, and taboos on mere thoughts arise to protect
beliefs about the "priceless" value of certain social assets. High endowments
trigger escalating commitment and a treadmill effect, while competing identities
can cause dysfunctional capital destruction. Social interactions induce both
social and antisocial norms of contribution, sustained by respectively shunning
free riders or do-gooders.
PMID- 22073410
TI - Exploring patterns of movement suspension in pedestrian mobility.
AB - One of the main tasks in analyzing pedestrian movement is to detect places where
pedestrians stop, as those places usually are associated with specific human
activities, and they can allow us to understand pedestrian movement behavior.
Very few approaches have been proposed to detect the locations of stops in
positioning data sets, and they often are based on selecting the location of
candidate stops as well as potential spatial and temporal thresholds according to
different application requirements. However, these approaches are not suitable
for analyzing the slow movement of pedestrians where the inaccuracy of a
nondifferential global positioning system commonly used for movement tracking is
so significant that it can hinder the selection of adequate thresholds. In this
article, we propose an exploratory statistical approach to detect patterns of
movement suspension using a local indicator of spatial association (LISA) in a
vector space representation. Two different positioning data sets are used to
evaluate our approach in terms of exploring movement suspension patterns that can
be related to different landscapes: players of an urban outdoor mobile game and
visitors of a natural park. The results of both experiments show that patterns of
movement suspension were located at places such as checkpoints in the game and
different attractions and facilities in the park. Based on these results, we
conclude that using LISA is a reliable approach for exploring movement suspension
patterns that represent the places where the movement of pedestrians is
temporally suspended by physical restrictions (e.g., checkpoints of a mobile game
and the route choosing points of a park).
PMID- 22073411
TI - Endotoxin exposure in textile workers, tetrachloroethylene in dry cleaners.
PMID- 22073412
TI - Localised convulsions from tumour of the brain. By J. Hughlings Jackson MD FRS,
physician to the Hospital for the Epileptic and Paralysed, and to the London
Hospital. Brain 1882; 5: 364-74; with On temporary paralysis after epileptiform
and epileptic seizures; a contribution to the study of dissolution of the nervous
system. By J. Hughlings Jackson MD FRCP FRS. Brain 1881; 4: 433-51; with A
contribution to the comparative study of convulsions. By J. Hughlings Jackson, MD
FRCP FRS. Brain 1886; 9: 1-23.
PMID- 22073414
TI - Leukemic transformation of anaplastic large-cell lymphoma.
PMID- 22073415
TI - Distal metadiaphyseal tibial fractures.
PMID- 22073416
TI - A year of hope and heartache: on the road with hospice.
PMID- 22073417
TI - Late bloomers & baby boomers: success in the second act of life.
PMID- 22073425
TI - Estimating the effects of cigarette taxes on birth outcomes.
AB - Employing provincial data from 1979 to 2004 allows us to exploit the significant
(45 percent to 60 percent) reduction in excise taxes in Eastern Canada enacted in
February 1994 to estimate the impacts of cigarette taxes on birth outcomes.
Empirical estimates suggest that an increase in cigarette taxes is significantly
associated with lower infant mortalities. However, we also find some evidence of
a counter-intuitive positive correlation between taxes and fetal deaths. Overall,
conditional on methodology, we find increased lagged per capita health
expenditures and the number of physicians to be significantly associated with
improvements in birth outcomes.
PMID- 22073426
TI - We fall down: the African American experience of coping with the homicide of a
loved one.
AB - Rates of homicide among African Americans are much higher than those of other
racial or ethnic groups. Research has demonstrated that homicide can be
psychologically debilitating for surviving family members. Yet, exploring the
experiences of homicide victims' surviving loved ones has received little
attention. This study examined the coping strategies of African American
survivors of homicide. Qualitative interviews were conducted with 8 African
American family members (ages 18-82) of homicide victims. Survivors were
recruited from the Massachusetts Office of Victim Services and from homicide
survivor support, school, and community groups throughout the New England area.
Interviews were conducted using open-ended questions derived from coping, support
network, grief, and bereavement literatures. Results indicate that the primary
coping strategies utilized by African American survivors of homicide victims are
spiritual coping and meaning making, maintaining a connection to the deceased,
collective coping and caring for others, and concealment. Implications for
research and practice are discussed.
PMID- 22073427
TI - Disordered eating in African American and Caucasian women: the role of ethnic
identity.
AB - The influential roles of culture and ethnic identity are frequently cited in
developing disordered eating and body dissatisfaction, constituting both
protective and risk factors. For African American women, strongly identifying
with African American cultural beauty ideals may protect against disordered
eating to lose weight, but may actually increase risk in development of
disordered eating directed at weight gain, such as binge eating. This study
compares African American and Caucasian women on disordered eating measures,
positing that African American women show greater risk for binge eating due to
the impact of ethnic identity on body dissatisfaction. Findings indicate low
levels of ethnic identity represent a risk factor for African American women,
increasing the likelihood of showing greater binge eating and bulimic pathology.
In Caucasian women, high levels of ethnic identity constitute a risk factor,
leading to higher levels of both binge eating and global eating pathology.
Implications for prevention and treatment are discussed.
PMID- 22073428
TI - Non-exercise physical activity in agricultural and urban people.
AB - With evidence that urbanisation is associated with obesity, diabetes,
hypertension and cardiovascular disease, this article compares daily physical
activity between rural and urban dwellers. Specifically, it examines habitual
daily activity levels, non-exercise activity thermogenesis (NEAT) and energy
expenditure in agricultural and urban Jamaicans and urban North Americans.
Ambulation was 60 per cent greater in rural Jamaicans than in the urban dwellers
(4675 +/- 2261 versus 2940 +/- 1120 ambulation-attributed arbitrary units
(AU)/day; P = 0.001). Levels of ambulation in lean urban Jamaicans were similar
to those in lean urban North Americans, whereas obese urban dwellers walked less
than their lean urban counterparts (2198 +/- 516 versus 2793 +/- 774 AU/day; P =
0.01). The data with respect to daily sitting mirrored the walking data; obese
Americans sat for almost four hours more each day than rural Jamaicans (562 +/-
78 versus 336 +/- 68 minutes/day; P < 0.001). Urbanisation is associated with low
levels of daily activity and NEAT.
PMID- 22073429
TI - Assessing women's understandings of health in rural Papua New Guinea:
implications for health policy and practice.
AB - In Papua New Guinea (PNG), women's health is addressed by applying biomedical
solutions which often ignore the complexity of women's histories, cultural
contexts and lived experiences. The objective of this study was to examine adult
and older women's perceptions of health and well-being to identify priority areas
for public service interventions. Rapid ethnographic assessment was conducted in
the Wosera district, a rural area of PNG from mid-2005 to early 2006, to examine
the health concerns of women. Twenty-seven adult women and 10 older women
participated in the study. Health was not limited to one aspect of a woman's
life, such as their biology or maternal roles; it was also connected with the
social, cultural and spiritual dimensions of women's daily existence.
Participants also identified access to money and supportive interpersonal
relationships as significant for good health. A disconnect was found to exist
between women's understandings of good health and socio-political health policies
in PNG, something likely to be repeated in health service delivery to different
cultural groups across the Asia Pacific region. Health and development
practitioners in PNG must become responsive to the complexity of women's social
relationships and to issues relating to the context of women's empowerment in
their programmes.
PMID- 22073430
TI - Decentralization, democratization, and health: the Philippine experiment.
AB - In 1991, the Philippines joined a growing list of countries that reformed health
planning through decentralization. Reformers viewed decentralization as a tool
that would solve multiple problems, leading to more meaningful democracy and more
effective health planning. Today, nearly two decades after the passage of
decentralization legislation, questions about the effectiveness of the reforms
persist. Inadequate financing, inequity, and a lack of meaningful participation
remain challenges, in many ways mirroring broader weaknesses of Philippine
democracy. These concerns pose questions regarding the nature of contemporary
decentralization, democratization, and health planning and whether these three
strategies are indeed mutually enforcing.
PMID- 22073431
TI - Images of welfare in law and society: the British welfare state in comparative
perspective.
AB - Designed by Beveridge and built by Attlee's post-war Labour government, the
welfare state was created during the 1940s. Britain has been seen - in domestic
debates and internationally - as a world first: the place where both the idea and
the practice of the welfare state were invented. I draw together comparative
welfare state analysis with law and society scholarship (previously largely
developed in isolation from one another) - as well as using British political
cartoons as a source - to develop a revisionist historical critique of this
conventional wisdom. First, the British welfare state has always been
comparatively parsimonious. Second, the idea of the welfare state seems to have
its origins outside the United Kingdom and this terminology was adopted
relatively late and with some ambivalence in public debate and scholarly
analysis. Third, a large body of socio-legal scholarship shows that robust
'welfare rights' were never embedded in the British 'welfare state'.
PMID- 22073432
TI - iPods, viagra, and the praiseworthy life: epideictic rhetoric in technology and
medical print advertising.
PMID- 22073433
TI - Working women households and time-saving consumption: empirical evidence from
Kerala.
AB - This article explores the impact of labour force participation of Indian women on
the consumption expenditure of their households. Field survey data were collected
from working-wife and non-working wife households in Kerala, the state in India
with the highest labour market participation of women in the organised sector.
Differences in time-saving consumption expenditures of working and non-working
wife households and different variables influencing consumption expenditures were
researched. The study shows that among the variables which positively affect the
time-saving consumption expenditure of the households, non-economic factors
influence the time-saving consumption expenditure of the working-wife households
more prominently than in non-working wife households.
PMID- 22073434
TI - Medical science and the military: the Allies' use of amphetamine during World War
II.
AB - Although amphetamine was thoroughly tested by leading scientists for its effects
in boosting or maintaining physical and mental performance in fatigued subjects,
the results never provided solid grounds for approving the drug's use, and, in
any case, came too late to be decisive. The grounds on which amphetamine was
actually adopted by both British and American militaries had less to do with the
science of fatigue than with the drug's mood-altering effects, as judged by
military men. It increased confidence and aggression, and elevated "morale."
PMID- 22073435
TI - Women's fashions in transition: Ottoman borderlands and the Anglo-Ottoman
exchange of costumes.
AB - Following the considerable increase in the interactions between Ottomans and
Europeans, Ottoman port cities, referred to here as "borderlands," became meeting
places of distinct worlds. Ottoman and British people met, clashed, and grappled
with each other in the borderlands of the Ottoman Empire. There was unbalanced,
disparate, and disproportionate, but also mutual and constant interchange between
the two societies. This article discusses one facet of this interchange: the
Anglo-Ottoman exchange of women's costumes.
PMID- 22073436
TI - The "swinish multitude": controversies over hogs in antebellum New York City.
AB - In the first half of the nineteenth century, New Yorkers fought passionately over
the presence of hogs on their streets and in their city. New York's filthy
streets had cultivated an informal economy and a fertile environment for roaming
creatures. The battles-both physical and legal-reveal a city rife with class
tensions. After decades of arguments, riots, and petitions, cholera and the fear
of other public health crises ultimately spelled the end for New York's hogs. New
York struggled during this period to improve municipal services while adapting to
a changing economy and rapid population growth. The fights between those for and
against hogs shaped New York City's landscape and resulted in new rules for using
public space a new place for nature in the city.
PMID- 22073437
TI - Unsightly urban menaces and the rescaling of residential segregation in the
United States.
AB - In this article, the author uses a slum clearance project in Lexington, Kentucky,
as a lens through which to examine the spatial dynamics of racial residential
segregation during the first half of the twentieth century. At the time, urban
migration and upward socioeconomic mobility on the part of African Americans
destabilized extant residential segregation patterns. Amid this instability,
various spatial practices were employed in the interest of maintaining white
social and economic supremacy. The author argues that such practices were
indicative of a thoroughgoing reinvention of urban socio-spatial order that in
turn precipitated the vastly expanded scale of residential segregation still
found in U.S. cities today. Evidence of this reinvented ordering of urban space
lies in the rendering of some long-standing African American neighborhoods as
"out of place" within it and the use of slum clearance to remove the "menace"
such neighborhoods posed to it.
PMID- 22073438
TI - "Ready to shoot and do shoot": black working-class self-defense and community
politics in Harlem, New York, during the 1920s.
AB - Throughout the first three decades of the twentieth century, black people in New
York City encountered white violence, especially police brutality in Manhattan.
The black community used various strategies to curtail white mob violence and
police brutality, one of which was self-defense. This article examines blacks'
response to violence, specifically the debate concerning police brutality and
self-defense in Harlem during the 1920s. While historians have examined race
riots, blacks' everyday encounters with police violence in the North have
received inadequate treatment. By approaching everyday violence and black
responses-self-defense, legal redress, and journalists' remonstrations-as a
process of political development, this article argues that the systematic
violence perpetrated by the police both mobilized and politicized blacks
individually and collectively to defend their community, but also contributed to
a community consciousness that established police brutality as a legitimate issue
for black protest.
PMID- 22073439
TI - Women's employment and changing gender relations in Puerto Rico.
AB - This article discusses Helen Safa's analyses of the impact of development
strategies and social policies on gender relations and women headed families in
the Caribbean and Puerto Rico. The discussion focuses on findings of a research
project regarding patterns of women's employment, autonomy, marital relations,
family headship and poverty in Puerto Rico in the decade beginning in the year
2000, using excerpts from interviews conducted with women workers displaced from
a clothing and a tuna factory between 2001 and 2002 (Colon et al. 2008), as well
as data from the Public Use Sample (PUMS) of the U.S. Census Puerto Rico
Community Survey 2005-2007. It is argued that women's employment has resulted in
advances in women's autonomy, gender equity, and renegotiations of the provider
role, but, intensified by men's unstable earnings, it has also led to the
increase of female family headship even among married women. Women's education
and employment have been an important means of reducing family poverty both among
dual earner families and female heads. Yet, the continuing joblessness in the
Island places even higher educated sectors on the verge of economic
precariousness.
PMID- 22073440
TI - Sex and sentiment in Cuban tourism.
AB - Helen Safa has been a leading program builder and pioneer in research that
examines the complex intersections of gender, race, class, and nation in Latin
America and the Caribbean. Her comparative research culminated in her influential
book, The Myth of the Male Breadwinner: Women and Industrialization in the
Caribbean (1995), which examined gender, family, and employment across three
Caribbean societies. Over several decades Safa has inspired scholarship
throughout the Caribbean and the Americas and her work is exemplary of engaged
anthropology in the region. Here I present work I conducted in Cuba that was
guided, like my work in Peru, Nicaragua, and southern Mexico by the writings of
Safa and others who saw the critical need to bring gender into meaningful
discussion in the field of Latin American and Caribbean studies. In what follows,
drawn from my broader research on tourism in four nations, I explore and reflect
on the contemporary dynamics of sex and romance tourism in Cuba. I suggest that
the allure of this domain of tourism may be enhanced by Cuba's global political
identity, and that Cuban women participating in commodified and intimate
exchanges reveal an ability to get along in a market economy that generally
excludes them.
PMID- 22073441
TI - Anthropology in a postcolonial colony: Helen I. Safa's contribution to Puerto
Rican ethnography.
AB - This article assesses Helen I. Safa's legacy to anthropological thought in Puerto
Rico. The first part of the article locates Safa's research on the Island within
a long tradition of fieldwork by U.S. scholars since the early twentieth century.
More recent research, conducted mostly by Puerto Rican women anthropologists and
other social scientists, has expanded upon Safa's insights on gender and work.
The second part of the essay analyzes Safa's major empirical work, The Urban Poor
of Puerto Rico: A Study in Development and Inequality. Above all, this book
helped overcome the theoretical impasse over the culture of poverty that
characterized much of urban anthropology during the 1960s and 1970s. The article
concludes with an appraisal of the relevance of Safa's work for the ethnography
of contemporary Puerto Rico.
PMID- 22073442
TI - Drawing cure: children's drawings as a psychoanalytic instrument.
AB - This essay deals with the special case of drawings as psychoanalytical
instruments. It aims at a theoretical understanding of the specific contribution
made by children's drawings as a medium of the psychical. In the influential play
technique developed by Melanie Klein, drawing continuously interacts with other
symptomatic (play) actions. Nonetheless, specific functions of drawing within the
play technique can be identified. The essay will discuss four crucial aspects in
depth: 1) the strengthening of the analysis's recursivity associated with the
graphic artifact; 2) the opening of the analytic process facilitated by drawing;
3) the creation of a genuinely graphic mode of producing meaning that allows the
child to develop a "theory" of the workings of his own psychic apparatus; and 4)
the new possibilities of symbolization associated with the latter. In contrast to
classical definitions of the psychological instrument, the child's drawing is a
weakly structured tool that does not serve to reproduce psychic processes in an
artificial, controlled setting. The introduction of drawing into the
psychoanalytic cure is by no means interested in replaying past events, but in
producing events suited to effecting a transformation of the synchronic
structures of the unconscious.
PMID- 22073443
TI - Mexicanas en guerra: World War II and the discourse of Mexican female identity.
PMID- 22073445
TI - Festschrift for Eugene B. Brody, MD.
PMID- 22073444
TI - Reporting on the Holocaust: the view from Jim Crow Alabama.
AB - The press in Alabama covered major events taking place in Germany from the rise
of Adolf Hitler and the Nazis in 1933 through the Nuremberg Trials in 1946.
Journalists in the state provided extensive coverage, and editors did not
hesitate to opine on the persecution of the Jews in Europe. Yet, Alabama's white
run press failed in the end to explain the events as a singularly Jewish tragedy.
The state's black-run press, for its part, used the news of the mass killings of
the Jews to warn against the dangers of conceptions of racial superiority-a
primary concern for black southerners living in the Jim Crow South.
PMID- 22073446
TI - Proceedings of HVDA-2010, the 6th International Symposium on Hormone and
Veterinary Drug Residue Analysis. June 1-4, 2010. Gent, Belgium.
PMID- 22073447
TI - Proceedings of the Annual Meeting of the Norwegian Neurological Association.
November 2010. Oslo, Norway.
PMID- 22073448
TI - Proceedings of the XVIIIth IAAP (International Association for Analytical
Psychology) Congress. Montreal, Canada. August 22-27, 2010.
PMID- 22073449
TI - A look back as we move forward.
PMID- 22073450
TI - [Law enforcement in Polish towns and cities and Polish society in the 14th
16th centuries].
PMID- 22073451
TI - [Manifestations of the Romantic 'taedium vitae:' suicides in Polish territories
in the years 1815-30].
PMID- 22073452
TI - "One large secure, solid background": Melanie Klein and the origins of the
British welfare state.
PMID- 22073453
TI - [A case study of child brides during the mid-Qing dynasty].
PMID- 22073454
TI - The discovery of the child as an object 'sui generis' of cure and research by
Melanie Klein as reflected in the notes of her first child analyses in Berlin,
1921-1926.
PMID- 22073455
TI - Clinical use of lasers in caries diagnosis and therapy.
AB - Laser technology is now ubiquitous in science, business, the arts, the military,
industry, telecommunications, entertainment and medicine. It is increasingly
finding a useful place in dentistry to offer the potential for practical
solutions to managing difficult clinical problems. Research into the clinical use
of lasers in diagnostic and therapeutic dental procedures has escalated rapidly
in recent years. Laser technology has revolutionized the treatment of dental
caries. This article reviews the role of laser technology in the clinical
management of caries, early caries diagnosis and treatment planning decision
making, caries prevention, soft tissue management, fluorescence aided caries
elimination and fluorescence feedback-controlled selective caries removal. Laser
technology plays a vital role in enhancing caries diagnosis and therapy.
PMID- 22073456
TI - Clinical evaluation of ceramic implant abutments in anterior restorations.
AB - The development of new high strength ceramic abutments can enhance the overall
aesthetic outcome of an implant-supported prosthesis. This study was to compare
the clinical application of alumina and zirconia ceramic implant abutments in the
anterior region. Twenty-three consecutive patients requiring single-tooth
implants in the anterior region were randomized to receive either an alumina
ceramic abutment (CeraAdapt) or a zirconia ceramic abutment. All-ceramic (IPS
Empress 2) crowns were then fabricated and cemented over the abutments with
composite cement. Peri-implant mucosal health and bone levels were evaluated by
the gingival index and radiographs at 2-week and 1-year follow-up. Aesthetic
outcomes as well as technical problems with the restorations were evaluated
during the observation period from 12 to 48 months after functional loading. A
total of 17 alumina ceramic abutments and 18 zirconia ceramic abutments were
evaluated. No patients dropped out during the study period. Eighteen out of the
23 patients maintained good function throughout the study. The median observation
period was 21 months. Ceramic crown loosening was found in two restorations at 1
week and 2-years respectively after insertion. One ceramic crown fractured at 1
week after insertion. At 1-year follow-up, mean marginal bone loss around
implants was 1.2 +/- 0.5 mm and the peri-implant mucosa in relation to abutment
or crown was healthy with a mean gingival score of 0.6 +/- 0.2. All patients were
satisfied with the final aesthetic outcome. However, two alumina abutments
fractured after two years of loading. In conclusion, both ceramic abutments have
shown a favourable biological response and aesthetic outcome; however, zirconia
abutments with their superior mechanical properties might be better for
supporting single-tooth implant restorations in the aesthetic zone.
PMID- 22073457
TI - Contemporary concepts in the management of failed full arch restorations.
PMID- 22073458
TI - Dental caries: where to from here?
PMID- 22073459
TI - Three dimensional distraction osteogenesis of the midface: orthodontic
considerations.
AB - Three-dimensional Le Fort I DO can be used to selectively widen, lengthen, and
vertically reposition the maxilla, without bone grafts and with a good adaptation
of the surrounding soft tissues. Therefore DO is a complementary tool for
conventional orthodontics and orthognathic surgical techniques that address
maxillary deficiency. It is the technique of choice for patients who require
significant maxillary repositioning and have significant soft tissue limitations,
such as scarring secondary to previous surgical procedures. The procedure can be
performed in children prior to reaching skeletal maturity and also in adult
patients. Finally, three-dimensional maxillary reconstruction by distraction of
the Le Fort I segment offers an improved aesthetic outcome and less risk of
deterioration of speech in comparison with traditional orthognathic techniques.
PMID- 22073460
TI - Intracanal instrumentation and disinfection.
AB - Proper root canal instrumentation and disinfection are key objectives in
endodontic therapy. This paper discusses the current concepts of root canal
instrumentation from a biological and mechanical perspective including the need
for an intracanal medication.
PMID- 22073461
TI - An update on periodontal aetiopathogenesis and clinical implications.
AB - Periodontal disease is the most commonly occurring yet unusual infection in
humans. It is initiated by pathogenic plaque biofilm and characterized by
bacteria-induced inflammatory destruction of tooth-supporting structures and
alveolar bone. Periodontitis remains the most common cause of tooth loss in
adults. Currently, periodontal diseases are also recognized as serious infections
with profound effects on general health. In recent years, new concepts and
discoveries have been made in further understanding of the nature of periodontal
disease and its aetiopathogenesis. These can be well reflected in recognition of
dental plaque as a biofilm; identification and characterization of
periodontopathogens and their virulence factors; recognition of the importance of
host-microbe symbiosis in periodontal health; identification of novel innate host
defence molecules in periodontal tissues; further understanding of the molecular
mechanisms involved in tissue destruction and alveolar bone resorption;
appreciation of the crucial role of host susceptibility in periodontal
pathogenesis; and identification of risk factors and incorporation of risk
assessment in clinical practice. Committed oral health care professionals should
therefore keep abreast of these changing concepts in periodontology and updated
strategies for appropriate evidence-based practice. These views are fundamentally
important for prevention, diagnosis and treatment of periodontal diseases and
peri-implant infections, as well as for long-term maintenance of periodontal
health and implant stability. This paper updates the advances in
aetiopathogenesis of periodontal disease and highlights the relevant clinical
implications and future perspectives.
PMID- 22073462
TI - Health behaviour, metabolic control and periodontal status in medically treated
Chinese with type 2 diabetes mellitus.
AB - OBJECTIVE: Relationships between demographic, health behaviour and diabetes
mellitus (DM) parameters and periodontal status were assessed among a group of
nonsmoking, low-income, middle-aged to elderly medically treated Hong Kong
Chinese subjects with type 2 diabetes mellitus (DM). MATERIALS AND METHODS: 193
Chinese, dentate, type 2 DM patients (56.5 +/- 9.0 years; recorded DM duration
7.0 +/- 5.2 years) attending a charity hospital specialist clinic were surveyed.
Subject demography, periodontal status, Body Mass Index (BMI), DM control, serum
TNF-alpha level and general health behaviour (GHB) were recorded. RESULTS:
Periodontitis was prevalent (80.3% of subjects with PPD > or = 5 mm and 65.8%
subjects with full-mouth mean PAL > 3.0 mm). Mean HbA1c, fasting plasma glucose
and BMI were 7.6 +/- 1.6%, 8.2 +/- 2.9 mmol/L and 25.4 +/- 3.7 kg/m2
respectively. Serum TNF-alpha level was similar to the reported Chinese
population norm. Full-mouth mean clinical attachment level was associated with DM
duration while full-mouth mean probing pocket depth was associated with GHB (p <
0.05). The Gingival Index, was greater in men, and associated with higher HbA1c%
and lower education attainment (p < 0.03). CONCLUSION: In this group of non
smoking, predominantly low-education background, overweight, Chinese subjects
with fairly well-controlled type 2 DM, periodontal attachment loss and
periodontal disease appeared to be associated with DM duration and health
behaviour. Health care providers should consider paying more attention to
improving the GHB of their type 2 DM patients with long DM duration. DM subjects
with a low educational background, having higher HbA1c% and males are more likely
to experience gingival inflammation.
PMID- 22073463
TI - New approaches in parotid gland surgery.
AB - Various skin incision for access to the parotid region are described by different
authors, which differ in extension and localization of the scar. Therefore after
parotidectomy, patients are often left with a retromandibular and cervical
depression. Maintaining normal facial contour may be difficult in parotidectomy
defects, but a good symmetry can and should be achieved when reconstructing the
parotid region with a specific approach. The authors show their experience in
parotid gland surgery using a new skin incision to improve the aesthetic and
functional results and three different techniques the reconstruction of the
parotid region.
PMID- 22073464
TI - Maxillary and mandibular distraction osteogenesis in growing patients: lessons
learned after 14 years and update on indications.
AB - In the past decade, distraction osteogenesis (DO) has become increasingly popular
and has opened new therapeutic perspectives for the treatment of numerous
congenital and acquired craniofacial skeletal anomalies. However, DO mechanisms
still remain unclear and different treatment protocols are applied by different
groups. Here the authors use their 14 years-clinical experience to evaluate DO
parameters such as maxillary and mandibular DO stability over time, especially in
growing patients, DO effects on soft tissues and the correlation between the bone
gain and lengthening capabilities of the device. Based on these data, clinical
indications and treatment protocols for mandibular and maxillary DO are
suggested. The application of DO to the membranous bones of the craniofacial
skeleton has opened a new chapter in the surgical treatment of several congenital
and acquired craniofacial deformities. Based on this experience, the authors
recommend DO indications guidelines in selected cases of hemifacial microsomia,
maxillary hypoplasia and narrow mandible with anterior dental crowding.
PMID- 22073465
TI - Distraction osteogenesis: role and clinical applications in the maxillofacial
region.
AB - Distraction osteogenesis or callostasis is a technique of new bone formation by
gradual separation of bony fragments. The method was first developed for limb
lengthening but recently this process was widely applied to the cranio
maxillofacial bones. The application included unlimited bone lengthening and
reconstruction of segmental defects. Several designs of extra-oral and intra-oral
distraction devices were invented to suit different areas of craniofacial bone.
Nevertheless intraoral distractors have several advantages including minimal
scarring and being less cumbersome. Clinical cases using distraction osteogenesis
as an alternative treatment to conventional surgical procedures for maxillo
mandibular lengthening and reconstruction of alveolar segmental defects after
tumour resection before implant installation are presented and discussed.
PMID- 22073466
TI - Distraction or orthognathic surgery for cleft lip and palate patients: which is
better?
AB - Maxillary deformities of cleft lip and palate (CLP) can be treated by either
conventional osteotomies (CO) or distraction osteogenesis (DO). Which one is
better for CLP patients suffering from a moderate extent of maxillary hypoplasia?
The aim of the study was to evaluate the treatment outcomes of CO and compared
with DO in correction of moderate maxillary hypoplasia. The results showed that
CLP patients receiving DO were more anxious and depressed during the first three
months but became happier in the long-term. The nasalance of DO and CO was found
to be similar. However, on the skeletal stability, DO was shown to be
significantly more stable when compared with CO in the horizontal plane within
the first six months and in vertical plane during the first three months and
between 1-2 year.
PMID- 22073467
TI - Management of sinus surgery complications.
PMID- 22073468
TI - Back and neck care.
AB - Musculoskeletal disorders account for 29.5% of early retirement among dentists.
Most work-related musculoskeletal disorders in Dentistry are spinal. Non-specific
and insidious gradual onset injuries such as prolonged tension and pressure on
muscles, tendons, ligaments, joints and discs in poor posture or alignment
account for more than 80% of commonly seen musculoskeletal disorders that are
often regarded as work-related and repetitive strain injuries, arthritis and
degeneration. Work performance and activities of daily living could be severely
affected. The source and cause of injuries should be tackled head on with
specific but simple self-management programme including understanding of the
cause of symptoms, postural correction and specific exercises.
PMID- 22073469
TI - Anodization increases early integration of Osstem implants in sheep femurs.
AB - BACKGROUND: Spark discharge anodic oxidation forms a porous TiO2 film on the
surface of titanium oral implants, increasing surface roughness and
concentrations of calcium and phosphate ions. In this study, anodic-treated oral
implants were placed in an animal model and analysed using clinical, micro
computerized tomographic (micro-CT) and histometric techniques. METHODS: Pairs of
3.5 mm x 8.5 mm long titanium implants (Osstem Implant Co., Ltd. Seoul, Korea),
with blasted (control) or blasted and oxidized surfaces (test), were placed into
the right femoral condyles of 10 sheep. Animals were sacrificed after 1 month
unloaded healing. Resonant frequency analysis (RFA) was measured in implant
stability quotient (ISQ) using the Mentor II device. Specimens were scanned using
medium resolution micro-CT (Skyscan 1172). Mean percent bone-to-implant contact
(%BIC) was calculated from two images per implant by three different operators,
using Image J software. Inter- and intra-examiner differences were calculated.
Specimens were then embedded in methacrylate and undemineralized ground sections
were digitized. Mean %BIC was measured using Image J at x 20 magnification for
the best-three consecutive threads from the most central section. RESULTS: Mean
micro-CT %BIC was similar for control and test (57.2 +/- 0.05% versus 56.4 +/-
0.03%, p = 0.5). There was considerable inter-examiner variability (interclass
correlation coefficient = 0.44). RFA showed no clinically-detectable difference
between the two groups (control ISQ: 75.2 +/- 4.2; test ISQ: 76.3 +/- 1.7; p =
0.48). However, histometric analysis found a marked and highly statistically
significant difference (%BIC Test 72.5 +/- 8.6%, Control 46.2 +/- 12.1%, p =
0.01). CONCLUSIONS: The novel anodic oxidation technique increased early
ossointegration of rough-surfaced implants by 157%. Neither clinical testing with
resonant frequency analysis nor radiographic analysis using micro-CT had
sufficient resolution to detect this improvement. Whether this gain in early bone
implant contact is clinically significant in the context of early occlusal
loading is the subject of subsequent experiments.
PMID- 22073470
TI - Inhibitory effect of quercetin on periodontal pathogens.
AB - INTRODUCTION: Periodontal disease and associated bone loss can severely hinder
orthodontic treatment. Actinobacillus actinomycetemcomitans (Aa) and
Porphyromonas gingivalis (Pg) are bacteria which are strongly associated with
this type of bone loss. Quercetin, a unique flavonoid, which is found in many
common foods including apples, onions and tea may have a effect against these
bacteria. AIMS: To study the effect of quercetin on the in vitro growth of
periodontal pathogens Aa and Pg. MATERIAL AND METHODS: The antimicrobial effects
were determined in vitro using a broth dilution assay. Aa and Pg were grown to a
density of 10(7) to 10(8) cfu/mL and subsequently incubated with test solutions
of quercetin at different concentrations (0.025 g/mL, 0.05 g/mL, and 0.1 g/ mL).
0.2% chlorhexidine was used as the positive control and 0.9% sodium chloride
(NaCl) as the negative control. Aliquots for the growth assay were taken as soon
as the solutions were mixed, and after 1, 3, 6, and 24 hours of incubation in an
anaerobic chamber for Aa and Pg. Colonies appearing on the blood agar plates were
visually counted after three days for Aa and 5 days for Pg. RESULTS: This study
demonstrated that quercetin is a potent antimicrobial agent. When Aa and Pg were
incubated with quercetin, their growth began to be inhibited at 1 hour. Aa, shows
a transient inhibitory effect for 1 to 12 hours after which the antimicrobial
effect gradually decreasds. With Pg, the effect increased with time and complete
inhibition was achieved with concentrations of 0.1 g/mL, 0.05 g/mL and 0.025 g/mL
by 24 hours. No significant difference was found between the chlorhexidine group
and the quercetin solution after 24 hours of incubation. CONCLUSION: Quercetin
has an inhibitory effect on Aa and Pg.
PMID- 22073471
TI - Sinus lifting: new protocols with nanotechnological implant surfaces.
PMID- 22073472
TI - Special needs dentistry: the next ten years.
AB - There is no doubt that in special needs dentistry, Australasia is one of the
major global players. There is now an accepted scope of practice, accredited
training programs and specialists registered with their local dental boards.
These early achievements reflect the tremendous input provided by the primary
stakeholders, such as the Royal Australasian College of Dental Surgeons. But the
honeymoon is now over. Where will Special Needs Dentistry be in ten years? This
paper discusses the journey so far and where the discipline will be heading.
PMID- 22073473
TI - Evidence-based management of dental injury: case presentations.
PMID- 22073474
TI - Effect of Danshen extract on bone formation.
AB - BACKGROUND: Danshen is a traditional Chinese medicine used for treatment of
cardiac diseases through improving blood circulation. The authors' laboratory
compared the amount of new bone formed in collagen matrix with Danshen extract
with that produced by bone graft and collagen matrix alone. METHODS: Eighteen
bone defects, 5 mm x 10 mm were created in the parietal bone of nine New Zealand
White rabbits. In the experimental group, six defects were grafted with a
collagen matrix with Danshen extract. In the control groups, six defects were
grafted with collagen matrix alone (active control) and six were left empty
(passive control). The animals were killed on day 14 and the defects were
dissected and prepared for histological assessment. Serial sections were cut
across each defect. Quantitative analysis of new bone formation was made on 100
sections (50 sections for each group) using image analysis. RESULTS: A total of
five times more new bone was present in defects grafted with Danshen extract in a
collagen matrix than those grafted with collagen matrix alone. Very little new
bone was formed in the passive control group. CONCLUSIONS: Danshen extract in
collagen matrix has the effect of increasing new bone formation locally and can
be used for bone grafting especially in cases with compromised vascular
responses.
PMID- 22073475
TI - Bacteraemia due to flossing: a cohort study.
PMID- 22073476
TI - A comparison of pain experienced by patients treated with labial and lingual
orthodontic appliances.
AB - There has been a paradigm shift within orthodontics in the use of lingual fixed
appliances compared with the use of labial fixed appliances on the basis of
perceived benefits and acceptance by patients. METHODS: A matched case-control
prospective longitudinal study of adult patients treated in the Orthodontic
Department, Prince Philip Dental Hospital, Hong Kong. Group A consisted of 30
patients treated with lingual orthodontic appliances. Group B consisted of 30
patients treated with labial orthodontic appliances. Data were collected at five
different time points using questionnaire: pre-treatment; one week after the
placement of the fixed appliance, and then at 1-month, 3-months, and 6-months
thereafter. The questionnaire consisted of 15 items, in which 11 items were rated
on a visual analogue scale. RESULTS: Patients' experience of pain decreased over
the study period (p < 0.001); Those treated with lingual appliances reported
significantly greater pain experience than those treated with labial appliances
with respect to tongue pain (p < 0.001). However, those treated with labial
appliances reported experiencing significantly more lip pain (p < 0.01), cheek
pain (p < 0.001), and gum pain (p < 0.05). Patients treated with lingual
appliances reported experiencing pain earlier on than those treated with labial
appliances (p < 0.05). Both groups reported the pain level to be the same
throughout the day (p > 0.05). There was no significant difference in reported
disturbance of sleep or analgesic consumption between the two groups (p > 0.05).
CONCLUSION: Patients treated with both labial and lingual orthodontic appliances
experienced pain during treatment, but this decreased over the treatment period.
Patients treated with lingual appliances experienced more tongue pain whereas
those treated with labial appliances experienced more lip, cheek and gum pain.
Patients treated with lingual appliance reported experiencing pain earlier on
than those treated with labial appliances.
PMID- 22073477
TI - Computer-guided implant rehabilitation of an edentulous patient.
AB - Computer-guided implant treatment is one of the popular options in the
rehabilitation of dentitions in the edentulous situation. Patients should benefit
not only from the advent of precise implant installation, but also the
possibility of restoration of function and aesthetics immediately after the
treatment. This poster presentation illustrated, from the view of the
prosthodontist, the procedures and precautions involved using a clinical case as
an example.
PMID- 22073478
TI - Non-surgical periodontal treatment and lipid levels in diabetic patients.
PMID- 22073479
TI - Nurse advocates: a patient voice.
PMID- 22073480
TI - Research critique of "Registered nurses' attitudes towards the role of the
healthcare assistant".
PMID- 22073481
TI - Excuse me, I don't mean to interrupt you.
PMID- 22073482
TI - Unique opportunity for Kentucky nurses to help children with asthma: the Healthy
Hoops Kentucky program.
PMID- 22073483
TI - ANA recognizes emergency nursing as specialty practice.
PMID- 22073484
TI - Should residents work for free?
PMID- 22073485
TI - Future interns: just fifth year medical students?
PMID- 22073486
TI - The economics of medical education: a dismal science with a dismal prognosis.
PMID- 22073487
TI - Evolving to meet the medical challenges of tomorrow at Saint Louis University.
PMID- 22073488
TI - Money for drugs: should physicians be paid for pharmaceutical development and
clinical investigations?
PMID- 22073489
TI - End of life counseling.
PMID- 22073490
TI - The changing climate of allergy/immunology disorders.
PMID- 22073491
TI - Appropriate allergy testing and interpretation.
AB - In vitro tests for specific IgE allow primary care providers to do allergy
testing, which can be helpful for allergic patients. Diagnostic testing for
allergies is used to determine whether symptoms are caused by IgE antibodies and
exposure to an allergen. The presence of IgE in the absence of symptoms does not
indicate clinical allergy. Nonselective use of large panels of allergens can lead
to false positives and misinterpretation of the results, particularly in food
allergy.
PMID- 22073492
TI - Food allergy: practical considerations for primary care.
AB - Food allergy is increasing in frequency and so is the misunderstanding of what
constitutes a true food allergy. This article will review basic concepts of
classic IgE reactions to food as well as discuss some that occur through other
mechanisms. The importance of a detailed history is emphasized. Information is
provided on optimal evaluation, interpretation of results and treatment of these
potentially life-threatening reactions. Finally the role an allergist can play in
co-management is discussed.
PMID- 22073493
TI - Primary immunodeficiency update and newborn screening.
AB - Most newborns with severe combined immunodeficiency (SCID) appear normal.
Hematopoietic stem cell transplant within the first three months of life
increases survival and decreases medical costs. Newborn screening measuring T
cell receptor excision circles (TREC), a by product of T cell maturation, offers
the opportunity for early diagnosis before infections and failure to thrive
occur. The Secretary of Health and Human Services recently recommended addition
of TREC to newborn screening, enabling prompt diagnosis and effective management
of SCID.
PMID- 22073495
TI - Approach to patients with eosinophilia.
AB - Eosinophilia is commonly seen in medical practice and can underlie a variety of
medical conditions. Eosinophilia, defined as peripheral blood eosinophil counts
greater than 500 per microliter, may vary from mild-severe. Idiopathic
hypereosinophilic syndrome has been identified as an unusual cause of moderate to
severe eosinophilia. Progress in treatment of this condition has accompanied
greater understanding about the basic biology of eosinophils. This review will
highlight the approach to patients with eosinophilia, along with associated
conditions and syndromes.
PMID- 22073494
TI - Angioedema: an overview and update.
AB - Angioedema is an increasing cause of hospitalizations in the United States. This
syndrome presents with non-pitting, asymmetric swelling of the face, lips,
tongue, larynx, genitalia, and extremities, although any part of the body can be
involved. Common causes of angioedema include allergic reactions and ACE
inhibitors. Hereditary angioedema is a rare form of angioedema that can be
diagnosed by screening with a C4 level. In 2009, three new treatments for
hereditary angioedema were approved for use in the United States, revolutionizing
management of this rare disease.
PMID- 22073496
TI - The impact of pharmacogenetics in the treatment of allergic disease and asthma.
AB - Personalized medicine includes the application of genomic information in
predicting disease and therapeutic response to ultimately individualize patient
care. Pharmacogenetics is key in achieving true personalized care. However, the
clinical applicability of genetic testing to "everyday medicine" is yet to be
realized. This paper will discuss areas in allergic/inflammatory disease that
have been impacted by pharmacogenetic research and how this application may be
brought from the "bench to the bedside."
PMID- 22073497
TI - Post traumatic stress disorder: part II.
PMID- 22073498
TI - Prevalence of methicillin resistant Staphylococcus aureus in nasal samples from
preclinical second-year medical students.
AB - In other studies, around 40% of preclinical medical students were colonized with
Staphylococcus aureus but none were MRSA. This study was conducted to determine
the level of S. aureus and MRSA in the nares of second year medical students.
Over 47% of the student samples contained S. aureus. Five percent of S. aureus
isolates possessed the mecA gene. Medical students in this study had a higher
percent colonization with S. aureus and MRSA than previous studies.
PMID- 22073499
TI - Syndrome of inappropriate antidiuretic hormone due to multiple myeloma.
AB - The syndrome of inappropriate antidiuretic hormone secretion (SIADH) has been
linked to many malignancies. However, literature noting multiple myeloma as a
possible cause of SIADH is lacking. Although there is a plethora of literature
reporting multiple myeloma induced spurious hyponatremia, our review revealed
only one case report, in 1983, describing multiple myeloma induced SIADH. Here we
report another case of multiple myeloma induced SIADH, where secondary causes of
SIADH, including pseudohyponatremia, were ruled out.
PMID- 22073500
TI - Debt crisis: opportunity for nursing action.
PMID- 22073501
TI - Targeting memory improvement in assisted living: a pilot study.
AB - This pilot study tested an intervention designed to improve memory for assisted
living (AL) residents. Seven residents in one Midwestern AL facility participated
in a six-session memory program based on qualitative research that identified
typical memory challenges of residents (e.g., remembering names, schedules, and
appointments). Scores on memory self-efficacy (the Memory Complaint in Age
Associated Impairment) and performance (Rivermead Behavioral Memory Test)
measures were compared before and after the intervention. Self-efficacy improved
significantly after the program (z = 2.37, p = .018) for remembering names, phone
numbers, lists of items, and facts. Increases in actual memory performance were
not statistically significant. However, three out of seven participants (43%)
improved in recalling first and last names. Ongoing testing on larger samples
with a control group design is needed to verify effects and determine any effects
on daily functioning. This study suggests that cognitive interventions targeting
frail elder populations are feasible to provide to older adults in AL.
PMID- 22073502
TI - Function-focused care and changes in physical function in Chinese American and
non-Chinese American hospitalized older adults.
AB - Function-focused care (FFC) is a rehabilitative philosophy of care with which
nurses help patients engage in activities of daily living and physical activity
with the goal of preventing avoidable functional decline. This prospective,
observational study described the degree of FFC provided by nursing staff to
Chinese American (n = 32) and non-Chinese American (n = 43) older adults in
medical-surgical units of an urban hospital. In both groups, only a few ADLs were
a focus of FFC. Loss of physical function occurred, and physical function did not
return to baseline by discharge in both groups; however, FFC was associated with
less decline. Results suggest that hospitalized elders, both Chinese American and
non-Chinese American, can benefit from nurse-led FFC. FFC may help minimize
functional decline and decrease the use of postacute care rehabilitation. The
gerontological rehabilitation nurse can play an essential role, guiding a
function-focused approach throughout the hospital stay, including with the
transitional care plan.
PMID- 22073503
TI - The Mauk Model for Poststroke Recovery: assessing the phases.
AB - Despite the estimated 795,000 strokes occurring in America annually (American
Heart Association, 2009), few practical models guide nurses when they provide
quality care to stroke survivors. The Mauk Model for Poststroke Recovery is a
theoretical framework concerning six phases of poststroke recovery. The purpose
of this article is to discuss a pilot study detailing the ways in which nursing
students used the Mauk model to identify these phases of stroke recovery via
patient case examples. A sample of 30 volunteer nursing students read five case
studies and determined the phase of stroke recovery. Descriptive statistics about
sample characteristics and frequencies were calculated using SPSS 14 for Windows.
Nearly 57% (n = 17) of the students rated all of the case studies to the correct
phase. Ways in which the model might be clarified and used as a valuable tool
when assessing the phase of stroke recovery are described.
PMID- 22073504
TI - Biomechanics and injury risk assessment of falls onto protective floor mats.
AB - This study investigated the biomechanics of simulated sideways falls from various
bed heights onto two types of protective floor mats. This article presents
biomechanical injury criteria for evaluating the probability of sustaining
injuries to the head, thorax, and pelvis. A side-impact dummy was raised to drop
heights of 45.7 cm, 61.0 cm, and 76.2 cm and released. Two types of protective
floor mats were evaluated and compared with impacts experienced on an unpadded,
rigid floor. Results of the study demonstrated a high risk (> 50%) for serious
head injury for falls onto an unpadded, rigid floor at 61.0-cm and 76.2-cm drop
heights. Falls onto floor mats demonstrated significant reductions in injury risk
to the head and pelvis for all drop heights. Thoracic injury risk was
significantly reduced for all but the highest drop height.
PMID- 22073506
TI - Managing change in today's healthcare world.
PMID- 22073505
TI - Teaching adults SAFE medication management.
AB - One in nine visits to the emergency department is the result of a drug-related
adverse event and is possibly preventable (Zed et al., 2008). The rehabilitation
nurse has the opportunity to teach adults a comprehensive medication management
plan that will help reduce medication errors. Most patients have minimal
medication experience or instruction; this article documents the effectiveness of
using a S = systematic, A = accurate, F = functional, and E = effective
instructional methodology to help patients learn about their medications. The
methodology helps rehabilitation nurses teach the average patient about handling,
absorbing, and implementing the information. This article presents detailed
instruction about the salient points of the SAFE instructional program. Several
figures, a checklist, and pictures demonstrate the techniques utilized.
Prevention of medication errors is emphasized throughout.
PMID- 22073507
TI - Defensive medicine: what is it?
PMID- 22073508
TI - Clarification on new title identification requirement.
PMID- 22073509
TI - ICD-10/5010: move it or lose it!
PMID- 22073510
TI - 5010: what your clearinghouse should be doing to prepare.
PMID- 22073511
TI - Accountable care organizations: a real change, maybe.
PMID- 22073512
TI - EHR adoption: help is here for your decision-making process.
PMID- 22073513
TI - EHR update: progress on meaningful use requirements.
PMID- 22073514
TI - HIT and the future of healthcare.
PMID- 22073515
TI - Encryption, HIPAA and your practice.
PMID- 22073516
TI - Mediastinal extragonadal seminoma presenting as severe back pain in a young male.
AB - Back pain is a common complaint in the outpatient setting. The etiology is most
often benign but it can be a serious, even life-threatening problem. This report
describes a 33-year-old Caucasian male who presented with severe upper back pain
for three weeks that did not respond to symptomatic outpatient treatment. Imaging
studies revealed a mediastinal mass and lymphadenopathy with superior vena cava
and tracheal compression. Pathology showed a poorly-differentiated malignant
neoplasm consistent with seminoma. No evidence of primary testicular tumor was
found. His atypical presentation of back pain was thus consistent with an
extragonadal seminoma in the mediastinum.
PMID- 22073517
TI - Multiple myeloma with hypercalcemia and chloride resistant metabolic alkalosis.
AB - This report describes a novel presentation of chloride resistant metabolic
alkalosis in a patient with hypercalcemia related to Multiple Myeloma (MM). A 51
year-old male with newly diagnosed MM presented with widespread skeletal
involvement, calcium (Ca(+2)) of 18 mg/dL, phosphorous (PO4) of 6 mg/dL, serum
bicarbonate (HCO3) of 37 mEq/L, and serum creatinine (Cr) of 2.6 mg/dL Other
causes of metabolic alkalosis such as vomiting, diuretics, alkali ingestion,
mineralocorticoid excess and hypokalemia were excluded. Hypercalcemia and
metabolic alkalosis were only partially corrected after rehydration, calcitonin
and steroids. Subsequent treatment with zoledronic acid resulted in resolution of
hypercalcemia and correction of metabolic alkalosis.The chloride resistant
component of metabolic alkalosis was most likely related to extensive release of
Ca(+2), carbonate and phosphate from bone by activated osteoclasts with inhibited
osteoblastic activity. The additional reduction in glomerular filtration rate due
to MM, contributed to a triad mimicking Calcium-Alkali syndrome.
PMID- 22073518
TI - Back to basics, medication safety at the bedside: a nursing administrator's
perspective.
PMID- 22073519
TI - Making all your professional dreams come true: the Center for Professional
Excellence at Lehigh Valley Health Network.
PMID- 22073520
TI - More than a thank you: the DAISY Award for Extraordinary Nurses.
AB - The DAISY Award for Extraordinary Nurses was established to thank the nursing
profession. This article describes the DAISY Award and its positive effects on
retention at an urban hospital in Philadelphia.
PMID- 22073521
TI - Your environment, your health.
PMID- 22073522
TI - Pathway to excellence: creating a practice environment that makes a difference.
PMID- 22073523
TI - We rise....in the face of adversity.
PMID- 22073525
TI - Empowerment: healthcare professionals' and community members' contributions.
AB - Healthcare disparities for minorities are well reported and related to
individual, provider and system characteristics. This paper reports a meta
synthesis of "empowerment with Hispanic and Latino people. This meta-synthesis
utilized Noblit and Hare's meta-ethnographic method (1988) examining seven
qualitative studies to discover strategies of empowerment by healthcare
professionals for Hispanic/Latino people. Three themes were disclosed: strategies
for empowerment, sharing power, and growth of the Hispanic/Latino culture in the
United States. These themes expand existing conceptualizations of ways in which
health care professionals and community members can work in a participatory
research approach to improve healthcare outcomes as well as empowering the
community.
PMID- 22073524
TI - Picuriste/injectionist use among Haitian immigrants in Miami-Dade County,
Florida: implications for HIV-related theory.
AB - OBJECTIVES: We explore the risks reported to picuriste (injectionist) use in a
non-probability sample of Haitian immigrant residents of Miami-Dade, Florida,
using a mixed method approach. Picuristes typically have no formal medical
training, and may use non-sterile needles. METHODS: Face to face semi-structured
interviews were conducted of picuristes (n = 10) and picuriste users (n = 25). We
sought to corroborate the qualitative findings by fielding a survey based on the
interviews in a community-based sample of 205 Haitian immigrants. RESULTS: The
findings from the interviews indicate picuriste injections do not adhere 100% to
established standards for safe injections, and may pose health risks that are
similar to those that exist for injection drug users. Yet, of the survey
respondents (n = 205), 17.6% reported obtaining picuriste injections. CONCLUSION:
Our findings shed light on a normally hidden cultural health behavior, enhancing
our understanding of picuriste practice and use among Haitian immigrant residents
of Miami-Dade County, Florida. We suggest that medical care must be delivered in
a culturally competent, culturally sensitive manner, with open dialogue between
physician and patient regarding health beliefs and practices.
PMID- 22073526
TI - Academic partnerships and key leaders emerging from communities in the lower
Mississippi Delta (LMD): a community-based participatory research model.
AB - Collaboratively, the nutritional health problems of the Lower Mississippi Delta
(LMD) region were examined and opportunities identified for conducting research
interventions. To combat the nutritional health problems in the LMD, community
residents yielded to a more comprehensive and participatory approach known as
community-based participatory research (CBPR). Community residents partnered with
academic researchers and other organizational entities to improve the overall
quality of diet and health in their respective communities using CBPR. The
collaborative work in the LMD focused on interventions conducted in each of three
specific communities across three states: Marvell, Arkansas (Marvell NIRI), and
its surrounding public school district; Franklin Parish in Louisiana (Franklin
NIRI); and the city of Hollandale, Mississippi (Hollandale NIRI). This paper
examined some of the research interventions conducted in Franklin, Hollandale,
and Marvell NIRI respectively, how leadership emerged from each of these
communities, and lessons learned as a result of the CBPR model.
PMID- 22073527
TI - Assessing knowledge of Sudden Infant Death Syndrome among African American women
in two Mississippi communities.
AB - PURPOSE: Sudden Infant Death Syndrome (SIDS) is the third leading cause of
Mississippi infant mortality with nonwhite infants dying of SIDS at two to three
times the rate of white infants. The purpose of this study was to assess the
level of SIDS related knowledge among African American women in two geographical
areas of Mississippi and gain insight on improving methods for SIDS education and
outreach. DESIGN: An African American faculty was contracted to conduct six focus
groups among African American women (n = 57) in two geographical areas of the
state. FINDINGS: Themes were common among participants from both the metropolitan
and rural Delta communities. Participants in both geographical areas were
familiar with [or at least had previously heard] the term SIDS. Unfortunately,
there was inconsistency among participants as to the source of information. Given
the inconsistencies, it is not surprising that overall knowledge related to SIDS
was incomplete and in some cases inaccurate. DISCUSSION/CONCLUSION: Some
information regarding SIDS is available in the various communities.
Unfortunately, the information is not fully understood and is not consistently
distributed resulting in a lack of knowledge among these women and thus, a
continued risk for high rates of SIDS events in Mississippi.
PMID- 22073528
TI - It ain't what you say, it's how you say it: linguistic and cultural diversity in
the classroom.
AB - The disparity between the cultural and linguistic diversity of the teaching
population and the student population continues to grow as teacher education
programs enroll and graduate primarily white teacher candidates (83.7%). At the
same time, the diversity of the K-12 student body has increased with 65% of
public school students being from culturally and linguistically diverse
backgrounds (National Center for Education Statistics, 2007). This chasm between
the diversity of the teaching force and student population is of concern as many
teachers report that they do not have the cultural knowledge and experience of
working or living in diverse environments, yet will be faced with teaching a very
diverse student population. Hence, the need for teacher candidates and current
teachers to be explicitly taught the skills needed to successfully teach diverse
student populations is urgent. In this article, we explore the following
phenomena: how linguistic and cultural diversity is regarded in teacher education
programs, as well as teacher candidates' and current K-12 teachers' dispositions
towards students who do not share their cultural backgrounds or language
(including those who vary in their dialects). Finally, we will present strategies
that teacher educators can use to embrace and empower culturally and
linguistically diverse (CLD) teacher candidates, as well as prepare teacher
candidates to teach diverse student populations.
PMID- 22073529
TI - Spies, vaccines and violence: fake health campaigns and the neutrality of health.
PMID- 22073530
TI - Keeping a place for health; the role of health professionals.
PMID- 22073531
TI - The future of Trident.
PMID- 22073532
TI - Evaluation of medical supplies essential for the care of survivors of sex- and
gender-based violence in post-conflict Eastern Democratic Republic of Congo.
AB - The fundamental concepts set forth in the formal Post-Conflict Needs Assessment
(PCNA) initiative created by the United Nations Development Group have the
potential to be adapted to assist local groups in documenting the needs of and
the provision of health care to survivors of sex- and gender-based violence
(SGBV) in Eastern Democratic Republic of Congo (DRC). In partnership with
Congolese health care providers, we took the first step in advocating for a
locally-adapted and focused needs assessment through the development and
administration of surveys to providers in the South Kivu Province, DRC. The
content of the surveys was largely based on lists of medical supplies deemed
essential for reproductive health and for the care of survivors by the
Reproductive Health Response in Crises Consortium. The providers in both urban
and rural settings considered many of the supplies identified on the surveys
necessary for the care of survivors (84%; p < 0.05) but considered few accessible
(26%; p < 0.05) in their particular clinical settings. Providers also felt that
the existing list of supplies was inadequate to meet the needs of survivors, and
also that providers needed ongoing training to improve supply procurement and
management, more knowledge of the needs of male survivors of SGBV, and more
educational opportunities to improve the quality of care to survivors. Given the
deficiencies expressed by providers in the surveys, this study demonstrated a
critical need for a locally-adapted and focused needs assessment to improve
health services to survivors.
PMID- 22073533
TI - An agent-vector-host-environment model for controlling small arms and light
weapons.
AB - Armed violence is a significant public health problem. It results in fatal and
non-fatal injuries and disrupts social and economic processes that are essential
to the health of individuals and communities. We argue that an agent-vector-host
environment model can be helpful in understanding and describing the availability
and misuse of small arms and light weapons. Moreover, such a model can assist in
identifying potential control points and in developing mitigation strategies.
These concepts have been developed from analogous vector control programs and are
applied to controlling arms to reduce their misuse. So-called 'denormalization'
and 'de-legitimization' campaigns that focus on the vector - including the
industry producing these commodities - can be based on the experience of public
health in controlling tobacco use and exposure. This model can assist health
professionals, civil society and governments in developing comprehensive
strategies to limit the production, distribution and misuse of small arms and
light weapons.
PMID- 22073534
TI - [Designer drugs in Finland].
AB - Designer drugs are synthetic psychotropic drugs which are marketed as "legal
drugs". Their emergence, rapid spreading and unpredictable effects have
challenged the health and substance abuse care. The slow process of
classification of an abusable drug has provided too many possibilities for
spreading the designer drugs. Once a certain substance receives an illegal drugs
classification, dealers and users usually move to another, slightly different
molecule that is still legal. In Finland, the Narcotics Act has been amended to
the effect that the addition of a new substance to the illegal drug list does not
require an amendment to the law.
PMID- 22073535
TI - [First-line bevacizumab in ovarian cancer].
AB - Based on encouraging Phase II data, two randomized phase III trials (GOG218 and
ICON7) aimed at showing a progression-free survival (PFS) benefit of bevacizumab
combined with first-line paclitaxel and carboplatin, followed by maintenance
bevacizumab, in ovarian cancer. The median PFS increased to four and two months,
respectively, as compared to chemotherapy alone. However, these two trials left
important questions like proper patient selection, optimal dose level and length
of maintenance phase, unanswered. Neither do we know if the quite modest
progression-free survival benefit will translate into an overall survival
benefit. Consequently, first-line bevacizumab in ovarian cancer cannot at present
be recommended.
PMID- 22073536
TI - [Mechanical support for the heart and circulation in adults].
AB - In severe, acute or chronic heart failure, the heart and the circulation can be
mechanically supported, if the patient's life is in danger despite maximal drug
therapy, and other cardiologic or heart surgery treatment options or a suitable
heart transplant are not available. Long-term prognosis of those treated with
mechanical support has improved in the 2000's. This is based on technically
advanced equipment, improved treatment practices, properly targeted patient
selection and more accurate timing of therapy.
PMID- 22073537
TI - [Application of extracorporeal membrane oxygenation therapy (ECMO) in the
treatment of severe adult respiratory distress syndrome].
AB - Extracorporeal oxygenator is used in severe respiratory and/or circulatory
failure that is intractable to other therapies. In ECMO therapy, poorly
oxygenated blood is pumped through an extracorporeal oxygenator and directed back
to the patient's circulation. The therapy can be utilized to maintain the
homeostasis of the organ system during circulatory or respiratory failure
resulting from a disease. Due to risk of complications, ECMO should be used with
caution on carefully selected patients. For a severely ill patient ECMO can be
life-saving when started early.
PMID- 22073538
TI - [Fruit fly--genetically excellent model animal for research].
AB - Drosophila melanogaster, i.e., the fruit fly is a familiar nuisance in late
summer. For those living in a country plagued by myriads of flying insects, it is
difficult to think any other model animal as an ethically more suitable research
tool. Due to its genetic manipulability, the fruit fly is an excellent research
model, but mainly due to lack of experience, has been utilized only to a small
extent in medical research in Finland. For instance, the readily available
transgenic RNAi strains have made functional, genome-wide screening possible in a
complete organism or in a designated tissue.
PMID- 22073539
TI - [Information retrieval and reading routines in medical students].
AB - For a physician working as an expert continuous following of scientific
literature is required. We elucidated the competence of 5th and 6th year students
for the development of expertise. The mean time spent on reading medical
literature was seven hours a week. The most important source of information for
the students were websites with short quidelines and introductions written in
students' own language. International original articles or English textbooks were
not so much appreciated and seldom read. The present curricula in our medical
schools do not encourage the student to search and acquire knowledge wider than
their patients themselves do.
PMID- 22073540
TI - [Idiopathic thrombocytopenic purpura (ITP) in children].
AB - Thrombocytopenia associated with ITP in children usually returns to normal within
a couple of months. Drug therapies are required to treat hemorrhages in
approximately one fifth of the patients. Intravenously infused immunoglobulin is
effective more rapidly than corticosteroid therapy, but the treatment response is
mostly temporary. Thrombocytopenia is alleviated by splenectomy in approx. 70% of
chronic ITP patients. In the absence of primary disease causing thrombocytopenia,
splenectomy has to be contemplated, if thrombocytopenia impairs the quality of
life for more than one year. New drug therapies such as rituximab and growth
factor products increasing thrombopoiesis may decrease the number of
splenectomies.
PMID- 22073541
TI - [Treatment of recurrent dislocation of the temporomandibular joint with botulinum
toxin: an alternative approach].
AB - Repeated injections of botulinum toxin carried out at regular intervals are an
effective means to treat otherwise treatment-resistant recurrent dislocation of
the temporomandibular joint. The treatment can be performed simply and safely
without electromyography (EMG) or imaging control by a specialist having
expertise in the anatomy of the region. In a patient described by us, a
previously treatment-resistant condition has been successfully treated in this
way for three years.
PMID- 22073542
TI - [Phytosymbiosis of aerobic methylobacteria: New facts and views ].
PMID- 22073543
TI - [The effect of different heat influences on composition of membrane lipids and
cytosol carbohydrates in mycelial fungi ].
PMID- 22073544
TI - Evidence for a sodium-dependent proline and glycine-betaine uptake in the
cyanobacterium Nostoc muscorum.
AB - The cyanobacterium Nostoc muscorum is able to utilized proline and glycine
betaine as a nitrogen source under unstressed growth conditions. This
cyanobacterium when grow in modified Chu No. 10 medium (without Na+) unable to
utilized proline and glycine-betaine as a nitrogen source. Spontaneously
occurring mutant clones defective in Na+ transport (Na+-R) were isolated and
analyzed for proline and glycine-betaine utilization. The mutant phenotype showed
normal heterocyst frequency and nitrogenase activity even in the medium
containing 1 mM proline or 1 mM glycine-betaine, indicates the role of Na+ for
proline/glycine-betaine uptake. The Na+-R mutant showed 100% survival at pH 11
and was simultaneously able to uptake and utilize proline/glycine-betaine at
higher alkaline pH. This indicates that proline and glycine-betaine uptake
systems are more efficient at higher alkaline pH. Since, the hypersaline
environments are rich in Na+ contents and have alkaline pH, therefore it is
suggested that the origin and evolution of specific compatible solutes may not
depend only on the osmoregulatory role they play, but also on the other
ecological factors operating simultaneously in the organism's niche.
PMID- 22073545
TI - Adhering ability of Stenotrophomonas maltophilia is dependent on growth
conditions.
AB - The growth conditions are known to influence the bacterial adhesion to different
kinds of surfaces. In the present study the adhering ability of Stenotrophomonas
maltophilia, on growth in nutrient rich media (Tryptic Soy Broth (TSB)) and
minimal media (Luria Bertani (LB)) was checked by viable cell count and
spectrophotometric method. TSB grown S. maltophilia showed higher adhesion
compared to bacteria grown in LB broth, to both biotic and abiotic surfaces.
However, when bacteria were grown in LB broth supplemented with different
concentrations of glucose, under aerobic conditions, the bacteria grown at lower
glucose concentration (2 gm/l) showed maximum adhesion to abiotic surfaces
(polystyrene microtiter plate) compared to biotic surfaces (mouse trachea, mouse
tracheal mucus and HEp-2 cells line). Maximum adhesion to biotic surfaces was
seen with cells grown at 4 gm/l of glucose concentration. On the contrary if the
cell was grown under microaerophilic conditions maximum adhesion to abiotic and
biotic surfaces was achieved with bacteria grown at 1 gm/l and 2 gm/l of glucose
concentration respectively. A negative correlation was observed between glucose
concentrations and pH of media, the latter declined faster under microaerophilic
conditions as compared to aerobic condition.
PMID- 22073546
TI - [Resting forms of Sinorhizobium meliloti ].
PMID- 22073547
TI - Isolation and characterization of some moderately halophilic bacteria with lipase
activity.
AB - Lipases are an important class of enzymes which catalyze the hydrolysis of long
chain triglycerides and constitute the most prominent group ofbiocatalysts for
biotechnological applications. There are a number of lipases, produced by some
halophilic microorganisms. In this study, some lipase producing bacteria from
Maharlu salt lake located in south of Iran were isolated. All isolates were
screened for true lipase activity on plates containing olive oil. The lipase
activity was measured using titrimetric methods. Among thirty three isolates,
thirteen strains demonstrating orange zone around colonies under UV light, were
selected for identification using the molecular methods and some morphological
characteristics. The bacterium Bacillus vallismortis BCCS 007 with 3.41 +/- 0.14
U/mL lipase activity was selected as the highest lipase producing isolate. This
is the first report of isolation and molecular identification of lipase producing
bacteria from Maharlu lake.
PMID- 22073548
TI - Evaluation of the relative cell surface charge by using microbial adhesion to
hydrocarbon.
AB - A simple and rapid method, Microbial adhesion to hexadecane, for estimating the
cell surface charge is proposed. This method is based on the determination of
cell affinity to hexadecane at low ionic strength and at high ionic strength. The
difference between these two affinities can provide the relative cell surface
charge. The application of this method for Staphylococcus aureus and Escherichia
coli show that the profile of surface charge evolution as a function ofpH was
similar to these obtained by microelectrophoresis method.
PMID- 22073549
TI - Heterogeneity in the ITS of the ribosomal DNA of Pyrenophora graminea isolates
differing in xylanase and amylase production.
AB - Xylanase and amylase have gained increasing interest because of their various
biotechnology applications. In this research, the restriction of PCR-amplified
internal transcribed spacers (ITS) of ribosomal DNA (rDNA) was used to confirm
the genetic variation among 22 isolates of Pyrenophora graminea differing in
their xylanase and amylase production. The fingerprints generated from the six
restriction digestions of the rDNA ITS region showed high levels ofintraspecific
variation within the P. graminea population. Neighbour-Joining diagram, based on
Nei's genetic distances, showed that isolates formed two phylogenetic groups. No
apparent association could be observed between xylanase and amylase production
and genetic diversity among the twenty-two isolates.
PMID- 22073550
TI - [Role of the snorA gene in nogalamycin biosynthesis by strain Streptomyces
nogalater Lv65 ].
PMID- 22073552
TI - [Macrokinetics of microbial growth and decline in soil ].
PMID- 22073551
TI - [Molecular polymorphism of alpha-galactosidase MEL genes of Saccharomyces yeasts
].
PMID- 22073553
TI - [Outer membrane nanovesicles of gram-negative bacteria Aeromonas hydrophila and
Aeromonas salmonicida ].
PMID- 22073554
TI - [Fossilization of the cells of natronophilic endoevaporite cyanobacterium
Euhalothece natronophila in a modelling system ].
PMID- 22073555
TI - [Novel ultramicrobacteria, strains NF4 and NF5, of the genus Chryseobacterium:
Facultative epibionts of Bacillus subtilis ].
PMID- 22073556
TI - [Phylogenetic composition of bacterial communities in small boreal lakes and
ombrotrophic bogs of the upper Volga basin ].
PMID- 22073557
TI - Studies on mineral phosphate solubilization by cyanobacteria Westiellopsis and
Anabaena.
AB - Two diazotrophic cyanobacteria, Westiellopsis prolifica and Anabaena variabilis
were evaluated for elucidating the possible mechanism of mineral phosphate
solubilization. Phosphate starved cyanobacteria evaluated for the presence of
organic acids, extracellular compounds or enzymes that might have been produced
and promoted the mineral phosphate solubilization with Mussorie Rock Phosphate
and Tricalcium Phosphate as substrates. Both the cultures did not reveal
production of organic acids throughout the incubation period when checked for
decrease in pH of the media and thin layer chromatography Thin layer
chromatography of culture filtrates showed the presence of hydrocarbon like
compound. Further analysis of the culture filtrates with gas liquid
chromatography, a single peak near to the retention time of 7.6 was observed in
all extracts of culture filtrates irrespective of phosphate source. UV-visible
spectra of culture filtrates revealed the absorption maxima of 276 nm. Gas
Chromatographic-Mass Spectrometric analysis of culture filtrates showed most
intense peak in the electron impact (EI) ionization was at m/z 149 and molecular
ion peaks at m/z 207 and 167, inferring the presence of phthalic acid. Among the
mechanisms in mineral phosphate solubilization, it was evident that these
cyanobacteria used phthalic acid as possible mode of P solubilization.
PMID- 22073558
TI - [Compositions of microbial communities in sulfide nickel ore waste piles ].
PMID- 22073559
TI - [Hydrophobic and donor-acceptor properties of the surface of Warnerin-sensitive
or -resistant staphylococcus cells ].
PMID- 22073560
TI - [Microbial communities of water column of Lake Radok, East Antarctica, dominated
by abundant actinobacterium "Candidatus Planktophila limnetica"].
PMID- 22073561
TI - [Pathophysiology of peripheral airway obstruction underlying COPD].
PMID- 22073562
TI - [Concept of COPD, from past to the present].
AB - The clinical concept of COPD initially started in conjunction with American
Emphysema and British Bronchitis in CIBA Guest Symposium in 1958. JC Hogg, et al.
recognized the peripheral airways as the major site of airflow obstruction in
COPD in 1968. Thirty-six years later in 2004, JC Hogg, et al. described the
pathological nature of small-airway obstruction in COPD. The GOLD project
provided state-of-the-art information about COPD in 2001, in which it is stated
that the chronic airflow limitation characteristic of COPD is caused by a mixture
of small airway disease and parenchymal destruction. Cigarette smoke may
accelerate the aging of lung or worsen aging-related events in lung by defective
resolution of inflammation. Accelerated decline in lung function is recognized to
occur in asthma, especially in those with asthma who smoke. With increasing age,
there was a greater increase in the proportion of patients with overlapping COPD
and asthma.
PMID- 22073563
TI - [Epidemiology of COPD in Japan].
AB - The prevalence of COPD in Japan is revealed to be 8.6 % which is almost the same
as foreign countries. However, there are many undiagnosed COPD patients. More
than half of undiagnosed COPD patients go regularly to medical institution with
other diseases. Among the patients who are 40 or more and go clinics with non
respiratory diseases, the prevalence of COPD is 8.6 to 10.3 %. If they have
smoking history or some respiratory symptoms, the prevalence of COPD is 22 %. In
Japanese reports, atherosclerosis is detected in 73.8 % of COPD patients, and the
prevalence of COPD is high in heart failure, ischemic heart failure and liver
diseases. The effort to decrease the mortality of COPD in Japan is necessary.
PMID- 22073564
TI - [Guidelines for the diagnosis and management of chronic obstructive pulmonary
disease: 3rd edition].
AB - The third edition of COPD guidelines of the Japanese Respiratory Society can
provide clinicians with practically applicable information covering all the main
points such as definition, stage classification and severity, treatment and
management, COPD with asthma, and clinical care system. The guidelines are clear
and useful in the diagnosis and management for COPD as complex disease or
syndrome.
PMID- 22073565
TI - [Cigarette smoking is the most important causal factor for developing chronic
obstructive pulmonary disease (COPD)].
AB - Cigarette smoking is the most important causal factor for developing chronic
obstructive pulmonary disease(COPD). On the other hand, a substantial proportion
of COPD cases suffer from obstructive disorder by other causes than smoking,
especially among younger persons, females, and residents of developing countries.
There are evidences that several rare genetic syndromes(such as alpha 1
antitrypsin deficiency) and occupational exposures as causes of COPD.
Environmental tobacco smoke, biomass smoke, and dietary factors are likely causes
of COPD, although their contribution is much less compared to active smoking.
Smoking during pregnancy may also pose a risk for the fetus, by affecting lung
growth and development in uterus. The quicker the smoking cessation is achieved,
the more improvements in the lung functions the COPD patients can obtain. Smoking
should be avoided as soon as possible in all the COPD subjects and those who are
at risk for developing COPD.
PMID- 22073566
TI - [Neutrophils and macrophages related to the pathogenesis and disease development
of chronic obstructive pulmonary disease by the inflammatory reaction].
AB - Chronic obstructive pulmonary disease (COPD) is a chronic airway disorder
characterized by obstructive airflow limitation which is not completely
reversible with treatment. Inflammatory changes in the peripheral airways,
especially those with the diameter less than 2mm (so-called small airway disease)
have been speculated to be initial steps of COPD. And so it must be quite clear
that neutrophils and macrophages play an essential role in the pathogenesis of
these lesions. Studies with bronchoalveolar lavage demonstrated an increase in
neutrophil numbers and the neutrophil chemoattractant interleukin-8. Recent
studies demonstrated that neutrophils and macrophages are increased and contain a
variety of proteases, which are involved in cell infiltration and activation.
Studies with gene-engineered animals and anti-cytokine treatment will facilitate
better understanding the role of neutrophils and macrophages, and eventual novel
therapy.
PMID- 22073567
TI - [Cytokines and proteases involved in pathogenesis of COPD].
AB - COPD is characterized by persistence of chronic inflammation in small airways and
alveoli. Macrophages, neutrophils, and a specialized subset of T lymphocytes
orchestrate the mild inflammation. This article focuses on humoral factors such
as cytokines and chemokines that recruit these inflammatory and immune cells to
the lungs, and proteases/antiproteases that ultimately cause structural
derangement in the terminal respiratory zones. In addition to the classical
protease and antiprotease imbalance hypothesis, alveolar homeostasis abnormality
that comes from imbalance of lung constitutional cell apoptosis and regeneration
may play a role in emphysema development. Also, autoimmunity to elastin
degradation products may take part in the disease.
PMID- 22073568
TI - [Roles of apoptosis, cell senescence, and DNA damage in the pathogenetic
mechanism of COPD].
AB - Pulmonary emphysema is a dynamic phenomenon involving not only the gradual
destruction of extracellular matrix by excess of proteases, but also apoptosis,
cell proliferation, and senescence. Cellular proliferation compensates for
enhanced alveolar cell apoptosis whereas cell senescence caused by cigarette
smoking and increased cell turnover halts cell proliferation, tipping the balance
toward apoptosis. As a result, alveolar cells gradually disappear and
emphysematous lesions advance. At the same time, cellular senescence causes
chronic inflammation through enhanced production of proinflammatory cytokines.
Recent findings suggest that DNA damage (double strand breaks) underlies the
molecular mechanisms of apoptosis, cell senescence, and chronic inflammation in
emphysematous lungs.
PMID- 22073569
TI - [Genetics of chronic obstructive pulmonary disease].
AB - Chronic obstructive pulmonary disease (COPD) is a complex disease that results
from the interaction of multiple genetic and environmental factors. Different
approaches have been used to identify the genetic factors: genome-wide linkage
study, gene expression profiling, candidate gene association study, and genome
wide association study. Although many genes responsible for susceptibility to
COPD have been reported, most of them have not been replicated in other study
populations. Difficulty in replication is attributed to the genetic and
phenotypic heterogeneity of the disease as well as the small influence of each
gene on the development of the disease. A more precise definition is required for
the characterization of different COPD phenotypes such as emphysema and small
airway disease. A combination of several approaches using the improved phenotypes
will lead to detection of novel susceptibility genes and new therapeutic targets.
PMID- 22073570
TI - [Classification and the staging of chronic obstructive pulmonary disease and
assessment of determinant factors of prognosis].
AB - Classification of the stage of COPD is based on flow limitation such as post
bronchodilator FEV1. GOLD guideline and JRS guideline, and other guidelines are
almost similar standards. But prognosis is not determined only FEV1. COPD is
recognized not only lung disease but also systemic disease. This is a reason why
the multidimensional estimation is needed. Prognostic factors are proposed for
many studies, for example exercise capacity (6MWD, VO2max), BMI, dyspnea score,
BODE index, and so on. BODE index is a multidimensional index, consist of 4
categories such as BMI, airflow obstruction, dyspnea, and exercise capacity. High
BODE index means poor prognosis. Exacerbation frequency and fibronectin/CRP ratio
proposed as prognostic factors too.
PMID- 22073571
TI - [Clinical findings of chronic obstructive pulmonary disease].
AB - Patients with chronic obstructive pulmonary disease(COPD) can show various unique
clinical findings. Whenever we examine smokers or ex-smokers, especially for
those with chronic productive cough or dyspnea on effort, we have to pay
attention to whether they would have clinical manifestations associated with
COPD. Making physical diagnosis is quick and requires few costs. Rapid physical
diagnosis of COPD in primary care practice can also lead to earlier actions of
preventive measures and counseling for patients regarding smoking cessation.
Furthermore, rapid physical diagnosis of COPD in an emergency department could be
crucial for timely implementation of potentially lifesaving management specific
for COPD patients. In this review, we will present an extensive list of clinical
findings of COPD patients.
PMID- 22073572
TI - [Comprehensive management for the comorbidities and pulmonary complications in
COPD].
AB - Chronic obstructive pulmonary disease (COPD) has been recently recognized not
only as inflammatory airway disorders, but also as a chronic systemic
inflammatory syndrome. The systemic inflammation causes a variety of
abnormalities in the patients. In the end, systemic comorbidities including
cardiovascular diseases, endocrine diseases, gastrointestinal diseases and
psychological disorders are highly prevalent in patients with COPD. These
disorders and those related pulmonary complications have a great impact on
quality of life (QOL) and mortality in the patients. For the improving the QOL
and the mortality, we have to diagnose these comorbidities early and to treat the
complications properly. This review discusses pathogenic mechanisms of the
comorbidities and implications for the comprehensive management in patients with
COPD.
PMID- 22073573
TI - [Differential diagnosis of COPD from asthma].
AB - COPD and asthma share common features such as chronic airway inflammation and
remodeling and chronic airflow obstruction, while they involve numbers of
differences. The differential diagnosis of these disorders is important, because
they differ in preferred treatment and prognosis. It is usually easy based on the
characteristic history and physiological findings, but may sometimes be difficult
especially in the elderly. The precise understanding of these disorders is
therefore very essential.
PMID- 22073574
TI - [Further examination of COPD using spirometry, respiratory function test, and
impulse oscillometry].
AB - Spirometry is essential for diagnosis and staging of chronic obstructive
pulmonary disease (COPD). Characteristic of physiological change of small airways
in COPD is heterogeneous distribution of small airway narrowing, resulting in air
trapping and nonhomogeneous ventilation. FEF25-75, residual volume/total lung
capacity, delta N2 in phase III slope of single breath N2 washout test, closing
volume, static and dynamic compliance, and carbon monoxide diffusing capacity
(transfer factor) are measured for detecting small airways disease. Impulse
oscillometry is also useful for assessment of small airways disease, especially
indices of frequent resonance (Fres) and frequent dependent of resistance change
of R5-R20; resistance at 5 Hz minus resistance at 20 Hz. Impulse oscillometry
seems to have a complemental role of spirometry in managing COPD.
PMID- 22073575
TI - [Diagnostic imaging--CT, dynamic CT, and others].
AB - Technical advances have raised computed tomography(CT) as a strong diagnostic
tool of clinical imaging. Emphysematous changes can be quantitatively analyzed as
low attenuation area which correlated with diffusion capacity, quality of life,
and nutritional states, but not so much with forced expiratory volume in one
second. With co-analyzing airway wall thickness, those are possibly useful to
understand phenotypes. Dynamics of airway during breathing can be visualized by
dynamic CT such as electron-beam CT. Dynamic airway narrowing is a representative
feature in emphysematous lung.
PMID- 22073576
TI - [Arterial blood gas analysis, pulse oximeter].
AB - We inspire air and get oxygen, and the storaging conditions of the oxygen in the
blood are gas and solid (Hb-O2) type. To measure the gas type oxygen in the
blood, we use blood gas analyzing meters, and we also can measure solid type
oxygen by this method. From this method, we can get arterial partial pressure of
oxygen (PaO2), arterial partial pressure of carbon dioxide (PaCO2), pH, HCO3(-),
and so on. By the way, pulse oximeter is an equipment to measure percutaneous
arterial oxygen saturation (SpO2). Although the PaO2 and SpO2 are essential
parameters to know the clinical conditions of COPD patients, we should be aware
of the primary differences between them, and use these parameters effectively.
PMID- 22073577
TI - [Airway biomarkers in chronic obstructive pulmonary disease].
AB - Inflammation plays a central role in the pathogenesis of chronic obstructive
pulmonary disease (COPD). Monitoring of inflammation of the airways has,
therefore, become a growing are of research and there is particular interest in
noninvasive approaches which allow frequent monitoring. Induced sputum has
provided important insights into airway inflammation in COPD. However, induced
sputum is relatively invasive. This has focused attention on other noninvasive
methods. Exhaled breath condensate has the potential to measure semi-volatile
lipid mediators. However, a major problem limiting the usefulness of this
technique is variable dilution with water vapor and low concentrations of
mediators. Many volatile organic compounds have been detected in the breath and
the pattern of molecules can now be characterized with various electronic noses.
PMID- 22073578
TI - [Exercise test and respiratory muscle function test].
AB - Dyspnea on exertion is a chief complaint of patients with COPD, and it has a
major effect on the quality of their lives. Dyspnea is, by definition,
subjective, but objective approaches are needed for a comprehensive understanding
of these patients' conditions. Thus, measuring changes in cardiopulmonary
variables during exercise can be very helpful when evaluating patients with COPD.
The main purpose of exercise testing is to evaluate exercise tolerance and to
identify the factors limiting exercise. Although incremental exercise testing is
ideal for these purposes, simple walking tests such as 6-minute walking test, are
also useful.
PMID- 22073580
TI - [Pharmacologic treatments of COPD depending on disease severity].
AB - Depending on decreases in lung function and severity of symptoms, treatment with
bronchodilators, including beta2-agonists and anticholinergics, is performed in
pharmacologic treatments of COPD. Methylxanthines are also frequently used in
Japan. As-needed use of short-acting bronchodilators is recommended for patients
with mild COPD for relief of symptoms. Regular treatment with long-acting
bronchodilators is more effective than treatment with short-acting
bronchodilators. Inhaled beta2-agonists and anticholinergics in combination are
more effective than either agent alone. Combining bronchodilators with different
mechanisms of action may increase the effects of bronchodilation, may improve
symptoms and quality of life, and reduce the frequency of exacerbations. Inhaled
corticosteroids reduce the frequency of exacerbations and improve symptoms in
severe COPD.
PMID- 22073579
TI - [Evidence of pharmacotherapy in COPD--key findings from recently-conducted
randomized clinical studies].
AB - The primary aim of pharmachotherapy in COPD is improvement of exertional dyspnea
and quality of life through its bronchodilator effects. However, there is
emerging evidence that pharmacotherapy may reduce exacerbations, alleviate annual
decline of pulmonary function, and even favorably affect mortality, thus changing
natural history of COPD. The large-scaled randomized clinical trials, such as
TORCH, UPLIFT, have revealed that combination of long acting beta2 agonist (LABA)
and inhaled corticosteroids (ICS), LABA/ICS, and/or tiotropium alone may have
such effects. In addition, carbocisteine, which is a mucolytic and anti-oxidant
agent, has been shown to reduce exacerbations in COPD. Future directions on
pharmacotherapy are personalized medicine based on phenotyping of the disease and
development of new agents which may cure airway inflammation in COPD.
PMID- 22073581
TI - [Novel therapeutics for COPD].
AB - Chronic obstructive pulmonary disease (COPD) is a progressing lung disorder
mainly caused by cigarette smoking. The central pathogeneses are inflammation,
oxidative stress, apoptosis and excessive proteases which lead to matrix
degradation and loss of lung cells. The inflammation is somehow perpetuated even
after quit smoking and is generally refractory to glucocorticoid therapy. It is
thus hoped to develop novel anti-inflammatory agents for prevention of disease
progression. As molecular mechanisms of COPD are gradually clarified, numerous
numbers of molecular targeted agents have been developed for therapeutics. In
this section, novel agents for COPD targeted at signal transduction molecules,
cytokines, chemokines and those receptors, adhesion molecules, oxidative stress
and proteases are discussed.
PMID- 22073582
TI - [Smoking cessation].
AB - Smoking has been determined as a cause of chronic obstructive pulmonary disease
(COPD) in most patients. Smoking cessation should be stressed above everything
else for COPD patients under all conditions. A smoking habit is determined not as
a preference but as a dependency on tobacco; therefore, smoking cessation is
difficult solely based on one's motivation. Smoking cessation therapy is employed
with cessation aids. Now, we can use nicotine-containing gum, patches, and the
nicotine-receptor partial agonist varenicline. First, nicotine from tobacco is
replaced with a nicotin patch, or a nicotine-free condition is induced by
varenicline. Subsequently, the drugs are gradually reduced. In Japan, smoking
cessation therapy is covered by public health insurance as definite requirements.
PMID- 22073583
TI - [Pulmonary rehabilitation: exercise training, nutritional intervention and
patient education].
AB - The optimal care of patients with COPD generally requires combining pharmacologic
and non pharmacologic therapies and the latter provides as pulmonary
rehabilitation. Pulmonary rehabilitation, which includes exercise training,
patient education, psychosocial support, nutritional intervention, and outcome
assessments. Pulmonary rehabilitation has demonstrated effectiveness over
multiple outcome areas such as dyspnea, exercise capacity, and health-related
quality of life compared with other interventions such as pharmacologic therapy.
However, it is not enough access for pulmonary rehabilitation, so effort should
be made for easy access of this effective medical care.
PMID- 22073584
TI - [Long term oxygen therapy and mechanical ventilation for patients with COPD].
AB - Non-drug treatments such as long term oxygen therapy (LTOT) and non-invasive
positive pressure ventilation (NPPV) are thought important for patients with
chronic obstructive pulmonary disease (COPD). It is reported that LTOT can
improve the prognosis of patients with COPD, particularly who have severe
respiratory failure. NPPV attracted attention to avoid endotracheal intubation
and high efficacy in patients with acute exacerbation of COPD. In chronic phase
of COPD, NPPV may improve the quality of life in patients with hypercapnia,
selected carefully.
PMID- 22073585
TI - [Surgical and bronchoscopic treatment for COPD].
AB - Lung volume reduction surgery (LVRS) has been proved as the safe, effective, and
durable treatment which improves life-span, exercise tolerance, QOL, and dyspnea
in patients with severe COPD selected appropriately by distribution of emphysema
(upper lobe vs non-upper lobe) and exercise capacity(low vs high) through the
National Emphysema Treatment Trial (NETT) as well as previous or concurrent
reports of clinical studies. However, this procedure has not always been offered
to those patients who might benefit from it these years because of significant
morbidity and mortality during perioperative period and in part because of
misleading in early results of the trial. On the other hand, nonsurgical
procedures, such as endobronchial valve to intend collapse of the emphysematous
lung, extra-anatomical airway bypass to eliminate excessive residual lung volume,
and remodeling of emphysematous lung with biological adhesives or vapor ablation
have been proposed as alternative treatments for emphysema recently. However,
efficacy and duration of these bronchoscopic lung volume reduction procedures are
less than those of LVRS, and issues to be clarified remain. LVRS should be re
considered as a promising and practical tool for patients with emphysema
confronting severe dyspnea during daily activities.
PMID- 22073586
TI - [Treatment for exacerbation--drug and non-drug therapy, criteria of
hospitalization and ICU admission].
AB - It is necessary to evaluate the degree of severity and make a diagnosis of
exacerbation factor for acute exacerbation of COPD patients. The highest
frequency exacerbation factors are respiratory infection and aerial pollution, so
clinical examinations and treatments should be done in consideration of these
exacerbation factors. In case of respiratory failure, it is preferable to treat a
patient in the hospital. Furthermore, it is important to evaluate the type of
respiratory failure, in other words, with or without of hypercapnia. When
patients have respiratory failure with hypercapnia, ventilatory assisit therapy
is necessary in addition to oxygen therapy. Moreover, it is very important to
prevent exacerbation, because patients of COPD exacerbation have poor prognosis
and QOL.
PMID- 22073587
TI - [Regenerative approach for COPD].
AB - No treatment to cure of chronic obstructive pulmonary disease (COPD) is
available. Regenerative medicine is one of promising areas for this intractable
disease. Several reagents and growth factors are known to promote lung
regeneration in small animal models. However, regenerative medicines for human
lungs are not achieved yet. Recent advances in stem cell biology and tissue
engineering have expanded our understanding of lung endogenous stem cells, and
this new knowledge provides us with new ideas for future regenerative therapy for
lung diseases. Although lungs are the most challenging organ for regenerative
medicine, our cumulative knowledge of lung regeneration and of endogenous
progenitor cells makes clear the possibilities for regenerative approach to COPD.
PMID- 22073588
TI - [Current status and future of lung transplantation].
AB - Lung transplantation has been a widely accepted treatment modality for patients
with end-stage chronic obstructive lung disease (COPD). COPD is the most frequent
indication for lung transplantation according to the report from International
Society for Lung and Heart Transplantation. However, it is a minor population in
Japan. A total of 204 lung transplants have been performed in Japan to date.
Among them, 10 patients were suffering from severe COPD. Nine of them received
cadaveric lung transplantation and one received living-donor lobar lung
transplantation. All are currently alive during follow-up period of 3-87 months.
PMID- 22073589
TI - [Concept of teamwork and inter- and intra-connection for better management of
COPD patients].
AB - The elderly population makes up a majority of the patients with chronic
obstructive pulmonary disease (COPD), and more than 90 % of these patients have
mild or moderate severity of COPD. Furthermore, in general, most severe cases
receiving occasional long-term oxygen therapy may show a gradual decline in the
activity of daily living and/or a cognitive impairment during the clinical
course. These conditions together with the fact that in most cases the medical
facility is far from patient's home prevent them from regularly visiting chest
physicians at a large community hospital; therefore, they are possibly managed by
non-specialists at private clinics. Recently, there have been significant
advances in COPD management, and evidences of its appropriate pharmaceutical
treatment are now available. Thus, there is a need for translational research
with the recent advances in COPD management. This manuscript describes the
concept of teamwork and inter- and intra-connection for better management of COPD
patients.
PMID- 22073590
TI - [Genetic analysis for nicotine dependence].
AB - Smoking cessation is extremely important to prevent the development of the
serious diseases, such as COPD and cancers. Absorbed nicotine through smoking
into the body is mainly metabolized by cytochrome P450 (CYP) 2A6. On the other,
the nicotine acts in the brain through neuronal nicotinic acetylcholine receptors
(nAChRs), which regulate the addictive properties of nicotine. Many associated
studies have implicated genetic backgrounds influence smoking behavior and
nicotine dependence. In these concepts, we here discuss the genes related to
nicotine dependence from the pharmacokinetic and pharmacodynamic aspects of
nicotine. Referring to the genome association studies, better understanding the
molecular mechanisms of nicotine dependence would help to design targeted, more
effective therapies for the tobacco-related diseases and smoking cessation
program.
PMID- 22073591
TI - [Mechanisms of cellular senescence by tumor suppressor p53].
AB - Cellular senescence is a complexity of ageing and cancer biology. As malignant
tumors can culminate individuals in life-termination, evolution has provided
multicellular organisms with an acquisition of distinctive safety device,
cellular senescence, to circumvent the development. Diploid cells, indeed, sense
accumulation of hostile stresses such as genomic integrity, metabolic dysfunction
and oncogene-induced mitotic signals, leading to activation of cell cycle arrest
and/or programmed cell death. A tumor suppressor, p53, has been reported to
function as a governing center to defend against these malignant transformations.
Here we review how p53 cooperate with adverse stresses to drive cellular
senescence, providing a framework for intricate molecular cross-talks.
PMID- 22073592
TI - [Current state and measures of NSAIDs induced ulcer].
AB - In recent years, the incidence of Helicobacter pylori (H. pylori) infection has
been decreasing and the incidence of peptic ulcer and bleeding ulcer induced by
NSAIDs, especially low-dose aspirin (LDA), have been increasing. PPI and PG are
useful for treatment and prevention of ulcers in patients receiving continuous
administration of NSAIDs and/or LDA. H. pylori eradication is effective if
performed before the start of NSAIDs administration, but a beneficial effect of
H. pylori eradication performed during NSAIDs treatment cannot be expected. The
incidence of ulcers is lower when administering COX-2-selective inhibitor than
when administering non-selective NSAIDs, but attention must be given to
cardiovascular events as side effects when administering COX-2-selective
inhibitor.
PMID- 22073593
TI - [Clinical investigation of multidrug-resistant tuberculosis --investigation of
inpatients in the Kyushu region between 2004 and 2009].
AB - OBJECTIVE: To conduct a clinical investigation of patients with multidrug
resistant (MDR) tuberculosis who received either drug therapy alone or drug
therapy in combination with surgery. SUBJECTS AND METHODS: A total of 56 patients
with MDR tuberculosis who were admitted to hospitals of the National Hospital
Organization in the Kyushu region between January 2004 and September 2009 and
received drug therapy either alone or in combination with surgery were
investigated. RESULTS: As surgery could not be performed in patients with
advanced age or with bilateral extensive lesions, only 12 patients (21%) were
able to undergo surgery. Initial drug resistance was seen in 10 patients (23%) in
the drug therapy group and four patients (33%) in the combination therapy group.
Underlying diseases included diabetes in 15 patients (34%) in the drug therapy
group and in 6 patients (50%) in the combination therapy group. Negative
conversion of the sputum culture result was observed in 43% in the drug therapy
group and in 75% in the combination therapy group. The death within three years
was seen in 20 patients (45%) in the drug therapy group and 1 patient (8%) in the
combination therapy group. In the drug therapy group there were more cases with
resistance to 5 drugs, resistance to levofloxacin (LVFX), and/or kanamycin (KM)
in those who died of tuberculosis than in non-tuberculous death cases.
CONCLUSION: Resistance to 5 drugs, resistance to LVFX, and resistance to KM were
contributing factors of tuberculous death. Patient's operability was one of the
factors associated with a good prognosis.
PMID- 22073594
TI - [A case of pleural tuberculoma with intra-pulmonary invasion during anti
tuberculosis therapy].
AB - A 27-year-old woman who had been treated for pulmonary tuberculosis with anti
tuberculosis drugs for three months was admitted to our hospital because of pain
in the chest and back. Chest CT showed improvement in the pulmonary tuberculosis
lesions in the right middle lobe and S8, but there was a large pleural mass in
the right lower lung field. Histopathological findings of the percutaneous biopsy
showed epithelioid cell granulomas that were negative for acid-fast bacilli. We
diagnosed the mass as pleural tuberculoma with intrapulmonary invasion. The
pleural tuberculoma improved without any additional therapy.
PMID- 22073596
TI - [Problems in the treatment for proliferative vitreoretinopathy].
PMID- 22073595
TI - [Pulmonary tuberculosis with atypical radiological findings in a patient with
chronic obstructive pulmonary disease].
AB - A 77-year-old-man who had been treated for chronic obstructive pulmonary disease
(COPD) was referred to our hospital for further examination of a chest X-ray
abnormality. The chest X-ray showed consolidation in the right upper and middle
lung field. Chest computed tomography showed an airspace consolidation extending
subpleurally and nonsegmentally without nodular lesions. The tentative diagnosis
was cryptogenic organizing pneumonia. However, bronchoalveolar lavage fluid was
positive for acid-fast bacilli on smear and also positive for tuberculosis PCR,
leading to a diagnosis of tuberculous pneumonia. Tuberculous pneumonia in COPD
patients can be non-segmental and mimic organizing pneumonia.
PMID- 22073597
TI - [Determination of causative agents in ocular infection of external adnexa and
anterior segments--multicenter study of causative agents and drug sensitivity of
ocular infection by the Japanese Association for Ocular Infection part I].
AB - PURPOSE: To determine the causative agents of ocular infection of external adnexa
and anterior segments due to selected criteria. SUBJECTS AND METHODS: Between
September 22, 2007 and August 25, 2008, 890 bacterial strains were collected from
476 patients in 18 facilities nationwide participating in the Drug Sensitivity
for Ocular Infection Study Group. Usual aerobic and anaerobic cultures,
enrichment cultures, smears, and measurements of bacterial quantity were
performed and the determination of causative agents was made from the results of
smears and culture, the presence of polymorphonuclear cells and bacterial
quantity. The selection was divided into two categories, causative agents and
presumed causative agents. Staphylococcus aureus, Moraxella bacilli, Haemophilus
influenzae, Streptococcus pneumoniae, Pseudomonas aeruginosa, and Neisseria
gonorrhoeae were distinctively considered as specified bacteria. RESULTS: Among
890 strains, 18.8% were determined to be causative agents, and 15.1% were
determined to be presumed causative agents. Among the bacteria detected in normal
flora, 2.0% of Staphylococcus epidermidis, 2.6% of Propionibacterium acnes, and
38.3% of Corynebacterium spp. were determined to be causative agents. CONCLUSION:
To determine the causative agents, the results of smears and bacterial quantity
should be considered together with culture results. Bacteria constituting normal
flora have the potential of becoming causative agents.
PMID- 22073598
TI - [Drug sensitivity of causative agents in ocular infection of external adnexa and
anterior segments--multicenter study of causative agents and drug sensitivity of
ocular infection by the Japanese Association for Ocular Infection part II].
AB - PURPOSE: To report the drug sensitivity of causative agents produced by ocular
infection of external adnexa and anterior segments investigated by the nationwide
survey conducted by Japanese Association for Ocular Infection between September,
2007 and August, 2008. SUBJECTS AND METHODS: Among all strains isolated,
causative and presumed causative agents were selected according to the criteria
described, and drug sensitivity tests were conducted by minimum inhibitory
concentrations (MIC) with 10 kinds of antimicrobial agents including 5
fluoroquinolones. RESULTS: Among 281 causative isolates, cefmenoxime (CMX) showed
the highest sensitivity, followed by fluoroquinolones. Staphylococci and
Streptococci were more sensitive to fluoroquinolones when compared to the others.
Haemophilus influenzae was very sensitive to all fluoroquinolones.
Corynebacterium spp. and Propionibacterium acnes were most sensitive to CMX and
erythromycin respectively. CONCLUSION: CMX and fluoroquinolones showed generally
good sensitivity among causative pathogens of ocular infection.
PMID- 22073599
TI - [Cost-effectiveness of ranibizumab, photodynamic therapy and pegaptanib sodium in
the treatment of neovascular age-related macular degeneration in Japanese].
AB - PURPOSE: To perform cost-utility analysis of ranibizumab, photodynamic therapy
(PDT) and pegaptanib sodium treatment of neovascular age-related macular
degeneration (AMD) with subfoveal choroidal neovascularization. MATERIALS AND
METHODS: The analyses were performed on a 75-year old man with the starting
visual acuity of letter score of 50 on an Early Treatment of Diabetic Retinopathy
Study (ETDRS) chart, with the affected eye having better sight than the
contralateral eye, for the time horizons of 1 and 11 year (s). Visual acuity data
from the large controlled studies for ranibizumab, photodynamic therapy and
pegaptanib sodium, were applied. The results were compared with best supportive
care (BSC) data. Cost indications included direct medical costs and costs related
with social blindness. Utility values were estimated from the time trade off
method. This analysis was performed from a societal perspective. RESULTS: In the
1-year model, cost of treatment was dominant in the treatment groups, whereas the
cost of blindness was dominant in the BSC. In the 11-year model, influence of
cost of blindness resulted in the increasing costs for BSC. Of note, ranibizumab
and PDT were less costly and showed an increase in utility compared to the BSC.
Pegaptanib sodium was found to be costly. Sensitivity analysis found that the
results were robust to changes in various model parameters. CONCLUSION: In the
current model, ranibizumab and PDT confer quality-adjusted life years (QALY)
gains and are less costly compared to BSC in the lifetime treatment. In contrast,
pegaptanib sodium treatment could be considered to be of minimal cost
effectiveness. Ranibizumab and PDT confer excellent value in the models of the
lifetime treatment.
PMID- 22073600
TI - [Comparison of vitrectomy outcomes for eyes with proliferative vitreoretinopathy
with and without prior vitrectomy].
AB - PURPOSE: To compare the surgical outcomes of vitrectomy for eyes with
proliferative vitreoretinopathy (PVR) with and without prior vitrectomy. METHODS:
Eighty-two eyes of 81 patients (35 women and 46 men), who underwent vitrectomy
for grade C PVR and had at least 6 months of follow-up, were studied
retrospectively. The mean age of the cases was 50.8 years (range; 8-84 years).
Twenty-eight eyes had undergone prior vitrectomy (vitrectomized group) and 54
eyes had no prior vitrectomy (primary vitrectomy group). The intraoperative
technique of vitreous surgery, number of vitrectomy procedures, surgical success
rate, visual acuity and intraocular pressure before and 6 months after the
vitrectomy of the two groups were compared. RESULTS: The rate of the anterior
(type 4 and 5) PVR cases was significantly higher in the vitrectomized group than
in the primary vitrectomy group (p = 0.028), whereas the rate of the only
subretinal cases (type 3) PVR was significantly higher in the primary vitrectomy
group (p<0.001). There were no significant differences in the preoperative visual
acuity and intraocular pressure between the two groups. The rate of the cases who
underwent retinotomy/retinectomy was significantly higher (p< 0.001)in the
vitrectomized group than in the primary vitrectomy group. The surgical success
rate and postoperative visual acuity were significantly better in the primary
vitrectomy group than in the vitrectomized group (p = 0.040, <0.001,
respectively). The postoperative intraocular pressure was significantly lower (p
= 0.017) in the vitrectomized group than in the primary vitrectomy group, and the
rate of the cases with hypotony of less than 5mmHg was significantly higher
(p<0.001) in the vitrectomized group than in the primary vitrectomy group.
CONCLUSIONS: Compared to the PVR eyes that had had no prior vitrectomy, the PVR
eyes that underwent prior vitrectomy had a significantly higher rate of
complications of anterior PVR and a significantly higher rate of
retinotomy/retinectomy, leading to a significantly poorer surgical outcomes.
PMID- 22073601
TI - [Relationship between the frequency of disc hemorrhage and the enlargement of
nerve fiber layer defects and the deterioration speed of visual field loss in
normal-tension glaucoma with wedge-shaped nerve fiber layer defects].
AB - PURPOSE: To examine progressive visual field loss in relation to disc hemorrhage
(DH) and enlarged nerve fiber layer defects (NFLDs) in normal-tension glaucoma
(NTG). SUBJECTS AND METHODS: There were 106 eyes of 106 NTG patients (mean follow
up: 9.1 years) with well-defined NFLD borders and at least 3 years of follow-up.
Examination was performed on their rate of visual field loss (MD slope and
hemifield TD slope) and their rate of NFLD angle enlargement. For the NFLD angle,
we took color fundus photographs and converted color photos into black-white
photos extracted the blue ingredient. The midpoint between the fovea and the disc
center was determined. The center was established as the disc, and the distance
to the midpoint was established as the radius of a circle. The NFLD angle was
defined as the angle formed by the two points where this circle intersected the
NFLD and a third point which was the disc center. RESULTS: DH was seen in 51/106
(48.1%)cases and it occurred 1.28 +/- 1.99 times. In the DH group, the MD slope
was -0.30 dB/year, indicating a significantly faster rate of visual field loss
than that of the non-DH group (-0.13 dB/year); the rate of NFLD enlargement was
1.90 degrees/year, indicating a significantly faster rate than that of the non-DH
group at 0.64 degrees/year. As the occurrence of DH increased, the TD slope (r =
0.263, p = 0.0056) and the rate of NFLD enlargement (r = 0.410, p<0.0001)
increased significantly. CONCLUSION: Examination was performed on NTG eyes with
well-defined NFLD borders which were divided into the groups of with and without
DH. The DH eyes had a faster rate of NFLD enlargement and faster progression of
visual field loss compared with the non-DH eyes. In addition, the rate of NFLD
enlargement and progression of visual field loss increased in the cases with
frequent DHs.
PMID- 22073602
TI - [Multicenter clinical study of bone-anchored hearing aids in Japan--application
for congenital auricular atresia].
AB - The effectiveness of bone anchored hearing aid (BAHA) for the patients with
congenital aural atresia was evaluated by multicenter clinical study in Japan.
Twenty patients (17 bilateral and 3 hemilateral) of congenital auricular atresia
were registered for this study and finally, 18 of them (15 bilateral and 3
unilateral) were subjected to further evaluation. Primary endpoint of this study
was free sound-field pure-tone audiometory and speech threshold hearing test in
quiet and noisy circumstances. Secondary endpoint of this study was patient's
satisfaction based upon APHAB (Abbreviated Profile of Hearing Aid Benefit)
questionnaire survey. These results were compared between before and 12 weeks
after BAHA surgery. Both hearing level of pure tone and speech threshold
significantly improved after BAHA surgery. APHAB scores also suggested the
improvement of the QOL after BAHA usage, except for the scores that concerned
with unpleasantness of noisy sound. BAHA is one of the useful options for the
treatment of congenital auricular atresia.
PMID- 22073603
TI - [A case of Schneiderian middle-ear and sinonasal papilloma with intracranial
complications].
AB - Unlike Schneiderian papilloma, a widespread benign epithelial neoplasm arising in
the sinonasal tract mucosa of the nasal cavity, paranasal sinus, trachea, and
larynx, middle-ear Schneiderian papilloma is extremely rare. We report a case of
recurrent Schneiderian papilloma spreading to the bilateral middle ear and right
paranasal sinus, and eventually causing cerebellar complications. A-52-year old
woman seen for episodes of inarticulateness was first, found to have middle right
ear and right ethmoid papilloma, that occurred thereafter is the middle left ear.
This bilateral middle-ear papilloma is, to our knowledge, the only case reported
thus far, and fell into a low-risk malignant formation group based on HPV-DNA
testing. Given previous cases, we concluded that ours warranted meticulous follow
up because recurrence and malignancy are more common in multiple-site middle-ear
papilloma as in our case rather than papilloma of the middle ear alone.
PMID- 22073604
TI - [Nonspecific IgE potential in nasal discharge for diagnosing allergic rhinitis].
AB - Given that the nasal discharge of subjects with allergic rhinitis contains IgE,
we studied nonspecific IgE potential in nasal discharge as a diagnostic marker
for allergic rhinitis. We divided 38 adults into 2 groups, one with 22 with
Japanese cedar pollinosis and one with 16 with watery rhinorrhea negative to
MAST33. The pollinosis group was exposed to Japanese cedar pollen in an
environmental exposure unit, and eosinophils in nasal discharge, serum total IgE,
pollen-specific IgE, and local IgE in nasal discharge were examined for the 2
groups to determine IgE levels in nasal discharge using the Allerwatch rapid
test. In the pollinosis group, nasal discharge IgE correlated significantly with
the number of eosinophils. The nasal discharge IgE had higher specificity than
the eosinophil examination, whereas nasal discharge eosinophils had higher
sensitivity than the IgE examination. We thus found that measuring IgE and
eosinophils in nasal discharge is useful for clinically diagnosing allergic
rhinitis.
PMID- 22073605
TI - [Analysis of predominant bacterial species and clinical backgrounds in lung
abscess patients].
AB - We reviewed the clinicopathological characteristics of lung abscesses
retrospectively. We analyzed 89 patients hospitalized from July 1984 to May 2009.
Most were men (76/89). There were large proportions with alcohol consumption
(29.2%) and dental caries or gingivitis (60.7%). Furthermore, those without other
diseases accounted for only 13.5%. Predominant infectious species were clear in
43 cases (48.3%) including identification of bacteria. The identification rate of
predominant bacteria improved from 38.5% to 56.0% after initiation of the
introduction of expectoration culture, bronchoscopic specimen collection and
gingival culture in 2003, facilitating clarification of the predominant bacteria.
The Streptococcus anginosus group with predominant bacteria being slightly
aerobic streptococci, anaerobic bacterium, and aerobic bacterium was detected in
10, 12, and 31 cases, respectively. The improvement in the identification rate of
predominant bacteria was achieved by carrying out examination with close liaison
with the staff of our inspection room. In selecting antimicrobials based on
diagnostic significance, we should focus on positive identification of
predominant bacteria, a factor which appears to have major clinical significance.
PMID- 22073606
TI - [The origin of frequency dependence of respiratory resistance: airflow simulation
study by the use of a 4D pulmonary lobule model].
AB - BACKGROUND AND OBJECTIVE: The origin of frequency dependence of respiratory
resistance has been explained by ventilation inhomogeneity, however it is unclear
which components in the respiratory system generate the frequency dependence. The
author constructed a 4D pulmonary lobule model and analyzed relationships between
airflow rate, pressure and airway resistance by the use of computational fluid
dynamics (CFD). METHODS: The lobule model contained bifurcated bronchioles with
two adjacent acini in which deformable inter-acinar septa and alveolar duct walls
were designed. Constrictive conditions of respective bronchioles were designed,
too. 4D finite element models for CFD were generated and airflow simulations were
performed under moving boundary conditions of the arbitrary Lagrangean-Eulerean
method. From the simulation results, airway resistances for various conditions
were calculated. RESULTS: Tissue resistance emerged under the condition of
different acinar pressures caused by unequal airway resistances. If the inter
acinar septum was shifted so as to cancel the pressure difference, the acinar
pressures were equal in spite of unequal airway resistances, and hence, tissue
resistances did not emerge. Therefore, the tissue resistance in the former case
is thought to be an index of alveolar pressure inequality (which could be
canceled by mechanical interaction of lung parenchyma), rather than a material
property of the tissue itself. CONCLUSIONS: Inequality of alveolar pressure
decreases as the input oscillatory frequency increases. Therefore, frequency
dependence of the respiratory resistance should be regarded as a conditional
index of the alveolar pressure inequality caused by heterogeneous changes in the
intra-pulmonary airway and/or the lung parenchyma.
PMID- 22073607
TI - [A case of microscopic polyangiitis with silicosis].
AB - A 76-year-old man was admitted to our hospital because of increasing size of lung
nodules, while he was under observation for silicosis at another hospital. As the
result of bronchoscopic biopsy, it was confirmed that they were silicotic
nodules. However, he was hospitalized again about one month later due to left
spontaneous pneumothorax. The pneumothorax improved immediately by persistent
drainage of the thoracic cavity, but he developed a fever on day 9, and ground
glass opacities in both lungs also became exacerbated in spite of our
administration of antibiotics. In addition, the level of MPO-ANCA increased
markedly and multiple 3-10mm sized purpurae was seen on the right thigh on day
29. Skin biopsy specimens revealed infiltration of histiocytes and lymphocytes
around medium-sized vessels in lower dermis. We diagnosed microscopic
polyangiitis, then treated with steroid and immunosuppressive therapy. Fever and
radiological findings improved significantly from the day after initiation of
steroid administration. The patient was discharged on day 92 because of the
improvement of his respiratory condition. We report a case of microscopic
polyangiitis with silicosis, which markedly improved by steroid and
immunosuppressive therapy.
PMID- 22073608
TI - [A case of Churg-Strauss syndrome with subarachnoid hemorrhage and left phrenic
nerve paralysis].
AB - A 60-year-old woman was given a diagnosis of Churg-Strauss syndrome (CSS) in 2000
because of peripheral blood eosinophilia, eosinophilic pneumonia, asthma,
polyarticular pain, and limb numbness. She was treated with prednisolone (PSL),
and the above symptoms improved but then relapsed on tapering of PSL. In
September 2009, after 7 days of tapering of PSL to 5mg/day, the patient developed
a subarachnoid hemorrhage and was admitted. MRA and cerebral angiography revealed
no aneurysm; the source of bleeding could not be determined, but her symptoms
indicated a benign course. A chest X-ray 27 days after admission showed left
diaphragmatic elevation, and left phrenic nerve paralysis was diagnosed by a
phrenic nerve stimulation test. Peripheral blood eosinophilia had progressed
gradually during the admission period, and although it is rare for subarachnoid
hemorrhage and phrenic nerve paralysis to be associated with CSS, we regarded
these as vasculitis symptoms related to CSS.
PMID- 22073609
TI - [A Good syndrome associated with pure red cell aplasia].
AB - A 78-year-old woman was admitted because of persistent cough, fever and sputum
for one week. She had been treated with radiation therapy for inoperable thymoma
complicated by severe heart failure and had been suffering from recurrent
pneumonia and otitis media since then. A chest radiograph on admission showed an
anterior mediastinal mass shadow and infiltrates in the bilateral lower lung
fields. Serum gamma globulin was decreased and erythroid cells in the bone marrow
were markedly decreased. Thymoma with hypogammaglobulinemia is called Good
syndrome. We reported this very rare case of Good syndrome. After improving the
infection, cyclosporine A was administered for PRCA and hypo-gamma globulinemia,
but we discontinued this due to liver dysfunction. We have been currently
continuing her treatment with red blood cell transfusion and immunoglobulin
supplement only.
PMID- 22073610
TI - [A case of Legionnaires' pneumonia accompanied by clinically mild
encephalitis/encephalopathy with a reversible splenial lesion (MERS) with
transient altered mental status and cerebellar symptoms, which responded to
treatment by antibiotics and corticosteroid].
AB - A 60-year-old man was admitted because of fever, headache, and difficulty in
walking. Respiratory symptoms included only mild cough, but crackles were present
on auscultation at the right lung base, the chest roentgenogram and computed
tomography scans showed consolidation in the right lower lobe. Laboratory
findings revealed hyponatremia, elevated liver function test values and creatine
phosphokinase, and Legionella pneumophila antigen in urine. Neurological
examination revealed mild mental status change, dysmetria, dysarthria, and ataxic
gait. Diffusion-weighted magnetic resonance imaging (MRI) of the brain, performed
at the time of admission, revealed regions of high intensity in the splenium
corpus callosum. We diagnosed Legionnaires' pneumonia accompanied by clinically
mild encephalitis/encephalopathy with a reversible splenial lesion (MERS), and
started treatment with ciprofloxacin and methylprednisolone at 1 mg/kg/day.
Neurological symptoms gradually improved. On day 6 after admission, mild
dysarthria and ataxic gait remained, a 123-IMP single photon emission computed
tomography revealed no abnormality. On day 15 after admission, the only
neurological symptom was mild ataxic gait; the MRI scans showed no abnormalities.
On day 29 after admission, neurological symptoms were completely resolved. This
is the first reported case of Legionnaires' pneumonia accompanied by clinically
mild encephalitis/encephalopathy with a reversible splenial lesion (MERS) was
treated with not only antibiotics but also corticosteroid.
PMID- 22073611
TI - [A case of pulmonary benign metastasizing leiomyoma from the uterus].
AB - We report here a case of pulmonary benign metastasizing leiomyoma (BML) from the
uterus. A 48-year-old woman was admitted to our hospital because of multiple
pulmonary nodules on the chest radiograph 7 years after total hysterectomy with
removal of the left ovarium for uterine leiomyoma. A specimen of the lesion
obtained by a thoracoscopic lung biopsy was consistent with leiomyoma.
Histological re-evaluation of the uterine myoma showed similar histology as
compared with the lung tumor. Immunohistological staining for both estrogen and
progesterone receptors on the lung tissue was positive. Based on these results,
we diagnosed the pulmonary lesions as BML. The patient received no treatment and
has shown no evidence of exacerbation of disease during 6 years of follow up.
PMID- 22073612
TI - [A case of cutaneous vasculitis caused by erlotinib treatment and a review of
literature].
AB - Erlotinib is a potent drug used for treating epidermal growth factor receptor
(EGFR) mutation positive lung cancer. In this study, we report a case of
erlotinib induced cutaneous vasculitis. The patient was a 69-year-old woman with
a history of left lower lobe resection for lung cancer. Two years after the
resection, she had metastasis in the adrenal glands for which we initiated
erlotinib therapy at a dose of 150 mg/day. The patient developed multiple
purpurae with a partially necrotic region on both lower thighs at 8 weeks after
initiating therapy. The skin biopsy results revealed cutaneous vasculitis. We
stopped erlotinib therapy after this diagnosis because of this adverse effect as
well as because it exacerbated the cancer. The patient's skin manifestation
disappeared 2 weeks after stopping therapy, with no recurrence of any symptoms of
systemic vasculitis. We reviewed the literature on drug-induced vasculitis due to
oral EGFR inhibitors and found 13 such cases. In most cases, the symptoms
appeared 1-2 months after initiating therapy. In all the cases, the symptoms
resolved within 2-6 weeks after stopping drug therapy. Erlotinib-induced
cutaneous vasculitis is rare but may cause fatal systemic vasculitis. Therefore,
the skin of patients who are undergoing erlotinib therapy should be carefully
examined at regular intervals during the course of therapy for drug-induced
adverse effects.
PMID- 22073613
TI - [Two cases of juvenile-onset and adult-onset recurrent respiratory
papillomatosis].
AB - We recently experienced one each of 2 types of recurrent respiratory
papillomatosis (RRP). Case 1 (juvenile-onset type): A 30-year-old woman
presenting with bloody sputum and large tumors with cavities on her chest Xray
film, was referred to our hospital. She had been diagnosed with laryngeal
papillomatosis when she was three years old. According to our bronchoscopical
examination biopsy, she was diagnosed with squamous cell carcinoma of the lung in
addition to papillomatosis of the trachea and bronchus. Although chemotherapy was
performed, she died 2 years after the diagnosis of lung cancer without any
distinct treatment efficacy. Case 2 (adult-onset type): A 43 year-old woman
presenting with fever and dry cough visited our hospital. Chest CT revealed that
there was narrowing of bilateral main bronchi and hilar lymphadenopathy.
Bronchoscopic examination revealed diffuse papilloma distributed extensively from
the trachea to bilateral main bronchi. However, she recovered spontaneously in 6
months and has remained stable without recurrence. Both cases were diagnosed with
RRP based on the separation of HPV in case 1 and pathological findings of
koilocytosis in case 2. Case 1 was complicated with squamous cell carcinoma of
the lung in the clinical course, presumably due to occurrence of malignant
conversion of papillomatosis. Since RRP is a rare but refractory disease, novel
effective treatment is necessary.
PMID- 22073614
TI - [A case of myocarditis associated with polymyositis preceded by interstitial
pneumonia].
AB - A 33-year old man was admitted to our hospital because of an abnormal shadow on
the chest radiograph, dry cough, and exertional dyspnea. Chest radiograph and
high-resolution computed tomography (HRCT) on admission showed ground-glass
opacities and bronchiectasis with volume loss in the bilateral dorsal areas.
Thoracoscopic lung biopsy specimens showed mainly a pattern of NSIP (nonspecific
interstitial pneumonia). We considered this case as hypersensitivity pneumonia or
interstitial pneumonia (IP) associated with collagen disease. Oral prednisolone
(PSL) was initiated at 55 mg/day (1 mg/kg). However he complained of proximal
muscle weakness and pain and difficulty of breathing. He had heart failure due to
the myocarditis. We established a diagnosis of IP associated with polymyositis
and it was confirmed by his symptoms, muscle biopsy findings and elevation of
serum CPK. We considered this case as the myocarditis due to polymyositis.
PMID- 22073615
TI - [Pulmonary tumor thrombotic microangiopathy responding to chemotherapy].
AB - A 65-year-old man without a history of cancer presented to our hospital because
he was suspected of having acute pulmonary thromboembolism. Dyspnea that had
developed 1 month before admission, had worsened 1-week before admission. Chest
computed tomography showed faint ground-glass opacities in the lung fields
without filling defects in the pulmonary arteries. He was transferred to the
department of respiratory medicine for further investigation. Perfusion
scintigraphy showed multiple, small perfusion defects throughout both lungs, and
laboratory data showed increased lactic dehydrogenase value and thrombocytopenia.
We suspected intravascular lymphoma, and a bone marrow aspiration smear detected
malignant cells. We started chemotherapy on a diagnosis of intravascular
lymphoma, which resulted in remarkable improvement of respiratory failure and
pulmonary hypertension. After that, further evaluation of bone marrow specimen
with immunostaining, the malignant cells were found not to be lymphoma cells but
cancer cells. The primary site of the cells was not found by further
investigation. Because of improvement of oxygenation and pulmonary hypertension,
we performed transbronchial lung biopsy and diagnosed pulmonary tumor thrombotic
microangiopathy. Here, we report this case and review previous reports.
PMID- 22073616
TI - [A case of drug-induced pneumonitis caused by saikokeishikankyoto].
AB - We report a case of drug-induced pneumonitis caused by saikokeishikankyoto. A 68
year-old woman was admitted to our hospital complaining of dry cough, fever, and
dyspnea after taking saikokeishikankyoto for 16 days. A chest radiograph showed
widespread ground-glass shadows in both lung fields. Chest CT showed ground-glass
opacities and thickening of the interlobular septum in both lung fields.
Bronchoalveolar lavage fluids and transbronchial lung biopsy specimen showed
findings consistent with drug-induced pneumonitis, therefore we diagnosed drug
induced pneumonitis caused by saikokeishikankyoto. Three years previously she had
suffered from a similar illness after taking hangeshashinto. Ougon is suspected
to be a causative component for her saikokeishikankyoto-induced pneumonitis,
because it has been reported to be as a main cause for kampo-induced pneumonitis.
PMID- 22073617
TI - [A case of pyogenic spondylitis mimicking spinal invasion of lung cancer].
AB - A 65-year-old man who had suffered from traumatic spinal cord injury had chronic
lumbar pain. He had exacerbation of lumbar pain and intermittent fever and
consulted several doctors, but the cause of the lumbar pain was unknown. An
orthopedic specialist took an MRI. Spinal MRI showed increased signal intensity
at the level of T10-11 and a mass in his right lower lung field, so he was
referred to our hospital. Two transbronchial lung biopsy procedures failed to
obtain malignant cells. CT guided biopsy showed fibrous and hyalinizing tissue
contained plasma cells and lyphocytes. Staphylococcus aureus was cultured from
the second bronchial lavage fluids of brush and blood cultures, so we began
administration of ampicillin-sulbactam. Avoiding threatened or actual cord
compression due to collapse resulting from spinal instability, posterior fusion
with instrumentation was done through the back of his chest wall. At once, bone
biopsy was done, and showed no malignant cells. As soon as antibacterial
treatment was stopped after the operation, he had bloody sputa and fever. The
antibacterial agent was resumed and the symptoms improved. The mass decreased in
size and lumbar pain improved gradually, so we concluded the diagnosis was
pyogenic spondylitis caused by S. aureus. After about 5 months of antibacterial
treatment, the tumor substantially diminished.
PMID- 22073618
TI - [A relapse of small-cell lung cancer ten years after concomitant
chemoradiotherapy followed by high-dose chemotherapy with autologous peripheral
blood stem cell transfusion].
AB - A 57-year-old man had limited-disease small cell lung cancer in the left lower
lobe of the lung. He was treated with chemotherapy with concurrent accelerated
hyperfractionated thoracic radiation, followed by high-dose chemotherapy with
autologous peripheral blood stem cell transplantation. He had obtained a complete
response for 10 years until the tumor in the left lower lobe was detected by
positron emission tomography. Bronchoscopic brushing cytology revealed small cell
cancer, which was considered to be local relapse by staging work-up. He achieved
a partial response with chemotherapy consisting of cisplatin and irinotecan. The
progression-free survival rate at 5 years in limited-disease small cell lung
cancer ranges from 10% to 25%. Although it was difficult to distinguish the
relapse of lung cancer from second primary lung cancer, we considered this case
as relapse because the tumor had the same cytology in the same lobe as the
previous primary tumor. The residual cells refractory to concomitant
chemoradiotherapy followed by high-dose chemotherapy with stem cell
transplantation had survived and proliferated after 10 years.
PMID- 22073619
TI - [A case of intractable pneumothorax in a patient with pulmonary adenocarcinoma
during bevacizumab-containing chemotherapy].
AB - The patient was a 70-year-old woman. She was admitted to our hospital complaining
of fever and dyspnea. Chest CT scan showed a 50 x 30-mm tumorous shadow in S6 of
the left lung and honeycomb lung in both lower lobes. As the result of
cytodiagnosis with ultrasonic echo, adenocarcinoma was diagnosed. Clinical stage
was IIIA (T3N2M0). We selected carboplatin and paclitaxel with bevacizumab as
first-line chemotherapy, but at 7 days after the initiating it, the chest X-ray
showed left pneumothorax. A chest drainage tube was placed in the left thoracic
cavity. The patient was treated repeatedly pleurodesis with minocycline and OK
432. The pneumothorax required 3 weeks to cure. We selected carboplatin and
paclitaxel without bevacizumab for the second course, and the pneumothorax did
not recur. Pneumothorax was a serious adverse event associated with bevacizumab
containing chemotherapy. It is necessary to be aware of the possibility of
pneumothorax when we treat lung adenocarcinoma with bevacizumab-containing
chemotherapy.
PMID- 22073620
TI - [Reversed halo sign in organizing pneumonia secondary to Sjogren syndrome].
AB - We report a case of a 41-year-old woman admitted to our hospital for dyspnea on
exertion and nonproductive cough. High-resolution computed tomography (HRCT)
revealed central ground-glass opacities surrounded by ring-shaped areas of
consolidation (reversed halo sign), predominantly in the lower lobes.
Bronchoalveolar lavage fluid revealed an increase of the total number of cells
(35 x 10(4)/ml), including elevated lymphocyte level (69%) and decreased CD4/CD8
ratio (0.45). Histopathological examination by transbronchial lung biopsy showed
polypoid masses of granulation tissue filling the lumens of a respiratory
bronchiole and alveolar ducts, consistent with organizing pneumonia. After
admission the patient complained of dry eyes and dry mouth. The serum anti-SS-A
antibody level was also elevated (65.0 U/ml). Labial salivary gland biopsy
specimens revealed focal lymphocytic infiltration of more than 50 per 4 mm(2).
There were no findings of rheumatoid arthritis or other collagen diseases. We
diagnosed primary Sjogren syndrome with secondary organizing pneumonia with a
reversed halo sign. She was treated with prednisolone (0.5 mg/kg body weight, 35
mg/day). After treatment, the chest CT showed improvement through consolidation.
PMID- 22073621
TI - [A new method for evaluating lung age].
AB - BACKGROUND AND OBJECTIVE: As part of the enlightenment campaign for COPD and for
the introduction of an easy method to assess aging phenomena in respiratory
function, the indicator of lung age has been suggested by the JRS Lung-Age-Spread
Promotion Secretariat (original method). In this original method, the lung age
was estimated by counting back the regression formula predicting the reference
value of FEV1. Since the normal value of FEV1 at a given age is not unique and
exists within a certain range defined as the 95% confidence limit, the backward
value of lung age calculated with the original method includes various
statistical and physiological problems. In the present study, I proposed a novel
method allowing estimation of lung age, in which the problems related to the
original method were significantly overcome. RESULTS AND CONCLUSIONS: Since the
95% confidence limit of FEV1 was not considered in the original method, the lung
age of a person with high FEV1 beyond the upper end of the 95% confidence limit
would result in the subject being classified as remarkably young (sometimes, the
calculated lung age is below zero), while that of a person with reduced FEV1
below the lower end of the 95% confidence limit would be estimated as being very
elderly (sometimes, the calculated age is over 100). On the other hand, the novel
method reasonably deliberates the 95% confidence limit, leading to the conclusion
that it could be applied for estimating the lung age of persons having a wide
range of FEV1.
PMID- 22073622
TI - [Chronological evaluation of physical, psychological and social health of urban
elderly dwellers over 6 years and assessment of causal inter-relationships].
AB - OBJECTIVES: The purpose of this study is to make a chronological evaluation over
6 years of physical, psychological and social health of urban elderly dwellers.
METHODS: A questionnaire survey was conducted with all urban elderly dwellers of
65 years old or more in A City in September, 2001. Answers were obtained from
13,195 people (response rate of 80.2%) in the first survey. Then 3 year and 6
year follow-up surveys of 2,375 members were performed in September 2004 and
2007. Causal relationships were analyzed using a Structural Equation Model based
on the Cross-Lagged Effects Variation Model. RESULTS: According to this research,
a chronological six year trend in ADL (Activities of Daily Living) was found for
"physical factor" (" " means latent variable) as an observed variable, with a
shifted from 91.0% to 82.9%. A trend for self-rated health with healthy as an
observed variable of "psychological factor" was similarly apparent, shifting from
85.4% to 77.0%. "Social factor" conducted on the follow-up survey in 2007 was
significantly affected by the "psychological factor" investigated in 2001 and
"physical factor" in the follow-up survey in 2004, indirectly based on the Cross
Lagged Effects Variation Model. "Social factor" totals of 25% for men and 19% for
women were explained by this model with high validity levels (NFI = 0.935, IFI =
0.950, RMSEA = 0.036). CONCLUSION: It was suggested that social health was
affected by psychological health directly and physical health indirectly during
six years follow-up of urban elderly dwellers. Future research is needed to
encompass other generations and also to improve the external validity of the
results.
PMID- 22073623
TI - [Condom use staging and correlations among gay and bisexual men. A questionnaire
survey of Osaka gay bar customers].
AB - OBJECTIVES: This study aimed to clarify stages of condom use among gay and
bisexual men at gay bars in Osaka and to assess relationships between condom use
stage and attitudes and norms regarding HIV prevention. METHODS: In this cross
sectional study, a self-administered survey was distributed to gay bar customers
in Osaka in 2005. Completed surveys were received through the mail. Participants
were divided into five groups based on condom use with regular and casual
partners: pre-contemplation; contemplation; preparation; action; and maintenance.
These five groups were merged into three groups: precontemplation;
contemplation/preparation; and action/maintenance. Associations between these
three groups of condom use stage and correlates were assessed. RESULTS: Among the
601 respondents (response rate, 44.9%), data from 546 men with lifetime sexual
experience with men were used. Regarding stage distribution, the highest
percentage of participants was in the pre-contemplation stage with a regular
partner, and in the maintenance stage with casual partners. Activities of "MASH
Osaka", a gay non-governmental organization, were widely recognized across all
stages. The feeling of being unable to tell a partner to use a condom if the
partner resisted condom use, being in a long-term relationship, difficulty using
condoms when under the influence of drugs or alcohol, and self-efficacy all
correlated with condom use stages. CONCLUSION: This study clarified condom use
stages and correlations among gay and bisexual men at gay bars in Osaka. More
research is needed to assess the reliability and validity of these scale items.
Monitoring stage distributions and correlations with stages will be useful to
evaluate HIV prevention activities.
PMID- 22073624
TI - [Transition of the maternal and child health handbook system and the significance
of public health administration].
PMID- 22073625
TI - [Possession rate and comments on a pamphlet containing information on pediatric
emergencies for parents].
AB - OBJECTIVES: To establish an effective distribution method and necessary content
for a pamphlet on pediatric emergencies for parents and guardians of
kindergarteners by studying the possession rate of a pamphlet and impressions and
opinions about its content. METHODS: The number of subjects was 269. The pamphlet
was delivered via kindergartens to parents and guardians; two months later, an
anonymous questionnaire was sent inquiring about their possession rate and
impressions and opinions about the content of the pamphlet. The A6 20-page all
color pamphlet (designed by the author) comprised questions regarding their
decision to take their children to the hospital for 6 symptoms (fever, seizures,
vomiting, diarrhea, cough, and abdominal pain), knowledge about physical
assessment methods and homecare methods for children, and the phone numbers and
URLs of "24-hour emergency lines for children." RESULTS: The number of valid
responses was 111 (41.3%) (mean age: 36.0 +/- 4.50), with 74 (66.7%) retaining
the pamphlet. There were significant differences in their experiences of illness
in their children (P < 0.05) between the possession group and disposed of group,
the percentage being higher in the former. Among the subjects who retained the
pamphlet, 67 (90.5%) had read through it. Among them, 51 subjects (76.1%)
answered that it had useful pages, and 63 subjects (94.0%) answered that they
would use it in the future. Out of the information provided, including the 6
symptoms, frequently asked questions, records, and a list of phone numbers and
URLs, the most useful information according to them was "a list of phone numbers
and URLs" (28/54.9%), followed by "the decision to take the children to the
hospital for fever" (24/47.1%) and "frequently asked questions" (21/41.2%). The
possession rate was significantly higher in subjects who had experienced sudden
illnesses in CONCLUSION: their children. Therefore, the likelihood of the
pamphlet being retained and used would be higher if delivered to parents and
guardians with children of an age more susceptible to sudden illnesses.
Furthermore, many respondents chose "a list of phone numbers and URLs" as the
most useful information. Therefore, a pamphlet that demonstrates how necessary
information can be easily obtained and used may be more practical than one
containing all the information.
PMID- 22073626
TI - [Municipal housing support for people with mental disabilities to facilitate
transition from an institutional to community setting].
AB - OBJECTIVES: The study investigated the provision of support by municipalities to
assist people with mental disability securing a dwelling in the community.
METHODS: In September 2008, a questionnaire was sent to welfare divisions
concerned with people having mental disabilities in 1,805 municipalities
nationwide. Responses were returned from 1,141 municipalities (recovery rate:
63.2%). The survey analyzed housing support, financial aid, understanding of
transition and housing needs and recognition concerning health and welfare.
RESULTS: Of those municipalities surveyed: 7.0% had a support system for those
lacking a guarantor to sign a lease; 17.7% engaged in reducing the burden
involved in securing a dwelling, mainly by setting up a housing advisory desk;
and 5.0% worked with real-estate agents and housing providers to advance
cooperation. Housing benefits were provided in 12.9% of municipalities for those
living on welfare, with a special allowance equivalent to that for the physically
challenged. Financial support such as funding to cover the rent and other
relevant expenses was available in 2.5%. The number of people with mental
disabilities seeking to make the transition to community living and the actual
state of transition were not known in 52.9% of the municipalities; however, 64.9%
considered that the amenities of a dwelling for such people should be comparable
to those for the single-person household and the general public. Furthermore,
41.4% took actions to redress the negative images of people with mental
disabilities held by the community residents. The assessment of policy regarding
transition and housing support for people with mental disabilities revealed that
48.6% of municipalities considered a congregate living setting within the
community, while acknowledgement of an independent living setting within the
general neighborhood was low at 28.9%. Regarding the state of inter-ministerial
collaboration between the welfare division for people with disabilities and other
divisions, 25.5% indicated that support was provided in partnership with agencies
beyond the immediate scope of health and welfare. CONCLUSION: A framework for the
provision of municipality-managed transition and housing support for people with
mental disability has yet to be fully developed on a national scale. A
comprehensive policy regarding housing support is needed.
PMID- 22073627
TI - Amplifying the benefits of agroecology by using the right cultivars.
AB - Tropical soils are particularly vulnerable to fertility losses due to their low
capacity to retain organic matter and mineral nutrients. This urges the
development of new agricultural practices to manage mineral nutrients and organic
matter in a more sustainable way while relying less on fertilizer inputs. Two
methods pertaining to ecological engineering and agroecology have been tested
with some success: (1) the addition of biochar to the soil, and (2) the
maintenance of higher earthworm densities. However, modern crop varieties have
been selected to be adapted to agricultural practices and to the soil conditions
they lead to and common cultivars might not be adapted to new practices. Using
rice as a model plant, we compared the responsiveness to biochar and earthworms
of five rice cultivars with contrasted selection histories. These cultivars had
contrasted responsivenesses to earthworms, biochar, and the combination of both.
The mean relative increase in grain biomass, among all treatments and cultivars,
was 94% and 32%, respectively, with and without fertilization. Choosing the best
combination of cultivar and treatment led to a more than fourfold increase in
this mean benefit (a 437% and a 353% relative increase in grain biomass,
respectively, with and without fertilization). Besides, the more rustic cultivar,
a local landrace adapted to diverse and difficult conditions, responded the best
to earthworms in terms of total biomass, while a modern common cultivar responded
the best in term of grain biomass. This suggests that cultivars could be selected
to amplify the benefit of biochar- and earthworm-based practices. Overall,
selecting new cultivars interacting more closely with soil organisms and soil
heterogeneity could increase agriculture sustainability, fostering the positive
feedback loop between soils and plants that has evolved in natural ecosystems.
PMID- 22073628
TI - Nitrate production and availability in residential soils.
AB - The rapid increase in residential land area in the United States has raised
concern about water pollution associated with nitrogen fertilizers. Nitrate (NO3
) is the form of reactive N that is most susceptible to leaching and runoff;
thus, a more thorough understanding of nitrification and NO3(-) availability is
needed if we are to accurately predict the consequences of residential expansion
for water quality. In particular, there have been few assessments of how the land
use history, housing density, and age of residential soils influence NO3(-) pools
and fluxes, especially at depth. In this study, we used 1 m deep soil cores to
evaluate potential net nitrification and mineralization, microbial respiration
and biomass, and soil NO3(-) and NH4+ pools in 32 residential home lawns that
differed by previous land use and age, but had similar soil types. These were
compared to eight forested reference sites with similar soils. Our results
suggest that a change to residential land use has increased pools and production
of reactive N, which has clear implications for water quality in the region.
However, the results contradict the common assumption that NO3(-) production and
availability is dramatically higher in residential soils than in forests in
general. While net nitrification (128.6 +/- 15.5 mg m(-2) d(-1) vs. 4.7 +/- 2.3
mg m(-2) d(-1); mean +/- SE) and exchangeable NO3(-) (3.8 +/- 0.5 g/m2 vs. 0.7 +/
0.3 g/m2) were significantly higher in residential soils than in forest soils in
this study, these measures of NO3(-) production and availability were still
notably low, comparable to deciduous forest stands in other studies. A second
unexpected result was that current homeowner management practices were not
predictive of NO3(-) availability or production. This may reflect the transient
availability of inorganic N after fertilizer application. Higher housing density
and a history of agricultural land use were predictors of greater NO3(-)
availability in residential soils. If these factors are good predictors across a
wider range of sites, they may be useful indicators of NO3(-) availability and
leaching and runoff potential at the landscape scale.
PMID- 22073629
TI - Changes in hydrology and salinity accompanying a century of agricultural
conversion in Argentina.
AB - Conversions of natural woodlands to agriculture can alter the hydrologic balance,
aquifer recharge, and salinity of soils and groundwater in ways that influence
productivity and sustainable land use. Using a land-use change chronosequence in
semiarid woodlands of Argentina's Espinal province, we examined the distribution
of moisture and solutes and estimated recharge rates on adjacent plots of native
woodlands and rain-fed agriculture converted 6-90 years previously. Soil coring
and geoelectrical profiling confirmed the presence of spatially extensive salt
accumulations in dry woodlands and pervasive salt losses in areas converted to
agriculture. A 1.1-km-long electrical resistivity transect traversing woodland,
70-year-old agriculture, and woodland, for instance, revealed a low-resistivity
(high-salinity) horizon between approximately 3 m and 13 m depth in the woodlands
that was virtually absent in the agricultural site because of leaching. Nine
meter-deep soil profiles indicated a 53% increase in soil water storage after 30
or more years of cultivation. Conservative groundwater-recharge estimates based
on chloride tracer methods in agricultural plots ranged from approximately 12 to
45 mm/yr, a substantial increase from the <1 mm/yr recharge in dry woodlands. The
onset of deep soil moisture drainage and increased recharge led to >95% loss of
sulfate and chloride ions from the shallow vadose zone in most agriculture plots.
These losses correspond to over 100 Mg of sulfate and chloride salts potentially
released to the region's groundwater aquifers through time with each hectare of
deforestation, including a capacity to increase groundwater salinity to >4000
mg/L from these ions alone. Similarities between our findings and those of the
dryland salinity problems of deforested woodlands in Australia suggest an
important warning about the potential ecohydrological risks brought by the
current wave of deforestation in the Espinal and other regions of South America
and the world.
PMID- 22073630
TI - Potential shifts in dominant forest cover in interior Alaska driven by variations
in fire severity.
AB - Large fire years in which >1% of the landscape burns are becoming more frequent
in the Alaskan (USA) interior, with four large fire years in the past 10 years,
and 79 000 km2 (17% of the region) burned since 2000. We modeled fire severity
conditions for the entire area burned in large fires during a large fire year
(2004) to determine the factors that are most important in estimating severity
and to identify areas affected by deep-burning fires. In addition to standard
methods of assessing severity using spectral information, we incorporated
information regarding topography, spatial pattern of burning, and instantaneous
characteristics such as fire weather and fire radiative power. Ensemble
techniques using regression trees as a base learner were able to determine fire
severity successfully using spectral data in concert with other relevant
geospatial data. This method was successful in estimating average conditions, but
it underestimated the range of severity. This new approach was used to identify
black spruce stands that experienced intermediate- to high-severity fires in 2004
and are therefore susceptible to a shift in regrowth toward deciduous dominance
or mixed dominance. Based on the output of the severity model, we estimate that
39% (approximately 4000 km2) of all burned black spruce stands in 2004 had <10 cm
of residual organic layer and may be susceptible a postfire shift in plant
functional type dominance, as well as permafrost loss. If the fraction of area
susceptible to deciduous regeneration is constant for large fire years, the
effect of such years in the most recent decade has been to reduce black spruce
stands by 4.2% and to increase areas dominated or co-dominated by deciduous
forest stands by 20%. Such disturbance-driven modifications have the potential to
affect the carbon cycle and climate system at regional to global scales.
PMID- 22073631
TI - Temporal variability of forest fires in eastern Amazonia.
AB - Widespread occurrence of fires in Amazonian forests is known to be associated
with extreme droughts, but historical data on the location and extent of forest
fires are fundamental to determining the degree to which climate conditions and
droughts have affected fire occurrence in the region. We used remote sensing to
derive a 23-year time series of annual landscape-level burn scars in a fragmented
forest of the eastern Amazon. Our burn scar data set is based on a new routine
developed for the Carnegie Landsat Analysis System (CLAS), called CLAS-BURN, to
calculate a physically based burn scar index (BSI) with an overall accuracy of
93% (Kappa coefficient 0.84). This index uses sub-pixel cover fractions of
photosynthetic vegetation, non-photosynthetic vegetation, and shade/burn scar
spectral end members. From 23 consecutive Landsat images processed with the CLAS
BURN algorithm, we quantified fire frequencies, the variation in fire return
intervals, and rates of conversion of burned forest to other land uses in a 32
400 km2 area. From 1983 to 2007, 15% of the forest burned; 38% of these burned
forests were subsequently deforested, representing 19% of the area cleared during
the period of observation. While 72% of the fire-affected forest burned only once
during the 23-year study period, 20% burned twice, 6% burned three times, and 2%
burned four or more times, with the maximum of seven times. These frequencies
suggest that the current fire return interval is 5-11 times more frequent than
the estimated natural fire regime. Our results also quantify the substantial
influence of climate and extreme droughts caused by a strong El Nino Southern
Oscillation (ENSO) on the extent and likelihood of returning forest fires mainly
in fragmented landscapes. These results are an important indication of the role
of future warmer climate and deforestation in enhancing emissions from more
frequently burned forests in the Amazon.
PMID- 22073632
TI - No evidence that chronic nitrogen additions increase photosynthesis in mature
sugar maple forests.
AB - Atmospheric nitrogen (N) deposition can increase forest growth. Because N
deposition commonly increases foliar N concentrations, it is thought that this
increase in forest growth is a consequence of enhanced leaf-level photosynthesis.
However, tests of this mechanism have been infrequent, and increases in
photosynthesis have not been consistently observed in mature forests subject to
chronic N deposition. In four mature northern hardwood forests in the north
central United States, chronic N additions (30 kg N ha(-1) yr(-1) as NaNO3 for 14
years) have increased aboveground growth but have not affected canopy leaf
biomass or leaf area index. In order to understand the mechanism behind the
increases in growth, we hypothesized that the NO3(-) additions increased foliar N
concentrations and leaf-level photosynthesis in the dominant species in these
forests (sugar maple, Acer saccharum). The NO3(-) additions significantly
increased foliar N. However, there was no significant difference between the
ambient and +NO3(-) treatments in two seasons (2006-2007) of instantaneous
measurements of photosynthesis from either canopy towers or excised branches. In
measurements on excised branches, photosynthetic nitrogen use efficiency
(micromol CO2 s(-1) g(-1) N) was significantly decreased (-13%) by NO3(-)
additions. Furthermore, we found no consistent NO3(-) effect across all sites in
either current foliage or leaf litter collected annually throughout the study
(1993-2007) and analyzed for delta 13C and delta 18O, isotopes that can be used
together to integrate changes in photosynthesis over time. We observed a small
but significant NO3(-) effect on the average area and mass of individual leaves
from the excised branches, but these differences varied by site and were
countered by changes in leaf number. These photosynthesis and leaf area data
together suggest that NO3(-) additions have not stimulated photosynthesis. There
is no evidence that nutrient deficiencies have developed at these sites, so
unlike other studies of photosynthesis in N-saturated forests, we cannot
attribute the lack of a stimulation of photosynthesis to nutrient limitations.
Rather than increases in C assimilation, the observed increases in aboveground
growth at our study sites are more likely due to shifts in C allocation.
PMID- 22073633
TI - The influence of land use and climate change on forest biomass and composition in
Massachusetts, USA.
AB - Land use and climate change have complex and interacting effects on naturally
dynamic forest landscapes. To anticipate and adapt to these changes, it is
necessary to understand their individual and aggregate impacts on forest growth
and composition. We conducted a simulation experiment to evaluate regional forest
change in Massachusetts, USA over the next 50 years (2010-2060). Our objective
was to estimate, assuming a linear continuation of recent trends, the relative
and interactive influence of continued growth and succession, climate change,
forest conversion to developed uses, and timber harvest on live aboveground
biomass (AGB) and tree species composition. We examined 20 years of land use
records in relation to social and biophysical explanatory variables and used
regression trees to create "probability-of-conversion" and "probability-of
harvest" zones. We incorporated this information into a spatially interactive
forest landscape simulator to examine forest dynamics as they were affected by
land use and climate change. We conducted simulations in a full-factorial design
and found that continued forest growth and succession had the largest effect on
AGB, increasing stores from 181.83 Tg to 309.56 Tg over 50 years. The increase
varied from 49% to 112% depending on the ecoregion within the state. Compared to
simulations with no climate or land use, forest conversion reduced gains in AGB
by 23.18 Tg (or 18%) over 50 years. Timber harvests reduced gains in AGB by 5.23
Tg (4%). Climate change (temperature and precipitation) increased gains in AGB by
17.3 Tg (13.5%). Pinus strobus and Acer rubrum were ranked first and second,
respectively, in terms of total AGB throughout all simulations. Climate change
reinforced the dominance of those two species. Timber harvest reduced Quercus
rubra from 10.8% to 9.4% of total AGB, but otherwise had little effect on
composition. Forest conversion was generally indiscriminate in terms of species
removal. Under the naive assumption that future land use patterns will resemble
the recent past, we conclude that continued forest growth and recovery will be
the dominant mechanism driving forest dynamics over the next 50 years, and that
while climate change may enhance growth rates, this will be more than offset by
land use, primarily forest conversion to developed uses.
PMID- 22073634
TI - Assessing effects of land use on landscape connectivity: loss and fragmentation
of western U.S. forests.
AB - Effects of land-use change on the conservation of biodiversity have become a
concern to conservation scientists and land managers, who have identified loss
and fragmentation of natural areas as a high-priority issue. Despite urgent calls
to inform national, regional, and state planning efforts, there remains a
critical need to develop practical approaches to identify where important lands
are for landscape connectivity (i.e., linkages), where land use constrains
connectivity, and which linkages are most important to maintain network-wide
connectivity extents. Our overall goal in this paper was to develop an approach
that provides comprehensive, quantitative estimates of the effects of land-use
change on landscape connectivity and illustrate its use on a broad, regional
expanse of the western United States. We quantified loss of habitat and landscape
connectivity for western forested systems due to land uses associated with
residential development, roads, and highway traffic. We examined how these land
use changes likely increase the resistance to movement of forest species in non
forested land cover types and, therefore, reduce the connectivity among forested
habitat patches. To do so, we applied a graph-theoretic approach that
incorporates ecological aspects within a geographic representation of a network.
We found that roughly one-quarter of the forested lands in the western United
States were integral to a network of forested patches, though the lands outside
of patches remain critical for habitat and overall connectivity. Using remotely
sensed land cover data (ca. 2000), we found 1.7 million km2 of forested lands. We
estimate that land uses associated with residential development, roads, and
highway traffic have caused roughly a 4.5% loss in area (20 000 km2) of these
forested patches, and continued expansion of residential land will likely reduce
forested patches by another 1.2% by 2030. We also identify linkages among forest
patches that are critical for landscape connectivity. Our approach can be readily
modified to examine connectivity for other habitats/ecological systems and for
other geographic areas, as well as to address more specific requirements for
particular conservation planning applications.
PMID- 22073635
TI - Transient dynamics of invasive competition: barred owls, spotted owls, habitat,
and the demons of competition present.
AB - The recent range expansion of Barred Owls (Strix varia) into the Pacific
Northwest, where the species now co-occurs with the endemic Northern Spotted Owl
(Strix occidentalis caurina), resulted in a unique opportunity to investigate
potential competition between two congeneric, previously allopatric species. The
primary criticism of early competition research was the use of current species'
distribution patterns to infer past processes; however, the recent expansion of
the Barred Owl and the ability to model the processes that result in site
occupancy (i.e., colonization and extinction) allowed us to address the
competitive process directly rather than inferring past processes through current
patterns. The purpose of our study was to determine whether Barred Owls had any
negative effects on occupancy dynamics of nesting territories by Northern Spotted
Owls and how these effects were influenced by habitat characteristics of Spotted
Owl territories. We used single-species, multi-season occupancy models and
covariates quantifying Barred Owl detections and habitat characteristics to model
extinction and colonization rates of Spotted Owl pairs in southern Oregon, USA.
We observed a strong, negative association between Barred Owl detections and
colonization rates and a strong positive effect of Barred Owl detections on
extinction rates of Spotted Owls. We observed increased extinction rates in
response to decreased amounts of old forest at the territory core and higher
colonization rates when old-forest habitat was less fragmented. Annual site
occupancy for pairs reflected the strong effects of Barred Owls on occupancy
dynamics with much lower occupancy rates predicted for territories where Barred
Owls were detected. The strong Barred Owl and habitat effects on occupancy
dynamics of Spotted Owls provided evidence of interference competition between
the species. These effects increase the importance of conserving large amounts of
contiguous, old-forest habitat to maintain Northern Spotted Owls in the
landscape.
PMID- 22073636
TI - When conservation management becomes contraindicated: impact of food
supplementation on health of endangered wildlife.
AB - Understanding the conditions that force the implementation of management actions
and their efficiency is crucial for conservation of endangered species. Wildlife
managers are widely and increasingly using food supplementation for such species
because the potentially immediate benefits may translate into rapid conservation
improvements. Supplementary feeding can also pose risks eventually promoting
undesired, unexpected, subtle, or indirect, and often unnoticed, effects that are
generally poorly understood. For two decades, intensive food supplementation has
been used in attempting to improve the breeding productivity of the Spanish
Imperial Eagle, Aquila adalberti, one of the most endangered birds of prey in the
world. Here, we examined the impact of this intensive management action on
nestling health, including contamination, immunodepression, and acquisition of
disease agents derived from supplementation techniques and provisioned food.
Contrary to management expectations, we found that fed individuals were often
inadvertently "medicated" with pharmaceuticals (antibiotics and antiparasitics)
contained in supplementary food (domestic rabbits). Individuals fed with
medicated rabbits showed a depressed immune system and a high prevalence and
richness of pathogens compared with those with no or safe supplementary feeding
using non-medicated wild rabbits. A higher presence of antibiotics
(fluoroquinolones) was found in sick as opposed to healthy individuals among
eaglets with supplementary feeding, which points directly toward a causal effect
of these drugs in disease and other health impairments. This study represents a
telling example of well-meaning management strategies not based on sound
scientific evidence becoming a "contraindicated" action with detrimental
repercussions undermining possible beneficial effects by increasing the impact of
stochastic factors on extinction risk of endangered wildlife.
PMID- 22073637
TI - Quantifying the importance of patch-specific changes in habitat to metapopulation
viability of an endangered songbird.
AB - A growing number of programs seek to facilitate species conservation using
incentive-based mechanisms. Recently, a market-based incentive program for the
federally endangered Golden-cheeked Warbler (Dendroica chrysoparia) was
implemented on a trial basis at Fort Hood, an Army training post in Texas, USA.
Under this program, recovery credits accumulated by Fort Hood through contracts
with private landowners are used to offset unintentional loss of breeding habitat
of Golden-cheeked Warblers within the installation. Critical to successful
implementation of such programs is the ability to value, in terms of changes to
overall species viability, both habitat loss and habitat restoration or
protection. In this study, we sought to answer two fundamental questions: Given
the same amount of change in breeding habitat, does the change in some patches
have a greater effect on metapopulation persistence than others? And if so, can
characteristics of a patch (e.g., size or spatial location) be used to predict
how the metapopulation will respond to these changes? To answer these questions,
we describe an approach for using sensitivity analysis of a metapopulation
projection model to predict how changes to specific habitat patches would affect
species viability. We used a stochastic, discrete-time projection model based on
stage-specific estimates of survival and fecundity, as well as various
assumptions about dispersal among populations. To assess a particular patch's
leverage, we quantified how much metapopulation viability was expected to change
in response to changing the size of that patch. We then related original patch
size and distance from the largest patch to each patch's leverage to determine if
general patch characteristics could be used to develop guidelines for valuing
changes to patches within a metapopulation. We found that both the characteristic
that best predicted patch leverage and the magnitude of the relationship changed
under different model scenarios. Thus, we were unable to find a consistent set of
relationships, and therefore we emphasize the dangers in relying on general
guidelines to assess patch value. Instead, we provide an approach that can be
used to quantitatively evaluate patch value and identify critical needs for
future research.
PMID- 22073638
TI - Estimating age from recapture data: integrating incremental growth measures with
ancillary data to infer age-at-length.
AB - Estimating the age of individuals in wild populations can be of fundamental
importance for answering ecological questions, modeling population demographics,
and managing exploited or threatened species. Significant effort has been devoted
to determining age through the use of growth annuli, secondary physical
characteristics related to age, and growth models. Many species, however, either
do not exhibit physical characteristics useful for independent age validation or
are too rare to justify sacrificing a large number of individuals to establish
the relationship between size and age. Length-at-age models are well represented
in the fisheries and other wildlife management literature. Many of these models
overlook variation in growth rates of individuals and consider growth parameters
as population parameters. More recent models have taken advantage of hierarchical
structuring of parameters and Bayesian inference methods to allow for variation
among individuals as functions of environmental covariates or individual-specific
random effects. Here, we describe hierarchical models in which growth curves vary
as individual-specific stochastic processes, and we show how these models can be
fit using capture-recapture data for animals of unknown age along with data for
animals of known age. We combine these independent data sources in a Bayesian
analysis, distinguishing natural variation (among and within individuals) from
measurement error. We illustrate using data for African dwarf crocodiles,
comparing von Bertalanffy and logistic growth models. The analysis provides the
means of predicting crocodile age, given a single measurement of head length. The
von Bertalanffy was much better supported than the logistic growth model and
predicted that dwarf crocodiles grow from 19.4 cm total length at birth to 32.9
cm in the first year and 45.3 cm by the end of their second year. Based on the
minimum size of females observed with hatchlings, reproductive maturity was
estimated to be at nine years. These size benchmarks are believed to represent
thresholds for important demographic parameters; improved estimates of age,
therefore, will increase the precision of population projection models. The
modeling approach that we present can be applied to other species and offers
significant advantages when multiple sources of data are available and
traditional aging techniques are not practical.
PMID- 22073639
TI - Invasive competitor and native seed predators contribute to rarity of the narrow
endemic Astragalus sinuatus Piper.
AB - The conservation of rare plant species hinges on our ability to identify the
underlying mechanisms that limit rare plant populations. Theory on rarity
suggests that both predispersal seed predation and competition can be important
mechanisms influencing abundance and/or distribution of rare plant populations.
Yet few studies have tested these interactions, and those that have evaluated
each mechanism independently. Astragalus sinuatus Piper (Whited's milkvetch) is a
narrow endemic plant species restricted to eight populations within a 10-km2 area
in eastern Washington. We used experimental and observational methods to test the
effects of native insect predispersal seed predators and an invasive grass
(Bromus tectorum L. [cheatgrass]) on seed set and population density of A.
sinuatus. We quantified per capita seed production and pod predation rates across
four sites and among four years. Seed predation rates were high across four sites
(66-82%) and all years (65-82%). Experimental reduction of predispersal seed
predators significantly increased per capita seed set of A. sinuatus (164-345%)
at two experimental sites. Concurrently, two seed addition experiments
demonstrated the effect of seed loss and presence of B. tectorum on seedling
recruitment and establishment of A. sinuatus over four growing seasons. In the
first seed addition experiment, we found no difference in recruitment and
establishment between low (40) and high (120) seed addition levels. In the second
addition experiment (one level of addition; 40 seeds), we found that recruitment
and survivorship increased 200% in plots where B. tectorum was removed compared
to plots where B. tectorum was present. Thus, seed addition had no impact in the
presence of B. tectorum; conversely, in the absence of B. tectorum, seed addition
was highly effective at increasing population numbers. Results suggest that, in
areas where B. tectorum is present, recruitment is site limited, and it is seed
limited when B. tectorum is absent. We recommend that managers reduce B. tectorum
in an effort to increase population growth of A. sinuatus; in areas where B.
tectorum is absent, short-term reduction of insect predators should be considered
as a strategy to increase population growth of this rare species.
PMID- 22073640
TI - Metapopulation dynamics in the butterfly Hipparchia semele changed decades before
occupancy declined in The Netherlands.
AB - The survival of many species in human-dominated, fragmented landscapes depends on
metapopulation dynamics, i.e., on a dynamic equilibrium of extinctions and
colonizations in patches of suitable habitat. To understand and predict
distributional changes, knowledge of these dynamics can be essential, and for
this, metapopulation studies are preferably based on long-time-series data from
many sites. Alas, such data are very scarce. An alternative is to use
opportunistic data (i.e., collected without applying standardized field methods),
but these data suffer from large variations in field methods and search intensity
between sites and years. Dynamic site-occupancy models offer a general approach
to adjust for variable survey effort. These models extend classical
metapopulation models to account for imperfect detection of species and yield
estimates of the probabilities of occupancy, colonization, and survival of
species at sites. By accounting for detection, they fully correct for among-year
variability in search effort. As an illustration, we fitted a dynamic site
occupancy model to 60 years of presence-absence data (more precisely, detection
nondetection) of the heathland butterfly Hipparchia semele in The Netherlands.
Detection records were obtained from a database containing volunteer-based data
from 1950-2009, and nondetection records were deduced from database records of
other butterfly species. Our model revealed that metapopulation dynamics of
Hipparchia had changed decades before the species' distribution began to
contract. Colonization probability had already started to decline from 1950
onward, but this was counterbalanced by an increase in the survival of existing
populations, the result of which was a stable distribution. Only from 1990 onward
was survival not sufficient to compensate for the further decrease of
colonization, and occupancy started to decline. Hence, it appears that factors
acting many decades ago triggered a change in the metapopulation dynamics of this
species, which ultimately led to a severe decline in occupancy that only became
apparent much later. Our study emphasizes the importance of knowledge of changes
in survival and colonization of species in modern landscapes over a very long
time scale. It also demonstrates the power of site-occupancy modeling to obtain
important population dynamics information from databases containing opportunistic
sighting records.
PMID- 22073641
TI - Effects of chytrid fungus and a glyphosate-based herbicide on survival and growth
of wood frogs (Lithobates sylvaticus).
AB - Anthropogenic-derived stressors in the environment, such as contaminants, are
increasingly considered important cofactors that may decrease the immune response
of amphibians to pathogens. Few studies, however, have integrated amphibian
disease and contaminants to test this multiple-stressor hypothesis for amphibian
declines. We examined whether exposure to sublethal concentrations of a
glyphosate-based herbicide and two strains of the pathogenic chytrid fungus,
Batrachochrytrium dendrobatidis (Bd) could: (1) sublethally affect wood frogs
(Lithobates sylvaticus) by altering the time to and size at metamorphosis, and
(2) directly affect survivability of wood frogs after metamorphosis. Neither Bd
strain nor herbicide exposure alone significantly altered growth or time to
metamorphosis. The two Bd strains did not differ in their pathogenicity, and both
caused mortality in post-metamorphic wood frogs. There was no evidence of an
interaction between treatments, indicating a lack of herbicide-induced
susceptibility to Bd. However, the trends in our data suggest that exposure of
wood frogs to a high concentration of glyphosate-based herbicide may reduce Bd
caused mortality compared to animals exposed to Bd alone. These results exemplify
the complexities inherent when populations are coping with multiple stressors. In
this case, the perceived stressor, glyphosate-based herbicide, appeared to affect
the pathogen more than the host's immune system, relieving the host from disease
caused effects. This suggests caution when invoking multiple stressors as a cause
for increased disease susceptibility and indicates that the effects of multiple
stressors on disease outcome depend on the interrelationships of stressors to
both the pathogen and the host.
PMID- 22073642
TI - Predicting breeding habitat for amphibians: a spatiotemporal analysis across
Yellowstone National Park.
AB - The ability to predict amphibian breeding across landscapes is important for
informing land management decisions and helping biologists better understand and
remediate factors contributing to declines in amphibian populations. We built
geospatial models of likely breeding habitats for each of four amphibian species
that breed in Yellowstone National Park (YNP). We used field data collected in
2000-2002 from 497 sites among 16 basins and predictor variables from geospatial
models produced from remotely sensed data (e.g., digital elevation model, complex
topographic index, landform data, wetland probability, and vegetative cover).
Except for 31 sites in one basin that were surveyed in both 2000 and 2002, all
sites were surveyed once. We used polytomous regression to build statistical
models for each species of amphibian from (1) field survey site data only, (2)
field data combined with data from geospatial models, and (3) data from
geospatial models only. Based on measures of receiver operating characteristic
(ROC) scores, models of the second type best explained likely breeding habitat
because they contained the most information (ROC values ranged from 0.70 to
0.88). However, models of the third type could be applied to the entire YNP
landscape and produced maps that could be verified with reserve field data.
Accuracy rates for models built for single years were highly variable, ranging
from 0.30 to 0.78. Accuracy rates for models built with data combined from
multiple years were higher and less variable, ranging from 0.60 to 0.80.
Combining results from the geospatial multiyear models yielded maps of "core"
breeding areas (areas with high probability values for all three years)
surrounded by areas that scored high for only one or two years, providing an
estimate of variability among years. Such information can highlight landscape
options for amphibian conservation. For example, our models identify alternative
areas that could be protected for each species, including 6828-10 764 ha for
tiger salamanders, 971-3017 ha for western toads, 4732-16 696 ha for boreal
chorus frogs, and 4940-19 690 ha for Columbia spotted frogs.
PMID- 22073643
TI - Quantitative recommendations for amphibian terrestrial habitat conservation
derived from habitat selection behavior.
AB - Conservation scientists have noted that conservation managers rarely use
scientific information when making decisions. One of the reasons why managers
rarely use scientific information may be that conservation scientists rarely
provide their knowledge in a way that can directly be used by conservation
practitioners. Here we show how quantitative recommendations for conservation can
be derived. Previous research on terrestrial habitat selection behavior of toads
(Bufo bufo and Bufo viridis) showed that wood deposits are a key resource in the
terrestrial habitat. We used habitat-dependence analysis to estimate the amount
of this key resource, wood deposits, that individual toads require. Based on
these estimates we then quantify the requirements for wood deposits for a
population. Additionally, we quantified the area that a population requires.
Although wood deposits vary strongly in size, we found little evidence for size
preferences: only one species preferred smallest sizes of wood deposits. We
report all the estimates in a way that can be directly used by conservation
managers. Habitat-dependence analysis is a simple and useful tool to quantify
habitat requirements. Provisioning of wood deposits may improve the quality of
terrestrial habitat for amphibians. Thereby, managers may increase the carrying
capacity of terrestrial habitats and support elevated population densities.
PMID- 22073644
TI - The effects of regional angling effort, angler behavior, and harvesting
efficiency on landscape patterns of overfishing.
AB - We used a coupled social-ecological model to study the landscape-scale patterns
emerging from a mobile population of anglers exploiting a spatially structured
walleye (Sander vitreus) fishery. We systematically examined how variations in
angler behaviors (i.e., relative importance of walleye catch rate in guiding
fishing site choices), harvesting efficiency (as implied by varying degrees of
inverse density-dependent catchability of walleye), and angler population size
affected the depletion of walleye stocks across 157 lakes located near Thunder
Bay (Ontario, Canada). Walleye production biology was calibrated using lake
specific morphometric and edaphic features, and angler fishing site choices were
modeled using an empirically grounded multi-attribute utility function. We found
support for the hypothesis of sequential collapses of walleye stocks across the
landscape in inverse proportionality of travel cost from the urban residence of
anglers. This pattern was less pronounced when the regional angler population was
low, density-dependent catchability was absent or low, and angler choices of
lakes in the landscape were strongly determined by catch rather than non-catch
related attributes. Thus, our study revealed a systematic pattern of high catch
importance reducing overfishing potential at low and aggravating overfishing
potential at high angler population sizes. The analyses also suggested that
density-dependent catchability might have more serious consequences for regional
overfishing states than variations in angler behavior. We found little support
for the hypotheses of systematic overexploitation of the most productive walleye
stocks and homogenized catch-related qualities among lakes sharing similar access
costs to anglers. Therefore, one should not expect anglers to systematically
exploit the most productive fisheries or to equalize catch rates among lakes
through their mobility and other behaviors. This study underscores that
understanding landscape overfishing dynamics involves a careful appreciation of
angler population size and how it interacts with the attributes that drive angler
behaviors and depensatory mechanisms such as inverse density-dependent
catchability. Only when all of these ingredients are considered and understood
can one derive reasonably predictable patterns of overfishing in the landscape.
These patterns range from self-regulating systems with low levels of regional
fishing pressure to sequential collapse of walleye fisheries from the origin of
angling effort.
PMID- 22073645
TI - Influence of behavior and mating success on brood-specific contribution to fish
recruitment in ponds.
AB - One source of uncertainty in predicting the response of populations to
exploitation is individual differences within a population in both vulnerability
to capture and contribution to population renewal. For species with parental
care, individuals engaged in nesting behavior are often targeted for
exploitation, but predicting outcomes of this nonrandom vulnerability will depend
in part on an understanding of how parental traits are related to potential for
brood contribution to the population. Variation in brood-specific contribution to
recruitment of largemouth bass (Micropterus salmoides), a fish species with
extended parental care, was quantified to determine if differences in mating
success, parental care behaviors, and timing of reproduction influenced offspring
recruitment. Dependence of these relationships on brood predation was tested in
communities that differed in the presence of bluegill, Lepomis macrochirus, an
important nest predator. Daily snorkel surveys were conducted in experimental
ponds during spring to monitor male spawning and parental care behaviors in
populations of largemouth bass. Tissue samples collected from larvae in nests
were used to develop brood-specific DNA fingerprints for determining nest origins
of fall recruits. Largemouth bass spawning period in bluegill ponds was longer
and more variable in duration, with lower, more variable mating success, than in
ponds without bluegill. In all populations, only one or two broods provided the
majority of recruits, and these were broods produced during the earliest days of
spawning by the oldest, largest males. In bluegill ponds, brood contribution from
earliest nests also increased with brood size. Earliest nesters were the oldest
males, and recruits from these nests were often above average in body size.
Offspring needed to be guarded to at least swim-up larval stage to contribute any
recruits. Termination of parental protection before offspring were free swimming
mainly occurred with broods guarded by smaller males in ponds with brood
predators. These age- and size-specific differences in timing of spawning and
duration of parental care are consistent with influences of residual reproductive
value and energetic constraints on reproductive behavior. Furthermore, these
patterns of individual contribution to recruitment imply that fisheries that
selectively target either nesting individuals or larger, older males could
potentially decrease recruitment at the population scale.
PMID- 22073646
TI - Assessing ecosystem vulnerability to invasive rusty crayfish (Orconectes
rusticus).
AB - Despite the widespread introduction of nonnative species and the heterogeneity of
ecosystems in their sensitivity to ecological impacts, few studies have assessed
ecosystem vulnerability to the entire invasion process, from arrival to
establishment and impacts. Our study addresses this challenge by presenting a
probabilistic, spatially explicit approach to predicting ecosystem vulnerability
to species invasions. Using the freshwater-rich landscapes of Wisconsin, USA, we
model invasive rusty crayfish (Orconectes rusticus) as a function of exposure
risk (i.e., likelihood of introduction and establishment of O. rusticus based on
a species distribution model) and the sensitivity of the recipient community
(i.e., likelihood of impacts on native O. virilis and O. propinquus based on a
retrospective analysis of population changes). Artificial neural networks
predicted that approximately 10% of 4200 surveyed lakes (n = 388) and
approximately 25% of mapped streams (23 523 km total length) are suitable for O.
rusticus introduction and establishment. A comparison of repeated surveys before
vs. post-1985 revealed that O. virilis was six times as likely and O. propinquus
was twice as likely to be extirpated in streams invaded by O. rusticus, compared
to streams that were not invaded. Similarly, O. virilis was extirpated in over
three-quarters of lakes invaded by O. rusticus compared to half of the uninvaded
lakes, whereas no difference was observed for O. propinquus. We identified 115
lakes (approximately 3% of lakes) and approximately 5000 km of streams
(approximately 6% of streams) with a 25% chance of introduction, establishment,
and extirpation by O. rusticus of either native congener. By identifying highly
vulnerable ecosystems, our study offers an effective strategy for prioritizing on
the-ground management action and informing decisions about the most efficient
allocation of resources. Moreover, our results provide the flexibility for
stakeholders to identify priority sites for prevention efforts given a maximum
level of acceptable risk or based on budgetary or time restrictions. To this end,
we incorporate the model predictions into a new online mapping tool with the
intention of closing the communication gap between academic research and
stakeholders that requires information on the prospects of future invasions.
PMID- 22073647
TI - Spatial connectivity in a large river system: resolving the sources and fate of
dissolved organic matter.
AB - Large rivers are generally heterogeneous and productive systems that receive
important inputs of dissolved organic matter (DOM) from terrestrial and in situ
sources. Thus, they are likely to play a significant role in the biogeochemical
cycling of the DOM flowing to the oceans. The asymmetric spatial gradient driven
by directional flow and environmental heterogeneity contributes to the fate of
DOM flowing downstream. Yet, the relative effects of spatial connectivity and
environmental heterogeneity on DOM dynamics are poorly understood. For example,
since environmental variables show spatial heterogeneity, the variation explained
by environmental and spatial variables may be redundant. We used the St. Lawrence
River (SLR) as a representative large river to resolve the unique influences of
environmental heterogeneity and spatial connectivity on DOM dynamics. We used
three-dimensional fluorescence matrices combined with parallel factor analysis
(PARAFAC) to characterize the DOM pool in the SLR. Seven fluorophores were
modeled, of which two were identified to be of terrestrial origin and three from
algal exudates. We measured a set of environmental variables that are known to
drive the fate of DOM in aquatic systems. Additionally, we used asymmetric
eigenvector map (AEM) modeling to take spatial connectivity into account. The
combination of spatial and environmental models explained 85% of the DOM
variation. We show that spatial connectivity is an important driver of DOM
dynamics, as a large fraction of environmental heterogeneity was attributable to
the asymmetric spatial gradient. Along the longitudinal axis, we noted a rapid
increase in dissolved organic carbon (DOC), mostly controlled by terrestrial
input of DOM originating from the tributaries. Variance partitioning demonstrated
that freshly produced protein-like DOM was found to be the preferential substrate
for heterotrophic bacteria undergoing rapid proliferation, while humic-like DOM
was more correlated to the diffuse attenuation coefficient of UVA radiation.
PMID- 22073648
TI - Denitrification mitigates N flux through the stream-floodplain complex of a
desert city.
AB - The Indian Bend Wash (IBW) flood-control project relies on a greenbelt to carry
floods through Scottsdale, Arizona, USA. The greenbelt is characterized by a
chain of shallow artificial lakes in a larger floodplain of irrigated turf, which
has been protected from encroaching urban development. As such, this urban stream
floodplain complex can be divided into three subsystems: artificial lakes,
channelized stream segments, and floodplain. We conducted experiments to evaluate
which, if any, of these subsystems were important sites of denitrification, and
to explore factors controlling denitrification rates. Denitrification enzyme
activity (DEA) bioassays were conducted on sediments from eight lake and six
stream segments as well as soil samples from eight floodplain transects. Mass
specific potential denitrification rates were significantly higher in lakes than
in streams or floodplains. Nutrient limitation bioassays revealed that nitrate
(NO3-) limited denitrification in lake sediments, a surprising finding given that
NO3(-)-rich groundwater additions frequently raised lake NO3(-) concentration
above 1 mg N/L. Experiments on intact lake cores suggested that denitrification
was limited by the rate NO3(-) diffused into sediments, rather than its
availability in overlying water. Floodplain denitrification was limited by water
content, not NO3(-) or C, and irrigation of soils stimulated denitrification. We
constructed a N budget for the IBW stream-floodplain complex based on our
experimental results. We found that both lakes and floodplains removed large
quantities of N, with denitrification removing 261 and 133 kg N ha(-1) yr(-1)
from lake sediments and floodplain soils, respectively, indicating that lakes are
hotspots for denitrification. Nevertheless, because floodplain area was >4.5
times that of lakes, floodplain soils removed nearly 2.5 times as much N as lake
sediments. Given the desert's low annual precipitation, a finding that floodplain
soils are active sites of denitrification might seem implausible; however,
irrigation is common in urban landscapes, and it elevated annual denitrification
in IBW. Based on our results, we conclude that construction of artificial lakes
created hotspots while application of irrigation water created hot moments for
denitrification in the stream-floodplain complex, demonstrating that management
decisions can improve the ability of urban streams to provide critical ecosystem
services like N retention.
PMID- 22073649
TI - Ecosystem services and urban heat riskscape moderation: water, green spaces, and
social inequality in Phoenix, USA.
AB - Urban ecosystems are subjected to high temperatures--extreme heat events,
chronically hot weather, or both-through interactions between local and global
climate processes. Urban vegetation may provide a cooling ecosystem service,
although many knowledge gaps exist in the biophysical and social dynamics of
using this service to reduce climate extremes. To better understand patterns of
urban vegetated cooling, the potential water requirements to supply these
services, and differential access to these services between residential
neighborhoods, we evaluated three decades (1970-2000) of land surface
characteristics and residential segregation by income in the Phoenix, Arizona,
USA metropolitan region. We developed an ecosystem service trade-offs approach to
assess the urban heat riskscape, defined as the spatial variation in risk
exposure and potential human vulnerability to extreme heat. In this region,
vegetation provided nearly a 25 degrees C surface cooling compared to bare soil
on low-humidity summer days; the magnitude of this service was strongly coupled
to air temperature and vapor pressure deficits. To estimate the water loss
associated with land-surface cooling, we applied a surface energy balance model.
Our initial estimates suggest 2.7 mm/d of water may be used in supplying cooling
ecosystem services in the Phoenix region on a summer day. The availability and
corresponding resource use requirements of these ecosystem services had a
strongly positive relationship with neighborhood income in the year 2000.
However, economic stratification in access to services is a recent development:
no vegetation-income relationship was observed in 1970, and a clear trend of
increasing correlation was evident through 2000. To alleviate neighborhood
inequality in risks from extreme heat through increased vegetation and
evaporative cooling, large increases in regional water use would be required.
Together, these results suggest the need for a systems evaluation of the
benefits, costs, spatial structure, and temporal trajectory for the use of
ecosystem services to moderate climate extremes. Increasing vegetation is one
strategy for moderating regional climate changes in urban areas and
simultaneously providing multiple ecosystem services. However, vegetation has
economic, water, and social equity implications that vary dramatically across
neighborhoods and need to be managed through informed environmental policies.
PMID- 22073650
TI - The interplay between environmental conditions and allee effects during the
recovery of stressed zooplankton communities.
AB - Many important ecological phenomena depend on the success or failure of small
introduced populations. Several factors are thought to influence the fate of
small populations, including resource and habitat availability, dispersal levels,
interspecific interactions, mate limitation, and demographic stochasticity.
Recent field studies suggest that Allee effects resulting from mate limitation
can prevent the reestablishment of sexual zooplankton species following a
disturbance. In this study, we explore the interplay between Allee effects and
local environmental conditions in determining the population growth and
establishment of two acid-sensitive zooplankton species that have been impacted
by regional anthropogenic acidification. We conducted a factorial design field
experiment to test the impact of pH and initial organism densities on the per
capita population growth (r) of the sexual copepod Epischura lacustris and the
seasonally parthenogenetic cladoceran Daphnia mendotae. In addition, we conducted
computer simulations using r values obtained from our experiments to determine
the probability of extinction for small populations of acid-sensitive colonists
that are in the process of colonizing recovering lakes. The results of our field
experiment demonstrated that local environmental conditions can moderate the
impacts of Allee effects for E. lacustris: Populations introduced at low
densities had a significantly lower r at pH 6 than at pH 7. In contrast, r did
not differ between pH 6 and 7 environments when E. lacustris populations were
introduced at high densities. D. mendotae was affected by pH levels, but not by
initial organism densities. Results from our population growth simulations
indicated that E. lacustris populations introduced at low densities to pH 6
conditions had a higher probability of extinction than those introduced at low
densities to a pH 7 environment. Our study indicates that environmental
conditions and mate limitation can interact to determine the fate of small
populations of sexually reproducing zooplankton species. If a more rapid recovery
of acid-damaged zooplankton communities is desired, augmentation of dispersal
levels may be needed during the early phases of pH recovery in order to increase
the probability of establishment for mate-limited zooplankton species.
PMID- 22073652
TI - Gridded meteorological data as a resource for mechanistic macroecology in coastal
environments.
AB - Gridded weather data were evaluated as sources of forcing variables for
biophysical models of intertidal animal body temperature with model results
obtained using local weather station data serving as the baseline of comparison.
The objective of the study was to determine which gridded data are sufficient to
capture observed patterns of thermal stress. Three coastal sites in western North
America were included in this analysis: Boiler Bay, Oregon; Bodega Bay,
California; and Pacific Grove, California. The gridded data with the highest
spatial resolution, the 32-km North American Regional Reanalysis (NARR) and the
38-km Climate Forecasting System Reanalysis (CFSR), predicted daily maximum
intertidal animal temperature most similarly to the local weather Station data.
Time step size was important for variables that change rapidly throughout the
day, such as solar radiation. There were site-based differences in the ability of
the model to predict daily maximum intertidal animal temperature, with the
gridded data predictions being the closest to local weather station predictions
in Boiler Bay, Oregon. In a review of gridded data used as part of ecological
studies, there was broad use of the data across subject areas and ecosystems so
the recent improvements in the spatial (from 2 degrees to 32 km) and temporal
scales (from 6 hours to 1 hour) of gridded data will further add to the
applicability within the ecological community particularly for mechanistic
studies.
PMID- 22073651
TI - Effect of temperature on biogeochemistry of marine organic-enriched systems:
implications in a global warming scenario.
AB - Coastal biogeochemical cycles are expected to be affected by global warming. By
means of a mesocosm experiment, the effect of increased water temperature on the
biogeochemical cycles of coastal sediments affected by organic-matter enrichment
was tested, focusing on the carbon, sulfur, and iron cycles. Nereis diversicolor
was used as a model species to simulate macrofaunal bioirrigation activity in
natural sediments. Although bioirrigation rates of N. diversicolor were not
temperature dependent, temperature did have a major effect on the sediment
metabolism. Under organic-enrichment conditions, the increase in sediment
metabolism was greater than expected and occurred through the enhancement of
anaerobic metabolic pathway rates, mainly sulfate reduction. There was a twofold
increase in sediment metabolism and the accumulation of reduced sulfur. The
increase in the benthic metabolism was maintained by the supply of electron
acceptors through bioirrigation and as a result of the availability of iron in
the sediment. As long as the sediment buffering capacity toward sulfides is not
surpassed, an increase in temperature might promote the recovery of organic
enriched sediments by decreasing the time for mineralization of excess organic
matter.
PMID- 22073653
TI - Poor-data and data-poor species stock assessment using a Bayesian hierarchical
approach.
AB - Appropriate inference for stocks or species with low-quality data (poor data) or
limited data (data poor) is extremely important. Hierarchical Bayesian methods
are especially applicable to small-area, small-sample-size estimation problems
because they allow poor-data species to borrow strength from species with good
quality data. We used a hammerhead shark complex as an example to investigate the
advantages of using hierarchical Bayesian models in assessing the status of poor
data and data-poor exploited species. The hammerhead shark complex (Sphyrna spp.)
along the Atlantic and Gulf of Mexico coasts of the United States is composed of
three species: the scalloped hammerhead (S. lewini), the great hammerhead (S.
mokarran), and the smooth hammerhead (S. zygaena) sharks. The scalloped
hammerhead comprises 70-80% of the catch and has catch and relative abundance
data of good quality, whereas great and smooth hammerheads have relative
abundance indices that are both limited and of low quality presumably because of
low stock density and limited sampling. Four hierarchical Bayesian state-space
surplus production models were developed to simulate variability in population
growth rates, carrying capacity, and catchability of the species. The results
from the hierarchical Bayesian models were considerably more robust than those of
the nonhierarchical models. The hierarchical Bayesian approach represents an
intermediate strategy between traditional models that assume different population
parameters for each species and those that assume all species share identical
parameters. Use of the hierarchical Bayesian approach is suggested for future
hammerhead shark stock assessments and for modeling fish complexes with species
specific data, because the poor-data species can borrow strength from the species
with good data, making the estimation more stable and robust.
PMID- 22073654
TI - Estimating cyanobacterial bloom transport by coupling remotely sensed imagery and
a hydrodynamic model.
AB - The ability to forecast the transport of harmful cyanobacterial blooms in the
Laurentian Great Lakes is beneficial to natural resource managers concerned with
public health. This manuscript describes a method that improves the prediction of
cyanobacterial bloom transport with the use of a preoperational hydrodynamic
model and high temporal resolution satellite imagery. Two scenarios were examined
from separate cyanobacterial blooms in western Lake Erie, USA. The first scenario
modeled bloom position and extent over the span of 13 days. A geographic center,
or centroid, was calculated and assigned to the bloom from observed satellite
imagery. The bloom centroid was projected forward in time, and the projected
position was compared to the final observed bloom centroid. Image pixels flagged
as cyanobacterial bloom were compared between the initial image and the final
image, and this was assumed as persistence. The second bloom scenario was modeled
for a period of 12 days, and the results were framed in an ecological context in
an effort to gain further understanding of cyanobacterial bloom dynamics. These
modeling techniques can be incorporated into an operational forecasting system.
PMID- 22073655
TI - A model-based approach to determine the long-term effects of multiple interacting
stressors on coral reefs.
AB - The interaction between multiple stressors on Caribbean coral reefs, namely,
fishing effort and hurricane impacts, is a key element in the future
sustainability of reefs. We develop an analytic model of coral-algal interactions
and explicitly consider grazing by herbivorous reef fish. Further, we consider
changes in structural complexity, or rugosity, in addition to the direct impacts
of hurricanes, which are implemented as stochastic jump processes. The model
simulations consider various levels of fishing effort corresponding to' several
hurricane frequencies and impact levels dependent on geographic location. We
focus on relatively short time scales so we do not explicitly include changes in
ocean temperature, chemistry, or sea level rise. The general features of our
approach would, however, apply to these other stressors and to the management of
other systems in the face of multiple stressors. It is determined that the
appropriate management policy, either local reef restoration or fisheries
management, greatly depends on hurricane frequency and impact level. For
sufficiently low hurricane impact and macroalgal growth rate, our results
indicate that regions with lower-frequency hurricanes require stricter fishing
regulations, whereas management in regions with higher-frequency hurricanes might
be less concerned with enhancing grazing and instead consider whether local-scale
restorative activities to increase vertical structure are cost-effective.
PMID- 22073656
TI - Spatial surplus production modeling of Atlantic tunas and billfish.
AB - We formulate and simulation-test a spatial surplus production model that provides
a basis with which to undertake multispecies, multi-area, stock assessment.
Movement between areas is parameterized using a simple gravity model that
includes a "residency" parameter that determines the degree of stock mixing among
areas. The model is deliberately simple in order to (1) accommodate nontarget
species that typically have fewer available data and (2) minimize computational
demand to enable simulation evaluation of spatial management strategies. Using
this model, we demonstrate that careful consideration of spatial catch and effort
data can provide the basis for simple yet reliable spatial stock assessments. If
simple spatial dynamics can be assumed, tagging data are not required to reliably
estimate spatial distribution and movement. When applied to eight stocks of
Atlantic tuna and billfish, the model tracks regional catch data relatively well
by approximating local depletions and exchange among high-abundance areas. We use
these results to investigate and discuss the implications of using spatially
aggregated stock assessment for fisheries in which the distribution of both the
population and fishing vary over time.
PMID- 22073657
TI - Spatial factors affecting statistical power in testing marine fauna displacement.
AB - Impacts of offshore wind farms on marine fauna are largely unknown. Therefore,
one commonly adheres to the precautionary principle, which states that one shall
take action to avoid potentially damaging impacts on marine ecosystems, even when
full scientific certainty is lacking. We implement this principle by means of a
statistical power analysis including spatial factors. Implementation is based on
geostatistical simulations, accommodating for zero-inflation in species data. We
investigate scenarios in which an impact assessment still has to be carried out.
Our results show that the environmental conditions at the time of the survey is
the most influential factor on power. This is followed by survey effort and
species abundance in the reference situation. Spatial dependence in species
numbers at local scales affects power, but its effect is smaller for the
scenarios investigated. Our findings can be used to improve effectiveness of the
economical investment for monitoring surveys. In addition, unnecessary extra
survey effort, and related costs, can be avoided when spatial dependence in
species abundance is present and no improvement on power is achieved.
PMID- 22073658
TI - Quantifying secondary pest outbreaks in cotton and their monetary cost with
causal-inference statistics.
AB - Secondary pest outbreaks occur when the use of a pesticide to reduce densities of
an unwanted target pest species triggers subsequent outbreaks of other pest
species. Although secondary pest outbreaks are thought to be familiar in
agriculture, their rigorous documentation is made difficult by the challenges of
performing randomized experiments at suitable scales. Here, we quantify the
frequency and monetary cost of secondary pest outbreaks elicited by early-season
applications of broad-spectrum insecticides to control the plant bug Lygus spp.
(primarily L. hesperus) in cotton grown in the San Joaquin Valley, California,
USA. We do so by analyzing pest-control management practices for 969 cotton
fields spanning nine years and 11 private ranches. Our analysis uses statistical
methods to draw formal causal inferences from nonexperimental data that have
become popular in public health and economics, but that are not yet widely known
in ecology or agriculture. We find that, in fields that received an early-season
broad-spectrum insecticide treatment for Lygus, 20.2% +/- 4.4% (mean +/- SE) of
late-season pesticide costs were attributable to secondary pest outbreaks
elicited by the early-season insecticide application for Lygus. In 2010 U.S.
dollars, this equates to an additional $6.00 +/- $1.30 (mean +/- SE) per acre in
management costs. To the extent that secondary pest outbreaks may be driven by
eliminating pests' natural enemies, these figures place a lower bound on the
monetary value of ecosystem services provided by native communities of arthropod
predators and parasitoids in this agricultural system.
PMID- 22073659
TI - Indicators of ecosystem function identify alternate states in the sagebrush
steppe.
AB - Models of ecosystem change that incorporate nonlinear dynamics and thresholds,
such as state-and-transition models (STMs), are increasingly popular tools for
land management decision-making. However, few models are based on systematic
collection and documentation of ecological data, and of these, most rely solely
on structural indicators (species composition) to identify states and
transitions. As STMs are adopted as an assessment framework throughout the United
States, finding effective and efficient ways to create data-driven models that
integrate ecosystem function and structure is vital. This study aims to (1)
evaluate the utility of functional indicators (indicators of rangeland health,
IRH) as proxies for more difficult ecosystem function measurements and (2) create
a data-driven STM for the sagebrush steppe of Colorado, USA, that incorporates
both ecosystem structure and function. We sampled soils, plant communities, and
IRH at 41 plots with similar clayey soils but different site histories to
identify potential states and infer the effects of management practices and
disturbances on transitions. We found that many IRH were correlated with
quantitative measures of functional indicators, suggesting that the IRH can be
used to approximate ecosystem function. In addition to a reference state that
functions as expected for this soil type, we identified four biotically and
functionally distinct potential states, consistent with the theoretical concept
of alternate states. Three potential states were related to management practices
(chemical and mechanical shrub treatments and seeding history) while one was
related only to ecosystem processes (erosion). IRH and potential states were also
related to environmental variation (slope, soil texture), suggesting that there
are environmental factors within areas with similar soils that affect ecosystem
dynamics and should be noted within STMs. Our approach generated an objective,
data-driven model of ecosystem dynamics for rangeland management. Our findings
suggest that the IRH approximate ecosystem processes and can distinguish between
alternate states and communities and identify transitions when building data
driven STMs. Functional indicators are a simple, efficient way to create data
driven models that are consistent with alternate state theory. Managers can use
them to improve current model-building methods and thus apply state-and
transition models more broadly for land management decision-making.
PMID- 22073660
TI - Assessing landscape structure and pattern fragmentation in semiarid ecosystems
using patch-size distributions.
AB - Spatial vegetation patterns are recognized as sources of valuable information
that can be used to infer the state and functionality of semiarid ecosystems,
particularly in the context of both climate and land use change. Recent studies
have suggested that the patch-size distribution of vegetation in drylands can be
described using power-law metrics, and that these scale-free distributions
deviate from power-law linearity with characteristic scale lengths under the
effects of increasing aridity or human disturbance, providing an early sign of
desertification. These findings have been questioned by several modeling
approaches, which have identified the presence of characteristic scale lengths on
the patch-size distribution of semiarid periodic landscapes. We analyze the
relationship between fragmentation of vegetation patterns and their patch-size
distributions in semiarid landscapes showing different degree of periodicity
(i.e., banding). Our assessment is based on the study of vegetation patterns
derived from remote sensing in a series of semiarid Australian Mulga shrublands
subjected to different disturbance levels. We use the patch-size probability
density and cumulative probability distribution functions from both
nondirectional and downslope analyses of the vegetation patterns. Our results
indicate that the shape of the patch-size distribution of vegetation changes with
the methodology of analysis applied and specific landscape traits, breaking the
universal applicability of the power-law metrics. Characteristic scale lengths
are detected in (quasi) periodic banded ecosystems when the methodology of
analysis accounts for critical landscape anisotropies, using downslope transects
in the direction of flow paths. In addition, a common signal of fragmentation is
observed: the largest vegetation patches become increasingly less abundant under
the effects of disturbance. This effect also explains deviations from power-law
behavior in disturbed vegetation which originally showed scale-free patterns.
Overall, our results emphasize the complexity of structure assessment in dryland
ecosystems, while recognizing the usefulness of the patch-size distribution of
vegetation for monitoring semiarid ecosystems, especially through the cumulative
probability distributions, which showed high sensitivity to fragmentation of the
vegetation patterns. We suggest that preserving large vegetation patches is a
critical task for the maintenance of the ecosystem structure and functionality.
PMID- 22073661
TI - Ecosystem development in roadside grasslands: biotic control, plant-soil
interactions, and dispersal limitations.
AB - Roadside grasslands undergoing secondary succession are abundant, and represent
ecologically meaningful examples of novel, human-created ecosystems. Interactions
between plant and soil communities (hereafter plant-soil interactions) are of
major importance in understanding the role of biotic control in ecosystem
functioning, but little is known about these links in the context of ecosystem
restoration and succession. The assessment of the key biotic communities and
interactions driving ecosystem development will help practitioners to better
allocate the limited resources devoted to roadside grassland restoration. We
surveyed roadside grasslands from three successional stages (0-2, 7-9, and >20
years) in two Mediterranean regions of Spain. Structural equation modeling was
used to evaluate how interactions between plants, biological soil crusts (BSCs),
and soil microbial functional diversity (soil microorganisms) affect indicators
of ecosystem development and restoration: plant similarity to the reference
ecosystem, erosion control, and soil C storage and N accumulation. Changes in
plant community composition along the successional gradient exerted the strongest
influence on these indicators. High BSC cover was associated with high soil
stability, and high soil microbial functional diversity from late-successional
stages was associated with high soil fertility. Contrary to our expectations, the
indirect effects of plants, mediated by either BSCs or soil microorganisms, were
very weak in both regions, suggesting a minor role for plant-soil interactions
upon ecosystem development indicators over long periods. Our results suggest that
natural vegetation dynamics effectively improved ecosystem development within a
time frame of 20 years in the grasslands evaluated. They also indicate that this
time could be shortened if management actions focus on: (1) maintaining well
conserved natural areas close to roadsides to enhance plant compositional changes
towards late-successional stages, (2) increasing BSC cover in areas under strong
erosion risk, to avoid soil loss, and (3) enhancing soil microbial functional
diversity in resource-limited areas, to enhance soil C and N accumulation.
PMID- 22073662
TI - Phosphorus flow analysis of the socioeconomic ecosystem of Shucheng County,
China.
AB - Human activities disturb the long-term phosphorus (P) cycle in nature, whereby
the resulting intensive release of P contributes to the eutrophication of surface
water. Hence, a detailed understanding of P flow as it relates to socioeconomic
systems is essential for effective nutrient management. This study develops a
substance-flow-analysis model for P metabolism for the socioeconomic ecosystem of
Shucheng County in Anhui Province in central China as a case study. We estimate P
flow using data from questionnaires, face-to-face interviews, published
literature, and official statistical databases. Our results show that P flow in
Shucheng's current socioeconomic system is linear and openly metabolic. The total
P input into Shucheng in 2008 reached 12 748 Mg, mainly as P ores and crops. In
all, 43.83% of the total P input was exported, and 30.44% was discharged into
surface water. More-balanced nutrient management and options for improving
nutrient use efficiency are discussed. The quantifiable, science-based methods
used in this study may be applied to neighboring regions of central China for
sustainable development and water management.
PMID- 22073663
TI - An alternative view of ecological community thresholds and appropriate analyses
for their detection: comment.
PMID- 22073664
TI - [The century of noise].
AB - When did, actually, "noise pollution" begin to coexist along with our daily life
and activities and change our environmental natural sounds, which hadn't changed
for centuries? Industrial revolution, first, and the introduction of new
technologies later, introduced "noise" as one of the most relevant features that
marked the development of progress. Later on, it became the distinctive
characteristic of the XX century. The incessant rumble of industrial machinery,
of weapons and cannons from the wars, the roar of cars in the streets and
aeroplanes from the sky, the deafening sound of XX century music, as well as the
shouting out of new political ideas suddenly invaded the new century. They became
part of our daily life so much as almost to become a new lay religion of
modernity. As the century proceeded towards economic boom, a "new noisy Man"
complied with this change, becoming the anthropologic prototype who was able to
adapt to the new style of life and even contribute to its expansion. All this
finally allows, to day, a definition of the XX century as the "century of noise".
PMID- 22073665
TI - [Metalworking industry management evolution].
AB - Analysis of the evolution drivers of the management systems in the metalworking
industry, mainly characterized as "automotive", starting with the "mass
production" model, followed for the development of Italian industry in the '50.
Through the socio-economic changes of the '90/10, the metalworking plants were
deeply restructured with the introduction of computers in the production systems,
and then with the first global benchmarks such as the "lean production", towards
the needed operational flexibility to respond to the market dynamics. Plants
change radically, company networks become real, ICT services are fundamental
elements for the integration. These trends help visualizing a new "Factory of the
Future" for the years 2020/30, where the competition will be based on the socio
economical, technological and environmental factors included in the "Competitive
Sustainable Manufacturing" paradigm.
PMID- 22073666
TI - [Technological evolution in the manufacting industry].
AB - In this paper the 5 contemporary paradigms of the production technologies are
summarised and the potential developments are examined. Further, the most
advanced machining technologies are illustrated and the developments in the
machine tools and in the tools that are deeply transforming the production
environment, with particular reference to machining.
PMID- 22073667
TI - [The present state of the clinical and epidemiologic research on chemical and
carcinogenic risks in the metalworking industry].
AB - In this report several publications on clinical-epidemiologic patterns are
presented regarding chemical and oncogenic risk in the metalworking industry.
Sources of information were mainly PubMed and TOXNET. As far as cancer is
concerned the body of the epidemiological data is difficult to interpret, mainly
due to the fact that even the most recent papers and reviews refer to past
exposure to MWF. Moreover, the great number of cancer sites are hardly
explainable as to the biological plausibility. However, it is likely that current
problems might be overcome by the almost total elimination of PAH and some
additives. Moreover, cancer risk for welders and painters should be considered
according to the different techniques used. Several studies reported repeated
outbreaks of Hypersensitivity Pneumonitis, especially in US, most probably caused
by mycobacterial antigens present in water-based oils. In Italy this disease is
probably misdiagnosed or underreported. Some cross-sectional studies on
respiratory disease and hearing loss, caused by the interaction of noise and
chemical agent (mainly solvents), provided limited information because of the
nature itself of this type of studies. Dermal pathologies still affect MWF
exposed workers. Some antigens present in compounds, which are now gradually
substituted, have been identified for allergic dermatitis (ADC).
PMID- 22073668
TI - [Technological evolution in lubrorefrigeration and reduction of the potential
effects on the health oe exposed individuals].
AB - Among the health risk for workers employed in metal working those related to
cutting fluids were traditionally prominent. The main effects concerned
respiratory and dermal pathologies from irritative-allergic (both chemical and
biological) to mutagen and carcinogenic. These two latest effects have been
demonstrated to increase with time of use. The attempt to reduce the chemical
risks due to cutting fluid historically moved trough the constant decrease of
mineral oil components by increasing their refinery. The innovative technological
and preventive approach here presented consists on the contrary in changing the
fluid basis with natural vegetal esters. The results confirmed similar or better
technical characteristics of the fluids and a significant reduction of air
pollution for example for polycyclic aromatic hydrocarbons (PAH) mixture, i.e.
the most measured and studied toxic agents exposure to metal cutting fluid.
PMID- 22073669
TI - [New welding processes and health effects of welding].
AB - This paper describes some of the recent developments in the control technology to
enhance capability of Pulse Gas Metal Arc Welding. Friction Stir Welding (FSW)
processing has been also considered. FSW is a new solid-state joining technique.
Heat generated by friction at the rotating tool softens the material being
welded. FSW can be considered a green and energy-efficient technique without
deleterious fumes, gas, radiation, and noise. Application of new welding
processes is limited and studies on health effects in exposed workers are
lacking. Acute and chronic health effects of conventional welding have been
described. Metal fume fever and cross-shift decline of lung function are the main
acute respiratory effects. Skin and eyes may be affected by heat, electricity and
UV radiations. Chronic effects on respiratory system include chronic bronchitis,
a benign pneumoconiosis (siderosis), asthma, and a possible increase in the
incidence of lung cancer. Pulmonary infections are increased in terms of
severity, duration, and frequency among welders.
PMID- 22073670
TI - [New varnishing techniques and health risk assessment].
AB - The first part of the report signals the significant changes coating processes
have endured over the last twenty years. The shift from traditional "solvent
based" paints to "water-based" paints marked a very important toxicological
evolution, to reduce the possible toxic effects, to improve the products quality
and to limit environmental pollution, Old and new recipes for preparation of
paints and enamels are compared and discussed. The second part of the report
summarizes the international literature concerning epidemiological data on
painters and describes the toxic effects in experimental area that are reported
for several solvents of water-based paints.
PMID- 22073671
TI - ["Reach", "CLP" and "SDS": the impact on the chemical risk assessment].
AB - "REACH", "CLP" and "SDS" are Regulations that affect the rules on Health and
Safety at Work and that, specifically, are linked to the chemical risk
assessment. Up to 2015, the date when the old rules on Classification, Labeling
and Packaging of hazardous substances and mixtures will be permanently abrogated,
different regulations live together and for this reason is important to know
every item in order to ensure the right training to the workers. In Italy, a
Commission of the Ministry of Employment and Welfare has produced directions
about the main consequences of the three Regulations on the D.Lgs. 81/2008. From
an early analysis is essential to underline that the employer can make use of the
Safety Data Sheet and the Labeling as basic tools to exchange information.
PMID- 22073672
TI - [Risk assessment of chemical agents].
AB - The risk assessment of chemical agents is a well known and applied process
carried out by Industrial Hygienists and Occupational Physicians based on
exposure evaluation. The application of REACH (CE 1907/2006), CLP (CE 1272/2008)
and SDS (UE 453/2010) introduces some changes and instruments to carry out the
occupational exposure assessment, like new classification of substances, Exposure
Scenario, suggested control measures that the Industrial Hygienist and the
Occupational Physician must evaluate. If there is not a similar Exposure Scenario
to apply a new risk assessment must carried out also by recommended software
(ECETOC Targeted Risk Assessment; Easy to use workplace EMKG; Stoffenmanager).
Looking at the relevance of the control measures and evaluation of Exposure
Scenario we report the flowchart of risk assessment and management by the Method
of Organisational Congruencies carried out with the Prevention and Protection
Service and Occupational Physician Office of the Health Service of the Azienda
Provinciale of Trento.
PMID- 22073673
TI - [Bases and perspectives of biological monitoring: from the analysis of individual
compounds in blood and urine to exposome characterization in exhaled air].
AB - PURPOSE: The present paper summarizes recent data concerning the use of exhaled
breath condensate to characterize complex mixtures of both volatile (VOCs) and
non-volatile substances giving rise to a human "exposome". RECENT FINDINGS: Data
published over the last 10 years show that not only VOCs, but also toxic metallic
elements are detectable in EBC, raising the possibility of using this medium to
quantify the lung tissue dose of metals resulting from occupational exposures.
Exhaled air is therefore a complement of the traditional approaches to biological
monitoring aimed at assessing systemic doses. Different biomarkers of effect,
such as biomarkers of oxidative stress and inflammation have also been applied in
the investigation of occupational asthma and pneumoconiosis, suggesting that the
collection of exhaled air and EBC may contribute to studying the pathological
state of the airways of workers with acute and chronic exposure to pneumotoxic
pollutants. The same noninvasive approach may open new frontiers in the study of
workers currently or previously exposed to pulmonary carcinogenic agents
characterizing their respiratory "exposome", as well as their lung pathobiology
or "breathomics". SUMMARY: Currently, research on biomonitoring is focusing on
the characterization of complex patterns of exposures relying on noninvasive
methods, particularly suitable to be applied infield studies and for longitudinal
assessments of pulmonary biology frequently affected in occupational settings.
PMID- 22073674
TI - [International applications and experiences in biological monitoring: state of
the art].
AB - Biological monitoring (BM) represents one of the most dynamic areas of research
in occupational health today. From a few tens of articles published yearly in the
70s and 80s, there are now several thousand papers published in the peer review
literature each year and the trend is still growing. BM was originally used to
assess exposure, effects and susceptibility to occupational agents, but it has
been now extended to environmental chemical risk assessment, as well as to
several other biomedical sciences, such as epidemiology, tumor science, molecular
biology, to mention just a few. The aim of the present paper is to consider new
experiences in the use of BM at the international level and to discuss the
contribution that these approaches may provide to develop new diagnostic and
preventive tools in occupational and environmental health.
PMID- 22073675
TI - [Chemical risks. From the risk assessment to the sanitary surveillance: evolution
of the instruments of the occupational health].
AB - Following the development of Legislation, the Occupational Health activities
become more articulated and complex from both a formal and essential point of
view and they are becoming an ever increasing part of the Health and Safety
preventative strategy at the workplace and in its surrounding environment. In
this context Health Surveillance, including its risk assessment aspect, is not
any more only the straightforward application of medical methods aimed at
identifying work related pathologies, but it assumes a preventative role in the
evaluation of the individual exposure to the work environment i.e. to chemical
and biological substances. To carry out such activities, in addition to the ones
normally used, new instruments of information are available, provided by recent
legislation, that regulates in a coordinated way the worker's health protection
at the workplace, in particular the Regulation n. 1907/2006 (REACH) and the
Regulation n.1272/2008 (CLP).
PMID- 22073676
TI - [Algorithms for the evaluation of chemical risk: the Piedmont Region's
experience].
AB - The programme InfoRisk, proposed by the Piedmont Region in 2002, has been an
important reference point for the evaluation of chemical risk, both for companies
and the regional prevention system alike. Nowadays, it is still considered
necessary to take advantage of simple methods for the evaluation of this risk.
However, the Piedmontese model requires some modification so as to keep it in
line with the national and international rules and regulations governing the
question and to find some solutions to problems that have arisen in its practical
application. Most of these problems are tied to the use of the section of the
evaluation technique, which is base on the estimate and not on the measurement of
the exposure. Indeed, it has been confirmed in some cases that it is not possible
to discard a more accurate evaluation which is not only able to make a correct
application of the scientific evidence available in a correct manner, but also
one that is supported by environmental monitoring campaigns. The main
modifications proposed to the model are herein presented. The Work Group has
endeavoured to maintain, whenever possible, the previous logic processes of the
algorithm, so as to conserve its "user friendly" aspects and clarity that have so
often been reported and appreciated by the operators who applied it.
PMID- 22073677
TI - Occupational cancer epidemiology.
AB - Occupational cancer epidemiology has led to the identification of more than 40
agents, groups of agents, and exposure circumstances which cause cancer in
humans. This evidence has been followed by preventive and control measures. There
are four areas where occupational cancer epidemiology may contribute important
results in the future: surveillance of workers exposed to carcinogens,
identification of new carcinogens and target organs, study of interactions, and
research on special exposure circumstances.
PMID- 22073678
TI - [Mechanistic issues and prevention strategies targeting occupational
carcinogenesis].
AB - Carcinogenesis can be visualized either as a multistep process (initiation,
promotion, progression, invasion, and metastasis) or as a continuum of mutagenic
and mitogenic events, with the contribution of epigenetic mechanisms. The
exponential growth of the neoplastic mass explains the importance of secondary
prevention (early diagnosis) and of tertiary prevention. Primary prevention,
which was successful in controlling occupational cancers, aims at minimizing
exposures to carcinogens in healthy subjects and at favoring the intake of
chemopreventive agents with dietary and pharmacological agents. Besides chemical
carcinogens, often in the form of complex mixtures, the workplace may involve
exposures to physical agents, such as sunlight and artificial illumination
systems delivering UV radiation, or to biological agents, such as chronic viral
infections (HBV, HCV, and HIV) associated with cancers. A controversial issue is
the occurrence of threshold doses for carcinogens in the workplace and the
environment.
PMID- 22073679
TI - [A new update of the SIMLII Guidelines on carcinogens].
AB - The second update of the Italian Society of Occupational Medicine and Industrial
Hygiene (SIMLII) guidelines on Cancerogens and Mutagens, first published in 2003
and reviewed in 2007, is presented. The general setting of the guidelines
remaines unmodified. In this new release some important developments on
regulatory system, risk assessment, and health surveillance are discussed. The
relevant evolution of the regulatory rules is illustrated in detail, with
particular reference to the recent implementation in European Union and in Italy
of the Regulation (EC) 1272/2008 on Classification, Labelling and Packaging of
substances and mixtures. The recent tendencies of the European Scientific
Committee on Occupational Exposure Limits in risk assessment, are presented. Some
remarks on the use of new biomarkers in health surveillance, with reference to
lung and bladder cancer, are discussed. The more recent results on the
effectiveness of the use of LDTC scan on screening in asymptomatic persons at
high risk for lung cancer, are presented. The use of this imaging technique in
health surveillance of special group of workers (i.e., subjects with relevant
past asbestos exposure and smokers) could be adopted.
PMID- 22073680
TI - [Causality in occupational oncology: the toxicologist opinion].
AB - General and individual causality in occupational oncology should be regarded as
diverse and largely autonomous concepts. General causality is based upon a
statement such as "the chemical is a carcinogen" and must be considered for
preventive action. Individual causality implies that the statement has to be
applied to a patient exposed to that chemical. Whereas cancer results from
complex interactions between genes and, in broad sense, the environment, the
individual causality is an empirical judgement (educated guess) based upon all
information about the substance itself and the individual patient.
PMID- 22073681
TI - A framework for causal inference in occupational epidemiology.
AB - The observational nature of occupational epidemiology research is a reason for
potentially divergent interpretation of the causal nature of the results of
studies addressing the association between an exposure and a disease. There is a
need for frameworks that explicitly define the steps involved in this process of
deriving causal inference from occupational epidemiology. A recent proposal
consisted of the following steps: (i) study identification, (ii) quality
assessment and categorization, (iii) evaluation of the weight of the evidence,
and (iv) assignment of a scalable conclusion. While other approaches can be
proposed, efforts to improve the quality and transparency of the process are
helpful and represent a contribution to this essential component of occupational
epidemiology research.
PMID- 22073682
TI - [Causation in the court: the complex case of malignant mesothelioma].
AB - The aim of this paper is to carry out an analysis of the legal evolution in Italy
of the assessment of causation i.e. cause and effect, in oncological diseases, a
question taken into consideration by the High Court almost exclusively with
reference to pleural mesothelioma. The most debated question when defining the
causal association between asbestos exposure and mesothelioma is the possible
role that any multiple potentially causative exposures could assume in the
induction and development of the disease, and in particular the role of any
asbestos exposure over the successive employment periods. Indeed, this is a
subject on which, to date, no agreement has yet been reached in scientific
doctrine: these divergences bear important practical significance from a legal
point of view, since sustaining one thesis or another may constitute determining
factors when ascertaining responsibility for individuals who, in the past, had
decisional statuses in the workplace. Jurisprudence in the High Court took on an
oscillating position on this question as from the early 2000s, which was divided
into those who sustained the thesis of the relevance of any asbestos exposure
over the successive employment periods and those who were of a different opinion,
i.e. only the first exposure period has relevant causative effect. The point
under discussion concerns, in particular, the adequacy of a probabilistic law
only governing such a question. An important turning point was made in the year
2010 when two sentences were announced in the High Court, reiterating, in strict
compliance with the principles affirmed by the United Sections in 2002, that a
judge cannot, and must not, be satisfied with a general causation, but must
rather reach a judgment on the basis of an individual causation. In particular,
not only did the second of these two sentences recognise the multifactorial
nature of mesothelioma, something which had almost always been denied in
jurisprudence in the past, but it also established some very clear legal
principles of law. Essentially, when ascertaining the causation, a judge should
verify whether or not there is a sufficiently well established scientific law
covering the question and whether such a law is universal or probabilistic.
Should the latter be the case, then it is necessary to establish if the
accelerating effect has been determined in the case in question, on the basis of
the factual acquisitions. We must now wait for the concrete application of these
principles by juridical bodies.
PMID- 22073683
TI - [NHIL epidemiology in Italy. 20 years from DLgs 277/91: review and expectations].
AB - Digs 277/91 and following related laws brought up a large number of NHILs, but
also planned surveillance more and more effective in preventing hearing loss. In
these two decades we also saw an inversion in percentages between working
populations. The Authors analize these two factors, better and wider prevention
and changing in work populations, related to resulting reduction of NHIL's cases.
Besides, the Authors compare different insurance scales adopted to evaluate
hearing damage in this period, bringing out similarities. INAIL's path achieved
another last goal since 2007 in planning the new protocol about hearing aids,
aiming to more effective compensation of the recognized hearing loss, looking
more at "Biological value" of the person than at former "working capability".
PMID- 22073684
TI - [The technical prevention of chronic acoustic trauma].
AB - The present legislation establishes that workers' exposure to noise, which is the
cause of chronic acoustic trauma, should be limited through the adoption of
measures of technical and organizational nature. Organizational actions involve a
plurality of aspects, not only technical in character, which are usually more
difficult to apply and less rewarding in terms of acoustic benefits as compared
to the technical ones; the latter should be primarily applied to the source, but
are often adopted also at the noise propagation or reception level. Following a
short summary of the normative framework, the article describes the approach that
may be adopted in order to establish, in a given industrial setting, the
priorities of intervention aimed at minimizing the noise exposure risk for the
highest number of exposed workers.
PMID- 22073685
TI - [The prevention of noise inducted hearing loss: the new challenge of active
electronic hearing protection].
AB - Based on today's common hearing aid design and technology, the team of
researchers successfully designed a DPI which allows the worker to be "protected"
against loudness and in the same time guarantee a good level of communication and
perception of the surrounding environment. The design of this new device is very
much similar to a standard BTE hearing aid which allows the use of an active DPI
very comfortable, robust and easy to use. The research using the prototypes was
divided into 3 phases: Phase 1: 24 volunteers coming from non-industry companies
did undergo a specific trial protocol. Phase 2: 6 workers coming from a mining
company did undergo the same protocol used in Phase 1. Phase 3: The Acoustics
Laboratory from the "Energetica" Department of the Polytechnic of Turin
(University/Institute) took objective measures for the DPI attenuation figures
used in phase 1 and 2.
PMID- 22073686
TI - [Hearing loss and idoneity--the segnalation of noise-induced hearing loss hearing
Loss].
AB - Work idoneity in hearing loss must be related to working ability and evolution
risks. Working ability is referred to the difficulties found in speech
comprehension and in signals perception. As regards hearing loss evolution it is
necessary to define if the subject is affected by conductive or neurosensorial
hearing loss. In conductive hearing loss it is necessary to evaluate entity and
frequential distribution of the deficit. In neurosensorial hearing loss it is
necessary to distinguish between noise-induced hearing loss and extraprofessional
hearing loss. In noise-induced hearing loss the evolution risk is high if the
noise exposure is less than 10-15 years or the actual noise exposure is louder
than the former. In case of extraprofessional hearing loss the evolution risk is
higher in presbycusis, endolymphatic hydrops and toxic hearing loss. The
necessity to report the presence on professionale noise-induced hearing loss
arises if audiometric threshold is more than 25 dB at 0.5-1-2-3-4 kHz and if it
is verified the professional origine of hearing loss.
PMID- 22073687
TI - [Issues concerning the measurement and assessment of noise in workplaces].
AB - The measurement and assessment of noise in workplaces are affected by several
critical aspects. Only the equivalent sound pressure levels are measured and all
the other acoustical parameters are almost always neglected; the non-acoustic
information that characterize each measurement are not usually reported. The
survey about noise in workplaces is not suited to be described by technical
standards, but rather by flexible guidelines. The reference standard UNI EN ISO
9612/2011 does not highlight the contribution given by each work phase to the
overall exposure level; the uncertainty evaluation may lead to unreliable data.
PMID- 22073689
TI - [Socio-presbyacusis: definition and prevention].
AB - Socio-presbyacusis is a hot topic with an increasing incidence and high social
costs. The main etiological factors are: genetics, smoking and drinking habits,
diet, metabolic syndrome, chronic otitis and noise exposure (professional,
environmental and recreative). Despite its multifactorial origin, the
pathogenetic mechanism of cochlear damage is based on the increased production of
Reactive Oxygen Species (ROS). The clinical characteristic feature of socio
presbyacusis is represented by slow cochlear degeneration causing a reduction of
central hearing inputs. The result is a gradual social isolation of the deaf
patient with a worsening of his Quality of Life. The main target of the
management of socio-presbyacusis is the early diagnosis and prosthetic
remediation in order to avoid patient's isolation. New preventive and remediative
strategies are represented by antioxidant drugs.
PMID- 22073688
TI - [Non-auditory effects of noise].
AB - Non-auditory effects of noise involve several systems and functions, the most
important of which are the cardiovascular, the vestibular and the psychic.
Although several studies correlated noise exposure to some pathologies, like
hypertension and anxiety disorders, and recent analysis carried out on cavy
explained part of their pathophysiology, their multiple causes and the
variability of individual reactions are still important limits to their
classification.
PMID- 22073690
TI - [Emigration and immigration in Italy. (1861-2011)].
AB - The creation of the Italian Kingdom was characterized by the mass emigration of
Italian people, mainly peasants towards European and American destinations.
Poverty, unemployment, diseases, like pellagra, malaria and tubercolosis forced
millions of Italians to leave the Country. The phenomenon of emigration is
usually divided in three periods: the mass emigration from 1976 to 1914, the
second one between the two world wars, the third one from 1946 to 1976. In the
last quarter of the 20th century the number of repatriations overcome
expatriations and the number of immigrants from Albania, Romania, Senegal,
Tunisia, China, Philippines grew up becoming more and more important. Italy was a
country of emigrants, now is a country of immigrants. It is difficult to compare
the work conditions of the Italian emigrants with the new immigrants. At the end
of the 19th Century or in the first decades of the 20th Century there were no
consideration for human rights, no legislations to protect workers. Immigration
from North Africa and from all the low and middle income countries should be
studied in all its aspects because it will characterize our future. In the new
era of economical globalization, Universities should prepare the new medical
doctors to extend their professional culture to a international dimension to be
able to cope with the new challenges of our time.
PMID- 22073691
TI - [The management of foreign workers in Italy].
AB - Over the last decades, the globalisation and important geopolitical changes have
widened the spatial boundaries of international migrations which have reached a
so global scope today that they influence the economic, political and social
trend of countries of origin, transit and destination. According to the UN, the
international labour mobility involved more than 200 million people in 2010, that
is approximately 10% of the world's total population. In Italy, in the beginning
of 2010 foreign residents amounted to 4.2 million, that is to say, 7% of the
total population (ISTAT, 2011). Host countries have been forced to implement a
series of policies aimed at combating illegal immigration and employment of
foreign people. Special attention must be given to the issue of migrant workers
who have become increasingly important actors in the social and productive
sectors and, as a consequence, the need for preventive and protective measures
taking into consideration the specific work-related hazards is growing more and
more urgent. With this respect, the regulatory framework for occupational health
and safety now contains explicit references to migrant workers as provided in the
Leg. Decree 81/08 with subsequent integrations and modifications. First of all,
the issue of occupational health and safety for migrant workers must take into
account of the linguistic, social and cultural problems of the different ethnical
groups that are present in our country.
PMID- 22073692
TI - [Health management of Italian workers abroad].
AB - In the last 20 years traveling workers abroad have increased markedly. This
resulted in the need for standardized preventive tools available to protect
workers-travelers in geographic areas with related additional risk factors.
Health surveillance of these workers require a company organization that involves
the components of the process prior: the occupational physician, safety service,
administrative areas, travel clinic. The application phases of medical
surveillance must be differentiated into two main phases: 1. pre-travel, in which
successive stages also encoded by the SIMLII guidelines; 2. the return journey,
where the paths are different for asymptomatic and symptomatic workers. The
evaluation of fitness to perform the job abroad is based on general criteria that
allow to correlate the health of the worker with respect to the destination area.
The application methods actually available allow modulations of the health
surveillance for companies of different dimension.
PMID- 22073694
TI - [Migrant workers. The critical aspects of integration].
AB - The integration of migrant poplulations with the indigeneous population is
regulated by the Italian Decree, D.Lgs 9/7/2003 n. 215 in enforcement of the
directive 2000/43/EC implementing the principle of equal treatment between
persons irrespective of racial or ethnic origin. The Italian decree, D.Lgs
215/2003, at present in force, according to regulation stipulated as to the equal
treatment of diverse cohabiting populations, explicitly forbids any form of
discrimination whatsoever, be it direct or indirect. A first description of
today's migrant panorama is offered by the Caritas Migrantes and the CNEL
(Italian National Council of the Economy of Labour). The most critical aspects on
the integration of migrants are described and discussed in the text.
PMID- 22073693
TI - ILO - International Migration Programme.
AB - In a wide International Context characterised not only by the economical
development but also by the social, cultural, political and individual
development, we witness more and more to a exchange between the developed and the
developing countries, which can be translated especially in the migration of the
work force. In theory, all countries are either countries of origin either
countries of transit or destination, and they are all responsible for the rights
of migrant workers by promoting the rights, by monitoring and by preventing the
abusive conditions. The process of migration of the workforce can be divided into
three stages: the first coincides with the period prior to departure, the second
is represented by the aftermath of the departure and the period of stay in the
country of destination, the third stage corresponds to the return in the country
of origin. The workers must be protected throughout this process by the
international organizations that perform the catalytic role of communication and
exchange between countries, for the only purpose of protecting the rights of
immigrant and/or immigrants workers. The responsibility for the protection of
workers is divided among the various players in the International Labour
Organisation. Every country has to apply measures according to the international
standards regarding workers' rights, standards that guide the various countries
in the formulation and implementation of their policies and legislation. These
standards are suggested by International Conventions, the ILO Conventions and
other international instruments such as the human rights instrument. There has
been a big step forward once the ILO Fundamental Conventions and Conventions on
Migrant Workers where implemented and this implementation represented the use of
the Guidelines "ILO Multilateral Framework on Labour Migration".
PMID- 22073695
TI - [Transfer and continuity of care: we need to talk about both].
PMID- 22073696
TI - [Monitoring of heparins and of anticoagulants].
AB - Anticoagulation with unfractionated heparin, low molecular weight heparin or
fondaparinux is common practice in thromboembolic disease, atrial fibrillation
and mechanical heart valves. Some of these molecules, with specific
pharmacokinetic, requires specific monitoring. Unfractionated heparin requires
close monitoring by aPTT and/or anti-FXa activity while LMWH and fondaparinux do
not require biological surveillance except in a few specific situations.
PMID- 22073697
TI - [Iron-deficient anemia, anemia of chronic disease or mixed anemia: how to
determine the diagnosis?].
AB - The diagnosis of pure iron-deficient anemia or anemia of chronic disease is easy.
However, in mixed situations, conventional laboratory tests for iron status are
influenced by the inflammatory response and their diagnostic accuracy may be
undermined. New tests are available but grey zones and diagnostic uncertainties
sometimes remain. The objective of this article is to give an overview of the
current diagnostic tools for the evaluation of the iron metabolism and to provide
a practical diagnostic algorithm for the evaluation of iron-deficient anemia.
PMID- 22073698
TI - [Usefulness of medical history and physical findings in the diagnosis of
pneumonia].
AB - Pneumonia is a frequent concern in the ambulatory setting. Diagnosis should be
prompt, as delays in the instauration of the treatment are associated with a
worse prognosis. However, empiric antibiotic treatment of all patients suspected
of having pneumonia is unwarranted, and can affect adversely bacterial ecology.
Chest X-ray remains the gold standard, and should always be obtained to confirm
the diagnosis, as clinical findings are non-specific. Conversely, some clinical
findings can be used to rule out pneumonia with sufficient negative predictive
value in a low-prevalence setting. A chest X-ray can be omitted for these
patients. We aimed to point which symptoms and signs are useful in assessing the
clinical probability of pneumonia, and review clinical rules proposed for this
purpose.
PMID- 22073699
TI - [Management of acute alcoholic steatohepatitis].
AB - Alcoholic steatohepatitis is an acute inflammatory liver disorder that often
complicates the course of underlying cirrhosis. Severe alcoholic steatohepatitis,
defined as a Maddrey's discriminant function greater than 32 or association with
hepatic encephalopathy, carries a high short-term mortality that is significantly
reduced by corticosteroids. A comprehensive work-up is necessary for the presence
of concomitant infection or associated viral hepatitis. A liver biopsy must be
performed to confirm the diagnosis prior to initiation of steroids. This article
summarizes the management of alcoholic steatohepatitis.
PMID- 22073700
TI - [Beta-blocker therapy during acutely decompensated heart failure].
AB - The well established benefits of beta-blocker therapy in chronic heart failure
include improved survival and quality of life and decreased morbidity and
hospitalizations. In acute heart failure, evidence supports early initiation of
beta-blocker therapy within the same hospitalization. Beta-blocker therapy seldom
has to be withdrawn if patients are already on this medication as maintaining
beta-blockers throughout these episodes is not deleterious and increases ulterior
therapeutic adhesion. Possible indications for temporarily discontinuing therapy
are a worsening clinical condition or cardiogenic shock. Potential benefits of
maintaining beta-blockers on mortality still have to be confirmed by larger
prospective trials.
PMID- 22073701
TI - [Use of glucocorticoids in low back pain due to disc herniation with radicular
involvement].
AB - Although systemic glucocorticoids are frequently used for the treatment of
radicular pain due to disc herniation, there are only few studies available. No
trial has successfully demonstrated the superiority of systemic glucocorticoids
compared to placebo. Therefore their use is not recommended. Spinal injection
using radiographic guidance appears to provide some beneficial short-term effect
on pain. It might be offered when pain treatments according to the WHO steps have
failed. Because rare but serious adverse events have been reported,
transforaminal periradicular injections should only be used after thorough
analysis of risks and benefits with the patient.
PMID- 22073702
TI - [Zinc for a cold?].
PMID- 22073703
TI - [Release prescribers from their prescriptions?].
PMID- 22073704
TI - [Lapsus].
PMID- 22073705
TI - [Giving birth anonymously versus the "towers of abandonment" (2)].
PMID- 22073706
TI - [Georges Courteline on the menus of canteens of France].
PMID- 22073707
TI - [I know I have cancer].
PMID- 22073708
TI - Suspected bile duct injuries and appropriate early referral can reduce chances of
litigation.
AB - Bile duct injury following laparoscopic cholecystectomy is one of the most feared
complications related to performing a cholecystectomy. Early identification and
repair can be life saving for patients with bile duct injuries. Since the early
1990s, laparoscopic cholecystecomy (LC) has replaced open cholecystectomy as the
preferred treatment of symptomatic cholethiasis, biliary dyskinesia, and
cholecystitis. LC has decreased the length of hospital stay and post-operative
pain and resulted in a subsequent faster return to normal daily activities;
nonetheless, LC has a higher incidence in bile duct injury as compared to open
cholecystectomy. Nearly all studies report the incidence of bile duct injury
following open cholecystectomy between 0.1% and 0.2%. In comparison, LC has a
reported incidence of bile duct injury between 0.4% and 0.7%. The aim of this
article is to review our initial experience with work-up and repair of bile duct
injuries following LC performed at outside facilities and referred to the
University of Mississippi Medical Center (UMMC) for definitive therapy. We will
also review the classification of these injuries, preferred methods of diagnosis,
and benefits of early treatment as well as factors that frequently lead to
litigation following bile duct injury.
PMID- 22073709
TI - Use of non-invasive ventilation in general ward for the treatment of respiratory
failure.
AB - Non-invasive ventilation (NIV), the provision of ventilatory assistance without
an artificial airway, has emerged as an important ventilatory modality over the
last 20 years. Delivery of pressured air at a certain level through a nasal or
oro-nasal mask improves oxygenation and reduces ventilatory muscle fatigue. The
equipment consists of a ventilator (typically a CPAP or BiPAP machine) with
tubing, headgear, nasal or facial mask, filter and humidifier (Figure 1). In this
article, we will discuss the medical literatures that support the use of NIV
safely and effectively on the general medical floor to treat respiratory failure
secondary to acute exacerbation of chronic obstructive pulmonary disease (COPD)
and congestive heart failure (CHF).
PMID- 22073710
TI - Inaugural address of the president. 143rd annual session, 2011-12. Chewing the
fat on cutting fat.
PMID- 22073711
TI - Remembering Peru: what those who made the journey had to say.
AB - Each year, the University of Mississippi Medical Center (UMMC) Department of
Infectious Diseases teams up with Project Amazonas (www.projectamazonas.org), a
humanitarian aid organization whose home base is Peru. Together healthcare
workers and humanists travel by boat to the wilds of Peruvian Amazon to bring aid
and education to a beautifully hidden and underserved world of Amazonian River
people.
PMID- 22073712
TI - When time is muscle: an update on Mississippi's STEMI system of care plan.
PMID- 22073713
TI - Grits report 2011: Much accomplished by Mississippi at AMA (despite smaller
number of delegates).
PMID- 22073714
TI - The value of oral health.
PMID- 22073715
TI - Compliance with new 401(k) disclosure requirements.
PMID- 22073716
TI - Membership: a top priority for the ADA.
PMID- 22073718
TI - When in doubt, predetermine.
PMID- 22073717
TI - Mean girls! What happens when they grow up and come to work for you.
PMID- 22073720
TI - Comparing MDA peer review with the state's disciplinary process.
PMID- 22073719
TI - Tips for caring for an aging parent: part two.
PMID- 22073721
TI - Options for provisionalization following dental implant placement in partially
edentulous sites.
PMID- 22073722
TI - Oral manifestations of celiac disease: a clinical guide for dentists.
AB - Celiac disease (gluten sensitive enteropathy) is a common disorder affecting both
children and adults. As many people with celiac disease do not present with the
classic malabsorptive syndrome, delays in diagnosis are common. Dental enamel
defects and recurrent aphthous ulcers, which may occur in patients with celiac
disease, may be the only manifestation of this disorder. When dentists encounter
these features, they should enquire about other clinical symptoms, associated
disorders and family history of celiac disease. In suspected cases, the patient
or family physician should be advised to obtain serologic screening for celiac
disease and, if positive, confirmation of the diagnosis by intestinal biopsy.
Dentists can play on important role in identifying people who may have
unrecognized celiac disease. Appropriate referral and a timely diagnosis can help
prevent serious complications of this disorder.
PMID- 22073723
TI - Go team! The benefits of a morning huddle.
PMID- 22073724
TI - The effect of digestion and dewatering on sudden increases and regrowth of
indicator bacteria after dewatering.
AB - Several investigators have reported higher densities of indicator bacteria after
dewatering of anaerobically digested biosolids. The increases appear to occur at
two points in the biosolids process: the first, referred to as "sudden increase",
occurs immediately after dewatering; the second, "regrowth", occurs during
storage over longer periods. The objectives of this study were to examine the
effect of digestion and dewatering processes on sudden increase and regrowth of
fecal coliform and E. coli. Samples were collected from five thermophilic and
five mesophilic digestion processes, with either centrifuge or belt filter press
dewatering. Sudden increase typically was observed in the thermophilic processes
with centrifuge dewatering and was not observed in the mesophilic processes with
either centrifuge or belt filter press dewatering. Regrowth was observed in both
thermophilic and mesophilic processes with centrifuge dewatering but not belt
filter press dewatering.
PMID- 22073725
TI - Adsorption of glyphosate on resin supported by hydrated iron oxide: equilibrium
and kinetic studies.
AB - Hydrated iron oxide supported on resin (D301) was prepared as a new sorbent for
the removal of glyphosate from wastewater. Batch adsorption studies were
performed on glyphosate aqueous solutions with different initial glyphosate
concentrations and temperatures. Experimental data were analyzed using the
Langmuir and Freundlich isotherms, and the adsorption data were best fit to the
Langmuir isotherm model. The thermodynamic parameters AG, AH, and AS also were
calculated for the adsorption processes. Adsorption rate constants were
determined using the pseudo-first-order and pseudo-second-order rate equations
and Kannan-Sundaram intraparticle diffusion models. Adsorption of glyphosate
clearly followed the pseudo-second-order model and was controlled by both film
diffusion and intraparticle diffusion.
PMID- 22073726
TI - A mathematical model for the interactive behavior of sulfate-reducing bacteria
and methanogens during anaerobic digestion.
AB - Anaerobic degradation of waste involves different classes of microorganisms, and
there are different types of interactions among them for substrates, terminal
electron acceptors, and so on. A mathematical model is developed based on the
mass balance of different substrates, products, and microbes present in the
system to study the interaction between methanogens and sulfate-reducing bacteria
(SRB). The performance of major microbial consortia present in the system, such
as propionate-utilizing acetogens, butyrate-utilizing acetogens, acetoclastic
methanogens, hydrogen-utilizing methanogens, and SRB were considered and analyzed
in the model. Different substrates consumed and products formed during the
process also were considered in the model. The experimental observations and
model predictions showed very good prediction capabilities of the model. Model
prediction was validated statistically. It was observed that the model-predicted
values matched the experimental data very closely, with an average error of 3.9%.
PMID- 22073727
TI - Combined anaerobic/aerobic digestion: effect of aerobic retention time on
nitrogen and solids removal.
AB - A combined anaerobic/aerobic sludge digestion system was studied to determine the
effect of aerobic solids retention time (SRT) on its solids and nitrogen removal
efficiencies. After the anaerobic digester reached steady state, effluent from
the anaerobic digester was fed to aerobic digesters that were operated at 2- to 5
day SRTs. The anaerobic system was fed with a mixture of primary and secondary
sludge from a local municipal wastewater treatment plant. Both systems were fed
once per a day. The aerobic reactor was continuously aerated with ambient air,
maintaining dissolved oxygen level at 1.1 +/- 0.3 mg/L. At a 4-day or longer SRT,
more than 11% additional volatile solids and 90% or greater ammonia were removed
in the aerobic digester, while 32.8 mg-N/L or more nitrite/nitrate also was
measured. Most total Kjeldahl nitrogen removal was via ammonia removal, while
little organic nitrogen was removed in the aerobic digester.
PMID- 22073728
TI - Corrosion control when using passively treated abandoned mine drainage as
alternative makeup water for cooling systems.
AB - Passively treated abandoned mine drainage (AMD) is a promising alternative to
fresh water as power plant cooling water system makeup water in mining regions
where such water is abundant. Passive treatment and reuse of AMD can avoid the
contamination of surface water caused by discharge of abandoned mine water, which
typically is acidic and contains high concentrations of metals, especially iron.
The purpose of this study was to evaluate the feasibility of reusing passively
treated AMD in cooling systems with respect to corrosion control through
laboratory experiments and pilot-scale field testing. The results showed that,
with the addition of the inhibitor mixture orthophosphate and tolyltriazole, mild
steel and copper corrosion rates were reduced to acceptable levels (< 0.127 mm/y
and < 0.0076 mm/y, respectively). Aluminum had pitting corrosion problems in
every condition tested, while cupronickel showed that, even in the absence of any
inhibitor and in the presence of the biocide monochloramine, its corrosion rate
was still very low (0.018 mm/y).
PMID- 22073729
TI - Anaerobic digestion of raw and thermally hydrolyzed wastewater solids under
various operational conditions.
AB - In this study, high-solids anaerobic digestion of thermally pretreated wastewater
solids (THD) was compared with conventional mesophilic anaerobic digestion (MAD).
Operational conditions, such as pretreatment temperature (150 to 170 degrees C),
solids retention time (15 to 20 days), and digestion temperature (37 to 42
degrees C), were varied for the seven THD systems operated. Volatile solids
reduction (VSR) by THD ranged from 56 to 62%, compared with approximately 50% for
MAD. Higher VSR contributed to 24 to 59% increased biogas production (m3/kg VSR
d) from THD relative to MAD. The high-solids conditions of the THD feed resulted
in high total ammonia-nitrogen (proportional to solids loading) and total
alkalinity concentrations in excess of 14 g/L as calcium carbonate (CaCO3).
Increased pH in THD reactors caused 5 to 8 times more un-ionized ammonia to be
present than in MAD, and this likely led to inhibition of aceticlastic
methanogens, resulting in accumulation of residual volatile fatty acids between 2
and 6 g/L as acetic acid. The THD produced biosolids cake that possessed low
organic sulfur-based biosolids odor and dewatered to between 33 and 39% total
solids. Dual conditioning with cationic polymer and ferric chloride was shown to
be an effective strategy for mitigating dissolved organic nitrogen and UV
quenching compounds in the return stream following centrifugal dewatering of THD
biosolids.
PMID- 22073730
TI - Oxidized starch solutions for environmentally friendly aircraft deicers.
AB - Deicers currently used for aircraft deicing, including ethylene glycol and
propylene glycol, pose significant threats to surface waters, as a result of high
biochemical oxygen demand (BOD) and toxicity to aquatic organisms. Oxidized
starch may provide a less toxic deicer with lower BOD. The freezing point
depression of starch formulations oxidized using hydrogen peroxide and catalysts
(i.e., catalyzed hydrogen peroxide [H2O2] propagations-CHP) was 28 degrees C, and
viscosities similar to those of commercial deicers were achieved after post
treatment with granular activated carbon. The most effective oxidized starch
formulation exerted a 5-day BOD up to 6 times lower than glycol deicers (103
versus 400 to 800 g O2/L). Toxicity to Ceriodaphnia dubia for this formulation
(48-hour lethal concentration, 50% [LC50] of 2.73 g/L) was greater than pure
propylene glycol (13.1 g/ L), but lower than propylene glycol deicer formulations
(1.02 g/L). Organic acids were identified by gas chromatography/mass spectrometry
as the primary constituents in the oxidized starch solution. The proposed deicing
system would provide effective deicing while exerting minimal environmental
effects (e.g., lower toxicity to aquatic organisms and lower BOD). Furthermore,
these deicers could be made from waste starch, promoting sustainability.
PMID- 22073731
TI - Removal of mercury(II) from aqueous solutions using the leaves of the Rambai tree
(Baccaurea motleyana).
AB - This study was undertaken to evaluate the biosorption potential of a natural, low
cost biosorbent, Rambai leaves (Baccaurea motleyana), to remove trace amounts of
Hg(II) from aqueous solutions. It was found that the amount of Hg(II) biosorption
by Rambai leaves increased with initial metal ion concentration, contact time,
and solution pH but decreased as the amount of biosorbent increased. The maximum
biosorption capacity was 121.95 mg/g for an initial concentration range of 5 to
120 ppb. Overall, kinetic studies showed that the Hg(II) biosorption process
followed pseudo-second-order kinetics based on pseudo-first-order and
intraparticle diffusion models. Isotherm data revealed that the biosorption
process followed both Freundlich and Langmuir isotherms. The value of separation
factor, R(L), from the Langmuir equation and rate of biosorption, n, from the
Freundlich model also indicated favorable adsorption.
PMID- 22073732
TI - Laboratory and field evaluation of a pretreatment system for removing organics
from produced water.
AB - Co-produced water from the oil and gas industry accounts for a significant waste
stream in the United States. This "produced water" is characterized by saline
water containing a variety of pollutants, including water soluble and immiscible
organics and many inorganic species. To reuse produced water, removal of both the
inorganic dissolved solids and organic compounds is necessary. In this research,
the effectiveness of a pretreatment system consisting of surfactant modified
zeolite (SMZ) adsorption followed by a membrane bioreactor (MBR) was evaluated
for simultaneous removal of carboxylates and hazardous substances, such as
benzene, toluene, ethylbenzene, and xylenes (BTEX) from saline-produced water. A
laboratory-scale MBR, operated at a 9.6-hour hydraulic residence time, degraded
92% of the carboxylates present in synthetic produced water. When BTEX was
introduced simultaneously to the MBR system with the carboxylates, the system
achieved 80 to 95% removal of BTEX via biodegradation. These results suggest that
simultaneous biodegradation of both BTEX and carboxylate constituents found in
produced water is possible. A field test conducted at a produced water disposal
facility in Farmington, New Mexico confirmed the laboratory-scale results for the
MBR and demonstrated enhanced removal of BTEX using a treatment train consisting
of SMZ columns followed by the MBR. While most of the BTEX constituents of the
produced water adsorbed onto the SMZ adsorption system, approximately 95% of the
BTEX that penetrated the SMZ and entered the MBR was biodegraded in the MBR.
Removal rates of acetate (influent concentrations of 120 to 170 mg/L) ranged from
91 to 100%, and total organic carbon (influent concentrations as high as 580
mg/L) ranged from 74 to 92%, respectively. Organic removal in the MBR was
accomplished at a low biomass concentration of 1 g/L throughout the field trial.
While the transmembrane pressure during the laboratory-scale tests was well
controlled, it rose substantially during the field test, where no pH control was
implemented. The results suggest that pretreatment with an SMZ/MBR system can
provide substantial removal of organic compounds present in produced water, a
necessary first step for many water-reuse applications.
PMID- 22073733
TI - Full-scale use of glycogen-accumulating organisms for excess biological carbon
removal.
AB - The purpose of this study has been to verify the efficient full-scale
applicability of glycogen-accumulating organisms (GAOs) for excess biological
carbon removal, that is, for removing more carbon substrate than the amount of
available nutrients would allow in the conventional activated sludge process of
microbial growth. This aims to cost-effectively overcome the problem of viscous
bulking occurring in a fully aerated system, with nutrient deficiency. Analytical
data measured at the wastewater treatment plant of the Balatonboglar (BB) winery
in Balatonboglar, Hungary, containing consecutive unaerated and aerated activated
sludge basins, reflected a high performance with efficient carbon removal and
good sludge settling, without dosing any external nutrient source to the severely
nitrogen- and phosphorous-deficient influent. Supplementary laboratory-scale
batch experiments and microbiological tests verified the abundance of GAOs in the
activated sludge system and elucidated their role in efficient excess biological
carbon removal.
PMID- 22073734
TI - Complete dechlorination of endosulfan and lindane using Mg0/Pd(+4) bimetallic
system.
AB - A Mg0/Pd(+4) bimetallic system was evaluated to dechlorinate endosulfan and
lindane in the aqueous phase. Studies were conducted with endosulfan and lindane
separately, with or without acid in a 1:1 (v/v) water:acetone phase. In the
absence of any acid, higher degradation of endosulfan and lindane was observed
using Mg0/Pd(+4) doses of 10/0.5 and 4/0.1 mg/mL, respectively. Acetone plays an
important role in facilitating the dechlorination reaction by increasing the
solubilities of pesticides. Dechlorination kinetics for endosulfan and lindane
(30 and 50 mg/L [30 and 50 ppm] concentration of each pesticide) were conducted
with varying Mg0/Pd(+4) doses, and the time-course profiles were well-fitted into
exponential curves. The optimum observed rate constants (k(obs)) for endosulfan
and lindane were obtained with Mg0/Pd(+4) doses of 5/0.5 and 4/0.1 mg/mL,
respectively. Gas chromatography-mass spectrometry analyses revealed that
endosulfan and lindane were dechlorinated completely into their hydrocarbon
skeletons-Bicyclo [2,2,1] hepta 2-5 diene and benzene, respectively.
PMID- 22073735
TI - Biomass-derived materials in the remediation of heavy-metal contaminated water:
removal of Cadmium(II) and copper(II) from aqueous solutions.
AB - Manganese-coated activated carbon (MCAC) and activated carbon were used in batch
experiments for the removal of cadmium(II) and copper(II). Results showed that
uptake of Cd(II) and Cu(II) was unaffected by increases in pH (3.0 to 8.5) or
concentration (1 to 20 mg/L). Increased ionic strength (from 0.001 to 1 M NaNO3),
however, significantly affected the uptake of Cd(II); adsorption of Cu(II) was
not affected. Freundlich adsorption isotherm results indicated that MCAC
possessed higher sorption capacity than activated carbon. Second-order rate
constants were found to be 0.0386 for activated carbon and 0.0633 g/mg x min for
MCAC for Cd(II) and 0.0774 for AC and 0.1223 g/mg x min for MCAC for Cu(II).
Column experiments showed that maximum sorption capacity of MCAC was 39.48 mg/g
for Cu(II) and 12.21 mg/g for Cd(II).
PMID- 22073736
TI - Assessment of internal and external grease interceptor performance for removal of
food-based fats, oil, and grease from food service establishments.
AB - A research study was performed to determine the fats, oil, and grease (FOG)
removal efficiency of internal flow-based grease interceptors (FGI). A passive
flow and a mechanical-flow FGI unit were tested and their performance was
compared to an external retention-based grease interceptor (RGI). Experiments
involved multiple parameters including three oil/water emulsion strengths, two
influent liquid temperatures, and two flow rates. Overall, the RGI achieved
approximately 80% FOG removal and the FGIs removed less than 50% under the tested
conditions. One exception occurred during the passive FGI testing during which
removal reached approximately 80%. This increased efficiency was likely due to
the relatively weak emulsion exhibiting significantly large FOG globules. The FOG
removal efficiency decreased with increased temperature because of increased
breakage of FOG globules at the elevated temperature. These results suggest that
emulsion strength significantly affects FOG removal efficiency of FGIs and should
be considered in future manufacturer testing protocol.
PMID- 22073737
TI - Career progression is not without prejudice.
PMID- 22073738
TI - Trusts given support to create a fairer NHS for staff and patients.
PMID- 22073739
TI - 'Staff will have greater awareness of individual needs'.
PMID- 22073740
TI - A history that lives on.
AB - The contribution of Florence Nightingale and Mary Seacole was immense. Their
similarities and differences are described in this article.
PMID- 22073741
TI - Breaking through barriers.
AB - Jamaican-born public health nurse Yinglen Butt has held senior positions in NHS
trusts and at the Department of Health. She says race has never been a barrier in
her career and urges nurses to 'push against doors' to get where they want to be.
PMID- 22073742
TI - Regulation resistance.
AB - Nurses in France are unhappy with their working conditions and have responded
with suspicion to a new body set up to regulate them. The Ordre National des
Infirmiers has struggled to attract registrants, but there are signs of
improvement.
PMID- 22073743
TI - Targeted screening.
AB - African-Caribbean men are at a higher risk of prostate cancer and should be
encouraged to seek screening and have urinary problems investigated without
delay.
PMID- 22073744
TI - Raising awareness of borderline personality disorder and self-injury.
AB - People with personality disorders frequently present to general health services.
A large proportion of people with borderline personality disorder will self
injure and seek physical clinical interventions from adult or practice nurses.
These patients are often excluded from services and are highly stigmatised both
in mental health services and the wider society. This article aims to increase
the awareness of borderline personality disorder and self-injury among non-mental
health nurses to assist them to work more effectively with patients who present
with these difficulties.
PMID- 22073745
TI - Palliative care for patients with chronic respiratory disease.
AB - The article provides an overview of the key issues relating to palliative care
for patients with chronic respiratory disease. The need for palliative care in
this patient group is identified and the illness trajectory considered. Issues of
communication, provision of palliative care services and symptom management are
discussed and the need for improved services highlighted.
PMID- 22073746
TI - Asthma: pathophysiology, diagnosis and management.
AB - This article provides an overview of asthma in adults, includin pathophysiology,
risk factors and triggers. Assessment, diagn pharmacological therapies are
considered. The importance of in partnership with the patient and encouraging
supported self-care are highlighted.
PMID- 22073747
TI - Metastatic breast cancer.
PMID- 22073748
TI - Why I decided to go private.
PMID- 22073749
TI - Sickle cell: it's in the blood.
PMID- 22073750
TI - Badge of honour.
PMID- 22073751
TI - The logic of physiognomony in the late Renaissance.
AB - This article studies the advances made in the logic of Renaissance physiognomy
from the state of the subject in antiquity and the Middle Ages. The properties
and accidents of the human body are investigated in the context of the signs
selected by physiognomers, whether univocal or in syndromes, strong or weak in
character, negative or positive, consistent with each other or contradictory.
When these signs are translated into propositions, the construction of argument
which flows from them is shown to be ut plurimum reasoning, in which an element
of quasi-mathematical proto-probability and hermeneutical thinking (in the
treatment of ambiguity and obscurity) may be detected. These allow the question
"is x more likely to be the case than y or z?" to be answered through a variety
of procedures. Renaissance physiognomy is shown to be a discipline in which a
novel combination of rational procedures come together, and a site of conceptual
change in respect of property and accidence.
PMID- 22073752
TI - Two Pahlavi chapters on medicine.
AB - The medical sciences in Sasanian society, in late Antiquity, constitute a rather
neglected field of inquiry. Our most reliable sources for the study of this
particular period in the history of science include a number of texts that were
written in several older Iranian languages. In the following pages, we offer
translations and commentaries on two such texts, written in Middle Persian,
dealing with the life sciences. The topics discussed include copulation,
pregnancies in various species, lactation, and moral and physical characteristics
in the offspring. Placed in juxtaposition, the two texts, furthermore, clarify
some of the obscure points in each of them. It is hoped that this preliminary
study will help to sketch the path for future studies of the field.
PMID- 22073753
TI - [Who did the first arterial ligation?].
AB - It is usual to bestow on Ambroise Pare the discovery of arterial ligation. He
himself substantiates this assumption describing how he discovered it on the
battlefield. But the survey of the surgical literature lets us see that in
France, Guy de Chauliac and Henri de Mondeville had already described it and
performed it. And, before them, the Italian surgeons of the 13th and 12th
centuries. It was also well known by the Arab speaking surgeons who detained the
translations of the Greek medical authors of the Antiquity. And indeed the
description is to be found in Paul ofAegina and Oribasius. But it is in Celsus's
De re medica that we find the first description. It may be reasonably assumed
that it is much oldest.
PMID- 22073754
TI - [Maternal imagination and congenital malformations].
AB - Since antiquity philosophers and scientists tried to explain the cause of
congenital malformations. In early modern medicine maternal imagination was
largely accepted as their true cause, This concept was rejected by Blondel, a
London physician. Around 1750 Wolff introduced the Hemmungsbildung as the cause
of congenital malformations, a concept adopted in 1781 by Blumenbach. Later on
Soemmerring (1784), Crichton (1785) and Meckel the younger adopted Blumenbach's
concept. In 1824 Suringar further developed it. More and more the excessive
development of fetal blood vessels or nerves was rejected as a possible cause,
although from time to time these ideas were adopted again. In the early 1800s
Etienne Geoffroy Saint-Hilaire (1811) and Vrolik (1817) developed a
classification of monstra. These attempts urged Isidore Geoffroy Saint-Hilaire
(Etienne's son) and Vrolik the younger (Gerard's son) to develop it further.
Nevertheless, around 1840 Vrolik had to admit that although we are well acqainted
with the various malformations, we are still ignorant of the primary cause of
these phenomena. Meanwhile the dispute between the adherents of the theory of
preformation and those who had adopted the concept of epigenesis exercised many
minds. In the second half of the eighteenth century the latter theory became more
and more adopted and this fact cleared the way for the ideas introduced by Wolff
and Blumenbach, because it was consistent with the idea of a gradual development
of fetal structures.
PMID- 22073755
TI - [History of phrenology].
AB - Gall & his supporters had intuition that brain was made up with functional areas
but they did not correctly place them. This false science brought nothing to
medicine but it left its mark on 19th century literature. However it contributed
to introducing the notion of mitigating circumstances in the legal proceeding and
set up basis of professional recruitment. It left plenty of technical gears:
crane casting, china busts, phrenological penholders, knobs, craniological
snuffboxes and a lot of geographic maps of the skull bumps.
PMID- 22073756
TI - [The Ethics and Deontology division of the French National Council of Medical
Doctors, eight years of activity, 1993-2001].
AB - The activity of the division of Ethics and deontology of the French National
council of medical doctors is analysed by its former president (1993-2001). Among
a lot of topics, a new version of the professionnal Code of deontology and
patients' information were the main subjects of reflection and action.
PMID- 22073757
TI - [The unicorn and the unicorn horn among apothecaries and physicians].
AB - In the 4th century A.D. the first unicorn was shown as a little horse with a
twisted horn and was completely different from the Oriental one described by
Marco Polo. The new unicorn appeared during the 4th century A.D. in Alexandria.
This animal enamoured of purity was used as a Christian symbol of purity and
sacrifice and adornment of churches like in Lyons in the 13th century. In the
15th & 17th centuries the unicorn was found again in famous tapestries like La
Dame B la Licorne as it meant courage, speed and purity. Since the 6th century
the powder of unicorn horn was used as a medicine or a drug against poisoning.
Depictions of unicorn can be found in chemist's signs, engravings or paintings
until the 19th century.
PMID- 22073758
TI - [The Piscine Probatica, a painted canvas of the Hotel-Dieu of Rheims,
documentation of an epidemic at the end of the 15th century].
AB - The Piscina Probatica theme is the highly distinctive iconography in an
impressive painted canvas from the ancient Rheims hotel-Dieu, dating back to the
late 15th or early 16th century. In the first place, it is interesting to note
that the actual site of the pool has been located, so that archaeological
findings bring confirmation to testament scriptures. Through the choice of the
painted-canvas medium, and thanks to his great pictorial skill, the anonymous
Rheims artist has given us a document of exceptional value, concerning the signs
and symptoms of an illness which wrought havoc in the Rheims area in his own
lifetime, namely ignis plaga or "mal des ardents". Other great artists of the
same period, such as J. Bosch, have testified to the horror of the illness. As a
matter-of-fact, the illness has been fully documented, from the 17th century
onwards, and the medical expert H.A. Tessier, also acting as an agricultural
expert, has demonstrated that ergotized rye is responsible for the fatal
condition known as ergotism, and for the heavy toll it has levied on human lives
in the course of centuries.
PMID- 22073759
TI - [The intervention of the Royal College of Medicine in Nancy in the regulation of
pharmaceutical activity].
AB - Created in 1752 the Royal College of Medicine in Nancy constituted an institution
with extended powers, particularly in sanitary policy and pharmaceutical
activity. After explaining the rules of the College, the paper describes with
examples its participation to the examinations of pharmacy pupils and their
sustaining of "Conclusions de pharmacie et de chymie", to the delivery of drugs
and to the fight against charlatanry and prohibited activity of pharmacy. From
its creating to its disparition in 1793 by order of the government, the Royal
College of Medicine worked remarkably, and the rules worked up during the forty
years of its life appear to be of a great modernity.
PMID- 22073760
TI - [Medicinal plants and symbols in the medieval mystic altarpiece].
AB - The medieval mystic altarpiece towers above the altar table. It is linked to the
evocation of a religious mystery beyond our faculty of reasoning. Symbolism of an
enclosed garden evokes the image of the Heavenly Garden isolated by a wall from
the rest of earthly world. In this mystic chiefly Rhenan altarpiece the enclosed
garden is that of Virgin Mary who in the Middle Ages was likened to the spouse in
the song of songs. The Blessed Virgin is painted with flowers, lily, rose,
violet, lily of the valley. Most of these are medicinal plants in order to
implore a faith healing for the believers. All in all about fifty plants are
showed on Rhenan altarpieces and on 14th century mystic altarpieces almost
contemporary of Issenheim's altarpiece, some Italian, some Rhenan.
PMID- 22073761
TI - A follow-up study of patients with medically unexplained symptoms referred to a
liaison psychiatry service.
AB - OBJECTIVE: The principal objective was to describe general hospital use and
mortality in a group of patients with medically unexplained symptoms referred to
a liaison psychiatry service. METHOD: Cases were identified from patients with
medically unexplained symptoms referred to a liaison psychiatry service between
1998 and 2005. We also identified a control group of patients, matched for age,
sex, and date of referral, referred for other reasons to the same service. Follow
up information was obtained from the New Zealand Health Information Service
national minimum data set from 1998 to 2006. Finally we compared hospital use in
people with medically unexplained symptoms with national data on average length
of stay in New Zealand hospitals. RESULTS: Over 9 years 153 patients referred for
medically unexplained symptoms spent nearly 14,000 days in hospital. They also
had statistically significant greater numbers of general hospital admissions and
fewer deaths compared to patients referred to liaison psychiatry for other
reasons. Patients with medically unexplained symptoms spent 41% spent longer in
hospital on each admission (5.5 days) compared to the New Zealand average length
of stay in general hospitals (3.9 days). After referral to liaison psychiatry
patients who were frequently admitted with medically unexplained symptoms
experienced a statistically significant decrease in the number of admissions and
length of stay per year. CONCLUSIONS: Patients referred to a liaison psychiatry
service with medically unexplained symptoms use substantial amounts of health
service resources. They also have a lower mortality and are admitted to hospital
more often than a matched control group.
PMID- 22073762
TI - The treatment of patients with medically unexplained physical symptoms in China:
a study comparing expectations and treatment satisfaction in psychosomatic
medicine, biomedicine, and traditional Chinese medicine.
AB - OBJECTIVE: Little is known about treatment for patients with medically
unexplained symptoms (MUS) in China. This study investigates the treatment
expectations and treatment satisfaction of patients with MUS in psychosomatic
medicine, biomedicine, and Traditional Chinese Medicine (TCM). METHOD: In a cross
sectional survey, n = 96 (10.3%) out of 931 participating patients were screened
positive for multiple somatoform symptoms. These patients answered questionnaires
concerning symptom duration, number of doctor visits, functional impairment,
emotional distress, treatment expectations, treatment satisfaction, and empathy
in the consultation. The physicians filled in a questionnaire about applied or
recommended treatment. RESULTS: Most of the patients from psychosomatic medicine
wanted psychotherapy. In TCM, 55% of the patients had already received TCM
treatment and most of them wanted to continue TCM treatment. Patients in
biomedicine did not express clear expectations; most of them had had no previous
treatment. A combination of treatment methods was most prevalent in biomedicine
in comparison to psychosomatic medicine and TCM. The outcome from the patients'
point of view was significantly better in TCM than in psychosomatic medicine and
biomedicine. Psychosomatic medicine's strength was the empathetic physician
patient interaction. CONCLUSIONS: From a biopsychosocial perspective, these
results suggest that various treatment approaches with various emphases can be
effective depending on the patient's complaints, his illness beliefs, and what
the physician offers. The results will be verified in a larger multicenter
longitudinal study.
PMID- 22073763
TI - Schizophrenia: medical illness, mortality, and aging.
AB - OBJECTIVE: Schizophrenia is a devastating and common psychiatric disorder which
is associated with a high degree of medical morbidity and reduced life span in
addition to psychosis. In this article, these problems will be discussed in the
context of schizophrenia and aging. METHOD: The recent literature was reviewed
using Pubmed, Medline, and Google scholar with the search terms "schizophrenia,
aging, medical problems." RESULTS: Schizophrenia is associated with significant
medical morbidity and mortality. Diabetes and cardiovascular disease, along with
smoking and obesity, are over-represented and contribute to reduced quality of
life and life span. Schizophrenics often receive poor medical care. CONCLUSIONS:
The impacts of schizophrenia on physical health and successful aging have been
underestimated. Psychiatrists and primary care physicians need to address the
overlapping medical and psychiatric aspects of the disorder while the medical
care system for these patients requires a much higher degree of coordination than
is currently available.
PMID- 22073764
TI - A brief measure of core religious beliefs for use in psychiatric settings.
AB - Results from several national studies in the United States suggests that: (1)
religious beliefs and practices are highly prevalent; (2) spirituality and
religion are statistically and clinically relevant to mental health and symptoms;
and (3) many patients have a preference for spiritually integrated care. However,
existing protocols that assess for salient religious themes in psychiatric
settings are time-consuming to administer, relevant only to specific populations
(e.g., Christians), and have poor psychometric properties. Further, evidence
suggests that religious beliefs can take on a positive and negative valence, and
both of these dimensions are worthy of assessment. We, therefore, developed a
brief (six-item) self-report measure of positive and negative core beliefs about
God which is uniquely suited for use with a broad range of religious patients.
Across three studies, we evaluated its psychometric properties and ability to
predict symptoms of anxiety and depression. Results provide support for the
validity and reliability of our measure and further highlight the salience of
both positive and negative religious beliefs to psychiatric symptoms. It is hoped
that this measure will help to decrease the burden of spiritual assessment in
psychiatric and medical settings, and further have research utility for this area
of study.
PMID- 22073765
TI - The mind-body connection: an integrated approach to the diagnosis of colonic
trichobezoar.
AB - The relationship between trichophagia, the compulsive eating of hair, and
trichobezoar, the accumulation of hair within the gastrointestinal tract,
illustrates the importance of the mind-body connection. Physicians ought to be
cognizant of this association in the evaluation of colonic obstruction as colonic
trichobezoars, though uncommon, carry a high mortality rate. Incorporation of the
psychiatric and dermatological examinations is a simple way to raise clinical
suspicion for the presence of a trichobezoar, and to prompt early and appropriate
surgical treatment. Even after resolution, treatment of the underlying
trichophagia is highly recommended to prevent future complications.
PMID- 22073766
TI - Active depression is associated with regional adiposity in the upper abdomen and
the neck.
AB - OBJECTIVE: In major depression, the incidence of overweight, the risk of type 2
diabetes, as well as cardiovascular disease is increased. Aim was to determine
body fat distribution in depressive and healthy females using whole body Magnetic
Resonance Imaging (MRI). Measurements of total adipose tissue (TAT), visceral
(VAT), and subcutaneous adipose tissue (SCAT) at the trunk and the whole body fat
distribution along the body axis were performed and compared. Differences in body
fat distribution between depressive and healthy females and their location were
evaluated. METHODS: In total, 11 women with a depressive syndrome (major
depression, MD) and 45 healthy female volunteers (HC) matched for age and body
mass index were compared. Total tissue (TT), TAT, VAT, and SCAT were quantified
using T1-weighted whole body MRI. Adipose tissue distribution was compared along
the body axis. RESULTS: MD patients showed higher adipose tissue volumes than the
HC group. Especially in the upper abdomen, at the upper extremities and the neck,
MD patients are characterized by a significantly higher adipose tissue mass
compared to the HC group. CONCLUSIONS: The results of this study confirm the
hypothesis of a high stress level with a disturbed hypothalamic-pituitary-adrenal
(HPA) axis leading to a Cushing-like habitus and high visceral fat levels. The
increased fat levels at the arms, as well as the whole body fat may be well
founded by a lack of activity in depression. These effects should be evaluated in
further longitudinal studies investigating patients with a depressive syndrome
and after remission.
PMID- 22073768
TI - Inspiration in the harness of daily labor. Darwin, botany, and the triumph of
evolution, 1859-1868.
AB - Charles Darwin hoped that a large body of working naturalists would embrace
evolution after the Origin of Species appeared in late 1859. He was disappointed.
His evolutionary ideas at first made painfully little progress in the scientific
community. But by 1863 the tide had turned dramatically, and within five years
evolution became scientific orthodoxy in Britain. The Origin's reception followed
this peculiar trajectory because Darwin had not initially tied its theory to
productive original scientific investigation, which left him vulnerable to
charges of reckless speculation. The debate changed with his successful
application of evolution to original problems, most notably orchid fertilization,
the subject of a well-received book in 1862. Most of Darwin's colleagues found
the argument of the Origin convincing when they realized that it functioned
productively in the day-to-day work of science-and not before. The conceptual
force of the Origin, however outwardly persuasive, acquired full scientific
legitimacy only when placed "in the harness of daily labour".
PMID- 22073767
TI - Quality of life in postmenopausal women: the impact of depressive and anxiety
disorders.
AB - OBJECTIVE: Psychiatric symptoms are frequently present in postmenopausal women.
The present study aimed to investigate the impact of depressive and anxiety
disorders on the quality of life (QoL) of postmenopausal women. METHOD: The study
sample consisted of 342 postmenopausal women who presented to the Gynecology
Outpatient Clinic. The Structured Clinical Interview for Diagnostic and
Statistical Manual of Mental Disorders, Fourth Edition was used to determine
depressive and anxiety disorders. The participants' QoL level was assessed by
means of the World Health Organization QoL Assessment-Brief (WHOQOL-BREF).
RESULTS: Subjects without a diagnosis of depressive or anxiety disorder had
significantly higher points in all domains of WHOQOL-BREF. According to linear
regression analysis, all evaluated disorders except social phobia and specific
phobia were independently associated with lower scores for at least one dimension
of the QoL scale. However, major depression, dysthymic disorder, and generalized
anxiety disorder predicted all domains of WHOQOL-BREF. CONCLUSION: Depressive and
anxiety disorders, particularly major depression, dysthymic disorder, and
generalized anxiety disorder, seem to be considerable factors affecting the QoL
in postmenopausal women.
PMID- 22073769
TI - Trading in birds: imperial power, national pride, and the place of nature in U.S.
Colombia relations.
AB - Between the 1910s and the 1940s, American naturalists carried out a number of
ornithological expeditions in Colombia. With the help of Colombian naturalists,
thousands of skins were brought to natural history museums in the United States.
By 1948 these birds had become an important treasure: American ornithologists
declared Colombia the nation with the most bird species. This story sheds new
light on the role science played in the expansion of U.S. political, economic,
and cultural influence in Latin America in the early twentieth century, as well
as on the relation between nationalist movements in Latin America and the study
of the natural world. Recognizing a complex but fruitful interaction between
nationalist policies and imperial practices proves important for understanding
the success of the naturalists' enterprise in Colombia.
PMID- 22073770
TI - Who owns what? Private ownership and the public interest in recombinant DNA
technology in the 1970s.
AB - This essay analyzes how academic institutions, government agencies, and the
nascent biotech industry contested the legal ownership of recombinant DNA
technology in the name of the public interest. It reconstructs the way a small
but influential group of government officials and university research
administrators introduced a new framework for the commercialization of academic
research in the context of a national debate over scientific research's
contributions to American economic prosperity and public health. They claimed
that private ownership of inventions arising from public support would provide a
powerful means to liberate biomedical discoveries for public benefit. This
articulation of the causal link between private ownership and the public
interest, it is argued, justified a new set of expectations about the use of
research results arising from government or public support, in which
commercialization became a new public obligation for academic researchers. By
highlighting the broader economic and legal shifts that prompted the
reconfiguration of the ownership of public knowledge in late twentieth-century
American capitalism, the essay examines the threads of policy-informed legal
ideas that came together to affirm private ownership of biomedical knowledge as
germane to the public interest in the coming of age of biotechnology and genetic
medicine.
PMID- 22073771
TI - The skeleton in the closet: should historians of science care about the history
of mathematics?
AB - Up until the 1950s, the history of mathematics was an integral part of the
history of science. To George Sarton and his contemporaries, mathematics was the
rational skeleton that organized science and held it together, and its history
was a fundamental component of the broader history of science. But when
historians began focusing on the cultural roots of science rather than its
rational structure, the study of mathematics was marginalized and ultimately
excluded from the history of science. The alienation between the two fields is
detrimental to both, and in recent years there has been a sustained effort to
reestablish meaningful communication between the two. This time, however,
mathematics is seen not as the static skeleton of science but, instead, as a
dynamic and historically evolving field in its own right-just like science
itself. The new approach allows for a culturally sensitive study of mathematics,
as well as a new and fruitful relationship between the history of science and the
history of mathematics. The essays in this Focus section offer a sampling of the
new approaches, opening the way to a rapprochement between fields that have gone
their separate ways but should by rights be closely interconnected.
PMID- 22073772
TI - Between timelessness and historiality: on the dynamics of the epistemic objects
of mathematics.
AB - In order to discuss the temporal structure of mathematical research, this essay
offers four related definitions of a mathematical object from different times and
places. It is argued that in order to appreciate the differences between these
definitions, the historian needs to understand that none of them made sense in
mathematical practice without a technical framework, referred to but not
explained in the definitions themselves (an "epistemic configuration of
research"); that the dynamics of the epistemic objects of mathematical research
are secondary to the dynamics of these epistemic configurations as a whole; and
that the dynamics of epistemic configurations of mathematical research do not
follow law-like processes. Very different types of change may happen, and some of
them link the dynamics of epistemic configurations with events and developments
far beyond the bounds of the research field in question. These insights have
historiographical consequences that require us to rethink the kind of temporality
ascribed to mathematics.
PMID- 22073773
TI - AfterMath: the work of proof in the age of human-machine collaboration.
AB - During the 1970s and 1980s, a team of Automated Theorem Proving researchers at
the Argonne National Laboratory near Chicago developed the Automated Reasoning
Assistant, or AURA, to assist human users in the search for mathematical proofs.
The resulting hybrid humans+AURA system developed the capacity to make novel
contributions to pure mathematics by very untraditional means. This essay traces
how these unconventional contributions were made and made possible through
negotiations between the humans and the AURA at Argonne and the transformation in
mathematical intuition they produced. At play in these negotiations were
experimental practices, nonhumans, and nonmathematical modes of knowing. This
story invites an earnest engagement between historians of mathematics and
scholars in the history of science and science studies interested in experimental
practice, material culture, and the roles of nonhumans in knowledge making.
PMID- 22073774
TI - "This compendious language": mathematics in the world of Augustus De Morgan.
AB - Mathematics is the most chameleon of subjects, whose meaning is differently
defined in different circumstances. This essay considers the mathematics of
Augustus De Morgan as an illustration of the ways that the essence of the
subject, the very objects that are included within it, has been adjusted in
response to cultural factors. Since these cultural factors are the same ones that
shape scientific development, the argument is that the history of mathematics and
the history of science are always inextricably bound to one another.
PMID- 22073775
TI - History of mathematics and history of science reunited?
AB - For some years now, the history of modern mathematics and the history of modern
science have developed independently. A step toward a reunification that would
benefit both disciplines could come about through a revived appreciation of
mathematical practice. Detailed studies of what mathematicians actually do,
whether local or broadly based, have often led in recent work to examinations of
the social, cultural, and national contexts, and more can be done. Another recent
approach toward a historical understanding of the abstractness of modern
mathematics has been to see it as a species of modernism, and this thesis will be
tested by the raft of works on the history of modern applied mathematics
currently under way.
PMID- 22073776
TI - History of mathematics and history of science.
AB - This essay argues that the diversity of the history of mathematics community in
the United Kingdom has influenced the development of the subject and is a
significant factor behind the different concerns often evident in work on the
history of mathematics when compared with that of historians of science. The
heterogeneous nature of the community, which includes many who are not specialist
historians, and the limited opportunities for academic careers open to
practitioners have had a profound effect on the discipline, leading to a focus on
elite mathematics and great mathematicians. More recently, reflecting earlier
developments in the history of science, an increased interest in the context and
culture of the practice of mathematics has become evident.
PMID- 22073777
TI - Experimental evidence for mediation of competition by habitat succession.
AB - Habitat succession is thought to influence the importance of competition in
assemblages. Competitive interactions are considered of critical importance in
structuring ant assemblages, but field experiments show varied effects. I tested
how succession in managed boreal forests affects the outcome of competition from
dominant red wood ants, Formica aquilonia, through a removal experiment in
replicated stands of three different ages (0-4, 30-40, and 80-100 years old). F.
aquilonia abundance was reduced by 87%, and procedural controls showed no
nontarget effects. The succession gradient revealed the full range of possible
responses from ant species: decreases in 1-4-year-old stands, increases in 30-40
year-old stands, and no effects in 80-100-year-old stands, where diversity was
lowest. Habitat succession thus regulates competitive interactions in this
system. I propose a model for this system, where competitive effects depend on
time since disturbance. In this case, soon after disturbance the dominant species
facilitates increases in the abundance of other species. At intermediate times,
competition reduces the abundance of some species. Finally, in long-undisturbed
habitats, competitors may fail to evolve, particularly in high-stress
environments. Interactions between competition and habitat succession may explain
why structuring effects of ecologically dominant species appear inconsistent.
PMID- 22073778
TI - A power analysis for multivariate tests of temporal trend in species composition.
AB - Long-term monitoring programs emphasize power analysis as a tool to determine the
sampling effort necessary to effectively document ecologically significant
changes in ecosystems. Programs that monitor entire multispecies assemblages
require a method for determining the power of multivariate statistical models to
detect trend. We provide a method to simulate presence-absence species assemblage
data that are consistent with increasing or decreasing directional change in
species composition within multiple sites. This step is the foundation for using
Monte Carlo methods to approximate the power of any multivariate method for
detecting temporal trends. We focus on comparing the power of the Mantel test,
permutational multivariate analysis of variance, and constrained analysis of
principal coordinates. We find that the power of the various methods we
investigate is sensitive to the number of species in the community, univariate
species patterns, and the number of sites sampled over time. For increasing
directional change scenarios, constrained analysis of principal coordinates was
as or more powerful than permutational multivariate analysis of variance, the
Mantel test was the least powerful. However, in our investigation of decreasing
directional change, the Mantel test was typically as or more powerful than the
other models.
PMID- 22073779
TI - On the use of log-transformation vs. nonlinear regression for analyzing
biological power laws.
AB - Power-law relationships are among the most well-studied functional relationships
in biology. Recently the common practice of fitting power laws using linear
regression (LR) on log-transformed data has been criticized, calling into
question the conclusions of hundreds of studies. It has been suggested that
nonlinear regression (NLR) is preferable, but no rigorous comparison of these two
methods has been conducted. Using Monte Carlo simulations, we demonstrate that
the error distribution determines which method performs better, with NLR better
characterizing data with additive, homoscedastic, normal error and LR better
characterizing data with multiplicative, heteroscedastic, lognormal error.
Analysis of 471 biological power laws shows that both forms of error occur in
nature. While previous analyses based on log-transformation appear to be
generally valid, future analyses should choose methods based on a combination of
biological plausibility and analysis of the error distribution. We provide
detailed guidelines and associated computer code for doing so, including a model
averaging approach for cases where the error structure is uncertain.
PMID- 22073780
TI - Monotonicity-constrained species distribution models.
AB - Flexible modeling frameworks for species distribution models based on generalized
additive models that allow for smooth, nonlinear effects and interactions are of
increasing importance in ecology. Commonly, the flexibility of such smooth
function estimates is controlled by means of penalized estimation procedures.
However, the actual shape remains unspecified. In many applications, this is not
desirable as researchers have a priori assumptions on the shape of the estimated
effects, with monotonicity being the most important. Here we demonstrate how
monotonicity constraints can be incorporated in a recently proposed flexible
framework for species distribution models. Our proposal allows monotonicity
constraints to be imposed on smooth effects and on ordinal, categorical variables
using an additional asymmetric L2 penalty. Model estimation and variable
selection for Red Kite (Milvus milvus) breeding was conducted using the flexible
boosting framework implemented in R package mboost.
PMID- 22073781
TI - Intraspecific trait variants determine the nature of interspecific interactions
in a habitat-forming species.
AB - Although the study of ecological interactions often takes into account functional
variation between species, intraspecific variation is commonly ignored. Here, we
investigate the importance of an intraspecific polymorphism in shaping
interspecific interactions in a habitat-building species. Colonies of the social
spider Anelosimus studiosus provide habitat for dozens of arthropod species, and
colony members exhibit markedly polymorphic behavioral temperaments (BT):
"aggressive" or "docile." We manipulated the phenotypic compositions of colonies
(100% aggressive, 50% aggressive and 50% docile, 100% docile) and measured the
nature and magnitude of interactions between A. studiosus and two heterospecific
web associates, Larinioides cornutus and Agelenopsis emertoni. We found that BT
composition significantly affected the outcome of interspecific interactions,
changing the relationship between A. studiosus and its web associates from an
ammensalism (where A. studiosus experiences reduced fecundity and survival) to a
commensalism or mutualism. Our study successfully illustrates the potential of
BTs to impact whole community dynamics, and conversely, for community structure
to influence the maintenance of BTs.
PMID- 22073782
TI - Plasticity in foraging strategies of inshore birds: how Little Penguins maintain
body reserves while feeding offspring.
AB - Breeding animals face important time and energy constraints when caring for
themselves and their offspring. For long-lived species, life-history theory
predicts that parents should favor survival over current reproductive attempts,
thus investing more into their own maintenance than the provisioning of their
young. In seabirds, provisioning strategies may additionally be influenced by the
distance between breeding sites and foraging areas, and offshore and inshore
species should thus exhibit different strategies. Here, we examine the
provisioning strategies of an inshore seabird using a long-term data set on more
than 200 Little Penguins, Eudyptula minor. They alternated between two
consecutive long and several short foraging trips all along chick rearing, a
strategy almost never observed for inshore animals. Short trips allowed for
regular provisioning of the chicks (high feeding frequency and larger meals),
whereas long trips were performed when parent body mass was low and enabled them
to rebuild their reserves, suggesting that adult body condition may be a key
factor in initiating long trips. Inshore seabirds do use dual strategies of
alternating short and long trips, but from our data, on a simpler and less
flexible way than for offshore birds.
PMID- 22073783
TI - Climate, icing, and wild arctic reindeer: past relationships and future
prospects.
AB - Across the Arctic, heavy rain-on-snow (ROS) is an "extreme" climatic event that
is expected to become increasingly frequent with global warming. This has
potentially large ecosystem implications through changes in snowpack properties
and ground-icing, which can block the access to herbivores' winter food and
thereby suppress their population growth rates. However, the supporting empirical
evidence for this is still limited. We monitored late winter snowpack properties
to examine the causes and consequences of ground-icing in a Svalbard reindeer
(Rangifer tarandus platyrhynchus) metapopulation. In this high-arctic area, heavy
ROS occurred annually, and ground-ice covered from 25% to 96% of low-altitude
habitat in the sampling period (2000-2010). The extent of ground-icing increased
with the annual number of days with heavy ROS (> or = 10 mm) and had a strong
negative effect on reindeer population growth rates. Our results have important
implications as a downscaled climate projection (2021-2050) suggests a
substantial future increase in ROS and icing. The present study is the first to
demonstrate empirically that warmer and wetter winter climate influences large
herbivore population dynamics by generating ice-locked pastures. This may serve
as an early warning of the importance of changes in winter climate and extreme
weather events in arctic ecosystems.
PMID- 22073784
TI - Evolution of a stream ecosystem in recently deglaciated terrain.
AB - Climate change and associated glacial recession create new stream habitat that
leads to the assembly of new riverine communities through primary succession.
However, there are still very few studies of the patterns and processes of
community assembly during primary succession for stream ecosystems. We illustrate
the rapidity with which biotic communities can colonize and establish in recently
formed streams by examining Stonefly Creek in Glacier Bay, Alaska (USA), which
began to emerge from a remnant glacial ice mass between 1976 and 1979. By 2002,
57 macroinvertebrate and 27 microcrustacea species had become established. Within
10 years of the stream's formation, pink salmon and Dolly Varden charr colonized,
followed by other fish species, including juvenile red and silver salmon, Coast
Range sculpin, and sticklebacks. Stable-isotope analyses indicate that marine
derived nitrogen from the decay of salmon carcasses was substantially assimilated
within the aquatic food web by 2004. The findings from Stonefly Creek are
compared with those from a long-term study of a similarly formed but older stream
(12 km to the northeast) to examine possible similarities in macroinvertebrate
community and biological trait composition between streams at similar stages of
development. Macroinvertebrate community assembly appears to have been initially
strongly deterministic owing to low water temperature associated with remnant ice
masses. In contrast, microcrustacean community assembly appears to have been more
stochastic. However, as stream age and water temperature increased,
macroinvertebrate colonization was also more stochastic, and taxonomic similarity
between Stonefly Creek and a stream at the same stage of development was <50%.
However the most abundant taxa were similar, and functional diversity of the two
communities was almost identical. Tolerance is suggested as the major mechanism
of community assembly. The rapidity with which salmonids and invertebrate
communities have become established across an entire watershed has implications
for the conservation of biodiversity in freshwater habitats.
PMID- 22073785
TI - Patterns of body mass senescence and selective disappearance differ among three
species of free-living ungulates.
AB - Declines in survival and reproduction with age are prevalent in wild vertebrates,
but we know little about longitudinal changes in behavioral, morphological, or
physiological variables that may explain these demographic declines. We compared
age-related variation in body mass of adult females in three free-living ungulate
populations that have been the focus of long-term, individual-based research:
bighorn sheep (Ovis canadensis) at Ram Mountain, Canada; roe deer (Capreolus
capreolus) at Trois Fontaines, France; and Soay sheep (Ovis aries) on St. Kilda,
Scotland. We use two recently proposed approaches to separate contributions to
age-dependent variation at the population level from within-individual changes
and between-individual selective disappearance. Selective disappearance of light
individuals in all three populations was most evident at the youngest and oldest
ages. In later adulthood, bighorn sheep and roe deer showed a continuous decline
in body mass that accelerated with age while Soay sheep showed a precipitous
decrease in mass in the two years preceding death. Our results highlight the
importance of mass loss in explaining within-individual demographic declines in
later adulthood in natural populations. They also reveal that the pattern of
senescence, and potentially also the processes underlying demographic declines in
late life, can differ markedly across related species with similar life
histories.
PMID- 22073786
TI - Size-mediated non-trophic interactions and stochastic predation drive assembly
and dynamics in a seabird community.
AB - Theoretical and empirical evidence suggests that body size is a major life
history trait impacting on the structure and functioning of complex food webs.
However, long-term analyses of size-dependent interactions within simpler network
modules, for instance, competitive guilds, are scant. Here, we model the assembly
dynamics of the largest breeding seabird community in the Mediterranean basin
during the last 30 years. This unique data set allowed us to test, through a
"natural experiment," whether body size drove the assembly and dynamics of an
ecological guild growing from very low numbers after habitat protection. Although
environmental stochasticity accounted for most of community variability, the
population variance explained by interspecific interactions, albeit small,
decreased sharply with increasing body size. Since we found a demographic
gradient along a body size continuum, in which population density and stability
increase with increasing body size, the numerical effects of interspecific
interactions were proportionally higher on smaller species than on larger ones.
Moreover, we found that the per capita interaction coefficients were larger the
higher the size ratio among competing species, but only for the set of
interactions in which the species exerting the effect was greater. This provides
empirical evidence for long-term asymmetric interspecific competition, which
ultimately prompted the local extinction of two small species during the study
period. During the assembly process stochastic predation by generalist carnivores
further triggered community reorganizations and global decays in population
synchrony, which disrupted the pattern of interspecific interactions. These
results suggest that the major patterns detected in complex food webs can hold as
well for simpler sub-modules of these networks involving non-trophic
interactions, and highlight the shifting ecological processes impacting on
assembling vs. asymptotic communities.
PMID- 22073787
TI - Influence of corallivory, competition, and habitat structure on coral community
shifts.
AB - The species composition of coral communities has shifted in many areas worldwide
through the relative loss of important ecosystem engineers such as highly
branched corals, which are integral in maintaining reef biodiversity. We assessed
the degree to which the performance of recently recruited branching corals was
influenced by corallivory, competition, sedimentation, and the interactions
between these factors. We also explored whether the species-specific influence of
these biotic and abiotic constraints helps to explain recent shifts in the coral
community in lagoons of Moorea, French Polynesia. Population surveys revealed
evidence of a community shift away from a historically acroporid-dominated
community to a pocilloporid- and poritid-dominated community, but also showed
that the distribution and abundance of coral taxa varied predictably with
location in the lagoon. At the microhabitat scale, branching corals grew mainly
on dead or partially dead massive Porites ("bommies"), promontories with enhanced
current velocities and reduced sedimentation. A demographic study revealed that
growth and survival of juvenile Pocillopora verrucosa and Acropora retusa, the
two most common branching species of each taxon, were affected by predation and
competition with vermetid gastropods. By 24 months of age, 20-60% of juvenile
corals suffered partial predation by corallivorous fishes, and injured corals
experienced reduced growth and survival. A field experiment confirmed that
partial predation by corallivorous fishes is an important, but habitat-modulated,
constraint for branching corals. Competition with vermetid gastropods reduced
growth of both branching species but unexpectedly also provided an associational
defense against corallivory. Overall, the impact of abiotic constraints was
habitat-specific and similar for Acropora and Pocillopora, but biotic
interactions, especially corallivory, had a greater negative effect on Acropora
than Pocillopora, which may explain the local shift in coral community
composition.
PMID- 22073788
TI - Evaluating the importance of demographic connectivity in a marine metapopulation.
AB - Recently researchers have gone to great lengths to measure marine metapopulation
connectivity via tagging, genetic, and trace-elemental fingerprinting studies.
These empirical estimates of larval dispersal are key to assessing the
significance of metapopulation connectivity within a demographic context, but the
life-history data required to do this are rarely available. To evaluate the
demographic consequences of connectivity we constructed seasonal, size-structured
metapopulation matrix models for two species of mytilid mussel in San Diego
County, California, USA. The self-recruitment and larval exchange terms were
produced from a time series of realized connectivities derived from trace
elemental fingerprinting of larval shells during spring and fall from 2003 to
2008. Both species exhibited a strong seasonal pattern of southward movement of
recruits in spring and northward movement in fall. Growth and mortality terms
were estimated using mark-recapture data from representative sites for each
species and subpopulation, and literature estimates of juvenile mortality.
Fecundity terms were estimated using county-wide settlement data from 2006-2008;
these data reveal peak reproduction and recruitment in fall for Mytilus
californianus, and spring for M. galloprovincialis. Elasticity and life-stage
simulation analyses were employed to identify the season- and subpopulation
specific vital rates and connectivity terms to which the metapopulation growth
rate (lambda) was most sensitive. For both species, metapopulation growth was
most sensitive to proportional changes in adult fecundity, survival and growth of
juvenile stages, and population connectivity, in order of importance, but
relatively insensitive to adult growth or survival. The metapopulation concept
was deemed appropriate for both Mytilus species as exchange between the
subpopulations was necessary for subpopulation persistence. However, highest
metapopulation growth occurred in years when a greater proportion of recruits was
retained within the predominant source subpopulation. Despite differences in
habitat and planktonic duration, both species exhibited similar overall
metapopulation dynamics with respect to key life stages and processes. However,
different peak reproductive periods in an environment of seasonal current
reversals led to different regional (subpopulation) contributions to
metapopulation maintenance; this result emphasizes the importance of connectivity
analysis for spatial management of coastal resources.
PMID- 22073789
TI - Demographic heterogeneity, cohort selection, and population growth.
AB - Demographic heterogeneity--variation among individuals in survival and
reproduction--is ubiquitous in natural populations. Structured population models
address heterogeneity due to age, size, or major developmental stages. However,
other important sources of demographic heterogeneity, such as genetic variation,
spatial heterogeneity in the environment, maternal effects, and differential
exposure to stressors, are often not easily measured and hence are modeled as
stochasticity. Recent research has elucidated the role of demographic
heterogeneity in changing the magnitude of demographic stochasticity in small
populations. Here we demonstrate a previously unrecognized effect: heterogeneous
survival in long-lived species can increase the long-term growth rate in
populations of any size. We illustrate this result using simple models in which
each individual's annual survival rate is independent of age but survival may
differ among individuals within a cohort. Similar models, but with nonoverlapping
generations, have been extensively studied by demographers, who showed that,
because the more "frail" individuals are more likely to die at a young age, the
average survival rate of the cohort increases with age. Within ecology and
evolution, this phenomenon of "cohort selection" is increasingly appreciated as a
confounding factor in studies of senescence. We show that, when placed in a
population model with overlapping generations, this heterogeneity also causes the
asymptotic population growth rate lambda to increase, relative to a homogeneous
population with the same mean survival rate at birth. The increase occurs
because, even integrating over all the cohorts in the population, the population
becomes increasingly dominated by the more robust individuals. The growth rate
increases monotonically with the variance in survival rates, and the effect can
be substantial, easily doubling the growth rate of slow-growing populations.
Correlations between parent and offspring phenotype change the magnitude of the
increase in lambda, but the increase occurs even for negative parent-offspring
correlations. The effect of heterogeneity in reproductive rate on lambda is quite
different: growth rate increases with reproductive heterogeneity for positive
parent-offspring correlation but decreases for negative parent-offspring
correlation. These effects of demographic heterogeneity on lambda have important
implications for population dynamics, population viability analysis, and
evolution.
PMID- 22073790
TI - Forest annual carbon cost: comment.
PMID- 22073792
TI - Why does nutrition deteriorate rapidly among children under 2 years of age? Using
qualitative methods to understand community perspectives on complementary feeding
practices in Bangladesh.
AB - BACKGROUND: Child undernutrition remains high in South Asian and sub-Saharan
African countries. Rapid declines in nutritional status occur before the age of 2
years, particularly during the period of complementary feeding. Improving
complementary feeding practices is a neglected area in nutrition programs.
OBJECTIVE: To understand community perspectives on complementary feeding
practices in order to inform the design of future interventions for improved
complementary feeding. METHODS: From May through August 2009, data were collected
in two rural locations and one urban location in Bangladesh through
semistructured interviews, food attributes exercises, 24-hour dietary recalls,
opportunistic observations, and trials of improved practices (TIPs). Key
informant interviews and focus group discussions were also carried out among
family members and community opinion leaders. RESULTS: Lay perceptions about
complementary feeding differ substantially from international complementary
feeding recommendations. A large proportion of children do not consume sufficient
amounts of complementary foods to meet their energy and micronutrient needs.
There was a gap in knowledge about appropriate complementary foods in terms of
quality and quantity and strategies to convert family foods to make them suitable
for children. Complementary feeding advice from family members, peers, and health
workers, the importance given to feeding young children, and time spent by
caregivers in feeding influenced the timing, frequency, types of food given, and
ways in which complementary feeding occurred. CONCLUSIONS: Perceptions and
practices related to complementary feeding need to be effectively addressed to
improve the levels of child undernutrition. Lack of understanding of children's
nutritional needs and insufficient time for feeding children are key barriers to
complementary feeding.
PMID- 22073791
TI - Meat consumption is associated with less stunting among toddlers in four diverse
low-income settings.
AB - BACKGROUND: Early growth faltering is common but is difficult to reverse after
the first 2 years of life. OBJECTIVE: To describe feeding practices and growth in
infants and young children in diverse low-income settings prior to undertaking a
complementary feeding trial. METHODS: This cross-sectional study was conducted
through the Global Network for Women's and Children's Health Research in
Guatemala, Democratic Republic of Congo, Zambia, and Pakistan. Feeding
questionnaires were administered to convenience samples of mothers of 5- to 9
month old infants and 12- to 24-month-old toddlers. After standardized training,
anthropometric measurements were obtained from the toddlers. Following the 2006
World Health Organization Growth Standards, stunting was defined as length-for
age < -2SD, and wasting as weight-for-length < -2SD. Logistic regression was
applied to evaluate relationships between stunting and wasting and consumption of
meat (including chicken and liver and not including fish). RESULTS: Data were
obtained from 1,500 infants with a mean (+/- SD) age of 6.9 +/- 1.4 months and
1,658 toddlers with a mean age of 17.2 +/- 3.5 months. The majority of the
subjects in both age groups were breastfed. Less than 25% of the infants received
meat regularly, whereas 62% of toddlers consumed these foods regularly, although
the rates varied widely among sites. Stunting rate ranged from 44% to 66% among
sites; wasting prevalence was less than 10% at all sites. After controlling for
covariates, consumption of meat was associated with a reduced likelihood of
stunting (OR = 0.64; 95% CI, 0.46 to 0.90). CONCLUSIONS: The strikingly high
stunting rates in these toddlers and the protective effect of meat consumption
against stunting emphasize the need for interventions to improve complementary
feeding practices, beginning in infancy.
PMID- 22073793
TI - On the transition to sustainability: an analysis of the costs of school feeding
compared with the costs of primary education.
AB - BACKGROUND: The current food, fuel, and financial crises have highlighted the
importance of school feeding programs both as a social safety net for children
living in poverty and food insecurity, and as part of national educational
policies and plans. OBJECTIVE: To examine the costs of school feeding, in terms
of both the absolute cost per child and the cost per child relative to overall
education expenditure and gross domestic product (GDP) in low-, middle-, and high
income countries. METHODS: Data on the costs of school feeding in different
countries were collected from multiple sources, including World Food Programme
project data, reports from government ministries, and, where such searches
failed, newspaper articles and other literature obtained from internet searches.
Regression models were then used to analyze the relationships between school
feeding costs, the per capita costs of primary education and GDP per capita.
RESULTS: School feeding programs in low-income countries exhibit large variations
in cost, with concomitant opportunities for cost containment. As countries get
richer, however, school feeding costs become a much smaller proportion of the
investment in education. The per capita costs of feeding relative to education
decline nonlinearly with increasing GDP. CONCLUSIONS: These analyses suggest that
the main reason for this decline in the relative cost of school feeding versus
primary education is a greatly increased investment per child in primary
education as GDP rises, but a fairly flat investment in food. The analyses also
show that there appears to be a transitional discontinuity at the interface
between the lower- and middle-income countries, which tends to coincide with
changes in the capacity of governments to take over the management and funding of
programs. Further analysis is required to define these relationships, but an
initial conclusion is that supporting countries to maintain an investment in
school feeding through this transition may emerge as a key role for development
partners.
PMID- 22073794
TI - Comparison of median urinary iodine concentration as an indicator of iodine
status among pregnant women, school-age children, and nonpregnant women.
AB - BACKGROUND: Most surveys that assess the iodine status of populations target
school-age children, whereas others may target nonpregnant women with the
assumption that the iodine status of these groups is representative of other
groups in the same population. OBJECTIVE: To assess whether the median urinary
iodine concentration (UIC) of school-age children or nonpregnant women can be
used to accurately represent the iodine status of pregnant women. METHODS: Using
the World Health Organization Vitamin and Mineral Nutrition Information System
and a literature review, we identified urinary iodine surveys that included
pregnant women and school-age children and/or nonpregnant women in the same
location and year using estimates from the smallest geographic level to increase
the number of data points. Linear regression was used to assess the relationships
between the median UIC for the comparisons. RESULTS: There were 48 survey pairs
with pregnant women and school-age children (total sample sizes of 8,622 and
16,844, respectively), and 26 pairs with pregnant and nonpregnant women (sample
sizes of 3,222 and 5,520, respectively). The country contributing the most data
points was China. When the median UIC in school-age children or nonpregnant women
indicated iodine intake was adequate or above requirements, approximately half
the time pregnant women had inadequate iodine intake. CONCLUSIONS: Adequate
iodine nutrition status of school-age children or nonpregnant women may not
indicate adequate iodine nutrition status among pregnant women. In order to
assess the iodine status of pregnant women, the iodine status would need to be
assessed in this group.
PMID- 22073795
TI - Impact of prophylactic iron supplementation in healthy pregnant women on maternal
iron status and birth outcome.
AB - BACKGROUND: In spite of the beneficial effect of iron supplementation in iron
deficient pregnant women, iron supplementation may not be needed for women who
are iron replete or not anemic. Moreover, the theoretical possibility of adverse
effects, such as oxidative damage,from administration of iron supplements during
pregnancy has been raised. OBJECTIVE: To determine the effect of prophylactic
iron supplementation on iron status and birth outcomes among nonanemic pregnant
women. METHODS. A randomized, triple-blind clinical trial was conducted. One
hundred forty-eight nonanemic pregnant women with hemoglobin > 110 g/L, serum
ferritin > 12 microg/L, and gestational age < 20 weeks were randomly assigned to
receive either ferrous sulfate (60 mg elemental iron) (n = 70) or placebo (n =
78) until delivery. Hemoglobin concentration and serum ferritin were measured by
electronic counter and radioimmunoassay, respectively. Data were analyzed by
independent t-tests, ANCOVA, and repeated measures. RESULTS: At delivery, the
incidence of iron deficiency was significantly lower in the iron than in the
placebo group. There were no significant differences between the two groups in
maternal hemoglobin and ferritin concentrations at delivery or in the infant's
birthweight, birth length, or length of gestation. CONCLUSIONS: Iron
supplementation during pregnancy in nonanemic women with low serum ferritin may
have benefits beyond the prevention of iron-deficiency anemia.
PMID- 22073796
TI - Dietary patterns and nutritional health of women: the nutrition transition in
rural Tanzania.
AB - BACKGROUND: Many developing countries are experiencing a rapid nutrition
transition in urban areas. OBJECTIVE: To investigate whether a nutrition
transition was occurring in a rural area by examining the dietary patterns of
women in rural Tanzania. METHODS: A total of 252 women aged 16 to 45 years from
three districts of northeastern and central Tanzania participated. During three
different seasons in 2006-2007, the women were interviewed with the use of a
structured, interviewer-administered questionnaire, including a 24-hour dietary
recall. From these recalls, the mean intakes of 12 main food groups were
calculated and used to establish five dietary patterns by principal component
analysis. Data were also obtained on attitudes toward obesity, body mass index
(BMI), hemoglobin level, and measures of socioeconomic status and analyzed for
associations with dietary patterns. RESULTS: The five dietary patterns were
"traditional-coast," characterized by fruits, nuts, starchy plants, and fish;
"traditional-inland," characterized by cereals, oils and fats, and vegetables;
"purchase," characterized by bread and cakes (usually fried in oil), sugar, and
black tea; "pulses," characterized mainly by pulses, with few or no vegetables;
and "animal products," characterized by a high consumption of meat, eggs, and/or
milk. Significant positive associations were found, among others, between the
purchase pattern and BMI (p = 0.192, p = .005) and between the animal products
pattern and wealth (p = 0.168, p = .002). CONCLUSIONS: Differences between
traditional and modern nutritional food patterns were evident. This study found
the"traditional-inland" pattern to be the most healthy, while the "purchase" food
pattern was the most prevalent. The purchase pattern, in particular, may provide
some evidence for early stages of the nutrition transition in rural Tanzania.
PMID- 22073797
TI - The importance of milk and other animal-source foods for children in low-income
countries.
AB - BACKGROUND: Milk and other animal-source foods are concentrated dietary sources
of macro- and micronutrients. Despite a global increase in milk production and
consumption over the past decades, milk and other animal-source foods are often
lacking in the diets of children in developing countries. OBJECTIVE: To evaluate
the importance of milk and other animal-source food intake in promoting the
growth, development, and health of children in low-income countries. METHODS:
Original research articles describing observational and intervention studies with
unfortified milk, fortified milk, and other animal-source foods in children were
identified by searching the PubMed database. RESULTS: Consumption of milk and
other animal-source foods by undernourished children improves anthropometric
indices and cognitive function and reduces the prevalence of biochemical and
functional nutritional deficiencies, reducing morbidity and mortality.
Unfortified and fortified milk used in supplementation trials has been well
tolerated and widely accepted by parents and children. CONCLUSIONS: To improve
the dietary quality of children in low-income countries and further the effort to
eradicate extreme poverty and hunger in accordance with the United Nations
Millennium Development Goals, additional research is necessary to identify and
implement programs and policy supporting increased intake of milk and other
animal-source foods.
PMID- 22073798
TI - Beyond food insecurity: how context can improve complementary feeding
interventions.
AB - BACKGROUND: The role of context has not been elaborated with respect to current
recommendations for complementary feeding interventions, apart from a gross
distinction based on food security. OBJECTIVE: Our objective was to compare two
food-insecure settings in sub-Saharan Africa to determine how context (i.e., the
set of local social, cultural, and physical circumstances) influences
complementary feeding practices and nutrient intakes and how the results can help
in the design of a suitable intervention strategy. METHODS: We conducted
formative research using 24-hour dietary recalls, household interviews, and focus
group discussions with mothers of 6- to 12-month-old infants in rural Zimbabwe (n
= 32) and Pemba Island, Zanzibar, Tanzania (n = 44). RESULTS: In both settings,
many infants had suboptimal nutrient intakes, poor dietary diversity, and poor
hygiene. Breastfeeding practices were poor in Pemba, and the infants' diet had
low energy density in Zimbabwe. Beyond food insecurity, contextual determinants
of practices included inaccurate indigenous knowledge, time-consuming maternal
livelihoods, family eating behaviors, local agriculture, and the local ecosystem.
Both settings would require nutrition education, but it should target the broader
indigenous ways of learning and family eating behaviors in order to achieve the
necessary behavior change. A home-based fortificant would probably be enough for
Pemban children, because the ecosystem of the island provides sufficient sources
of macronutrients. However, Zimbabwean children appear to need a fortified food
based supplement to overcome the poor agricultural and economic context.
CONCLUSIONS: Assessing context was essential to intervention design. A framework
to guide future formative research is proposed.
PMID- 22073799
TI - Assessing the impact of micronutrient intervention programs implemented under
special circumstances--meeting report.
AB - INTRODUCTION AND OBJECTIVE: The World Food Programme and the Office of the United
Nations High Commissioner for Refugees organized a meeting of experts to discuss
evaluation of micronutrient interventions under special circumstances, such as
emergency and refugee situations. RESULTS: Multimicronutrient interventions for
groups with higher needs may include home fortification products for young
children or supplements for pregnant and lactating women. The choice of
preparation should be guided by target group needs, evidence of efficacy of a
product or its compounds, acceptability, and cost-effectiveness. Different
designs can be used to assess whether an intervention has the desired impact.
First, program implementation and adherence must be ascertained. Then, impact on
micronutrient status can be assessed, but design options are often limited by
logistic challenges, available budget, security issues, and ethical and practical
issues regarding nonintervention or placebo groups. Under these conditions, a
plausibility design using pre- and postintervention cross-sectional surveys, a
prospective cohort study, or a step-wedge design, which enrolls groups as they
start receiving the intervention, should be considered. Post hoc comparison of
groups with different adherence levels may also be useful. Hemoglobin is often
selected as an impact indicator because it is easily measured and tends to
respond to change in micronutrient status, especially iron. However, it is not a
very specific indicator of micronutrient status, because it is also influenced by
inflammation, parasitic infestation, physiological status (age, pregnancy),
altitude, and disorders such as thalassemia and sickle cell disease. CONCLUSION:
Given the constraints described above, replicability of impact in different
contexts is key to the validation of micronutrient interventions.
PMID- 22073800
TI - Effects of multimicronutrient home fortification on anemia and growth in
Bhutanese refugee children.
AB - BACKGROUND: Anemia remains a significant public health problem in refugee
settings. Home fortification with micronutrient powders has been proposed as a
feasible option to alleviate micronutrient deficiencies; its efficacy in reducing
anemia in children aged 6 to 24 months has been demonstrated in several trials.
OBJECTIVE: To evaluate the effectiveness of a large-scale micronutrient powder
distribution program in reducing anemia prevalence and promoting growth in
refugee children aged 6 to 59 months. METHODS: Four representative cross
sectional surveys were conducted 13 months before and 7, 14, and 26 months after
initiation of the supplementation program. Data collected on children aged 6 to
59 months included hemoglobin concentration, anthropometric indicators,
morbidity, feeding practices, and information on the micronutrient distribution
program. The study had a pre-post design with no control group. RESULTS: The
overall prevalence of anemia in children did not change significantly between
baseline (43.3%) and endpoint (40.2%). The prevalence of moderate anemia
decreased over the same period from 18.9% to 14.4% (p < .05). The levels of
severe anemia were negligible (< 1%) in all surveys. The prevalence of stunting
decreased significantly from 39.2% at baseline to 23.4% at endpoint (p < .001), a
relative decrease of40%. Reported coverage, use, and acceptance of micronutrient
supplements remained consistently high throughout the study. CONCLUSIONS: In the
absence of a control group, changes in key outcomes should be interpreted with
caution. The minor effect on hemoglobin status requires further investigation of
underlying causes of anemia in this population. The large positive effect on
linear growth may be a significant benefit of supplementation if confirmed by
future studies.
PMID- 22073801
TI - Provision of micronutrient powder in response to the Cyclone Sidr emergency in
Bangladesh: cross-sectional assessment at the end of the intervention.
AB - BACKGROUND: Micronutrient powder has been endorsed as an effective means to
improve the micronutrient status of emergency-affected populations. OBJECTIVE: To
document the experience and findings of a cross-sectional assessment of the
micronutrient powder program implemented as part of the emergency response to
Cyclone Sidr. METHODS: Micronutrient powder was distributed to 100,714 children
under 5 years of age and 59,439 pregnant or lactating women severely affected by
Cyclone Sidr in Bangladesh. A cross-sectional assessment, including hemoglobin
and anthropometric measurements, was conducted after the completion of the
micronutrient powder program among children under 5 years of age, lactating
mothers, and postmenarcheal adolescent girls in the intervention area. Comparison
groups for each, drawn from the control area, which had not received
micronutrient powder, were assessed at the same time. RESULTS: The prevalence of
anemia among children under 5 years of age was approximately 80% in both areas.
Among children in the intervention area, those who consumed at least 75% of the
micronutrient powder sachets had a lower prevalence of stunting than those who
consumed less than 75% of the sachets (40% vs. 52%, p < .05). Among lactating
mothers in the intervention area, the prevalence rates of thinness and anemia
were lower among those who consumed at least 75% of the sachets than among those
who consumed less than 75% of the sachets (thinness, 31% vs. 46%, p < .05;
anemia, 50% vs. 61%, p = .07). For adolescent girls in the intervention and
control areas, none of whom had received micronutrient powder, the prevalence
rates of anemia were 52% and 45%, respectively (p = .05). CONCLUSIONS:
Micronutrient powder may reduce anemia among lactating mothers, when the
compliance rate is high. Anemia prevalence prior to micronutrient powder
distribution had not been investigated and could have been higher among children
and lactating mothers in the intervention than in the control area, resulting in
the negation of the potential positive impact of micronutrient powder on anemia.
PMID- 22073802
TI - Relationship of the availability of micronutrient powder with iron status and
hemoglobin among women and children in the Kakuma Refugee Camp, Kenya.
AB - BACKGROUND: Micronutrient powder is a potential strategy to improve iron status
and reduce anemia in refugee populations. OBJECTIVE: To evaluate the effect of
the availability of home fortification with a micronutrient powder containing 2.5
mg of sodium iron ethylenediaminetetraacetate (NaFeEDTA) on iron status and
hemoglobin in women and children in the Kakuma Refugee Camp in northwest Kenya.
METHODS: Hemoglobin and soluble transferrin receptor were measured in 410
children 6 to 59 months of age and 458 women of childbearing age at baseline
(just before micronutrient powder was distributed, along with the regular food
ration) and at midline (6 months) and endline (13 months)follow-up visits.
RESULTS: At the baseline, midline, and endline visits, respectively, the mean (+/
SE) hemoglobin concentration in women was 121.4 +/- 0.8, 120.8 +/- 0.9, and
120.6 +/- 1.0 g/L (p = .42); the prevalence of anemia (hemoglobin < 120 g/L) was
42.6%, 41.3%, and 41.7% (p = .92); and the mean soluble transferrin receptor
concentration was 24.1 +/- 0.5, 20.7 +/- 0.7, and 20.8 +/- 0.7 nmol/L (p =
.0006). In children, the mean hemoglobin concentration was 105.7 +/- 0.6, 109.0
30322 1.5, and 105.5 +/- 0.3 g/L (p = .95), respectively; the prevalence of
anemia (hemoglobin < 110 g/L) was 55.5%, 52.3%, and 59.8% (p = .26); and the mean
soluble transferrin receptor concentration was 36.1 +/- 0.7, 29.5 +/- 1.9, and
28.4 +/- 3.2 nmol/L (p = .02), in models that were adjusted for age using least
squares means regression. CONCLUSIONS: In children and in women of childbearing
age, the availability of micronutrient powder was associated with a small
improvement in iron status but no significant change in hemoglobin in this
refugee camp setting.
PMID- 22073803
TI - Understanding low usage of micronutrient powder in the Kakuma Refugee Camp,
Kenya: findings from a qualitative study.
AB - BACKGROUND: Home fortification with micronutrient powder has been shown to be a
low-cost, feasible, and effective approach to address micronutrient deficiencies.
A large-scale program distributing micronutrient powder to approximately 50,000
refugees was implemented at the Kakuma Refugee Camp in Kenya. Uptake of the
micronutrient powder at distribution points dropped nearly 70%,from 99% to a low
of 30%, and remained at 45% to 52% despite increased social marketing efforts.
OBJECTIVE: To identify factors at the distal and proximal levels leading to the
low uptake of micronutrient powder through a qualitative inquiry. METHODS: In
depth interviews were conducted with community leaders, stakeholders,
implementing partners, and beneficiaries. Direct observations of food preparation
and child feeding were conducted. Focus group discussions were employed to
examine perceptions and practices of beneficiaries regarding micronutrient powder
use. RESULTS: Superficial formative research and lack of interagency coordination
led to insufficient social marketing prior to the program. In addition, community
health workers were inadequately trained. This resulted in inadequate
communication regarding the health benefits and use of micronutrient powder to
the beneficiaries. Reliance on personal experiences with micronutrient powder and
issues with its packaging, in part, led to confusion and deleterious rumors,
resulting in decreased uptake of micronutrient powder at distribution points.
CONCLUSIONS: A successful micronutrient powder program requires careful design,
with emphasis on conducting thorough formative research, ensuring the involvement
and commitment of all stakeholders from the outset, investigating the role of
cultural factors, and ensuring provision of sufficient, adequate, and timely
information to the beneficiaries.
PMID- 22073804
TI - The universal language of health.
PMID- 22073805
TI - Dental Internet marketing: putting the pieces together.
PMID- 22073806
TI - Horizontal alveolar ridge augmentation: the importance of space maintenance.
AB - At dental implantology's inception, patients seeking tooth or teeth replacement
were confronted with the concern of whether they were viable "candidates" for
treatment. Largely, this criteria was based on 3-dimensional bone volume present
in edentulous sites selected for implant fixture insertion. When surgeons
determined this volume as insufficient for implant placement, patients were
encouraged to seek alternative restorative therapy. As the ability to regenerate
lost tissue, both hard and soft, has evolved, the number of patients now
considered "candidates" for implant therapy has increased exponentially. Not only
has the ability to regenerate lost hard tissue improved, but it has facilitated
prosthetically and mechanically favorable implant positioning. This has led to
decreases in mechanical and biologic complications. The efficacy of augmentation
techniques has been critically evaluated, and minimizing morbidity while
improving outcomes is a goal shared by surgeons and patients alike. This article
discusses several methods of hard-tissue augmentation and presents a novel
technique of regeneration aimed at improving clinical outcomes while decreasing
morbidity associated with older, yet effective modes of ridge augmentation.
PMID- 22073807
TI - The clinical significance of keratinized gingiva around dental implants.
AB - Whether or not keratinized tissue is needed around dental implants to maintain
peri-implant health is a controversial subject. To clarify this issue a search
was conducted for clinical trials that appraised the significance ofkeratinized
gingiva (KG) around teeth and dental implants. A critical assessment of the data
revealed that the literature is replete with studies that contradict one another
with respect to the need for KG as it relates to survivability of implants,
gingival response to plaque, inflammation, probing depths, recession, and loss of
bone. When groups of patients with and without KG were compared with respect to
various clinical parameters, a statistically significant better result in the
presence of KG could be interpreted to indicate that having KG is advantageous.
However, quantitative differences between groups with and without KG were usually
very small. Overall, the data was interpreted to indicate that some patients may
need augmentation of keratinized tissue to maintain peri-implant health.
Ultimately, the decision to augment KG is a judgment call that needs to be made
by the treating clinician, because there are not enough data to facilitate
development of definitive guidelines relevant to this subject. Apparently, the
need for KG is patient specific, and at present there is no method to reliably
predict who would benefit from tissue augmentation.
PMID- 22073808
TI - Mucogingival considerations following orthodontic therapy: a case report.
AB - A healthy periodontium is a prerequisite for any orthodontic intervention to be
executed. However, application of orthodontic forces without thoughtful planning
can result in not only damage to the attachment apparatus, but also alterations
to the associated mucogingival tissues. This article elucidates a case of
Miller's Class III gingival recession that developed in relation to the patient's
lower right central incisor following orthodontic therapy. Case evaluation
pertaining to gingival thickness, the level of underlying alveolar bone, and the
inflammatory status of the tissues is critical to avoid the development of such
soft-tissue defects. Options for augmentation of such sites prior to application
of forces can be explored only if accurate diagnosis and clinical assessment are
completed. This concept holds relevance for the treating dentist to identify
cases with thin gingival tissue biotype and the propensity for developing
dehiscence in order to prevent such mucogingival deformities from becoming
established.
PMID- 22073809
TI - Implant-assisted overdenture: a case report.
AB - A patient's extreme susceptibility to periodontal disease, likely exacerbated by
a history of smoking, resulted in severe periodontal breakdown. Employing a
systematic approach that identified both patient risk and prognosis using data
gathered during the patient examination, a treatment plan was developed that
included extraction of structurally compromised teeth and the use of implant
assisted complete overdentures. This treatment approach accomplished a reduction
of biomechanical, periodontal, and dentofacial risk, as well as an increase in
functional harmony.
PMID- 22073811
TI - Impression materials.
PMID- 22073810
TI - Long-term use of 6.5% hydrogen peroxide bleaching strips on tetracycline stain: a
clinical study.
AB - This study evaluated the clinical response following extended daily use of a
trayless 6.5% hydrogen peroxide (H2O2) tooth bleaching gel in patients with
tetracycline stains. MATERIALS AND METHODS: In this clinical trial, participants
(N = 30) were assigned to a strip product following screening for tetracycline
staining. Subjects used the product for 6 months, with clinical safety and
efficacy measurements assessed at the end of each month. The strips were used for
30 minutes twice daily (maxillary arch only). Treatment efficacy was determined
by the tooth color change from baseline using an expanded VITA shade guide.
Additional shades were added on either side, representing colors whiter than B1
and darker than C4. RESULTS: The strips averaged shade reduction in Months 1, 2,
and 3 visits, respectively. Of all the subjects, 65% reached B1 color by Month 6.
Treatment was generally well tolerated. Mild and transient tooth sensitivity
(46.7%) and oral discomfort (43.3%) were the most common adverse events
associated with daily bleaching, yet neither affected study participation.
CONCLUSIONS: The 6.5% H2O2 bleaching strip provided significant tooth whitening
in subjects with tetracycline stains. CLINICAL SIGNIFICANCE: When used daily for
6 months, a 6.5% H2O2 bleaching strip can be effective in whitening tetracycline
stains. The professional strip was well tolerated throughout the 6-month period.
This extended use provides additional evidence of clinical safety associated with
the use of this product for vital bleaching.
PMID- 22073812
TI - Elastomeric impression materials: factors to consider.
PMID- 22073814
TI - International stem cell tourism in Russia and India: clinical research,
innovative treatment, or unproven hype?
PMID- 22073813
TI - Custom shade matching: fine-tuning and patient communication lead to success.
AB - Shade matching is a sometimes difficult process that involves a variety of colors
and characteristics. Couple this with a patient base that often encompasses a
wide range of ages, and final restorations can frequently require adjustment or
repair because they have failed to match the patient's natural teeth. In this
article the author relates many of his own custom shade-taking experiences in an
effort to help dental technicians improve their color-matching strategies and
avoid costly mistakes.
PMID- 22073815
TI - The fair transaction model of informed consent: an alternative to autonomous
authorization.
AB - The doctrine of informed consent in bioethics has relied on the view that consent
is valid when it represents a patient or research subject's autonomous
authorization. In this article we challenge this reigning conception of the
validity of informed consent in clinical research, focusing in particular on the
problem of the therapeutic misconception. We argue that the autonomous
authorization model of informed consent suffers from four defects: (1) it fails
to do justice to the relevance of risk-benefit considerations in shaping the
criteria for the validity of consent, (2) it compromises the interests of
subjects by preventing them from consenting to research participation with less
than substantial understanding when doing so would likely be consistent with
their preferences and beneficial to them or at least be unlikely to cause them
harm, (3) it jeopardizes the interests of investigators by denying them fair
notice regarding when the consent of research subjects can be considered valid
and thus make it permissible for them to be enrolled in research, and (4) it
threatens the reasonable limits on the responsibility of investigators to assure
the adequacy of subjects' understanding of what research participation involves.
In place of the autonomous authorization model, we present and defend a fair
transaction model of informed consent, which better reflects the values served by
consent.
PMID- 22073816
TI - What it takes to defend deceptive placebo use.
AB - A complete defense of deceptive placebo use must address this ethical objection:
deceptive placebo use violates patient autonomy, because deceiving a patient
about the placebo nature of a proposed treatment prevents her from giving
informed consent to the treatment. Unfortunately, this objection isn't always
recognized and clearly disambiguated from other ethical concerns. I consider how
well several bioethicists who write about placebo use have responded to, or
evaded, this objection. I conclude that defenders of deceptive placebo use
should, following the lead of Onora O'Neill, argue that deceptive placebo use is
compatible with informed consent.
PMID- 22073817
TI - Global ethics and principlism.
AB - This article examines the special relation between common morality and particular
moralities in the four-principles approach and its use for global ethics. It is
argued that the special dialectical relation between common morality and
particular moralities is the key to bridging the gap between ethical universalism
and relativism. The four-principles approach is a good model for a global
bioethics by virtue of its ability to mediate successfully between universal
demands and cultural diversity. The principle of autonomy (i.e., the idea of
individual informed consent), however, does need to be revised so as to make it
compatible with alternatives such as family- or community-informed consent. The
upshot is that the contribution of the four-principles approach to global ethics
lies in the so-called dialectical process and its power to deal with cross
cultural issues against the background of universal demands by joining them
together.
PMID- 22073818
TI - Biodefense research and the U.S. regulatory structure whither nonhuman primate
moral standing?
AB - Biodefense and emerging infectious disease animal research aims to avoid or
ameliorate human disease and suffering arising from the natural outbreak or
intentional deployment of some of the world's most dreaded pathogens. Research to
develop medical countermeasures to these diseases faces a difficult challenge
since the products usually cannot be tested for efficacy in human beings. The
U.S. Food and Drug Administration's Animal Rule may be increasingly used to
overcome this challenge by allowing researchers to translate animal data into
medical countermeasures without human subject efficacy testing. Yet the Animal
Rule also has significant implications for increased intensive nonhuman primate
research. We argue that despite the common belief that nonhuman primates have a
fairly high level of moral standing and the protections for animals that are
crucial to the U.S. regulations guiding animal research, the Animal Rule
specifically and the regulations generally raise serious problems for the
attribution of moral standing to nonhuman primates. We argue, however, that the
burden of proof is on a position denying all moral standing to nonhuman primates
and compare the implications of the U.S. regulatory structure in this regard with
some recent developments in the European Union.
PMID- 22073819
TI - Prothrombin fragment 1 + 2 (F1 + 2) in effluent is a useful marker for peritoneal
permeability in peritoneal dialysis patients using neutral dialysate.
AB - To clarify the influence of neutral dialysate (ND) on peritoneum, we examined
changes in peritoneal permeability and in various markers of the coagulation and
fibrinolytic system in effluent and the correlations between peritoneal
permeability and those markers in peritoneal dialysis (PD) patients using ND. We
evaluated 14 patients (8 men, 6 women; mean age: 58.6 +/- 12.0 years) who started
PD using ND. The peritoneal equilibration test (PET) was performed to assess
dialysate-to-plasma ratio for creatinine (D/P Cr) as peritoneal permeability.
Coagulation markers [thrombin-antithrombin complex, fibrin monomer (FM),
prothrombin fragment 1+2 (F1 + 2)] and fibrinolytic markers (fibrin degradation
products, D-dimer) in effluent were also measured. At 2 years, FM in effluent was
significantly lower (p = 0.006). The other markers and the D/P Cr did not change
significantly. At the initiation of PD and at 2 years, D/P Cr was significantly
correlated with F1 + 2 (r = 0.70 and 0.76 respectively, p < 0.01). Furthermore,
multiple regression analysis showed that only F1 + 2 was correlated with D/P Cr
at 2 years (r = 0.79, p = 0.004). These results suggest that ND has little
influence on coagulation and fibrinolytic markers in effluent. In addition, F1 +
2 is a useful marker for peritoneal permeability in PD patients using ND.
PMID- 22073820
TI - What does the dialysate level of matrix metalloproteinase 2 tell us?
AB - Long-term peritoneal dialysis leads to encapsulating peritoneal sclerosis (EPS),
which is a rare but often fatal complication. The pathogenesis of EPS is
characterized by increased inflammation, neoangiogenesis, epithelial-mesenchymal
transition (EMT), and fibrosis. Matrix metalloproteinase 2 (MMP-2), which
degrades type IV collagen, plays an important role in pathogenesis. Clinical
trials report that dialysate levels of MMP-2 can be used as an early marker of
peritoneal sclerosis. We aimed to determine the association of MMP-2 with
peritoneal function, histology, and effluent cytokine levels in an experimental
EPS model in rats. We evaluated data for 71 rats from our various studies using
an experimental EPS model. Functional assessment was performed using a 1-hour
peritoneal equilibration test with peritoneal dialysis fluid containing 3.86%
glucose. Specimens of parietal peritoneum were examined with light microscopy for
histologic evaluation. Parietal peritoneum thickness and submesothelial area were
measured. Fibrosis, number of vessels, neovascularization, and cellular
infiltration were evaluated by one pathologist. The relationships between MMP-2
and other parameters were analyzed using Pearson correlation analysis. Dialysate
levels of MMP-2 reflect both functional and histologic change in peritoneum.
Levels of MMP-2 were negatively correlated with net ultrafiltration, effluent
protein levels, and end (1-hour)-to-initial dialysate concentration ratio of
glucose. Cytokines such as vascular endothelial growth factor transforming growth
factor beta, monocyte chemotactic protein 1, and osteopontin-which are known to
play important roles in neovascularization, inflammation, and EMT leading to
fibrosis-were correlated with MMP-2. In peritoneal dialysis patients, MMP-2
levels may be an early marker of EPS and EMT
PMID- 22073821
TI - DNA microarray analysis of the epithelial-mesenchymal transition of mesothelial
cells in a rat model of peritoneal dialysis.
AB - Long-term peritoneal dialysis induces peritoneal hyperpermeability, and the
subsequent loss of ultra-filtration causes patients to discontinue peritoneal
dialysis. Glucose degradation products (GDPs) in peritoneal dialysis fluids
(PDFs) are probably one of the primary causes for peritoneal injury. In the
present study, we used a transcriptome analysis to determine the mechanism of
peritoneal injury by GDPs. Rats were administered 20 mmol/L methylglyoxal (MGO)
in PDF or 20 mmol/L formaldehyde in PDF (100 mL/kg) intraperitoneally for 21
days. The peritoneal membrane in rats that received MGO showed increased
thickness and fibrosis. Mesenchymal-like cells over-proliferated on the surface
of the peritoneum. A DNA microarray analysis revealed that the expression of 168
genes had increased by more than a factor of 4. The upregulated genes included
those that code for extracellular matrix components (such as types III and lV
collagen, among others), cell division cycle 42 (Cdc42), an enabled/vasodilator
stimulated phosphoprotein-like protein [Ena/VASP (Evl)], and actin-related
protein 2/3 complex subunits (Arp2/3). In conclusion, a rat model of peritoneal
injury by GDPs induced mesothelial cells to redifferentiate and proliferate, with
upregulation of Cdc42, the Evl Ena/VASP, and Arp2/3, suggesting that GDPs induce
fibrous thickening of the peritoneal membrane by redifferentiation of mesothelial
cells, resulting in hyperpermeability of the peritoneum.
PMID- 22073822
TI - Influence of osmotic and oncotic factors on gentamicin and insulin transport
across the peritoneal membrane in vitro.
AB - Glucose or its polymer is usually added to dialysis solution for the development
of sufficient ultrafiltration during peritoneal dialysis. The aim of the present
study was to determine the influence of glucose and icodextrin on the transport
of gentamicin and insulin from the mesothelial to the interstitial side of the
peritoneal membrane. Transfer values are expressed as a coefficient of diffusive
permeability, P, in centimeters per second. Each of the molecules was tested in 3
series of experiments using rabbit parietal peritoneum, a modified Ussing
chamber, and a mathematical model of mass transport. First, transperitoneal
transfers of gentamicin (0.040 g/dL) and insulin (0.1 g/dL) were analyzed in
control conditions for 120 minutes. Then, transport parameters for gentamicin and
insulin were separately determined before (15-60 minutes) and after (75-120
minutes or 75-130 minutes) the application of glucose (1.8 g/dL) or icodextrin (2
g/ dL) on the mesothelial side of the peritoneal membrane. Insulin transport was
observed to be stable in the control series. Gentamicin transfer was not stable;
its passage declined by 52% (p < 0.01) in the control series. The mean transfer
parameters were 7.41 +/- 1.40 cm/s (x0.0001) over 15-30 minutes and 3.21 +/- 0.54
cm/s (x0.0001) over 75-130 minutes. Gentamicin transfer declined less in the
series with glucose or icodextrin, by 21% (p < 0.04) and 30% (p < 0.05)
respectively, than in the control series. For insulin, the mean P (+ standard
error of the mean) was 0.15 +/- 0.02 cm/s (x0.0001) at the first hour of transfer
and 0.14 - 0.02 cm/s (x0.0001) at the second. Glucose induced a nonsignificant
intensification of insulin transport. Icodextrin increased insulin passage by
107% (p < 0.03). Osmotic and oncotic factors (glucose and icodextrin) both
stabilize the transfer of gentamicin across the peritoneal membrane in vitro.
Glucose polymer intensifies insulin transport from the mesothelial to the
interstitial side of the peritoneum. Similar modifications might be observed in
vivo during peritoneal dialysis or continuous intraperitoneal administration of
insulin, influencing the efficiency of those treatments.
PMID- 22073823
TI - GDPs and AGEs: impact on cardiovascular toxicity in dialysis patients.
AB - Glucose degradation products (GDPs) are highly reactive precursors of advanced
glycation end-products (AGEs). High glucose concentrations, GDPs, and AGEs can
activate specific pathways, including inflammatory and oxidative stress response
pathways, which may adversely affect the cardiovascular system. This review
discusses the impact and possible mechanisms of action of GDPs and AGEs with
regard to cardiovascular toxicity in chronic kidney disease patients. The AGE
RAGE pathway appears to be particularly important in the pathogenesis of
cardiovascular diseases in dialysis patients. In the absence of definitive proof
from randomized controlled trials, mounting evidence suggests that high levels of
GDPs and AGEs play a role in the pathophysiology of cardiotoxicity.
PMID- 22073824
TI - Markers of inflammation before and during peritoneal dialysis.
AB - In this study, we compared changes in inflammatory markers-C-reactive protein
(CRP), pentraxin 3 (PTX3), serum component of amyloid A (SAA), and procalcitonin
(PCT)-in 182 subjects: 69 from the general population (GP), 47 with CKD, 19 with
an implanted intra-abdominal catheter for peritoneal dialysis ("prePD"), and 47
on peritoneal dialysis (PD). These were the results [median (95% confidence
interval)] for the GP CKD, prePD, and PD groups respectively: CRP: 1.40 mg/L
(1.15-2.10 mg/L), 5.30 mg/L (3.04-8.06 mg/L), 3.33 mg/L (2.15-12.58 mg/L), 7.25
mg/L (4.43-15.16 mg/L). SAA: 3.10 mg/L (2.90-3.53 mg/L), 7.77 mg/L (4.17-15.83
mg/L), 7.30 mg/L (4.81-10.96 mg/L), 9.14 mg/L (5.31-23.54 mg/L). PCT: 0.028 ng/mL
(0.022-0.032 ng/mL), 0.121 ng/mL (0.094-0.166 ng/mL), 0.160 ng/mL (0.090-0.277
ng/mL), 0.363 ng/mL (0.222-0.481 ng/mL). PTX3: 0.54 ng/mL (0.33-0.62 ng/mL), 0.71
ng/ mL (0.32-1.50 ng/mL), 0.56 ng/mL (0.44-1.00 ng/ mL), 1.04 ng/mL (0.65-1.56
ng/mL). After catheter insertion, CRP showed a nonsignificant declining trend
that disappeared throughout PD. The behavior of SAA was similar to that of CRP
and was not modified by the changes induced by the start of PD. An increase in
PTX3 was observed only with PD, which may be related to a local proinflammatory
state caused by PD solution. We can conclude that catheter insertion for PD does
not account for most of the local inflammatory changes observed in PD patients.
PMID- 22073825
TI - Inflammation markers, chronic kidney disease, and renal replacement therapy.
AB - Chronic kidney disease (CKD) is associated with a proinflammatory state and an
excess of cardiovascular risk. In this work, we describe changes in inflammatory
markers-C-reactive protein (CRP), pentraxin 3 (PTX3), serum component of amyloid
A (SAA), and procalcitonin (PCT)--in CKD patients compared with a control group
of subjects with a normal estimated glomerular filtration rate (eGFR). Blood
samples were obtained from 69 healthy individuals (GP) and 70 end-stage CKD
patients--25 not yet on dialysis, 22 on peritoneal dialysis (PD), and 23 on
hemodialysis (HD). These were the results [median (95% confidence interval)] for
the GP CKD, PD, and HD groups respectively: CRP: 1.40 mg/L (1.19-2.11 mg/L), 6.50
mg/L (3.57-8.32mg/L), 7.60 mg/L (2.19-22.10mg/L), 9.60 mg/L (6.62-16.38 mg/L).
SAA: 3.10 mg/L (2.90-3.53 mg/L), 7.11 mg/L (3.81-15.40mg/L), 9.69 mg/L (5.07
29.47mg/L), 15.90 mg/L (6.80-37.48 mg/L). PCT: 0.03 ng/mL (0.02-0.03 ng/mL), 0.12
ng/mL (0.09-0.16 ng/mL), 0.32 ng/mL (0.20-0.46 ng/ mL), 0.79 ng/mL (0.45-0.99
ng/mL). PTX3: 0.54 ng/mL (0.33-0.62 ng/mL), 0.71 ng/ mL (0.32-1.50 ng/mL), 1.52
ng/mL (0.65-2.13 ng/mL), 1.67 ng/mL (1.05-2.27 ng/mL). Compared with levels in
the GP group, levels of SAA and CRP (systemic response) were significantly higher
in CKD patients on and not on dialysis. Levels of PTX3 were higher only in
dialyzed patients, significantly so in those on HD (greatly different from the
CRP levels). These differing levels might be related to a local reaction caused
by an invasive intervention (PD or HD). As eGFR declines and with the start of
renal replacement therapy, PCT increases. Levels of PCT could potentially cause
confusion when these patients are being evaluated for the presence of infection,
and may also demonstrate some microvascular implications of dialysis therapy.
PMID- 22073826
TI - Microbiological profile of peritoneal dialysis peritonitis and predictors of
hospitalization.
AB - Peritonitis, the major complication of peritoneal dialysis (PD), is associated
with high morbidity and mortality. It is a major cause of hospitalization and
transfer to hemodialysis. In the present study, we aimed to identify predictors
of hospitalization in PD-related peritonitis and to examine its microbiology
profile over time in our unit to determine the best therapeutic approach. We
studied all peritonitis episodes that occurred in a 6-year period (January 1,
2004, to December 31, 2009), evaluating whether adequate treatment could be
delivered on an outpatient basis. During the study period, 411 patients were on
PD, and 229 peritonitis episodes were recorded in 91 patients. Peritonitis were
treated according to unit protocol. The average hospital stay was 11.6 +/- 6.6
days. We observed an increase of Streptococcus (to 19.4% from 7.7%) and a
stabilization of coagulase-negative Staphylococcus, S. epidermidis, and S. aureus
(from 9.5%, 22.6%, and 3.2% to 7.7%, 30.8%, and 3.8% respectively) peritonitis
episodes. The main risk factors for hospitalization were fungal infection, poor
72-hour outcome, inability to perform self-care, and age greater than 80 years.
We observed a decline in the incidence of peritonitis, and despite changes in its
microbiology profile, no loss of sensitivity to antibiotics used was observed.
PMID- 22073827
TI - The utility of prolonged culture of peritoneal dialysis effluent in the diagnosis
and management of peritonitis.
AB - In this prospective study we examined the effect of prolonging cultures of
peritoneal dialysis fluid to 21 days. The extended culture period did not lead to
any new or clinically important findings, although late culture findings were
obtained in a small number of cases. Prolonged culture of the effluent does not
appear to be necessary, although it can lead to extended duration of antibiotic
therapy if time on therapy is guided by the first negative effluent culture.
PMID- 22073828
TI - Xenophilus aerolatus Peritonitis in a six-year-old boy on maintenance eritoneal
dialysis.
AB - Peritonitis remains a significant complication of peritoneal dialysis (PD).
Although most patients can be treated successfully with antibiotics and continue
PD, the poorest outcomes are noted in patients with peritonitis secondary to gram
negative organisms, which may lead to temporary or permanent technique failure.
Biofilm formation may result in. failure of appropriate antibiotic therapy to
eradicate infection, necessitating catheter replacement or a switch to
hemodialysis. Here, we report the first case of gram-negative peritonitis caused
by Xenophilus aerolatus in a 6-year-old boy on continuous cycling PD. This case
highlights the importance of close monitoring of clinical response and of
collaboration with the microbiologist and microbiology lab in the identification
of unusual organisms, their antimicrobial susceptibilities, and their expected
characteristics.
PMID- 22073829
TI - Tuberculosis in peritoneal dialysis patients in an endemic region.
AB - Tuberculosis has been paid more attention in recent years because of the increase
in the number of patients with immune suppression-such as those with renal
failure. In the present study, we analyzed patients on peritoneal dialysis (PD)
in our city to determine the prevalence and clinical characteristics of
tuberculosis in those patients. Patients who had been on a PD program for more
than 3 months were reviewed. Demographic characteristics, primary renal disease,
comorbidities, and duration of PD were recorded. With regard to tuberculosis, the
timing of the diagnosis, any previous history of antituberculosis treatment,
family history, site of presentation, drugs used, drug side effects, and disease
outcome were recorded. Among 322 patients from 5 PD units who were reviewed, 4
(1.240%) were found to have tuberculosis. Pulmonary involvement was noted in 2
(50%). The diagnosis was made through microbiology in 1 patient, through
pathology in 1, and through clinical and radiologic assessment in the remaining
2. Mild transaminitis was recorded in 2 patients as a side effect of treatment.
Of the 4 patients, 2 were cured, 1 died, and 1 was taking ongoing treatment. The
prevalence of tuberculosis was significantly higher in the study population than
in the general population. In a dialysis population, a diagnosis of tuberculosis
is often difficult, and extrapulmonary involvement is more common, as observed in
our study. The diagnosis of tuberculosis may be made through non-microbiologic
approaches, and temporary transaminase elevations may be seen during therapy.
PMID- 22073830
TI - Seventeen years' experience of surgical options for encapsulating peritoneal
sclerosis.
AB - Encapsulating peritoneal sclerosis (EPS) is a serious complication of long-term
peritoneal dialysis (PD). The mortality rate for EPS has been high, primarily
because of complications related to bowel obstruction. However recent advances in
clinical research have established the pathogenesis and course of the condition
and a treatment strategy. The final therapeutic option for EPS is surgical
enterolysis, and we have performed 239 surgical procedures in 181 patients and
observed favorable outcomes. Of 181 patients opting for surgery 64 (35.40%) died.
Death was related to EPS in 33 patients (18.2%), including 14 who died
postoperatively. The overall survival rate at 1, 2, 3, 5, and 8 years after
diagnosis was 93%, 83%, 78%, 71%, and 60% respectively. The survival rate for EPS
related death at 1, 2, 3, 5, and 8 years after diagnosis was 95%, 90%, 87%, 81%,
and 74% respectively. Median survival after diagnosis, considering death from any
cause and death from EPS, was 43.9 months and 35.7 months respectively. In
conclusion, we present favorable outcomes with EPS surgery in 181 patients
encountered over a period of 17years. These data reconfirm that surgical
treatment is essential for EPS patients. Encapsulating peritoneal sclerosis may
no longer be a fatal complication and can be improved with accurate diagnosis and
treatment.
PMID- 22073831
TI - Testing a single monthly dose of darbepoetin alpha to maintain hemoglobin levels
in continuous ambulatory peritoneal dialysis patients.
AB - The newly developed erythropoiesis agent darbepoetin alpha (DA) allows for once
monthly dosing in the treatment of anemia in patients on dialysis. This dosing
schedule has prompted some studies to examine the efficacy of DA in patients on
continuous ambulatory peritoneal dialysis (CAPD). In the present study, we
assessed whether intravenous (IV) administration of DA once monthly is effective
for maintaining hemoglobin levels near 10.5 g/dL in patients on CAPD. This single
center prospective cohort study included 52 clinically stable patients (25 men,
27 women; mean age: 59 +/- 10 years). All patients had been on a stable weekly or
twice monthly regimen of recombinant human erythropoietin (rHuEPO) before
initiation of the study. To determine the monthly dose of DA, the previously used
mean weekly dose of rHuEPO was divided by 200 to determine the equivalent weekly
dose of DA in micrograms; that number was then multiplied by 4 to generate the
monthly dose requirement. For example, if 3000 IUrHuEPO was being administered
weekly, then the monthly dose of DA was calculated to be 60 microg (3000/200 x
4). All patients received a monthly dose of DA the first month, and hemoglobin
and other routine laboratory tests were performed monthly for 24 consecutive
weeks. In 26 patients, the calculated monthly DA dose remained stable. The
monthly dose was increased by 25% in 22 patients and by 50% in 4 patients. With
regard to iron stores and iron availability for erythropoiesis, no significant
differences were observed in the patients on various doses of DA. Nonsignificant
differences in weekly creatinine clearance as determined using the PD Adequest
software (Baxter Healthcare, Tokyo, Japan) were observed between the groups. No
clinically meaningful differences in other laboratory values between the groups
were observed. Once-monthly administration of DA is not always sufficient to
maintain hemoglobin levels in patients on CAPD when adequate dialysis therapy is
not achieved.
PMID- 22073832
TI - Bioimpedance spectroscopy for the detection of hypervolemia in peritoneal
dialysis patients.
AB - A practical, inexpensive, and reliable method is needed for the assessment of
volume status in peritoneal dialysis (PD) patients. In the present study, we
investigated the efficiency of bioimpedance spectroscopy (BIS) for detection of
hypervolemia. The study enrolled 79 prevalent PD patients (mean age: 47 +/- 14
years; PD duration: 30 +/- 17 months; 55% men; 19% with diabetes) from a single
center. Echocardiography and body composition analysis using the BIS technique
[50 frequencies (Body Composition Monitor: Fresenius Medical Care, Bad Homburg,
Germany)] were performed. Overhydration (OH) and extracellular water (ECW) in
liters and OH/ECW ratio were used as volume indices. The mean left ventricular
(LV) mass index was 117 +/- 37 g/m2, and 46% of patients had LV hypertrophy
(LVH). Mean OH and OH/ECW ratio were 1.3 +/- 1.7 L and 7.6% +/- 9.3% respectively
The OH/ ECW ratio correlated with LV mass index (r = 0.237, p < 0.036) and with
left atrium index (r = 0.354, p < 0.001). Compared with patients not having LVH,
patients with LVH had higher OH values (1.79 +/- 1.82 L vs 0.93 +/- 1.62 L, p =
0.003). In linear regression analysis, the OH/ECW ratio was an independent risk
factor for LVH (t = 2.558, p = 0.01). In PD patients, BIS is a reliable method
for evaluating volume status. The OH/ECW measured by BIS is a major determinant
of LV mass. Control of hypervolemia and blood pressure is associated with better
cardiac condition.
PMID- 22073833
TI - Clinical presentation in patients more than 80 years of age at the start of
peritoneal dialysis.
AB - The age of new dialysis patients is rapidly increasing. In the present study, we
examined clinical presentation in new peritoneal dialysis (PD) patients 80 years
of age or older at our hospital. Data were collected from the records of patients
newly starting continuous ambulatory PD (CAPD) therapy between January 2005 and
July 2010. During that period, 11 patients 80 years of age or older (average age:
83.1 +/- 3.8 years) were introduced to PD therapy. The reason for dialysis was
hypertensive nephrosclerosis in 8 patients, and chronic glomerulonephritis,
chronic tubulointerstitial nephritis, and an unknown primary disease in 1 patient
each; there were no cases of diabetic nephropathy. At dialysis start, average
serum creatinine was 6.1 +/- 1.4 mg/dL, arterial wall calcification was found by
computed tomography or chest radiography in 10 of 11 patients (90.9%), and aortic
or mitral valve calcification, or both, was found by echocardiography in 3
patients (27.3%). By the end of January 2011, 8 patients had died. Average
survival after the start of PD was 31.9 +/- 22.3 months. Hypertensive
nephrosclerosis, a cause less often seen in younger patients, was the most common
primary disease among our elderly dialysis patients. As we previously reported,
vascular and valvular calcification are important factors for determining
prognosis; however, no significant relationships were observed in the present
study, probably because almost all the patients had such calcifications.
PMID- 22073834
TI - Removal of the peritoneal dialysis catheter because of gastrointestinal disease
in patients on continuous ambulatory peritoneal dialysis: a single-center case
series.
AB - We previously reported that peritoneal dialysis (PD)-associated peritonitis is a
major cause of PD catheter removal. Another major cause is disease of the
gastrointestinal tract, including neoplasm and perforation. In the present study,
we reviewed the records of patients who underwent catheter removal at our
hospital for reasons other than peritoneal infection--and for gastrointestinal
disease in particular. Data were collected from the records of patients who
received continuous ambulatory PD (CAPD) therapy between 2004 and 2010 at the
Department of Nephrology, Saitama Medical University. Mean duration of CAPD was
6.2 +/- 4.7 years, and mean age at onset was 64.5 +/- 9.6 years. During the
investigation period, catheters were removed from 13 patients (4 men, 9 women)
because of gastrointestinal disease: gastric cancer in 3 cases, colon cancer in 3
cases, perforation of the lower gastrointestinal tract in 3 cases, and other
reasons in 4 cases. Examination of pathology specimens obtained from 6 patients
including 1 in whom contrast-enhanced computed tomography indicated the presence
of encapsulating peritoneal sclerosis (EPS)-revealed mild fibrosis in the
subserous layer. No patient died of infection after a surgical procedure.
Moreover, throughout the observation period, no patient developed new EPS or
postoperative ileus. The present study suggests that CAPD itself seems to be free
of untoward effects during the postoperative course in these patients.
PMID- 22073835
TI - Update on cardiorenal Syndrome: a clinical conundrum.
AB - Our understanding of the cardiorenal syndrome continues to progress. Decades of
research have led to a better definition of the clinical cardiorenal syndrome and
have laid the groundwork for understanding its pathophysiology. Although
improvements have been made, there are still knowledge gaps concerning the
interactions of these two organ systems. In the present review, we examine those
interactions in the setting of acute and chronic cardiac decompensation and the
resulting impacts on renal dysfunction. Recognition and prevention of this
syndrome may help to better serve a growing patient population.
PMID- 22073836
TI - Chronic abdominal pain in a patient on maintenance peritoneal dialysis.
AB - Encapsulating peritoneal sclerosis (EPS) is an uncommon but one of the most
serious complications in patients on long-term peritoneal dialysis (PD). The
diffuse thickening and sclerosis of the peritoneal membrane that characterizes
EPS leads to decreased ultrafiltration and ultimately to bowel obstruction. Given
that the prognosis of established EPS is poor, early recognition of the preceding
symptoms is essential. Computed tomography of the abdomen is a reliable and
noninvasive diagnostic tool. Typical computed tomography features of EPS include
peritoneal calcification, bowel wall thickening, peritoneal thickening, loculated
fluid collections, and tethered bowel loops. These findings are diagnostic of EPS
in the appropriate clinical setting. Here we present a case report of chronic
abdominal pain in a patient on maintenance PD representing a case of EPS.
PMID- 22073837
TI - Personalization of automated peritoneal dialysis treatment using a computer
modeling system.
AB - The use of almost standardized dialysis programs--and the absence of any
adjustment over time in these program to match the progressive decline in
residual kidney function, in addition to the reduction in peritoneal depuration
because of the frequency of peritonitis and the use of solutions containing high
glucose concentrations--often leads to technique drop-out and transfer to
hemodialysis. Our study enrolled 15 clinically stable patients (13 white men, 2
white women; average age: 67.3 +/- 12.99 years; average dialysis vintage: 32.25
+/- 25.10 months) who had been on automated peritoneal dialysis treatment with a
standard treatment profile for at least 6 months (dwell time per cycle and
solutions fixed at various glucose concentrations in all exchanges). After a
peritoneal equilibration test had been performed and clearances (peritoneal and
renal) were measured, the dialysis program was modified, individualizing it to
the patient ' peritoneal membrane transport by the Twardowski system of
classification and taking into account the patient's residual diuresis and need
for ultrafiltration. The change was implemented using the Patient on Line
software created by Fresenius Medical Care (Bad Homburg, Germany). After a month
of personalized dialysis treatment, weekly peritoneal Kt/V in the study group
increased significantly (to 1.47 + 0.61 from 1.02 +/- 0.37, p = 0.001); no
difference in renal Kt/V was observed. Total Kt/V reached levels of optimum
dialysis adequacy (to 2.21 +/- 0.28 from 1.62 +/- 0.33, p = 0.0001). Weekly
peritoneal creatinine clearance also increased to 32.86 +/- 16.94 L/1.73 m2 from
22.27 +/- 9.16 L/1.73 m2 (p = 0.005), with renal creatinine clearance essentially
stable, and total weekly clearance increasing to 67.58 +/- 14.52 L/1.73 m2 from
53.51 +/- 16.86 L/1.73 m2 (p < 0.0001). The dialysis adequacy improvements
obtained involved no statistically significant differences in the total infused
volume of dialysis solution, ultrafiltration, or the duration of dialysis
treatment. It should also be noted that no changes in residual diuresis occurred.
PMID- 22073838
TI - The importance of the Patient's training in chronic peritoneal dialysis and
peritonitis.
AB - In a chronic disease, traineeship in the methodology to be used to treat oneself
is a key part of success from the beginning and during long-term treatment. In
chronic peritoneal dialysis (PD), peritonitis emphasizes, in a certain way, the
result of the apprenticeship. We set out to evaluate the relationship between the
number of PD training lessons and the frequency of peritonitis. According to the
number of lessons, we established three groups: A, up to 8 lessons; B, 9-13
lessons; and C, 14 or more lessons. We evaluated peritonitis rates and micro
organisms, and for the three groups, we compared (Kaplan-Meier method)
peritonitis-free survival (PFS) at 1 year of treatment, with significance set at
(log rank) p < 0.05. The study enrolled 90 patients (mean age: 51.5 +/- 15.33
years; 37 men). Respectively, groups A, B, and C included 27, 46, and 17 patients
with an at-risk duration of 1535, 2879, and 665 patient-months (mean: 56.9 +/-
44, 62.6 +/- 47, and 39.1 +/- 37.8 patient--months), of whom 35%, 37%, and 24%
experienced no peritonitis, for peritonitis rates of 0.31, 0.37, and 0.47, with
coagulase-negative Staphylococcus (CNS) peritonitis rates of 0.125, 0.12, and
0.235, and PFS rates of 76.9%, 80.4%, and 70.6%. The PFS was not significantly
different between the groups (p > 0.05). During 1 year of treatment, all three
groups experienced a satisfactory PFS. More frequent retraining should be
considered in patients who needed more training lessons at the start of PD.
PMID- 22073839
TI - Gynecologic issues in peritoneal dialysis.
AB - This paper reviews the issues associated with the reproductive system in the
special population of female patients with end-stage renal disease on peritoneal
dialysis (PD). We summarize current knowledge concerning cancer screening tests,
elective and urgent gynecologic procedures, and the issues of menstruation,
contraception, pregnancy, and delivery in these patients. Finally, we present the
potential effects of gynecologic problems on PD and the complications of PD that
can present with symptoms of the female genitalia.
PMID- 22073840
TI - Network 13 partnership to improve the influenza, pneumococcal pneumonia, and
hepatitis B vaccination rates among dialysis patients.
AB - Vaccinations are available for primary prevention of many infections in adults.
Morbidity and mortality from invasive diseases such as influenza and
Streptococcus pneumoniae (pneumococcus) remain high and may be largely
preventable by vaccination of high-risk adults, including dialysis patients. The
current 23-valent vaccine-efficacious, with a low adverse event profile-is widely
available. Revaccination is also recommended in patients with immunocompromising
conditions, including chronic kidney disease. Despite having many opportunities
to be vaccinated, adult hemodialysis and peritoneal dialysis patients are often
missed During the recent H1N1 influenza outbreak, we conducted a performance
improvement project to increase the vaccination rates for pneumococcal pneumonia,
hepatitis B, and influenza, with a special focus on prevention. The project
included an education phase, baseline assessment of vaccination rates,
intervention, and a follow-up assessment of vaccination rates. The geographic
jurisdiction of ESRD Network 13 encompasses the states of Arkansas, Louisiana,
and Oklahoma. At the beginning of the network-wide project, the documented state
specific rates for influenza immunization were below the average influenza
immunization rates for adults reported by Centers for Disease Control and
Prevention and far below its target for adults. Our improvement project
incorporated educational interventions to improve patient acceptance of
vaccinations, educational interventions to improve staff participation in quality
improvement activities, and improved techniques of quality improvement data
collection and analysis by participants. During this project, the immunization
rates for hepatitis B and pneumococcal pneumonia were also reviewed. At project's
conclusion, improvement was demonstrated in all three focus areas, with
statistically significant improvements noted in both influenza and pneumococcus
vaccination rates. The use of educational interventions to improve staff
participation in quality improvement, and the collection and analysis of quality
improvement data can be replicated in many practice settings to improve
immunization rates for dialysis patients and other patients with chronic
illnesses.
PMID- 22073841
TI - Preservation of residual renal function in dialysis patients.
AB - The number of patients with end-stage renal disease continues to increase
worldwide, but the 5-year survival probability for patients on dialysis remains
low. Preservation of residual renal function (RRF) is widely recognized to be
important in the pre-dialysis setting, but now, its benefit for health and
quality of life in people on dialysis has been well established. Preservation of
RRF has consistently been shown to improve circulating levels of inflammatory
markers, middle molecule clearance, blood pressure, and other markers of dialysis
adequacy. Residual renal function has also been associated with improved survival
on dialysis. This article reviews strategies for preserving RRF in patients on
dialysis to improve long-term survival in this population.
PMID- 22073842
TI - Different prescribed doses of high-volume peritoneal dialysis and outcome of
patients with acute kidney injury.
AB - The optimal dialysis dose for the treatment of acute kidney injury (AKI) is
controversial. No studies have directly examined the effects of peritoneal
dialysis (PD) dose on outcomes in AKI. From January 2005 to January 2007, we
randomly assigned critically ill patients with AKI to receive higher- or lower
intensity PD therapy (prescribed Kt/Vof 0.8 and 0.5 per session respectively).
The main outcome measure was death within 30 days. Of the 61 enrolled patients,
30 were randomly assigned to higher-intensity therapy, and 31, to a lower
intensity PD dose. The two study groups had similar baseline characteristics and
received treatment for 6.1 days and 5.7 days respectively (p = 0.42). At 30 days
after randomization, 17 deaths had occurred in the higher-intensity group (55%),
and 16 deaths, in the lower-intensity group (53%, p = 0.83). There was a
significant difference between the groups in the PD dose prescribed compared with
the dose delivered (higher-intensity group: 0.8 vs. 0.59, p = 0.04; lower
intensity group: 0.5 vs. 0.49, p = 0.89). The groups had similar metabolic
control after 4 PD sessions (blood urea nitrogen: 69.3 +/- 14.4 mg/dL and 60.3 +/
11.1 mg/dL respectively, p = 0. 71). In critically ill patients with AKI, an
intensive PD dose did not lower the mortality or improve the recovery of kidney
function or metabolic control. The PD dose is limited by dialysate flow and
membrane permeability, and clearance per exchange can decrease if a shorter dwell
time is applied.
PMID- 22073843
TI - Subacute polyneuropathy in a patient undergoing peritoneal dialysis: clinical
features and new pathophysiologic insights.
AB - Recently, demyelinating polyneuropathies have been reported in end-stage renal
disease patients. These acute and subacute neuropathies share a demyelinating
feature and may develop after the initiation of continuous ambulatory peritoneal
dialysis. The pathogenesis of these non-chronic forms of neuropathy remains
unclear. We report a case of subacute polyneuropathy that posed a clinical
dilemma.
PMID- 22073844
TI - Effects of oral paricalcitol on hyperparathyroidism and proteinuria in peritoneal
dialysis patients.
AB - Severe secondary hyperparathyroidism is a complication of chronic kidney disease.
Paricalcitol is a vitamin D receptor activator with efficacy in the treatment of
hyperparathyroidism that also has the minor side effects of hypercalcemia and
hyperphosphatemia. As a pleiotropic effect, paricalcitol reduces proteinuria in
patients with chronic kidney disease stages 2-4. Oral paricalcitol offers an
alternative way to treat hyperparathyroidism and proteinuria in peritoneal
dialysis patients. Our prospective study enrolled 18 patients with
hyperparathyroidism (6 with diabetes also) who were given oral paricalcitol at
initial dose of 1-2 microg daily, depending on their level of intact parathyroid
hormone (iPTH). In the 1st month, iPTH levels declinedsignificantly to 295 +/-
147 pg/mL from 670 +/- 318 pg/mL, and by the 3rd month, they declined to 192 +/-
340 pg/mL (p < 0.001). Without modifications to doses of angiotensin converting
enzyme inhibitor or angiotensin II receptor blocker, proteinuria declined in the
1st month to 1.41 +/- 1.5 g/L from 1.68 +/- 1.7 (p = 0.006) and, in the 3rd
month, without statistical significance. In some patients, the dose of
paricalcitol was reduced because of iPTH levels that were too low at 1 month. The
patients whose doses of paricalcitol were maintained at 3 months showed a
reduction in proteinuria to 2.1 +/- 2.1 g/L daily from 3.1 +/- 2. 7 g/L (p =
0.04) and to 2.3 +/- 2.1 g/day from 5.0 +/- 6.1 g/day (p = 0.012). In conclusion,
paricalcitol is effective for treating hyperparathyroidism in patients on
peritoneal dialysis and seems also to have an antiproteinuric effect in these
patients.
PMID- 22073845
TI - Does cinacalcet HCl, an oral calcimimetic agent for the treatment of secondary
hyperparathyroidism, improve arterial stiffness in patients on continuous
ambulatory peritoneal dialysis?
AB - Vascular calcification (VC) and arterial stiffness (AS) are major contributors to
cardiovascular disease, and in chronic kidney disease, VC and AS are correlated.
Disorders of calcium and phosphate metabolism contribute to the progression of VC
and to increases in AS. The efficacy of cinacalcet (CIN) in reducing AS in
patients on continuous ambulatory peritoneal dialysis (CAPD) has not been
determined. The present study enrolled 19 CAPD patients (12 women, 7 men; mean
age: 62.2 +/- 3.6 years) with serum intact parathyroid hormone (iPTH) greater
than 500 ng/dL (mean value: 675 +/- 106 ng/dL) in whom daily oral treatment with
CIN 25 mg was started. If administration of CIN for 3 months failed to reduce the
level of iPTH to less than 300 ng/dL, the dose of CIN was increased to 50 mg
daily. Before the start of CIN and at 3 years after the start of CIN, pulse wave
velocity (PWV) was determined. In 11 patients, levels of iPTH were reduced to
less than 300 ng/dL; levels in the rest of the patients remained high. We
observed no significant differences in PWV before CIN and at 3 years after CIN
start (1856 +/- 198 cm/s vs. 1726 +/- 187 cm/s). Multivariate regression analysis
of PWV demonstrated that both systolic blood pressure and changes in serum levels
of phosphate contributed to decreases in PWV In patients receiving CAPD, VC and
AS might be the result of higher systolic blood pressure and increased serum
levels of phosphate.
PMID- 22073846
TI - Bone mineral density, its predictors, and outcomes in peritoneal dialysis
patients.
AB - The objective of the present work was to assess bone mineral density (BMD) and
its predictors in peritoneal dialysis (PD) patients, to check the history of
those patients 4 years after the assessment, and to relate thoses outcome to BMD.
We used dual-energy X-ray absorptiometry to measure BMD in 26 patients at the
femoral neck (FN), and we related those measurements to demographic, nutrition,
and laboratory data. Four years after the assessments, the outcomes of those
patients were checked and related to BMD. In the study patients, the mean FN BMD
was 0.842 +/- 0.137 g/cm2. Serum albumin, lean body mass, alkaline phosphatase
(ALP), and treatment with acetylsalicylic acid were significant predictors for
BMD in the first multivariate model. A second model included blood pH, serum
phosphorus, serum creatinine, and age as significant BMD predictors. Hemoglobin
or hematocrit could have replaced phosphorus as a BMD predictor The prevalences
of abnormal values for BMD predictors in the study patients were phosphorus > 4.5
mg/dL, 69.2%; pH < 7.36, 53.8%; albumin < 3.5 g/dL, 46.1%; ALP > 104 IU/L, 23.1%;
and hemoglobin < 11.0 g/ dL, 23.1%. In 8 patients, a successful renal
transplantation occurred at 8.5 +/- 9.3 months; 9 patients died at 25.9 +/- 12.5
months; and 9 patients continued dialysis for a further 50.4 +/- 1.7 months. The
highest BMD was found in the patients who underwent renal transplantation (0.962
+/- 0.110 g/cm2); the lowest BMD was found in the patients who died (0.737 +/-
0.100 g/ cm2, p = 0.001). In PD patients, low BMD indicates worse outcome. High
prevalences of predictors for low BMD (age, poor nutrition status, metabolic
acidosis, high phosphorus, anemia) also contribute to worse outcome in PD
patients.
PMID- 22073847
TI - Acute graft versus host disease in hematopoietic stem cell alotransplant
recipients.
AB - INTRODUCTION: The transplantation of hematopoietic stem cells (HSCT) is a
therapeutic intervention where the hematopoietic stem cells and the cells
originating from them are being removed and replaced by the normal stem cells of
donor or the patient him/her-self. HSCT today represent standardized biological
manipulation for treating malignant, genetic and autoimmune diseases. The
application of allogeneic hematopoietic stem cell transplantation (HSCT) is
limited by life-threatening complications such as severe or acute graft-versus
host disease (GVHD). Despite intensive prophylaxis with immunosuppressive agents,
the incidence of GVHD occurs in 9-50% of patients undergoing transplant with an
identical HLA sibling matched donor and 75% of patients undergoing unrelated HLA
donors. AIM OF STUDY: To evaluate our experiences in GVHD prophylaxis and
treatment after alloHSCT, GVHD incidence and prognostic factors and
administration of new immunosuppressive regiments. Can we recognize clinical
parameters which are associated with occurrence and severity of graft-versus-host
disease? PATIENTS AND METHODS: Starting from September 2000 till September 2010,
63 patients (36 males and 27 females) at the age of 16-56 (median range 33 years)
with hematological malignancies were treated with alloHSCT on Department of
Hematology, Clinical Centre, Skopje. In 10 patients bone marrow was used as
source of stem cells and in 53 patients stem cells were obtained from peripheral
blood. From the group of 63 patients, 26 patients had active disease at the time
of transplantation. GVHD prophylaxis was accomplished with combination of
cyclosporine and methotrexate (Seattle regimen) or more intensive
immunosuppression regiments. RESULTS: GVHD was noticed in 30 patients (47.6%) and
in 33 patients (52.4%) a manifestation of GVHD was noticed. Acute GVHD was
noticed in 24 patients (38%) and chronic GVHD in 20 patients (31.7%) The
remaining 32 patients (45%) achieved complete clinical and hematological
remission. Lethal outcome was confirmed in 31(49%) patients (9 from chrGVHD, 6
from acute GVHD, 16 from disease relapse). CONCLUSION: The incidence of acute
GVHD in our study was 38% and 31% for chronic GVHD. The most common GVHD reaction
was registered in female donors and male recipients, with higher GVHD incidence
in elderly patients. In all patients stem cells were obtained from peripheral
blood. Active disease, sex, source of hematopoietic cells, age and conditional
regiments are the most significant predictive factors with the high incidence of
GVHD.
PMID- 22073848
TI - Chronic airflow obstruction syndrome due to pulmonary tuberculosis treated with
directly observed therapy--a serious changes in lung function.
AB - The origin of Chronic airflow obstruction (CAO) syndrome in active Tuberculosis
(TB), despite significant similarities with chronic obstructive pulmonary disease
(COPD), still remains unknown. The aim of the study was to examine the potential
causes and risks for the development of CAO syndrome in new cases of pulmonary
TB. DESIGN: Prospective, nest case-control study. PATIENTS: 40 patients with
newly detected cavitary pulmonary TB and initial normal respiratory function,
diagnosed and treated according to DOTS strategy. MEASUREMENTS AND RESULTS: The
average values of Snider's radiological score during TB treatment were
significantly reduced (p < 0.001), as well as average values of non-specific
systemic serum markers of inflammation. The average values of FEV1 (%), both
before, during and at the end of completion of TB treatment were significantly
decreased (p < 0.05;). Linear regression analysis confirmed a statistically
significant association between changes in the values of FEV1 (%), resulting in
TB treatment completion, and the value of Snider's radiological score and the
sputum culture conversion rate. From the initial findings of normal pulmonary
ventilation tests, upon the completion of TB treatment 35.0% of observed patients
developed the CAO syndrome. Logistic regression analysis confirmed a positive
familiar burden for COPD, Snider's radiological score at the beginning of TB
treatment and sputum conversion rate on culture, as statistically significant
predictors, while multivariate logistic regression analysis confirmed Snider's
radiological score at the beginning of TB treatment and sputum conversion rate on
culture as most significant risk factors for CAO syndrome occurrence and
development. CONCLUSION: The CAO syndrome is often a consequence and significant
functional impairment of the respiratory system, during the reparative processes
in active TB, even in the absence of risk factors for COPD. Only microbiological
cure of TB patients with underlying risks for disorders of lung function, is not
sufficient and effective approach for prevention of their further potential
health deterioration.
PMID- 22073849
TI - What happens with airway resistance (RAW) in asthma and COPD exacerbation.
AB - BACKGROUND: To show that during the exarcerbration of asthma and COPD, increased
airway resistance is accompanied with decreased spirometry values (FVC, FEV1,
FEF50, and PEF) also comes increasing of airway resistance (RAW). PATIENTS AND
METHODS: This research included 74 patients, in exacerbation phase of disease.
All the patients got spirometry and plethysmography measurements, including
adequate therapy, and after at least one month on control examination they
repeated spirometry and plethysmography and answered a short life questionnaire.
RESULTS: The mean value of RAW after therapy in asthma is decreased for -17.68%
and in COPD for -15.44%. The mean value of RAW in all levels of obstruction is
higher in COPD than in asthma, before and after therapy. After therapy spirometry
values (FVC, FEV1, FEF50, and PEF) were significantly increased in asthma than in
COPD. From questionnaire analyses 78.37% (58) of patients felt well, 17.57% (13)
felt the same like before therapy and 4.05% (3) of them felt worst. All the
patients who felt worst were in COPD group of patients. All of them had increased
RAW, almost all felt better (96.43%) had decreased RAW. In asthma nobody felt
worst. In most of the patients (76.67%) who felt better RAW was decreased.
CONCLUSION: Adequate therapy during exacerbation of asthma and COPD decreases
value of RAW and increases spirometry values. Increase in spirometry values in
asthma is much higher than in COPD. Mean values of resistance in COPD are higher
before and after therapy than in asthma. There is a negative relationship between
subjective experience of illness and the level of resistance. Measuring of RAW
can be a good parameter for monitoring COPD and asthma control.
PMID- 22073850
TI - The evaluation of impact of BPH surgical treatment with the open prostatectomy
and transurethral resection of the prostate methods on the quality of life.
AB - INTRODUCTION/OBJECTIVE: Benign prostatic hyperplasia is one of the most common
diseases in older men. The objective of this study was to evaluate the impact of
the surgical treatment of the benign prostatic hyperplasia (BPH) with the methods
of open prostatectomy (OP) and transurethral resection of the prostate (TURP) on
the quality of life. METHODS: The research material was based on 80 patients, out
of whom 40 patients were treated with the method of open prostatectomy (Group A),
and the other 40 patients with the method of transurethral resection of prostate
gland (Group B) due to benign prostatic hyperplasia. All patients were under the
age of 80 years old (approximate age in Group A 70.23 with variation interval of
21 years old, and in Group B 69.37 with variation interval of 22 years old), with
the International Prostate Symptom Score (IPSS) value >19 points, postvoid
residual urine higher than 150 ml, the weight of benign prostatic gland
hyperplasia tissue over 30 grams for method of prostate transurethral resection,
and over 80 grams for the method of open prostatectomy. The quantification of the
quality of life, as a consequence of urinary symptoms, was done by the Quality of
Life Index (QLI) which is question No 8 in IPSS. All patients were determined the
value of this score before the operation, and then in postoperative period in
time intervals of 4.8 and 12 weeks. RESULTS: The QLI arithmetic mean, before the
operation, was 5.55 points in Group A, and 5.45 points in Group B. During
postoperative checkups in time intervals of 4.8 and 12 weeks, the arithmetic
means in Group A were 0.975, 0.450 and 0.100 points, and in Group B 1.850, 1.700
and 1.575 points. By analyzing the obtained results, there was a highly
statistically significant difference between preoperative test results and the
results during all the postoperative checkups in both groups, A and B. By testing
the difference of the QLI arithmetic mean between the patients in both groups,
preoperatively there was no statistically significant difference, but during all
postoperative checkups, there was a highly statistically significant difference
between the test values. CONCLUSION: The surgical treatment of BPH leads to
significant improvement of the quality of life, as a consequence of urinary
symptoms. The improvement of the quality of life was more evident in patients
whose BPH was treated with the OP method.
PMID- 22073851
TI - Selection of treatment method for pelvic ring fractures.
AB - INTRODUCTION: The pelvis is the central part of the body that receives the weight
from the vertebral column and transfers it to the lower extremities. It protects
the internal organs with its specific structure and shape. OBJECTIVE: The study
aims to compare the clinical outcomes of emergency non-surgical and surgical
treatment of such patients, to analyze the types and severity of complications
and final functional outcome. MATERIAL AND METHODS: We present a series of 47
patients treated in the period between 1999 and 2009 at the Traumatology Clinic,
CHC Banja Luka. According to Marvin Tile's classification, fractures were
distributed as follows: Type A fractures occurred in 19 patients (40.6%), Type B
in 18 (38.1%) and Type C in 10 (21.3%). 30 patients (63.8%) were polytraumatised,
with craniocerebral injuries in 12 patients (25.5%), chest cavity injuries in 5
(10.6%) and abdominal organ injuries in 13 patients (27.6%). 27 patients (57.4%)
had clinical and laboratory signs of hemorrhagic shock on admission, while 26
patients (56.2%) received conservative treatment and 21 patients (43.8%) were
treated using surgical methods of stabilization of the pelvic ring. RESULTS: The
analysis of the outcomes of treating pelvic ring fractures in our series of
patients by using radiography (x-rays according to Slatis) showed that out of 47
treated patients, the outcomes were excellent in 28 (60%), good in 7 (15%), fair
in 5 (12%) and poor in 7 (14%). The functional outcomes in all patients were
evaluated according to the D'Aubigne-Postel scale, on average 18 months after the
trauma. The outcomes were excellent in 22 patients (45%), good in 15 (31%), fair
in 4 (9%) and poor in 6 (14%). The chi-square test showed that there was no
significant statistical difference between the outcomes monitored using x-rays
and functional outcomes monitored using the D'Aubigne-Postel scale (p = 0.097).
The surgical treatment efficiency coefficient was introduced for the purpose of
comparative evaluation of treatment outcomes. The surgical treatment efficiency
coefficient, compared with conservative treatment, showed that all evaluated
parameters were between 1.56 and 16.33 times lower in surgical treatment, which
represents the more favorable outcome. CONCLUSION: We can conclude that
conservative treatment is the treatment of choice for Tile's Type A fractures,
external fixator for treating Type B fractures (including all subtypes), and
internal fixation, as mono therapy or in combination with external fixator, for
treating Type C2 and Type C3 fractures. Surgical treatment, compared with
conservative treatment, allows faster mobilisation of the patient and it shortens
the recovery period, which in turn lowers the total treatment costs.
PMID- 22073852
TI - Aphasia disorders outcome after stroke.
AB - INTRODUCTION: Aphasia is considered to be the most difficult disorders of speech
language communication, and is often companion by all forms of cerebrovascular
disease. GOAL: To determine the outcome of aphasia disorder a year after a stroke
and stroke type influence on the outcome of aphasia disorders. MATERIAL AND
METHODS: We analyzed one-year outcome of aphasia disorders in patients who had a
first stroke. Patients were tested by a speech pathologist with the International
test for aphasia, immediately after admission and one year after the stroke. All
patients that were hospitalized during treatment had a speech therapy and only a
small number of patients were realsed from hospital. RESULTS: Out of 74 patients
with aphasia who were discharged from hospital within one year 20 patients died
and 2 patients did not respond to control clinical treatment review. Analysis of
the remaining 52 respondents determined that out of the 10 patients with global
aphasia 8 (80%) evolved into another aphasia syndrome, and two (20%) remained
unchanged in form. In most cases, global aphasia was transformed in mixed non
fluent aphasia (4 of 10 patients or 40%), and in two cases (20%) global aphasia
was transformed in Broca aphasia. Broca aphasia (n = 20) in other forms evolved
in 9 patients (45%), and 11 patients (55%) remained unchanged in form. Anomic
aphasia had 11 patients (78.6%) which remained unchanged in form, while 3 (21.4%)
evolved into an Alexia agraphia. Full recovery was noted in two patients (3.84%).
Type of stroke did not affect the outcome of aphasia disorders. Out of the 52
analyzed patients after hospitalization, unfortunately, only 11 (21.2%) had some
kind of speech pathology treatment after leaving the hospital. CONCLUSION: One
year after the stroke severe aphasia evolved into a lighter form in a significant
number of patients. Most often anomic aphasia remained (34.6%), followed by Broca
(25%) and Conductive aphasia (7.7%). Type of stroke does not affect the outcome
of aphasia disorders. Unfortunately only a small number of patients (21.2%)
continued with aphasia speech therapy after leaving the hospital.
PMID- 22073853
TI - The role of echocardiography in diagnosis and follow up of patients with
takotsubo cardiomyopathy or acute ballooning syndrome.
AB - BACKGROUND: The transient left ventricular apical ballooning syndrome, also known
as takotsubo cardiomyopathy was first described in Japan approximately 20 years
ago (Satoh and coworkers, 1991). It was later described elsewhere as well and is
being increasingly recognized. Takotsubo Cardiomyopathy characterized by
transient apical and midventricular LV dysfunction in the absence of significant
coronary artery disease that is triggered by emotional or physical stress. Its
name refers to a contraption used for catching octopuses and suggests the aspect
assumed by the ventricle during the systole due to the typical regional wall
motion abnormalities that occur after onset. Takotsubo cardiomiopathy occurring
mainly in post-menopausal women, echocardiography in the Takotsubo cardiomyopathy
reveals during its acute phase a ballooning resembling the octopus trap
configuration--the apex and lateral ventricular segments are hypokinetic while
the base is hyperkinetic--along with reduced ejection fraction. Ventricular
function will usually recover within a few days/weeks. OBJECTIVE AND PURPOSE: The
objective of this study is to determine the role of echocardiography in detecting
and establishing the diagnosis of Takotsubo cardiomiopathy in patients with
suspect acute coronary syndrome and during the follow up period. PATIENTS AND
METHODS: The study covered 12 adult patients the majority are women (92%) who
were subjected to echocardiography evaluation as part of the clinical
cardiological examination due to suspect acute coronary syndrome or Takotsubo
Stress Cardiomyopathy. The patients were examined on an ultrasound machine
Philips iE 33 x Matrix, ATL HDI and GE Vived 7 equipped with all cardiologic
probes for adults and multi-plan TEE probes. We evaluated clinical
characteristics, LV systolic function, biomarkers, and prognosis in all patients.
RESULTS: Among all the patients referred for Echocardiographic evaluation for
left ventricle motion abnormalities with suspect acute coronary syndrome, the
echo exam revealed 12 patients with acute apical ballooning which involving the
left ventricular apex and med-ventricle. The triggering factors were physical
stress in 4 patients (33%) and emotional stress in 8 patients (67%). The initial
symptom was chest pain (n = 8, 67%) rather than dyspnea (n = 4, 33%). An initial
electrocardiogram (EKG) presented ST-elevation (n = 10, 83%) and T-wave inversion
(n = 2, 17%), other data are shown on Table 2. Among the all patients 8 of them
(66%) had normal EF by the 1st follow up (47 +/- 51 days), and the rest 4
patients (34%) had normal EF by 68 +/- 96 days. CONCLUSION: Widespread uses of
echocardiography has contributed to more frequent recognition of Takotsubo stress
cardiomyopathy and highlight the central role of this noninvasive method from an
echocardiographers' perspective.
PMID- 22073854
TI - Quality of life in patients suffering from Parkinson's disease and multiple
sclerosis.
AB - INTRODUCTION: Multiple sclerosis (MS) and Parkinson's disease (PD) are chronic
diseases with unpredictable course causing progressive physical disability and
cognitive decline, and broadly affecting the patient's life, social interaction,
recreational activities and overall life satisfaction. GOALS: To examine the
quality of life of patients with PD and MS, and investigate the existence of
differences between the degree of impairment to the quality of life in PD and MS.
METHODS: A prospective study was conducted at the Neurology Clinic, University
Clinical Center in Tuzla in the period from December 2005 until May 2007. The
study included subjects with confirmed diagnosis of MS and PD. We analyzed 50
patients with PD and 50 patients with MS, with disease duration 1-5 years without
any or with mild cognitive impairment. Quality of life was assessed using the SF
36 scale comprised of 36 questions in eight health profiles. RESULTS: There was
no significant difference in gender frequency in our study sample of patients
with PD, while in MS group of patients there were a significantly more females.
The average age of the PD patients was 63.18 +/- 10.42, and in patients with MS
37.4 +/- 8.65 years. In our study the relative influence of PD and MS on quality
of life was similar after controlling the duration of the disease, and there were
some differences in relation to the degree for clinical disability. Subjects
showed reduced QoL independently of the duration of illness (patients with PD in
88% of cases, and multiple sclerosis in 84% of cases). There are significant
differences in the occurrence of poor quality of life in patients with PD were in
advanced clinical stages of disease for the physical, mental dimension of the SF
36 and the total score. Respondents in stages III-V of the disease were 5.23
times (23%) likely to experience reduced QoL compared to those with less physical
disability. In subjects suffering from MS reduced QoL was not related to the
degree of clinical disability in physical, nor the mental dimension of the SF 36
and the total score. These results in MS patients can be partially explained by
the small sample size, on the other hand it is possible that patients with MS,
although they have greater physical disability seen as a very difficult diagnosis
which determines the entire life. CONCLUSIONS: Patients who are treated for PD
and MS had a high degree (> 80%) of reduction of the overall quality of life, and
there were no significant differences in the extent of QoL reduction between
these groups of patients. Reduced quality of life in patients with PD is observed
during severe stages of the disease, while the QoL does not depent on the degree
of clinical disability in MS patients. In both groups of patients the appearance
patients reduced QoL does not depend on the duration of the disease.
PMID- 22073855
TI - Etiological and clinical characteristics of lymphadenopathy at child age in Tuzla
Canton.
AB - Lymphadenopathy is defined as an abnormality in the size or character of lymph
nodes, is caused by the invasion or propagation of either inflammatory cells or
neoplastic cells into the node. Numerous factors, such as age, localization, size
and consistency, present and previous pathological conditions are very important
in order to define the future diagnostic and therapeutic course. OBJECTIVE: The
aim of this study was to determine the etiological and clinical characteristics
oflymphadenopathy in children in the area of the Tuzla Canton. PATIENTS AND
METHODS: This retrospective-prospective study analyzed the medical records of the
Department of Pediatrics in Tuzla of 334 patients in age from 0 to 14 years, in
which the clinical signs of palpable lymph nodes of one or more regions was
diagnosed in the period from January 1st 1998 to June 30th 2003. The anamnesis
data, clinical findings, diagnostic procedures results, therapeutic approach and
disease outcome etiology defined lymphadenopathy were analyzed. RESULTS: Out of
334 children, localized lymphadenopathy have been verified in 230, and
generalized in 104. Male/female ratio was 1:1.8. Final results of our study have
shown the etiologies as following: Infectious etiologies, 79.34%, neoplastic
11.34%, and non-neoplastic 9.28%. In neoplastic etiologies, lymphoblastic
leukemia has been the most often verified neoplastic disease (68.4%), not related
to the age or sex of patient, and equally presented as localized and generalized
lymphadenopathy. In this study lymphomas were presented by generalized
lymphadenopathy. CONCLUSION: The regional and generalized lymphadenopathy in
children depends on their etiology and has significant prognostic value for the
disease.
PMID- 22073856
TI - Epidemiological and microbiological control of hospital infections in surgical
patients.
AB - INTRODUCTION: Intrahospital infections in surgical wards pose a significant
problem, particularly in patients with impaired natural defense potential. They
significantly complicate and increase the cost of basic treatment of the patient
and sometimes leave permanent damage. Active control of their appearance is of
paramount importance in their prevention. GOAL: By this study we try to determine
the frequency of individual agents, their anatomical and gender distribution at
the Clinic of Surgery, University Clinical Centre Tuzla in 2005.v. RESULTS: Our
study showed that gram negative bacteria were more common trigger of IHI
(76.37%), and especially the urinary and respiratory tract and surgical wounds
infections. We also showed that men from older age groups are more likely to have
IHI. CONCLUSION: Active surveillance and tracing for agents, especially in high
risk groups of patients is the best method of prevention of IHI occurrence.
PMID- 22073857
TI - Evaluation of working capacity in case of mental disorders.
AB - Incidence of mental disorders in our country and in the world is growing and
significantly impact working capacity. The goal of the study: to investigate
which group of mental disorders and to what extent impacts the disability
comparing two analyzed years (1999 and 2009). MATERIAL AND METHODS: 420 subjects
were processed (143 in 1999 and 277 in 2009) from the Una-Sana Canton with mental
disorders who were referred for evaluation of working capacity at professional
authority in the first instance (Disability Commission) in Bihac. Disability
Commission gave "Review, assessment and opinion" for each individual from which
the author recorded data into the questionnaire necessary for the study. RESULTS:
More men are sent for evaluation, aged 50 and over, who are not employed. Number
of assessments has increased by almost double. The most addressed are from the
group of affective disorders, who did not have disability during 1999 in
majority, but in 2009 they are the leaders in disability, with schizophrenia.
CONCLUSION: There is increased number of assessments, and most of them from the
group of affective disorders whose participation in disability increases.
Schizophrenia and affective disorders are the leading causes of disability.
PMID- 22073858
TI - Factors affecting erectile function after radical prostatectomy.
AB - INTRODUCTION: Radical retropubic prostatectomy is a therapeutic option for
treatment of localized prostate cancer. The goal of radical prostatectomy is to
completely remove the tumor while preserving erectile function and urinary
continence as well as factors that determine the postoperative quality of life.
There are many factors influencing sexual function after radical prostatectomy of
localized prostate cancer. All factors can be divided into the preoperative,
postoperative and intraoperative. In this paper we examine the significance of
individual factors affecting erectile dysfunction following surgical treatment.
MATERIAL AND METHODS: The study included 36 patients who underwent nerve sparing
radical prostatectomy at the Urology Clinic, Clinical Center of Sarajevo
University in period from January 2009 until December 2010. RESULTS: Out of 84
patients tested, radical retropubic prostatectomy with the reservation of
neurovascular bundles was performed in 36 patients (42.8%). Of this number, both
of the neurovascular bundles were preserved in 28 patients (77.7%) and one in 8
patients (22.2%). CONCLUSION: The positive predictive factor for erectile
function after radical retropubic prostatectomy is the preoperative sexual
function, younger age, preservation of both neurovascular bundles and early
rehabilitation therapy.
PMID- 22073859
TI - Surgical treatment and complications of treating pancreatic tumor.
AB - Pancreatic tumor is one with the worst prognosis of all cancers, and the tenth
most frequent cancer in Europe, making the 3% of all cancers affecting both
sexes. Most patients seek treatment when the disease is in its advanced stage and
the level for possible resectability is low. Late presentation of the disease is
responsible for the short survival period of 6 months and a five-year survival of
0.4 to 5% of patients. At the Clinic for Surgery in Tuzla during period from
January 1st 1996, to January 1st 2011, a total of 127 resection surgeries were
performed due to malignant tumors. The goal of this study was to show that
adequate assessment of operability, proper surgical strategy and modern
techniques of creating anastomoses reduces morbidity and mortality, results in
fewer postoperative complications and contributes to better surgical results. In
our study sample the most common place of tumor location was the head of
pancreas, in 69 (59.7%) patients. Men develop this type of cancer more often than
women in the ratio of 2:1, while the median age of patients was 62 years. We
faced postoperative complications in 37 (29.1%) patients, pancreatic fistula
being the most prevalent complication, occurring in 16 (12.6%) patients. Overall
early and late postoperative mortality was observed in 12 (9.8%) patients.
CONCLUSION: Patients with chronic and hereditary pancreatitis are at a higher
risk for developing pancreatic cancer and should be screened for the purpose of
early diagnosis. The staging of pancreatic cancer has improved, with the accuracy
of 85-90%. Postoperative complications, morbidity, and mortality are
significantly reduced (p < 0.05) if the standardized operational procedure is
applied and if modern techniques are used to create pancreaticojejunal
anastomosis as the anastomosis carrying the highest risk.
PMID- 22073860
TI - Extracorporeal fertilization in the world and in Croatia.
AB - AIM: To point out the dangers, side effects and risks of medical assisted
fertilization, in vitro fertilization, embryo transfer and injection on sperm in
ovum for mother and the child. RESULTS: On the one hand the negative side effects
for women of an abrupt rising risk for the development of neoplasm under
pharmaceutical therapy are mentioned. Especially under a therapy which has the
purpose to stimulate the ovulation of the ovary it lies around 100%. An increased
level of certain hormones, as for example HCG, which influences the ovulation, is
closely related with the risk of developing ovarian cancer. Clinical studies at
more than 12000 infertile women (primary and secondary acyesis), with an average
age of 30 years, show an elevated risk for the development of a malignant tumor
of 98%. Also the application of Gonadotrophin is connected with a risk of 146%
for the occurrence of cancer after a period of 15 years. FDT involves a risk of
about 12% for the occurrence of breast cancer and shows also an aggravation for
the risk of cancer of the endometrium from 79% up to 1152%. On the other hand the
risk of spontaneous miscarriages under MAF, which is near 20%, and serious
illness of the children, including 47% with need of intensive care unit support
after birth, need to be realized. Furthermore the investigation of naturally
obtained twins and through ART obtained twins shows in the arrangement a slower
and poorer development of the children in the ART group with also great
differences in physical development. In total the number of inherent malformation
of newborns under the use of ART rises from 47 to 177%. With an installment of 9%
we notice that children who came into being by IVF and ICS also fall more
frequently ill. (Teething troubles, more hospitalizations and operations, higher
frequency of major inherent malformations). CONCLUSION: All women who want to
undergo a medical assisted fertilization should be informed about the side
effects and risks for mother and child.
PMID- 22073861
TI - Anesthesia for trans-sternal thymectomy: modified non-muscle relaxant technique.
AB - Anesthesia for thymectomy in myasthenia gravis is challenging. Early surgical
management is now considered to be an important therapeutic intervention for most
of the patients of myasthenia gravis. The anesthetic experience of that technique
is quite large. It involves either muscle relaxant or non-muscle relaxant
techniques. However, the literature is deficient of standard anesthetic technique
for thymectomy. Therefore we present in this report a modified non-muscle
relaxant technique for thymectomy. We report one case with thymectomy under
general anesthesia using fentanyl and propofol for induction and endotracheal
intubation using non-muscle relaxant technique. The intubating, intraoperative
and postoperative conditions were excellent.
PMID- 22073862
TI - [(Pro)renin receptor /ATP6AP2 and cell death].
PMID- 22073863
TI - [BMP4-Smad1 signaling pathway in pathogenesis of diabetic nephropathy].
PMID- 22073864
TI - [HIF-1 in diabetic nephropathy].
PMID- 22073865
TI - [Sirt1 in diabetic nephropathy].
PMID- 22073866
TI - [Role of oxidative stress in pathogenesis of diabetic nephropathy].
PMID- 22073867
TI - [Microinflammation in diabetic nephropathy].
PMID- 22073868
TI - [Role of chemokines/chemokine receptors in pathogenesis of diabetic nephropathy].
PMID- 22073869
TI - [Difficult hemodialysis induction due to subclavian steal syndrome in a patient
with Takayasu's arteritis].
AB - The patient was a 53-year-old woman who had bilateral renal arterial constriction
due to Takayasu's arteritis, and developed end-stage renal failure. When
transient loss of consciousness occurred in 2002, she was diagnosed with
subclavian steal syndrome (SSS). The renal failure worsened in June 2004, and
there was concern that the left SSS could become aggravated as a consequence of
creating an arterio-venous (AV) shunt. Although peritoneal dialysis was strongly
recommended, she elected to undergo hemodialysis. We confirmed that there was no
reduction of cerebral blood flow using brain single photon emission computed
tomography (SPECT). Right and left examinations indicated the site at which an AV
shunt should be created and subsequently, the AV shunt was created on the left
fore-arm. Brain SPECT findings were again confirmed after dialysis, at the time
of hemodialysis induction, and again 2 years after hemodialysis induction,
showing no reduction in cerebral blood flow. She has no apparent symptoms or
signs of left SSS, to date. Although it is known that an SSS could arise after AV
shunt creation, there has been no report of the creation of an AV shunt in a case
of SSS. The present case suggests that cerebral blood flow measurement using
brain SPECT is useful for evaluating cerebral hemodynamics before AV fistula
creation among patients with Takayasu's arteritis.
PMID- 22073870
TI - [Case of mixed connective tissue disease complicated with sarcoidosis and central
diabetes insipidus].
AB - In 2003, a 64-year-old woman was diagnosed with mixed connective tissue disease
and treated with oral prednisolone (30 mg/day). The prednisolone dose was
gradually decreased, and a dose of 5 mg/day had been maintained since 2004. In
2009, she gradually developed vision loss, malaise, anorexia, and throat pain due
to hydrodipsia. She was noted to have iritis and vitreous opacity by an
ophthalmologist, and was referred for further evaluation. Fine rales were audible
throughout the entire lung field, and chest CT showed diffuse small nodules that
were more prominent on the upper and middle lobes, and swelling of the
mediastinal and hilar lymph nodes. Transbronchial lung biopsy showed many
epithelioid granulomas with multinuclear giant cells, compatible with
sarcoidosis. Polyuria was identified as a cause of hydrodipsia and a diagnosis of
partial central diabetes insipidus was made. High-dose prednisolone (40 mg/day)
together with intranasal administration of desmopressin resulted in improvement
of all of her clinical symptoms. MCTD followed by sarcoidosis is rare.
Furthermore, this is the first reported case of MCTD complicated by sarcoidosis
and central diabetes insipidus.
PMID- 22073871
TI - [Case of peritubular capillary dominant intravascular large B-cell lymphoma (PTC
dominant IVLBCL) successfully treated with chemotherapy].
AB - A 72-year-old woman developed common cold-like symptoms, diarrhea, a staggering
gait, and persistent anorexia from the beginning of May 2009. In the middle of
May, her general fatigue worsened, and she was transported to our hospital by
ambulance. Abdominal CT showed bilateral renal enlargement, and her general
condition and renal function rapidly deteriorated. The soluble interleukin-2
receptor (sIL-2R) level was elevated to 5,928 U/mL, and gallium scintigraphy
showed a weak uptake in both kidneys. We considered the possibility of malignant
lymphoma, and performed a renal biopsy, which showed no glomerular abnormalities,
but disclosed the accumulation of large, atypical lymphoid cells with a high N/C
ratio and dark chromatin in peritubular capillaries (PTC). On immunohistochemical
staining, these atypical cells were found to be CD5(+), CD20 (+/-), CD10(-), CD3(
), and CD7(-), leading to a diagnosis of intravascular large B-cell lymphoma
(IVLBCL). Since gallium scintigraphy showed no uptake in other organs, and
examination of the cerebrospinal fluid and bone marrow revealed no tumor cells,
the patient was considered to have kidney-limited IVLBCL. Chemotherapy was
started immediately, which resulted in an improved general condition. Although
her renal function deteriorated sufficiently to require dialysis, she was weaned
from dialysis. After treatment with chemotherapy, the enlarged kidneys returned
to the normal size. Subsequently, she has been receiving chemotherapy
intermittently, and has remained free of recurrence. In general, IVLBCL mainly
involving the kidney is difficult to diagnose antemortem, and is sometimes found
at autopsy. We suggest that bilateral renal enlargement with renal failure of
unknown origin should raise the suspicion of malignant lymphoma requiring a
prompt renal biopsy. Cases of LBCL in which lymphoma cells fill PTC, as in this
patient, have rarely been reported. We believe that this case is extremely
valuable in understanding the pathogenesis of intravascular lymphoma invading the
kidney; therefore, we report it with a review of the literature.
PMID- 22073872
TI - [Case of MPO-ANCA-positive Wegener's granulomatosis with hepatitis C virus
infection].
AB - A 77-year-old Japanese man was referred to our hospital because of the
progression of renal dysfunction. Two months prior to the admission he had been
diagnosed with otitis media. Urinalysis showed proteinuria and microscopic
hematuria. Blood examination revealed renal dysfunction, hepatitis C virus
(HCV)infection and positive myeloperoxidase (MPO)-ANCA. A chest CT revealed small
infiltrates in the right middle lobe. The renal biopsy demonstrated crescentic
glomerulonephritis with tubulitis. He was diagnosed as having Wegener's
granulomatosis according to the American College of Rheumatology classification
criteria. Methylprednisolone pulse therapy followed by oral prednisolone improved
all of the otitis media, lung infiltrates and renal function. Recently, a high
prevalence of ANCA has been reported in patients with HCV. It has also been
reported that the prevalence of HCV infection is high in patients with Wegener's
granulomatosis. Therefore, our case points to the clinical significance of HCV
infection in ANCA-associated systemic vasculitis including Wegener's
granulomatosis.
PMID- 22073873
TI - UN high level summit on non communicable diseases.
PMID- 22073874
TI - The Columbus effect: the donor community's "discovery" of non communicable
diseases.
AB - On 28-29 April, 2011 the First Global Ministerial on Healthy Lifestyles and
Noncommunicable Diseases (NCDs) convened in Moscow to galvanize support and
provide policy guidance for the forthcoming UN High-Level Meeting on NCDs in
September 2011. Subsequently, the World Health Organization (WHO) drafted the
"Moscow Declaration", placing itself at the global epicentre of NCD prevention
and control, working collaboratively with its sole client in Member States,
ministries of public health. The Declaration took no note of the extensive and
ongoing activities of developing country owned and operated hospitals in the
developing world and their clinical participation in NCD prevention and care for
the past four decades. This article will review the global burden of NCDs in the
developing world; the identification of NCDs by reliable sources decades before
the "Moscow Declaration"; the role of hospitals in addressing them despite the
absence of donor support; the considerable extant investments made by public and
private entities in building inpatient and out-patient facilities; and how donors
have overlooked the already established hospital-based industry within developing
economies.
PMID- 22073875
TI - NCD health literacy--what can hospitals do?
AB - Noncommunicable disease (NCD) health literacy is a person's ability to access,
understand and use information to prevent, treat and manage chronic illness. Poor
health literacy is shown to be associated with riskier behaviour, poor health
choices and poorer health. Hospitals can play an important role in enhancing
people's NCD health literacy all the way along a patient's "NCD journey" from
prevention to management by aligning their communications to the health literacy
capacities of their users. The authors suggest training providers to communicate
more effectively with patients, making their systems easier to navigate,
simplifying written materials, incorporating the use of technology and mobile
health, and using individual and system level scorecards and checklists.
PMID- 22073876
TI - Dispositional and situational factors as determinants of food eating behaviour
among sedentary and blue-collar workers in Nigeria's premier teaching hospital.
AB - This cross-sectional survey investigated the role of dispositional (self-efficacy
and self esteem), and situational factors (distractibility and perceived food
variety) as determinants of food eating behaviour (FEB). Hospital employees
(N500) in Nigeria's premier teaching Hospital participated. Results showed that
self-efficacy and self-esteem jointly predicted cognitive restraint dimension of
FEB (F(2499) = 26.00; R2 = 0.10; p < .05). Dispositional variables also jointly
predicted uncontrolled eating (F(2499) = 17.41; R2 = 0.07; p < .05), emotional
eating (F(2499)= 28.58; R2 = 0.10; p < .05), and cognitive restraint (F(2499) =
35.60; R2 = 0.13; p < .05) dimensions of FEB. Age (chi2 = 64.81; df = 1; p <
.05), and marital status (chi2 = 32.74; df = 4; p < .05) were associated with
FEB. Therefore, dispositional and situational variables are predictors of FEB as
evidenced in previous literatures. The need for primary prevention, and
assertiveness to reduce distractibility and eating disorders was highlighted
towards maintaining health and efficiency at work is recommended.
PMID- 22073877
TI - Comparative analysis of the quality of life in home and hospital treatment of
patients suffering from heart failure.
AB - This article presents an analytical examination of the results of the survey
conducted by the specialized cardiac hospital for active treatment Pleven, which
focused on the quality of life, hospital admission and death rate of patients
suffering from heart failure that have already received hospital treatment. Given
the specifics of the survey, patients were divided into two groups, one of which
received ongoing follow-up care at home, while the other group did not receive
this additional service. After twelve months, the results of the two groups were
computed and collated according to three criteria - quality of life, subsequent
hospital admission and death rate. The reported results of the group receiving
ongoing specialized care were better in all three criteria. Based on this, the
authors conclude that patients with treated heart failure should receive follow
up care after they have been discharged, as this increases their quality of life
and leads to fewer subsequent hospital admissions and death rates.
PMID- 22073878
TI - Perceptions and experiences of co-delivery model for self-management training for
clinicians working with patients with long-term conditions at three healthcare
economies in U.K.
AB - This paper presents a case study evaluation of self-management training courses
for clinicians working with patients with COPD and Depression, at three NHS sites
in United Kingdom. These courses were part of the Health Foundation's Co-Creating
Health Initiative project and were co-delivered by a trained patient and
clinician tutors. Interviews with 30 clinician attendees, four clinician tutors
and two patient tutors suggested that the course content and delivery style were
valued by everyone and clinicians reported a higher use of self-management skills
following training. Analyses of the video-recorded consultation sessions of two
trained clinicians showed limited use of co-production skills.
PMID- 22073879
TI - Quo Vadis?: Russia's health challenges.
AB - The roots of the health crisis in the Russian Federation are not entirely, or
even primarily, in the state of the health care system. High levels of mortality
and morbidity, particularly among working-age males, reflect many other factors
that transcend the health system as they are related to the aging of the
population, growing urbanization, lifestyles and risky behaviours. Spending more
money on healthcare, while necessary, will not be sufficient to improve Russia's
health outcomes on a sustainable basis. A multisectoral strategy is required,
coupled with increased health expenditures and structural reforms to improve the
efficiency and effectiveness of healthcare organization, financing and service
delivery. However, it should be clear that improving health outcomes is a
complex, medium- to long-term undertaking that should be addressed forcefully by
the government at the federal and regional levels as a priority social objective.
PMID- 22073880
TI - Over-hospitalization: an issue for hospital management.
AB - The topic of this article is the insufficiently increasing rate of hospital
admissions as a crucial factor for increasing hospital expenditures. The article
focuses on the particular analysis of issues of induced hospital services demand,
low level of effectiveness of the inpatient care system for referring,
disproportions of accounted cases compared the need for therapies, patients'
distrust toward lower sectors of healthcare, low efficiency of primary outpatient
care and specialized outpatient care, lack of control, inadequate organization
structure, strategy and improper NHIF regulation. The effective solving of these
problems is a crucial term for improvement of the quality of inpatient care.
PMID- 22073881
TI - [Effect of moxibustion-like thermal stimulation with different temperature and
covering different areas of "zhongwan" (CV 12) on discharges of neurons in
medullary subnucleus reticularis dorsalis of rats].
AB - OBJECTIVE: To observe the effect of regional thermal (moxibustion-like)
stimulation on discharges of neurons in the medullary subnucleus reticularis
dorsalis (SRD) and to study the best thermal stimulation parameters in the rat.
METHODS: Experiments were performed on 15 male Sprague-Dawley rats under
anesthesia (10% urethane, 1.0-1.5 g/kg). Unit discharges of single neurons in the
medullary SRD were recorded extracellularly with glass micropipettes. Thermal
stimulation (warm water filled in a glass bottle) with different temperature (40
degrees C, 42 degrees C, 44 degrees C, 46 degrees C, 48 degrees C, 50 degrees C,
52 degrees C) and covering different area (diameter: 1.0 cm x 1.5 cm, 2.0 cm, 2.5
cm, 3.0 cm, 3.5 cm, 4.0 cm) was applied to "Zhongwan"(CV 12) region for 30 s.
Firing rates of SRD neurons were analyzed by using Power-Lab Chart 5.0. RESULTS:
When thermal stimulation with temperature of 40 degrees C and 42 degrees C and
the stimulated area of 1.0-4.0 cm in diameter was applied to CV 12 region,
discharges of the medullary SRD neurons had no obvious changes. When the
temperature was increased to 44 degrees C and 46 degrees C, the electrical
activities of SRD neurons were increased linearly along with the increase of the
stimulated area of 1.0-4.0 cm in diameter. When the temperature was increased
further from 48 degrees C to 52 degrees C, the increased electrical activities of
SRD neurons peaked at the stimulated area of 3.5 cm in diameter. In addition,
thermal stimulation at a temperature of 50 degrees C and an area of 4.0 cm in
diameter induced a larger increase of discharges of SRD neurons in comparison
with that of 46 degrees C plus an area of 3.5 cm/4.0 cm in diameter (P < 0.05).
No significant differences were found between 50 degrees C and 52 degrees C at
any stimulated areas mentioned above (P > 0.05). CONCLUSION: Noxious thermal (44
52 degrees C) stimulation of CV 12 region can activate SRD neuron, which reaches
a plateau when the stimulated area is increased to a certain range.
PMID- 22073882
TI - [Effect of mild-warm moxibustion on microcirculation in the raw surface tissue of
chronic refractory wound in skin ulcer rats].
AB - OBJECTIVE: To observe the effects of mild-warm moxibustion on dynamic blood flow,
microvessel count (MVC)and vascular endothelial growth factor (VEGF) expression
in the wound tissue of the chronic skin ulcer in rats, so as to reveal its
underlying mechanism in promoting wound recovery. METHODS: A total of 104 male SD
rats with skin injury were randomly divided into control group (n=8), model group
(n=32), TDP (far-infrared heating device) group (n=32) and moxibustion group
(n=32). Chronic refractory raw surface wound model was established by muscular
injection of Hydrocortisone Sodium Succinate. For rats of the TDP and moxibustion
groups, TDP irridiation and mild-warm moxibustion were applied to the raw
surface, bilateral "Shenshu" (BL 23) and "Zusanli" (ST 36) for 15 min, once daily
for 3, 7 and 14 days respectively. The healing rate and the healing time of raw
surface of the wound were observed. The blood flow of the raw surface of the
wound tissue was measured by laser Doppler flowmeter and the MVC in granulation
tissue of chronic skin ulcer was counted under light microscope. VEGF expression
was detected by immunohistochemistry. RESULTS: In comparison with the control
group, the healing rate of the wound raw surface was significantly lower and the
healing time was prolonged in the model group (P < 0.01). Compared with the model
group, the healing rates on day 3, 7, 10 and 14 were significantly higher and the
healing time was strikingly faster in both TDP and moxibustion groups (P < 0.01,
P < 0.05), and the effects of the moxibustion group in increasing the healing
rate and shortening the healing time were significantly better than those of TDP
group (P < 0.01). In comparison with the model group, the blood flow volume, MVC
and VEGF expression levels on day 3 and 7 were upregulated significantly in both
TDP and moxibustion groups (P < 0.01, P < 0.05); while the blood flow volume, MVC
and VEGF expression level in the moxibustion group and the blood flow volume and
VEGF expression level in the TDP group downregulated considerably on day 14 (P <
0.01). No significant difference was found between the TDP and moxibustion groups
in the MVC on day 14 after the treatment (P > 0.05). CONCLUSION: Mild-warm
moxibustion can promote wound healing, which is closely with its effects in
increasing blood flow and MVC, and upregulating VEGF expression in the wound
granulation tissue of the chronic skin ulcer.
PMID- 22073883
TI - [Effect of electroacupuncture on differentiation and proliferation of hippocampal
nerve stem cells in splenic asthenia pedo-rats].
AB - OBJECTIVE: To observe the effect of electroacupuncture (EA) on the
differentiation and proliferation of nerve stem cells in the hippocampal dentate
gyrus (DG) in splenic asthenia pedo-rats so as to study its central mechanism.
METHODS: A total of 72 SD male rats were randomly assigned to normal control
group (n=24), model group (n=24) and EA group (n=24) which were further divided
into 7 d, 14 d, 28 d and 49 d time-points (n=6). Splenic asthenia model was
established by intraperitoneal injection of reserpine and gavage of Dahuang
(Radix et Rhizoma Rhei) fluid. EA was applied to bilateral "Zusanli" (ST 36) and
"Sanyinjiao" (SP 6) for 20 min, once daily for 7, 14, 28 and 49 days
respectively. Brdu, Nestin, glial fibrillary acidic protein (GFAP), and neuron
specific enolase (NSE) expression in the DG of hippocampus were detected by
immunohistochemistry double staining. RESULTS: Compared with the normal control
group, the numbers of Brdu, Brdu/GFAP, Brdu/NSE Immunoreactive (IR) positive
cells in the DG of hippocampus on day 7 and 14, and that of Brdu/Nestin IR
positive cells on day 7 were decreased considerably in the model group (P <
0.05). Compared to the model group, the numbers of hippocampal Brdu IR-positive
cells at the 4 time-points, Brdu/Nestin and Brdu/GFAP on day 7, 14 and 49, and
Brdu/NSE on day 7, 14 and 28 were increased significantly in the EA group (P <
0.05). No significant differences were found between the model and control groups
in the numbers of hippocampal Brdu and Brdu/NSE IR-positive cells on day 28 and
49, in the number of Brdu/Nestin IR-positive cells on day 14 and 49, in the
number of Brdu/GFAP IR-positive cells on day 28; and between the EA and model
groups in the numbers of Brdu/Nestin and Brdu/GFAP IR-positive cells on day 28,
and in the number of Brdu/NSE IR-positive cells on day 49 (P > 0.05). CONCLUSION:
EA of ST 36 and SP 6 can effectively suppress splenic asthenia syndrome-induced
decrease of the numbers of Brdu, Brdu/GFAP, Brdu/Nestin and Brdu/NSE IR-positive
cells in the DG of hippocampus at the early stage in the splenic asthenia rats,
which may contribute to its effect in improving splenic asthenia symptoms in
clinic by promoting the proliferation and differentiation of some nerve stem
cells in the hippocampus.
PMID- 22073884
TI - [Effect of electroacupuncture combined with intragastric administration of
borneol on the permeability of blood-brain barrier in the mouse].
AB - OBJECTIVE: To observe the effect of electroacupuncture (EA) combined with gavage
of borneol on the permeability and ultrastructure of the blood-brain barrier
(BBB) in mice, so as to reveal its mechanism underlying improving permeability of
BBB. METHODS: For assaying Evans blue (EB) content in the brain, 60 Kunming mice
were evenly divided into control, EA, borneol (0.2 g/kg, borneol-0.2), borneol
(0.4 g/kg, borneol-0.4), borneol (0.2 g/kg, borneol-0.2) + EA and borneol (0.4
g/kg, borneol-0.4) + EA groups. For determination of P-glycoprotein [P-gp, a
member of the superfamily of ATP-binding cassette (ABC) transporters which
transport various molecules across extra- and intra-cellular membranes] function
and the ultrastructure of BBB, other 84 mice were randomized into control,
borneol-0.2, borneol-0.4, borneol-0.2 + EA, borneol-0.4 + EA and verapamil groups
(n=10 for P-gp. function analysis, and n 2 for electron microscopic observation).
EA (2 Hz, 1 mA) was applied to "Baihui" (GV 20) and "Yamen"(GV 15) for 20 ml once
daily for 14 days. EB (2.5%, 0.2 mL/kg) and rhodamine (Rh) 123 (0.2 mg/kg) were
injected intravenously first through the tail vein, and their contents in the
brain and Rh 123 in the plasma were detected after EA by using an ultraviolet
fluorescence microplate reader. At the same time, the permeation index (Kp) was
calculated by the ratio of Rh 123brain/Rh 123blood. RESULTS: Compared with the
control group, the cerebral EB and Rh 123 contents and Kp of BBB in the EA,
borneol-0.2, borneol-0.4, borneol-0.2 + EA, borneol-0.4 + EA and verapamil groups
were increased significantly (P < 0.05, P < 0.01). The cerebral EB content was
significantly higher in the borneol-0.2 + EA group than in the borneol-0.2 group
(P < 0.05), suggesting a synergistic effect of EA and borneol. No significant
differences were found among the EA, borneol-0.2 and borneol-0.4 groups in
cerebral EB levels, among the control, EA, borneol-0.2, borneol-0.4, borneol-0.2
+ EA, borneol-0.4 + EA and verapamil groups in plasma Rh 123 contents, and among
the EA, borneol-0.2, borneol-0.4, borneol-0.2 + EA, borneol-0.4 + EA and
verapamil groups in cerebral Rh 123 contents and Kp of BBB (P > 0.05). Results of
the electron transmission microscope showed that the compact degree of the tight
junction of BBB was decreased in the borneol-0.2, borneol-0.4, borneol-0.2 + EA,
borneol-0.4 + EA groups but not in the EA group. CONCLUSION: EA and gavage of
borneol treatments may enhance the permeability of BBB for EB and Rh 123 and have
a certain synergistic effect in mice. The effect of borneol may be closely with
the inhibition of P-glycoprotein and the decrease of tight junction of BBB while
the effect of EA treatment is probably related to the inhibition of P
glycoprotein only.
PMID- 22073885
TI - [Effect of acupuncture on contents of beta-endorphin in the plasma and
hypothalamus in rats with stress-induced gastric mucosal injury].
AB - OBJECTIVE: To explore the mechanism of acupuncture in preventing and treating
stress-induced gastric mucosal injury from the view of brain-gut axis. METHODS:
Forty male Wister rats were randomly divided into normal control, model 1,
treatment, model 2 and prevention groups (n=8). Gastric mucosa injury model was
established by intragastric perfusion of dehydrated alcohol (1.0 mL/rat). Rats of
the treatment group were treated with acupuncture after modeling, while those of
the prevention group treated first, followed by modeling. The time of modeling in
model 1 group and model 2 group was simultaneous with that of the treatment group
and prevention group respectively. Acupuncture was applied to "Zusanli"(ST 36),
"Zhongwan" (CV 12) and "Neiguan" (PC 6) for 20 min, once daily for 5 days. Before
sampling the tissues, 10% charcoal suspension was intragastric perfused 1 h for
analyzing the rate of gastrointestinal propulsion(distance from the upper end of
the charcoal powder to the cardia/total length of the cardia to the anus x 100%).
Gastric mucosal ulcer index was measured by using Guth's method. The contents of
beta-endorphin(beta-EP)in plasma and hypothalamus were determined by enzyme
linked immunosorbent assay. RESULTS: Compared with the normal control group, the
gastrointestinal propulsion rates were decreased considerably in the two model
groups (P < 0.05), while the gastric mucosal ulcer indexes and the contents of
beta-EP in both plasma and hypothalamus were increased significantly in the model
groups (P < 0.05, P < 0.01). Compared with the corresponding model groups, the
gastrointestinal propulsion rate was increased remarkably in the prevention group
(P < 0.05), and the gastric mucosal ulcer indexes and the contents of plasma beta
EP level were decreased obviously in both treatment and prevention groups (P <
0.05, P < 0.01). The contents of hypothalamic beta-EP were increased further in
the later two groups (P < 0.01). CONCLUSION: Acupuncture of ST 36, CV 12 and PC 6
can promote the repair of gastric mucosal injury and improve gastrointestinal
function, which may be related to its effects in reducing plasma beta-EP and
upregulating hypothalamic beta-EP level. Acupuncture also has a better effect in
preventing gastric mucosal injury.
PMID- 22073886
TI - [Effect of electroacupuncture at different acupoints on plasma TXB2 and 6-keto
PGF(1alpha), contents in dysmenorrhea rats].
AB - OBJECTIVE: To observe the effect of electroacupuncture (EA) on plasma thromboxane
B2(TXB2) and 6-keto-prostaglandin F1alpha (6-keto-PGF1alpha) levels in
dysmenorrhea rats in order to investigate its mechanism underlying relief of
primary dysmenorrhea and specificity of acupoint efficacy. METHODS: Female SD
rats with diestrus were randomly divided into saline control (control), model, EA
Sanyinjiao (SP 6), EA Xuehai (SP 10), EA Xuanzhong (GB 39) and EA non-acupoint
(NAP) groups, with 10 rats in each. Dysmenorrhea model was established by
subcutaneous injection of Estradiol Benzoate (0.5 mg/rat on the 1st and 10th day,
and 0.2 mg/rat from the 2nd to the 9th day) and intraperitoneal injection of
Oxytocin (0.2 mL/rat, 1 h after last injection of Estradiol Benzoate on the 10th
day). EA was applied to bilateral SP 6, SP 10, GB 39, and non-acupoint (the mid
point between the Gallbladder and Stomach meridian at the GB 39 level) for 20
min. The latency and score of writhing were recorded for 20 min. Plasma TXB2 and
6-keto-PGF1alpha contents were detected by radioimmunoassay. RESULTS: Compared
with the control group, the latency of writhing in the model group was shortened
considerably (P < 0.01), and the writhing score was increased significantly (P <
0.01). In comparison with the model group, the writhing latency was increased
significantly only in the EA-SP 6 group (P < 0.05), and the writhing scores in
the EA-SP 6, EA-SP 10, EA-GB 39 and EA-NAP groups were reduced remarkably (P <
0.01). Plasma TXB2 content and the ratio of TXB2/6-keto-PGF1alpha. were
significantly higher in the model group than in the control group (P < 0.01).
Compared to the model group, plasma TXB2 levels and the ratios of TXB2/6-keto
PGF1alpha. in the EA-SP 6, EA-SP 10, EA-GB 39 and EA-NAP groups were
downregulated markedly (P < 0.05, P < 0.01), while plasma 6-keto-PGF1alpha was
upregulated strikingly only in the EA-SP 6 group (P < 0.05). No significant
differences were found among the EA-SP 6, EA-SP 10, EA-GB 39 and EA-NAP groups in
the writhing latency and writhing score, plasma TXB2 and 6-keto-PGF1alpha, levels
(P > 0.05). CONCLUSION: EA can relieve pain reaction in dysmenorrhea rats, which
may be closely associated with its effects in downregulating plasma TXB2,
upregulating plasma 6-keto-PGF1alpha, content, and balancing plasma TXB2/6-keto
PGF1alpha. The effect of EA of SP 6 is relatively better.
PMID- 22073887
TI - [Effect of electroacupuncture on the levels of amino acid neurotransmitters in
the spinal cord in rats with chronic constrictive injury].
AB - OBJECTIVE: To observe the changes of amino acid neurotransmitter contents in the
lumbar spinal cord after electroacupuncture (EA) in rats with neuropathic pain so
as to study its spinal mechanism underlying pain relief. METHODS: Forty SD rats
were randomly divided into control, sham surgery, model and EA groups (n=10).
Chronic constrictive injury (CCI) (neuropathic pain) model was duplicated by
ligature of the right sciatic nerve with a piece of catgut. EA (1-3 mA, 2 Hz) was
applied to "Huantiao" (GB 30) and "Weizhong" (BL 40) on the injured side for 30
minutes, once a day for 7 days. The mechanical and thermal pain thresholds were
measured before and after CCI, and after EA intervention. Concentrations of
glutamate (Glu), aspartate (Asp), glutamine (Gln), gamma-aminobutyric acid
(GABA), Glycine (Gly) and taurine (Tau) in the lumbar spinal cord (L4-6) were
detected by O-phthaladehyde derivatization + high performance liquid
chromatography (HPLC). RESULTS: Compared with the control group, both the
mechanical and thermal pain thresholds of the model group were decreased
significantly on day 10 and day 16 after CCI (P < 0.01). Compared with the model
group, the mechanical and thermal pain thresholds in the EA group on day 16 after
CCI were upregulated strikingly (P < 0.01). In comparison with the control group,
Glu, Asp, Gin and GABA levels in the lumbar spinal cord were significantly higher
in the model group (P < 0.05, P < 0.01), while Gly and Tau levels in the lumbar
spinal cord were markedly lower in the model group (P < 0.05). In comparison with
the model group, spinal Glu, Asp, and Gin contents were downregulated
significantly in the EA group (P < 0.01), while Gly, GABA and Tau levels were
upregulated obviously (P < 0.01, P < 0.05). No significant differences were found
between the control and sham surgery groups in the mechanical and thermal pain
thresholds, and in the spinal Glu, Asp, GIn, GABA, Gly and Tau levels (P > 0.05).
CONCLUSION: EA of GB 30 and BL 40 may alleviate neuropathic pain in CCI rats,
which is closely with its effects in reducing the release of excitatory amino
acids and promoting the release of inhibitory amino acid neurotransmitters.
PMID- 22073888
TI - [Effects of balance-acupuncture stimulation of "back pain" and "hip pain" points
on plasma beta-endorphin and ACTH contents in rats with lumbar disc herniation].
AB - OBJECTIVE: To observe the effect of balance acupuncture on the thermal pain
threshold and plasma beta-endorphin (beta-EP) and adrenocorticotrophic hormone
(ACTH) levels in lumbar disc herniation rats so as to research its mechanisms
underlying improvement of lumbar disc protrusion. METHODS: A total of 120 male
rats were randomly divided into blank control (control) group, model group, sham
operation(sham)group, and acupuncture group (n=30) which were further divided
into 7-days (d) and 14-d subgroups. Rats in the acupuncture group were treated
with balance acupuncture of "Back Pain" point (the midpoint between two eyes) and
"Hip Pain"point (the midpoint between the acromial process and the axillary
furrow). Thermal pain threshold was detected by using radiant heat detector.
Plasma beta-EP and ACTH levels were determined by radioimmunoassay. RESULTS:
Compared with the control group and pre-operation and sham group, the thermal
pain reaction was increased significantly in the model group (P < 0.05). In
comparison with the model group, the thermal pain reaction was decreased
obviously from day 10 after acupuncture treatment in the acupuncture group (P <
0.05). Compared to the control group, plasma beta-EP contents on day 7 and 14 and
ACTH level on day 14 in the model group were increased significantly (P < 0.05),
while in comparison with the model group, plasma beta-EP contents on day 7 and 14
and ACTH level on day 14 in the acupuncture group were down-regulated markedly (P
< 0.05). No significant difference were found between the control and sham groups
in plasma beta-EP contents and ACTH levels on day 7 and 14 (P > 0.05).
CONCLUSION: Balance acupuncture treatment can lower plasma ACTH level in lumbar
disc herniation rats, which may contribute to its effect in easing lumbar disc
herniation pain in clinic practice.
PMID- 22073889
TI - [Observation on the anti-stress effect of acupuncture-assisted anesthesia for
puhnlmonary lobectomy patients].
AB - OBJECTIVE: To observe the anti-stress effect of acupuncture-assisted anesthesia
in patients undergoing pulmonary lobectomy. METHODS: A randomized, controlled,
single-blind clinical trial was conducted in the present study. A total of 48
patients undergoing pulmonary lobectomy were randomized into regular general
anesthesia (RGA), general anesthesia combined with 2 Hz electroacupuncture (EA)
(GA+2 Hz-EA), general anesthesia combined with 2 Hz/100 Hz EA (GA+2 Hz/100 Hz
EA), and GA + 100 Hz-EA groups (n=12). EA (1-3 mA) was applied to bilateral Houxi
(SI 3), Zhigou (SJ 6), Neiguan (PC 6) and Hegu (LI 4) for 30 min, followed by
general anesthesia with midazolam (0.05 mg/kg), fentanyl (5 microg/kg), propofol
(2 mg/kg), and vecuronium (0.1 mg/kg). The dosages of the anesthetics, heart rate
(HR), systolic blood pressure (SBP), and bispectral index (BIS) of
electroencephalogam during general anesthesia were recorded. Plasma adrenaline
and cortisol concentrations were assayed by radioimmunoassay. RESULTS: In
comparison with the RGA group, the dosages of supplementary propofol and fentanyl
in both GA + 2 Hz-EA and GA + 100 Hz-EA groups,and that of propofol in the GA+2
Hz/100 Hz-EA group were decreased significantly (P < 0.05, P < 0.01). Compared to
the RGA group, both HR and SBP values during trachea extubation in the GA+ 2
Hz/100 Hz-EA and GA + 100 Hz-EA groups were significantly lower (P < 0.01, P <
0.05). No significant differences were found between the GA+2 Hz/100 Hz-EA and
RGA groups in the supplementary dose of fentanyl, between the GA+2 Hz-EA and RGA
groups in HR and SBP values during trachea extubation, among the 4 groups in HR
and SBP values before trachea extubation and in plasma adrenaline and cortisol
levels (P > 0.05). CONCLUSION: Acupuncture-assisted anesthesia can decrease the
dosage of general anesthetics, and effectively restrain cardiovascular stress
reaction during trachea extubation in pulmonery lobectomy patients.
PMID- 22073890
TI - [Electroacupuncture at Guanyuan (CV 4) and Zhongwan (CV 12) modulates functional
connectivity of the brain network in healthy volunteers].
AB - OBJECTIVE: To observe the specific brain effects of electroacupuncture (EA)
stimulation of Guanyuan (CV 4) and Zhongwan (CV 12). METHODS: Twenty-one healthy
volunteers were recruited in the present study. Two silver filiform needles were
separately inserted into Guanyuan (OV 4) or Zhongwan (CV 12), and manipulated
with uniform reducing-reinforcing method to induce "Deqi". fMRI scan was
performed before needling, during needle retention, EA stimulation, and post-EA.
Data of fMRI was analyzed by using software SPM 2. The volunteer subjective
needling sensations were recorded. The activation, deactivation, short-distance
and long-distance functional connectivity maps of different cerebral regions were
analyzed by using whole brain correlation analysis. RESULTS: Comparison between
the two acupoints showed that fullness feeling was stronger in CV 4 than in CV
12. EA at CV 4 and CV 12 induced a similar stronger and prevalent deactivation in
the ventral medial prefrontal cortex and the anterior cingulated cortex (ACO).
The deactivation of the ACC was stronger in the CV 4 group than in the CV 12
group. The default BOLD mode of the brain at rest was modified by needle
retention and EA, respectively. The short-distance functional connection brain
network was significantly changed after EA. Interestingly, the ventral medial
prefrontal cortex and anteroinferior portion of the anterior cingulate cortex in
the limbic-paralimbic-neocortical network (LPNN) were involved in the instant
post-effects of EA. Relatively smaller differences in the brain functional
activity and short-distance functional connectivity were found between these two
acupoints. CONCLUSION: EA of CV 4 and CV 12 can modulate short-distance
functional connectivity of the LPNN, and have fewer differences in inducing
needling sensation and deactivation of ACC, etc.
PMID- 22073891
TI - [Randomized controlled clinical trails for treatment of knee osteoarthritis by
warm acupuncture combined with intra-articular injection of sodium hyaluronate].
AB - OBJECTIVE: To observe the synergism of warm acupuncture combined with intra
articular injection of sodium hyaluronate on knee osteoarthritis (KOA). METHODS:
A total of 179 KOA outpatients were randomized into warm acupuncture group (n=90)
and control group (n=89) according to a randomized numeral table. Warm
acupuncture (needle warmed by moxibustion) was applied to Zusanli (ST 36), Xuehai
(SP 10), Yinlingquan (SP 9), Neixiyan(EX-LE4), etc. from the second day on after
intra-articular Injection of sodium hyaluronate (2 mL, once a week for 5 weeks).
Sodium hyaluronate intra-articular injection was given to the patients alone in
the control group. Knee joint motor function was scaled before, 2 weeks (W) and 5
W after the therapy. The patients' quality of life was determined by using
"Arthritis Impact Measurement Scale" before and 5 W after the therapy. RESULTS:
In comparison with pre-therapy, the knee-joint motor function scores of both
control group and acupuncture group were increased progressively and
significantly 2 W and 5 W after the therapy. Both the knee-joint motor function
score and the therapeutic effective rate of the acupuncture group were
significantly higher than those of the control group (P<0.001, P<0.05). After the
therapy, the scores of the Arthritis Impact Measurement Scale were significantly
improved in both groups (P<0.01), and that of the acupuncture group was obviously
lower than that of the control group (P<0.01). CONCLUSION: Warm acupuncture
combined with intra-articular injection of sodium hyaluronate is an effective
therapy for knee osteoarthritis.
PMID- 22073892
TI - [False dissemination of "treatment of hemorrhoids by acupuncture of Kongzui (LU
6)" and its unfavorable influence on modern acupuncture].
AB - "Treatment of hemorrhoids by acupuncture of Kongzui (LU 6)" has been considered
to be an example of new discovery in current clinical acupuncture practice and
has produced a significant influence on domestic and foreign textbooks of
acupuncturology and the health care of the people. After comprehensively
analyzing this known saying, it was found that the so-called "new discovery"
which has been inherited for decades of years is in fact derived from incorrect
dissemination, being lack of evidence of both literature and clinical practice.
PMID- 22073893
TI - [Our viewpoints on relationship between discovery of meridians and pulse-feeling
diagnosis].
AB - Some scholars believe that the ancient Chinese physicians found meridian
phenomena on the basis of pulse-feeling diagnosis. But the authors of the present
paper don't think so, and that the relationship between the discovery of meridian
phenomena and pulse-feeling diagnosis is not so simple. In the present paper, the
authors analyze their correlation from the following 6 points. (1) In ancient
China, the difference between the pulse-feeling locations on the human body and
the number of clinical disorders is huge, which is very difficult to make them to
be corresponding. (2) Shenmen (HT 7), one acupoint of the Heart Meridian of Hand
Shaoyin and one of the positions for pulse-feeling diagnosis, is not identical to
the running course of meridians described in the early historic stage of China.
(3) Taking the corresponding correlation between the upper-lower parts of the
Meridian of Hand-Yangming to make a deduction on other meridians is definitely
jug-handled. (4) It is logically unreasonable to demonstrate the relationship
between the meridian and pulse-feeling diagnosis by taking the Luomai
(collaterals of meridian) as the example. (5) The running pathways of the Eight
Extra-meridians which also belong to the meridian system are not identical to the
regularities of "Biao" "Ben" pulses. (6) In the ethnic traditional medicine,
there exists an upper-lower corresponding pulse diagnosis, but, no similar text
descriptions have been found in the medical works on meridians. Therefore, the
meridian system is not found by means of pulse-feeling diagnosis, and rather, the
meridian theory promotes the development of pulse-feeling diagnosis in clinical
practice.
PMID- 22073894
TI - [Development of studies on neurochemical mechanism of acupuncture underlying
improvement of depression].
AB - Chronic stress often results in neurochemical changes in the central nervous
system and may lead to the onset of depression. These neurochemical changes refer
to alterations of activities of neurotransmitters, neuromediators, hormones and
neuronal plasticity, etc. The underlying mechanism of acupuncture in the
antidepressant effect is related to its regulatory effect on the neurochemical
imbalance. In the present review, the authors summarize the progress of
experimental researches on acupuncture treatment of depression from (1)
monoamines neurotransmitters and their receptors, (2) gamma-aminobutyric acid and
glutamate, (3) neuropeptide Y, (4) hypothalamus-pituitary-adrenal axis and
hypothalamus-pituitary-throid axis activities, and (5) brain-derived neurotrophic
factor. In addition, the authors also make an evaluation on the insufficiency of
current studies and put forwards some suggestions on the future study.
PMID- 22073895
TI - [Overview of researches on the underlying mechanism of that "Hegu (LI 4) is
indicated for orofacial disorders"].
AB - It has been well documented in Chinese acupuncture medicine that "Hegu (LI 4) is
indicated for orofacial problems". In the present paper, the authors analyze
their correlation from the learning of modern medicine and results of recent
experimental studies instead of traditional meridian theory of acupuncturology.
The afferent nerve fibers from the LI 4 region and the orofacial part ascend to
the spinal cord, nucleus of solitary tract, reticular formation, thalamus and the
cerebral cortex, respectively, and overlap in the thalamus, cerebral cortex, etc.
Under the circumstances, the interaction and functional integration of the
afferent signals from LI 4 acupoint and the orofacial region may occur in the
central nervous system. In addition, many relevant neuroactive substances (for
example, serotonin, substance P, enkephalin, y-aminobutyric acid, glycine,
calcitonin gene-related peptide, etc.) released from the sensory and motor
neurons in the corresponding cerebral regions may constitute part of the
substantial basis of that "Hegu (LI 4) is indicated for many orofacial
disorders".
PMID- 22073896
TI - Let's leave bullying on the playground!
PMID- 22073897
TI - Ins and outs of suprapubic catheters--a clinician's experience.
AB - In today's evolving health care field, outpatient procedures are becoming more
commonplace. Many patients with suprapubic catheters are now being seen in
outpatient or home care settings. Addressing the educational needs of patients,
family members, and nursing staff is now more important than ever for successful
patient suprapubic catheter management. A basic understanding of how these
catheters are initially placed is essential for proper care and avoidance of
possible complications. This review of initial placement of suprapubic catheters
and post-insertion care is based on one clinician's experience and practice at a
local hospital in Pennsylvania.
PMID- 22073898
TI - Vaginal diazepam use with urogenital pain/pelvic floor dysfunction: serum
diazepam levels and efficacy data.
AB - Vaginal diazepam is used off-label for pelvic floor dysfunction and urogenital
pain, but serum levels with efficacy have not been reported until now. One
clinician evaluated 21 women for overall, levator, and vulvar pain pre- and one
month post-daily diazepam treatment. One-month post-treatment assessments and
serum diazepam levels were done; 62% were moderately or markedly improved.
Levator examination pain scores were significantly improved, and vulvar pain
scores decreased post-treatment. Serum diazepam levels were within normal limits.
Vaginal diazepam may be helpful in treating pelvic floor/urogenital pain
conditions.
PMID- 22073899
TI - Desmopressin versus behavioral modifications as initial treatment of primary
nocturnal enuresis.
AB - The purpose of this study was to compare the effectiveness of desmopressin to
behavioral modifications as the initial treatment of primary monosymptomatic
nocturnal enuresis (PMNE). Study results determined that either intervention led
to a significant reduction in PMNE episodes. These results suggest that either
method is equally effective for treatment of PMNE.
PMID- 22073900
TI - The lonely female partner: a central aspect of prostate cancer.
AB - The aim of this qualitative study was to investigate the experiences of female
partners to men with prostate cancer. The women found the capacity to manage
their lives through mutual love in the family and through their faith.
PMID- 22073901
TI - Adding to the evidence base: The lonely female partner: a central aspect of
prostate cancer.
PMID- 22073902
TI - Entrapped Foley catheter: a case study.
AB - Obstruction of the urethra can cause necrosis of the urethra. Without prompt
intervention, this obstruction may lead to intrinsic renal failure. A unique
nursing situation occurred with a patient's entrapped Foley catheter, requiring
timely intervention. This case study reinforces that a nurse's ability to assess
and describe clinical assessment findings to a physician via the telephone can be
critical to patient outcomes.
PMID- 22073903
TI - Characteristics and impact of interrupted sleep in women with overactive bladder.
AB - Women who self-report symptoms of overactive bladder (OAB), including nocturia,
experience significant sleep deficits and a diminished quality of life. These
deficits and their impacts are greater among women who awaken two or more times
per night. When evaluating patients with OAB, it is important for clinicians to
assess sleep habits and practices in addition to bladder symptoms to fully gauge
the health and well-being of women with OAB.
PMID- 22073904
TI - European Escherichia coli O104:H4 outbreak.
PMID- 22073905
TI - Integrated circuits and logic operations based on single-layer MoS2.
AB - Logic circuits and the ability to amplify electrical signals form the functional
backbone of electronics along with the possibility to integrate multiple elements
on the same chip. The miniaturization of electronic circuits is expected to reach
fundamental limits in the near future. Two-dimensional materials such as single
layer MoS(2) represent the ultimate limit of miniaturization in the vertical
dimension, are interesting as building blocks of low-power nanoelectronic
devices, and are suitable for integration due to their planar geometry. Because
they are less than 1 nm thin, 2D materials in transistors could also lead to
reduced short channel effects and result in fabrication of smaller and more power
efficient transistors. Here, we report on the first integrated circuit based on a
two-dimensional semiconductor MoS(2). Our integrated circuits are capable of
operating as inverters, converting logical "1" into logical "0", with room
temperature voltage gain higher than 1, making them suitable for incorporation
into digital circuits. We also show that electrical circuits composed of single
layer MoS(2) transistors are capable of performing the NOR logic operation, the
basis from which all logical operations and full digital functionality can be
deduced.
PMID- 22073906
TI - Enhanced affinity bifunctional bisphosphonates for targeted delivery of
therapeutic agents to bone.
AB - Skeletal diseases have a major impact on the worldwide population and economy.
Although several therapeutic agents and treatments are available for addressing
bone diseases, they are not being fully utilized because of their uptake in
nontargeted sites and related side effects. Active targeting with controlled
delivery is an ideal approach for treatment of such diseases. Because
bisphosphonates are known to have high affinity to bone and are being widely used
in treatment of osteoporosis, they are well-suited for drug targeting to bone. In
this study, a targeted delivery of therapeutic agent to resorption sites and
wound healing sites of bone was explored. Toward this goal, bifunctional
hydrazine-bisphosphonates (HBPs), with spacers of various lengths, were
synthesized and studied for their enhanced affinity to bone. Crystal growth
inhibition studies showed that these HBPs have high affinity to hydroxyapatite,
and HBPs with shorter spacers bind more strongly than alendronate to
hydroxyapatite. The HBPs did not affect proliferation of MC3T3-E1 preosteoblasts,
did not induce apoptosis, and were not cytotoxic at the concentration range
tested (10(-6)-10(-4) M). Furthermore, drugs can be linked to the HBPs through a
hydrazone linkage that is cleavable at the low pH of bone resorption and wound
healing sites, leading to release of the drug. This was demonstrated using
hydroxyapatite as a model material of bone and 4-nitrobenzaldehyde as a model
drug. This study suggests that these HBPs could be used for targeted delivery of
therapeutic agents to bone.
PMID- 22073907
TI - Biochemical and immunochemical characterization of different varieties of
amaranth (Amaranthus L. ssp.) as a safe ingredient for gluten-free products.
AB - Celiac disease is a food intolerance triggered by the ingestion of gluten
containing cereals; the only therapy is a strict gluten-free diet for life. In
recent years, amaranth flour has received considerable attention as an
interesting source for the formulation of gluten-free products due to its high
nutritional value and low content of prolamins, the toxic proteins for celiacs.
The aim of this study was to characterize 40 amaranth varieties using both SDS
PAGE/immunoblotting and ELISA to assess their possible tolerance by celiac
subjects. All of the amaranth samples studied showed similar binding affinities
for both specific anti-gliadin antibodies and human IgAs. In most amaranth
grains, the content of gluten-like proteins measured by ELISA was <20 ppm. The
molecular characterization of amaranth proteins suggests that amaranth is safe
for celiacs to consume. It is recommended that the most suitable amaranth
varieties are those having the lowest content of proteins cross-reacting with
anti-gliadin antibodies.
PMID- 22073909
TI - A prospective phase II trial of vinblastine and methotrexate in multivessel
intraluminal pulmonary vein stenosis in infants and children.
AB - OBJECTIVE: To determine the safety and efficacy of the chemotherapeutic agents
vinblastine and methotrexate in the treatment of children with progressive
multivessel intraluminal pulmonary vein stenosis (PVS). METHODS: Children
received weekly vinblastine and methotrexate for a period of 1 year. Outcomes
(for patients receiving >=1 month of chemotherapy) were classified separately for
patients with isolated PVS and PVS with congenital heart disease (CHD). Primary
efficacy outcome was "response to treatment" categorized by echocardiographic
criteria of response. Survival to 1 year was also evaluated. All adverse events
were classified according to Cancer Therapy Evaluation Program, Common
Terminology Criteria version 3.0. Events were further classified as related to
chemotherapy, cardiac, or other causes. RESULTS: Among 29 patients enrolled, 28
received at least one dose of chemotherapy and were evaluable for toxicity, while
23 were evaluable for response (21 CHD, 2 isolated). Both patients in the
isolated group had progressive disease and died. Overall, 33% (7/21) of patients
with PVS and CHD had stable disease; 1-year survival of 38%; and four patients
continue in remission (93, 96, 124, and 125 months after treatment initiation).
While both cardiac-related (19%) and chemotherapy-related (53%) toxicities were
common, most were asymptomatic laboratory changes. Grade 3 (13%) and grade 4 (4%)
toxicities were reversible, and no treatment-related grade 5 toxicities were
observed. CONCLUSION: We report on the first prospective trial of chemotherapy
for infants and children targeting the presence of myofibroblastic cells within
the lesions of PVS based on myofibroblastic proliferation associated with desmoid
tumors of infancy. The toxicity profile resulted in numerous treatment delays and
interruptions that, combined with limited information on the natural history of
PVS in this patient population, hampered our ability to determine the true
efficacy of this approach. These results will be important as a baseline for
clinical trials in this patient population.
PMID- 22073910
TI - Fertility differences in Peru: A reconsideration.
AB - Abstract In a series of articles by Stycos, Heer and W. H. James, predominantly
Indian areas of Peru were reported to have significantly lower levels of
fertility than the economically better developed Spanish-speaking areas. Heer and
James reported similar findings for Bolivia and Ecuador as well as Peru.
PMID- 22073911
TI - Estimation of vital rates for Ghana.
AB - Abstract A complete and efficient registration system, of the type which would
provide good data on births and deaths, does not exist in Ghana. However,
registration of vital events is supposed to be compulsory in 39 towns in the
country but the data collected in these areas are too inadequate and defective to
provide a sound basis for the analysis of the dynamics of population growth. The
results of the censuses conducted by the colonial governments are so defective
and unreliable that they do not allow scientific research in the field of
population analysis. Before 1960, therefore, when the national census and the
post-enumeration survey (based on a 5% sample of the population) were carried
out, estimates of fertility and mortality levels were little more than guesses.
In this study an attempt has been made to utilize the information on the age-sex
composition provided by the 1960 census and post-enumeration survey data on
births and deaths to determine, as far as possible, the levels of fertility and
mortality and the rates of population growth in Ghana. The fertility estimates
i.e. a crude birth rate of 50, total fertility rate of 6.9 and a gross
reproduction rate of 3.4-show that Ghana's fertility is one of the highest in the
world. An expectation of life at birth of 40 years, an infant mortality of 160
and a crude death rate of 23 appear to be the most plausible estimates. These
estimates yield a rate of natural increase of 2.7% and a growth rate of 3.0% per
annum.
PMID- 22073912
TI - Time series of growth in use of oral contraception and the differential diffusion
of oral anovulents.
AB - Abstract Differential growth in the use of oral anovulents is explored through
construction of separate time series for Canadian women classified by religion,
education, and nativity. The series suggest that native-born Roman Catholic women
are as likely as native-born Protestant women to be using oral contraceptives by
the terminal point of our series in 1967. The period of very rapid growth in the
use of orals appears to have come to an end. Differential patterns of diffusion
of oral contraception shown by the categories of women discussed, suggest sources
of bias in the profile of an aggregate time series.
PMID- 22073913
TI - Buddhist factors in population growth and control A survey based on Thailand and
Ceylon *.
AB - Abstract Although Buddist doctrine and institutions do not directly encourage
the procreation of children, the Buddhist countries of South Asia (for the
purposes of this paper, Ceylon, Burma, Thailand and Cambodia) have high fertility
rates. The first half of this paper is devoted to examining possible reasons for
this: particularly, whether there are non-Buddhist factors which produce high
fertility and outweigh the negative Buddhist attitude, or whether there are less
obvious factors within the structure of Buddhist culture which tend to produce
high fertility indirectly; this preliminary review of evidence favours the latter
explanation. In the second half of the paper South Asian Buddhist attitudes to
policies of population control are examined. While in theory there is no Buddhist
opposition to family planning, apart from the general Buddhist rejection of
abortion, some differences of attitude towards other methods are observable
between Ceylon and Thailand, the Buddhist opposition to contraception being
stronger in the former than in the latter. It is suggested that the differences
in attitude may be due to the larger proportion of non-Buddhists in the
population of Ceylon than in Thailand, although the opposition is expressed in
primarily doctrinal terms.
PMID- 22073914
TI - The value of avoided births to underdeveloped countries.
AB - Abstract An estimate of the value to a developing economy of preventing an
additional birth is a useful yardstick for decisions about bonuses, information
and-dispensation campaigns, and propaganda campaigns. Enke's method of estimation
incorrectly reckons private costs as public costs and attributes all the avoided
consumption of the prevented child to the social account, whereas most of it
would be otherwise consumed by the child's family. And at the discount rate Enke
uses (15%) the effect of an added child on the marginal productivity of other
workers is irrelevant. On the other hand, a 15% discount is shown to be
inconsistent with other governmental decisions, and a lower discount rate reduces
the value of a prevented birth in Enke's scheme. Hence Enke's method is
internally inconsistent. Coale and Hoover's work on India enables one to
generate an estimate for the value of an avoided birth on the basis of a complete
and realistic macro-economic system. This was done by relating the differences in
numbers of births in future periods (under two of the Coale-Hoover alternatives)
to the differences in aggregate income that are projected (under the two
alternatives). Even at the high discount rate of 15%, the value is $114. At lower
discount rates the value is much higher.
PMID- 22073915
TI - Fecundability and its relation to age in a sample of Taiwanese women.
AB - Abstract In this paper reliable estimates of mean fecundability at marriage for
a sample of heterogeneous Taiwanese women are obtained by using a set of data
collected retrospectively. The effects of 'truncation bias' and 'memory bias' are
estimated by studying the relationships between mean fecundability and the
duration of marriage. Then the variations in fecundabilities by age at marriage
are studied. The data are taken from an intensive fertility survey of married
women between the ages of 20 and 39 conducted in Taichung city of Taiwan towards
the end of 1962 prior to a year-long family planning action programme.
Fecundability, the probability of conception in the absence of contraception, is
estimated by using a Type I geometric model and is estimated from the observed
distribution of first pregnancy intervals-the period between the onset of
marriage and the beginning of first conception. The estimated fecundability level
relatively free from truncation and memory bias is 195+/-3 per 1.000 women. The
fecundability level increases with wife's age at marriage (up to 25)
independently of its association with the duration of marriage.
PMID- 22073916
TI - Local censuses in the 18th century.
AB - Abstract Recent work on the population problems of the eighteenth century has
been mainly based on the use of parish records. Another source, and one which,
surprisingly, has received little attention is the local census. These are more
numerous than is generally realised; and can be of great use in demographic
studies. This paper examines 125 local censuses mainly taken in urban areas. They
are discussed in terms of how they come to be taken, their reliability, extant
manuscript material and their contents. Whilst most of the censuses confine
themselves to the basic facts such as total population, number of houses and
number of families, some give details of sex, age, marital status and occupation.
Generally the information is given for the parish or local administrative unit,
but in a few instances it is available by streets.
PMID- 22073917
TI - Some comments on article by K. Srinivasan: 'A probability model applicable to the
study of inter-live birth intervals and random segments of the same'.
AB - Abstract This paper discusses a probability model of birth intervals, proposed
by K. Srinivasan, for the study of data collected in some fertility surveys.
New formulae for mean and variance of the "open interval" distribution are given.
PMID- 22073918
TI - The causes of induced abortion.
AB - Abstract In drawing conclusions about the causes of induced abortion, Treffers
(Population Studies 20, pp. 295ff) assumed that spontaneous abortion is unrelated
to psychological and sociological variables. It is suggested that these
assumptions may be false. Some remarks are appended on the mode of ascertainment
of abortions and its relation to their estimated incidence.
PMID- 22073919
TI - The demographic effects of a contraceptive programme.
AB - Abstract The calculation of the number of births prevented for each item of
contraceptive service provided entails the integration of a variety of factors
relating to the potential fertility of users and the duration and effectiveness
of use. This article differs from previous essays of this kind in that estimates
of future potential fertility are based not on fertility rates but on age
specific means of inter-live birth intervals, modified by periods of use
overlapping post-partum sterility, and by the probabilities attached to the
development of permanent sterility and to the successful adoption of extra
programme birth control. In the first three years after acceptance of intra
uterine contraception by some 9,000 postpartum women of several ethnic origins in
Singapore, it is calculated that about 4,800 births were prevented.
PMID- 22073922
TI - Influenza immunization in egg allergy: an update for the 2011-2012 season.
AB - Flu vaccines contain detectable amounts of egg protein, which may pose a risk to
egg-allergic individuals. The 2009 H1N1 influenza pandemic required mass
vaccination in many countries, and the safety of flu immunization in egg allergy
became of increasing public health importance. This article reviews recent
literature and provides an updated guideline for immunization during the 2011
2012 flu season. Recent experience suggests that some vaccines with very low
ovalbumin concentrations may be safe for use in primary care in carefully
assessed low-risk individuals.
PMID- 22073923
TI - The impact of laxative use upon symptoms in patients with proven slow transit
constipation.
AB - BACKGROUND: Constipation severity is often defined by symptoms including feelings
of complete evacuation, straining, stool frequency and consistency. These
descriptors are mostly obtained in the absence of laxative use. For many
constipated patients laxative usage is ubiquitous and long standing. Our aim was
to determine the impact of laxative use upon the stereotypic constipation
descriptors. METHODS: Patients with confirmed slow transit constipation completed
3-week stool diaries, detailing stool frequency and form, straining, laxative use
and pain and bloating scores. Each diary day was classified as being under
laxative affect (laxative affected days) or not (laxative unaffected days).
Unconditional logistic regression was used to assess the affects of laxatives on
constipation symptoms. RESULTS: Ninety four patients with scintigraphically
confirmed slow transit constipation were enrolled in the study. These patients
reported a stool frequency of 5.6 +/- 4.3 bowel motions/week, only 21 patients
reported <3 bowel motions/week. Similarly, 21 patients reported a predominant
hard stool at defecation. The majority (90%) of patients reported regular
straining. A regular feeling of complete evacuation was reported in just 7
patients. Daily pain and/or bloating were reported by 92% of patients. When
compared with laxative unaffected days, on the laxative affected days patients
had a higher stool frequency (OR 2.23; P <0.001) and were more likely to report
loose stools (OR 1.64; P <0.009). Laxatives did not increase the number of bowel
actions associated with a feeling of complete evacuation. Laxative use had no
affect upon straining, pain or bloating scores CONCLUSIONS: The reporting of
frequent and loose stools with abdominal pain and/or bloating is common in
patients with slow transit constipation. While laxative use is a significant
contributor to altering stool frequency and form, laxatives have no apparent
affect on pain or bloating or upon a patients feeling of complete evacuation.
These factors need to be taken into account when using constipation symptoms to
define this population.
PMID- 22073924
TI - Synthesis of 2-naphthols via carbonylative Stille coupling reaction of 2
bromobenzyl bromides with tributylallylstannane followed by the Heck reaction.
AB - A method for the synthesis of 2-naphthols 4 is described. The carbonylative
Stille coupling reactions of 2-bromobenzyl bromides with tributylallylstannane to
produce 2-bromobenzyl beta,gamma-unsaturated ketones 2 in satisfactory to
excellent yields has been achieved. The isomerization of 2-bromobenzyl beta,gamma
unsaturated ketones 2 can readily occur under basic conditions to generate 2
bromobenzyl alpha,beta-unsaturated ketones 3. The 2-bromobenzyl alpha,beta
unsaturated ketones 3 can be transformed into 2-naphthols 4 via intramolecular
Heck reaction in satisfactory to good yields.
PMID- 22073925
TI - Single kaolinite nanometer layers prepared by an in situ polymerization
exfoliation process in the presence of ionic liquids.
AB - A simple chemical route for the exfoliation of kaolinite in the presence of
polymerizable ionic liquids and the resulting obtainment of exfoliated
nanocomposites is reported. The exfoliation was achieved using three different
ionic liquids structurally bearing a vinyl group: 1-methyl-3-(4
vinylbenzyl)imidazolium chloride salt (IL_1), 1-methyl-1-(4
vinylbenzyl)pyrrolidinium chloride (IL_2), and 1-methyl-3-vinyl imidazolium
iodide (IL_3) and a urea-kaolinite intercalate as precursor. The reaction was
done in one step by an in situ polymerization-exfoliation process. (13)C CP/MAS
NMR spectra confirmed the spontaneous polymerization of the ionic liquid during
the exfoliation process to afford atactic polystyrene derivatives in the case of
IL_1 and IL_2. The amount of organic material in the exfoliated nanocomposite was
close to 30% as shown by thermal gravimetric analysis. This amount is small in
comparison to the amount obtained when the exfoliation was done using sodium
polyacrylate (Letaief and Detellier, Langmuir2009, 25, 10975). XRD as well as SEM
analysis confirmed a total exfoliation of the kaolinite when the reaction was
done using urea kaolinite, whereas a microcomposite, made predominantly of
kaolinite platelet aggregates dispersed in the polymeric matrix, was formed when
dimethylsulfoxide kaolinite was used as the precursor.
PMID- 22073926
TI - Orientational and motional narrowing of solid-state NMR lineshapes of uniaxially
aligned membrane proteins.
AB - A unified theory for the NMR line shapes of aligned membrane proteins arising
from uniaxial disorder (mosaic spread) and global rotational diffusion about the
director axis is presented. A superoperator formalism allows one to take into
account the effects of continuous radiofrequency irradiation and frequency
offsets in the presence of dynamics. A general method based on the Stochastic
Liouville Equation makes it possible to bridge the static and dynamic limits in a
single model. Simulations of solid-state NMR spectra are performed for a uniform
alpha helix by considering orientational disorder and diffusion of the helix as a
whole relative to the alignment axis. The motional narrowing of the resonance
lines is highly inhomogeneous and can be used as an additional angular restraint
in structure calculations. Experimental solid-state NMR spectra of Pf1 coat
protein support the conclusions of the theory for two limiting cases. The static
disorder dominates the (15)N NMR spectra of Pf1 aligned on a phage, while fast
uniaxial diffusion provides a line narrowing mechanism for the Pf1 protein
reconstituted in magnetically aligned bicelles.
PMID- 22073927
TI - Use of the Internet by parents of middle school students: internet rules, risky
behaviours and online concerns.
AB - Using the Internet is a daily occurrence for parents and children in today's
world. Although they use the Internet differently, many individuals may face
online hazards that they may be ill equipped to deal with. To better understand
Internet use and online behaviours, a study was conducted with 227 parents of
early adolescent (ages 11 to 14 years) middle school students (grades 6, 7 and
8). Four important findings emerged: (1) parents are using the Internet like
their children but have different online behaviours; (2) parents have Internet
use rules at home; (3) parents are participating in risky Internet behaviours
(electronic aggression, intentional online harassment and communication with
online strangers); and (4) parents are concerned about their child's online
safety, specifically about the exposure to sexually explicit materials and online
strangers, but the majority of parents do not know where to report negative or
unsafe Internet experiences. Nurses, clinicians, healthcare providers and other
professionals can and do provide comprehensive care to families by identifying
needs and areas of knowledge deficit. By asking Internet use questions, nurses
and other professionals are able to develop plans of care for all family members
that will promote Internet safety and healthy lifestyle choices.
PMID- 22073928
TI - 'Your good days and your bad days' an exploration and consideration of how lay
people conceptualize depression.
AB - Depression remains a significant public health issue globally; 121 million people
are affected by depression health education campaigns. Regardless of the
prevalence of depression and recent health education campaigns to reduce the
burden of depression, many people are still stigmatized and sometimes excluded
from the society in which they live. Using qualitative research, the aim of this
study was to explore lay people's perceptions and attitudes of mental disorder
and in particular depression. A purposive sample of 22 lay people were each asked
to read a case scenario which was then followed up by the researcher using open
ended questions to explore their knowledge and attitudes and beliefs relating to
depression. The data was analysed using Braun & Clarke's strategy of thematic
analysis. The results revealed that many of the participants were familiar with
the concept of depression, either through their own experiences of the disorder
or through knowing people with depression. The participants also drew from
psychosocial explanations of depression and located depression as part of the
human condition. The paper suggests that lay people's concepts of depression
offer rich complex and diverse perspectives that enhance professional views and
may lead to a greater understanding of uptake and acceptance of care for
depression.
PMID- 22073929
TI - Unilateral auditory neuropathy spectrum disorder.
AB - CONCLUSIONS: The majority of the patients with unilateral auditory neuropathy
spectrum disorder (UANSD) were pediatric and mostly showed a great degree of
hearing loss when diagnosed. Abnormal auditory brainstem response (ABR) and
preserved otoacoustic emissions (OAEs) and/or cochlear microphonics (CM) were
important features to differentiate it from common sensorineural deafness and
central nerve hearing loss. OBJECTIVE: To identify the clinical characteristics
of patients with UANSD. METHODS: This was a retrospective study involving 14
patients diagnosed as having UANSD between 2004 and 2010 in the Chinese PLA
Hospital. RESULTS: In all, 50% of the cases were males (1:1 sex ratio) and the
average age of onset was 4.1 years. Of the 14 affected ears with UANSD in these
cases, 6 were left-sided, while 8 were right-sided. Of the 14 contralateral ears,
4 presented with sensorineural hearing loss, while the other 10 showed normal
hearing. The degree of hearing loss in the 14 affected ears varied, including
moderate in 1, moderately severe in 4, severe in 5, and profound in 4. ABRs were
absent in the 14 affected ears, while the OAEs, and/or CM were present.
PMID- 22073930
TI - Peripheral blood immunologic phenotype of population-based breast cancer cases
and matched controls.
PMID- 22073931
TI - Synergistic co-entrapment and triggered release in hollow nanocapsules with
uniform nanopores.
AB - We describe a new co-entrapment and release motif based on the combination of
noncovalent and steric interactions in materials with well-defined nanopores.
Individual components enter hollow nanocapsules through nanopores in the capsule
shell. Their complex, larger than the pore size, remains entrapped. The
dissociation of the complex upon external stimulus releases entrapped components.
Reversible formation of complexes between diaza-18-crown-6 and metal ions was
used to demonstrate the feasibility of new approach to co-entrapment and
triggered release.
PMID- 22073932
TI - An accuracy assessment of forensic computerized facial reconstruction employing
cone-beam computed tomography from live subjects.
AB - The utilization of 3D computerized systems has allowed more effective procedures
for forensic facial reconstruction. Three 3D computerized facial reconstructions
were produced using skull models from live adult Korean subjects to assess facial
morphology prediction accuracy. The 3D skeletal and facial data were recorded
from the subjects in an upright position using a cone-beam CT scanner. Shell-to
shell deviation maps were created using 3D surface comparison software, and the
deviation errors between the reconstructed and target faces were measured.
Results showed that 54%, 65%, and 77% of the three facial reconstruction surfaces
had <2.5 mm of error when compared to the relevant target face. The average error
for each reconstruction was -0.46 mm (SD = 2.81) for A, -0.31 mm (SD = 2.40) for
B, and -0.49 mm (SD = 2.16) for C. The facial features of the reconstructions
demonstrated good levels of accuracy compared to the target faces.
PMID- 22073933
TI - Core management principles in rheumatoid arthritis to help guide managed care
professionals.
AB - BACKGROUND: Rheumatoid arthritis (RA) is a chronic, systemic autoimmune
inflammatory disease that affects approximately 1% of the population. Initial
symptoms include joint swelling, stiffness, and tenderness, which are all causes
of disability. The diagnosis of RA is based on patient history of joint pain and
stiffness, the documentation of symmetric polyarticular joint synovitis, and
laboratory measures including radiographs, inflammatory markers, and
autoantibodies. As the disease progresses, synovial inflammation leads to
cartilage damage, bone erosions, and joint destruction, the major causes of long
term disability. RA is associated with many comorbidities and complications,
including cardiovascular disease, which is responsible for higher rates of
mortality among patients compared with the general population. Over the past 2
decades, advances in the development of synthetic disease-modifying antirheumatic
drugs (DMARDs) and biologic agents for RA have markedly changed treatment goals
and management strategies. OBJECTIVES: To review recent updates in the diagnosis
and treatment of RA, as well as the importance of early and aggressive treatment
and management strategies. SUMMARY: Borrowing from other medical fields, a
paradigm of "tight control" of RA has been supported by evidence and is gaining
wide acceptance in rheumatology. In 2010, the American College of Rheumatology
and the European League Against Rheumatism (EULAR) published revised
classification criteria for RA, which will assist in the diagnosis of early RA
and facilitate appropriate treatment intervention. Over the last decade, many
patients on biologic agents have demonstrated that early and aggressive treatment
of RA is beneficial in treating synovial inflammation, delaying joint damage, and
improving patient outcomes. Contemporary management strategies based on early
diagnosis, aggressive treatment, and regular monitoring have helped a significant
number of patients with RA achieve current treatment goals of low levels of
disease activity and, in some cases, clinical remission.
PMID- 22073934
TI - Assessment of disease activity and treatment outcomes in rheumatoid arthritis.
AB - BACKGROUND: Rheumatoid arthritis (RA) is a chronic systemic inflammatory disease
which primarily causes a symmetric polyarthritis. Clinical manifestations of the
disease include joint pain, stiffness, and swelling. Unless treated, this
debilitating disease can progress into long-term disability. Medications for RA
include synthetic disease-modifying antirheumatic drugs (DMARDs) and biologic
agents. The rapid expansion of new RA drugs into the market has led to a need for
health care practitioners to understand the effectiveness of each medication and
the indications of use including when to initiate and stop therapies. Clinical
assessment tools, including biomarkers used to indicate RA and the progression of
the disease, have been proven effective for making a diagnosis and determining
effective treatment regimens. Disease activity scales are also useful for guiding
diagnoses and monitoring patients to assess treatment effectiveness. OBJECTIVES:
To review the various clinical assessment tools that have been designed to
confirm an early diagnosis of RA, measure disease progression, and assist in
determining the most optimal treatment regimens for patients with RA. SUMMARY:
The diagnosis of RA combines the patient history of joint pain and stiffness and
the physical examination documentation of symmetric polyarticular joint swelling
(synovitis). Laboratory tests including radiographs and blood tests for
biomarkers can provide useful information to confirm the diagnosis of RA. Various
autoantibodies have been reported in the blood of RA patients, but only the
rheumatoid factor (RF) and anti-cyclic citrullinated peptide antibodies (anti
CCP) have been incorporated as diagnostic measures in routine clinical practice.
Monitoring and assessment instruments for RA include the Disease Activity Score
28 (DAS28), the Simplified Disease Activity Index (SDAI), and the Clinical
Disease Activity Index (CDAI). Although these clinical assessment tools have
limitations, health care providers can use them as measures of disease
progression and to assist in planning treatment strategies to modify disease
activity and improve the quality of life for the patient.
PMID- 22073935
TI - Biologic agents in rheumatoid arthritis: an update for managed care
professionals.
AB - BACKGROUND: Rheumatoid arthritis (RA) is a chronic, systemic autoimmune
inflammatory arthritis that clinically manifests as joint pain, stiffness, and
swelling. If left untreated, persistent synovial inflammation can progress to
cartilage and bone destruction and ultimately to major long-term disability and
mortality. Synthetic disease-modifying antirheumatic drugs (DMARDs), such as
methotrexate, leflunomide, and sulfasalazine, have markedly improved clinical
symptoms and slowed joint damage in RA patients. However, despite the
effectiveness of synthetic DMARDs, many patients who use them continue to have
clinical symptoms of inflammation and progressive joint destruction. Recent
advances in our understanding of the pathogenesis of RA have led to the
identification of novel cellular and molecular therapeutic targets. Biologic
agents aimed at these targets have provided some evidence of effectiveness that
is transforming the management of RA. OBJECTIVE: To inform health care providers
about some of the recent advances in RA pathogenesis and innovative biologic
therapies that have shown effectiveness in improving clinical outcomes and
inhibiting radiographic progression. SUMMARY: Although the specific trigger of
the autoimmune response in RA is not known, pathogenesis is generally believed to
be associated with the generation of autoantibodies through interactions of
antigen-presenting cells with the adaptive immune system (CD4 + T cells and B
cells). The main inflammatory mediators of joint inflammation and destruction in
RA are tumor necrosis factor (TNF)-alpha, interleukin-1 (IL-1), IL-6, chemokines,
and proteases. Advances in our understanding of the key cells and inflammatory
cytokines have led to the development of targeted biologic agents. As of 2011, 5
TNF-alpha inhibitors are approved for use by the FDA: infliximab, etanercept,
adalimumab, golimumab, and certolizumab pegol. In randomized clinical trials, all
of these agents have been shown to be effective in reducing clinical signs of
inflammation in RA patients who have failed synthetic DMARDs. Multiple studies
have demonstrated significant benefits of early treatment with TNF-alpha
inhibitors combined with methotrexate. Other FDA-approved biologic agents for
treating moderate-to-severe RA include abatacept, rituximab, and tocilizumab. All
biologic agents carry an increased risk of infections. Additional potential side
effects include infusion and injection site reactions for intravenous and
subcutaneously administered agents, respectively. All patients being considered
for biologic agents should be screened annually for tuberculosis and should
receive pneumococcal, influenza, and hepatitis B vaccinations.
PMID- 22073936
TI - Comparative effectiveness research (CER): a summary of AHRQ's CER on therapies
for rheumatoid arthritis.
AB - BACKGROUND: In recent years, the U.S. government has designated funding of
several large-scale initiatives for comparative effectiveness research (CER) in
health care. The American Recovery and Reinvestment Act (ARRA) of 2009
apportioned more than $1 billion to support CER programs administered by the
Department of Health and Human Services (DHHS), the National Institutes of Health
(NIH), and the Agency for Healthcare Research and Quality (AHRQ). CER is
generally defined as the undertaking of original research or systematic reviews
of published literature in order to compare the benefits and risks of different
approaches to preventing, diagnosing, or treating diseases. These approaches may
include diagnostic tests, medications, medical devices, and surgeries. The
overall goals of CER are to support informed health care decisions by patients,
clinicians, payers, and policy makers and to apply its evidence to ultimately
improve the quality, effectiveness, and efficiency of health care. OBJECTIVES: To
(a) provide managed care professionals with general definitions of CER,
specifically as it is administered by AHRQ; (b) discuss the importance of CER to
clinical and managed care pharmacists; and (c) summarize key methods and findings
from AHRQ's 2007 comparative effectiveness review on therapies for rheumatoid
arthritis (RA). SUMMARY: As supported by AHRQ, CER is conducted in order to
synthesize comprehensive evidence on the comparative benefits and harms of
treatment interventions. The findings from comparative effectiveness reviews can
thus contribute to informing therapeutic strategies and treatment decisions. In
2007, a multitude of RA treatment options and studies motivated AHRQ to
commission a systematic comparative effectiveness review. Conducted by
investigators at the RTI-University of North Carolina Evidence-Based Practice
Center, the review included comparisons of synthetic disease-modifying
antirheumatic drugs (DMARDs), biologic agents, synthetic DMARDs versus biologic
agents, and various combination therapies. Head-to-head comparisons of synthetic
DMARDs generally revealed no significant differences in long-term clinical and
radiographic outcomes, or in functional capacity or health-related quality of
life. Two nonrandomized prospective cohort studies and 1 open-label effectiveness
trial reported no differences in ACR20 and ACR50 response rates in patients
treated with the tissue necrosis factor (TNF)-alpha inhibitors etanercept and
infliximab. Comparisons of TNF-alpha inhibitors generally indicated no
significant differences in rates of adverse events, including serious infections,
and no increases in rates over time. In comparisons of a biologic agent combined
with methotrexate versus a biologic agent alone, combination therapies were
generally associated with better clinical response rates and better outcomes of
functional capacity and quality of life. The most common adverse events observed
in studies on biologic agents were diarrhea, headache, nausea, rhinitis,
injection site reactions, and upper respiratory tract infections.
PMID- 22073937
TI - Potential advantages of interprofessional care in rheumatoid arthritis.
AB - BACKGROUND: Rheumatoid arthritis (RA) affects over 1 million people in the United
States. Although the emergence of new medications has substantially improved
treatment options and outcomes for patients with RA, the disease is still a major
cause of morbidity and mortality. In addition, significant barriers to adherence
characterize RA medication management. A reasonable approach to improving RA
patient outcomes entails interprofessional, multidisciplinary models of care.
Working with rheumatology specialists, RA multidisciplinary care teams may
comprise case managers, pharmacists, physical and occupational therapists, social
workers, physiatrists, orthopedists, or other health professionals. Experience
and evidence have supported the value of interprofessional, coordinated care
models for patients with various chronic diseases. However, potential drawbacks
include the costs associated with implementation of such approaches, the extra
time required for their administration, and the lack of incentives for clinicians
to adopt collaborative care approaches. OBJECTIVES: To summarize the arguments
and evidence for interprofessional, multidisciplinary care programs in RA.
SUMMARY: Various multidisciplinary models of RA care have been described in the
literature. Whereas the case for implementing such models is underscored by the
chronic nature of the disease, by its comorbidities and complications, and by
barriers to patient medication adherence, cost-effectiveness analyses to document
benefits of coordinated interprofessional RA care are lacking. Most studies on
interprofessional care in RA are relatively old and have been conducted outside
of the United States. Nonetheless, the findings are still relevant and may shed
light on potential avenues for the development of new models in this country.
PMID- 22073939
TI - Minimally important clinical difference of the Timed 25-Foot Walk Test: results
from a randomized controlled trial in patients with multiple sclerosis.
AB - BACKGROUND: Limited data define what constitutes a clinically significant change
on the Timed 25-Foot Walk (T25FW) in multiple sclerosis (MS); however, most
studies suggest a value of >=20%. Analyses were undertaken to estimate the
minimally important clinical difference (MICD) in walking speed as measured by
the T25FW in patients with MS. METHODS: Data from MS-F203, a randomized trial of
dalfampridine extended release tablets, 10 mg twice daily (prolonged
release/sustained-release fampridine outside the US) in patients with MS, were
used to calculate the MICD, as an absolute and percentage value, for the T25FW
test. Both anchor- (using the Clinician Global Impression [CGI]) and distribution
based (2.77 * standard error of measurement or 0.50 standard deviation units)
approaches were used. Using the anchor-based estimations, the proportion of
patients in the dalfampridine and placebo groups achieving at least a MICD in MS
F203 was determined. RESULTS: A correlation between change in T25FW speed during
and CGI at the end of double-blind period was found (Spearman r = -0.39, p <
0.0001). Irrespective of treatment group, participants categorized 'minimally
improved' by the CGI had a mean improvement in T25FW speed of 0.36 feet/second or
a 17.2% relative change from an average baseline walking speed of 2.1 feet/second
(effect size = 0.49); values representing MICDs. MICD estimates of 0.35 and 0.37
feet/second were generated using distribution-based approaches. In MS-F203, a
greater proportion of patients receiving dalfampridine achieved >=0.36
feet/second (12/72 vs. 78/224, p = 0.007) and a 17.2% (11/72 vs. 87/224, p =
0.0005) improvement in T25FW speed compared to placebo. LIMITATIONS: MICD
estimates from this analysis may not apply to patients with different disease
characteristics from MS-F203. A different anchor may result in a different MICD
estimation. CONCLUSION: Our MICD estimate for an improvement in T25FW is close to
previous estimates of 20% change. Dalfampridine may improve walking speed in a
considerable proportion of patients by a clinically relevant amount.
PMID- 22073938
TI - Shear bond strength between Ni-Cr alloy bonded to a ceramic substrate.
AB - INTRODUCTION: The aim of this study was to evaluate the shear bond strength
between a Ni-Cr alloy and a ceramic system submitted or not to thermocycling.
MATERIALS AND METHODS: Forty-eight cylinder blocks of Ni-Cr with 3.0 mm diameter
by 4.0 mm hight and 48 disc-shaped specimens (7.0 mm in diameter by 2.0 mm thick)
composed of ceramic were prepared. The Ni-Cr cylinder blocks were randomised in
two groups of 24 specimens each. One group was submitted to air-particle abrasion
(sandblasting) with 50 MUm Al(2)O(3) (0.4-0.7 MPa) during 20 s, and the other
group was submitted to mechanical retentions with carbide burrs. Each group was
subdivided into other two groups (n = 12), submitted or not to thermocycling (500
cycles, 5-55 degrees C). The cylinder blocks were bonded to the disc-shaped
ceramic specimens under 10 N of load. The shear bond strengths (MPa) were
measured using a universal testing machine at a cross head speed of 0.5 mm/min
and 200 kgf of load. The data were submitted to statistical analysis (anova and
Tukey's test). RESULTS: The air-particle abrasion group exhibited significantly
higher shear bond strength when compared to drilled group (p < 0.05).
CONCLUSIONS: Thermocycling decreased significantly the bond strengths for all
groups tested.
PMID- 22073940
TI - Web-browser encryption of personal health information.
AB - BACKGROUND: Electronic health records provide access to an unprecedented amount
of clinical data for research that can accelerate the development of effective
medical practices. However it is important to protect patient confidentiality, as
many medical conditions are stigmatized and disclosure could result in personal
and/or financial loss. RESULTS: We describe a system for remote data entry that
allows the data that would identify the patient to be encrypted in the web
browser of the person entering the data. These data cannot be decrypted on the
server by the staff at the data center but can be decrypted by the person
entering the data or their delegate. We developed this system to solve a problem
that arose in the context of clinical research, but it is applicable in a range
of situations where sensitive information is stored and updated in a database and
it is necessary to ensure that it cannot be viewed by any except those
intentionally given access. CONCLUSION: By developing this system, we are able to
centralize the collection of some patient data while minimizing the risk that
protected health information be made available to study personnel who are not
authorized to use it.
PMID- 22073942
TI - Regions identity between the genome of vertebrates and non-retroviral families of
insect viruses.
AB - BACKGROUND: The scope of our understanding of the evolutionary history between
viruses and animals is limited. The fact that the recent availability of many
complete insect virus genomes and vertebrate genomes as well as the ability to
screen these sequences makes it possible to gain a new perspective insight into
the evolutionary interaction between insect viruses and vertebrates. This study
is to determine the possibility of existence of sequence identity between the
genomes of insect viruses and vertebrates, attempt to explain this phenomenon in
term of genetic mobile element, and try to investigate the evolutionary
relationship between these short regions of identity among these species.
RESULTS: Some of studied insect viruses contain variable numbers of short regions
of sequence identity to the genomes of vertebrate with nucleotide sequence length
from 28 bp to 124 bp. They are found to locate in multiple sites of the
vertebrate genomes. The ontology of animal genes with identical regions involves
in several processes including chromatin remodeling, regulation of apoptosis,
signaling pathway, nerve system development and some enzyme-like catalysis.
Phylogenetic analysis reveals that at least some short regions of sequence
identity in the genomes of vertebrate are derived the ancestral of insect
viruses. CONCLUSION: Short regions of sequence identity were found in the
vertebrates and insect viruses. These sequences played an important role not only
in the long-term evolution of vertebrates, but also in promotion of insect virus.
This typical win-win strategy may come from natural selection.
PMID- 22073941
TI - Vasovagal reactions in whole blood donors at three REDS-II blood centers in
Brazil.
AB - BACKGROUND: In Brazil little is known about adverse reactions during donation and
the donor characteristics that may be associated with such events. Donors are
offered snacks and fluids before donating and are required to consume a light
meal after donation. For these reasons the frequency of reactions may be
different than those observed in other countries. STUDY DESIGN AND METHODS: A
cross-sectional study was conducted of eligible whole blood donors at three large
blood centers located in Brazil between July 2007 and December 2009. Vasovagal
reactions (VVRs) along with donor demographic and biometric data were collected.
Reactions were defined as any presyncopal or syncopal event during the donation
process. Multivariable logistic regression was performed to identify predictors
of VVRs. RESULTS: Of 724,861 donor presentations, 16,129 (2.2%) VVRs were
recorded. Rates varied substantially between the three centers: 53, 290, and 381
per 10,000 donations in Recife, Sao Paulo, and Belo Horizonte, respectively.
Although the reaction rates varied, the donor characteristics associated with
VVRs were similar (younger age [18-29 years], replacement donors, first-time
donors, low estimated blood volume [EBV]). In multivariable analysis controlling
for differences between the donor populations in each city younger age, first
time donor status, and lower EBV were the factors most associated with reactions.
CONCLUSION: Factors associated with VVRs in other locations are also evident in
Brazil. The difference in VVR rates between the three centers might be due to
different procedures for identifying and reporting the reactions. Potential
interventions to reduce the risk of reactions in Brazil should be considered.
PMID- 22073944
TI - Where to go?
PMID- 22073943
TI - The combination of high-fat diet-induced obesity and chronic ulcerative colitis
reciprocally exacerbates adipose tissue and colon inflammation.
AB - BACKGROUND: This study evaluated the relationship between ulcerative colitis and
obesity, which are both chronic diseases characterized by inflammation and
increases in immune cells and pro-inflammatory cytokines. METHODS: Mice with
chronic ulcerative colitis induced by 2 cycles of dextran sodium sulfate (DSS) in
the first and fourth week of the experiment were fed a high-fat diet (HFD) to
induce obesity by 8 weeks. The animals were divided into 4 ? groups (control,
colitis, HFD and colitis + HFD). RESULTS: Obesity alone did not raise
histopathology scores, but the combination of obesity and colitis worsened the
scores in the colon compared to colitis group. Despite the reduction in weight
gain, there was increased inflammatory infiltrate in both the colon and visceral
adipose tissue of colitis + HFD mice due to increased infiltration of
macrophages, neutrophils and lymphocytes. Intravital microscopy of VAT
microvasculature showed an increase in leukocyte adhesion and rolling and
overexpression of adhesion molecules compared to other groups. Moreover,
circulating lymphocytes, monocytes and neutrophils in the spleen and cecal lymph
nodes were increased in the colitis + HFD group. CONCLUSION: Our results
demonstrated the relationship between ulcerative colitis and obesity as
aggravating factors for each disease, with increased inflammation in the colon
and adipose tissue and systemic alterations observed in the spleen, lymph nodes
and bloodstream.
PMID- 22073946
TI - Survival role of superoxide dismutase 1 on human granulosa luteinized cells in
vitro.
AB - OBJECTIVE: Deleterious effects of free radicals do not only result from the
amount of free radicals produced but also are related to the efficiency and to
the activities of enzymatic antioxidant systems. We investigated the effect of
exogenous superoxide dismutase (SOD1) or Cu-chelating agent
diethyldithiocarbamate (DDC) on the apoptosis (caspase-3 activity) of human
granulosa luteinized cells (hGLC) in vitro. METHODS: The effects of SOD1 and DDC
were studied using in vitro culture system, caspase-3 and the total SOD activity
in hGLCs were measured using AcDEVD-PNA substrate and Beaushap and Fridovich
methods, respectively, after 48 h of the culture period. RESULTS: The activity of
SOD1 was the lowest in GLCs treated with 100 uM DDC as compared to control cells
and to the cells supplemented with Cu, Zn-SOD or DDC (10 uM). The effect of DDC
was associated with elevated caspase-3 activity as compared to control cells.
CONCLUSIONS: It was demonstrated for the first time that the supplementation of
cultured hGLCs with Cu2+, Zn2+-SOD (200 U/ml) maintains the viability of hGLCs
via caspase-3 suppression.
PMID- 22073948
TI - Goiter, cardiovascular and metabolic disorders in patients with acromegaly.
AB - OBJECTIVE: This study evaluated the relationship between selected acromegaly
complications such as IGF-1 serum concentrations at diagnosis as well as of
controlled and uncontrolled disease. METHODS: A total of 113 acromegaly patients
were enrolled to the study and the duration of active and uncontrolled disease
was evaluated as a crucial cause of selected complications. RESULTS: Goiter,
diabetes, hypercholesterolemia, hypertriglycerydemia, hypertension and ischemic
heart disease were diagnosed in 85(75.2 %), 23(20.3 %), 48(51.0 %), 15(13.3 %),
65(57.5 %) and 18(15.9%) patients, respectively. Prevalence of goiter and
diabetes was significantly related to the duration of uncontrolled acromegaly
(p<0.01) as well as to the prevalence of hypertension and ischaemic heart disease
(p0.05). After three years, there was a significant risk of an acromegaly patient
being diagnosed at least with one of the above mentioned diseases (p<0.05) and
such risk became more significant after four years (p0.05) related to the
prevalence of the already mentioned complications. CONCLUSION: The treatment of
acromegaly patients should be geared towards fulfilling all criteria for
controlled disease, thereby alleviating potential complications and decreasing
mortality.
PMID- 22073947
TI - Iodine concentration and signs of apoptosis in the thyroid and pituitary of
female rats after different single doses of potassium iodide.
AB - OBJECTIVE: The aim of this work was to study the content of iodine as well as the
expression of caspase 8 and caspase 32 in the thyroid and anterior pituitary in
rats after a single dose of iodide. METHODS: A total of 49 inbred rat females
weighing 250-300 g at the stage of diestrus and/or metestrus were used.
Pituitaries and thyroids were dissected from 15 control rats and from the groups
of 8 rats each given potassium iodide by gavage in doses of 1, 4, 8 and 25
MUg/100 g at 48 h before sacrifice. In two rats of each group the level of iodine
in thyroids and pituitaries was estimated in terms of weight percent of iodide in
dry tissue (wt % I-2/dry tissue) using the wavelength dispersive spectrometry
(WDS) quantitative analysis. The expression of caspase 8 and caspase 32 in
thyroids and pituitaries in terms of the percentage of positive immunostained
area (% PA) was measured by streptavidin-biotin method using specific polyclonal
antibodies. RESULTS; In the thyroids, iodine concentration increased after 1
MUg/100 g, but decreased after 8 and 25 MUg/100 g, while that in the pituitaries
significantly increased after all doses of iodide with the peak after 8 mg/100 g.
After the same iodide dose also the peak of caspase 32 and caspase 8 appeared in
the pituitary. However, in the thyroid only increased caspase 32 was found
together with a decrease of iodine concentration. CONCLUSION: Several
interrelations between iodine in the thyroid and pituitary were found. In
addition, the signs of apoptosis appeared directly related to the concentration
of iodine in the pituitary, but inversely related to iodine concentration in the
thyroid.
PMID- 22073949
TI - Bone mineral density, pathological fractures and bisphosphonate therapy in
prostate cancer patients on androgen deprivation therapy.
AB - OBJECTIVES: The aim of the study is to evaluate the changes of bone mineral
density (BMD), incidence of pathological fractures and to asses the effect of
bisphosphonate therapy in prostate cancer patients (PCa) on androgen deprivation
therapy (ADT) with the use of LHRH. METHODS: In this prospective study bone mass
density (BMD) was assessed by dual x-ray absorptiometry (DXA) in 97 PCa patients
and 89 patients of compared group. DXA was examined at baseline and patients in
the study group were subjected to ADT. PCa patients with osteoporosis were
treated by calcium, vitamin D, and bisphosphonate and the subsequent DXA was made
after 10 months. All other PCa patients (non-osteoporotic) had DXA examined every
12-14 months. RESULTS: Patients of the study group had significantly lower
baseline L1-L4 and total hip BMD (p=0.028, p=0.022). BMD was significantly lower
in L1-L4 and total hip (p=0.004, p<0.001, resp.) after 10-14 months and in L1-L4,
femoral neck, and total hip (p=0.001, p=0.037, p< 0.001, resp.) after 20-26
months of ADT. After the treatment for osteoporosis with bisphosphonate a
significant increase of BMD (p=0.04) was found in a total of 23 patients.
Overall, the incidence of fractures after 20-26 months of ADT was 8.5 %.
CONCLUSIONS: Osteopenia is very common in hormone naive PCa patients. There was a
significant loss of BMD after 12 months of ADT which was progressive while the
patients were on ADT. Bisphosphonate therapy was effective after 20 months of
treatment. The incidence of pathological fractures was 7-fold higher in the study
group.
PMID- 22073950
TI - Mixed gonadal dysgenesis: whole life follow-up of a rare case.
AB - There are two forms of gonadal dysgenesis - mixed and pure. In the mixed form,
some differentiated gonads as well as some either ovarian or testicular rudiments
are present. This form results in a number of phenotypes with a possibility of
malignant transformation. In the pure form occurring in female gender, also some
rudimental gonads are bilaterally present. In the case of simultaneous presence
of Y chromosome, also some malignant transformation may appear (Siklar et al.
2007). Chromosomal aberrations are present in 2-7 % adult pairs with fertility
disorders and in 0.6 % of newborns. However, only few cases with similar
chromosomal aberrations were described so far (Roubin et al. 1977; Alexander et
al. 1978; Teyssier et al. 1982; Caglayan et al. 2009). Mixed gonadal dysgenesis
presents as a unilateral testis, usually intraabdominal, also with a streak gonad
on contralateral side, and persistent mullerian structures. 45X/45XY karyotype is
the most frequent in such cases with predominance of 45X cells in both peripheral
lymphocytes and gonads. We present a rare case of a left undescended testis,
normally descended right testis, with penoscrotal hypospadias, who had a normal
karyotype and whose histopathological findings were endometrial tissue and
fallopian tube in left testicular biopsy. Gonadal dysgenesis should always be
kept in mind because of a possibility of undescended testis and proximal
hypospadias. If karyotype reveals a 46XY gonadal dysgenesis, these patients need
the careful follow-up to screen for gonadoblastoma in remaining normal testis.
Subjecting the patients to prophylactic orchidectomy with hormone replacement can
be an additional option in such patients.
PMID- 22073951
TI - Pathogenesis of Graves' disease focusing on Graves' ophthalmopathy.
PMID- 22073952
TI - The effect of income on fertility.
AB - Abstract Cross-sectional data and long-period time series data have generally
shown an inverse relationship between income and fertility. But short-period time
series data over the business cycle have shown a direct relationship. The first
part of this paper resolves this apparent paradox by showing that it arises from
a statistical illusion-specification bias due to omitted lagged variables. The
second part of the paper then considers the likely unconditional effect of income
on fertility in several sorts of situations: (a) secular income increase in less
developed countries; (b) cyclical income change in industrialized countries; (c)
secular income increase in industrialized countries; and (d) incentive payments
for higher and lower fertility.
PMID- 22073953
TI - The role of sterilization in controlling Puerto Rican fertility.
AB - Abstract This paper provides evidence that the prevalence of female
sterilization in Puerto Rica has increased substantially in recent years. It is
estimated that about one-third of all Puerto Rican mothers aged 20 to 49 in 1965
were sterilized. This estimate is based on data from an island-wide survey in
1965 (Master Sample Survey of Health and Welfare). Additional data from this and
other sources are analysed, leading to the conclusion that sterilization, rather
than any other means of fertility control, was largely responsible for the
decline in Puerto Rican fertility since 1950.
PMID- 22073954
TI - Rural-urban fertility differentials in Western Nigeria.
AB - Abstract The paper attempts to glean some information on differential fertility
from data obtained in a survey of selected urban and rural communities in Western
Nigeria. The results show that the attitudes of rural women are far more
favourable to high fertility than those of urban women, though for both groups
the modal number of children preferred is five or six. The analysis also yields a
total fertility of nearly six and an average family size of about five for both
groups. No conclusive evidence of rural-urban fertility differentials has,
however, been found. All that can be said on the basis of the available data is
that the level of fertility in Western Nigeria is currently very high and that
urban fertility is probably as high as rural fertility, though the probability of
much larger errors in the rural than in the urban data may imply somewhat higher
rural fertility.
PMID- 22073955
TI - Sample registration in India.
AB - Abstract The Sample Registration Project in India is designed to obtain current
estimates of birth and death rates for the whole country. It is being implemented
quite rapidly. Rural and urban areas in all states and Union Territories in India
will be covered before the close of 1969. Bigger states have 150 sample units in
rural areas and 60 to 100 units in urban areas. Essential elements of the
project for each unit are: (1) continuous enumeration of births and deaths in
respect of usual resident population by a paid part-time local enumerator; (2) a
six-month household survey to detect births and deaths which occurred to the
usual resident population during the previous six months; and (3) manual matching
of all event from enumeration and surveys and field re-check of unmatched events
to obtain the 'best' count of real number of events. The results of a full
scale sample in four states and pilot sample in ten states indicate that the
crude birth and death rates are around 40 and 18 per 1,000, respectively, for
India's rural population. Most of the problems of implementation are
operational or administrative rather than statistical. The main problem in the
whole project is to maintain control of field operations well enough at each
stage to ensure that prescribed instructions and methodology are being followed;
particularly in the six-month survey. Experience in India indicates that sample
registration techniques are capable of providing reliable birth and death rates
in similar conditions in developing countries. However, there are still a number
of methodological problems which must be tested as the sample registration
evolves.
PMID- 22073956
TI - Some results from asian population growth studies.
AB - Abstract Coverage estimates of births and deaths derived from the matching
experience of a relatively comprehensive group of studies conducted in Asia and
employing dual collection systems are resented and analysed. Major conclusions
based on these Asian studies include: (1) coverage of live births has generally
been more complete than that for deaths; (2) nevertheless, unadjusted rates of
natural increase tend to be underestimates; (3) there is great variability within
each type of collection system in the coverage rates observed; and (4) one-time
household surveys, as a group, seem to be particularly subject to underreporting
of vital events.
PMID- 22073957
TI - A study of cohort life cycles: Cohorts of native born Massachusetts women, 1830
1920.
AB - Abstract This paper expands the conceptual apparatus offamily life cycle
analysis and illustrates its usefulness by applying it to a population. There is
a normatively sanctioned life cycle that a female born into American society is
expected to follow as she moves from birth to death: she is expected to survive
through childhood, marry, bear and rear children, and survive jointly with her
husband until her children leave the home. Paul Glick, in several articles, has
calculated mean ages at which these various events are experienced. The life
cycle analysis proposed here, however, focuses on the distribution of women
according to type of life cycle experienced. Starting with a cohort of 100,000
females, six alternative life cycle possibilities are differentiated and the
number who follow each of the types is calculated. The six types are: (1)
abbreviated, the female dies before she is exposed to the risk of marriage; (2)
spinster, the woman is exposed to the risk of marriage but does not marry; (3)
barren, the woman marries but remains childless; (4) dying mother, the woman has
children but dies before the last one leaves home; (5) widowed mother, the woman
has children and survives until they leave home, but her husband dies before that
event; and (6) typical, the woman marries, has children, and survives jointly
with her husband until the last one leaves home. Applying this approach to
several cohorts of native-born Massachusetts women born at different times some
striking changes appear. For example, the number of women from a birth cohort of
100,000 who follow the typical life cycle increases from 21,000 for the cohort
born in 1830 to 57,000 for the cohort born in 1920. The demographic, social and
economic implications of a change of this magnitude are of considerable
consequence.
PMID- 22073958
TI - Pregnancy outcome and the time required for next conception.
AB - Abstract Variations in the time required for next conception by outcome ofthe
preceding pregnancy, and the age of woman, are studied for Taiwanese women.
Pregnancy interval, defined as the period between the end of one pregnancy and
the beginning of the next, is taken as a measure of the time required for the
next conception. The averages and variances of pregnancy intervals are estimated
from reports of pregnancies occurring in a probability sample of 2,443 married
women, aged 20-39, living in Taichung (Taiwan) in 1962. The effects of
'truncation bias' and 'memory bias' on the two moments of pregnancy intervals are
estimated indirectly by cross-classifying women according to their ages at
interview and their ages at the beginning (or end) of each pregnancy interval.
The moments of post-partum amenorrhoea are, then, estimated indirectly from the
moments of pregnancy intervals. The effects of truncation bias and memory bias
are compensatory in this sample. In the absence of contraception, women, on an
average, took longer to conceive following a live birth than following a foetal
death. The time taken for next conception increases as women become older
irrespective of the outcome of the preceding pregnancy.
PMID- 22073959
TI - Probability of marriage and fertility of women suffering from schizophrenia or
affective disorders.
AB - Abstract Schizophrenia and affective disorders are the main forms of severe
mental illness among women of childbearing age; earlier this century European and
American studies showed that the fertility of these patients was reduced. Since
the second world war the development of community-orientated psychiatry appears
to have resulted in a lessening of differentials between patients and normal
women. The present study was designed to estimate probability of marriage and
fertility of such patients in London followingthese developments in psychiatry.
Over 1,000women aged 16-50 were selected from 1955-63 admissions to a London
hospital, and data were collected from medical records. Owing to the demographic
heterogeneity of the sample, the analysis of maximum discriminative power was to
compare each patient with a normal woman of corresponding age, observed during
identical calendar periods, as derived from Registrar General's estimates. The
fertility of each patient was compared with that of a normal woman, controlled
for age at and duration of marriage, and an assessment was made of the effect of
hospital stay on fertility. Before admission, probability of marriage of
schizophrenics was just under three-quarters of that of normal women, and after
admission this was greatly reduced to just over one-third of normal; women with
affective disorders were very similar to normal women as regards probability of
marriage, and also fertility. The fertility of schizophrenics was reduced both
before and after admission, and much of the latter reduction depended on the
effect of hospital stay; however, this fertility differential was too small to be
clinically or socially important. The relevance of these results to the
population genetics of schizophrenia is noted. Affective disorders are
primarily disorders of mood, usually involving a depression, but in a smaller
group of patients periods of excitement or elation involving marked overactivity
of thought and behaviour. The patient may return to normal between these periods
of illness, and although delusions and hallucinations sometimes occur they are
usually less prominent than in schizophrenia. Affective disorders generally
become evident later in life than schizophrenia, and they often require shorter
periods of in-patient treatment, and the medical prognosis is often more hopeful.
The expectation of affective psychoses (the most severe forms of the disorder)
for a member of the general population varies between 0.3 and 1% from country to
country. Milder conditions have also been included in this study, and the
expectation of all these affective disorders is likely to be much higher.
PMID- 22073960
TI - The risk of conception on different days of the menstrual cycle.
AB - Abstract Data on 1898 menstrual cycles, for 241 married women, were analysed by
means of a quantal regression programme. The locations of the day of ovulation
was determined by the basal body temperature method. Estimates were obtained of
the risk of conception from an act of coitus on each day, as measured from the
day of ovulation. The relationship between fecundability and coital frequency was
also examined.
PMID- 22073961
TI - A growth model with population as an endogenous variable.
AB - Abstract This paper presents a recursive model of economic growth in which
particular attention is paid to the demographic assumptions and their effects on
the growth of income per head. The labour inputs depend both on the growth of the
labour force and on the effectiveness of labour supplied, which in turn depends
on the level of consumption per head, given the stock of capital and natural
resources. Population growth is a function of two types of birth control
programme; one is the subsidized supply of birth control instruments and the
other is a bonus scheme which pays people not to have children. In examining the
solution of the model, emphasis is placed on the sensitivity of the growth rate
to variations in the allocation of the state's budget among various growth and
non-growth inducing expenditures, including birth control. A numerical
simulation, based on South Asian data, indicates the kind of calculation that can
be made in this type offully recursive model.
PMID- 22073964
TI - Anti-tumour activity of 4-(4-fluorophenyl)amino-5,6,7-trimethoxyquinazoline
against tumour cells in vitro.
AB - In order to create novel, potent and selective anti-cancer agents, the action of
4-(4-fluorophenyl)amino-5,6,7-trimethoxyquinazoline (compound 1018) on 10
different kinds of tumour cells were assayed by MTT [3-(4,5-dimethylthiazol-2-yl)
2,5-diphenyl-2H-tetrazolium bromide]. It possesses a broad spectrum of anti
cancer activity. The mechanism of action of 4-(4-fluorophenyl)amino-5,6,7
trimethoxyquinazoline (hereafter referred to as compound 1018) against tumour
cells was studied in androgen-independent prostate cancer PC-3 cells by
microscopic observation, LDH (lactate dehydrogenase) release assay and Western
blotting. Its activity was dose-dependent, with an IC50 of 13.0+/-1.4 MUM after
72 h treatment. Microscopy and LDH release assay indicated that the effect was
through anti-proliferation rather than cytotoxicity. Western blot analysis also
showed that treatment of cells with 50 MUM compound 1018 for 30 min almost
completely inhibited EGF (epidermal growth factor)-induced phosphorylation of
ERK1/2 (extracellular-signal-regulated kinase 1/2), which suggests that its anti
proliferative effect is largely associated due to ERK1/2 activation being
inhibited. Thus compound 1018 is a potential anti-cancer agent.
PMID- 22073966
TI - Simulating anisotropic droplet shapes on chemically striped patterned surfaces.
AB - The equilibrium shape of droplets on surfaces, functionalized with stripes of
alternating wettability, have been investigated using simulations employing a
finite element method. Experiments show that a droplet deposited on a surface
with relatively narrow hydrophobic stripes compared to the hydrophilic stripes
adopts a strongly elongated shape. The aspect ratio, the length of the droplet
divided by the width, decreases toward unity when a droplet is deposited on a
surface with relatively narrow hydrophilic stripes. The aspect ratio and the
contact angle parallel to the stripes show unique scaling behavior as a function
of the ratio between the widths of the hydrophobic and hydrophilic stripes. For a
small ratio, the contact angle parallel to the stripes is low and the aspect
ratio high, while for a large ratio, the contact angle parallel is high and the
aspect ratio low. The simulations exhibit similar scaling behavior, both for the
aspect ratio of the droplets and for the contact angles in the direction parallel
to the stripes. Two liquids with different surface tensions have been
investigated both experimentally and in simulations; similarities and differences
between the findings are discussed. Generally, three parameters are needed to
describe the droplet geometry: (i) the equilibrium contact angles on the
hydrophilic and (ii) hydrophobic areas and (iii) the ratio of the widths of these
chemically defined stripes. Furthermore, we derive a simple analytical expression
that proves to be a good approximation in the quantitative description of the
droplet aspect ratio.
PMID- 22073965
TI - Base-mediated stereospecific synthesis of aryloxy and amino substituted ethyl
acrylates.
AB - The stereospecific synthesis of aryloxy and amino substituted E- and Z-ethyl-3
acrylates is of interest because of their potential in the polymer industry and
in medicinal chemistry. During work on a copper-catalyzed cross-coupling reaction
of ethyl (E)- and (Z)-3-iodoacrylates with phenols and N-heterocycles, we
discovered a very simple (nonmetallic) method for the stereospecific synthesis of
aryloxy and amino substituted acrylates. To study this long-standing problem on
the stereoselectivity of aryloxy and amino substituted acrylates, a series of O-
and N-substituted nucleophiles was allowed to react with ethyl (E)- and (Z)-3
iodoacrylates. Screening of different bases indicated that DABCO (1,4
diazabicyclo[2.2.2]octane) afforded successful conversion of ethyl (E)- and (Z)-3
iodoacrylates into aryloxy and amino substituted ethyl acrylates in a
stereospecific manner. Herein are the details of this DABCO-mediated
stereospecific synthesis of aryloxy and amino substituted E- or Z-acrylates.
PMID- 22073967
TI - Corn mint (Mentha arvensis) extract diminishes acute Chlamydia pneumoniae
infection in vitro and in vivo.
AB - Corn mint ( Mentha arvensis ) provides a good source of natural phenols such as
flavone glycosides and caffeic acid derivatives, which may have prophylactic
properties against inflammations. This study investigated whether corn mint
extract would be beneficial against a universal respiratory tract pathogen,
Chlamydia pneumoniae , infection. The extract inhibited the growth of C.
pneumoniae CWL-029 in vitro in a dose-dependent manner. The inhibition was
confirmed against a clinical isolate K7. The phenolic composition of the extract
was analyzed by UPLC-ESI/Q-TOF/MS, the main components being linarin and
rosmarinic acid. These compounds were active in vitro against C. pneumoniae.
Linarin completely inhibited the growth at 100 MUM. Inbred C57BL/6J mice were
inoculated with C. pneumoniae K7. M. arvensis extract was given intraperitoneally
once daily for 3 days prior to inoculation and continued for 10 days
postinfection. The extract was able to diminish the inflammatory parameters
related to C. pneumoniae infection and significantly (p = 0.019) lowered the
number of C. pneumoniae genome equivalents detected by PCR at biologically
relevant amounts.
PMID- 22073968
TI - The paradigm of personalized therapy in oncology.
AB - INTRODUCTION: Currently, anticancer therapy is mainly based on histology and on
giving the same treatment to presumed homogeneous patients. The switch from
histology-driven therapy to molecular clinical oncology is correlated with a
better understanding of the 'molecular taxonomy' of each tumor that can provide
us with targets for specific drugs. Cancer therapy is moving irreversibly towards
personalized therapy that benefits selected patients. Once the potential
therapeutic targets are identified, the availability of predictive biomarkers is
the key element and their prospective evaluation should be a parallel component
of the clinical evaluation of a new drug. AREAS COVERED: The state of the art in
clinical results of personalized therapy. The authors discuss the finding that,
in patients with advanced disease, a limited number of targeted agents improve
overall survival, whilst the majority only have an effect on response rate and/or
time to tumor progression, with efficacy limited in time due to acquired
resistance. EXPERT OPINION: The mechanisms leading to resistance are related to
tumor cell heterogeneity and in part explained by the cancer stem cell model and
genetic instability. The steps toward the optimization of tailored therapy need
validated predictive biomarkers, pharmacogenetics analysis and a close
collaboration between bench and bedside.
PMID- 22073969
TI - Longitudinal zipping/unzipping of self-assembled organic tubes.
AB - Stimuli-responsive organic tubes are an attractive supramolecular assembly which
has potential applications as a controlled release vehicle. We synthesize a smart
organic tube by the coassembly of lithocholic acid (LCA) and taurolithocholic
acid (TLCA) in aqueous solution. The coassembled LCA/TLCA tubes can be
longitudinally unzipped into flat sheets by capillary force after being
dehydrated on substrates. Consequently, the encapsulated guest molecules are
released from the unzipping tubes. After the release of guest molecules, the flat
sheets can be zipped back into hollow tubes upon hydration with aqueous solution.
The zipping/unzipping LCA/TLCA tubes provide a new type of delivery vehicles,
which may have potential for surface decontaminations.
PMID- 22073970
TI - Oxazine dye-conjugated dna oligonucleotides: Forster resonance energy transfer in
view of molecular dye-DNA interactions.
AB - In this work, the photophysical properties of two oxazine dyes (ATTO 610 and ATTO
680) covalently attached via a C6-amino linker to the 5'-end of short single
stranded as well as double-stranded DNA (ssDNA and dsDNA, respectively) of
different lengths were investigated. The two oxazine dyes were chosen because of
the excellent spectral overlap, the high extinction coefficients, and the high
fluorescence quantum yield of ATTO 610, making them an attractive Forster
resonance energy transfer (FRET) pair for bioanalytical applications in the far
red spectral range. To identify possible molecular dye-DNA interactions that
cause photophysical alterations, we performed a detailed spectroscopic study,
including time-resolved fluorescence anisotropy and fluorescence correlation
spectroscopy measurements. As an effect of the DNA conjugation, the absorption
and fluorescence maxima of both dyes were bathochromically shifted and the
fluorescence decay times were increased. Moreover, the absorption of conjugated
ATTO 610 was spectrally broadened, and a dual fluorescence emission was observed.
Steric interactions with ssDNA as well as dsDNA were found for both dyes. The dye
DNA interactions were strengthened from ssDNA to dsDNA conjugates, pointing
toward interactions with specific dsDNA domains (such as the top of the double
helix). Although these interactions partially blocked the dye-linker rotation, a
free (unhindered) rotational mobility of at least one dye facilitated the
appropriate alignment of the transition dipole moments in doubly labeled ATTO
610/ATTO 680-dsDNA conjugates for the performance of successful FRET. Considering
the high linker flexibility for the determination of the donor-acceptor
distances, good accordance between theoretical and experimental FRET parameters
was obtained. The considerably large Forster distance of ~7 nm recommends the
application of this FRET pair not only for the detection of binding reactions
between nucleic acids in living cells but also for monitoring interactions of
larger biomolecules such as proteins.
PMID- 22073972
TI - Reviews on animal diseases recently published in other journals.
PMID- 22073971
TI - Erratum concerning the publication:
PMID- 22073974
TI - Mindfulness and psychologic well-being: are they related to type of meditation
technique practiced?
PMID- 22073975
TI - Homeopathic potentization based on nanoscale domains.
AB - OBJECTIVES: The objectives of this study were to present a simple descriptive and
quantitative model of how high potencies in homeopathy arise. DESIGN: The model
begins with the mechanochemical production of hydrogen and hydroxyl radicals from
water and the electronic stabilization of the resulting nanodomains of water
molecules. The life of these domains is initially limited to a few days, but may
extend to years when the electromagnetic characteristic of a homeopathic agent is
copied onto the domains. This information is transferred between the original
agent and the nanodomains, and also between previously imprinted nanodomains and
new ones. The differential equations previously used to describe these processes
are replaced here by exponential expressions, corresponding to simplified model
mechanisms. Magnetic stabilization is also involved, since these long-lived
domains apparently require the presence of the geomagnetic field. Our model
incorporates this factor in the formation of the long-lived compound. RESULTS:
Numerical simulation and graphs show that the potentization mechanism can be
described quantitatively by a very simplified mechanism. The omitted factors
affect only the fine structure of the kinetics. Measurements of pH changes upon
absorption of different electromagnetic frequencies indicate that about 400
nanodomains polymerize to form one cooperating unit. Singlet excited states of
some compounds lead to dramatic changes in their hydrogen ion dissociation
constant, explaining this pH effect and suggesting that homeopathic information
is imprinted as higher singlet excited states. CONCLUSIONS: A simple description
is provided of the process of potentization in homeopathic dilutions. With the
exception of minor details, this simple model replicates the results previously
obtained from a more complex model. While excited states are short lived in
isolated molecules, they become long lived in nanodomains that form coherent
cooperative aggregates controlled by the geomagnetic field. These domains either
slowly emit biophotons or perform specific biochemical work at their target.
PMID- 22073976
TI - Universal and confident phosphorylation site localization using phosphoRS.
AB - An algorithm for the assignment of phosphorylation sites in peptides is
described. The program uses tandem mass spectrometry data in conjunction with the
respective peptide sequences to calculate site probabilities for all potential
phosphorylation sites. Tandem mass spectra from synthetic phosphopeptides were
used for optimization of the scoring parameters employing all commonly used
fragmentation techniques. Calculation of probabilities was adapted to the
different fragmentation methods and to the maximum mass deviation of the
analysis. The software includes a novel approach to peak extraction, required for
matching experimental data to the theoretical values of all isoforms, by defining
individual peak depths for the different regions of the tandem mass spectrum.
Mixtures of synthetic phosphopeptides were used to validate the program by
calculation of its false localization rate versus site probability cutoff
characteristic. Notably, the empirical obtained precision was higher than
indicated by the applied probability cutoff. In addition, the performance of the
algorithm was compared to existing approaches to site localization such as
Ascore. In order to assess the practical applicability of the algorithm to large
data sets, phosphopeptides from a biological sample were analyzed, localizing
more than 3000 nonredundant phosphorylation sites. Finally, the results obtained
for the different fragmentation methods and localization tools were compared and
discussed.
PMID- 22073977
TI - Guest binding dynamics with cucurbit[7]uril in the presence of cations.
AB - The binding dynamics of R-(+)-2-naphthyl-1-ethylammonium cation (NpH(+)) with
cucurbit[7]uril (CB[7]) was investigated. Competitive binding with Na(+) or
H(3)O(+) cations enabled the reaction to be slowed down sufficiently for the
kinetics to be studied by fluorescence stopped-flow experiments. The binding of
two Na(+) cations to CB[7], i.e., CB[7].Na(+) (K(01) = 130 +/- 10 M(-1)) and
Na(+).CB[7].Na(+) (K(02) = 21 +/- 2 M(-1)), was derived from the analysis of
binding isotherms and the kinetic studies. NpH(+) binds only to free CB[7] ((1.06
+/- 0.05) * 10(7) M(-1)), and the association rate constant of (6.3 +/- 0.3) *
10(8) M(-1) s(-1) is 1 order of magnitude lower than that for a diffusion
controlled process and much higher than the association rate constant previously
determined for other CB[n] systems. The high equilibrium constant for the
NpH(+)@CB[7] complex is a consequence of the slow dissociation rate constant of
55 s(-1). The kinetics results showed that formation of a complex between a
positively charged guest with CB[n] can occur at a rate close to the diffusion
controlled limit with no detection of a stable exclusion complex.
PMID- 22073978
TI - The application of a violence risk assessment tool among Chinese psychiatric
service users: a preliminary study.
AB - The Violence Risk Screening-10 (V-RISK-10) is one of the few instruments
available for evaluating violence risk among general psychiatric service users.
This naturalistic prospective study involved 376 inpatients in a general
psychiatric hospital in Beijing and intended to determine whether this brief
instrument could be applied to a sample of Chinese consumers and whether its
predictive properties could be retained. Risk assessment at admission was
compared to the record of aggression and violence during the first month of
hospitalization. During the research period, 108 of the 376 consumers caused 265
incidences of aggression. Receiver operating characteristics for the V-RISK-10
Chinese version yielded an area under the curve of 0.63. Its
sensitivity/specificity was 0.80/0.38 and the corresponding positive/negative
predictive value was 0.34/0.82. Intraclass correlation coefficient for the whole
instrument was 0.89. Compared to the results of the original V-RISK-10, its
predictive accuracy was lower. However, with some modification, the V-RISK-10
still shows promise as an instrument for use in daily practice in Chinese
clinical settings.
PMID- 22073979
TI - Visual gravitational vertical perception in peripheral vestibular hypofunction.
AB - CONCLUSIONS: Rise time in the estimation of the gravitational vertical in the
head tilt response (HTR) test is increased in patients with peripheral vestibular
lesions and residual chronic dizziness. OBJECTIVE: Assessment of the perception
of the gravitational vertical in patients with peripheral vestibular lesions
through the HTR. METHODS: HTR was studied in 12 patients with peripheral
vestibular lesion, 8 clinically with chronic dizziness and 4 without it; 23
normal subjects were studied as control group. Two parameters of the HTR were
assessed, rise time and steady-state error to characterize a dynamical system
step response. The Kolmogorov-Smirnov test (alpha = 5%) was used to verify normal
distribution (steady-state error, p = 0.53; rise time, p = 0.88). The three sigma
ellipse was calculated for the control group. ROC curves were used to measure the
sensitivity and specificity of these parameters. RESULTS: Rise time showed
increased values in peripheral vestibular lesion patients with chronic dizziness.
Two-dimensional analysis (rise time vs steady-state error) allows a better
discrimination between patients with peripheral vestibular hypofunction with
chronic dizziness and the rest of the studied population.
PMID- 22073980
TI - Derivation of the Theis (1935) equation by substitution.
PMID- 22073981
TI - Effectiveness of classroom based crew resource management training in the
intensive care unit: study design of a controlled trial.
AB - BACKGROUND: Crew resource management (CRM) has the potential to enhance patient
safety in intensive care units (ICU) by improving the use of non-technical
skills. However, CRM evaluation studies in health care are inconclusive with
regard to the effect of this training on behaviour and organizational outcomes,
due to weak study designs and the scarce use of direct observations. Therefore,
the aim of this study is to determine the effectiveness and cost-effectiveness of
CRM training on attitude, behaviour and organization after one year, using a
multi-method approach and matched control units. The purpose of the present
article is to describe the study protocol and the underlying choices of this
evaluation study of CRM in the ICU in detail. METHODS/DESIGN: Six ICUs
participated in a paired controlled trial, with one pre-test and two post test
measurements (respectively three months and one year after the training). Three
ICUs were trained and compared to matched control ICUs. The 2-day classroom-based
training was delivered to multidisciplinary groups. Typical CRM topics on the
individual, team and organizational level were discussed, such as situational
awareness, leadership and communication. All levels of Kirkpatrick's evaluation
framework (reaction, learning, behaviour and organisation) were assessed using
questionnaires, direct observations, interviews and routine ICU administration
data. DISCUSSION: It is expected that the CRM training acts as a generic
intervention that stimulates specific interventions. Besides effectiveness and
cost-effectiveness, the assessment of the barriers and facilitators will provide
insight in the implementation process of CRM. TRIAL REGISTRATION: Netherlands
Trial Register (NTR): NTR1976.
PMID- 22073982
TI - Molecular basis of early epithelial response to streptococcal exotoxin: role of
STIM1 and Orai1 proteins.
AB - Streptolysin O (SLO) is a cholesterol-dependent cytolysin (CDC) from
Streptococcus pyogenes. SLO induces diverse types of Ca(2+) signalling in host
cells which play a key role in membrane repair and cell fate determination. The
mechanisms behind SLO-induced Ca(2+) signalling remain poorly understood. Here,
we show that in NCI-H441 cells, wild-type SLO as well as non-pore-forming mutant
induces long-lasting intracellular Ca(2+) oscillations via IP(3) -mediated
depletion of intracellular stores and activation of store-operated Ca(2+) (SOC)
entry. SLO-induced activation of SOC entry was confirmed by Ca(2+) add-back
experiments, pharmacologically and by overexpression as well as silencing of
STIM1 and Orai1 expression. SLO also activated SOC entry in primary cultivated
alveolar type II (ATII) cells but Ca(2+) oscillations were comparatively short
lived in nature. Comparison of STIM1 and Orai1 revealed a differential expression
pattern in H441 and ATII cells. Overexpression of STIM1 and Orai1 proteins in
ATII cells changed the short-lived oscillatory response into a long-lived one.
Thus, we conclude that SLO-mediated Ca(2+) signalling involves Ca(2+) release
from intracellular stores and STIM1/Orai1-dependent SOC entry. The phenotype of
Ca(2+) signalling depends on STIM1 and Orai1 expression levels. Our findings
suggest a new role for SOC entry-associated proteins in S. pyogenes-induced lung
infection and pneumonia.
PMID- 22073983
TI - Evaluation of gastrointestinal symptoms in different patient groups using the
visual analogue scale for irritable bowel syndrome (VAS-IBS).
AB - BACKGROUND: Irritable bowel syndrome (IBS) and gastrointestinal (GI) dysmotility
disorders have a similar clinical picture, although dysmotility disorders require
the attention of a specialist. Patients with primary Sjogren's syndrome (pSS)
have also been described to suffer from IBS-like symptoms. No objective marker is
available to distinguish between the patients. A visual analogue scale has been
developed for IBS patients (VAS-IBS) to measure treatment response of GI symptoms
and well-being in patients with IBS. The aim of the present study was to examine
if VAS-IBS could be used to compare the degree of GI complaints in different
patient populations, to get an objective marker to differentiate between the
patients. METHODS: The VAS-IBS consists of 7 VAS scales, namely, abdominal pain,
diarrhoea, constipation, bloating and flatulence, vomiting and nausea,
psychological well-being and the intestinal symptoms' influence on daily life.
Consecutive female patients suffering from IBS, dysmotility disorders and pSS
were asked to complete the VAS-IBS questionnaire when visiting the out-patient
clinics. In addition, a control population consisting of healthy female
volunteers was included. RESULTS: Healthy volunteers had almost no GI symptoms,
whereas all 3 patient groups expressed symptoms. There was no statistical
significant difference between IBS and dysmotility in any of the scales besides
vomiting and nausea (p = 0.044). Except for constipation, patients with pSS had
less severe symptoms than the others. CONCLUSION: The VAS-IBS questionnaire could
be used to assess the level of GI symptoms. However, VAS scores do not help the
clinicians to differentiate between IBS and other dysmotility disturbances.
PMID- 22073984
TI - A hermeneutic approach to the characteristics of mental health nursing practice.
AB - Mental health nursing (MHN) takes a non-reductionist view of the human being,
based on a hermeneutic and interdisciplinary perspective. MHN is dependent on the
development of hermeneutic knowledge for understanding the deeper meaning of, for
example, encountering a patient with emotional pain. The aim of this study was to
provide a philosophical discussion about the characteristics of MHN practice. The
hermeneutic approach was used to expand the philosophical discussion of MHN. In
order to explore the consequences for MHN practice, previous relevant research
within the discipline of MHN was used, exemplified by patients suffering from
emotional pain. Two themes emerged: A reflective way of being and working and
Relationships as a foundation for change and improvements. Four themes emerged
related to the practice of the nurse: Desire for confirmation and trust, The
vulnerable human being, The difficulties involved in responsibility and The power
of self-development, authenticity and freedom. MHN must be open to changing some
of the negative images of past interdisciplinary discussions in order to provide
high quality care and support to the suffering patient. The hermeneutic approach
is one way to help nurses who work in the context of mental health to understand
and use their intuition and empathy to empower patients, thus providing hope and
future possibilities.
PMID- 22073985
TI - Analysis of chicken anemia virus genome: evidence of intersubtype recombination.
AB - BACKGROUND: Chicken anemia virus (CAV) is the causative agent of chicken
infectious anemia. CAV putative intergenotypic recombinants have been reported
previously. This fact is based on the previous classification of CAV sequences
into three genotypes. However, it is unknown whether intersubtype recombination
occurs between the recently reported four CAV genotypes and five subtypes of
genome sequences. RESULTS: Phylogenetic analysis, together with a variety of
computational recombination detection algorithms, was used to investigate CAV
approximately full genomes. Statistically significant evidence of intersubtype
recombination was detected in the parent-like and two putative CAV recombinant
sequences. This event was shown to occur between CAV subgroup A1 and A2 sequences
in the phylogenetic trees. CONCLUSIONS: We revealed that intersubtype
recombination in CAV genome sequences played a role in generating genetic
diversity within the natural population of CAV.
PMID- 22073986
TI - Luteolin induces apoptotic cell death through AIF nuclear translocation mediated
by activation of ERK and p38 in human breast cancer cell lines.
AB - The flavonoid, luteolin, has been shown to have anticancer activity in various
cancer cells; however, the precise molecular mechanism of its action is not
completely understood, and studies were conducted to find out how it induces
apoptosis in breast cancer cells. Luteolin induced a reduction of viability in a
dose- and time-dependent manner. The pro-apoptotic effect of luteolin was
demonstrated by cell cycle measurement and Hoechst 3325 staining. Western blot
analysis showed that luteolin activates ERK (extracellular-signal-regulated
kinase) and p38. Pharmacological inhibition or knockdown of ERK and p38 protected
against luteolin-induced cell death; however, the caspase-3-specific inhibitor
had no effect. Immunocytochemical examination indicated that luteolin induced
nuclear translocation of AIF (apoptosis-inducing factor), which was mediated by
activation of ERK and p38. Transfection of a vector expressing the miRNA
(microRNA) of AIF prevented luteolin-induced apoptosis. The data suggest that
luteolin induces a caspase-dependent and -independent apoptosis involving AIF
nuclear translocation mediated by activation of ERK and p38 in breast cancer
cells.
PMID- 22073988
TI - Knowledge and attitude of elderly persons towards dental implants.
AB - BACKGROUND: Despite their unrivalled place in restorative treatment, dental
implants are still scarcely used in elderly patients. INTRODUCTION: The aim of
this survey was therefore to identify potential barriers for accepting an implant
treatment. MATERIALS AND METHODS: Participants were recruited from a geriatric
hospital, two long-term-care facilities and a private clinic. The final study
sample comprised 92 persons, 61 women and 31 men with an average age of 81.2 +/-
8.0 years. In a semi-structured interview, the participants' knowledge of
implants and attitude towards a hypothetical treatment with dental implants were
evaluated. RESULTS: Twenty-seven participants had never heard of dental implants,
and another 13 participants could not describe them. The strongest apprehensions
against implants were cost, lack of perceived necessity and old age. Univariate
and multiple linear regression analysis identified being women, type and quality
of denture, having little knowledge on implants and being hospitalised as the
risk factors for refusing implants. However, old age as such was not associated
with a negative attitude. CONCLUSION: The acceptance of dental implants in the
elderly population might be increased by providing further information and
promoting oral health in general. Regardless of the age, dental implants should
be placed when patients are still in good health and live independently.
PMID- 22073987
TI - Exposure to gold nanoparticles produces cardiac tissue damage that depends on the
size and duration of exposure.
AB - BACKGROUND: Current research focuses on cancer therapy, diagnostics and imaging,
although many challenges still need to be solved. However, for the application of
gold nanoparticles (GNPs) in therapy and diagnostics it is necessary to know the
bioaccumulation and local or systemic toxicity associated to them. The aim of the
present study was to investigate the effects of intraperitoneal administration of
GNPs on the histological alterations of the heart tissue of rats in an attempt to
cover and understand the toxicity and the potential role of GNPs in the
therapeutic and diagnostic applications. METHODS: Animals were randomly divided
into 3 GNPs-treated rats groups and one control group (CG). The 10, 20 and 50 nm
GNPs were administered intraperitonealy at the rate of 3 or 7 days as follows:
Group 1: received infusion of 100 MUl GNPs of size 10 nm for 3 or 7 days; Group
2: received infusion of 100 MUl GNPs of size 20 nm for 3 or 7 days; Group 3:
received infusion of 100 MUl GNPs of size 50 nm for 3 or 7 days. CONTROL GROUP:
received no GNPs. RESULTS: In comparison with the respective control rats, GNPs
treated rat received 100 MUl of 10 and 20 nm particles for 3 days or 7 days
demonstrating congested heart muscle with prominent dilated blood vessels,
scattered and extravasations of red blood cells, focus of muscle hyalinosis,
disturbed muscle fascicles, dense prominent focus of inflammatory cells
infiltrate by small lymphocytes and few plasma cells while GNPs-treated rat
received 100 MUl of 50 nm particles for 3 or 7 days demonstrating benign normal
looking heart muscle with normal muscle direction and fascicles, and very few
scattered small lymphocytes. CONCLUSIONS: The histological alterations induced by
intraperitoneal administration of GNPs were size-dependent with smaller ones
induced more affects and related with time exposure of GNPs. This study suggests
that interaction of GNPs with proteins and various cell types might be evaluated
as part of the toxicological assessment in addition to further experiments
related to tissues antioxidant enzymes, oxidative parameters, lipid peroxidation,
production of free radicals and/or ROS and cytokine, histomorphologcal and
ultrastrucural will be performed to cover and understand the toxicity and the
potential use of GNPs as therapeutic and diagnostic tool.
PMID- 22073989
TI - Fox gene loci in Takifugu rubripes and Tetraodon nigroviridis genomes and
comparison with those of medaka and zebrafish genomes.
AB - Members of the Fox gene family of transcriptional regulators are essential for
animal development and have been extensively studied in vertebrates. The mouse
and human genomes contain at least 40 FOX genes which are divided into 19
subclasses based on the sequence similarity of the highly conserved forkhead
domain. Using the genome sequence of the Takifugu rubripes and Tetraodon
nigroviridis , we examined the genomic complement of fox genes in these organisms
to gain insight into the evolutionary relationship of this gene family. We
identified 53 fox genes in Tetraodon nigroviridis and Takifugu rubripes genome by
searching the forkhead domain. These genes are divided into 18 subclasses as
follows: 8 fox genes in subclass O; 6 in subclass P ; 4 in subclasses D, J, and
N; 3 in subclasses A, B, C, E, F, and I; 2 in subclasses K, L, and Q; and 1 in
subclasses G, H, M, and R. Together with the forkhead domain sequences of human,
chicken, frog, zebrafish, medaka, and Caenorhabditis elegans, the phylogenetic
relationship of the fox genes in Takifugu rubripes and Tetraodon nigroviridis
were analyzed and compared. The genes structure, general features, and the three
dimensional model of these genes were also discussed.
PMID- 22073990
TI - An international comparison of excessive adult mortality.
AB - Abstract Empirical expressions derived by Coale and Demeny accurately
characterized the relationships among death rates of different age groups for
each sex during an extended period of time in Western nations. However, the
relationships have changed in recent years, as the mortality of older persons has
increasingly exceeded the level expected on the basis of these expressions. The
recent disruption is relatively small for females and may be due to very rapid
declines in maternal mortality. Among males, the change has been quite
pronounced, and it is suggested that increases in cigarette consumption are
largely responsible.
PMID- 22073991
TI - The spread of anti-natal knowledge and practice in Nigeria.
AB - Abstract In March/April 1969 the Demographic Training and Research Unit,
University of Ife, surveyed 8,400 respondents of both sexes to investigate their
knowledge and use of anti-natal practices and the sources of that knowledge. The
area sampled was a stratified cross-section of Nigeria extending inland 500 miles
from Lagos. Data are analysed by age cohorts of respondents and by the date of
change in knowledge or practice. It is shown that anti-natal knowledge and
practice decline with distance from Lagos, that contraception is widely practised
amongst the richer towns and those with a higher proportion of educated people,
and to a smaller extent among farmers than restricted knowledge or means would
alone indicate. Recently the spread of both knowledge and practice has been
faster than could be explained by socio-economic change and has largely resulted
from changing attitudes to anti-natal practice and increased discussion of the
subject in the world as a whole. Within Nigeria this has been helped by rising
levels of urbanization and education, which are the two major determinants of
anti-natal knowledge and practice in the country. The spread of such practice has
led to an increased employment of traditional anti-natal methods, but this
increase is small compared with the much greater resort now being made to modern
contraception and induced abortion. The mass media have been of particular
importance in introducing new knowledge, while the spread of such knowledge has
owed most to discussions between friends of the same sex.
PMID- 22073992
TI - Causes of fertility decline in Eastern Europe and the Soviet Union.
AB - Abstract This is the first part of a wider study which attempts to throw iight
on the demographic, economic and social factors that have led to dramatic
declines in fertility levels in most socialist countries of Eastern Europe during
the last fifteen years or so. The present part is concerned with the purely
demographic influences, that is mainly with the impact of changes in the age and
sex structure of the populations under study, and in nuptiality. The statistical
evidence adduced indicates that the observed downward trends in the annual number
of births and in crude birth rates are a reflection of genuine changes in
attitudes towards family size.
PMID- 22073993
TI - Bridal pregnancy in earlier rural England further examined.
AB - Abstract This paper elaborates the argument of a previous paper (Population
Studies, 20, 1966, pp. 233-43). The results of an investigation of the experience
of 2,340 brides are broadly similar to those reported earlier: in particular,
they confirm that bridal pregnancy was more common in the eighteenth than in the
seventeenth century. Evidence is presented to suggest that the sixteenth-century
experience was similar to that of the seventeenth, while the nineteenth-century
experience was similar to that of the eighteenth. It is argued that bridal
pregnancy was the product of a courting convention, rather than of 'betrothal
licence', and that it was not especially common among widows or teenagers. It is
incidentally shown that the interval between birth and baptism was very brief in
the sixteenth century, but lengthened in later centuries; and that the forbidden
seasons for marriage were gradually eroded. Finally, it is suggested that the
application of Church discipline in relation to bridal pregnancy could be
assessed in the Church Court records.
PMID- 22073994
TI - Population growth in Java in the 19th century.
AB - Abstract In demographic literature Java occupies a special position. It is the
island where in the nineteenth century a 'population explosion' occurred. In
other developing countries this took place in the twentieth century. Following
the official figures Java had a population size of about 4.5 million in 1815
(Raffles's Census) and 28.5 million in 1900. The result is an extraordinary rate
of growth of 2.2% per year. In this paper it is argued that it is impossible to
correct the data by adjusting them. A more promising method is to study the
factors which are responsible for the demographic situation, i.e. economic
conditions, the so-called pax neerlandica and the health situation in the period
1800-1850. This period has been specially studied, because it is crucial for the
calculation of population size which is normally based on the 1815 period. It is
suggested that Java cannot really claim to be an exceptional case in the period
1800-1850. This means that the growth rate - in line with the estimates of Carr
Saunders and Sauvy -has to be estimated (greater accuracy is not possible) as
between 0.5% and 1.0); per annum. On the basis of estimates and calculations,
the population size of Java may have been somewhere between 8 and 10 millions
around 1800, the latter estimate being the more realistic figure. The view that
there was exceptionally rapid population growth in Java in the nineteenth century
is to an important degree the product of a Europe-centred approach to the history
of Java.
PMID- 22073995
TI - Users and non-users of contraception: Tests of stationarity applied to members of
a family planning programme.
AB - Abstract Regression analysis is used in examining the cumulative nature of
contraceptive use among clients of a family planning programme. Markov chain
analyses are performed on the data. chi(2) tests are used to test the
stationarity of the transition probabilities from the state of user to non-user
as well as from non-user to user over the period of operation of the programme. A
good predictive equation is developed relating the cumulated number of users and
the years of operation of the programme. The transition probabilities are not
constant over the entire period of twelve years. The data are not homogeneous.
When the twelve year-period is divided into three four-year periods, the
transition probabilities are constant within each period. Each of these three
periods coincides with a distinctive period in the development of the programme.
PMID- 22073996
TI - The historical calendar as a method of estimating age: The experience of the
Moroccan multi-purpose sample survey of 1961-63.
AB - Abstract In censuses and surveys in most African countries it has become the
practice to estimate informants' age by the method of the historical calendar.
This involves an attempt to relate a remembered historical event, occurring
during the subject's childhood, with his age at the time. This paper attempts a
first evaluation of the method with particular reference to the 1961-63 multi
round survey in rural Morocco. It is shown that in practice the method is highly
complex and easily misunderstood by interviewers and respondents. An attempt to
lay down a precise procedure by means of a very detailed questionnaire appeared
to yield at best only marginally more accurate results than the simpler procedure
used in an earlier round. The more complex questionnaire did, however, introduce
new patterns of misuse by the interviewer. There was evidence that the historical
calendar gave somewhat better data than eye estimates, but results were highly
defective for both methods. It is clear that the method is far from perfect.
Interviewer training and supervision remain the key to accurate age
determination. If the potential benefits of the complex historical calendar
method are to be realized, training and supervision need to be even more thorough
than where simpler methods are used.
PMID- 22073997
TI - Malaria eradication and the fall of mortality.
AB - Abstract To evaluate the contradictory findings on the role of malaria
eradication in the post-war reduction in mortality in Ceylon, the methods of
analysis of Newman and Meegama are compared with one constructed by the present
author.
PMID- 22073998
TI - Leibenstein on the benefits and costs of birth control programmes.
AB - Abstract Enke draws attention particularly to his recent dynamic models of the
effects of birth prevention. He argues that the result of birth prevention is,
typically, to leave future G.N.P. almost unaffected, with a smaller population
and a reduction in the ratio of dependent children to the active age groups.
G.N.P. per head is thus raised. Leibenstein accepts the relevance of population
control and family planning programmes. He argues, however, that in its present
form benefit-cost analysis does not provide a sound basis for estimating the
value of such programmes.
PMID- 22074001
TI - Healthcare costs in patients with metastatic lung cancer receiving chemotherapy.
AB - BACKGROUND: To characterize healthcare resource utilization and costs in patients
with metastatic lung cancer receiving chemotherapy in the US. METHODS: Using data
from a large private multi-payer health insurance claims database (2000-2006), we
identified all patients beginning chemotherapy for metastatic lung cancer.
Healthcare resource use (inpatient, outpatient, medications) and costs were
tallied over time from date of therapy initiation ("index date") to date of
disenrollment from the health plan (in most instances, presumably due to death)
or the end of the study period, whichever occurred first. Healthcare utilization
and costs were characterized using Kaplan-Meier sample average methods. RESULTS:
The study population consisted of 4068 patients; mean (SD) age was 65 (11) years.
Over a median follow-up of 334 days, study subjects averaged 1.5 hospital
admissions, 8.9 total inpatient days, and 69 physician office and hospital
outpatient visits. Mean (95% CI) cumulative total healthcare costs were $125,849
($120,228, $131,231). Costs of outpatient medical services and inpatient care
constituted 34% and 20% of total healthcare costs, respectively; corresponding
estimates for outpatient chemotherapy and other medication were 22% and 24%.
CONCLUSION: Our study sheds additional light on the burden of metastatic lung
cancer among patients receiving chemotherapy, in terms of total cost thru end of
life as well as component costs by setting and type of service, and may be useful
in informing medical resource allocation in this patient population.
PMID- 22074003
TI - Total syntheses of ent-heliespirones A and C.
AB - Stereodivergent total syntheses of ent-heliespirone A and C were both completed
in 11 vessels and ~24% combined overall yield (A + C). These syntheses employed
an identical inverse demand Diels-Alder reaction between a surrogate for an
extendedly conjugated gamma-delta unsaturated ortho-quinone methide and L-lactic
acid-derived exocyclic enol ether. Novel reactions of special note include a
diastereoselective reduction of a chroman spiroketal by combination of
borontrifluoride etherate and triethyl silane, along with oxidative rupture of a
chroman etherial ring into the corresponding p-quinone by argentic oxide (AgO).
In addition, an unusual intramolecular etherification of a 3 degrees alcohol
caused by cerium ammonium nitrate was observed.
PMID- 22074004
TI - Novel method for grafting alkyl chains onto glassy carbon. Application to the
easy immobilization of ferrocene used as redox probe.
AB - Primary alkyl iodides (RI) have been found to react with a cathodically charged
glassy carbon surface at potentials more negative than -1.7 V vs Ag/AgCl. In
aprotic solvents, this reaction results in grafting of the alkyl chains onto
carbon. It is proposed that the process corresponds to the cathodic charge of
graphitized and fullerenized zones present in carbon followed by a displacement
reaction (analogous to a nucleophilic attack) toward alkyl iodides. This new mode
of grafting is applied to the immobilization of ferrocene used as an
electrochemical probe. The present work points out the reaction of omega
iodoalkylferrocenes and quantifies the level of grafting of alkyl chains via this
promising method for modification of carbon surfaces. Coverage levels were found
to be high, reaching the apparent surface concentrations of 8 * 10(-9) mol cm(
2). These large values are explained on the basis of swelling of the interface
provoked by progressive charging of the carbon surface via insertion of
tetraalkylammonium cations concomitantly with the substitution process.
Alkylferrocene layers deposited onto carbon were found to be chemically and
electrochemically stable.
PMID- 22074002
TI - Predictive value of metabolic 18FDG-PET response on outcomes in patients with
locally advanced pancreatic carcinoma treated with definitive concurrent
chemoradiotherapy.
AB - BACKGROUND: We aimed to study the predictive value of combined 18F-fluoro-deoxy-D
glucose positron emission tomography and computerized tomography (FDG-PET-CT), on
outcomes in locally advanced pancreatic carcinoma (LAPC) patients treated with
concurrent chemoradiotherapy (C-CRT). METHODS: Thirty-two unresectable LAPC
patients received 50.4 Gy (1.8 Gy/fr) of RT and concurrent 5-FU followed by 4 to
6 cycles of gemcitabine consolidation. Response was evaluated by FDG-PET-CT at
post-C-CRT 12-week. Patients were stratified into two groups according to the
median difference between pre- and post-treatment maximum standard uptake values
(SUVmax) as an indicator of response for comparative analysis. RESULTS: At a
median follow-up of 16.1 months, 16 (50.0%) patients experienced local/regional
failures, 6 of which were detected on the first follow-up FDG-PET-CT. There were
no marginal or isolated regional failures. Median pre- and post-treatment SUVmax
and median difference were 14.5, 3.9, and -63.7%, respectively. Median overall
survival (OS), progression-free survival (PFS), and local-regional progression
free survival (LRPFS) were 14.5, 7.3, and 10.3 months, respectively. Median OS,
PFS, and LRPFS for those with greater (N = 16) versus lesser (N = 16) SUVmax
change were 17.0 versus 9.8 (p = 0.001), 8.4 versus 3.8 (p = 0.005), and 12.3
versus 6.9 months (p = 0.02), respectively. On multivariate analysis, SUVmax
difference was predictive of OS, PFS, and LRPFS, independent of existing
covariates. CONCLUSIONS: Significantly higher OS, PFS, and LRPFS in patients with
greater SUVmax difference suggest that FDG-PET-CT-based metabolic response
assessment is an independent predictor of clinical outcomes in LAPC patients
treated with definitive C-CRT.
PMID- 22074005
TI - Proteomic approach reveals FKBP4 and S100A9 as potential prediction markers of
therapeutic response to neoadjuvant chemotherapy in patients with breast cancer.
AB - Although doxorubicin (Doxo) and docetaxel (Docet) in combination are widely used
in treatment regimens for a broad spectrum of breast cancer patients, a major
obstacle has emerged in that some patients are intrinsically resistant to these
chemotherapeutics. Our study aimed to discover potential prediction markers of
drug resistance in needle-biopsied tissues of breast cancer patients prior to
neoadjuvant chemotherapy. Tissues collected before chemotherapy were analyzed by
mass spectrometry. A total of 2,331 proteins were identified and comparatively
quantified between drug sensitive (DS) and drug resistant (DR) patient groups by
spectral count. Of them, 298 proteins were differentially expressed by more than
1.5-fold. Some of the differentially expressed proteins (DEPs) were further
confirmed by Western blotting. Bioinformatic analysis revealed that the DEPs were
largely associated with drug metabolism, acute phase response signaling, and
fatty acid elongation in mitochondria. Clinical validation of two selected
proteins by immunohistochemistry found that FKBP4 and S100A9 might be putative
prediction markers in discriminating the DR group from the DS group of breast
cancer patients. The results demonstrate that a quantitative
proteomics/bioinformatics approach is useful for discovering prediction markers
of drug resistance, and possibly for the development of a new therapeutic
strategy.
PMID- 22074006
TI - Breakaways in specialist secure psychiatry.
PMID- 22074007
TI - The reality is that the number of women with menopausal symptoms wanting to
consider alternative therapies rather than hormone replacement therapy (HRT) has
increased over the last decade. Introduction.
PMID- 22074008
TI - Taking an integrated approach: managing women with phytoestrogens.
AB - An integrated approach can be employed when counselling women about menopausal
management options, where lifestyle, complementary therapies and hormone
replacement therapy (HRT) are discussed. Women might opt to use an alternative
approach to HRT for a variety of reasons, e.g. fear of side-effects and risks or
contraindications to HRT. There are many choices of dietary and herbal approaches
for menopausal symptoms, which essentially divide into food supplements and
herbal medicines. The choice can often be overwhelming and confusing for the
woman. Of concern, the evidence for efficacy and safety of some of these
complementary therapies can be extremely limited or non-existent. In order to
enable women to make a fully informed choice, it is important that, when a
recommendation is made regarding a specific complementary therapy, it should
focus on preparations for which a significant dataset exists for efficacy and
safety and in which there is ongoing research and development. One of the most
extensively studied food supplements has been the phytoestrogenic preparation
containing red clover isoflavones. There have been six randomized trials thus far
studying the impact on vasomotor symptoms, three of which have shown a
significant benefit compared to placebo. There are also data from small
randomized and observational trials showing positive outcomes for surrogate
markers of osteoporosis and cardiovascular disease. A recent study using
validated depression scales has shown that women using red clover isoflavones may
also derive psychological benefits. Safety data are reassuring for the
endometrium and breast, although further studies would be welcome, particularly
in women with significant medical risks.
PMID- 22074009
TI - Managing the menopause: practical choices faced in primary care.
AB - Over the last 10 years, the management of the menopause has changed dramatically
following the controversy surrounding hormone replacement therapy (HRT). Non-drug
options have become increasingly popular with women and this has presented new
challenges to us as their physicians. This report includes insights into how
women feel about their menopause management, in particular their need to make an
informed decision about their menopause management. This will ensure that they
are committed to the option selected. Non-drug options should be viewed as a
different approach to HRT, not as a substitute, and they should be recommended
specifically to ensure that quality is assured. A guide is included as to how to
select non-drug options, in particular for the phytoestrogen supplement products.
Our role as physicians is to offer our patients the best possible choices to
manage their health, and this should now include non-drug options that have been
well-researched in terms of efficacy and safety.
PMID- 22074010
TI - Self-assembly of dinitrosyl iron units into imidazolate-edge-bridged molecular
squares: characterization including Mossbauer spectroscopy.
AB - Imidazolate-containing {Fe(NO)(2)}(9) molecular squares have been synthesized by
oxidative CO displacement from the reduced Fe(CO)(2)(NO)(2) precursor. The
structures of complex 1 [(imidazole)Fe(NO)(2)](4), (Ford, Li, et al.; Chem.
Commun.2005, 477-479), 2 [(2-isopropylimidazole)Fe(NO)(2)](4), and 3
[(benzimidazole)Fe(NO)(2)](4), as determined by X-ray diffraction analysis, find
precise square planes of irons with imidazolates bridging the edges and nitrosyl
ligands capping the irons at the corners. The orientation of the imidazolate
ligands in each of the complexes results in variations of the overall structures,
and molecular recognition features in the available cavities of 1 and 3.
Computational studies show multiple low energy structural isomers and confirm
that the isomers found in the crystallographic structures arise from
intermolecular interactions. EPR and IR spectroscopic studies and electrochemical
results suggest that the tetramers remain intact in solution in the presence of
weakly coordinating (THF) and noncoordinating (CH(2)Cl(2)) solvents. Mossbauer
spectroscopic data for a set of reference dinitrosyl iron complexes, reduced
{Fe(NO)(2)}(10) compounds A ((NHC-iPr)(2)Fe(NO)(2)), and C ((NHC
iPr)(CO)Fe(NO)(2)), and oxidized {Fe(NO)(2)}(9) compounds B ([(NHC
iPr)(2)Fe(NO)(2)][BF(4)]), and D ((NHC-iPr)(SPh)Fe(NO)(2)) (NHC-iPr = 1,3
diisopropylimidazol-2-ylidene) demonstrate distinct differences of the isomer
shifts and quadrupole splittings between the oxidized and reduced forms. The
reduced compounds have smaller positive isomer shifts as compared to the oxidized
compounds ascribed to the greater pi-backbonding to the NO ligands. Mossbauer
data for the tetrameric complexes 1-3 demonstrate larger isomer shifts, most
comparable to compound D; all four complexes contain cationic {Fe(NO)(2)}(9)
units bound to one anionic ligand and one neutral ligand. At room temperature,
the paramagnetic, S = (1)/(2) per iron, centers are not coupled.
PMID- 22074012
TI - Reviews on animal diseases recently published in other journals.
PMID- 22074011
TI - NKG2C deletion is a risk factor of HIV infection.
AB - NK cell function is important in the immune response to HIV infection. NKG2C and
NKG2A are activating and inhibitory NK cell receptors, respectively, and their
only known ligand, HLA-E, demonstrates increased expression in HIV infection and
presents at least one HIV-derived peptide. A variation in chromosome 12 exists in
which the 16-kb section of DNA encompassing the nkg2c gene is completely absent.
DNA samples of 433 HIV-1-infected patients and 280 controls were genotyped by
PCR, and revealed an association of the absence variation with a higher risk of
HIV infection, as well as faster progression and higher pretreatment viral loads
(p<0.05, respectively). Surface NKG2C expression, analyzed by FACS, on the
freshly isolated lymphocytes of 20 control and 19 HIV-infected donors revealed
that NKG2C expression is genotype dependent in both populations: no NKG2C
expression in the -/- groups, intermediate expression in the +/- groups, and
highest expression in the +/+ groups. The comparison of NKG2C and NKG2A
expression in HIV and control groups (+/- and +/+ included) indicates an
increased NKG2C expression on HIV patient NK cells (p<0.05) and decreased
inhibitory NKG2A expression on CD8 T cells (p<0.001), and both these effects are
more striking in the +/+ genotype (p<0.005). Furthermore, a positive correlation
was found between HIV viral load and the proportion of NKG2C(+) NK cells. The
increased expression of NKG2C in HIV patients, in combination with the genetic
association of the absence variation with an increased susceptibility to HIV
infection, higher HIV viral set point, and a faster progression, indicate that
NKG2C is important in the defense against HIV infection and progression.
PMID- 22074016
TI - Severity of pandemic H1N1 2009 influenza virus infection may not be directly
correlated with initial viral load in upper respiratory tract.
AB - BACKGROUND: Recent studies have demonstrated that rapid influenza diagnostic
tests (RIDTs) have a relatively low sensitivity in detecting severe cases of
pandemic H1N1 2009 influenza virus (pH1N1) infection. We hypothesized that viral
load in upper respiratory specimens obtained on presentation may not be
correlated with disease severity. METHODS: We conducted a prospective study to
compare patterns of viral shedding using nasopharyngeal swab specimens, according
to the number of days of post-symptom onset and post-antiviral therapy, between
patients with and without complications. RESULTS: From July 15, 2009 through July
23, 2010, we collected and processed a total of 141 nasopharyngeal swab specimens
from 64 inpatients and outpatients with laboratory-confirmed pH1N1 infection.
These included 46 patients without any complications (uncomplicated group) and 18
patients who required hospital admission (complicated group). The mean initial
viral load was higher in the uncomplicated group than in the complicated group
(3.4 +/- 1.6 log(10) copies/MUl versus 1.9 +/- 1.7, P = 0.02). However, prolonged
viral shedding was only detected in the complicated group (44% by day 7 of
antiviral therapy). By multivariate analysis, we found that age (OR, 1.1; 95% CI,
1.0-1.1) and initial nasopharyngeal viral load (OR, 0.5; 95% CI, 0.3-0.8) were
significant factors associated with complications. CONCLUSION: Given that
patients with severe pH1N1 infection may have relatively lower initial viral load
in the upper respiratory tract, cautious interpretation of negative RIDT results
is particularly warranted in this patient population.
PMID- 22074015
TI - Benefits of the HiRes 120 coding strategy combined with the Harmony processor in
an adult European multicentre study.
AB - CONCLUSION: The Harmony processor was found to be reliable, comfortable and
offered a substantially increased battery life compared with the previous
generation processor. No significant improvement in speech understanding with
HiRes was demonstrated from objective measures, but the majority of subjects
showed a clear subjective preference for the combination HiRes 120/Harmony
processor. OBJECTIVES: To evaluate experience with the HarmonyTM sound processor,
together with the HiRes 120 strategy. METHODS: Postlingually deafened adults
implanted with a CII or HiRes 90K were included and divided into three groups:
(1) experienced users using the Platinum body-worn processor; (2) experienced
users who had been using other processors; (3) new users with the Harmony
processor from first fitting. The latter group entered a randomized crossover
protocol where half were initially fitted with HiRes and half with HiRes 120. The
initial strategy was used for 3 months and the alternative for a further 3
months. Speech perception tests and questionnaires were performed. RESULTS: The
study included 65 subjects. Implementing HiRes 120 was straightforward. The
speech test group results did not show significant differences between HiRes and
HiRes 120. However, the questionnaires showed significantly higher ratings for
HiRes 120 in some instances. Subjects were highly satisfied with the Harmony
processor.
PMID- 22074017
TI - The effects of exenatide bid on metabolic control, medication use and
hospitalization in patients with type 2 diabetes mellitus in clinical practice: a
systematic review.
AB - The objective of this systematic review was to assess the published literature on
the effectiveness of exenatide twice daily (exenatide) in clinical practice,
specifically its effects on haemoglobin A1c (A1C), fasting glucose (FG), weight,
systolic blood pressure (SBP), medication use, hospitalization and cardiovascular
disease (CVD) outcomes. A systematic literature search using the MEDLINE database
of English language literature published between January 2005 and May 2011 was
performed. The review included retrospective or prospective observational studies
that included 100 or more patients per treatment group. A total of 15 studies
meeting the inclusion criteria were identified. The studies revealed significant
reductions of -0.4 to -0.9% in A1C, -10 mg/dl in FG, -2 to -11 kg in body weight
and -2 to -11 mmHg in SBP. Statistically significant reductions in the use or
dosage of either oral glucose-lowering medications or insulin after initiating
exenatide treatment were found in every observational study that assessed
medication changes, including reductions in dosage of up to 75% in sulphonylureas
dosages, 22% in metformin, 66% in thiazolidinediones (TZD) or TZD combination
therapy and 75% in prandial insulin. Exenatide-treated patients experienced
significantly lower rates of all-cause and CVD-related hospitalization and CVD
events than patients treated with other therapies overall. In this review of
observational studies, exenatide initiation was associated with significant
reductions in clinically relevant outcomes. Improvements in A1C, FG, weight and
SBP in the observational studies in this review were consistent with improvements
observed in controlled clinical trials.
PMID- 22074018
TI - Encephalomyelitis associated with microsporidian infection in farmed greater
amberjack, Seriola dumerili (Risso).
AB - An outbreak of a disease characterized by a peculiar spiral movement in farmed
greater amberjack, Seriola dumerili (Risso), occurred in Kagoshima Prefecture,
Japan, in May 2008, immediately after importing the fish from China. Although
neither bacteria nor viruses were detected in routine diagnostic tests,
histopathological observations of the affected fish revealed severe inflammation
in the tegmentum of the brain including the medulla oblongata and the anterior
part of the spinal cord. In addition, a microsporidian parasite was observed in
the nerve cell bodies or axons in the inflamed tissues. We identified a
microsporidian small subunit rRNA gene (SSU rDNA) from the lesion, and the
sequence showed 96.1% identity with that of Spraguea lophii. Subsequent in situ
hybridization using probes presumably specific to the SSU rRNA confirmed that the
parasite observed in histopathology harboured the identified SSU rRNA. Apparently
degenerated microsporidian cells or spores were also frequently observed in
tissue sections. Thus, the disease was most probably caused by the infection of a
hitherto unknown microsporidian parasite that has a genetic affinity to the genus
Spraguea, in the central nervous system of the amberjack.
PMID- 22074019
TI - Clinical observations of black disease in fairy shrimps, Streptocephalus
sirindhornae and Branchinella thailandensis, from Thailand and pathogen
verification.
AB - In this study, black disease infecting fairy shrimps, Streptocephalus
sirindhornae Sanoamuang, Murugan, Weekers & Dumont, and Branchinella
thailandensis Sanoamuang, Saengphan & Murugan, in Thailand, was investigated. The
typical signs of the disease are the appearance of black spots on the cuticle,
located mainly on the dorsal side and thoracopods. A number of rod-shaped
bacteria aggregated in the black spots and were visualized by scanning electron
microscopy. The histopathological results showed that a haemocytic response to
the infection resulted in a dense melanized core of bacteria. In addition,
generalized septicaemia by rod-shaped bacteria was also observed in the infected
tissue. Of the 31 isolates, Aeromonas spp. were predominantly isolated and six
strains were selected for the experimental infections. The most pathogenic strain
was identified molecularly as A. hydrophila. When fairy shrimp were infected at
bacterial concentrations of 10(4) and 10(6) cfu mL(-1) , the overall infection
levels were 73.33 +/- 6.67% and 93.33 +/- 6.67%, respectively. The experimentally
infected fairy shrimp showed abnormal swimming and died within 24-48 h after the
appearance of the dark pigment.
PMID- 22074020
TI - Protection of grass carp, Ctenopharyngon idellus (Valenciennes), through oral
administration of a subunit vaccine against reovirus.
PMID- 22074021
TI - Khawia japonensis (Cestoda: Caryophyllidea): another invasive parasite of carp,
Cyprinus carpio L., imported to Europe.
PMID- 22074022
TI - Surgical removal of a gastric foreign body in a sand tiger shark, Carcharias
taurus Rafinesque.
PMID- 22074023
TI - Prevalence of piscine myocarditis virus (PMCV) in marine fish species.
PMID- 22074024
TI - Psychometric properties of the Turkish version of the perception of aggression
scale.
AB - The aim of this study was to investigate the psychometric properties of the
Turkish version of the Perception of Aggression Scale. Cross-sectional data were
collected by the completion of questionnaires by 350 nursing students from two
nursing schools in Istanbul, Turkey. The psychometric properties of the Turkish
version of the scale were analysed by using factor analysis (principal component
analysis), assessment of internal consistency and reliability, and Spearman's
rank correlation coefficients. The two-factor structure was confirmed by
principal component analysis: the first factor treated aggression as functional
and the second as dysfunctional. The correlation between the means of the items
and dimensions was moderate (r for factor 1: 0.47-0.73; r for factor 2: 0.29
0.70). The coefficient of internal consistency of the scale was 0.85 for factor 1
and 0.81 for factor 2. Thus, Turkish version of Perception of Aggression Scale is
a valid and reliable tool. It is essential to understand perceptions of
aggressive behaviour in order to establish effective management strategies to
tackle untoward events in clinical settings.
PMID- 22074025
TI - Evolution of the large genome in Capsicum annuum occurred through accumulation of
single-type long terminal repeat retrotransposons and their derivatives.
AB - Although plant genome sizes are extremely diverse, the mechanism underlying the
expansion of huge genomes that did not experience whole-genome duplication has
not been elucidated. The pepper, Capsicum annuum, is an excellent model for
studies of genome expansion due to its large genome size (2700 Mb) and the
absence of whole genome duplication. As most of the pepper genome structure has
been identified as constitutive heterochromatin, we investigated the evolution of
this region in detail. Our findings show that the constitutive heterochromatin in
pepper was actively expanded 20.0-7.5 million years ago through a massive
accumulation of single-type Ty3/Gypsy-like elements that belong to the Del
subgroup. Interestingly, derivatives of the Del elements, such as non-autonomous
long terminal repeat retrotransposons and long-unit tandem repeats, played
important roles in the expansion of constitutive heterochromatic regions. This
expansion occurred not only in the existing heterochromatic regions but also into
the euchromatic regions. Furthermore, our results revealed a repeat of unit
length 18-24 kb. This repeat was found not only in the pepper genome but also in
the other solanaceous species, such as potato and tomato. These results represent
a characteristic mechanism for large genome evolution in plants.
PMID- 22074026
TI - Apolipoprotein E mRNA expression in mononuclear cells from normolipidemic and
hypercholesterolemic individuals treated with atorvastatin.
AB - BACKGROUND: Apolipoprotein E (apoE) is a key component of the lipid metabolism.
Polymorphisms at the apoE gene (APOE) have been associated with cardiovascular
disease, lipid levels and lipid-lowering response to statins. We evaluated the
effects on APOE expression of hypercholesterolemia, APOE
epsilon2/epsilon3/epsilon4 genotypes and atorvastatin treatment in Brazilian
individuals. The relationship of APOE genotypes and plasma lipids and
atorvastatin response was also tested in this population. METHODS: APOE
epsilon2/epsilon3/epsilon4 and plasma lipids were evaluated in 181 normolipidemic
(NL) and 181 hypercholesterolemic (HC) subjects. HC individuals with indication
for lowering-cholesterol treatment (n = 141) were treated with atorvastatin (10
mg/day/4-weeks). APOE genotypes and APOE mRNA in peripheral blood mononuclear
cells (PBMC) were analyzed by TaqMan real time PCR. RESULTS: HC had lower APOE
expression than NL group (p < 0.05) and individuals with low APOE expression
showed higher plasma total and LDL cholesterol and apoB, as well as higher apoAI
(p < 0.05). Individuals carrying epsilon2 allele have reduced risk for
hypercholesterolemia (OR: 0.27, 95% I.C.: 0.08-0.85, p < 0.05) and NL epsilon2
carriers had lower total and LDL cholesterol and apoB levels, and higher HDL
cholesterol than non-carriers (p < 0.05). APOE genotypes did not affect APOE
expression and atorvastatin response. Atorvastatin treatment do not modify APOE
expression, however those individuals without LDL cholesterol goal achievement
after atorvastatin treatment according to the IV Brazilian Guidelines for
Dyslipidemia and Atherosclerosis Prevention had lower APOE expression than
patients with desirable response after the treatment (p < 0.05). CONCLUSIONS:
APOE expression in PBMC is modulated by hypercholesterolemia and the APOE mRNA
level regulates the plasma lipid profile. Moreover the expression profile is not
modulated neither by atorvastatin nor APOE genotypes. In our population, APOE
epsilon2 allele confers protection against hypercholesterolemia and a less
atherogenic lipid profile. Moreover, low APOE expression after treatment of
patients with poor response suggests a possible role of APOE level in
atorvastatin response.
PMID- 22074028
TI - Effect of weight gain during pregnancy on heart rate variability and hypotension
during caesarean section under spinal anaesthesia.
AB - The purpose of this study was to assess the effect of antenatal weight gain on
baseline heart rate variability and incidence of hypotension in singleton
parturients with a normal pre-pregnancy body mass index, presenting at term for
elective caesarean section under spinal anaesthesia. Sixty-six parturients, of
ASA physical status 1-2, were allocated to one of three groups according to their
weight gain during pregnancy: < 11 kg; 11-16 kg; and > 16 kg. Mean (SD)
approximate entropy of baseline heart rate was significantly higher in the < 11
kg group (0.27 (0.11)) compared with the 11-16 kg group (0.14 (0.08)) and the >
16 kg group (0.14 (0.07)) (both p < 0.001). The incidence of hypotension in the <
11 kg group (17/22; 77%) was significantly higher than in the 11-16 kg group
(7/22; 32%) (p = 0.006) and the > 16 kg group (8/22; 36%) (p = 0.01). We conclude
that weight gain < 11 kg during pregnancy is associated with increased baseline
heart rate variability and a higher incidence of hypotension at the time of
elective caesarean section under spinal anaesthesia.
PMID- 22074027
TI - The influence of double flask investing on tooth displacement in dentures
processed by microwave irradiation.
AB - OBJECTIVE: This study evaluated the influence of the bimaxillary flask (BMF) and
two different investing materials on first molar inclination in dentures
processed by microwave irradiation. BACKGROUND: The BMF may minimise tooth
displacement, saving time and improving occlusion. METHODS AND MATERIALS: Forty
pairs of dentures were randomised into four groups: stone wall in monomaxillary
flask; silicone wall in BMF; stone wall in BMF; acrylic resin retentions and
silicone in BMF. Dentures were processed by microwave irradiation. Two
referential points were established on tooth surface. A microscope and a digital
pachymeter were used to measure the distance between these points, and the angles
alpha (right maxillary molar), beta (left maxillary molar), alpha' (right
mandibular molar) and beta' (left mandibular molar) were calculated by the law of
cosines. Data were submitted to Kruskal-Wallis (5% significance). RESULTS: No
difference was observed among the groups (p > 0.05). In the intra-group analysis,
alpha was significantly different for groups I, II and III; alpha', for groups II
and IV; beta, for all groups; beta', for groups III and IV. CONCLUSION: First
molar inclination was similar for monomaxillary and BMFs. The use of stone or
silicone as investing materials presented the same effect on tooth inclination.
PMID- 22074029
TI - The effect of sterilisation on the plasticity of multi-use Eschmann gum elastic
bougies: a bench and manikin study.
AB - We investigated the deterioration in plasticity of the multi-use Portex Tracheal
Guide ('bougie') with repeated sterilisation. Six bougies were prepared by
washing them between 0 and 100 times (in accordance with the manufacturer's
guidelines). Two tests were employed: a bench test in which rapid serial
photographs were taken of the bougies uncoiling from a preformed curve; and a
manikin-based test in which the six bougies were used in a simulated difficult
airway. The bench test demonstrated a progressive deterioration in plasticity
with repeated washing. However, the manikin-based test showed no significant
difference between bougies in the incidence of oesophageal placement (p = 0.74).
Time to placement differed significantly only between the two most-washed bougies
but was broadly similar. We suggest therefore that the manufacturer's limit of
five washings may be unnecessarily cautious.
PMID- 22074030
TI - Treatment of cocaine overdose with lipid emulsion.
AB - We describe the management and recovery of a 28-year-old man following a history
of overdose by nasal inhalation of cocaine. The patient was presented in a
comatose state suffering from seizures and marked cardiovascularly instability.
Intravenous lipid emulsion was administered following initial resuscitation and
tracheal intubation, as a means of treating persistent cardiac arrhythmias and
profound hypotension. Following lipid emulsion therapy, the patient's life
threatening cardiovascular parameters rapidly improved and he recovered well
without any side effects, thus being discharged within 2 days.
PMID- 22074031
TI - In vitro transactivation potencies of black-footed albatross (Phoebastria
nigripes) AHR1 and AHR2 by dioxins to predict CYP1A expression in the wild
population.
AB - Our previous studies have detected high levels of dioxins and related compounds
(DRCs) including polychlorinated dibenzo-p-dioxins (PCDDs), furans (PCDFs), and
coplanar PCBs (Co-PCBs) in the black-footed albatross (BFA), Phoebastria
nigripes, from the North Pacific region. We have also cloned two aryl hydrocarbon
receptors, AHR1 and AHR2, of the BFA. To evaluate the sensitivity to DRCs in the
BFA and to assess the status of cytochrome P450 1A (CYP1A) induction in the wild
population, this study investigated the mRNA expression levels of BFA AHR1 and
AHR2 and also the transactivation potencies of each AHR by 15 selected DRC
congeners. Quantitative real-time PCR of BFA AHR mRNAs showed that hepatic AHR1
is more highly expressed than AHR2. Transactivation by graded concentrations of
individual DRCs was measured in COS-7 cells, where BFA AHR1 or AHR2 was
transiently transfected. For congeners that exhibited AHR-mediated dose-dependent
activities, 50% effective concentration (EC(50)) and 2,3,7,8-tetrachlorodibenzo-p
dioxin (TCDD) relative potencies (REPs) were estimated. Based on the estimates of
the REPs, TCDD induction equivalency factors (IEFs) were determined. For BFA
AHR1, PeCDF was equipotent to TCDD, but other congeners exhibited lower IEFs. For
BFA AHR2, PCDD/F congeners except OCDD/F showed IEFs >= 1.0. Using BFA AHR1- or
AHR2-IEFs and hepatic concentrations of DRCs in North Pacific BFAs, TCDD
induction equivalents (IEQs) were calculated. We further constructed nonlinear
regression models on the relationships between BFA AHR1- or AHR2-IEF derived
total IEQ or WHO-TEF derived total TEQ and ethoxyresorufin-O-deethylase activity
(EROD) in the liver of wild BFAs. The results indicated that the relationships of
BFA AHR1- and AHR2-based IEQs and EROD were predictable from BFA AHR1- and AHR2
mediated transactivation by TCDD, respectively. Collectively, these results
suggest that the in vitro assay incorporating the AHR of species of concern would
be a useful tool to predict the sensitivity to DRCs in the species and CYP1A
induction in the wild population.
PMID- 22074032
TI - Formation of a "hard microemulsion" and its role in controllable synthesis of
nanoparticles within a functional polymer matrix.
AB - Microemulsions are often used in the synthesis of nanoparticles in solution. In
this work, we put forward the concept of a "hard microemulsion", which is based
on the differential partitioning of water and ethanol solvent molecules inside
functional polymer matrices. When the mixture of water and organic solvent enters
the functional polymer, the liquid molecules should partition to different
regions. Water should concentrate in the microdomains rich in hydrophilic
functional groups, forming water-enriched cores, whereas organic solvents should
localize near the alkyl polymer skeleton, forming organic liquid enriched outer
layers. From a macroscopic view, the swollen polymer matrix is divided into
numerous "microdroplets", resembling frozen water-in-oil microemulsions. We
define such a structure as a "hard microemulsion". The water-enriched
microdroplets may act as templates for synthesizing inorganic nanoparticles. We
demonstrate the utility of hard microemulsions for the controllable synthesis of
silver and platinum nanoparticles inside different macroreticular functional
polymers.
PMID- 22074033
TI - Association of the T45G and G276T polymorphisms in the adiponectin gene with
PCOS: A meta-analysis.
AB - Adiponectin is the most abundant adipocytokine in human body and may play a role
in the pathogenesis of polycystic ovary syndrome (PCOS). To clarify the
conflicting data in the literature concerning the association between PCOS and
two polymorphisms of the adiponectin gene, T45G and G276T, a meta-analysis was
performed in this study. Literature search was conducted through PubMed, EMBASE
and other relevant studies. Pooled odds ratios (OR) were estimated using fixed
effects (FE) models in codominant, recessive and dominant models. Sensitive
analysis was performed by excluding invalid studies. Eight articles investigated
the T45G polymorphism in PCOS, and five publications are associated with the
G276T polymorphism in PCOS. Significant associations of adiponectin T45G
polymorphism with PCOS were found in codominant (FEM: OR = 1.36, 95% CI: 1.12
1.65), recessive (FEM: OR = 2.02, 95% CI: 1.17-3.47) or dominant models (FEM: OR
= 1.33, 95% CI: 1.06-1.67). For adiponectin G276T polymorphism, the OR and 95% CI
are 0.81(0.68, 0.98), 0.74(0.51, 1.09) and 0.78 (0.61, 0.99) in codominant,
dominant and recessive models, respectively. This study provides positive
evidence for a causal relationship between the adiponectin gene and PCOS which
needs to be further confirmed by further studies.
PMID- 22074034
TI - China's birth control action programme, 1956-1964.
AB - Abstract Drawing upon available information this article throws light on
China's birth control action programme, focusing on (1) its organizational set
up, (2) the modes of communication, persuasion and pressure employed, (3)
products and services provided, and (4) strategies resorted to. The Chinese
approach to the birth control campaign has been characterized by two distinct and
related strategies: (1) 'tien hsien mien hou' strategy, and (2) 'mass campaign'
strategy. According to the first strategy - literally 'point first space later' -
the organized efforts are to be concentrated initially on the upper socio
economic strata and major urban areas, then gradually extended to cover lower
strata and lesser cities, and eventually expanded to encompass the entire rural
areas. This strategy also means that at the regional level a pilot project should
be undertaken first, and the experience subsequently employed to tackle a larger
area. The 'mass campaign' strategy means conducting crash, intensive, extensive
and intermittent publicity campaigns, involving all means of communication at the
regime's disposal.
PMID- 22074035
TI - Family, fertility, and sex ratios in the British Caribbean.
AB - Abstract This paper re-evaluates the currently held view that unstable forms of
conjugal unions depress fertility in the British Caribbean. It is shown that
previous investigators overlooked the important variable of persistent male
shortages at the mating ages caused by heavy male emigration. Fertility trends
are observed and the conclusion reached that male emigration (independent
variable) has not adversely affected fertility because the 'informal' polygynous
character of the mating system acts as an intermediate variable mitigating the
effect of the independent variable on fertility. Given these male shortages it is
argued that a trend toward greater stability in conjugal relations would not, as
previously assumed, result in higher fertility because permanent female celibacy
and the average age of first entry into sexual unions for females would rise.
Finally, the paper concludes by commenting on a recent discussion concerning the
causal relationship between male shortages and the persistence of marital
instability in the British Caribbean. The position is taken that both of these
conditions are caused by social and economic factors which are not likely to
change in the near future.
PMID- 22074036
TI - Net delay of next conception by contraception: A highly simplified case.
AB - Abstract A probability model, building on the work of Perrin and Sheps, is
presented and applied. The model makes it possible to follow a cohort of women
from a pregnancy outcome to next conception. Principal simplifying assumptions
are homogeneity among women and constant fecundity. Cases treated include no
contraception; a single contraceptive method practised; and a second
contraceptive method practised following a first one. Expected durations to next
conception may be calculated in relation to the following factors: outcome of
previous pregnancy, length of anovulation, natural fecundability, time first
contraceptive method is initiated, characteristics of first contraceptive
(effectiveness and continuation rate), characteristics of second contraceptive,
and gap between termination of first and commencing second contraceptive. By
suitably pairing runs of the model, one can construct experiments in which the
only differentiating factor is use and non-use of a specified contraceptive, and,
by differencing the corresponding mean durations to next conception, compute the
net delay of next conception produced by that contraceptive.
PMID- 22074037
TI - Changing patterns of family growth: The value of linked vital records as a source
of data.
AB - Abstract Marriage and birth registrations for the Canadian province of British
Colombia have been 'linked' by computer into family groupings to provide
reproductive histories of married couples. The usefulness of the approach is
illustrated by a comparison of the early productivities of marriages contracted
in 1961 and in 1951, taking into account the age of the bride, the duration of
the marriage, and the religions of the groom, bride and officiating clergyman.
Older Catholic brides are shown to run counter to the otherwise general trend
towards increasing productivity in the early years of marriage.
PMID- 22074038
TI - A study of Irish county marriage rates, 1961-1966.
AB - Abstract This article considers a group of models of Irish county marriage
rates. Some of these models account for the major part of the inter-county
variation in male and female marriage rates in terms of the influence of a
limited number of socio-economic variables. The sex ratio of the unmarried
population in the counties plays a key role in all of the models: the female
marriage rate tends to be raised, and the male rate lowered, by a high ratio of
males to females in the unmarried population. Male rates appear to increase under
more favourable economic conditions, as measured by county income per person,
while female rates appear to be lowered by increased female participation in the
labour force. In addition to these separate influences on male and female rates,
a recursive model in which there is a one-way influence from male to female
rates, and a simultaneous-equation model, in which male and female rates are
fully interdependent, were tested. The performance of the tested recursive model
was particularly satisfactory.
PMID- 22074039
TI - International migration of professionals.
AB - Abstract Using chiefly data published by the U.S. Immigration and
Naturalization Service this paper presents a statistical summary of the trends in
professional immigration into the United States. The proportion of immigrants
who are professionals has been steadily increasing during recent decades, and
change in immigration laws produced a sharper increase since 1965. The second
trend of importance is the increasing proportion of professional immigrants who
come from the less developed nations of the world. The effects of immigration
on American science and medicine are discussed. Important benefits appear to have
accrued to the U.S. The effects on the countries of origin are less beneficial.
Finally, the reasons behind the migration of professionals are discussed.
PMID- 22074040
TI - On the relation between economic status and family size preferences when status
differentials in contraceptive instrumentalities are eliminated.
AB - Abstract In this paper an attempt is made to formalize the essential elements
of a theory of reproductive motivation outlined by Judith Blake in a recent
article. On the basis of the results of that exercise, it is argued that the
theory, as it stands now, is not specific enough to 'predict' whether the
affluent would choose to have fewer children than the poor, if contraceptive
instrumentalities were to be equalized among the economic strata. It is also
argued that the negative (though weak) association between ideal family size and
economic status and the non-negative association between desired number of
children and economic status, observed in survey data, are both consistent with
the basic premisses of the theory under reference.
PMID- 22074041
TI - The incidence of spontaneous abortion.
AB - Abstract Published data are reviewed and it is concluded that about one
fertilized ovum in three perishes before pregnancy is recognised. Of those
surviving until pregnancy is recognized, one in four or five perish before term.
So spontaneous loss accounts for about one fertilized ovum in two in a medically
advanced society.
PMID- 22074042
TI - Causes of fertility decline in Eastern Europe and the Soviet Union II.
AB - Abstract The first part of this study (which appeared in the preceding issue of
Population Studies) assessed the extent of the decline in fertility in the
countries of the area during the last 10-15 years, and analyzed the purely
demographic aspects ofthis phenomenon. Part II examines the socio-economic
differentials in fertility, with regard to such variables as urban-rural
residence, socio-occupational and employment status of women, educational
attainment, income and housing conditions, and the consequent impact of
structural changes in these characteristics of the population on observed
fertility trends. The broad conclusion is that the fertility differentials
usually found in western societies are also relevant to the socialist countries
of eastern Europe, and that the dramatic falls in fertility in the 1950's and the
1960's have largely been the outcome ofthe deep and rapid structural changes,
particularly those associated with urbanization, educational attainment and the
incidence of female employment. The last part of the study is concerned with
the impact on post-war fertility trends of social legislation and of general
economic policies, particularly in the fields of employment and income. An
appraisal of the extent of family planning is followed by a discussion of the
recent pro-natalist measures introduced in most countries of the area and of
their effectiveness.
PMID- 22074045
TI - The FGF and FGFR Gene Family and Risk of Cleft Lip With or Without Cleft Palate.
AB - Background : Isolated, nonsyndromic cleft lip with or without cleft palate is a
common human congenital malformation with a complex and heterogeneous etiology.
Genes coding for fibroblast growth factors and their receptors (FGF/FGFR genes)
are excellent candidate genes. Methods : We tested single-nucleotide polymorphic
markers in 10 FGF/FGFR genes (including FGFBP1, FGF2, FGF10, FGF18, FGFR1, FGFR2,
FGF19, FGF4, FGF3, and FGF9) for genotypic effects, interactions with one
another, and with common maternal environmental exposures in 221 Asian and 76
Maryland case-parent trios ascertained through a child with isolated,
nonsyndromic cleft lip with or without cleft palate. Results : Both FGFR1 and
FGF19 yielded evidence of linkage and association in the transmission
disequilibrium test, confirming previous evidence. Haplotypes of three single
nucleotide polymorphisms in FGFR1 were nominally significant among Asian trios.
Estimated odds ratios for individual single-nucleotide polymorphic markers and
haplotypes of multiple markers in FGF19 ranged from 1.31 to 1.87. We also found
suggestive evidence of maternal genotypic effects for markers in FGF2 and FGF10
among Asian trios. Tests for gene-environment (G * E) interaction between markers
in FGFR2 and maternal smoking or multivitamin supplementation yielded significant
evidence of G * E interaction separately. Tests of gene-gene (G * G) interaction
using Cordell's method yielded significant evidence between single-nucleotide
polymorphisms in FGF9 and FGF18, which was confirmed in an independent sample of
trios from an international consortium. Conclusion : Our results suggest several
genes in the FGF/FGFR family may influence risk for isolated, nonsyndromic cleft
lip with or without cleft palate through distinct biological mechanisms.
PMID- 22074046
TI - Perceptions and practices of pharmaceutical wholesalers surrounding counterfeit
medicines in a developing country: a baseline survey.
AB - BACKGROUND: Recent investigations by the Ministry of Health of Cambodia suggest
that counterfeit medicines have been introduced into the pharmaceutical market in
tampered packaging. To further explore this possibility, an interview survey was
conducted at the wholesaler level to investigate the medicinal supply chain in
Cambodia. METHODS: Managing executives of 62 (83.8%) registered wholesalers of
modern medicines in Cambodia were interviewed in 2009 on their knowledge of,
perception on, and practices related to counterfeiting issues through a semi
structured questionnaire. RESULTS: According to our findings, 12.9% of the
wholesalers had encountered counterfeit medicine. However, they demonstrated a
variety of perceptions regarding this issue. A majority (59.7%) defined
counterfeit medicines as medicines without registration, while other definitions
included medicines that were fraudulently manufactured, medicines without a
batch/lot number, those containing harmful ingredients or a reduced amount of
active ingredients, and expired medicines. Additionally, 8.1% responded that they
did not know what counterfeit medicines were.During procurement, 66.1% of the
wholesalers consider whether the product is registered in Cambodia, while 64.5%
consider the credibility and quality of the products and 61.3% consider the
reputation of the manufacturers. When receiving a consignment, 80.6% of
wholesalers check the intactness of medicines, 72.6% check the specification and
amount of medicines, 71% check Cambodian registration, 56.5% check that the
packaging is intact, 54.8% check batch and lot numbers, 48.4% check the dates of
manufacture and expiration, and 9.7% check analytical certificates.Out of 62
wholesalers, 14.5% had received medicines that arrived without packages or were
separated from their packaging and had to be repacked before distribution.
Significant statistical association was found between wholesalers who received
medicines separately from their packs/containers and who consider their belief on
reliability of pharmaceutical products of certain manufacturing country during
procurement (Chi-square: 12.951, P = 0.002). When wholesalers divide medicines
from larger packs into smaller ones, 54.8% use packaging purchased from local
markets. CONCLUSION: A number of wholesalers think counterfeit medicines are
medicines without registration, and/or do not have any uniform ideas on the issue
and what to do, when they find or suspect counterfeits. Furthermore, their strict
adherence to anti-counterfeiting measures is urgently needed.
PMID- 22074047
TI - Secretome of the free-living mycelium from the ectomycorrhizal basidiomycete
Laccaria bicolor.
AB - The ectomycorrhizal basidiomycete Laccaria bicolor has a dual lifestyle with a
transitory soil saprotrophic phase and a longer mutualistic interaction with tree
roots. Recent evidence suggests that secreted proteins play key roles in host
plant colonisation and symbiosis development. However, a limited number of
secreted proteins have been characterized, and the full spectrum of effectors
involved in the mycobiont invasion and survival remains unknown. We analyzed the
extracellular proteins secreted in growth medium by free-living mycelium of L.
bicolor as a proxy for its saprotrophic phase. The proteomic analyses (two
dimensional electrophoresis and shotgun proteomics) were substantiated by whole
genome expression transcript profiling on ectomycorrhizal roots. Among the 224
proteins identified were carbohydrate-acting enzymes likely involved in the cell
wall remodelling linked to hyphal growth as well as secreted proteases possibly
digesting soil organic compounds and/or fending off competitors, pathogens, and
predators. Evidence of gene expression was found in ectomycorrhizal roots for 210
of them. These findings provide the first global view of the secretome of a
mutualistic symbiont and shed some light on the mechanisms controlling cell wall
remodelling during the hyphal growth. They also revealed many novel putative
secreted proteins of unknown function, including one mycorrhiza-induced small
secreted protein.
PMID- 22074048
TI - Hepatitis B core IgM antibody (anti-HBcIgM) among hepatitis B surface antigen
(HBsAg) negative blood donors in Nigeria.
AB - BACKGROUND: Transfusion associated Hepatitis B virus (TAHBV) continues to be a
major problem despite mandatory screening for Hepatitis B surface Antigen
(HBsAg). Presence of HBsAg is the common method for detecting hepatitis B
infection. Unfortunately, this marker is not detected during the window period of
the infection. Nigeria being a developing country cannot afford DNA testing of
all collected units of blood which serve as the only possibility of achieving
zero risk of transfusion associated HBV. Five different serological makers of
hepatitis B virus (HBV) infection were therefore assessed to evaluate the
reliability of using HBsAg marker alone in diagnosis of HBV infection among blood
donors and to detect the serological evidence of the infection at the window
period. This will preclude the possibility of transmitting hepatitis B through
transfusion of Hepatitis B surface antigen (HBsAg) negative blood in Nigeria.
METHODS: Between July and August 2009, 92 blood donors were enrolled for the
study. The prevalence of 5 different markers of Hepatitis B virus infection was
detected using Enzyme Linked Immunosorbent Assay (ELISA). Demographic factors
were assessed during the study. RESULTS: HBsAg and its antibody (anti-HBs) was
detected in 18 (19.6%) and 14(15.2%) of the 92 blood donors respectively. Anti
HBc IgM was found in 12(13.0%) of the 92 blood donors while Hepatitis B envelope
antigen (HBeAg) and its antibody (anti-HBe) were detected in 4(8.9%) and
12(26.7%) respectively from 45 donors sampled. HBeAg is a marker of high
infectivity and appears after HBsAg. At least one serological marker was detected
in 30(32.6%) of the blood donors. Five (5.4%) of the 92 donors had anti-HBc IgM
as the only serological evidence of hepatitis B virus infection. CONCLUSIONS: The
result of this study shows that five donors have anti-HBcIgM as the only
serological evidence of HBV infection. Inclusion of anti-HBcIgM in routine
screening of blood donors in Nigeria should be encouraged. This is the first
study to assess anti-HBcIgM in the country.
PMID- 22074049
TI - Recognition of physical deterioration in patients with mental health problems:
the role of simulation in knowledge and skill development.
AB - Recognition of physical deterioration in patients with mental health problems has
been recognized as a significant problem. Areas of particular concern include
rapid tranquilization, physical restraint, the consumption of alcohol and illicit
drugs have the potential to result in sudden and catastrophic patient
deterioration. Simulation sessions, using patient mannequins, are widely used to
support the education of nurses but its use in mental health has been somewhat
restricted. The aim of this study was to design and deliver simulation scenarios
to develop the skills and knowledge of mental health nursing students in the
recognition and management of physical deterioration. A series of three scenarios
were developed and delivered to a group of final-year nursing students.
Evaluation of the sessions was undertaken using analysis of video footage from
the sessions and through two focus groups. The results show that simulation is a
useful catalyst for discrepancy creation which in turn leads to the student
focusing their future learning towards addressing any identified deficits in
skills and knowledge identified. Authenticity of the simulation sessions also
plays a role in ensuring student engagement and faculty support during sessions
is vital to support the students in managing unfamiliar situations.
PMID- 22074050
TI - The degradative inventory of the cell: proteomic insights.
AB - SIGNIFICANCE: Protein degradation has been identified as being deregulated in
numerous human diseases. Hence, proteins involved in proteasomal as well as
lysosomal degradation are regarded as interesting potential drug targets and are
thoroughly investigated in clinical studies. RECENT ADVANCES: Technical advances
in the field of quantitative mass spectrometry (MS)-based proteomics allow for
detailed investigations of protein degradation dynamics and identifications of
responsible protein-protein interaction networks enabling a systematic analysis
of the degradative inventory of the cell and its underlying molecular mechanisms.
CRITICAL ISSUES: In the current review we outline recent technical advances and
their limitations in MS-based proteomics and discuss their use for the analysis
of protein dynamics involved in degradation processes. FUTURE DIRECTIONS: In the
next years the analysis of crosstalk between different posttranslational
modifications (PTMs) will be a major focus of MS-based proteomics studies.
Increasing evidence highlights the complexity of PTMs with positive and negative
feedbacks being discovered. In this regard, the generation of absolute
quantitative proteomic data will be essential for theoretical scientists to
construct predictive network models that constitute a valuable tool for fast
hypothesis testing and for explaining underlying molecular mechanisms.
PMID- 22074051
TI - Reviews on animal diseases recently published in other journals.
PMID- 22074053
TI - Nasal resistance in Japanese elementary schoolchildren: determination of normal
value.
AB - CONCLUSION: Rhinomanometry is a useful method for evaluating nasal airway patency
in schoolchildren, and we could confirm the validity of the results it produces.
OBJECTIVE: Our purpose was to assess the validity nasal resistance measurements
produced using anterior active rhinomanometry by comparing the results with those
of our previous study and to determine a normal value of the nasal resistance.
METHODS: Nasal resistance was measured by rhinomanometry in 852 children using
the active anterior method. RESULTS: Mean nasal resistance was 0.45 +/- 0.70
Pa/cm(3)/s. Nasal diseases were noted in 358 (42%) children, and nasal condition
was normal (the normal group) in 494 (58%) children. Nasal resistance was 0.57 +/
1.05 Pa/cm(3)/s in the nasal disease group and 0.35 +/- 0.16 Pa/cm(3)/s in the
normal group, showing that resistance was significantly higher in the nasal
disease group. In the normal nasal groups, nasal resistance tended to be lower in
the children in higher grades (first grade, 0.44 +/- 0.17 Pa/cm(3)/s; second
grade, 0.37 +/- 0.11 Pa/cm(3)/s; third grade, 0.36 +/- 0.23 Pa/cm(3)/s; fourth
grade, 0.36 +/- 0.14 Pa/cm(3)/s; fifth grade, 0.30 +/- 0.08 Pa/cm(3)/s; sixth
grade, 0.29 +/- 0.11 Pa/cm(3)/s), and taller groups (<120 cm, 0.43 +/- 0.16
Pa/cm(3)/s; 120-130 cm, 0.37 +/- 0.19 Pa/cm(3)/s; 130-140 cm, 0.34 +/- 0.12
Pa/cm(3)/s; >140 cm, 0.28 +/- 0.09 Pa/cm(3)/s). Results similar to those seen in
our previous study were obtained in each group.
PMID- 22074054
TI - Turn-on fluorescence in tetraphenylethylene-based metal-organic frameworks: an
alternative to aggregation-induced emission.
AB - Coordinative immobilization of functionalized tetraphenylethylene within rigid
porous metal-organic frameworks (MOFs) turns on fluorescence in the typically non
emissive tetraphenylethylene core. The matrix coordination-induced emission
effect (MCIE) is complementary to aggregation-induced emission. Despite the large
interchromophore distances imposed by coordination to metal ions, a carboxylate
analogue of tetraphenylethylene anchored by Zn(2+) and Cd(2+) ions inside MOFs
shows fluorescence lifetimes in line with those of close-packed molecular
aggregates. Turn-on fluorescence by coordinative ligation in a porous matrix is a
powerful approach that may lead to new materials made from chromophores with
molecular rotors. The potential utility of MCIE toward building new sensing
materials is demonstrated by tuning the fluorescence response of the porous MOFs
as a function of adsorbed small analytes.
PMID- 22074055
TI - Complex genetics controls natural variation among seed quality phenotypes in a
recombinant inbred population of an interspecific cross between Solanum
lycopersicum * Solanum pimpinellifolium.
AB - Seed quality in tomato is associated with many complex physiological and genetic
traits. While plant processes are frequently controlled by the action of small-
to large-effect genes that follow classic Mendelian inheritance, our study
suggests that seed quality is primarily quantitative and genetically complex.
Using a recombinant inbred line population of Solanum lycopersicum * Solanum
pimpinellifolium, we identified quantitative trait loci (QTLs) influencing seed
quality phenotypes under non-stress, as well as salt, osmotic, cold, high
temperature and oxidative stress conditions. In total, 42 seed quality traits
were analysed and 120 QTLs were identified for germination traits under different
conditions. Significant phenotypic correlations were observed between germination
traits under optimal conditions, as well as under different stress conditions. In
conclusion, one or more QTLs were identified for each trait with some of these
QTLs co-locating. Co-location of QTLs for different traits can be an indication
that a locus has pleiotropic effects on multiple traits due to a common
mechanistic basis. However, several QTLs also dissected seed quality in its
separate components, suggesting different physiological mechanisms and signalling
pathways for different seed quality attributes.
PMID- 22074056
TI - The prevalence of injection-site reactions with disease-modifying therapies and
their effect on adherence in patients with multiple sclerosis: an observational
study.
AB - BACKGROUND: Interferon beta (IFNbeta) and glatiramer acetate (GA) are
administered by subcutaneous (SC) or intramuscular (IM) injection. Patients with
multiple sclerosis (MS) often report injection-site reactions (ISRs) as a reason
for noncompliance or switching therapies. The aim of this study was to compare
the proportion of patients on different formulations of IFNbeta or GA who
experienced ISRs and who switched or discontinued therapy because of ISRs.
METHODS: The Swiss MS Skin Project was an observational multicenter study.
Patients with MS or clinically isolated syndrome who were on the same therapy for
at least 2 years were enrolled. A skin examination was conducted at the first
study visit and 1 year later. RESULTS: The 412 patients enrolled were on 1 of 4
disease-modifying therapies for at least 2 years: IM IFNbeta-1a (n = 82), SC
IFNbeta-1b (n = 123), SC IFNbeta-1a (n = 184), or SC GA (n = 23). At first
evaluation, ISRs were reported by fewer patients on IM IFNbeta-1a (13.4%) than on
SC IFNbeta-1b (57.7%; P < 0.0001), SC IFNbeta-1a (67.9%; P < 0.0001), or SC GA
(30.4%; P = not significant [NS]). No patient on IM IFNbeta-1a missed a dose in
the previous 4 weeks because of ISRs, compared with 5.7% of patients on SC
IFNbeta-1b (P = 0.044), 7.1% of patients on SC IFNbeta-1a (P = 0.011), and 4.3%
of patients on SC GA (P = NS). Primary reasons for discontinuing or switching
therapy were ISRs or lack of efficacy. Similar patterns were observed at 1 year.
CONCLUSIONS: Patients on IM IFNbeta-1a had fewer ISRs and were less likely to
switch therapies than patients on other therapies. This study may have
implications in selecting initial therapy or, for patients considering switching
or discontinuing therapy because of ISRs, selecting an alternative option.
PMID- 22074057
TI - Influenza viruses in Thailand: 7 years of sentinel surveillance data, 2004-2010.
AB - BACKGROUND: The re-emergence of avian influenza A (H5N1) in 2004 and the pandemic
of influenza A (H1N1) in 2009 highlight the need for routine surveillance systems
to monitor influenza viruses, particularly in Southeast Asia where H5N1 is
endemic in poultry. In 2004, the Thai National Institute of Health, in
collaboration with the U.S. Centers for Disease Control and Prevention,
established influenza sentinel surveillance throughout Thailand. OBJECTIVES: To
review routine epidemiologic and virologic surveillance for influenza viruses for
public health action. METHODS: Throat swabs from persons with influenza-like
illness and severe acute respiratory illness were collected at 11 sentinel sites
during 2004-2010. Influenza viruses were identified using the standard protocol
for polymerase chain reaction. Viruses were cultured and identified by
immunofluorescence assay; strains were identified by hemagglutination inhibition
assay. Data were analyzed to describe frequency, seasonality, and distribution of
circulating strains. RESULTS: Of the 19,457 throat swabs, 3967 (20%) were
positive for influenza viruses: 2663 (67%) were influenza A and able to be
subtyped [21% H1N1, 25% H3N2, 21% pandemic (pdm) H1N1] and 1304 (33%) were
influenza B. During 2009-2010, the surveillance system detected three waves of
pdm H1N1. Influenza annually presents two peaks, a major peak during the rainy
season (June-August) and a minor peak in winter (October-February). CONCLUSIONS:
These data suggest that March-April may be the most appropriate months for
seasonal influenza vaccination in Thailand. This system provides a robust profile
of the epidemiology of influenza viruses in Thailand and has proven useful for
public health planning.
PMID- 22074058
TI - Dissecting T-cell activation with high-resolution live-cell microscopy.
AB - Results from live-cell microscopy suggest that the behaviour of isolated
components of the T-cell activation machinery in vitro does not represent the
reality inside cells. Understanding the cellular-scale dynamics of microcluster
migration can only be accomplished by in situ observation. Developments in 'super
resolution' microscopy have permitted investigators to move beyond tracking the
movements of individual molecules, allowing the recognition of protein islands
and nanodomains present in quiescent and active T cells. Many high-resolution
techniques have their own susceptibilities to artefacts, so it is important to
take a multifaceted approach to confirm results. A major challenge for the future
will be to integrate all the new information into a coherent model of antigen
recognition and T-cell activation.
PMID- 22074060
TI - Nurses attitudes towards the importance of families in psychiatric care following
an educational and training intervention program.
AB - This study measures the attitudes of the psychiatric nurses, after having
received an education and training intervention program (ETI-PROGRAM) in family
systems nursing, towards the importance of the families in their care. Nurses'
knowledge of the impact that family nursing intervention can have on family
members may increase positive attitudes towards families. However, little is
known about the impact that education and training intervention can have on
nurses' attitudes, towards families in clinical practice. Quasi-experimental
design was used to assess the change in nurses' attitudes towards families in
psychiatric care after the intervention, which included a one-day seminar on the
Calgary family nursing conceptual frameworks and skills training with clinical
vignettes of families from psychiatry. The Families Importance in Nursing Care -
Nurses' Attitude questionnaire was used to evaluate nurses' attitudes. A total of
81 nurses (65%) working in psychiatric care responded to the questionnaire.
Nurses with more than 15 years of work experience were significantly more
supportive of families in their care compared with less experienced nurses. Out
of the 81 nurses, 52 (64%) answered the questionnaire again 14 months later.
Furthermore, psychiatric nurses saw families significantly less burdensome after
having participated in the ETI-PROGRAM.
PMID- 22074059
TI - Randomized pilot study of cabergoline, a dopamine receptor agonist: effects on
body weight and glucose tolerance in obese adults.
AB - AIM: Dopaminergic hypofunction and hyperprolactinaemia have been implicated in
the pathogenesis of obesity and glucose intolerance. The aim of this pilot study
was to determine the efficacy of cabergoline, a dopamine receptor agonist, on
body weight and glucose tolerance in obese non-diabetic persons with normal
plasma prolactin levels. METHODS: This 16-week double blind, placebo-controlled
pilot study randomized non-diabetic obese adults (body mass index 30-42 kg/m(2) )
to placebo or cabergoline (0.25 mg twice weekly for 4 weeks followed by 0.5 mg
twice weekly for the next 12 weeks). Of 40 subjects enrolled, 29 completed 16
weeks: 16 randomized to placebo and 13 to cabergoline. All subjects were
counselled on a 500 kcal/day calorie deficit diet. A 75-g oral glucose tolerance
test was performed at baseline and at 16 weeks. RESULTS: As expected, prolactin
levels decreased after cabergoline (p < 0.001). Weight loss was similar after
placebo compared with cabergoline treatment: 1.0 vs. 1.2% body weight,
respectively. Fasting glucose levels did not differ between groups after
treatment, however, 90-min postprandial glucose and insulin decreased in the
cabergoline group only (p = 0.029). HOMA-IR (homeostasis model of assessment)
increased by 40% after placebo and 1.5% after cabergoline treatment. CONCLUSIONS:
This pilot study suggests that cabergoline therapy may improve glucose tolerance
independent of weight loss, however, a larger, longer term study of dopamine
receptor agonist therapy in obese individuals is warranted to confirm this
finding.
PMID- 22074061
TI - 'I can't relate it to teeth': a qualitative approach to evaluate oral health
education materials for preschool children in New South Wales, Australia.
AB - BACKGROUND: Early Childhood Caries is a significant public health issue
worldwide. Although much is known about the aetiology of dental caries, there is
limited evidence on the understanding of caregivers on readily available early
childhood oral health education materials. AIM: The purpose of this study was to
record how parents cope with dental health education materials for preschool
children commonly available in New South Wales, Australia. DESIGN: This
qualitative study was nested within a large cohort study in South Western Sydney.
English-speaking mothers (n = 24) with young children were approached for a face
to-face, semi-structured interview at their homes. Two dental leaflets designed
by NSW Health to give advice on monitoring young children's oral health were sent
to mothers prior to the interview. Interviews were recorded and subsequently
transcribed verbatim. Transcripts were analysed by interview debriefing and a
thematic coding. RESULTS: Mothers generally reported that the leaflets were easy
to read but noted that the information pertaining to bottle feeding was
confusing. Furthermore, they were unable to understand terms such as 'fluoride'
and 'fissure sealants'. Early childhood nutrition and infant teething were
inadequately addressed, and mothers preferred pictorial presentations to improve
their understanding of oral health. CONCLUSIONS: Producers of health education
leaflets should keep the messages simple and straightforward, avoid the use of
medical jargon, and use pictorial aids to improve communication with parents.
PMID- 22074062
TI - Brain activity during the Clock-Drawing Test: multichannel near-infrared
spectroscopy study.
AB - The Clock-Drawing Test (CDT) is widely used in clinical practice for the
screening of dementia. However, neural activity during real clock drawing has not
been investigated due to motion artifacts. In the present study, we examined
brain activity during real clock drawing using multichannel near-infrared
spectroscopy (NIRS). We measured hemoglobin concentration changes in the
prefrontal and temporal surface areas during clock drawing using 52-channel NIRS.
Data obtained from 37 right-handed healthy volunteers were analyzed. We found
significant increases in oxy-Hb in more than 96.2% of the channels (false
discovery rate corrected, p < .025). The time required for CDT performance showed
a negative correlation with changes in oxy-Hb in the prefrontal region (r =
.529, p = .002). The mean value for oxy-Hb changes was higher in the left
hemisphere in 20 subjects (54%) and in the right hemisphere in 17 subjects (46%).
The NIRS/CDT combination is acceptable as a clinical tool, as the method has the
advantages of direct measurement of cortical activation with high temporal
resolution. Our results confirm the aspects of the CDT involving the frontal-lobe
battery.
PMID- 22074063
TI - Differential diagnosis of depression and Alzheimer's disease using the Cattell
Horn-Carroll theory.
AB - Clinical differentiation between Alzheimer's disease (AD) and depression is often
difficult due to symptom overlap and similar clinical presentation. Concise and
accurate diagnostic tests have been of interest for many years. Furthermore, with
the continued growth of the Cattell-Horn-Carroll (CHC) theory, there has been an
emergence of measures such as the Woodcock-Johnson-III Tests of Cognitive
Abilities (WJ-III COG), which are being more commonly used in clinical practice
yet have not been fully evaluated in terms of their efficacy in various domains
of clinical practice. This study investigated the predictive and diagnostic
properties of the WJ-III COG as a CHC-based test in differentiating between AD
and depression in the elderly population. A discriminative function used in the
study was able to correctly classify 89.02% of cases using six areas of the CHC
framework. The variables that had the highest predictive weights were: long-term
retrieval, fluid reasoning, processing speed, and working memory. The main
implication is that a theory-based approach is crucial in the support of
differential diagnosis and in decreasing the length of assessment for elderly
populations.
PMID- 22074064
TI - Rehearsal significantly improves immediate and delayed recall on the Rey Auditory
Verbal Learning Test.
AB - A repeated observation during memory assessment with the Rey Auditory Verbal
Learning Test (RAVLT) is that patients who spontaneously employ a memory
rehearsal strategy by repeating the word list more than once achieve better
scores than patients who only repeat the word list once. This observation led to
concern about the ability of the standard test procedure of RAVLT and similar
tests in eliciting the best possible recall scores. The purpose of the present
study was to test the hypothesis that a rehearsal recall strategy of repeating
the word list more than once would result in improved scores of recall on the
RAVLT. We report on differences in outcome after standard administration and
after experimental administration on Immediate and Delayed Recall measures from
the RAVLT of 50 patients. The experimental administration resulted in
significantly improved scores for all the variables employed. Additionally, it
was found that patients who failed effort screening showed significantly poorer
improvement on Delayed Recall compared with those who passed the effort
screening. The general clear improvement both in raw scores and T-scores
demonstrates that recall performance can be significantly influenced by the
strategy of the patient or by small variations in instructions by the examiner.
PMID- 22074065
TI - Characteristic of cognitive decline in Parkinson's disease: a 1-year follow-up.
AB - The aim of this study was to track the evolution of cognitive decline in
Parkinson's disease (PD) patients 1 year after baseline testing. Thirty-three PD
patients, divided according to three previously determined subgroups based on
their initial cognitive performance, and a healthy comparison group were
reassessed after a 1-year interval. Participants were assessed in the following
five domains: Executive Function, Problem Solving, Working Memory/Attention,
Memory, and Visuospatial Ability. The PD groups differed on the domains of
Executive Function, Problem Solving, and Working Memory, with the most severe
deficits being evident for the group that had previously shown the greatest level
of impairment. Increased cognitive problems were also associated with decreased
functioning in activities of daily living. The most severely impaired group had
evidence of global cognitive decline, possibly reflecting a stage of preclinical
dementia.
PMID- 22074066
TI - An investigation of impaired scores on the frontal assessment battery in a VA
population.
AB - In a population of inpatients, individuals were observed to continually score in
the impaired range on the Frontal Assessment Battery (FAB) without exhibiting
other signs of frontal-lobe damage. Investigations were undertaken to determine
if the subtest structure, demographic factors, or general cognitive functioning
may be responsible for patients' poor performance on the FAB overall.
Participants were inpatients at the Ann Arbor Veterans Hospital who were
administered a standard neuropsychological screening battery. This battery
included the FAB, among other tests, as part of regular clinical care. Included
in these study analyses were 292 patients with a mean age of 67.27 years (SD =
12.41). Descriptive analyses revealed that 63.7% of patients scored in the
impaired range on the FAB based on the criteria set forth by Dubois, Slachevsky,
and Litvan ( 2000 ). Analyses of individual subtest performance failed to find
any single test that would characterize participants' poor performance overall.
Nonetheless, the total FAB score was related to age, general cognitive
functioning, and premorbid estimates of intellectual functioning. The internal
reliability also was found to be lower than that reported previously. While the
FAB may measure frontal-lobe functions, it appears to be influenced by a
multitude of other demographic and neuropsychological factors.
PMID- 22074067
TI - Sensitivity of the test of memory malingering and the Nonverbal Medical Symptom
Validity Test: a replication study.
AB - The current investigation sought to replicate and extend the findings of Green (
in press ), which demonstrated superior sensitivity of the Nonverbal Medical
Symptom Validity Test (NV-MSVT) relative to the Test of Memory Malingering (TOMM)
in the detection of suboptimal effort during neuropsychological assessment.
Nearly twice as many examinees failed the NV-MSVT than the TOMM. Profile analyses
of the NV-MSVT demonstrated patterns suggestive of inconsistent effort in those
who failed the NV-MSVT but passed the TOMM. A classification analysis employing
the Word Memory Test and Medical Symptom Validity Test as external criteria for
poor effort showed that the NV-MSVT is substantially more sensitive to poor
effort than the TOMM and maintains an acceptable false-positive rate. Overall,
results closely matched those of the Green ( in press ) study and extend the
evidence that the NV-MSVT possesses better sensitivity to poor effort than the
TOMM.
PMID- 22074068
TI - Validation of WAIS-III four-subtest short forms in patients with traumatic brain
injury.
AB - In an effort to identify four-subtest Wechsler Adult Intelligence Scale-Third
Edition (WAIS-III) short forms valid for estimating Full-Scale IQ (FSIQ) among
individuals with traumatic brain injury (TBI), seven tetrad versions of the WAIS
III were evaluated in a convenience sample of patients referred for
neuropsychological assessment (n = 176). Estimated FSIQ scores were compared to
actual FSIQ scores via correlation analyses, repeated-measures analyses of
variance (ANOVAs), and frequency analyses. All short form-estimated FSIQ scores
correlated highly with actual scores (all rs > .91, ps < .001). Repeated-measures
ANOVAs identified no significant differences between actual and short form
estimated FSIQ scores for two of the seven short forms. These same two short
forms had the highest percentage of scores within +/-5 points of actual FSIQ
scores (75.6% and 71.6%). Thus, two tetrad versions were consistently superior to
others in accuracy of estimating FSIQ; these may be helpful when time constraints
or other issues necessitate use of an abbreviated battery for estimating FSIQ
among individuals with TBI.
PMID- 22074069
TI - Demographic and injury-related moderators of memory and achievement outcome in
pediatric TBI.
AB - Critical factors affecting traumatic brain injury (TBI) outcome in children and
adolescents are explored with an emphasis on an examination of age at injury as a
predictor of memory functioning. Age at injury and other injury-related and
demographic predictors (i.e., severity, time postinjury, gender, and
socioeconomic status [SES]) of memory and achievement outcome were examined in 65
children and adolescents post-TBI compared to 65 age-matched noninjured controls.
Although robust findings have been found for age at injury as a general predictor
of outcome, age was not found to be a significant predictor of memory functioning
following pediatric TBI. Structural equation modeling suggests that the most
parsimonious model of post-TBI outcome contains two causally related latent
variables: one defined by gender, SES, injury severity, and age at injury, and
one defined by general cognitive functioning.
PMID- 22074072
TI - Guest editors' commentary: 'State of the art' in airway management in 2011.
PMID- 22074073
TI - Airway research: the current status and future directions.
AB - We highlight the areas we think important for future development of the
subspeciality. The ultimate goal is to improve patient care and safety and to do
this, we need to identify how and where episodes of harm arise. Simply continuing
with current practice does not represent the best path towards our ultimate goal;
objective evidence is needed to inform changes in practice.
PMID- 22074074
TI - Simulating hypoxia and modelling the airway.
AB - Apnoea due to airway obstruction is an ever present concern in anaesthesia and
critical care practice and results in rapid development of hypoxaemia that is not
always remediable by manual bag-mask ventilation. As it is often difficult or
impossible to study experimentally (although some historical animal data exist),
it is useful to model the kinetics of hypoxaemia following airway obstruction.
Despite being a complex event, the consequences of airway obstruction can be
predicted with reasonable fidelity using mathematical and computer modelling.
Over the last 15 years, a number of high fidelity mathematical and computer
models have been developed, that have thrown light on this important event.
PMID- 22074075
TI - Hypoxia: developments in basic science, physiology and clinical studies.
AB - Airway management is primarily designed to avoid hypoxia, yet hypoxia remains the
main ultimate cause of anaesthetic-related death and morbidity. Understanding
some of the physiology of hypoxia is therefore essential as part of a 'holistic'
approach to airway management. Furthermore, it is strategically important that
national specialist societies dedicated to airway management do not only focus
upon the technical aspects of airway management, but also embrace some of the
relevant scientific questions. There has been a great deal of research into
causation of hypoxia and the body's natural protective mechanisms and responses
to it. This enables us to think of ways in which we might manipulate the cellular
and molecular responses to confer greater protection against hypoxia-induced
tissue injury. This article reviews some of those aspects.
PMID- 22074076
TI - Can we make airway management (even) safer?--lessons from national audit.
AB - The Fourth National Audit Project of the Royal College of Anaesthetists and the
Difficult Airway Society (NAP4) has published an extensive report examining both
current practices in the United Kingdom regarding airway management during
anaesthesia and the complications of airway management during anaesthesia and in
intensive care units and emergency departments. The report makes more than 160
recommendations designed to improve care of patients. These recommendations have
implications for individuals, departments, organisations and potentially for
national policy in terms of training, standards of practice and the need for
guidelines. The report also indicates several specific areas where future
research might be directed. This article focuses on the implementation phase of
NAP4, emphasising the importance of taking the lessons derived from NAP4 and
turning them into actions to improve the safety of airway care delivered to
patients, wherever in hospital this takes place.
PMID- 22074077
TI - The history of anaesthetic equipment evaluation in the United Kingdom: lessons
for developing future strategy.
AB - Recent guidance published by the Association of Anaesthetists of Great Britain
and Ireland encourages the purchase of equipment based on evidence of safety and
performance. For many years, evidence of the safety and performance of
anaesthetic equipment was published by various government departments and
agencies. However, these schemes were gradually eroded over time such that many
devices entered the market with little or no clinical evidence of their efficacy.
This recently led to the Difficult Airway Society's issuing guidance to its
members on how best to select new airway devices; guidance that was based on the
available evidence. This article provides a short history of the evaluation of
anaesthetic equipment in the United Kingdom.
PMID- 22074078
TI - Difficult mask ventilation: does it matter?
AB - We discuss the relevance of finding a patient's lungs difficult to ventilate by
facemask during the course of anaesthetic induction. In particular, we discuss
the issue of whether it is advisable or unnecessary to check the ability to
ventilate by facemask before administering a neuromuscular blocking agent. In the
light of advances in supraglottic airway technology it has become possible to
insert these devices very soon after induction and in a wider variety of
patients. Similarly, the development of videolaryngoscopes and rapidly acting
drugs such as rocuronium have raised the possibility of earlier, and possibly
more successful, tracheal intubation, with the potential result that mask
ventilation becomes redundant. However, we conclude by reaffirming its value in
airway management strategies.
PMID- 22074079
TI - Supraglottic airways in difficult airway management: successes, failures, use and
misuse.
AB - Supraglottic airway devices (SAD) play an important role in the management of
patients with difficult airways. Unlike other alternatives to standard tracheal
intubation, e.g. videolaryngoscopy or intubation stylets, they enable ventilation
even in patients with difficult facemask ventilation and simultaneous use as a
conduit for tracheal intubation. Insertion is usually atraumatic, their use is
familiar from elective anaesthesia, and compared with tracheal intubation is
easier to learn for users with limited experienced in airway management. Use of
SADs during difficult airway management is widely recommended in many guidelines
for the operating room and in the pre-hospital setting. Despite numerous studies
comparing different SADs in manikins, there are few randomised controlled trials
comparing different SADs in patients with difficult airways. Therefore, most
safety data come from extended use rather than high quality evidence and claims
of efficacy and particularly safety must be interpreted cautiously.
PMID- 22074080
TI - Evidence for benefit vs novelty in new intubation equipment.
AB - A myriad of new intubation equipment has been introduced commercially since the
appearance of Macintosh/Miller blades in the 1940s. We review the role of devices
that are relevant to current clinical practice based on their presence in the
scientific literature. The comparative performance of new vs traditional direct
laryngoscopes, their complications, their use in awake intubation techniques and
the prediction of unsuccessful intubation with new devices are reviewed. Manikin
studies are of limited value in this area. We conclude that in both predicted and
unpredicted difficult or failed intubation, carefully selected new intubation
equipment has a high success rate for tracheal intubation. Ideally, such devices
should be available in all settings where tracheal intubation is performed. Most
importantly, experience and competence with any of the new devices are critical
for their successful use in any clinical setting.
PMID- 22074081
TI - Equipment and strategies for emergency tracheal access in the adult patient.
AB - The inability to maintain oxygenation by non-invasive means is one of the most
pressing emergencies in anaesthesia and emergency care. To prevent hypoxic brain
damage and death in a 'cannot intubate, cannot oxygenate' situation, emergency
percutaneous airway access must be performed immediately. Even though this
emergency is rare, every anaesthetist should be capable of performing an
emergency percutaneous airway as the situation may arise unexpectedly. Clear
knowledge of the anatomy and the insertion technique, and repeated skill training
are essential to ensure completion of this procedure rapidly and successfully.
Various techniques have been described for emergency oxygenation and several
commercial emergency cricothyroidotomy sets are available. There is, however, no
consensus on the best technique or device. As each has its limitations, it is
recommended that all anaesthetists are skilled in more than one technique of
emergency percutaneous airway. Avoiding delay in initiating rescue techniques is
at least as important as choice of device in determining outcome.
PMID- 22074082
TI - Airway challenges in critical care.
AB - Airway management in the intensive care unit is more problematic than during
anaesthesia. In general, critically ill patients have less physiological reserve
and complications are more common, both during the initial airway intervention
(which includes risks associated with induction of anaesthesia), and later once
the airway has been secured. Despite these known risks, those managing the airway
of a critically ill patient, particularly out of hours, may be relatively
inexperienced. Solutions to these challenging airway problems include:
recognition of those patients with a potential airway problem; implementation of
a plan to deal with their airway; immediate availability of a difficult airway
trolley; use of capnography for every airway intervention and continuously in all
ventilator-dependent patients; and appropriate training of all intensive care
unit staff including use of simulation.
PMID- 22074083
TI - Progress in management of the obstructed airway.
AB - There is no consensus as to the ideal approach for the anaesthetic management of
the adult obstructed airway and there are advocates of awake fibreoptic
intubation, inhalational induction and intravenous induction techniques. This
review considers the different options available for obstruction at different
anatomical levels. Decisions must also be made on the urgency of the required
intervention. Particular controversies revolve around the role of inhalational vs
intravenous induction of anaesthesia, the use or avoidance of neuromuscular
blockade and the employment of cannula cricothyroidotomy vs surgical
tracheostomy.
PMID- 22074084
TI - Education in airway management.
AB - In airway management, poor judgment, education and training are leading causes of
patient morbidity and mortality. The traditional model of medical education,
which relies on experiential learning in the clinical environment, is
inconsistent and often inadequate. Curriculum change is underway in many medical
organisations in an effort to correct these problems, and airway management is
likely to be explicitly addressed as a clinical fundamental within any new
anaesthetic curriculum. Competency-based medical education with regular
assessment of clinical ability is likely to be introduced for all anaesthetists
engaged in airway management. Essential clinical competencies need to be defined
and improvements in training techniques can be expected based on medical
education research. Practitioners need to understand their equipment and
diversify their airway skills to cope with a variety of clinical presentations.
Expertise stems from deliberate practice and a desire constantly to improve
performance with a career-long commitment to education.
PMID- 22074085
TI - An evidence-based approach to airway management: is there a role for clinical
practice guidelines?
AB - Complications arising out of airway management represent an important cause of
anaesthesia-associated morbidity and mortality. Anaesthetic practice itself can
lead to preventable harm, a particular example being persistent attempts at
direct laryngoscopy, that results in delay in employing alternative strategies
(or devices) when intubation is difficult. When patients are injured, expert
review is called upon and often concludes that airway management provided by the
anaesthetists was substandard. Many training programmes do not offer their
trainees structured or organised teaching in airway management and many trainees
probably enter practice with limited skills to deal with difficult airways. The
literature on the management of the difficult airway in anaesthesia practice
(especially as it relates to new technology and salvage strategies) is expanding
rapidly. New technologies and practised response algorithms may be helpful in the
management of the difficult airway, reducing the potential for adverse patient
outcomes. Specialist societies and national interest groups can play an important
role by critically reviewing and then applying the evidence base to generate
clinical practice guidelines. The recommendations contained in such guidelines
should be based on the most current evidence and they should be reviewed
regularly for their content and continued relevance.
PMID- 22074086
TI - Surgical treatment of infective valve endocarditis in children with congenital
heart disease.
AB - OBJECTIVE: This study assesses surgical procedures, operative outcome, and early
and intermediate-term results of infective valve endocarditis in children with
congenital heart disease. METHODS: Seven consecutive children (five females, two
males; mean age, 10.8 years) who underwent surgery for infective valve
endocarditis between 2006 and 2010 were included in the study. The aortic and
mitral valves were affected in two and tricuspid in five patients. Indications
for operation included cardiac failure due to atrioventricular septal rupture,
severe tricuspid valve insufficiency, and septic embolization in one, moderate
valvular dysfunction with vegetations in three (two tricuspid, one mitral), and
severe valvular dysfunction with vegetations in the other three patients (two
tricuspid, one mitral). The pathological microorganism was identified in five
patients. Tricuspid valve repair was performed with ventricular septal defect
(VSD) closure in five patients. Two patients required mitral valve repair
including one with additional aortic valve replacement. RESULTS: There were no
operative deaths. Actuarial freedom from recurrent infection at one and three
years was 100%. Early echocardiographic follow-up showed four patients to have
mild atrioventricular valve regurgitation (three tricuspid and one mitral) and
three had no valvular regurgitation. No leakage from the VSD closure or any
valvular stenosis was detected postoperatively. CONCLUSIONS: Mitral and tricuspid
valve repairs can be performed with low morbidity/mortality rates and
satisfactory intermediate-term results in children with infective valve
endocarditis.
PMID- 22074087
TI - Evaluating remedial alternatives for an acid mine drainage stream: a model post
audit.
AB - A post audit for a reactive transport model used to evaluate acid mine drainage
treatment systems is presented herein. The post audit is based on a paired
synoptic approach in which hydrogeochemical data are collected at low (existing
conditions) and elevated (following treatment) pH. Data obtained under existing,
low-pH conditions are used for calibration, and the resultant model is used to
predict metal concentrations observed following treatment. Predictions for Al,
As, Fe, H(+), and Pb accurately reproduce the observed reduction in dissolved
concentrations afforded by the treatment system, and the information provided in
regard to standard attainment is also accurate (predictions correctly indicate
attainment or nonattainment of water quality standards for 19 of 25 cases).
Errors associated with Cd, Cu, and Zn are attributed to misspecification of
sorbent mass (precipitated Fe). In addition to these specific results, the post
audit provides insight in regard to calibration and sensitivity analysis that is
contrary to conventional wisdom. Steps taken during the calibration process to
improve simulations of As sorption were ultimately detrimental to the predictive
results, for example, and the sensitivity analysis failed to bracket observed
metal concentrations.
PMID- 22074088
TI - Reviews on animal diseases recently published in other journals.
PMID- 22074090
TI - Marital moratorium and fertility control in China.
AB - Abstract Since 1949, the issue of marital postponement has been extensively
discussed in China. Unlike some other means of fertility control (e.g. abortion
and oral contraception), marital postponement has been welcomed with the fewest
misgivings. Lately, marital postponement has also been given renewed emphasis by
those outside China who see a weak link between various current national family
planning programmes based on improved technology and the goal of fertility
reduction. One aim of this paper is to render a comprehensive account of the
marital postponement programme in China in the course of the birth control
campaign during the last two decades. The second objective is to discuss the
lessons that may be learned from it, and its implications for the current
fertility controversy in the United States. Four general conclusions emerge from
a careful analysis of the available documents: (1) in China, proponents of
delayed marriage were divided on the question of how to secure its general
acceptance. One issue dividing them was whether or not China's Marriage Law of
1950 should be amended in order to achieve it. Those who favoured raising the
minimum legal age disagreed on the details of the presumably needed change. There
is enough evidence to suggest that medical personnel were the chief advocates of
compulsory postponement of marriage. The government rejected this legalistic
approach and, in so doing, agreed with Chen Ta (a noted demographer) and others
who sought to achieve postponement of marriage through appropriate social and
economic measures. (2) Decisions to delay matrimony in different socio-cultural
settings are not necessarily identical sociological phenomena. In some societies,
(e.g. the United States), they may amount to no more than a course of action that
enables individuals involved to realize or develop alternate goals in life. In
others (e.g. China), they are literally acts of rebellion. (3) The fertility
policy dispute has been carried on in a context of revolutionary change, and
involved persons who have committed themselves to transforming the Chinese
family. This prior commitment was mainly responsible for the relative lack of
controversy about marital postponement as a means of fertility control. (4) Use
of contraception is private, hidden from open view. But postponement of marriage
is public and may be a source of inter-generational and interpersonal conflict.
In China and other parallel situations, a decision to delay marriage is in itself
against tradition. In this sense, a full-scale marital moratorium cannot but be
more than a partial assault on the hold that the family has over its offspring.
This must be unequivocally reflected in discussions of fertility control policy
everywhere.
PMID- 22074091
TI - Religious fertility differentials in Thailand, 1960.
AB - Abstract Although the evidence supporting high fertility in Thailand is clear
cut, little is known about fertility differentials within the population. As part
of a larger investigation, a special 1 % tabulation of the 1960 Thai census data
on number of children ever-born to married women has been analysed to determine
the extent of differentials by religion and urban-rural status. The findings
point to considerable differentials among Buddhists, Moslems, and Confucianists.
Standardizing for age, the number of children ever-born to 12/loslems averaged
well below the number born to Buddhists. Confucian fertility was intermediate.
Within specific age groups, the number of children ever-born to Moslem women was
considerably below the Buddhist average and the differentials were sharper in the
higher age groups. By contrast, Confucian fertility was highest of all in the age
groups under 35, but lower than the Buddhist averages among older women.
Significant urban-rural differentials also exist. For both the Buddhist and the
Confucian women, fertility is markedly lower in urban than in rural categories.
When controlling for both age and urban-rural status, Buddhist and Confucian
differences tend to be minimal. By contrast, Moslem fertility was highest in the
most urban category - Bangkok - but was considerably lower and substantially
below the fertility levels of Buddhists and Confucianists in all other urban
rural categories. The census data in themselves do not permit adequate analysis
of the reasons for the differentials. Later age at marriage in urban places may
be a significant factor in accounting for the overall differentials in urban
rural fertility ; but this relation is much less clear for specific religious
groups, particularly since Moslems marry at a considerably earlier age. More
frequent divorce and remarriage may lower Moslem rates. Poorer health may also be
a factor.
PMID- 22074092
TI - Family planning in Taiwan, Republic of China: Progress and prospects.
AB - Abstract The family planning programme in Taiwan is considered to have been
most successful and has been systematically evaluated. In the light of some
expressed scepticism, however, its impact on fertility will have to be carefully
reviewed. The present article discusses six specific problems : How many women
have accepted the Lippes loops or pills offered in the programme ? How long will
the loop stay in utero ? What proportion of married women is currently wearing
the loops ? What changes have occurred in the knowledge-attitude-practice (KAP)
of family planning among the target population ? How many births have been
prevented by the IUD programme ? And finally, How much has fertility declined ?
The fertility decline in Taiwan has been accelerating since the programme started
in 1964. Approximately 40% of the decline in the birth rate, from 36.3 in 1963 to
27.7 in 1969, or 8.5 points per 1,000 in six years, however, was due to changes
in age structure and delayed marriage. An increasing proportion of girls of
marriageable age and a possible increase in the fecundability of the population
will work against the programme's objective. Concerted efforts and heavier
investment are essential for the final success of the programme.
PMID- 22074093
TI - Two and a half centuries of demographic history in a Bavarian village.
AB - Abstract A village genealogy containing family histories of couples married
between 1692 and 1939 serves as the basis for a study of the demographic history
of a Bavarian village. The past patterns of marriage, re-marriage, widowhood,
illegitimacy, bridal pregnancy, marital fertility, family size, and birth
intervals are examined. Both the age at marriage and illegitimacy increased and
then declined during the nineteenth century, apparently in response to changes in
restrictive marriage legislation. Differences in fertility for occupational
groups were insignificant. Marital fertility remained extremely high before 1900
suggesting the absence of any substantial family limitation within marriage. A
rise in marital fertility that occurred during the last half of the nineteenth
century appears to result from a change in breast-feeding customs. The actual
number of children surviving to maturity for most couples was kept quite low,
however, through late marriage and high infant mortality. Only during the
twentieth century are substantial declines in infant mortality and fertility
evident.
PMID- 22074094
TI - Adam Smith on population.
AB - Abstract Adam Smith dealt with questions of population mainly in his Wealth of
Nations. His discussion falls roughly under five heads and reflects in
considerable measure his image of the English economy. (1) A country's population
capacity, given the average level of consumption, was conditioned by the stock of
land, the skill with which it was cultivated, and the degree to which division of
labour could be increased and thereby augment output for domestic use and sale in
external markets. (2) Growth of population was essentially in response to growth
of the demand for labour and served to increase division of labour. (3) The
social mechanisms underlying elevation of the scale of living are touched upon,
and in an optimistic spirit. (4) The distribution of a country's population
responded to its progress in opulence, with the rate of this progress conditioned
by the degree to which inappropriate (e.g. mercantilist) policies were avoided.
(5) Smith dealt briefly with such matters as colonies, education, size of
economy, environmental influences, and public policy, all of which he recognized
as significant for the quantity and quality of a country's numbers.
PMID- 22074095
TI - Pre-marital pregnancy, childspacing, and later economic achievement.
AB - Abstract The nature of the first-birth interval has a persistent, if
diminishing relation to the family's economic position at successive observations
in a longitudinal study of Detroit. The pre-maritally pregnant (PMP) were at a
disadvantage at either the first (1961) observation or the fourth (1966) as
compared with other married couples with either a short or long first birth
interval (short-spacers and long-spacers). The PMP disadvantage was much greater
for assets than for income, but disadvantage in each area persisted and was not a
result of age, duration of marriage, or other factors likely to disappear in
time. Poor education combined with early age at marriage was probably
responsible. On the other hand, the economic disadvantages of the short-spacers
(not PMP) as compared with the long-spacers, diminished consistently between 1961
and 1965. The initial disadvantage results from shorter marriage and career
duration for husbands at each parity. At comparable marriage durations the
difference disappears. Nevertheless, this means substantially smaller resources
per head at the actual time of birth of successive children.
PMID- 22074096
TI - Nineteenth-Century fertility oscillations.
AB - Abstract A model of delayed response, developed within the frame of a general
sociological analysis, is applied to oscillations in aggregate legitimate
fertility, considered as delayed responses to variations in the marriage rate.
The appropriate lag function is derived on the basis of an assumed fertility
schedule by duration of marriage. It is shown that if oscillations were caused
solely by marriage fluctuations they would be very smooth with an 'average
period' of 25 years and upwards. In Swedish data from the period 1830-1879 this
smooth pattern is discernible as one component, overlaid by short-term
fluctuations for which some other cause must be found. After a discussion of
alternative explanations it is submitted that the cause is the practice of birth
control on a fairly extensive scale, long before the secular decline in marital
fertility, a conclusion which ties in with the results of earlier analyses of
nineteenth-century fertility.
PMID- 22074097
TI - The spread of urbanization in England and Wales, 1851-1951.
AB - Abstract Each county in England and Wales has been classified as rural or urban
for each of the decennial census years 1851-1951. One index has been used as the
basis for these classifications, the percentage of adult males occupied in
agriculture. Thus, for each census year a value, in term of this index, was fixed
as the criterion to determine whether a county was rural or urban in that year.
This criterion of classification varies, over time. This is to allow for the
reduction in the percentage of adult males occupied in agriculture as a result of
structural changes in the occupational distribution (associated with general
modernization), rather than through a shift away from agriculture. The
geographical patterns of urbanization in England and Wales during the period 1851
1951, and some associated social and economic changes, are discussed in the
paper.
PMID- 22074099
TI - "The decline of the size of the domestic group in England".
PMID- 22074098
TI - Size and structure of the household in England over three centuries: A comment.
PMID- 22074100
TI - Economic value of preventing births: Reply to Simon.
PMID- 22074103
TI - Prevalence of human papillomavirus infection in women in Benin, West Africa.
AB - BACKGROUND: Cervical cancer ranks as the first most frequent cancer among women
in Benin. The major cause of cervical cancer now recognized is persistent
infection of Human Papillomavirus (HPV). In Benin there is a lack of screening
programs for prevention of cervical cancer and little information exists
regarding HPV genotype distribution. METHODS: Cervical cells from 725 women were
examined for the presence of viral DNA by means of a polymerase chain reaction
(PCR) multiplex-based assay with the amplification of a fragment of L1 region and
of E6/E7 region of the HPV genome, and of abnormal cytology by Papanicolaou
method. The association between HPV status and Pap test reports was evaluated.
Socio-demographic and reproductive characteristics were also related. RESULTS: A
total of 18 different HPV types were identified, with a prevalence of 33.2%
overall, and 52% and 26.7% among women with and without cervical lesions,
respectively. Multiple HPV infections were observed in 40.2% of HPV-infected
women. In the HPV-testing group, the odds ratio for the detection of abnormal
cytology was 2.98 (95% CI, 1.83-4.84) for HPV positive in comparison to HPV
negative women. High risk types were involved in 88% of infections, most notably
HPV-59, HPV-35, HPV-16, HPV-18, HPV-58 and HPV-45. In multiple infections of
women with cytological abnormalities HPV-45 predominated. CONCLUSIONS: This study
provides the first estimates of the prevalence of HPV and type-specific
distribution among women from Benin and demonstrates that the epidemiology of HPV
infection in Benin is different from that of other world regions. Specific area
vaccinations may be needed to prevent cervical cancer and the other HPV-related
diseases.
PMID- 22074104
TI - Targeted quantitative phosphoproteomics approach for the detection of phospho
tyrosine signaling in plants.
AB - Tyrosine (Tyr) phosphorylation plays an essential role in signaling in animal
systems. However, a few studies have also reported Tyr phosphorylation in plants,
but the relative contribution of tyrosine phosphorylation to plant signal
transduction has remained an open question. We present an approach to selectively
measure and quantify Tyr phosphorylation in plant cells, which can also be
applied to whole plants. We combined a (15)N stable isotope metabolic labeling
strategy with an immuno-affinity purification using phospho-tyrosine (pY)
specific antibodies. This single enrichment strategy was sufficient to
reproducibly identify and quantify pY containing peptides from total plant cell
extract in a single LC-MS/MS run. We succeeded in identifying 149 unique pY
peptides originating from 135 proteins, including a large set of different
protein kinases and several receptor-like kinases. We used flagellin perception
by Arabidopsis cells, a model system for pathogen triggered immune (PTI)
signaling, to test our approach. We reproducibly quantified 23 pY peptides in 2
inversely labeled biological replicates identifying 11 differentially
phosphorylated proteins. These include a set of 3 well-characterized flagellin
responsive MAP kinases and 4 novel MAP kinases. With this targeted approach, we
elucidate a new level of complexity in flagellin-induced MAP kinase activation.
PMID- 22074105
TI - Intra-individual assessment of speech and music perception in cochlear implant
users with contralateral CochlearTM and MED-ELTM systems.
AB - CONCLUSION: Whilst objective testing on music perception showed no individual
differences between cochlear implant (CI) devices, subjective music perception
was found to be superior with the MED-EL device in the majority of cases
evaluated. OBJECTIVE: To compare speech and music perception through two
different CI systems in the same individuals. METHODS: Six post-lingually deaf
patients, who had been implanted with a CochlearTM Nucleus((r)) device in one ear
and a MED-EL SONATATI(100) on the contralateral side were evaluated. One subject
was excluded from group analysis because of significant differences in
performance between ears. Subjects completed a questionnaire designed to assess
implant users' listening habits. Subjective assessments of each subject were made
for comparison of speech and music perception with each system and preferences of
system. The subjects consecutively used each system with the contralateral device
turned off, and were objectively assessed for specific musical skills. Speech
perception in quiet and in noise was tested. RESULTS: For all objective tests of
music discrimination and speech perception in noise, there were no statistically
significant differences between MED-EL and Cochlear CI systems. Subjectively,
four subjects thought their MED-EL device was better than their Cochlear device
for music appreciation. Four thought that music sounded more natural, less tinny
and more reverberant with their MED-EL CI than with their Cochlear CI. One
subject rated all these to be equal.
PMID- 22074106
TI - 15-year efficacy of uvulopalatopharyngoplasty based on objective and subjective
data.
AB - CONCLUSIONS: This follow-up showed a stable and significant decrease in median
oxygen desaturation index 4% (ODI(4)) values over the years. Approximately two
thirds of the patients fulfilled the success criteria (ODI(4) reduction of 50%
and <20) after 15 years. A majority had improved/cured excessive daytime
sleepiness (EDS) and were satisfied. No increased mortality rate was seen.
OBJECTIVES: To evaluate sleep apnoea recordings and symptoms in patients with
obstructive sleep apnoea syndrome 15 years after uvulopalatopharyngoplasty (UPPP)
compared to baseline and previous follow-ups. METHODS: This was a non-randomized,
prospective intervention study on 50 patients who underwent UPPP during 1985-88.
Their initial median age was 49 years (range 38-71) and ODI(4) was 26.5 (4-82).
RESULTS: In all, 13 patients had died; 26 patients underwent sleep apnoea
recordings. Median ODI(4) had decreased from 26.5 (range 4-82) to 8.5 (0-60), p <
0.01, a mean reduction of 52%; 65% of patients achieved the success criteria. One
third was objectively categorized as non-snorers. Median body mass index was
unchanged. The questionnaires were answered by 32 of 37 patients; 88% reported
improved or cured EDS and 78% were satisfied. Pharyngeal disturbances ratings
were low. The standardized mortality rate did not differ from the general Swedish
population.
PMID- 22074107
TI - Efficacy of percutaneous vocal fold injections for benign laryngeal lesions:
Prospective multicenter study.
AB - CONCLUSION: Percutaneous steroid injection (PSI) may be a useful alternative
modality for treatment of benign vocal fold lesions. OBJECTIVES: When patients
refuse general anesthesia or voice therapy for benign vocal fold diseases
(Reinke's edema, vocal polyp, nodule, and scarring), there are no other options
available. We conducted an analysis of the effects of PSI as an alternative
treatment for benign vocal fold diseases. METHODS: From October 2008 to March
2010, 130 patients with benign vocal fold disease who refused general anesthesia
or showed no response to voice therapy underwent PSI. From this group, the
present study included 115 patients who completed the evaluation before PSI and
at the first and third month after PSI and also an additional 25 patients who
completed evaluation at the sixth month after PSI. RESULTS: Among 115 patients in
the study, 40 cases (34.8%) showed complete remission and 57 cases (49.6%) showed
partial remission. As a result, overall improvement rates were 84.4%. Almost all
objective and subjective parameters showed statistical improvement at the first
and third month after PSI (p < 0.05). Jitter and all subjective parameters
maintained statistical improvement until the sixth month. No severe
complications, such as fold atrophy, were observed.
PMID- 22074108
TI - HBV inhibits apoB production via the suppression of MTP expression.
AB - BACKGROUND: Liver dominates the production and secretion of apolipoprotein B
(apoB) and evidence shows that liver malfunction induced by hepatitis B virus
(HBV) infection could lead to apolipoprotein metabolism disorders. The present
study was undertaken to assess the effects of HBV on apoB expression. METHODS:
Clinical examination: serum apoB levels in patients with chronic HBV infection
and in healthy individuals were measured by immunoturbidimetry using biochemical
analyzer Olympus 5400. Cell study: mRNA and protein expression levels of apoB in
HepG2 and HepG2.2.15 cells were measured by RT-PCR and Western blot.
Alternatively, HBV infectious clone pHBV1.3 or control plasmid pBlue-ks were
tranfected into HepG2 cells, and mRNA and protein expression levels of apoB, as
well as the microsomal triglyceride transfer protein (MTP) in tranfected HepG2
cells were also measured by RT-PCR and western blot. RESULTS: Serum apoB level
was much lower in chronic HBV patients as compared to healthy individuals (P <
0.05). Expression of apoB mRNA and protein was lower in HepG2.2.15 cells than in
HepG2 cells. Similarly, expression of apoB mRNA and protein was lower in pHBV1.3
transfected HepG2 cells than in pBlue-ks transfected HepG2 cells. Expression of
MTP mRNA and protein in pHBV1.3 transfected HepG2 cells was reduced in a dose
dependent fashion. CONCLUSION: HBV infection plays an inhibitory effect on apoB
expression.
PMID- 22074109
TI - Smoking in preeclamptic women is associated with higher birthweight for
gestational age and lower soluble fms-like tyrosine kinase-1 levels: a nested
case control study.
AB - BACKGROUND: Smoking paradoxically increases the risk of small-for-gestational-age
(SGA) birth but protects against preeclampsia. Some studies have reported a "U
shaped" distribution of fetal growth in preeclamptic pregnancies, but reasons for
this are unknown. We investigated whether cigarette smoking interacts with
preeclampsia to affect fetal growth, and compared levels of soluble fms-like
tyrosine kinase-1 (sFlt-1), a circulating anti-angiogenic protein, in
preeclamptic smokers and non-smokers. METHODS: From a multicenter cohort of 5337
pregnant women, we prospectively identified 113 women who developed preeclampsia
(cases) and 443 controls. Smoking exposure was assessed by self-report and
maternal hair nicotine levels. Fetal growth was assessed as z-score of
birthweight for gestational age (BWGA). sFlt-1 was measured in plasma samples
collected at the 24-26-week visit. RESULTS: In linear regression, smoking and
preeclampsia were each associated with lower BWGA z-scores (beta = -0.29; p =
0.008, and beta = -0.67; p < 0.0001), but positive interaction was observed
between smoking and preeclampsia (beta = +0.86; p = 0.0008) such that smoking
decreased z-score by -0.29 in controls but increased it by +0.57 in preeclampsia
cases. Results were robust to substituting log hair nicotine for self-reported
smoking and after adjustment for confounding variables. Mean sFlt-1 levels were
lower in cases with hair nicotine levels above vs. below the median (660.4 pg/ml
vs. 903.5 pg/ml; p = 0.0054). CONCLUSIONS: Maternal smoking seems to protect
against preeclampsia-associated fetal growth restriction and may account, at
least partly, for the U-shaped pattern of fetal growth described in preeclamptic
pregnancies. Smoking may exert this effect by reducing levels of the anti
angiogenic protein sFlt-1.
PMID- 22074110
TI - Prognostic significance of hemoglobin A1c level in patients hospitalized with
coronary artery disease. A systematic review and meta-analysis.
AB - BACKGROUND: The prognostic value of hemoglobin A1c (HbA1c) in coronary artery
disease (CAD) remains controversial. Herein, we conducted a systematic review to
quantify the association between elevated HbA1c levels and all-cause mortality
among patients hospitalized with CAD. METHODS: A systematic search of electronic
databases (PubMed, EMBASE, OVID, Web of Science, The Cochrane Library) for
studies published from 1970 to May 2011 was performed. Cohort, case-control
studies, and randomized controlled trials that examined the effect of HbA1c on
all-cause mortality were included. RESULTS: Twenty studies met final inclusion
criteria (total n = 13, 224). From the pooled analyses, elevated HbA1c level was
significantly associated with increased short-term (OR 2.32, 95% CI, 1.61 to
3.35) and long-term (OR 1.54, 95% CI, 1.23 to 1.94) mortality risk. Subgroup
analyses suggested elevated HbA1c level predicted higher mortality risk in
patients without diabetes (OR 1.84, 95% CI, 1.51 to 2.24). In contrast, in
patients with diabetes, elevated HbA1c level was not associated with increased
risk of mortality (OR 0.95, 95% CI, 0.70 to 1.28). In a risk-adjusted sensitivity
analyses, elevated HbA1c was also associated with a significantly high risk of
adjusted mortality in patients without diabetes (adjusted OR 1.49, 95% CI, 1.24
to 1.79), but had a borderline effect in patients with diabetes (adjusted OR
1.05, 95% CI, 1.00 to 1.11). CONCLUSIONS: Our findings demonstrate that elevated
HbA1c level is an independent risk factor for mortality in CAD patients without
diabetes, but not in patients with established diabetes. Prospective studies
should further investigate whether glycemic control might improve outcomes in CAD
patients without previously diagnosed diabetes.
PMID- 22074112
TI - Personal identification by the comparison of facial profiles: testing the
reliability of a high-resolution 3D-2D comparison model.
AB - Identification from video surveillance systems is frequently requested in
forensic practice. The "3D-2D" comparison has proven to be reliable in assessing
identification but still requires standardization; this study concerns the
validation of the 3D-2D profile comparison. The 3D models of the faces of five
individuals were compared with photographs from the same subjects as well as from
another 45 individuals. The difference in area and distance between maxima
(glabella, tip of nose, fore point of upper and lower lips, pogonion) and minima
points (selion, subnasale, stomion, suprapogonion) were measured. The highest
difference in area between the 3D model and the 2D image was between 43 and 133
mm(2) in the five matches, always greater than 157 mm(2) in mismatches; the mean
distance between the points was greater than 1.96 mm in mismatches, <1.9 mm in
five matches (p < 0.05). These results indicate that this difference in areas may
point toward a manner of distinguishing "correct" from "incorrect" matches.
PMID- 22074111
TI - SKP1 is involved in abscisic acid signalling to regulate seed germination,
stomatal opening and root growth in Arabidopsis thaliana.
AB - Abscisic acid (ABA) regulates many aspects of plant development, including seed
dormancy and germination, root growth and stomatal closure. Plant SKP1 proteins
are subunits of the SCF complex E3 ligases, which regulate several phytohormone
signalling pathways through protein degradation. However, little is known about
SKP1 proteins participating in ABA signalling. Here, we report that the
overexpression of Triticum aestivum SKP1-like 1 (TSK1) in Arabidopsis thaliana
(Arabidopsis) resulted in delayed seed germination and hypersensitivity to ABA.
The opening of stomatal guard cells and the transcription of several ABA
responsive genes were affected in transgenic plants. In contrast, Arabidopsis
skp1-like 1 (ask1)/ask1 ASK2/ask2 seedlings exhibited reduced ABA sensitivity.
Furthermore, the transcription of ASK1 and ASK2 was down-regulated in abi1-1 and
abi5-1 mutants compared with that in wild type. ASK1 or ASK2 overexpression could
rescue or partially rescue the ABA insensitivity of abi5-1 mutants, respectively.
Our work demonstrates that SKP1 is involved in ABA signalling and that SKP1-like
genes may positively regulate ABA signalling by SCF-mediated protein degradation.
PMID- 22074113
TI - Excited state proton transfer is not involved in the ultrafast deactivation of
Guanine-Cytosine pair in solution.
AB - Different derivatives of Guanine (G) and Cytosine (C), which sterically enforce
the Watson-Crick (WC) conformer, have been studied in CHCl(3) by means of broad
band transient absorption spectroscopy. Our experiments rule out the involvement
of an Excited State Proton Transfer (ESPT), which dominates the excited state
decay of GC in the gas phase. Instead, the ultrafast dynamics via internal
conversion occurs in a polar environment mainly by relaxation in the monomer
moieties. Time-dependent density functional theory (TD-DFT) calculations in
solution indeed indicate that population transfer from the bright excited states
toward the charge transfer state is not effective in CHCl(3) and a noticeable
energy barrier is associated with the ESPT reaction. ESPT is therefore not
expected to be a main deactivation route for GC pairs within DNA.
PMID- 22074114
TI - p62 at the interface of autophagy, oxidative stress signaling, and cancer.
AB - SIGNIFICANCE: Sequestosome 1 (p62/SQSTM1) is a multifunctional adapter protein
implicated in selective autophagy, cell signaling pathways, and tumorigenesis.
RECENT ADVANCES: Recent evidence has revealed that p62/SQSTM1 has a critical role
in an oxidative stress response pathway by its direct interaction with the
ubiquitin ligase adaptor Kelch-like ECH-associated protein 1 (KEAP1), which
results in constitutive activation of the transcription factor NF-E2-related
factor 2 (NRF2). CRITICAL ISSUES: Both NRF2 and KEAP1 are frequently mutated in
cancer. The findings just cited uncover a link between p62/SQSTM1, autophagy, and
the KEAP1-NRF2 stress response pathway in tumorigenesis and shed light on the
interplay between autophagy and cancer. FUTURE DIRECTIONS: Here, we review the
mechanisms by which p62/SQSTM1 implements its multiple roles in the regulation of
tumorigenesis with emphasis on the KEAP1-NRF2 stress response signaling pathway.
Uncovering the molecular mechanisms of p62/SQSTM1 function in oxidative stress
signaling might contribute to elucidating its role in tumorigenesis.
PMID- 22074115
TI - Sensitive detection and accurate monitoring of Plasmodium vivax parasites on
routine complete blood count using automatic blood cell analyzer (DxH800(TM)).
AB - INTRODUCTION: Plasmodium vivax malaria is one of the most important infectious
diseases plaguing humanity and causes significant mortality and morbidity
worldwide. The gold standard of P. vivax malaria diagnosis is the microscopy of
blood smears. Although microscopy is a rapid, cost-effective, and readily
applicable method, it has many disadvantages, including low sensitivity,
specificity, and precision. Therefore, there is a clear need for an effective
screening test for P. vivax malaria detection both in high-prevalence areas and
developed countries. METHODS: A total of 1761 complete blood count (CBC) samples
generated by the automated hematology analyzer (DxH 800TM; Beckman Coulter Inc.,
Miami, FL, USA) were retrospectively analyzed. The sample pool contained 123
samples from 52 P. vivax malaria patients and 1504 nonmalarial samples including
509 patients with leukopenia (white blood cell <2000/MUL) and 134 normal
subjects. RESULTS: The P. vivax malaria samples exhibited easily recognizable
typical malaria signals on the nucleated red blood cell (nRBC) plots (sensitivity
100%) in DxH 800TM. All 1504 samples without P. vivax infection were negative for
malaria signal (specificity 100%). The size of P. vivax malaria signals
correlated roughly with the parasite burden. CONCLUSION: DxH800TM provides very
sensitive and specific, easily recognizable P. vivax malaria signals on routine
CBC without need for the additional reagents or special procedures.
PMID- 22074116
TI - Daily life for young adults who care for a person with mental illness: a
qualitative study.
AB - This study describes the daily life and management strategies of young informal
carers of family members or friends with mental illness. Twelve young adults
(three men and nine women; 16-25 years old) in Sweden were voluntarily recruited
between February and May 2008. Data collected through eight individual semi
structured interviews and one focus group interview were analysed using
qualitative content analysis. The findings revealed nine subthemes that were
further grouped into three main themes: showing concern, providing support and
using management strategies. Participants lived in constant readiness for
something unexpected to happen to the person they cared for, and their role in
the relationship could change quickly from family member or friend to guardian or
supervisor. Supporting a friend was considered as large a personal responsibility
as supporting a family member. Their management strategies were based on
individual capacities and their ability to step aside should the situation become
too demanding. These young informal carers need support in caring for the
mentally ill. As the internet becomes increasingly fundamental to daily life,
support could be provided most effectively through person-centred web sites.
PMID- 22074117
TI - Fast dissolving beta-lapachone particles and tablets: an approach using surface
adsorption technique.
AB - BACKGROUND: beta-lapachone (betaLAP) is obtained from natural resources with
promising preliminary results against the etiologic agent of Chagas disease.
betaLAP activity is associated with generation of free radical and inhibition of
nucleic acids and protein synthesis leading an outstanding antichagasic action.
Low water solubility and large therapeutic doses constitute the main problems to
overcome in the development of dosage forms of this drug. OBJECTIVE: The purpose
of the present research was to enhance the limited dissolution rate of betaLAP by
promoting the spontaneous crystalline growth of betaLAP on the surface of an
inert excipient. METHODS: Physicochemical characterization of the particles was
carried out as well as the drug dissolution rate. Drug adsorbed particles were
compared to the drug as supplied and its physical mixtures with the inner
excipient. The utility of the betaLAP adsorbed particles in the development of
tablets obtained by direct compression were also evaluated. RESULTS: Particles
produced by spontaneous crystalline growth of betaLAP on microcrystalline
cellulose (MCC) hydrophilic surface showed mean diameters between 55-65 um and
fast drug dissolution rate (90% drug dissolved at 50 min). Neither physical nor
chemical instability of the drug were detected after the drug adsorption
procedure. The compression process does not extensively deteriorate the
dissolution behaviour of the systems when an adequate compression pressure is
used. CONCLUSIONS: Surface adsorption technique offers a simple way to produce
betaLAP powder and tablets with improved dissolution rate for oral
administration.
PMID- 22074118
TI - A novel tactile-guided detection and three-dimensional localization of clinically
significant breast masses.
AB - This paper presents a novel robotic sensory system 'Robo-Tac-BMI', which
manipulates an indentation probe for the detection and three-dimensional
localization of an abnormal mass embedded in the breast tissue. The Robo-Tac-BMI
is designed based on artificial tactile sensing technology which is a new non
invasive method for mimicking the surgeon's palpation quantitatively. The
intelligent processor of the device provides an overall stiffness map of the
scanned areas. The extracted stiffness parameters provide a decisive factor for
certifying the mass existence. Results are validated by 'gold standard' tests.
Following the mass detection, its 3D localization is of essential importance in
the treatment procedures. The planar 2D coordinate is readily available for all
points on the tissue surface. Mass depth estimation is achieved by a
comprehensive model utilizing the logistic regression algorithm and a Receiver
Operating Characteristic (ROC) Curve for the highest accuracy. Statistical
analysis is performed over 27 cases with 346 scanned areas.
PMID- 22074119
TI - Biomimetic polymersomes as carriers for hydrophilic quantum dots.
AB - For polymersomes to achieve their potential as effective delivery vehicles, they
must efficiently encapsulate therapeutic agents into either the aqueous interior
or the hydrophobic membrane. In this study, cell membrane-mimetic polymersomes
were prepared from amphiphilic poly(D,L-lactide)-b-poly(2
methacryloyloxyethylphosphorylcholine) (PLA-b-PMPC) diblock copolymers and were
used as encapsulation devices for water-soluble molecules. Thioalkylated
zwitterionic phosphorylcholine protected quantum dots (PC@QDs) were chosen as
hydrophilic model substrates and successfully encapsulated into the aqueous
polymersome interior, as evidenced by transmission electron microscopy (TEM) and
flow cytometry. In addition, we also found a fraction of the PC@QDs were bound to
both the external and internal surfaces of the polymersome. This interesting
immobilization might be due to the ion-pair interactions between the
phosphorylcholine groups on the PC@QDs and polymersomes. The experimental
encapsulation results support a mechanism of PLA-b-PMPC polymersome formation in
which PLA-b-PMPC copolymer chains first form spherical micelles, then worm-like
micelles, and finally disk-like micelles which close up to form polymersomes.
PMID- 22074120
TI - Training mental health promotion workers in programme evaluation.
AB - In this paper, a programme to train mental health promotion workers in programme
evaluation is described and evaluated. The programme is based on adult learning
values, guidelines for programme evaluation training and the general principles
of mental health promotion. Facilitated over 2 days, the programme also provided
individual mentoring. Training was evaluated via a questionnaire immediately
before and post training, and 6 months post training (n = 28, n = 18, n = 18).
Additionally, individual interviews were used with seven participants and
qualitatively analysed. Results indicate significant and sustained positive
changes in knowledge, confidence and behaviour and while satisfaction reduced
significantly at the six month follow-up, participants' level of satisfaction
towards mentoring was consistent. Post training, participants reported a greater
appreciation of the importance of evaluation planning and working
collaboratively.
PMID- 22074121
TI - Associations of miRNA polymorphisms and female physiological characteristics with
breast cancer risk in Chinese population.
AB - mircoRNAs (miRNAs) play important roles on regulation of gene expressions.
Aberrant expression of miRNAs was involved in various biological and pathological
processes, including tumorigenesis of breast cancer. Single-nucleotide
polymorphisms (SNPs) were implicated in altered expression or biological
functions of mature miRNAs. To explore the relevance of miRNA polymorphisms and
female physiological characteristics to breast cancer risk, SNPs located within
hsa-miR-605 (rs2043556), hsa-miR-149 (rs2292832), hsa-miR-27a (rs895819), hsa-miR
196a-2 (rs11614913) and hsa-miR-618 (rs2682818) were selected, and their
associations with breast cancer risk were analysed. In addition, associations
between physiological characteristics-related factors and breast cancer risk were
estimated too. We found that the ones with menarche age less than 16 years had
increased breast cancer risk (OR = 2.10, 95% CI: 1.23-3.60). Marginally
significant association between rs11614913 CT/CC genotypes and reduced breast
cancer risk was observed (OR = 0.65, 95% CI: 0.40-1.06), while no significance
was detected about the other miRNA polymorphisms. We concluded that menarche at
less than 16 years old increased breast cancer risk, while the genetic variants
in miR-196-a-2 might decrease the risk.
PMID- 22074122
TI - Effects of aliskiren on QT duration and dispersion in hypertensive patients with
type 2 diabetes mellitus.
AB - AIM: To evaluate the effect of aliskiren compared to amlodipine on QT duration
and dispersion in hypertensive patients with type 2 diabetes. METHODS: A total of
170 outpatients aged 50-75 years with mild to moderate hypertension (SBP >130 and
<180 mmHg and DBP >80 and <100 mmHg) and type 2 diabetes were randomly treated
with aliskiren 300 mg or amlodipine 10 mg, both given once daily for 24 weeks,
according to a prospective, open label, blinded-end point, parallel group design.
At the end of the placebo run-in, and after 12, and 24 weeks of treatment blood
pressure (BP) measurements (by mercury sphygmomanometer, Korotkoff I and V),
plasma biochemistry and a standard 12-lead surface ECG were evaluated. RESULTS:
Both aliskiren and amlodipine significantly reduced systolic blood pressure
(SBP)/diastolic blood pressure (DBP) values (-27.2/-14.3 mmHg, p < 0.001 vs.
placebo and -27.8/-14.2 mmHg, p < 0.001 vs. placebo, respectively), with no
statistical difference between the two drugs. Aliskiren, but not amlodipine,
significantly reduced maximum QT interval (QTmax) (-14 ms at 12 weeks and -17 ms
at 24 weeks, both p < 0.05 vs. placebo) and corrected QT max (QTc max) (-26 ms
and -31 ms, p < 0.01) as well as the dispersion of both QT (-11 ms and -13 ms, p
< 0.01) and QTc (-18 ms and -19 ms, p < 0.01). CONCLUSIONS: Despite similar BP
lowering effect, aliskiren, but not amlodipine, reduced QT duration and
dispersion, which might be related to the ability of aliskiren to interfere with
mechanisms underlying myocardial electrical instability in the heart of diabetic
hypertensive patients.
PMID- 22074123
TI - alpha-Thalassemia syndromes in the United Arab Emirates.
AB - alpha-Thalassemia (alpha-thal) is usually due to deletions within the alpha
globin gene cluster, leading to loss of function of one or both alpha-globin
genes. alpha-Thalassemia is prevalent in the Arabian Peninsula, particularly in
the United Arab Emirates (UAE) and Saudi Arabia. There are no large-scale reports
regarding the prevalence of alpha-thal in the Arabian populations apart from
sporadic surveys in the mid-1980s on red cell indices from Saudi Arabia and a
more recent study from Kuwait. Several studies were conducted in an attempt to
elucidate the frequency of alpha-thal in the UAE. Cord blood samples were
collected from 419 consecutive newborns of UAE national mothers. The study
involved polymerase chain reaction (PCR)-based analysis of the alpha-globin genes
and sequencing using an ABI Genetic Analyser 3130. The findings demonstrated that
49% of the neonates had alpha-thal, one of the highest in the world. The
incidence of alpha-thal, particularly the -alpha(3.7) deletion, was extremely
high. The distribution of mutations was extensive, ranging from the simple
alpha(3.7) genotype to severe nondeletional type alpha-thalassemias such as the
polyadenylation signal (polyA1) [alpha(PA-1) (AATAAA>AATAAG)], polyA2 [alpha(PA
2) (AATAAA>AATGAA)], Hb Constant Spring [Hb CS, alpha142
(alpha(CS)alpha/alpha(CS)alpha) TAA>CAA (alpha2)] and pentanucleotide deletion
[alpha(-5 nt) (GAGGTGAGG>GAGG)]. The nondeletional mutations, denoted
alpha(T)alpha or alphaalpha(T), are markedly frequent in the UAE and are well
characterized. The report here describes the analysis of 84 chromosomes having
deletional and nondeletional types of alpha-thal. Of the 84 chromosomes, 47.4%
had the polyA1 mutation (alpha(PA-1)alpha), 28.2% had the small deletion
alpha(3.7), 11.5% had Hb CS (alpha(CS)alpha), and 5% were positive for the
pentanucleotide deletion (alpha(-5 nt)alpha). These findings show that
nondeletional alpha-thal has a significant impact on the genotype/phenotype
correlation in the UAE. It is important to note that the polyA1 mutation
accounted for almost 50% of all the alpha-thal alleles, making it one of the most
common mutations in the Gulf Region.
PMID- 22074124
TI - Molecular basis of beta-thalassemia in the United Arab Emirates.
AB - In an attempt to define the prevalence of beta-thalassemia (beta-thal) in the
United Arab Emirates (UAE), we have conducted molecular studies on nearly 2000
randomly-selected adult UAE nationals. The results demonstrated that the
prevalence of beta-globin gene defects in the UAE was 8.5%. Among these anomalies
were beta-thal mutations, abnormal hemoglobin (Hb) variants, e.g., Hb S, Hb D
Punjab, Hb O-Arab, Hb C and Hb E. The sickle gene (beta(S) or Hb S) contributed
significantly to the molecular epidemiology of the hemoglobinopathies in the UAE.
In this article, Hb S and other abnormal Hbs are excluded as they are
comprehensively described by other contributors in this current issue. The
molecular characterization and mutational analyses of all beta-thal patients were
carried out using current molecular techniques including amplification refractory
mutation system (ARMS), restriction enzyme analysis (REA), dot-blot
hybridization, beta-strip hybridization, allele-specific oligonucleotide (ASO),
polymerase chain reaction (PCR), gap-PCR and DNA Sequencing. Most of these
techniques are now virtually obsolete. Almost all molecular characterizations are
currently performed through PCR followed by DNA sequencing using a fully
automated ABI PRISMTM 3130 Genetic Analyzer. Our molecular studies showed that
the majority of the beta-thal mutations in the UAE are very severe; the most
common allele was the IVS-I-5 (G>C). Although this allele is a beta(+)-thal, its
phenotype is very severe. All other mutations are also severe beta(0)-thal. High
frequency of moderate or severe beta-thal mutations have implications in the wide
spectrum of clinical manifestations seen in patients whose phenotypes vary from
beta-thal intermedia (beta-TI) to severe transfusion-dependent beta-thal major
(beta-TM). The molecular pathology of the beta-thal patients demonstrated that a
vast majority were homozygous. The most frequent homozygous mutation was the IVS
I-5(G>C)/IVS-I-5(G>C) (53.0%) followed by -25 bp del/-25 bp del (6.8%), codons
8/9(+G)/codons 8/9(+G) (2.8%) and codon 39(C>T)/codon 39(C>T) (2.4%). Four
mutations accounted for 65.0% of the homozygous patient population. Remarkably,
the two most prevalent mutations, IVS-I-5 and Hb S, accounted for 77% of all the
homozygous beta-thal patients from the UAE. We showed 13 discrete homozygosities
in the UAE national patients in contrast to 23 homozygosities in the expatriate
population. Since the number of homozygous mutations has a direct correlation
with the degree of consanguinity, the data shown here corroborate the social
tendency towards family planning. In fact, in the UAE, more than 50% of all
marriages are between relatives and more than half of these are between first
cousins.
PMID- 22074125
TI - Use of hormone replacement therapy for correction of high turnover bone disease
in hypogonadal beta-Thalassemia major patients presenting with osteoporosis:
comparison with idiopathic premature ovarian failure.
AB - Osteopenia-osteoporosis syndrome (OOS) causes considerable morbidity in 60-80%
beta-thalassemia major (beta-TM) patients. We evaluated the effect of sex hormone
replacement therapy (HRT) in beta-TM patients with hypogonadism presenting with
OOS using premature ovarian failure (POF) for comparative purposes. We undertook
a 10-year prospective study of in 50 beta-TM and 375 patients with POF and OOS.
All were treated with HRT for 2-5 years. We used dual X-ray absorptiometry
(DEXA), and plasma type 1-collagen markers of bone turnover for monitoring of
response to therapy. Our results suggest that prior to HRT, both groups had
comparable degrees of OOS. Both groups had significant improvement but the POF
group had normalization of spinal T scores following HRT in contrast to the beta
TM patients. Femoral T scores did not normalize in both groups. These data
indicate for the first time from comparative POF control studies that
hypogonadism is not the only cause of OOS in beta-TM.
PMID- 22074126
TI - Sex steroid priming for induction of puberty in thalassemia patients with
pulsatile reversible hypogonadotrophic hypogonadism.
AB - Growth and pubertal disturbances are the most common causes of morbidity,
affecting 60-80% beta-thalassemia major (beta-TM) patients worldwide, due mainly
to hypogonadotrophic hypogonadism (HH). We undertook a 6-year prospective study
of 55 Indian beta-TM children with stunted growth and absent or arrested puberty,
aged 15-18 years with pulsatile HH, to evaluate the role of low dose sex steroid
priming (6-12 months) for physiological induction of puberty. Eighty percent
responded favorably with increase in height, growth spurt and completed pubertal
maturation [Tanner stage 4-5 (T4-T5)] and 20% moved from T2 to T3. There was
biochemical improvement in maturation of hypothalamic-pituitary (H-P) axis. Those
younger than 15 years with minimal iron load had the best outcome. Our data
suggest that sex steroid priming is a feasible method of induction of
physiological puberty in beta-TM patients with sexual infantilism and reversible
apulsatile HH, especially in younger patients with minimal iron loads.
PMID- 22074127
TI - Naphthalene-fused (alpha-alkoxycarbonyl)methylene-gamma-butyrolactones:
antiproliferative activity and binding to bovine serum albumin and DNA.
AB - A naphthalene-fused (alpha-alkoxycarbonyl)methylene-gamma-butyrolactone (methyl 2
[7-hydroxy-2-oxonaphtho[1,2-b]furan-3(2H)-yliden]acetate) has been prepared as a
representative compound of a potential class of cytotoxic agents. In vitro
cytotoxicity has been evaluated against HCT-15 colon and MCF-7 breast cancer
cells and IC(50) was 64-66 MUM, causing morphological changes in cells, such as
loss of adhesion, rounding, cell shrinkage, and detachment from the substratum.
The binding constant K of the complex between the naphthyl lactone with bovine
serum albumin (8 * 10(3) M(-1)) suggests a minor change in protein folding. The K
of the binding with DNA (1.06 * 10(4) M(-1)) suggests nonspecific electrostatic
interactions with DNA and this was confirmed by melting point data (Tm<0.6
degrees C). Therefore, naphthalene-fused (alpha-alkoxycarbonyl)methylene-gamma
butyrolactone should not be able to intercalate with DNA but its interaction
should occur at the level of DNA surface.
PMID- 22074128
TI - Absence of association between transforming growth factor B1 polymorphisms and
gastric cancer: a meta-analysis.
AB - Transforming growth factor-beta1 (TGF-beta1) is a ubiquitous and essential
cytokine that plays a dual role in the development of cancer: tumor suppressor in
the early stage of carcinogenesis and tumor promoter in the later stage of
carcinogenesis. To date, several studies have focused on the association between
the TGFB1 polymorphisms and risk of gastric cancer. Conflicting results, however,
have been reported. We conducted a meta-analysis to analyze more precisely the
effects of the TGFB1 C-509T, T869C, and G915C polymorphisms on the risk of
gastric cancer. No significant association between the TGFB1 C-509T, T869C, and
G915C polymorphisms and risk of gastric cancer was observed in overall analyses
and subgroup analyses according to ethnicity.
PMID- 22074129
TI - Interaction of glycyrrhizin and glycyrrhetinic acid with DNA.
AB - Glycyrrhizin (GL), a molecule of glycyrrhetinic acid (GA), is an aqueous extract
from licorice root. These compounds are well known for their anti-inflammatory,
hepatocarcinogenesis, antiviral, and interferon-inducing activities. This study
is the first attempt to investigate the binding of GL and GA with DNA. The effect
of ligand complexation on DNA aggregation and condensation was investigated in
aqueous solution at physiological conditions, using constant DNA concentration
(6.25 mM) and various ligands/polynucleotide (phosphate) ratios of 1/240, 1/120,
1/80, 1/40, 1/20, 1/10, 1/5, 1/2, and 1/1. Fourier transform infrared and
ultraviolet (UV)-visible spectroscopic methods were used to determine the ligand
binding modes, the binding constants, and the stability of ligand-DNA complexes
in aqueous solution. Spectroscopic evidence showed that GL and GA bind DNA via
major and minor grooves as well as the backbone phosphate group with overall
binding constants of K(GL-DNA)=5.7*10(3) M(-1), K(GA-DNA)=5.1*10(3) M(-1). The
affinity of ligand-DNA binding is in the order of GL>GA. DNA remained in the B
family structure, whereas biopolymer aggregation occurred at high triterpenoid
concentrations.
PMID- 22074131
TI - Principal component analysis and analysis of variance on the effects of Entellan
New on the Raman spectra of fibers.
AB - During the forensic examination of textile fibers, fibers are usually mounted on
glass slides for visual inspection and identification under the microscope. One
method that has the capability to accurately identify single textile fibers
without subsequent demounting is Raman microspectroscopy. The effect of the
mountant Entellan New on the Raman spectra of fibers was investigated to
determine if it is suitable for fiber analysis. Raman spectra of synthetic fibers
mounted in three different ways were collected and subjected to multivariate
analysis. Principal component analysis score plots revealed that while spectra
from different fiber classes formed distinct groups, fibers of the same class
formed a single group regardless of the mounting method. The spectra of bare
fibers and those mounted in Entellan New were found to be statistically
indistinguishable by analysis of variance calculations. These results demonstrate
that fibers mounted in Entellan New may be identified directly by Raman
microspectroscopy without further sample preparation.
PMID- 22074130
TI - An antisense transcript in the human cytomegalovirus UL87 gene region.
AB - BACKGROUND: Rapid advances in research on antisense transcripts are gradually
changing our comprehension of genomic and gene expression aspects of the
Herpesviridae. One such herpesvirus is the human cytomegalovirus (HCMV). Although
transcription of the HCMV UL87 gene has not been specifically investigated, cDNA
clones of UL87 antisense transcripts were found in HCMV cDNA libraries
previously. In this study, the transcription of the UL87 antisense strand was
investigated in three clinically isolated HCMV strains. RESULTS: First, an 800
nucleotides transcript having an antisense orientation to the UL87 gene was found
in a late HCMV cDNA library. Then, the UL87 antisense transcript was confirmed by
Rapid amplification of cDNA ends (RACE) and Northern blot in three HCMV clinical
strains. Two ORFs were predicted in the antisense transcript. The putative
protein of ORF 1 showed a high degree of conservation among HCMV and other CMV
strains. CONCLUSION: An 800nt antisense transcript in the UL87 gene region exists
in HCMV clinical strains.
PMID- 22074132
TI - Locating the source of hyperglycemia: liver versus muscle.
AB - BACKGROUND: Glucose homeostasis relies on insulin to suppress hepatic glucose
production and to stimulate glucose uptake by peripheral tissues (primarily
skeletal muscle) during and after a meal or glucose load. Glucose metabolism
impairments in the liver and/or muscle attenuate these insulin actions, causing
hyperglycemia. Thus, identifying the loci of the impairments can improve the
understanding of hyperglycemia and enable organ-targeted interventions. METHODS:
Studies were performed to identify such loci using modified oral glucose
tolerance test (OGTT) techniques in individuals with type 2 diabetes (T2D) and
overweight/obese individuals. RESULTS: Individuals with severe T2D were found to
have significantly impaired glucose metabolism in both the liver and muscle. In
contrast, impairments in glucose metabolism in individuals with non-severe T2D
were predominantly localized in the liver or muscle, but not both. Similarly,
milder impairments in overweight or obese individuals were clearly localized in
either the liver or muscle, but not both. All these impairments are quantifiable.
CONCLUSION: Impairments in glucose metabolism in the liver and muscle can be
differentiated and quantified in a clinical setting.
PMID- 22074133
TI - Regulation and function of uncoordinated-51 like kinase proteins.
AB - SIGNIFICANCE: The Atg1/ULK1 (uncoordinated-51 like kinase 1) protein complex
plays an essential role regulating autophagy in mammalian cells. As autophagy is
implicated in normal cellular homeostasis and multiple diseases, better
mechanistic insight drives development of novel therapeutic approaches. RECENT
ADVANCES: Multiple independent laboratories have contributed important new
insights into the ULK-signalling pathway. ULK1/2 function is regulated by mTOR
complex 1 and AMPK through a network of phosphorylation events. ULK signalling
controls autophagosome formation in conjunction with other key regulatory factors
such as Beclin1 and Atg9. CRITICAL ISSUES: From recent work, we have gained a
better understanding of ULK proteins and their functional roles but details still
need to be resolved. A combination of approaches has been used to better
elucidate the sub-classes of autophagy that are differentially dependent upon ULK
family members. Roles of ULK members in autophagy-independent trafficking and
signalling pathways have also been better defined, highlighting the diversity of
functions coordinated by this protein family. FUTURE DIRECTIONS: As mechanisms
and in vivo functions become clarified for the different ULK members, more robust
strategies for targeting these essential autophagy kinases can be developed.
PMID- 22074134
TI - Development of autonomous microrobotics in endoscopy.
AB - With experience and training the colonoscope can be a very effective tool in the
screening and diagnosis of colorectal cancer. However, the colonoscope most
commonly used today has changed very little in the last three decades. It is not
without its problems, including a risk of perforation and significant patient
discomfort, especially associated with loop formation. Furthermore, loop
formation may prevent a complete colonoscopy from being performed in certain
patients. In order to avoid looping formation of the colonoscopy and develop a
better colonoscope it is necessary to understand the generic principle that
results in the looping phenomenon. Loop formation is caused by three factors
including the natural characteristic of the colon, the flexible nature of the
insertion tube and the impact of frictional force on deformation of intestinal
walls and mesenteries. We scientifically analyse loop formation by Kirchhoff's
slender rod model, and present a review of the state-of-the-art of technologies
that are being promoted as a way to address the problems of the colonoscope.
PMID- 22074135
TI - A prototype of an anchoring and extending intestinal micro-robot and an in vitro
experiment.
AB - In order to minimize the invasiveness of intestinal disease diagnosis and
surgery, we propose an anchoring and extending micro-robot. The gait of the micro
robot was designed according to the biomechanical features of the intestinal
tract. The locomotion condition showed that the anchoring capability and
extending ability should be balanced to enhance locomotion efficiency. The
mechanical and control system was designed and fabricated under the requirements
of the locomotive model. The assembled micro-robot was 90 mm in length and 13 mm
in diameter. The micro-robot prototype was tested in in vitro experiments; its
locomotion efficiency was approximately 100% in an ideal environment, but around
50% in a pig's small bowel (20 mm in diameter). The in vitro experiment results
demonstrated that the gait is feasible for locomotion in the small bowel although
the diameter of the small bowel is a limiting factor.
PMID- 22074139
TI - Questions and answers on feline hyperthyroidism and canine influenza.
PMID- 22074136
TI - Periodical gait asymmetry assessment using real-time wireless gyroscopes gait
monitoring system.
AB - A real-time gait monitoring system that incorporates an immediate and periodical
assessment of gait asymmetry is described. This system was designed for gait
analysis and rehabilitation of patients with pathologic gait. It employs wireless
gyroscopes to measure the angular rate of the thigh and shank in real time. Cross
correlation of the lower extremity (Cc(norm)), and normalized Symmetry Index
(SI(norm)) are implemented as new approaches to periodically determine the gait
asymmetry in each gait cycle. Cc(norm) evaluates the signal patterns measured by
wireless gyroscopes in each gait cycle. SI(norm) determines the movement
differences between the left and right limb. An experimental study was conducted
to examine the viability of these methods. Artificial asymmetrical gait was
simulated by placing a load on one side of the limbs. Results showed that there
were significant differences between the normal gait and asymmetrical gait (p <
0.01). They also indicated that the system worked well in periodically assessing
the gait asymmetry.
PMID- 22074140
TI - Reviews on animal diseases recently published in other journals.
PMID- 22074142
TI - Identification of benzoxazin-3-one derivatives as novel, potent, and selective
nonsteroidal mineralocorticoid receptor antagonists.
AB - Mineralocorticoid receptor (MR) blockade has come into focus as a promising
approach for the treatment of cardiovascular diseases such as hypertension and
congestive heart failure. In order to identify a novel class of nonsteroidal MR
antagonists that exhibit significant potency and good selectivity over other
steroidal hormone receptors, we designed a novel series of benzoxazin-3-one
derivatives and synthesized them from 6-(7H-[1,2,4]triazolo[3,4
b][1,3,4]thiadiazin-6-yl)-2H-1,4-benzoxazin-3(4H)-one (1a), high-throughput
screening (HTS) hit compound. Our design was based on a crystal structure of an
MR/compound complex and a docking model. In the course of lead generation from
1a, a 1,2-diaryl framework was characterized as a key structure with high binding
affinity. On the basis of scaffold hopping and optimization studies, benzoxazin-3
one derivatives possessing 1-phenyl-3-trifluoromethylpyrazol-5-yl moiety at the 6
position were identified as a novel series of potent and selective MR
antagonists. Among these compounds, 6-[1-(4-fluoro-2-methylphenyl)-3
(trifluoromethyl)-1H-pyrazol-5-yl]-2H-1,4-benzoxazin-3(4H)-one (14n) showed
highly potent activity and good selectivity and also exhibited a significant
antihypertensive effect in deoxycorticosterone acetate-salt hypertensive rats. On
the basis of these results, compound 14n was progressed for further
pharmacological evaluation.
PMID- 22074143
TI - Commentary on "communication strategies to improve HIV treatment adherence" by
Rochon et al. (2011).
PMID- 22074144
TI - Obesity and type 2 diabetes: which patients are at risk?
AB - An estimated 72.5 million American adults are obese, and the growing US obesity
epidemic is responsible for substantial increase in morbidity and mortality, as
well as increased health care costs. Obesity results from a combination of
personal and societal factors, but is often viewed as a character flaw rather
than a medical condition. This leads to stigma and discrimination towards obese
individuals and decreases the likelihood of effective intervention. Conditions
related to obesity are increasingly common, such as metabolic syndrome, impaired
fasting glucose (IFG) and impaired glucose tolerance (IGT), all of which indicate
high risk for type 2 diabetes (T2DM). This paper reviews the progression from
obesity to diabetes, identifying physiological changes that occur along this path
as well as opportunities for patient identification and disease prevention.
Patients with prediabetes (defined as having IFG, IGT or both) and/or metabolic
syndrome require interventions designed to preserve insulin sensitivity and beta
cell function, both of which start to deteriorate prior to T2DM diagnosis.
Lifestyle modification, including both healthy eating choices and increased
physical activity, is essential for weight management and diabetes prevention.
Although sustained weight loss is often considered by patients and physicians as
being impossible to achieve, effective interventions do exist. Specifically, the
Diabetes Prevention Program (DPP) and programs modelled along its parameters have
shown repeated successes, even with long-term maintenance. Recent setbacks in the
development of medications for weight loss further stress the importance of
lifestyle management. By viewing obesity as a metabolic disorder rather than a
personal weakness, we can work with patients to address this increasingly
prevalent condition and improve long-term health outcomes.
PMID- 22074146
TI - Editorial: Citation analysis--focus on leading Australian nurse authors.
PMID- 22074147
TI - Reducing surgical nurses' aseptic practice-related stress.
AB - AIMS AND OBJECTIVES: This paper aims to explore aseptic practice-related stress
in surgery. The objectives are to define stress-related factors and the means to
reduce the stress. BACKGROUND: Occupational stress is related to personal
characteristics: job satisfaction and physiological and psychological well-being.
The stress symptoms are often classified as part of a negative mood. Nurses have
expressed stress when deadening their conscience to external demands with co
workers or internal working role-related demands. Surgery nurses expect fair
division of work and compliance with rules. The hospital management, technology
and the medical profession, instead of the needs of the patient, are recognised
as a danger in the development of surgery nurses' role. DESIGN: A qualitative
stimulated recall interview was performed in the surgery of the university
hospital. METHODS: Thirty-one operations were videotaped, and 31 nurses
interviewed during videotape stimulation. The 1306 text pages were transcripted
and analysed by a qualitative membership categorisation device analysis. RESULTS:
The analysis revealed aseptic practice-related stress which constructed a sixteen
level category. The membership categorisation identified connections between
qualitatively attributed personnel and seven stress factors: working experience;
time; equipment; person; patient; working morals and power. Final analysis
revealed nurses reducing aseptic practice-related stress by safe, peaceful,
competent and relative means. CONCLUSIONS: The aseptic practice-related stress
varied from positive motivating feelings to exhaustion. The stress was
experienced by medical and nursing co-workers and reduced by means which varied
according to expertise and co-workers. RELEVANCE TO CLINICAL PRACTICE: This study
showed needs for both the shared multiprofessional documentation of aseptic
practice and better adherence to recommendations. Constructive means are useful
when solving conflicts and replacing person-related aseptic practice with
evidence-based. They may support nurses' professional growth, reduce their stress
and increase the surgical patient's safety.
PMID- 22074148
TI - Identifying service needs from the users and service providers' perspective: a
focus group study of Chinese elders, health and social care professionals.
AB - AIM: This is a preliminary study to identify older people service needs in Hong
Kong from the users' and service providers' perspective. BACKGROUND: As the Hong
Kong population is ageing rapidly, it is important to identify the needs for care
of older people. Although a wide variety of medical and social services have been
provided to meet the needs of older people, there has been little evaluation from
the users' or service providers' perspective regarding what the needs are and how
well current service provisions match their needs. In recent years the importance
of patient-centred care has been emphasised, where patient's expectation of care
has been given a central role in guiding and improving the provision of health.
However few studies have been carried out with respect to services for older
people. DESIGN: To identify the service needs, a focus group study was conducted.
Both service providers and older people were interviewed. This preliminary study
used a qualitative research method to identify older people's service needs,
generating rich information which could be used to inform older people care
service development. METHOD: Data were collected by conducting eight focus group
discussions. The focus group interviews were audio-taped. Interviews were then
transcribed and themes were identified. RESULTS: The study identified several
areas for improvement in services for older people, covering adequacy,
accessibility and affordability of medical services, coordination of health and
social care, quality of long-term care, negative perceptions and training needs.
Some themes such as service adequacy and negative staff attitudes occurred in
both older people and health professional focus groups. The themes of fast
access, continuity of care and smooth transition, affordability, provision of
information of available health and social services appear to be universal as
these have also been identified in similar studies in other countries.
CONCLUSION: In addition to other objective outcomes, such as duration of stay in
hospital or re-admission rates after hospital discharges, changes in service
provisions towards improvement should be evaluated from the users as well as
professional care providers' perspectives. RELEVANCE TO CLINICAL PRACTICE: Areas
of improvement in service delivery include timely access, continuity,
affordability, better coordination of health and social care, quality of care
particularly in the long-term residential care setting and healthcare
professionals' communication and caring skills and attitude.
PMID- 22074149
TI - Low bone mineral density in a cohort of normal, overweight and obese Chilean
adolescents.
PMID- 22074150
TI - Commentary on Su SF, Boore J, Jenkins M, Liu PE & Yang MJ (2009) Nurses'
perception of environmental pressure in relation to their occupational stress.
Journal of Clinical Nursing 18, 3172-3180.
PMID- 22074151
TI - Commentary on McNamara M & Fealy G (2010) Editorial: Lead us not again. Journal
of Clinical Nursing 19, 3257-3259.
PMID- 22074152
TI - Response to Ingleton C & Green E (2010) Commentary on Metcalfe A, Pumphrey R &
Clifford C (2009) Hospice nurses' and genetics: implications for end-of-life
care. Journal of Clinical Nursing 19, 192-207.
PMID- 22074153
TI - Response to Ski CF & Thompson DR (2011) Commentary on Yohannes AM, Doherty P,
Bundy C & Yalfani A (2010) The long-term benefits of cardiac rehabilitation on
depression, anxiety, physical activity and quality of life. Journal of Clinical
Nursing 19, 2806-2813.
PMID- 22074154
TI - Metal-specific interactions of H2 adsorbed within isostructural metal-organic
frameworks.
AB - Diffuse reflectance infrared (IR) spectroscopy performed over a wide temperature
range (35-298 K) is used to study the dynamics of H(2) adsorbed within the
isostructural metal-organic frameworks M(2)L (M = Mg, Mn, Co, Ni and Zn; L = 2,5
dioxidobenzene-1,4-dicarboxylate) referred to as MOF-74 and CPO-27. Spectra
collected at H(2) concentrations ranging from 0.1 to 3.0 H(2) per metal cation
reveal that strongly red-shifted vibrational modes arise from isolated H(2) bound
to the available metal coordination site. The red shift of the bands associated
with this site correlate with reported isosteric enthalpies of adsorption (at
small surface coverage), which in turn depend on the identity of M. In contrast,
the bands assigned to H(2) adsorbed at positions >3 A from the metal site exhibit
only minor differences among the five materials. Our results are consistent with
previous models based on neutron diffraction data and independent IR studies, but
they do not support a recently proposed adsorption mechanism that invokes strong
H(2)...H(2) interactions (Nijem et al. J. Am. Chem. Soc.2010, 132, 14834-14848).
Room temperature IR spectra comparable to those on which the recently proposed
adsorption mechanism was based were only reproduced after contaminating the
adsorbent with ambient air. Our interpretation that the uncontaminated spectral
features result from stepwise adsorption at discrete framework sites is
reinforced by systematic red shifts of adsorbed H(2) isotopologues and
consistencies among overtone bands that are well-described by the Buckingham
model of molecular interactions in vibrational spectroscopy.
PMID- 22074155
TI - Parents reported oral sensory sensitivity processing and food preference in ADHD.
AB - Oral sensory processing in children with attention deficit hyperactivity disorder
(ADHD) is an area with limited research. Oppositional defiant disorder (ODD) and
separation anxiety disorder (SAD) symptoms usually co-occur with ADHD. This study
investigates the association of oral sensory processing problems with ODD and SAD
symptoms in children with ADHD. The parents of 189 children with ADHD completed
Oral Over- and Underresponsivity Behaviors Inventory reporting oral
overresponsivity (OR) and underresponsivity (UR) of their children. Only ODD
score predicted OR scale score. None of ADHD severity, anxiety score, age and
gender predicted OR score. UR scale score was only predicted by SAD and
inattention scores. ODD score and hyperactivity/impulsivity score did not predict
UR score. The ODD behaviour in children with ADHD needs to be evaluated and
managed more extensively and it should include oral sensory occupational therapy.
Future studies should extend this research to children with ADHD and obesity and
food reward system.
PMID- 22074156
TI - Predictors of failure following restrictive annuloplasty for chronic ischemic
mitral regurgitation.
AB - AIM OF THE STUDY: We sought to determine the results of restrictive annuloplasty
for chronic ischemic mitral regurgitation. METHODS: Hospital outcome and serial
clinical and echocardiographic (preoperative, discharge, 3 months, 12 months, 24
months) follow-up assessments were analyzed in 87 consecutive patients with
chronic ischemic mitral regurgitation having coronary artery bypass grafting.
Persistent/recurrent mitral regurgitation was defined by grade >=2 at
discharge/during follow-up. RESULTS: Hospital mortality was 5.7% and persistence
of regurgitation was present in 8.4%. Mean follow-up was 24.4 +/- 1.7 months and
recurrent mitral regurgitation was observed in 32.4% patients. In multivariate
analysis only anterior leaflet angle remained an independent predictive factor
for regurgitation recurrence with cutoff 27 degrees (sensitivity of 67% and
specificity of 76%, p = 0.04). CONCLUSION: There is high occurrence of early and
delayed restrictive annuloplasty failure, particularly in patients with increased
anterior leaflet tethering.
PMID- 22074158
TI - Sense of coherence and suicidality in suicide attempters: a prospective study.
AB - The usefulness of the Sense of Coherence (SOC) scale in the nursing setting is
well-established, and an association between SOC and suicidality has been
suggested. The aim was to test whether low SOC at index attempt is an independent
predictor of suicidality at 2-month follow-up and of risk for repeat attempt. The
study, which had a prospective cross-sectional design, included patients admitted
to hospital after a suicide attempt. They were interviewed by means of Structured
Clinical Interview for DSM-IV. Participants (n=155) completed the SOC scale and
the Comprehensive Psychopathological Self-rating Scale for Affective Syndromes.
Suicidality was rated with the Suicide Assessment Scale. Instruments were
employed again at follow-up. Non-fatal/fatal repetition within 3 years was
determined by review of hospital records. Low SOC at baseline predicted high
suicidality at follow-up. The association remained after adjustment for major
depression and affective symptom burden. Repeat attempts were made by 54 persons.
Low baseline SOC was associated with repeat attempt, but the association did not
remain after adjustment for major depression and symptom burden. Low SOC ratings
could be a marker of risk for high suicidality in the aftermath of a suicide
attempt. The SOC scale could be incorporated in nursing assessments of suicide
attempters.
PMID- 22074157
TI - Pentoxifylline sensitizes human cervical tumor cells to cisplatin-induced
apoptosis by suppressing NF-kappa B and decreased cell senescence.
AB - BACKGROUND: Worldwide, cervical cancer is the second most common causes of cancer
in women and represents an important mortality rate. Cisplatin (CIS) is a very
important antitumoral agent and can lead tumor cells toward two important
cellular states: apoptosis and senescence. In some types of cancers
pentoxifylline (PTX) sensitizes these cells to the toxic action of
chemotherapeutics drugs such as adriamycin, inducing apoptosis. In the present
work, we studied in vitro whether PTX alone or in combination with CIS induces
apoptosis and/or senescence in cervix cancer HeLa and SiHa cell lines infected
with HPV types 16 and 18, respectively, as well as in immortalized keratinocytyes
HaCaT cells. METHODS: HeLa (HPV 18+), SiHa (HPV 16+) cervix cancer cells and non
tumorigenic immortalized HaCaT cells (control) were treated with PTX, CIS or
both. The cellular toxicity and survival fraction of PTX and CIS were determinate
by WST-1 and clonogenic assays respectively. Apoptosis, caspase activation and
phosphorylation of ERK1/2, p38, p65 (NF-kappaB), Bcl-2 and Bcl-XL anti-apoptotic
proteins were determinated by flow cytometry. Senescence by microscopy.
Phosphorylation of IkappaBalpha and IkappaB total were measured by ELISA. Pro
apoptotic, anti-apoptotic and senescence genes, as well as HPV-E6/7 mRNA
expression, were detected by RT-PCR. RESULTS: Our results show that after 24
hours of incubation PTX per se is toxic for cancer cells affecting cell viability
and inducing apoptosis. The toxicity in HaCaT cells was minimal. CIS induces
apoptosis in HeLa and SiHa cells and its effect was significantly increases when
the cells were treated with PTX + CIS. In all studies there was a direct
correlation with levels of caspases (-3, -6, -7, -9 and -8) activity and
apoptosis. CIS induces important levels of senescence and phosphorylation of
ERK1/2, p38, p65/RELA, and IkappaBalpha, and decreased the expression of anti
apoptotic protein Bcl-XL. Surprisingly these levels were significantly reduced by
PTX in tumor cells, and at the same time, increases the expression of pro
apoptotic genes. CONCLUSION: PTX sensitizes cervical cancer cells to CIS-induced
apoptosis and decreases the CIS-induced senescence in these cells via inhibition
of NF-kappaB signaling pathway; diminishes expression of antiapoptotic proteins
and the activation of caspases.
PMID- 22074159
TI - Improved two-dimensional reversed phase-reversed phase LC-MS/MS approach for
identification of peptide-protein interactions.
AB - Quantitative mass spectrometry (MS) in combination with affinity purification
approaches allows for an unbiased study of protein-protein and peptide-protein
interactions. In shotgun approaches that are based on proteolytic digestion of
complex protein mixtures followed by two-dimensional liquid-phase chromatography,
the separation effort prior to MS analysis is focused on tryptic peptides. Here
we developed an improved offline 2-D liquid chromatography-MS/MS approach for the
identification and quantification of binding proteins utilizing reversed-phase
capillary columns with acidic acetonitrile-containing eluents in both
chromatographic dimensions. A specific fractionation scheme was applied in order
to obtain samples with evenly distributed peptides and to fully utilize the
separation space in the second dimension nanoLC-MS/MS. We report peptide-protein
interaction studies to identify phosphorylation-dependent binding partners of the
T cell adapter protein ADAP. The results of the SILAC-based pull-down experiments
show this approach is well suited for distinguishing phosphorylation-specific
interactions from unspecific binding events. The data provide further evidence
that phosphorylated Tyr 595 of ADAP may serve as a direct binding site for the
SH2 domains of the T cell proteins SLP76 and NCK. From a technical point of view
we provide a detailed protocol for an offline 2-D RP-RP LC-MS/MS method that
offers a robust and time-saving alternative for quantitative interactome
analysis.
PMID- 22074160
TI - Fertility and economic status - some recent findings on differentials in Sweden.
AB - Abstract The relationship between economic status and family size has generally
been found to be negative; the lower the income the higher the fertility. In some
cases this inverse relationship breaks down at the top end of the income scale;
in other words, people with very high incomes have larger families than those
with high incomes. In a few rather special cases positive relationships have been
found(1).
PMID- 22074161
TI - Some observations on the economic framework for fertility analysis.
AB - Abstract The economic framework for fertility analysis, first expounded in
detail by Gary S. Becker(1), has attracted considerable attention among
demographers. While some writers have enthusiastically endorsed the model, others
have rejected it outright(3). A few attempts have also recently been made by some
writers to modify or refine some of the concepts employed, and/or to change the
modes of treatment of some of the factors in the original model. Unfortunately,
several major objections levelled against the model still remain. It also remains
to be examined whether the criticisms can be met without violating the principles
and strategies espoused by economists. I believe (1) that most of the objections
advanced against the model can be met by suitably modifying it, and (2) that the
required modifications can be effected by employing strategies and conceptual
schemes similar to those used in the demand analysis of consumer behaviour. The
objective of this paper is to expound this belief. There is a strong possibility
that after modification a healthy new theory will emerge which may prove useful
in guiding research, as well as help to bring together different empirical
findings in the literature, or to serve as a 'binder' for the theoretical
speculations advanced by many research workers.
PMID- 22074162
TI - The price of children and socio-economic theories of fertility.
AB - Abstract Demographers have for some time suggested that the cost of children
has an important bearing on couples' fertility decisions. Dublin and Lotka, for
example, voiced the opinion that 'it is undoubtedly the anticipation of greatly
increased expenditures, the sudden demands made on the family exchequer when a
child is born and for some time after, that causes people of limited income to
restrict the size of their families'. Banks, Myrdal, and Day and Day have echoed
this same theme.
PMID- 22074163
TI - Projected consumption patterns for a stationary population.
AB - Abstract Recently economists have demonstrated a renewed interest in the
population problems of a mature society. This revival of interest has been
sparked by the general recognition of the relationship between population growth
and environmental problems and has led to general acceptance of the proposition
that ' ... a zero rate of population growth is the only equilibrium rate that can
be sustained'.(1) Consequently the literature produced during the discipline's
last period of similar concern, a period running from the late 1920's through the
1940's, needs re-examination. At that time economists were primarily occupied
with the implications of a declining rate of population growth and most
anticipated the arrival of a stationary population within the foreseeable future.
For most of the economists of this earlier period the onset of a stationary or
declining population was fraught with dangers for mature capitalism.
PMID- 22074164
TI - Institutionalized motivation for fertility limitation in China.
AB - Abstract After initial misgivings based on orthodox Marxist ideology regarding
population control, the People's Republic of China officially came out in favour
of population limitation. The government denies the dire Malthusian prophecy that
population will outstrip China's supply of food and natural resources. Instead it
supports population limitation to ease the costs of economic growth, which under
Chinese conditions requires a strong labour force and a concentration of capital
in productive enterprises rather than a high rate of consumption. By applying the
experience of the developed nations, China has reduced pre-industrial levels of
mortality and morbidity. This has decreased the expense to society of a non
productive populace which dies before it repays the costs of its upbringing and
training. As a result, China undoubtedly has a high rate of population growth.
Lower fertility will lessen the proportion of children to adult workers and will
release females for employment; for these reasons the government advocates
fertility control. Observers lack data from the two national censuses (1953-54
and 1964) and registration system to assess China's success in fertility
reduction. Instead the patterns of social mobility and social control which shape
reproductive motivation must be evaluated. In so doing I address myself to one
main question: how has China's approach to economic development in the past five
years affected the motivation of her youth to reduce fertility?
PMID- 22074165
TI - Marriage at an earlier than ideal age in six Latin American capital cities.
AB - Abstract The study of nuptiality has taken on urgency, for demographers as well
as for sociologists, with the increased world concern over sustained high
fertility and the evident contribution of early marriage to that high fertility.
Moreover, along with despair over the efficacy of conventional family planning
programmes has come an expectation that more basic institutional changes, such as
changes in marriage patterns, will be necessary in order finally to achieve a
tolerably low world population growth rate. Yet our understanding of the
determinants of such primary nuptiality dimensions as age at first marriage is
very imperfect and unlikely to give much guidance in policy formation.
PMID- 22074166
TI - Number and mean age of acceptors and users as related to programme duration.
AB - Abstract A decline in annual acceptances in a contraceptive programme is open
to multiple interpretation. The decline may reflect an adverse change of
attitudes and therefore a lowered disposition to accept the method among couples
of the population generally. Alternatively, if the population has an irregular
age distribution, the decline may mean only that the numbers of couples of prime
contraceptive age have temporarily dropped. Thirdly, if the rate of previous
acceptance has been high, the main cause of a slackening in new acceptances may
simply be that previous acceptances have partially depleted the pool of eligible
couples. Fewer eligible couples are left than previously as a consequence of past
acceptance, removing couples interested in contraception faster than the family
building process can create new ones.
PMID- 22074167
TI - Comment on 'expectancy of life at birth in 36 nationalities of the Soviet Union:
1958-60'.
AB - Abstract In D. Peter Mazur's recent article, 'Expectancy of Life at Birth in 36
Nationalities of the Soviet Union: 1958-1960', crude rates of death and
expectancies of life for nationalities are derived from a scant base of data that
includes crude rates of natural increase for administrative units and various
ratios of composition of the populations of both administrative units and
nationalities. Students of Soviet demography genuinely appreciate Mazur's attempt
to derive rich results from meagre data. Of course, to do this strong assumptions
are required and Mazur warns that 'the quantitative results ... are valid only to
the extent that the underlying methodology is theoretically sound'. One way to
test the quantitative results is to examine how well the crude death rates for
the nationalities calculated by Mazur reproduce the crude death rates for
administrative units with which Mazur started. Mazur feels that the estimated
death rates for nationalities closely agree with the death rates for
administrative areas. The present author is sceptical. Application of the method
described below does not show close correspondence, and this matter, which is
crucial in the evaluation of Mazur's results, seems problematical.
PMID- 22074168
TI - Crude death rates in the U.S.S.R.: Comment on Grandstaff's remarks.
AB - Abstract My appraisal of death rates in the U.S.S.R. goes beyond the question
whether a simple correlation really measures what the critic purports to measure.
Normally, the crude death rates depend upon the age structure and the force of
mortality. If one persists in assuming that a reasonably high correlation between
the official death rates and their independent estimates should be the main
criterion of validity, the best technique will be to relate the proportion of
persons aged 60 and over to the official death rates recorded for some 109
observations. The force of mortality would be approximately reflected by a given
proportion of survivors to the first or second year of age. But in the 1959
Soviet Census the latter data had been concealed within the 0 to 9 age group,
possibly for no other reason than to prevent the curious few from tinkering with
infant mortality.
PMID- 22074171
TI - Folic acid modified gelatine coated quantum dots as potential reagents for in
vitro cancer diagnostics.
AB - BACKGROUND: Gelatine coating was previously shown to effectively reduce the
cytotoxicity of CdTe Quantum Dots (QDs) which was a first step towards utilising
them for biomedical applications. To be useful they also need to be target
specific which can be achieved by conjugating them with Folic Acid (FA). RESULTS:
The modification of QDs with FA via an original "one-pot" synthetic route was
proved successful by a range of characterisation techniques including UV-visible
absorption spectroscopy, Photoluminescence (PL) emission spectroscopy,
fluorescence life-time measurements, Transmission Electron Microscopy (TEM) and
Dynamic Light Scattering (DLS). The resulting nanocomposites were tested in Caco
2 cell cultures which over-express FA receptors. The presence of FA on the
surface of QDs significantly improved the uptake by targeted cells. CONCLUSIONS:
The modification with folic acid enabled to achieve a significant cellular uptake
and cytotoxicity towards a selected cancer cell lines (Caco-2) of gelatine-coated
TGA-CdTe quantum dots, which demonstrated good potential for in vitro cancer
diagnostics.
PMID- 22074173
TI - 'Irresponsible and a disservice': the integrity of social psychology turns on the
free will dilemma.
AB - Over the last few years, a number of works have been published asserting both the
putative prosocial benefits of belief in free will and the possible dangers of
disclosing doubts about the existence of free will. Although concerns have been
raised over the disservice of keeping such doubts from the public, this does not
highlight the full danger that is presented by social psychology's newly found
interest in the 'hard problem' of human free will. Almost all of the work on free
will published to date by social psychologists appears methodologically flawed,
misrepresents the state of academic knowledge, and risks linking social
psychology with the irrational.
PMID- 22074172
TI - The acid-tolerant L-arabinose isomerase from the mesophilic Shewanella sp. ANA-3
is highly active at low temperatures.
AB - BACKGROUND: L-arabinose isomerases catalyse the isomerization of L-arabinose into
L-ribulose at insight biological systems. At industrial scale of this enzyme is
used for the bioconversion of D-galactose into D-tagatose which has many
applications in pharmaceutical and agro-food industries. The isomerization
reaction is thermodynamically equilibrated, and therefore the bioconversion rates
is shifted towards tagatose when the temperature is increased. Moreover, to
prevent secondary reactions it will be of interest to operate at low pH. The
profitability of this D-tagatose production process is mainly related to the use
of lactose as cheaper raw material. In many dairy products it will be interesting
to produce D-tagatose during storage. This requires an efficient L-arabinose
isomerase acting at low temperature and pH values. RESULTS: The gene encoding the
L-arabinose isomerase from Shewanella sp. ANA-3 was cloned and overexpressed in
Escherichia coli. The purified protein has a tetrameric arrangement composed by
four identical 55 kDa subunits. The biochemical characterization of this enzyme
showed that it was distinguishable by its maximal activity at low temperatures
comprised between 15-35 degrees C. Interestingly, this biocatalyst preserves more
than 85% of its activity in a broad range of temperatures from 4.0 to 45 degrees
C. Shewanella sp. ANA-3 L-arabinose isomerase was also optimally active at pH 5.5
6.5 and maintained over 80% of its activity at large pH values from 4.0 to 8.5.
Furthermore, this enzyme exhibited a weak requirement for metallic ions for its
activity evaluated at 0.6 mM Mn2+. Stability studies showed that this protein is
highly stable mainly at low temperature and pH values. Remarkably, T268K mutation
clearly enhances the enzyme stability at low pH values. Use of this L-arabinose
isomerase for D-tagatose production allows the achievement of attractive
bioconversion rates of 16% at 4 degrees C and 34% at 35 degrees C. CONCLUSIONS:
Here we reported the purification and the biochemical characterization of the
novel Shewanella sp. ANA-3 L-arabinose isomerase. Determination of the
biochemical properties demonstrated that this enzyme was highly active at low
temperatures. The generated T268K mutant displays an increase of the enzyme
stability essentially at low pH. These features seem to be very attractive for
the bioconversion of D-galactose into D-tagatose at low temperature which is very
interesting from industrial point of view.
PMID- 22074174
TI - Reconciling sectoral abatement strategies with global climate targets: the case
of the Chinese passenger vehicle fleet.
AB - The IPCC Forth Assessment Report postulates that global warming can be limited to
2 degrees C by deploying technologies that are currently available or expected
to be commercialized in the coming decades. However, neither specific
technological pathways nor internationally binding reduction targets for
different sectors or countries have been established yet. Using the passenger car
stock in China as example we compute direct CO(2) emissions until 2050 depending
on population, car utilization, and fuel efficiency and compare them to
benchmarks derived by assuming even contribution of all sectors and a unitary
global per capita emission quota. Compared to present car utilization in
industrialized countries, massive deployment of prototypes of fuel efficient cars
could reduce emissions by about 45%, and moderately lower car use could
contribute with another 33%. Still, emissions remain about five times higher than
the benchmark for the 2 degrees C global warming target. Therefore an extended
analysis, including in particular low-carbon fuels and the impact of urban and
transport planning on annual distance traveled and car ownership, should be
considered. A cross-sectoral comparison could reveal whether other sectors could
bear an overproportional reduction quota instead. The proposed model offers
direct interfaces to material industries, fuel production, and scrap vehicle
supply.
PMID- 22074176
TI - Evaluation of biosafety and intracellular uptake of Cremophor EL free paclitaxel
elastic liposomal formulation.
AB - The present study examines the acute, sub-acute toxicity, and cytotoxicity of
paclitaxel elastic liposomal formulation in comparison to a marketed Cremophor EL
(polyoxyethylated castor oil):ethanol (1:1, v/v) based formulation. In the
previous study, Cremophor EL free paclitaxel elastic liposomal formulation was
developed and characterized. Cytotoxicity of formulation was evaluated by MTT
assay using A549 cell lines. Percentage intracellular uptake of paclitaxel
elastic liposomal and marketed formulation was determined using a fluorescence
activating cell sorting assay (FACS) and fluorescence microscopy techniques.
Single and repeated dose toxicity measurement showed no mortality, hematological,
biochemical, or histopathological changes up to a dose of 120 mg/kg for
paclitaxel elastic liposomal formulation, in comparison the marketed formulation
showed toxicity at a dose of 40 mg/kg. Maximum tolerated dose (MTD) for
paclitaxel elastic liposomal and marketed formulation was found to be 160 mg/kg
and 40 mg/kg, respectively. Results of FACS analysis showed a 94.6 +/- 2.5%
intracellular uptake of fluorescence marker acridine orange (AO) loaded in
elastic liposomes; in comparison the AO solution showed only a 19.8 +/- 1.1%
uptake. Paclitaxel elastic liposomal formulation seems to be a better alternative
for safe and effective delivery of paclitaxel. This study proves the safety and
higher intracellular uptake of paclitaxel elastic liposomal formulation.
PMID- 22074178
TI - No effects of Korean pine nut triacylglycerol on satiety and energy intake.
AB - BACKGROUND: Triacylglycerols (TAG) have been shown to have potential appetite
suppressing effects. This study examined the effects of 3 g and 6 g Korean pine
nut triacylglycerols (PinnoThin) on appetite and energy intake. METHODS: 130 g
Isoenergetic yogurt containing either placebo (milk fat) or PinnoThin TAG was
consumed as a breakfast, after an overnight fast, in a double blind randomized
crossover design. Appetite profile ratings were determined by visual analogue
scale at regular intervals for a period of 4 h after the breakfast. In phase I, 6
g PinnoThin TAG and placebo was tested in thirty-three healthy women (mean +/-
SD, BMI 26.4 +/- 3.8 kg/m2; age 28 +/- 10 y) to determine the appetite
suppressing effect in time. In phase II, an additional dose of 3 g PinnoThin TAG,
as well as 6 g PinnoThin TAG and placebo, was tested in thirty-four women (BMI
25.8 +/- 2.9 kg/m2; age 25 +/- 9 y) to determine energy intake from an ad libitum
lunch offered at 210 min after the breakfast, at which maximal differences in
appetite profile ratings were present in phase I. RESULTS: Area under the curve
of appetite profile ratings was not significantly different between the
conditions. Energy intake was 9.5% lower after 6 g PinnoThin TAG compared with 3
g PinnoThin TAG, but there was no significant difference with the placebo.
CONCLUSION: A dosage of 6 g PinnoThin TAG is not sufficient to suppress appetite
and energy intake. TRIAL REGISTRATION: Clinical Trials NCT01034605.
PMID- 22074177
TI - Oxygen-independent alkane formation by non-heme iron-dependent cyanobacterial
aldehyde decarbonylase: investigation of kinetics and requirement for an external
electron donor.
AB - Cyanobacterial aldehyde decarbonylase (cAD) is, structurally, a member of the di
iron carboxylate family of oxygenases. We previously reported that cAD from
Prochlorococcus marinus catalyzes the unusual hydrolysis of aldehydes to produce
alkanes and formate in a reaction that requires an external reducing system but
does not require oxygen [Das et al. (2011) Angew. Chem. 50, 7148-7152]. Here we
demonstrate that cADs from divergent cyanobacterial classes, including the enzyme
from N. puntiformes that was reported to be oxygen dependent, catalyze aldehyde
decarbonylation at a much faster rate under anaerobic conditions and that the
oxygen in formate derives from water. The very low activity (<1 turnover/h) of
cAD appears to result from inhibition by the ferredoxin reducing system used in
the assay and the low solubility of the substrate. Replacing ferredoxin with the
electron mediator phenazine methosulfate allowed the enzyme to function with
various chemical reductants, with NADH giving the highest activity. NADH is not
consumed during turnover, in accord with the proposed catalytic role for the
reducing system in the reaction. With octadecanal, a burst phase of product
formation, k(prod) = 3.4 +/- 0.5 min(-1), is observed, indicating that chemistry
is not rate-determining under the conditions of the assay. With the more soluble
substrate, heptanal, k(cat) = 0.17 +/- 0.01 min(-1) and no burst phase is
observed, suggesting that a chemical step is limiting in the reaction of this
substrate.
PMID- 22074179
TI - Dehydratase mediated 1-propanol production in metabolically engineered
Escherichia coli.
AB - BACKGROUND: With the increasing consumption of fossil fuels, the question of
meeting the global energy demand is of great importance in the near future. As an
effective solution, production of higher alcohols from renewable sources by
microorganisms has been proposed to address both energy crisis and environmental
concerns. Higher alcohols contain more than two carbon atoms and have better
physiochemical properties than ethanol as fuel substitutes. RESULTS: We designed
a novel 1-propanol metabolic pathway by expanding the well-known 1,2-propanediol
pathway with two more enzymatic steps catalyzed by a 1,2-propanediol dehydratase
and an alcohol dehydrogenase. In order to engineer the pathway into E. coli, we
evaluated the activities of eight different methylglyoxal synthases which play
crucial roles in shunting carbon flux from glycolysis towards 1-propanol
biosynthesis, as well as two secondary alcohol dehydrogenases of different
origins that reduce both methylglyoxal and hydroxyacetone. It is evident from our
results that the most active enzymes are the methylglyoxal synthase from Bacillus
subtilis and the secondary alcohol dehydrogenase from Klebsiella pneumoniae,
encoded by mgsA and budC respectively. With the expression of these two genes and
the E. coli ydjG encoding methylglyoxal reductase, we achieved the production of
1,2-propanediol at 0.8 g/L in shake flask experiments. We then characterized the
catalytic efficiency of three different diol dehydratases on 1,2-propanediol and
identified the optimal one as the 1,2-propanediol dehydratase from Klebsiella
oxytoca, encoded by the operon ppdABC. Co-expressing this enzyme with the above
1,2-propanediol pathway in wild type E. coli resulted in the production of 1
propanol at a titer of 0.25 g/L. CONCLUSIONS: We have successfully established a
new pathway for 1-propanol production by shunting the carbon flux from
glycolysis. To our knowledge, it is the first time that this pathway has been
utilized to produce 1-propanol in E. coli. The work presented here forms a basis
for further improvement in production. We speculate that dragging more carbon
flux towards methylglyoxal by manipulating glycolytic pathway and eliminating
competing pathways such as lactate generation can further enhance the production
of 1-propanol.
PMID- 22074180
TI - RETRACTED: Inhibition of LXRalpha-dependent steatosis and oxidative injury by
liquiritigenin, a licorice flavonoid, as mediated with Nrf2 activation.
PMID- 22074181
TI - Synthesis and properties of molecular probes for the rescue site on mutant cystic
fibrosis transmembrane conductance regulator.
AB - Cystic fibrosis is a genetic disease caused by mutations in the gene for the
cystic fibrosis transmembrane conductance regulator (CFTR) protein. In vitro
experiments have demonstrated that 4-methyl-2-(5-phenyl-1H-pyrazol-3-yl)phenol
(VRT-532, 1) is able to partially restore the function of mutant CFTR proteins.
To help elucidate the nature of the interactions between 1 and mutant CFTR,
molecular probes based on the structure of 1 have been prepared. These include a
photoreactive aryl azide derivative 11 and a fluorescent dansyl sulfonamide 15.
Additionally, a method for hydrogen isotope exchange on 1 has been developed,
which could be used for the incorporation of radioactive tritium. Using iodide
efflux assays, the probe molecules have been demonstrated to modulate the
activity of mutant CFTR in the same manner as 1. These probe molecules enable a
number of biochemical experiments aimed at understanding how 1 rescues the
function of mutant CFTR. This understanding can in turn aid in the design and
development of more efficacious compounds which may serve as therapeutic agents
in the treatment of cystic fibrosis.
PMID- 22074182
TI - A small molecule that binds to an ATPase domain of Hsc70 promotes membrane
trafficking of mutant cystic fibrosis transmembrane conductance regulator.
AB - Cystic fibrosis transmembrane conductance regulator (CFTR) is a cell-surface
anion channel that permeates chloride and bicarbonate ions. The most frequent
mutation of CFTR that causes cystic fibrosis is the deletion of phenylalanine at
position 508 (DeltaF508), which leads to defects in protein folding and cellular
trafficking to the plasma membrane. The lack of the cell-surface CFTR results in
a reduction in the lifespan due to chronic lung infection with progressive
deterioration of lung function. Hsc70 plays a crucial role in degradation of
mutant CFTR by the ubiquitin-proteasome system. To date, various Hsc70 inhibitors
and transcription regulators have been tested to determine whether they correct
the defective activity of mutant CFTR. However, they exhibited limited or
questionable effects on restoring the chloride channel activity in cystic
fibrosis cells. Herein, we show that a small molecule apoptozole (Az) has high
cellular potency to promote membrane trafficking of mutant CFTR and its chloride
channel activity in cystic fibrosis cells. Results from affinity chromatography
and ATPase activity assay indicate that Az inhibits the ATPase activity of Hsc70
by binding to its ATPase domain. In addition, a ligand-directed protein labeling
and molecular modeling studies also suggest the binding of Az to an ATPase
domain, in particular, an ATP-binding pocket. It is proposed that Az suppresses
ubiquitination of DeltaF508-CFTR maybe by blocking interaction of the mutant with
Hsc70 and CHIP, and, as a consequence, it enhances membrane trafficking of the
mutant.
PMID- 22074183
TI - Adsorption of poly(vinyl formamide-co-vinyl amine) (PVFA-co-PVAm) polymers on
zinc, zinc oxide, iron, and iron oxide surfaces.
AB - The adsorption of poly(vinyl formamide) (PVFA) and the statistic copolymers
poly(vinyl formamide-co-vinyl amine) (PVFA-co-PVAm) onto zinc and iron metal
particles as well as their oxides was investigated. The adsorbates were
characterized by means of XPS, DRIFT spectroscopy, wet chemical analysis, and
solvatochromic probes. Dicyano-bis-(1,10-phenanthroline)-iron(II) (1), 3-(4-amino
3-methylphenyl)-7-phenyl-benzo-[1,2-b:4,5-b']difuran-2,6-dione (2), and 4-tert
butyl-2-(dicyano-methylene)-5-[4-(diethylamino)-benzylidene]-Delta(3)-thiazoline
(3) as solvatochromic probes were coadsorbed onto zinc oxide to measure various
effects of surface polarity. The experimental findings showed that the adsorption
mechanism of PVFA and PVFA-co-PVAm strongly depends on the degree of hydrolysis
of PVFA and pH values and also on the kind of metal or metal oxide surfaces that
were employed as adsorbents. The adsorption mechanism of PVFA/PVFA-co-PVAm onto
zinc oxide and iron oxide surfaces is mainly affected by electrostatic
interactions. Particularly in the region of pH 5, the adsorption of PVFA/PVFA-co
PVAm onto zinc and iron metal particles is additionally influenced by redox
processes, dissolution, and complexation reactions.
PMID- 22074184
TI - Fatalities in wild goats in Kurdistan associated with Peste des Petits Ruminants
virus.
AB - Between August 2010 and February 2011, over 750 deaths were recorded among wild
goats (Capra aegagrus, the endangered progenitor of the domestic goat) in
Kurdistan. Based on the clinical signs and post-mortem findings, the involvement
of peste des petits ruminants virus (PPRV) was suspected. This was confirmed by
laboratory analysis, and the virus was found to be closely related to a Turkish
strain isolated in 2000. During the outbreak in wild goats, no disease in
domestic animals was reported. Domestic ruminants in the region are routinely
vaccinated with an attenuated vaccine based on the 'Nigeria/75/1' strain of PPRV.
This is the first report of active PPRV infection in Kurdistan and most likely
the immunity afforded by vaccination prevented spillover infections. It is
therefore recommended to continue with the campaign. Conversely, there is no
justification for the use of force to keep the endangered wild goats away from
domestic flocks.
PMID- 22074185
TI - The effect of different criteria on the number of patients blind from open-angle
glaucoma.
AB - BACKGROUND: The prevalence of blindness and visual impairment from glaucoma is
influenced by the criteria used to define these entities, which differ between
countries and regions, as well as among published reports. The objective of the
present study was to ascertain the extent to which different criteria of
blindness and visual impairment influence estimates of the number of patients
classified as blind or visually impaired by glaucoma in a clinic-based
population. METHODS: We conducted a retrospective chart review of 914 patients
with open-angle glaucoma to compare numbers of patients identified as visually
impaired with and without considering visual field status. We also compared
proportions classified using World Health Organisation (WHO) and United States
(US) blindness criteria, and applying a new US Social Security Administration
(SSA) disability criterion: perimetric mean deviation (MD) <= -22 dB. RESULTS:
Forty patients (4.4%) were bilaterally blind from glaucoma by the WHO criteria.
Fifty-two (5.7%) were blind by the the US criterion. Assessing only visual
acuity, 14 (1.5%) patients were blind by the WHO criteria and 24 (2.6%) by the US
definition. Eighty-five (9.3%) met the US SSA disability criterion. Among those,
52 were impaired also by the WHO definition. No patients impaired according to
the WHO criteria had MD values better than -22 dB. CONCLUSIONS: Excluding visual
field status will seriously underestimate the prevalence of glaucoma blindness.
In our patient population, 30% more patients were classified as blind by the US
than by the WHO definition. Also, 60% more were identified as visually impaired
by the US SSA criterion than by the WHO criteria. Visual field assessment is
vital to determine visual impairment caused by glaucoma.
PMID- 22074186
TI - Nanoscale analysis of the interaction between cyanoacrylate and vacuum metal
deposition in the development of latent fingermarks on low-density polyethylene.
AB - Vacuum metal deposition (VMD) has been previously demonstrated as an effective
development technique for latent fingermarks and in some cases has been shown to
enhance prints developed with cyanoacrylate (CA) (superglue) fuming. This work
utilizes scanning electron microscopy (SEM) to investigate the interactions of
the two development techniques when applied to latent fingermarks on low-density
polyethylene. CA is shown to act principally on the eccrine deposits around sweat
pores, where polymerization results in long polymer fibrils a few 100 nm in
width. Subsequent VMD processing results in additional areas of development, for
example, between pores. However, the primary mode of deposition of zinc is by
interaction with the polymerized CA, the fibrils of which become decorated with
zinc nanoparticles. Areas with limited CA deposition and no significant
polymerization are also enhanced with the VMD process, resulting in increased
print development.
PMID- 22074187
TI - Molecular rotation in self-assembled multidecker porphyrin complexes.
AB - An alkyl chain-substituted multidecker porphyrin (a cerium double-decker
porphyrin (CeDDP) and a lanthanum triple-decker porphyrin (LaTDP)) complexes were
arranged in a monolayer array on Au(111) substrate. By using a pulse injection
deposition method, both multidecker complexes were deposited on the surface
intact to form a well-defined two-dimensional array. Low-temperature scanning
tunneling microscopy (STM) allowed the measurement of the topographic heights of
the multidecker porphyrin complexes and visualization of their internal
structures clearly. The STM images suggest that the top porphyrin ligand in CeDDP
rarely rotates under nondestructive imaging condition, while the top porphyrin
ligand in LaTDP exhibits flip-flop rotation even under the nondestructive imaging
condition at sub-pA tunneling currents. These results provide the future
applications of molecular-scale mechanical machines and single molecule storage
memory.
PMID- 22074188
TI - Linezolid versus vancomycin antibiotic lock solution for the prevention of
nontunneled catheter-related blood stream infections in hemodialysis patients: a
prospective randomized study.
AB - The use of antibiotic lock solutions (ALSs) for the prevention of catheter
related blood stream infections (CRBSIs) is a promising option. The efficacy and
safety of linezolid as ALS were evaluated in a randomized double-blind
prospective study where 131 patients who required nontunneled catheter (NTC) for
hemodialysis (HD) were randomized to receive an ALS with either (A)
unfractionated heparin (2000 U/ml) alone as a catheter lock control, (B)
vancomycin (5 mg/ml) + heparin (2000 U/ml), or (C) linezolid (2 mg/ml) + heparin
(2000 U/ml). The primary endpoint of the study was CRBSI. A total of 152 NTCs
were inserted in 131 patients. The linezolid-locked group did not present any
infective episode (CRBSI rate = 0/1000 catheter days) compared with 2 episodes in
the vancomycin-locked group (CRBSI rate = 1.21/1000 catheter days, p = 0.1021)
and 11 episodes in the heparin-locked group (CRBSI rate = 6.7/1000 catheter days,
p = 0.0001). Median number of catheter days was greater in group C (median = 38)
compared with group B (median = 36, p = 0.0415) and with group A (median = 34, p
= 0.0036). No side effects and no resistant organisms were recorded with the use
of linezolid ALS. Linezolid appears to be a safe and effective ALS, preventing
CRBSI and prolonging the survival of the catheter in HD patients.
PMID- 22074189
TI - Expression of chemosensory proteins in the tsetse fly Glossina morsitans
morsitans is related to female host-seeking behaviour.
AB - Chemosensory proteins (CSPs) are a class of soluble proteins present in high
concentrations in the sensilla of insect antennae. It has been proposed that they
play an important role in insect olfaction by mediating interactions between
odorants and odorant receptors. Here we report, for the first time, the presence
of five CSP genes in the tsetse fly Glossina morsitans morsitans, a major vector
transmitting nagana in livestock. Real-time quantitative reverse transcription
PCR showed that three of the CSPs are expressed in antennae. One of them,
GmmCSP2, is transcribed at a very high level and could be involved in olfaction.
We also determined expression in the antennae of both males and females at
different life stages and with different blood feeding regimes. The transcription
of GmmCSP2 was lower in male antennae than in females, with a sharp increase in
10-week-old flies, 48 h after a bloodmeal. Thus there is a clear relationship
between CSP gene transcription and host searching behaviour. Genome annotation
and phylogenetic analyses comparing G. morsitans morsitans CSPs with those of
other Diptera showed rapid evolution after speciation of mosquitoes.
PMID- 22074190
TI - Ethnicity predicts perceptions of smoking and smoking cessation among veterans.
AB - The objective of this study was to determine if race/ethnicity predicts
motivation to quit smoking and preferences for cessation services among smokers
serviced by a primarily psychiatric Veterans Affairs hospital. A self
administered survey was given to a convenience sample of smokers (n=146) at the
Battle Creek Veterans Affairs Medical Center. Univariate, bivariate and
multivariate regression analyses were calculated to determine the association
between race/ethnicity and motivation to quit smoking. Forty-two per cent of the
sample was non-white. Non-white patients smoked significantly less cigarettes per
day as compared with white patients (P=0.002). In the multivariate analyses,
compared with whites, non-whites had 3.5 times greater odds of thinking that
quitting smoking was extremely/very important to health (P= 0.01), 4.0 times
greater odds of thinking of quitting using tobacco products in the next 30 days
(P=0.004) and 3.4 times greater odds of being interested in receiving smoking
cessation services (P=0.007). Yet, non-white patients were less likely to be
interested in intensive nurse counselling and cessation medications. As the
number of non-whites continues to increase in the military, novel strategies may
be needed to capitalize on the high motivation to quit smoking and preference for
non-traditional interventions among non-white smokers treated in Veterans Affairs
hospitals.
PMID- 22074191
TI - Laparoscopic low anterior resection for hematogenous rectal metastasis from
gastric adenocarcinoma: a case report.
AB - BACKGROUND: Gastric cancer is one of the most common malignancies in the world
and is the second most common cause of cancer-related death in Korea. Colorectal
metastases from gastric adenocarcinoma are known to be very rare. We report an
unusual case of rectal metastasis of gastric adenocarcinoma. CASE PRESENTATION:
We report a case of a 43-year-old female patient with gastric cancer who first
presented with epigastric pain. The endoscopic and radiologic findings were
suggestive of Borrmann type III advanced gastric cancer with linitis plastica.
Radical total gastrectomy with D2 lymph node dissection was performed. The
pathology report was AJCC TNM Stage II gastric adenocarcinoma (T3N0M0). On follow
up at 34 months after surgery, the patient complained of difficulty in
defecation. On colonoscopy, a hard, indurated extraluminal mass was detected 7 cm
proximal to the anal verge. The biopsy demonstrated chronic nonspecific colitis.
Abdominal CT, rectal MRI and PET-CT revealed rectal metastasis from gastric
cancer. Laparoscopic ultralow anterior resection with diverting ileostomy was
performed. The pathology report was metastatic adenocarcinoma, and this diagnosis
was identical to the gastric pathology reported in the previous pathology report.
The patient was discharged after the 11th postoperative day with no adverse
events. CONCLUSION: Rectal metastasis from gastric cancer is known to be very
rare. However, metastatic gastric adenocarcinoma should be considered as a
differential diagnosis for patients presenting with a colorectal mass and a past
history of gastric cancer.
PMID- 22074192
TI - Staphylococcus aureus infection induced redox signaling and DNA fragmentation in
T-lymphocytes: possible ameliorative role of nanoconjugated vancomycin.
AB - Staphylococcus aureus is most frequently isolated pathogen causing bloodstream
infections, skin and soft tissue infections and pneumonia. Vancomycin sensitive
and resistant S. aureus infection causes oxidative stress in neutrophils and
lymphocytes. Lymphocyte is an important immune cell. The immune cells use
reactive oxygen species (ROS) for carrying out their normal functions while an
excess amount of ROS can attack cellular components that lead to cell damage. The
aim of the present study was to test the protective role of nanoconjugated
vancomycin against Vancomycin Sensitive S. aureus (VSSA) and Vancomycin Resistant
S. aureus (VRSA) infection induced oxidative stress in T-lymphocytes. VSSA and
VRSA infection were developed in Swiss mice by intraperitoneal injection of 5 *
10(6) CFU/ml bacterial solutions. Nanoconjugated vancomycin was treated to VSSA
and VRSA infected mice at a dose of 100 mg/kg bw/day and 500 mg/kg bw/day,
respectively for successive 10 days. Vancomycin was treated to VSSA and VRSA
infected mice at similar dose, respectively, for 10 days. The result of this
study reveals that in vivo VSSA and VRSA infection significantly increases the
level of nitrite generation, lipid peroxidation, protein oxidation, oxidized
glutathione level, DNA fragmentation, and decreases the level of reduced
glutathione, antioxidant enzyme status, glutathione dependent enzymes as compared
to control group; which were increased or decreased significantly near to normal
in T-lymphocytes of nanoconjugated vancomycin treated group. These finding
suggests the potential use and beneficial protective role of nanoconjugated
vancomycin against VSSA and VRSA infection induced oxidative stress in T
lymphocytes.
PMID- 22074193
TI - G-protein betagamma subunits in vasorelaxing and anti-endothelinergic effects of
calcitonin gene-related peptide.
AB - BACKGROUND AND PURPOSE: Calcitonin gene-related peptide (CGRP) has been proposed
to relax vascular smooth muscle cells (VSMC) via cAMP and can promote
dissociation of endothelin-1 (ET-1) from ET(A) receptors. The latter is not
mimicked by other stimuli of adenylate cyclases. Therefore, we evaluated the
involvement of G-protein betagamma subunits (Gbetagamma) in the arterial effects
of CGRP receptor stimulation. EXPERIMENTAL APPROACH: To test the hypothesis that
instead of alpha subunits of G-proteins (Galphas), Gbetagamma mediates the
effects of CGRP receptor activation, we used (i) rat isolated mesenteric
resistance arteries (MRA), (ii) pharmacological modulators of cyclic nucleotides;
and (iii) low molecular weight inhibitors of the functions of Gbetagamma, gallein
and M119. To validate these tools with respect to CGRP receptor function, we
performed organ bath studies with rat isolated MRA, radioligand binding on
membranes from CHO cells expressing human CGRP receptors and cAMP production
assays in rat cultured VSMC. KEY RESULTS: In isolated arteries contracted with
K(+) or ET-1, IBMX (PDE inhibitor) increased sodium nitroprusside (SNP)- and
isoprenaline (ISO)- but not CGRP-induced relaxations. While fluorescein (negative
control) was without effects, gallein increased binding of [(125) I]-CGRP in the
absence and presence of GTPgammaS. Gallein also increased CGRP-induced cAMP
production in VSMC. Despite these stimulating effects, gallein and M119
selectively inhibited the relaxing and anti-endothelinergic effects of CGRP in
isolated arteries while not altering contractile responses to K(+) or ET-1 or
relaxing responses to ISO or SNP. CONCLUSION AND IMPLICATIONS: Activated CGRP
receptors induce cyclic nucleotide-independent relaxation of VSMC and terminate
arterial effects of ET-1 via Gbetagamma.
PMID- 22074194
TI - The relationship between attitudes towards different containment measures and
their usage in a national sample of psychiatric inpatients.
AB - The aims of this report were to explore the relationships between patients'
approval of containment measures, their levels of usage and patients' individual
experience of each measure. Additionally the psychometric properties of the
Attitudes to Containment Measures Questionnaire (ACMQ) were tested. A cross
sectional design was used. The ACMQ was completed by 1361 patients across 136
acute psychiatric wards from three regions in England that participated in the
'City 128' study. Staff on each ward completed the Patient-Staff Conflict
Checklist - Shift Report at the end of each shift to log how often each
containment measure was used. Frequency of patient reported containment
correlated with rates reported by staff. Patients had separate attitudes to each
containment measure rather than an attitude towards containment in general. High
frequency of coerced intramuscular (IM) medication use was associated with
negative attitudes to nearly all types of containment. The ACMQ has good
construct validity. In wards where high levels of IM medication are used, all
patients have lower approval ratings for a number of other containment measures.
This suggests that IM medication has a negative impact not only on those
subjected to it but also patients who witness it. Measures to reduce the negative
impact of IM medication are discussed.
PMID- 22074197
TI - Changes in the use of birth control methods.
AB - Abstract Information on changes in the methods of contraception used was
collected from women in a KAP Survey of Trinidad and Tobago in 1970-71. Using
methods analagous to those used in the study of internal migration, it is found
that 54% of contraceptors were still using the method they first used, and 46%
had changed. In general, the net changes tended to be from less to more efficient
methods. This is demonstrated with data showing: 1. the number of changes
from one method to another; 2. the number of changes these women have made,
considering the first and last methods only; and 3. the number of changes,
including intermediate changes. Nevertheless, there is also an appreciable
movement away from the more effective methods either to other methods, or out of
contraceptive practice entirely. The rate of dropout from contraception has
been increasing in recent years for all methods, and, apparently, for all ages of
women. The principal reasons given for stopping the use of the various methods
were: 1. pregnancy; 2. that the method was uncomfortable or too much
trouble; 3. that the method made the user ill (in the case of the pill and
the IUD); and 4. that the partner disliked the method (in the case of the
condom and withdrawal). More positive reasons include: 1. that the woman
wanted more children; and 2. that she no longer had a partner.
PMID- 22074198
TI - The concept and measurement of child dependency: An approach to family formation
analysis.
AB - Abstract Two distinctions appear crucial in the study of human fertility: (1)
aggregate versus individuallevel analysis; and (2) true explanations versus
'demographic explanations', using Stinchcombe's terminology. Social demographers
analysing fertility have been accustomed to using fertility measurements derived
from aggregative population analyses, and have largely terminated their analytic
efforts at the level of a 'demographic explanation'. The failure to arrive at a
social analysis of the process of fertility decision-making may in part to be due
to this measurement heritage, which may be inappropriate for individual-level
analyses. As a first step in the direction of creating measurement suitable for
such analyses, fertility decision-making is labelled as family formation decision
making, and this is linked to the concept of child dependency. Measures of child
years-of-dependency (CYD) are proposed for use in family formation analysis.
These integrate current quantity and tempo measures, and have greater potential
for use at the level ofthe family. They require no additional data beyond
fertility histories, are flexible in terms of non-modal family situations (e.g.
divorce, infant or child mortality) and may be indicators of criteria used by
couples in planning their fertility. Refinements of the basic CYD measures are
explored. These include analyses of average versus marginal costs of child
rearing, age gradients of costs and social class differentials in costs. All of
these are intended to make CYD measures more useful in individual cost-benefit
analyses of child-bearing and child-rearing.
PMID- 22074199
TI - Assessment of family planning programme effects on births: Preliminary results
obtained through direct matching of birth and programme acceptor records.
AB - Abstract In order to match birth and family planning acceptance records and
thereby to obtain estimates of pre- and post-acceptance fertility, use is made of
seven-digit national identity card numbers, issued to all adult West Malaysians.
These unique numbers are recorded on live-birth records and national family
planning programme acceptor records of West Malaysian women. The application
and preliminary results of this method of direct computer matching of these sets
of records for assessing the effects of a family planning programme on fertility
are described. Pre- and post-acceptance fertility rates are presented in terms of
contraceptive methods used, and the key characteristics of race and age of
programme acceptors, and are discussed in terms of marital duration and number of
children at the time of acceptance.
PMID- 22074195
TI - Weight loss and African-American women: a systematic review of the behavioural
weight loss intervention literature.
AB - The excess burden of obesity among African-American women is well documented.
However, the behavioural weight loss intervention literature often does not
report results by ethnic group or gender. The purpose of this article is to
conduct a systematic review of all behavioural weight loss intervention trials
published between 1990 and 2010 that included and reported results separately for
African-American women. The criteria for inclusion included (i) participants age
>=18 years; (ii) a behavioural weight loss intervention; (iii) weight as an
outcome variable; (iv) inclusion of African-American women; and (v) weight loss
results reported separately by ethnicity and gender. The literature search
identified 25 studies that met inclusion criteria. Our findings suggest that more
intensive randomized behavioural weight loss trials with medically at-risk
populations yield better results. Well-designed and more intensive multi-site
trials with medically at-risk populations currently offer the most promising
results for African-American women. Still, African-American women lose less
weight than other subgroups in behavioural weight loss interventions. It is now
critical to expand on individual-level approaches and incorporate the biological,
social and environmental factors that influence obesity. This will help enable
the adoption of healthier behaviours for this group of women disproportionately
affected by obesity.
PMID- 22074200
TI - Temporal and spatial analysis of fertility decline in Taiwan.
AB - Abstract The conventional mode of evaluating the success of family planning
programmes has frequently emphasized the activities of the programmes, rather
than their ultimate effects. This paper examines the role of family planning
programmes in inducing fertility decline in Taiwan. First it presents the secular
trends of Taiwanese fertility changes, pointing out that family planning
programmes began only after the birth rate had already shown a substantial
decline. Secondly, it specifically evaluates the impact of family planning
programmes in the Taichung areas, since its success has been widely proclaimed.
Finally, it is stipulated that the dynamics of Taiwanese fertility changes may be
related to declining infant mortality and accelerating educational development,
and that these institutional effects, rather than the family planning programmes,
should be credited with changes in fertility.
PMID- 22074201
TI - Relation of marriage and education to fertility in the U.S.S.R.
AB - Abstract One-half of the variation in Soviet fertility as measured by the child
woman ratio is attributable to the proportion of married women in the 20-24 age
group. The familar sociological hypothesis of an inverse relation between human
fertility and education also is fully substantiated with data for the 36 major
ethnic groups in the U.S.S.R. The second and third best predicting variables fall
into the two extreme age groups: (a) those 16 to 19 years of age with more than
seven years of school completed and (b) those men and women aged 60 and over with
the equivalent formal education. Results of this study support the modified
hypothesis that complements previously publicized findings. It asserts that
variations in fertility attributable to the traditionally religious values can be
explained in terms of the age-specific marriage and educational differentials
known to have existed in the past and still characteristic of the multi-national
society in the Soviet Union.
PMID- 22074202
TI - The fertility of negroes without southern rural experience: A re-examination of
the 1960 GAF study findings with 1967 SEO Data.
AB - Abstract A major finding of the 1960 'Growth of American Families' (GAF) study
was that whites and blacks without southern rural experience had similar
fertility. This paper reports on a re-examination of this finding with a
substantially larger black sample. Data from the 1967 Survey of Economic
Opportunity demonstrated that the residence background classification utilized in
the GAF study defeated, in part, the attempt to remove the effects of rural
experience on fertility. Indigenous urban blacks had 25% higher fertility than
indigenous urban whites. The fertility of urban black migrants out of the rural
South was sharply curtailed in contrast to those remaining in the rural South.
Although urban blacks of southern rural background had nominally higher fertility
than indigenous urban blacks, the difference was neither statistically nor
substantively significant. These results demand a re-ordering of the
interpretation of the impact that migration has on urban black fertility and the
white-black differential in fertility.
PMID- 22074203
TI - The influence of cause-specific mortality conditions on the age pattern of
mortality with special reference to Taiwan.
AB - Abstract This paper discusses the relationship between the level of mortality
at ages one to four, on one hand, and five to 34 on the other. This relationship
has been observed to vary considerably among mortality schedules at different
levels of mortality and even among schedules at the same general level of
mortality. This variation is shown among the modem life table systems of the
Regional Model Life Tables and the United Nations Model Life Tables. Controlling
for the leyel ofmortality from age five to age 34, the West Tables and the United
Nations Tables embody approximately the same 'average' relationship between early
childhood and adult mortality. Relatively to this average relationship, the South
and East Tables consistently display higher childhood mortality rates for a given
level of adult mortality. Indeed, the childhood rates of the South Table are
twice those of the West Tables over a range of life expectancy at birth from 40
to 70 years. The relationship between childhood and adult mortality from 1957
to 1968, a period of rapid mortality decline, was investigated in Taiwan. In
1957, the Taiwanese data reflected the severe childhood mortality of the South
Model Tables. However, by 1968, due to an especially large decline in childhood
mortality, this relationship was more moderate and resembled the mortality
pattern of the West or East Model Tables. An analysis of the decline in cause
specific mortality during the period revealed that a dramatic decline in
childhood mortality from gastro-enteritis was primarily responsible for the shift
in the relationship between childhood and adult mortality in Taiwan. It is
asserted that, while any of several diseases which result in fatalities primarily
among children of pre-school ages, could cause relatively severe childhood
mortality, gastro-enteritis is likely to be a primary contributor to such an age
pattern. This assertion is based on the fact that, especially in the developing
areas of the world, malnutrition and gastro-enteritis are usually precipitating
and complicating factors of other childhood diseases. A limited test of this
hypothesis was provided by considering the causal components of childhood
mortality rates in two populations known, for certain periods, to have exhibited
relatively severe childhood mortality conditions; Spain and Portugal. For the
years in which those populations were characterized by the South mortality
pattern, gastro-enteritis was a principal cause of mortality in childhood.
Moreover, with the decline in mortality from gastro-enteritis, the mortality
pattern in Spain and Portugal no longer exhibited childhood mortality rates which
were severe relative to those of adult life. The implications of these findings
for the analysis of mortality conditions in many areas of the developing world,
where the gastro-enteritis malnutrition syndrome annually claims a heavy toll of
life in early childhood, are not clear. In those areas, the effect of this
syndrome on the age pattern of mortality could be offset by special conditions
inflating adult mortality rates. Nevertheless, in circumstances where there is
evidence indicating substantial childhood mortality from this syndrome and no
evidence indicating compensating severe adult mortality, there is reason to
suspect that the existing mortality pattern reflects the relatively severe
childhood mortality conditions of the South Model Tables. Additionally, where
mortality from the gastro-enteritis malnutrition syndrome has been severe in past
years, but has been reduced to low levels in recent years, it is probable that
the relationship between childhood and adult mortality will shift in favour of
the former - quite possibly, in the manner of Taiwan, from a South to an East or
West age pattern.
PMID- 22074204
TI - On the pattern of cohort fertility.
AB - Abstract An examination of the patterns of cohort fertility rates in a number
of populations revealed the existence of a common pattern showing how mean family
sizes of the different age-at-marriage groups of a given marriage cohort are
built up over the reproductive span. Standard schedules of fertility patterns are
generated by the use of the Gompertz function which defines the distribution of
cumulative fertility rates of a given marriage cohort by marriage duration by
means of only three parameters. The generated system is tested with the
historical series for England and Wales and Sweden and is found adequate to
describe widely different childbearing patterns. Some of the demographic
implications of the existence of common fertility patterns are examined and the
usefulness of the generated system in projecting future fertility trends is
briefly discussed.
PMID- 22074206
TI - Justifying discrimination against Muslim immigrants: out-group ideology and the
five-step social identity model.
AB - This study examines how Geert Wilders, leader of the far-right Party For Freedom
(PVV) in the Netherlands, justifies discriminatory measures for Muslim citizens.
Wilders' contributions to four parliamentary debates and newspaper articles are
analysed. The analysis shows that Wilders consistently makes a distinction
between Islam as a belief system and Muslims as a group of people. Islam is
defined as external to the West and as a major threat to the virtuous nature of
the in-group. Defending and preserving Western liberal values against Islam is
construed as a moral imperative. It is further shown how the distinction between
Islam and Muslims functions to ward off accusations of prejudice and
discrimination. It is concluded that social psychologists studying prejudice and
discrimination should pay more attention to the distinction between person
categories and ideological categories, and to political leadership.
PMID- 22074207
TI - Speciation and degradation of triphenyltin in typical paddy fields and its uptake
into rice plants.
AB - Triphenyltin (TPhT) is a biocide used worldwide in agriculture, especially in
rice crop farming. The distribution and dissipation of TPhT in rice fields, as
well as uptake of TPhT and other phenyltin compounds (monophenyltin, MPhT, and
diphenyltin, DPhT) is still unknown at present. In this study, speciation
analysis of phenyltin compounds was carried out in soil and water from a rice
field where TPhT was applied during rice seeding according to legal application
rates in Brazil. The results indicate the degradation of biocide and distribution
of tin species into soil and water. To evaluate whether TPhT is taken up by
plants, rice plants were exposed to three different TPhT application rates in a
controlled mesocosm during 7 weeks. After this period, tin speciation was
determined in soil, roots, leaves, and grains of rice. Degradation of TPhT was
observed in soil, where DPhT and MPhT were detected. MPhT, DPhT, and TPhT were
also detected in the roots of plants exposed to all TPhT application rates. Only
TPhT was detected in leaves and at relatively low concentration, suggesting
selective transport of TPhT in the xylem, in contrast to DPhT and MPhT.
Concentration of phenyltin species in rice grains was lower than the limit of
detection, suggesting that rice plants do not have the capability to take up TPhT
from soil and transport it to the grains.
PMID- 22074208
TI - Disparities in reproductive health-related visits to the emergency department in
Maryland by age and race, 1999-2005.
AB - OBJECTIVE: To describe reproductive health-related visits to Maryland emergency
departments (EDs) among women aged 15-44 years from 1999 to 2005. METHODS: We
obtained data from the Healthcare Cost and Utilization Project State Emergency
Department Database and State Inpatient Database. ICD-9-CM diagnosis codes were
used to classify reproductive health-related visits. We calculated the annual
rate of reproductive health visits to Maryland EDs from 1999 to 2005 for women
aged 15-44 years and tested time trends using linear regression. Admission rates
were defined as the percentage of ED visits that resulted in inpatient admission.
We calculated age-specific and race-specific rate ratios for diagnoses using
Poisson regression and admission rate ratios using Cochran-Mantel-Haenszel
statistics. RESULTS: From 1999 to 2005, the rate of ED visits in Maryland
increased 50%, from 28.0 to 42.1 visits per 1000 women. Lower genital tract
infections were the most common diagnosis (21.4%). The rates were higher for
women aged 15-24 than for women aged 25-44 (rate ratio 1.18, 95% confidence
interval [CI] 1.17-1.18) and nearly three times higher for black women than white
women (rate ratio 2.94, 95% CI 2.92-2.96). Admission rates were lower for women
aged 15-24 than for women aged 25-44 (rate ratio 0.34, 95% CI 0.33-0.35) and were
higher among black than white women (rate ratio 1.16, 95% CI 1.14-1.18).
CONCLUSIONS: Disparities by age and race are evident for reproductive health
related ED visits in Maryland, and many of these ED visits are for conditions
that are amenable to preventive measures.
PMID- 22074209
TI - The perceived need for Japanese nursing faculty members to learn English: issues
related to career development.
AB - In Japan, nurses are increasingly expected to use English in various settings.
English language proficiency is indispensable in almost all aspects of the
clinical experience and for career development of Japanese nurses. This article
introduces the idea of Japanese nurses learning the English language to enhance
their career development and provides succinct survey results about the perceived
need for learning English, based on responses from 145 nursing faculty members
across Japan. Analyses showed that most faculty members considered English
language proficiency important for nursing expertise and career development.
Overall, the results indicated that Japanese nurses require continuing English
language education. Further study of their need to learn English and ways to
implement English education programs is required.
PMID- 22074210
TI - The effect of high-fidelity patient simulation on the critical thinking and
clinical decision-making skills of new graduate nurses.
AB - This study was conducted to determine whether the addition of high-fidelity
patient simulation to new nurse orientation enhanced critical thinking and
clinical decision-making skills. A pretest-posttest design was used to assess
critical thinking and clinical decision-making skills in two groups of graduate
nurses. Compared with the control group, the high-fidelity patient simulation
group did not show significant improvement in mean critical thinking or clinical
decision-making scores. When mean scores were analyzed, both groups showed an
increase in critical thinking scores from pretest to posttest, with the high
fidelity patient simulation group showing greater gains in overall scores.
However, neither group showed a statistically significant increase in mean test
scores. The effect of high-fidelity patient simulation on critical thinking and
clinical decision-making skills remains unclear.
PMID- 22074211
TI - Practice makes perfect: tips for successful institutional review board
submissions.
AB - As the nursing profession seeks to develop evidence-based practice, nurses are
being encouraged to embark on research in their institutions. Staff nurses and
nurse clinicians often struggle with the process of obtaining institutional
review board approval for their projects. When classes are available, the focus
is frequently on informing nurses about the federal regulations for protection of
human subjects. This article addresses practical strategies for addressing these
regulations from the perspective of both the individual nurse and the nursing
leader.
PMID- 22074212
TI - Taking charge: front-line nurse leadership development.
AB - The recent Institute of Medicine (2010) report, The Future of Nursing: Leading
Change, Advancing Health, included a recommendation that nurses at all levels
should be prepared and enabled to lead change to advance health care in the
United States. Historically, in most organizations, nursing leadership
development programs have focused on nurses in management or executive roles
rather than those working in front-line leadership roles. This article describes
a front-line leadership development initiative developed by Tenet Healthcare
Corporation and attended by 400 charge nurses. Program development, evaluation,
and lessons learned that can be applied in other organizations are discussed.
PMID- 22074213
TI - Meeting tomorrow's health care needs through local and global involvement.
AB - Strengthened efforts to achieve the United Nations Millennium Development Goals
by 2015 are urgently needed. A fundamental step toward achieving these goals is
strengthening global partnerships for development. This article describes
critical challenges and opportunities in global health and the social
responsibility of the nursing profession in this area. Examples and suggestions
for nursing action are provided for consideration by those interested in
influencing global health. Engaging in global health activities such as study
abroad programs, interprofessional exchanges, continuing education workshops, and
seminars with a global health focus can have significant implications for nursing
education, research, policy, and practice. Equipping nurses with the leadership
skills, knowledge, and attitudes needed to advance global health is integral in
the delivery of effective, culturally relevant health care.
PMID- 22074214
TI - Development of a hybrid simulation course to reduce central line infections.
AB - Clinical educators are continually looking at ways to effectively deliver large
amounts of information to their learners. Whether as a part of pre-course work or
as a separate phase of training, there are numerous benefits to making
information available to learners before conducting sessions that allow the
learners to practice the skills. Hybrid courses consist of a mixture of online
and on-site instruction and offer a viable option for clinical educators to
consider, especially when their intended audience consists of thousands of
learners. This article describes the experiences of a medical simulation center
and the use of a hybrid curriculum technique to reduce central line infections.
PMID- 22074215
TI - Characteristics that perinatal nurse managers desire in new nurse hires.
AB - BACKGROUND: Nursing leaders have proposed that nurses must have the Quality and
Safety Education for Nurses (QSEN) competencies to work in complex health care
systems. Using the QSEN framework, this study explored what characteristics
perinatal nurse managers desired most in new nurses. METHODS: This study used a
survey design and a convenience sample of perinatal nurse managers working in
Indiana hospitals (N = 46). RESULTS: Managers were more likely to hire nurses
with experience, positive references, and excellent attendance. Of the QSEN
competencies, managers looked most for teamwork and collaboration, followed by
safety and patient-centered care. CONCLUSION: In addition to the traditional
qualities desired in new nurses, the QSEN competencies are gaining importance
among perinatal managers.
PMID- 22074216
TI - Outcomes of a continuing education course on intravenous catheter insertion for
experienced registered nurses.
AB - Many experienced nurses report a lack of confidence in their intravenous (IV)
catheter skills despite training with a phlebotomist and designated orientation
time with the IV team. This study assessed the success of an IV catheter
insertion continuing education class aimed at improving experienced nurses'
skills levels, confidence, and knowledge regarding IV catheter insertion,
maintenance, and infection prevention. Through a partnership between a hospital
and a college of nursing continuing education program, a 1-day course was
provided for 33 experienced nurses. The educators sought to determine whether a
continuing education course improved the knowledge and skills of experienced
nurses regarding the insertion of peripheral IV catheters and whether the nurses
retained the knowledge and skills learned in a formal IV course over time. The
findings showed that the continuing education IV course improved the knowledge
and skills of experienced nurses. Improvement in knowledge was shown immediately
after the course and 8 to 12 weeks later. Skills improvement with regard to
infection prevention and policy adherence was evident. Because confidence data
were collected with two different scales before and after the course, they were
unusable for statistical testing. Further study is needed to determine whether
nurses' confidence levels would improve after the implementation of a formal IV
course. Replication studies are also needed to validate the results with a larger
sample size.
PMID- 22074217
TI - 4-Amino-6-hydroxypyrazolo [3,4-d]pyrimidine (AHPP) conjugated PEG micelles: water
soluble polymeric xanthine oxidase inhibitor.
AB - Xanthine oxidase (XO) is the major source of superoxide anion (O(2)(-)) that is
associated with various reactive oxygen species (ROS) related diseases. 4-amino-6
hydroxypyrazolo[3,4-d]pyrimidine (AHPP) is a potent XO inhibitor discovered in
Maeda's laboratory, which is now being developed for the treatment of ischemia
reperfusion injury and inflammatory diseases. However, the poor aqueous
solubility of AHPP at physiological pH hampers its clinical development. To
overcome this drawback, in the present study water soluble polyethyleneglycol
conjugated AHPP (AHPP-PEG) was synthesized via two different approaches, which
resulted in two derivatives of AHPP-PEG, namely, mono-AHPP-PEG and bis-(AHPP)-PEG
depending on the number of AHPP on PEG chain. We characterized both conjugates by
UV, FTIR spectroscopy and elemental analysis. Dynamic light scattering and
Sephadex G-100 chromatography studies revealed mean particle size of 164.1 and
218.8 nm and Mw. equivalent to 107 and 126 kDa for mono-AHPP-PEG and bis-(AHPP)
PEG, respectively. Further, XO inhibitory activity for mono-AHPP-PEG and bis
(AHPP)-PEG were found with Ki of 0.23+/-0.03 and 0.21+/-0.03 MUM, respectively.
In vivo pharmacokinetic study showed longer circulation time of AHPP-PEG
conjugates compared to free AHPP. These results indicate AHPP-PEG conjugates have
better potentials with supramolecular assemblies in aqueous medium and may become
a good candidate for the treatment of ROS related diseases.
PMID- 22074218
TI - Methamphetamine-induced changes in the striatal dopamine pathway in MU-opioid
receptor knockout mice.
AB - BACKGROUND: Repeated exposure to methamphetamine (METH) can cause not only
neurotoxicity but also addiction. Behavioral sensitization is widely used as an
animal model for the study of drug addiction. We previously reported that the MU
opioid receptor knockout mice were resistant to METH-induced behavioral
sensitization but the mechanism is unknown. METHODS: The present study determined
whether resistance of the MU-opioid receptor (MU-OR) knockout mice to behavioral
sensitization is due to differential expression of the stimulatory G protein
alpha subunit (Galphas) or regulators of G-protein signaling (RGS) coupled to the
dopamine D1 receptor. Mice received daily intraperitoneal injections of saline or
METH (10 mg/kg) for 7 consecutive days to induce sensitization. On day
11(following 4 abstinent days), mice were either given a test dose of METH (10
mg/kg) for behavioral testing or sacrificed for neurochemical assays without
additional METH treatment. RESULTS: METH challenge-induced stereotyped behaviors
were significantly reduced in the MU-opioid receptor knockout mice when compared
with those in wild-type mice. Neurochemical assays indicated that there is a
decrease in dopamine D1 receptor ligand binding and an increase in the expression
of RGS4 mRNA in the striatum of METH-treated MU-opioid receptor knockout mice but
not of METH-treated wild-type mice. METH treatment had no effect on the
expression of Galphas and RGS2 mRNA in the striatum of either strain of mice.
CONCLUSIONS: These results indicate that down-regulation of the expression of the
dopamine D1 receptor and up-regulation of RGS4 mRNA expression in the striatum
may contribute to the reduced response to METH-induced stereotypy behavior in MU
opioid receptor knockout mice. Our results highlight the interactions of the MU
opioid receptor system to METH-induced behavioral responses by influencing the
expression of RGS of dopamine D1 receptors.
PMID- 22074219
TI - Standardizing the measurement of parasite clearance in falciparum malaria: the
parasite clearance estimator.
AB - BACKGROUND: A significant reduction in parasite clearance rates following
artesunate treatment of falciparum malaria, and increased failure rates following
artemisinin combination treatments (ACT), signaled emergent artemisinin
resistance in Western Cambodia. Accurate measurement of parasite clearance is
therefore essential to assess the spread of artemisinin resistance in Plasmodium
falciparum. The slope of the log-parasitaemia versus time relationship is
considered to be the most robust measure of anti-malarial effect. However, an
initial lag phase of numerical instability often precedes a steady exponential
decline in the parasite count after the start of anti-malarial treatment. This
lag complicates the clearance estimation, introduces observer subjectivity, and
may influence the accuracy and consistency of reported results. METHODS: To
address this problem, a new approach to modelling clearance of malaria parasites
from parasitaemia-time profiles has been explored and validated. The methodology
detects when a lag phase is present, selects the most appropriate model (linear,
quadratic or cubic) to fit log-transformed parasite data, and calculates
estimates of parasite clearance adjusted for this lag phase. Departing from
previous approaches, parasite counts below the level of detection are accounted
for and not excluded from the calculation. RESULTS: Data from large clinical
studies with frequent parasite counts were examined. The effect of a lag phase on
parasite clearance rate estimates is discussed, using individual patient data
examples. As part of the World Wide Antimalarial Resistance Network's (WWARN)
efforts to make innovative approaches available to the malaria community, an
automated informatics tool: the parasite clearance estimator has been developed.
CONCLUSIONS: The parasite clearance estimator provides a consistent, reliable and
accurate method to estimate the lag phase and malaria parasite clearance rate. It
could be used to detect early signs of emerging resistance to artemisinin
derivatives and other compounds which affect ring-stage clearance.
PMID- 22074220
TI - Quantum phase transition from superparamagnetic to quantum superparamagnetic
state in ultrasmall Cd(1-x)Cr(II)(x)Se quantum dots?
AB - Despite a long history of success in formation of transition-metal-doped quantum
dots (QDs), the origin of magnetism in diluted magnetic semiconductors (DMSs) is
yet a controversial issue. Cr(II)-doped II-VI DMSs are half-metallic, resulting
in high-temperature ferromagnetism. The magnetic properties reflect a strong p-d
exchange interaction between the spin-up Cr(II) t(2g) level and the Se 4p. In
this study, ultrasmall (~3.1 nm) Cr(II)-doped CdSe DMSQDs are shown to exhibit
room-temperature ferromagnetism, as expected from theoretical arguments.
Surprisingly, a low-temperature phase transition is observed at 20 K that is
believed to reflect the onset of long-range ordering of the single-domain DMSQD.
PMID- 22074221
TI - Expanding the optical trapping range of lipid vesicles to the nanoscale.
AB - Small unilamellar lipid vesicles with diameters down to 50 nm enclosing high
refractive index sucrose cores can be optically trapped individually in three
dimensions using a focused laser beam. Combined optical trapping and confocal
microscopy allows for simultaneous quantitative measurements of the forces
exerted on individual vesicles and of their size and shape. The position of
individual vesicles in three dimensions is measured with nanometer spatial and
~10 MUs temporal resolution.
PMID- 22074222
TI - Isoflavonoids and coumarins from Glycyrrhiza uralensis: antibacterial activity
against oral pathogens and conversion of isoflavans into isoflavan-quinones
during purification.
AB - Phytochemical investigation of a supercritical fluid extract of Glycyrrhiza
uralensis has led to the isolation of 20 known isoflavonoids and coumarins, and
glycycarpan (7), a new pterocarpan. The presence of two isoflavan-quinones,
licoriquinone A (8) and licoriquinone B (9), in a fraction subjected to gel
filtration on Sephadex LH-20 is due to suspected metal-catalyzed oxidative
degradation of licoricidin (1) and licorisoflavan A (2). The major compounds in
the extract, as well as 8, were evaluated for their ability to inhibit the growth
of several major oral pathogens. Compounds 1 and 2 showed the most potent
antibacterial activities, causing a marked growth inhibition of the cariogenic
species Streptococcus mutans and Streptococcus sobrinus at 10 MUg/mL and the
periodontopathogenic species Porphyromonas gingivalis (at 5 MUg/mL) and
Prevotella intermedia (at 5 MUg/mL for 1 and 2.5 MUg/mL for 2). Only 1 moderately
inhibited growth of Fusobacterium nucleatum at the highest concentration tested
(10 MUg/mL).
PMID- 22074225
TI - Friction between brush layers of charged and neutral bottle-brush macromolecules.
molecular dynamics simulations.
AB - Using molecular dynamics simulations, we study the lubricating properties of
neutral and charged bottle-brush coatings as a function of the compression and
shear stresses and brush grafting density. Our simulations have shown that in
charged bottle-brush systems under shear there is a layer with excess counterions
located in the middle between brush-bearing surfaces. The main deformation mode
of the charged bottle-brush layers is associated with the backbone deformation,
resulting in the backbone deformation ratio, alpha, and shear viscosity, eta,
being universal functions of the Weissenberg number. In the case of neutral
bottle-brush systems, in addition to the backbone deformation there is also side
chain deformation. The coupling between backbone and side chain deformation
violates universality in the deformation ratio, alpha, dependence on the
Weissenberg number and results in scaling exponents varying with the compression
stress and brush grafting density. The existence of different length scales
controlling deformation of neutral bottle brushes manifests itself in the shear
viscosity, eta, dependence on the shear rate, gamma. Shear viscosity, eta, as a
function of the shear rate, gamma, has two plateaus and two shear thinning
regimes. The low shear rate plateau and shear thinning regime correspond to the
backbone deformation, while the second plateau and shear thinning regime at
moderate shear rates are due to side chain deformation. For both systems the
value of the friction coefficient increases with increasing shear rate. The
values of the friction coefficient for charged bottle-brush systems are about ten
times smaller than corresponding values for neutral systems at the same shear
rate.
PMID- 22074224
TI - Modulation of ion channels by hydrogen sulfide.
AB - SIGNIFICANCE: Evidence of the ability of the gasotransmitter hydrogen sulfide
(H(2)S) to serve as a regulator of many physiological functions, including
control of blood pressure, regulation of cardiac function, protection of neurons,
and cardiomyocytes against apoptosis, and in pain sensation is accumulating.
However, the mechanisms accounting for its many actions are not yet well
understood. RECENT ADVANCES: Following the pioneering studies of the regulation
of N-methyl-d-aspartate receptors and ATP-sensitive K(+) channels by H(2)S, data
continue to emerge indicating that H(2)S modulates other ion channel types. This
article reviews the numerous, yet diverse, types of ion channels now reported to
be regulated by H(2)S. CRITICAL ISSUES: Currently, a critical issue within this
field is to determine the mechanisms by which H(2)S regulates ion channels, as
well as other target proteins. Mechanisms to account for regulation include
direct channel protein sulfhydration, channel redox modulation, effects mediated
by interactions with other gasotransmitters (carbon monoxide and nitric oxide),
and indirect effects, such as modulation of channel-regulating kinases. Through
such modulation of ion channels, novel roles for H(2)S are emerging as important
factors in both physiological and pathological processes. FUTURE DIRECTIONS:
Increasing current awareness and understanding of the roles and mechanisms of
action of ion channel regulation by H(2)S will open opportunities for therapeutic
intervention with clear clinical benefits, and inform future therapies. In
addition, more sensitive methods for detecting relevant physiological
concentrations of H(2)S will allow for clarification of specific ion channel
regulation with reference to physiological or pathophysiological settings.
PMID- 22074223
TI - Phosphatidylinositol 3,4,5-trisphosphate activity probes for the labeling and
proteomic characterization of protein binding partners.
AB - Phosphatidylinositol polyphosphate lipids, such as phosphatidylinositol 3,4,5
trisphosphate [PI(3,4,5)P3], regulate critical biological processes, many of
which are aberrant in disease. These lipids often act as site-specific ligands in
interactions that enforce membrane association of protein binding partners.
Herein, we describe the development of bifunctional activity probes corresponding
to the headgroup of PI(3,4,5)P3 that are effective for identifying and
characterizing protein binding partners from complex samples, namely cancer cell
extracts. These probes contain both a photoaffinity tag for covalent labeling of
target proteins and a secondary handle for subsequent detection or manipulation
of labeled proteins. Probes bearing different secondary tags were exploited,
either by direct attachment of a fluorescent dye for optical detection or by
using an alkyne that can be derivatized after protein labeling via click
chemistry. First, we describe the design and modular synthetic strategy used to
generate multiple probes with different reporter tags of use for characterizing
probe-labeled proteins. Next, we report initial labeling studies using purified
protein, the PH domain of Akt, in which probes were found to label this target,
as judged by in-gel detection. Furthermore, protein labeling was abrogated by
controls including competition with an unlabeled PI(3,4,5)P3 headgroup analogue
as well as through protein denaturation, indicating specific labeling. In
addition, probes featuring linkers of different lengths between the PI(3,4,5)P3
headgroup and photoaffinity tag led to variations in protein labeling, indicating
that a shorter linker was more effective in this case. Finally, proteomic
labeling studies were performed using cell extracts; labeled proteins were
observed by in-gel detection and characterized using postlabeling with biotin,
affinity chromatography, and identification via tandem mass spectrometry. These
studies yielded a total of 265 proteins, including both known and novel candidate
PI(3,4,5)P3-binding proteins.
PMID- 22074226
TI - Acute disseminated encephalomyelitis presenting as fever of unknown origin: case
report.
AB - BACKGROUND: Fever of unknown origin (FUO) can be defined as a body temperature
higher than 38.3 degrees C on several occasions over more than 3 weeks, the
diagnosis of which remains uncertain after 1 week of evaluation. Acute
disseminated encephalomyelitis (ADEM) is an inflammatory demyelinating disease of
the central nervous system with a wide range of clinical manifestations. The
highest incidence of ADEM is observed during childhood and it usually occurs
following a viral or bacterial infection or, more rarely, following a
vaccination, or without a preceding cause. CASE PRESENTATION: Here, we describe
an atypical case of ADEM that initially manifested as several weeks of FUO in a
fifteen years old boy. CONCLUSIONS: This case report suggests a new possible
syndromic association between ADEM and FUO, which should be considered in the
clinical examination of patients with FUO, especially in the presence of also
modest neurologic or neuropsychiatric symptoms.
PMID- 22074228
TI - Using funnel plots in public health surveillance.
AB - BACKGROUND: Public health surveillance is often concerned with the analysis of
health outcomes over small areas. Funnel plots have been proposed as a useful
tool for assessing and visualizing surveillance data, but their full utility has
not been appreciated (for example, in the incorporation and interpretation of
risk factors). METHODS: We investigate a way to simultaneously focus funnel plot
analyses on direct policy implications while visually incorporating model fit and
the effects of risk factors. Health survey data representing modifiable and
nonmodifiable risk factors are used in an analysis of 2007 small area motor
vehicle mortality rates in Alberta, Canada. RESULTS: Small area variations in
motor vehicle mortality in Alberta were well explained by the suite of modifiable
and nonmodifiable risk factors. Funnel plots of raw rates and of risk adjusted
rates lead to different conclusions; the analysis process highlights
opportunities for intervention as risk factors are incorporated into the model.
Maps based on funnel plot methods identify areas worthy of further investigation.
CONCLUSIONS: Funnel plots provide a useful tool to explore small area data and to
routinely incorporate covariate relationships in surveillance analyses. The
exploratory process has at each step a direct and useful policy-related result.
Dealing thoughtfully with statistical overdispersion is a cornerstone to fully
understanding funnel plots.
PMID- 22074229
TI - Humeral and femoral head diameters in recent white American skeletons.
AB - Osteologists often rely on single measurements, such as humeral and femoral head
diameters, to estimate sex, especially when skeletons are incomplete.
Measurements of 237 Bass Donated Collection skeletons provide a means of
distinguishing white American females from males based on a modern sample:
humeral head, female mean 42.1 mm, male mean 49.0 mm; and femoral head, female
mean 42.2 mm, male mean 48.4 mm. Probabilities that bones at 1-mm increments came
from females (p(f)) are estimated (p(m) = 1 - p(f)). An overrepresentation of one
sex in the skeletons that are examined influences the probability that a bone of
a certain size is from a female or male. So, probabilities are also estimated for
samples consisting of an unequal number of males and females. Sample composition
has its greatest effect when one sex dominates the remains that are the subject
of investigation.
PMID- 22074227
TI - Modest effect on plaque progression and vasodilatory function in atherosclerosis
prone mice exposed to nanosized TiO(2).
AB - BACKGROUND: There is growing evidence that exposure to small size particulate
matter increases the risk of developing cardiovascular disease. METHODS: We
investigated plaque progression and vasodilatory function in apolipoprotein E
knockout (ApoE(-/-)) mice exposed to TiO(2). ApoE(-/-) mice were intratracheally
instilled (0.5 mg/kg bodyweight) with rutile fine TiO2 (fTiO2, 288 nm),
photocatalytic 92/8 anatase/rutile TiO(2) (pTiO(2), 12 nm), or rutile nano TiO(2)
(nTiO(2), 21.6 nm) at 26 and 2 hours before measurement of vasodilatory function
in aorta segments mounted in myographs. The progression of atherosclerotic
plaques in aorta was assessed in mice exposed to nanosized TiO(2) (0.5 mg/kg
bodyweight) once a week for 4 weeks. We measured mRNA levels of Mcp-1, Mip-2,
Vcam-1, Icam-1 and Vegf in lung tissue to assess pulmonary inflammation and
vascular function. TiO(2)-induced alterations in nitric oxide (NO) production
were assessed in human umbilical vein endothelial cells (HUVECs). RESULTS: The
exposure to nTiO(2) was associated with a modest increase in plaque progression
in aorta, whereas there were unaltered vasodilatory function and expression
levels of Mcp-1, Mip-2, Vcam-1, Icam-1 and Vegf in lung tissue. The ApoE(-/-)
mice exposed to fine and photocatalytic TiO(2) had unaltered vasodilatory
function and lung tissue inflammatory gene expression. The unaltered NO-dependent
vasodilatory function was supported by observations in HUVECs where the NO
production was only increased by exposure to nTiO(2). CONCLUSION: Repeated
exposure to nanosized TiO(2) particles was associated with modest plaque
progression in ApoE(-/-) mice. There were no associations between the pulmonary
TiO(2) exposure and inflammation or vasodilatory dysfunction.
PMID- 22074230
TI - Patient dignity in psychiatric nursing practice.
AB - Professional nurses have an ethical responsibility to protect and preserve the
patients' dignity. The aim of this study was to describe how nurses experienced
incidents relating to patients' dignity in a psychiatric nursing practice. A
hermeneutic approach was used and data were collected using the critical incident
technique. Data included 77 written critical incidents, which were interpreted by
using a hermeneutic text interpretation. The findings show preserved dignity-
caregivers have the courage to be present, and offended dignity - caregivers
create powerlessness taken away by the patient. These findings show that
patients' dignity in a psychiatric nursing practice can be preserved when
caregivers act on their ethical responsibility. When patients' dignity is
offended, the caregiver has become an inner value conflict, something they have
been a part of against their own will.
PMID- 22074231
TI - Catheter outcomes in the short-term inpatient setting: a controlled quality
improvement study comparing citrate and heparin lock.
AB - Heparin and citrate are used as catheter lock solutions to reduce risk of
catheter dysfunction and infection in hemodialysis. There is a paucity of data
comparing these two locks in the short-term, inpatient setting. We compared the
efficacy of 2.2% acid citrate dextrose (ACD) versus 5000 U/ml heparin as catheter
lock in the inpatient setting. The study was conducted at two sites within our
system, with ACD locks used at site 1 and heparin locks at site 2. We assessed
catheters for catheter dysfunction and infection. Both nontunneled dialysis
catheters (NTDC) and tunneled dialysis catheters (TDC) were evaluated. We studied
250 catheters and 139 met inclusion criteria: 90 catheters in the ACD group and
49 in the heparin group. ACD had superior outcomes for NTDC; event rate was 0.052
for NTDC/ACD and 0.125 for NTDC/heparin (p = 0.032). There was no difference for
TDC. Univariate (odds ratio [OR]: 1.88, confidence interval [CI]: 0.931, 3.82)
and multivariate (OR: 1.35, CI: 0.64, 2.87) analyses demonstrated a trend toward
increased odds of event with heparin. Catheter lock with 2.2% ACD has lower risk
of catheter dysfunction as compared with that of 5000 U/ml heparin in the short
term inpatient setting in NTDC and similar risk in TDC.
PMID- 22074232
TI - Self-monitoring as a moderator between descriptive norms and drinking: findings
among Korean and American university students.
AB - Guided by the theory of normative social behavior (TNSB), this research examined
whether a self-monitoring individual difference variable moderates the link
between descriptive norms and drinking as well as drinking intentions such that
the relations become stronger as self-monitoring becomes stronger. Contrary to
our prediction, Study 1 showed that low self-monitoring Korean undergraduates
were more likely to be guided by normative information when drinking and
intending to drink when compared to those with high self-monitors. Study 2 was
conducted using an American university sample, and results of the second study
were identical to those of the first study. The relationship between descriptive
norms and drinking was stronger among university students who are low self
monitors as compared to those who are high self-monitors. Implications for
interventions are discussed.
PMID- 22074233
TI - Effectiveness of hospital-based decontamination during a simulated mass casualty
exposure.
PMID- 22074234
TI - Associations between seasonal variations in day length (photoperiod), sleep
timing, sleep quality and mood: a comparison between Ghana (5 degrees ) and
Norway (69 degrees ).
AB - The hypothesis of whether day length (photoperiod) is an important zeitgeber
(time-giver) for keeping the circadian rhythm entrained to a 24-hour cycle was
examined, as was its association with sleep patterns and mood problems. Data were
collected prospectively from a site with very large differences in daylight
duration across seasons (Tromso in Norway, 69 degrees 39'N) and a site with very
small seasonal differences in daylight duration (Ghana in Accra, 5 degrees 32'N).
Two hundred subjects were recruited from both sites in January. At the follow-up
in August, 180 and 150 subjects in Ghana and Norway participated, respectively.
Use of a weekly sleep diary indicated low to moderately strong seasonal changes
in rise- and bedtime, sleep efficiency and sleep onset latency only in the
northern latitude. No seasonal changes in sleep duration or night awakenings were
observed. The self-report measures indicated moderate to strong seasonal
differences in insomnia and fatigue, and weaker differences in depressed mood in
Norway, but small to non-existing seasonal differences in Ghana. Lack of daylight
was related to phase-delayed rise- and bedtimes, increased problems falling
asleep, daytime fatigue and depressive mood. However, total sleep duration and
sleep quality appeared unaffected.
PMID- 22074235
TI - An angel on my shoulder: a study of relationships between women with anorexia and
healthcare professionals.
AB - Adults with anorexia are an under-researched group because the usual focus is on
adolescents. The relationships that occur between healthcare professionals and
adults with anorexia are often challenging, because they do not necessarily agree
on the goals of treatment. The therapeutic relationship is widely recognized as
crucial to care, even healing and restorative in its own right but problematic in
this setting. This is a phenomenological study of how therapeutic relationships
are negotiated and maintained in a day care service. Six women with anorexia
nervosa and seven of their healthcare professionals were interviewed in the care
setting to explore their lived experience of their relationships. Six important
themes emerged from the interviews: the authenticity of the relationship, safety,
the externalization of the eating disorder, recovery measured in kilos, the power
of hope and optimism and the use and acceptance of maternalism in the care
setting. Findings suggest that patients appreciated the safety and security of
care, but some were using the service as respite rather than recovery. Patients
saw goals and tasks related directly to weight gain as irrelevant to their main
concerns, but engagement with people who provided a secure, nurturing and
maternalistic context for safety and optimism was seen as supportive.
PMID- 22074236
TI - Microbial reduction of structural iron in interstratified illite-smectite
minerals by a sulfate-reducing bacterium.
AB - Clay minerals are ubiquitous in soils, sediments, and sedimentary rocks and could
coexist with sulfate-reducing bacteria (SRB) in anoxic environments, however, the
interactions of clay minerals and SRB are not well understood. The objective of
this study was to understand the reduction rate and capacity of structural
Fe(III) in dioctahedral clay minerals by a mesophilic SRB, Desulfovibrio vulgaris
and the potential role in catalyzing smectite illitization. Bioreduction
experiments were performed in batch systems, where four different clay minerals
(nontronite NAu-2, mixed-layer illite-smectite RAr-1 and ISCz-1, and illite IMt
1) were exposed to D. vulgaris in a non-growth medium with and without
anthraquinone-2,6-disulfonate (AQDS) and sulfate. Our results demonstrated that
D. vulgaris was able to reduce structural Fe(III) in these clay minerals, and
AQDS enhanced the reduction rate and extent. In the presence of AQDS, sulfate had
little effect on Fe(III) bioreduction. In the absence of AQDS, sulfate increased
the reduction rate and capacity, suggesting that sulfide produced during sulfate
reduction reacted with the phyllosilicate Fe(III). The extent of bioreduction of
structural Fe(III) in the clay minerals was positively correlated with the
percentage of smectite and mineral surface area of these minerals. X-ray
diffraction, and scanning and transmission electron microscopy results confirmed
formation of illite after bioreduction. These data collectively showed that D.
vulgaris could promote smectite illitization through reduction of structural
Fe(III) in clay minerals.
PMID- 22074237
TI - Active wound dressing with artificial capillaries for temporary wound irrigation
and skin cell supply.
AB - Medical treatment of burns and chronic wounds remains a challenge. We discussed a
therapy concept that combines skin cell spray transplantation with a novel wound
dressing based on artificial hollow fiber membrane capillaries. In skin cell
based therapy development, autologous skin progenitor cells are isolated from a
healthy skin area and sprayed onto the wound. A medical device was introduced
that uses perfused capillaries, known from clinical plasma separation, as a
temporarily applied extracorporeal wound capillary bed. The functions of the
dressing are comparable with those of dialysis; the capillaries, however, are
applied externally onto the wound. Perfusion with a clinical peripheral nutrition
and buffer solution can provide wound irrigation, wound debris removal, cell
nutrition, pH regulation, and electrolyte balance while potentially serving to
address delivery of regenerative factors and antibiosis. An innovative active
skin wound dressing that provides cell support and stimulates regeneration by
wound irrigation is discussed.
PMID- 22074239
TI - Effect of N(4)-phenyl substitution in 2-oxo-1,2-dihydroquinoline-3-carbaldehyde
semicarbazones on the structure, DNA/protein interaction, and antioxidative and
cytotoxic activity of Cu(II) complexes.
AB - A new ligand, 2-oxo-1,2-dihydroquinoline-3-carbaldehyde semicarbazone (OQsc-H)
(1);, its N(4)-phenyl derivative (OQsc-Ph) (2); and their corresponding
copper(II) complexes [CuCl(2)(OQsc-H)].H(2)O.CH(3)OH (3), [CuCl(2)(OQsc
Ph)(H(2)O)].CH(3)OH (4), and [CuNO(3)(OQsc-Ph)(H(2)O)]NO(3).H(2)O.C(2)H(5)OH (5)
have been synthesized and characterized by structural, analytical, and spectral
methods, in order to investigate the influence of N(4)-phenyl substitution on
structure and pharmacological properties. In all of the complexes, the ligands
coordinated to the Cu(II) ion in a neutral fashion via ONO donor atoms. The
single-crystal X-ray structures of neutral complex (3) and cationic complex (5)
exhibit a slightly distorted square-pyramidal structure, while neutral complex
(4) revealed an octahedral structure. The interaction of the compounds with calf
thymus DNA (CT-DNA) has been explored by absorption and emission titration
methods, which revealed that compounds 1-5 could interact with CT-DNA through
intercalation. A gel electrophoresis pictogram demonstrated the ability of the
complexes (3-5) to cleave the pBR322 plasmid DNA through a hydrolytic process.
The interactions of the compounds with bovine serum albumin (BSA) were also
investigated using UV-visible, fluorescence, and synchronous fluorescence
spectroscopic methods. The results indicated that all of the compounds could
quench the intrinsic fluorescence of BSA in a static quenching process.
Investigations of antioxidative properties showed that all of the compounds have
strong radical scavenging potencies against hydroxyl radicals, 2,2-diphenyl-1
picrylhydrazyl radicals, nitric oxide, and superoxide anion radicals. Further,
the cytotoxic effect of the compounds examined on cancerous cell lines such as
human cervical cancer cells (HeLa), human laryngeal epithelial carcinoma cells
(HEp-2), human liver carcinoma cells (Hep G2), human skin cancer cells (A431),
and noncancerous NIH 3T3 mouse embryonic fibroblasts cell lines showed that all
three complexes exhibited substantial cytotoxic activity. Further, all of the
pharmacological investigations support the fact that there exists a strong
influence of N(4)-phenyl substitution in semicarbazone.
PMID- 22074240
TI - Structure and change in causes of death: An international summary.
AB - Abstract Model patterns of the cause structure of mortality at different levels
were established for males and females, based on data for 165 national
populations. These patterns suggest that the cause of death most responsible for
mortality variation is influenza/bronchitis, followed by 'other infectious and
parasitic diseases', respiratory tuberculosis, and diarrhoeal disease. Together,
these causes typically account for about 60 per cent of the change in level of
mortality from all causes combined. Their respective contributions have not
depended in an important way on the initial level of mortality. These results -
especially tbe importance of the respiratory and diarrhoeal diseases - imply that
past accounts may have over-emphasized the role in mortality decline of specific
and well-defined infectious diseases and their corresponding methods of control.
There is strong statistical support for the suggestion that most of the remainder
of mortality variation should be ascribed to changes in cardio-vascular diseases,
but that methods of cause-of-death assignment in high-mortality populations have
often obscured the importance of these diseases. When death rates from 'other and
unknown' causes are held constant, changes in cardio-vascular disease account for
about one-quarter of the decline in mortality from 'all causes'.Although the
causal factors are poorly established, corroborative results have been
demonstrated cross-sectionally in the United States. The composition of the
group of populations most deviant from the structural norms is apparently
dominated by differentials in the mode of assigning deaths to cardio-vascular
disease. However, when broad groups of regions or periods are distinguished, more
subtle differences emerge. Controlling mortality level for all causes combined,
diarrhoeal diseases are significantly higher in non-Western populations and
southern/eastern Europe than in overseas Europe or northern/western Europe. These
differences are probably related to standards of nutrition and personal hygiene,
but may also reflect climatic factors. Much higher cardio-vascular mortality in
overseas European populations than in non-Western populations at similar overall
levels probably reflects variation in habits of life. Regional differences in
death rates from violence, maternal mortality, respiratory tuberculosis and
influenza/pneumonia/bronchitis are briefly noted and commented upon. Cause-of
death structures at a particular level of mortality display some important
changes over time. Respiratory tuberculosis and 'other infectious and parasitic
diseases' have tended to contribute less and less to a certain level of
mortality. They have in part been 'replaced' by diarrhoeal disease, specifically
in non-Western populations. These developments reflect an accelerating rate of
medical and public health progress against the specific infectious diseases, and
a disappointing rate of progress against diarrhoeal disease. Western and non
western populations have shared to approximately the same extent in the
accelerating progress against infectious diseases, and developments during the
post-war period are more appropriately viewed as an extension of prior trends
rather than as radical departures therefrom. For males, cardio-vascular disease
and cancer have significantly increased their contribution to a particular level
of mortality, while no such tendency is apparent for females. These developments
may be related to changes in personal behaviour and in environmental influences
whose differential impact on the sexes has been demonstrated in epidemiological
studies. Although we have avoided an explicit treatment of age by having recourse
at the outset to standardization, certain of the results are apparently reflected
in studies of age patterns of mortality. The joint occurrence in non-Western
populations and Southern/Eastern populations of exceptionally high death rates
from diarrhoeal disease may explain why the 'South' age-pattern, with it high
death rates between ages one and five, is often the most accurate referent for
use in Latin America and Asia. The fact that the list of populations with the
least deviation cause structure is almost exclusively confined to members of the
'West' group of Coale and Demeny may account for the lack of persistent deviation
in this group's age patterns. Finally, tbe increasing importance of cardio
vascular disease and neoplasms in cause-of-death structures for males but not
females is probably associated with the changing age patterns of male mortality
noted by Coale and Demeny.
PMID- 22074238
TI - Field and action potential recordings in heart slices: correlation with
established in vitro and in vivo models.
AB - BACKGROUND AND PURPOSE: Action potential (AP) recordings in ex vivo heart
preparations constitute an important component of the preclinical cardiac safety
assessment according to the ICH S7B guideline. Most AP measurement models are
sensitive, predictive and informative but suffer from a low throughput. Here,
effects of selected anti-arrhythmics (flecainide, quinidine, atenolol, sotalol,
dofetilide, nifedipine, verapamil) on field/action potentials (FP/AP) of guinea
pig and rabbit ventricular slices are presented and compared with data from
established in vitro and in vivo models. EXPERIMENTAL APPROACH: Data from
measurements of membrane currents (hERG, I(Na) ), AP/FP (guinea pig and rabbit
ventricular slices), AP (rabbit Purkinje fibre), haemodynamic/ECG parameters
(conscious, telemetered dog) were collected, compared and correlated to
complementary published data (focused literature search). KEY RESULTS: The
selected anti-arrhythmics, flecainide, quinidine, atenolol, sotalol, dofetilide,
nifedipine and verapamil, influenced the shape of AP/FP of guinea pig and rabbit
ventricular slices in a manner similar to that observed for rabbit PF. The
findings obtained from slice preparations are in line with measurements of
membrane currents in vitro, papillary muscle AP in vitro and haemodynamic/ECG
parameters from conscious dogs in vivo, and were also corroborated by published
data. CONCLUSION AND IMPLICATIONS: FP and AP recordings from heart slices
correlated well with established in vitro and in vivo models in terms of
pharmacology and predictability. Heart slice preparations yield similar results
as papillary muscle but offer enhanced throughput for mechanistic investigations
and may substantially reduce the use of laboratory animals.
PMID- 22074241
TI - On the tempo of childbearing in England and Wales.
AB - Abstract The paper describes a computerized model developed to simulate the
fertility of a hypothetical marriage cohort in a closed population. The model was
applied to England and Wales fertility data of marriage cohorts of the years 1951
to 1970. For each of these cohorts, the computer was programmed to construct five
series of tables showing birth-order probabilities, family size frequency
distribution, mean length of intervals between marriage and successive births,
parity progression ratios and mean family sizes of fertile women. The results
showed that the fertility of the cohorts of women who married between the middle
1950s and the early 1960s was character ized by a declining trend in the
frequency of childlessness and by a dramatic increase in the proportion of
marriages with two or more children. Since 1964 or so, there has been a downward
trend in duration-specific birth-order probabilities. The analysis suggests that
the recent drop in fertility may well prove to be the effect of an upward shift
in the timing of births as well as a fall in completed fertility.
PMID- 22074242
TI - Cost versus effectiveness of different birth control methods.
AB - Abstract This paper is an empirical investigation of the mix of birth control
methods that would be, allocationally efficient in a real population. Current
British resource cost and effectiveness data for each method are presented in
order to test the prevalent opinion that expenditure on abortion is
allocationally inefficient. Even when abortion resources are valued to give a
conservatively high cost, however, this opinion is not upheld. When both
quantifiable resource costs and effectiveness are plotted for each method, some
linear combination of coitus interruptus, and coitus interruptus with all
failures terminated by abortion is shown to be the allocationally efficient
frontier.
PMID- 22074243
TI - The island-wide family planning programme in Taiwan: Analysis of the
accomplishments of the past eight years.
AB - Abstract Taiwan's island-wide family planning programme is the showcase of
similar programmes in the developing world. In the past eight years, between 1964
and 1971, a cumulative total of 935,000 married women accepted the Lippes loop,
236,000 accepted the pill, and 116,000 couples accepted condoms. The prevalence
rates of loop and pill users at the end of 1971 are estimated at 18.7 per cent
and 3-6 per cent respectively. The programme had been recruiting more younger
women of lower parity to practise family planning which is desirable, but a fact
of concern is that a large proportion of the acceptors had had at least one son,
and had accepted the methods to stop, rather than to space births. The 'life
expectancy' of loop and pill, the two major contraceptives recommended in the
programme, have been shorter than expected, 31.4 months without and 49.9 months
with re-insertion for the loop, and only 10.5 months without re-taking for the
pill. The concepts of 'half-life (retention)' and 'half-life (impact)', developed
by the author, were applied in the analysis to obtain the values of 18.6 months
and 25.7 months respectively for the loop, and 5.8 months and 9.2 months
respectively for the pill all for the first segment. Only nine per cent of the
total current loop wearers were aged 40-44 in 1964, but the proportion had
increased substantially, to 20.5 per cent, in 1971. This 'ageing' of current
users tends to minimize the demographic impact of the programme which is a factor
of concern. Methods to overcome this and other problems should be explored for
the final success of the programme.
PMID- 22074244
TI - Residency differentials in Mormon fertility.
AB - Abstract Although one of the most consistent findings of recent fertility
studies is the convergence of the religious differentials in fertility, few data
have been analysed to discover Mormon fertility trends and differentials. This
paper, based on data obtained on 1,001 Mormon couples, is concerned with
describing the effects that the dispersion of Mormon families from the Mormon
centre in Utah to surrounding areas with various social conditions is having on
the fertility of the re-located Mormon families. Data presented clearly show that
such families do, on the average, have a lower fertility than do their Mormon
contemporaries residing in the homogeneous Mormon society in Utah. They probably
compromise their religious obligations to have children with the contradicting
demands of their new environment. Their loyalty to these religious beliefs,
however, is confirmed by data which show that they tend to have larger families
in their new environments than do their non-Mormon neighbours.
PMID- 22074245
TI - A note on cost-return calculations and decisions to migrate.
AB - Abstract In the notions of the aggregative cost-benefit migration models, this
paper questions the assumption that prospective migrants behave as if they are
not only concerned with, but are able to calculate expected pecuniary and non
pecuniary returns to re-location. Results of a survey which evaluates the role of
cost-return calculations in decisions to migrate suggest that there may be
population sub-groups who either do not care to make cost-returns calculations to
migration, or who are unable to do so.
PMID- 22074248
TI - Hesperetin-7,3'-O-dimethylether selectively inhibits phosphodiesterase 4 and
effectively suppresses ovalbumin-induced airway hyperresponsiveness with a high
therapeutic ratio.
AB - BACKGROUND: Hesperetin was reported to selectively inhibit phosphodiesterase 4
(PDE4). While hesperetin-7,3'-O-dimethylether (HDME) is a synthetic liposoluble
hesperetin. Therefore, we were interested in investigating its selectivity on
PDE4 and binding ability on high-affinity rolipram-binding sites (HARBs) in
vitro, and its effects on ovalbumin-induced airway hyperresponsiveness in vivo,
and clarifying its potential for treating asthma and chronic obstructive
pulmonary disease (COPD). METHODS: PDE1~5 activities were measured using a two
step procedure. The binding of HDME on high-affinity rolipram-binding sites was
determined by replacing 2 nM [3H]-rolipram. AHR was assessed using the FlexiVent
system and barometric plethysmography. Inflammatory cells were counted using a
hemocytometer. Cytokines were determined using mouse T helper (Th)1/Th2 cytokine
CBA kits, and total immunoglobulin (Ig)E or IgG2a levels were done using ELISA
method. Xylazine (10 mg/kg)/ketamine (70 mg/kg)-induced anesthesia was performed.
RESULTS: HDME revealed selective phosphodiesterase 4 (PDE4) inhibition with a
therapeutic (PDE4H/PDE4L) ratio of 35.5 in vitro. In vivo, HDME (3~30 MUmol/kg,
orally (p.o.)) dose-dependently and significantly attenuated the airway
resistance (RL) and increased lung dynamic compliance (Cdyn), and decreased
enhanced pause (Penh) values induced by methacholine in sensitized and challenged
mice. It also significantly suppressed the increases in the numbers of total
inflammatory cells, macrophages, lymphocytes, neutrophils, and eosinophils, and
levels of cytokines, including interleukin (IL)-2, IL-4, IL-5, interferon-gamma,
and tumor necrosis factor-alpha in bronchoalveolar lavage fluid (BALF) of these
mice. In addition, HDME (3~30 MUmol/kg, p.o.) dose-dependently and significantly
suppressed total and ovalbumin-specific immunoglobulin (Ig)E levels in the BALF
and serum, and enhanced IgG2a level in the serum of these mice. CONCLUSIONS: HDME
exerted anti-inflammatory effects, including suppression of AHR, and reduced
expressions of inflammatory cells and cytokines in this murine model, which
appears to be suitable for studying the effects of drugs on atypical asthma and
COPD, and for screening those on typical asthma. However, HDME did not influnce
xylazine/ketamine-induced anesthesia. Thus HDME may have the potential for use in
treating typical and atypical asthma, and COPD.
PMID- 22074249
TI - Peptide-directed HPMA copolymer-doxorubicin conjugates as targeted therapeutics
for colorectal cancer.
AB - Synthetic oligopeptides have emerged as a promising class of targeting ligands,
providing a variety of choices for the construction of conjugates for desired
ligand functionality. To explore the potential of short peptides as ligands for
targeted delivery of macromolecular therapeutics for colorectal cancer (CRC),
fluorescently labelled HPMA copolymers--bearing either G3-C12 or GE11 for
targeting galectin-3 and epidermal growth factor receptor (EGFR), respectively-
were synthesised and the mechanisms of their internalisation and subcellular fate
in CRC cells were studied. The targetability of the G3-C12 bearing copolymers
towards galectin-3 was further compared to that of galactose-containing
copolymers. The resulting G3-C12-bearing conjugate actively and selectively
targets CRC tumour cells over-expressing galectin-3 and exhibits superior
targetability to galectin-3 when compared to the galactose-bearing copolymer.
GE11 copolymer conjugate binds specifically and efficiently to EGFR over
expressing cells, thus mediating internalisation to a significantly higher extent
relative the copolymer conjugated to a scrambled sequence peptide. We further
incorporated doxorubicin (DOX) into GE11 bearing copolymer via an acid-labile
hydrazone bond. The GE11-DOX copolymer conjugate demonstrated higher cytotoxicity
toward EGFR over-expressing cells relative to the control non-targeted DOX
conjugate. Altogether, our results show a proof of principle for the selective
delivery of DOX to the target CRC cells.
PMID- 22074250
TI - State of malaria diagnostic testing at clinical laboratories in the United
States, 2010: a nationwide survey.
AB - BACKGROUND: The diagnosis of malaria can be difficult in non-endemic areas, such
as the United States, and delays in diagnosis and errors in treatment occur too
often. METHODS: A nationwide survey of laboratories in the United States and its
nine dependent territories was conducted in 2010 to determine factors that may
contribute to shortcomings in the diagnosis of malaria. This survey explored the
availability of malaria diagnostic tests, techniques used, and reporting
practices. RESULTS: The survey was completed by 201 participants. Ninety percent
reported that their laboratories had at least one type of malaria diagnostic test
available on-site. Nearly all of the respondents' laboratories performed thick
and thin smears on-site; approximately 50% had access to molecular testing; and
only 17% had access to rapid diagnostic tests on-site. Seventy-three percent
reported fewer than five confirmed cases of malaria in their laboratory during
the 12-month period preceding the survey. Twenty-eight percent stated that
results of species identification took more than 24 hours to report. Only five of
149 respondents that performed testing 24 hours a day, 7 days a week complied
with all of the Clinical and Laboratory Standards Institute (CLSI) guidelines for
analysis and reporting of results. CONCLUSION: Although malaria diagnostic
testing services were available to a majority of U.S. laboratories surveyed, very
few were in complete compliance with all of the CLSI guidelines for analysis and
reporting of results, and most respondents reported very few cases of malaria
annually. Laboratories' difficulty in adhering to the rigorous CLSI guidelines
and their personnel's lack of practice and proficiency may account for delays and
errors in diagnosis. It is recommended that laboratories that infrequently
process samples for malaria seek opportunities for practice and proficiency
training annually and take advantage of available resources to assist in species
identification.
PMID- 22074251
TI - Influence of folate pathway polymorphisms on high-dose methotrexate-related
toxicity and survival in childhood acute lymphoblastic leukemia.
AB - The prediction of high-dose methotrexate (HD-MTX) toxicity is a key issue in the
individualization of treatment in childhood acute lymphoblastic leukemia (ALL).
Our aim was to evaluate the influence of MTX pathway polymorphisms on HD-MTX
treatment outcome in children with ALL. In total, 167 children with ALL were
genotyped for methylenetetrahydrofolate dehydrogenase (MTHFD1) 1958G > A,
methylenetetrahydrofolate reductase (MTHFR) 677C > T and 1298A > C and
thymidylate synthase (TYMS) 2R > 3R polymorphisms. The MTHFD1 1958A allele
significantly reduced the odds of hepatotoxicity (adjusted p = 0.009), while the
TYMS 3R allele significantly reduced the odds of leukocytopenia and
thrombocytopenia (adjusted p = 0.005 and adjusted p = 0.002, respectively). MTHFR
polymorphisms did not influence HD-MTX-related toxicity, but a significant effect
of MTHFR 677C > T-TYMS 2R > 3R and MTHFD1 1958G > A-MTHFR 677C > T interactions
on HD-MTX-related toxicity was observed. None of the investigated polymorphisms
influenced survival. Our study suggests an important role of polymorphisms and
gene-gene interactions within the folate pathway in HD-MTX-related toxicity in
childhood ALL.
PMID- 22074252
TI - Room-temperature dissociation of 1,2-dibromodisilenes to bromosilylenes.
AB - A room-temperature dynamic equilibrium between dibromodisilenes and
bromosilylenes has been demonstrated by taking advantage of the steric protection
using the fused-ring bulky 1,1,3,3,5,5,7,7-octa-R-s-hydrindacen-4-yl (Rind)
groups. Although the bromosilylenes cannot be directly observed by spectroscopic
methods, the thermal homolytic cleavage of the Si?Si double bond has been
confirmed by a pseudo-first-order kinetics for the trapping with
bis(trimethylsilyl)acetylene and a crossover reaction using two kinds of Rind
substituted dibromodisilenes. The addition of 4-pyrrolidinopyridine (PPy) to the
dibromodisilene leads to an equilibrium mixture between the dibromodisilene and a
PPy adduct of bromosilylene, the latter being isolated and characterized. The
substitution of the bromine atom in the dibromodisilene by the Grignard reagent
is significantly accelerated by the addition of PPy.
PMID- 22074253
TI - A practical look at the chemistry and biology of hydrogen sulfide.
AB - SIGNIFICANCE: Hydrogen sulfide (H(2)S) is garnering increasing interest as a
biologically relevant signaling molecule. The effects of H(2)S have now been
observed in virtually every organ system and numerous physiological processes.
RECENT ADVANCES: These studies have not only opened a new field of
"gasotransmitter" biology, they have also led to the development of synthetic
H(2)S "donating" compounds with the potential to be parlayed into a variety of
therapeutic applications. CRITICAL ISSUES: Often lost in the exuberance of this
new field is a critical examination or understanding of practical aspects of
H(2)S chemistry and biology. This is especially notable in the areas of handling
and measuring H(2)S, evaluating biosynthetic and metabolic pathways, and
separating physiological from pharmacological responses. FUTURE DIRECTIONS: This
brief review describes some of the pitfalls in H(2)S chemistry and biology that
can lead or have already led to misleading or erroneous conclusions. The intent
is to allow individuals entering or already in this burgeoning field to
critically analyze the literature and to assist them in the design of future
experiments.
PMID- 22074256
TI - Surface enhanced coherent anti-stokes Raman scattering on nanostructured gold
surfaces.
AB - Coherent anti-Stokes Raman spectroscopy (CARS) is a well-known tool in
multiphoton imaging and nonlinear spectroscopy. In this work we combine CARS with
plasmonic surface enhancement on reproducible nanostructured surfaces. We
demonstrate strong correlation between plasmon resonances and surface-enhanced
CARS (SECARS) intensities on our nanostructured surfaces and show that an
enhancement of ~10(5) can be obtained over standard CARS. Furthermore, we find
SECARS to be >10(3) times more sensitive than surface-enhanced Raman Spectroscopy
(SERS). We also demonstrate SECARS imaging of molecular monolayers. Our work
paves the way for reliable single molecule Raman spectroscopy and fast molecular
imaging on plasmonic surfaces.
PMID- 22074255
TI - Molecular musings in microbial ecology and evolution.
AB - A few major discoveries have influenced how ecologists and evolutionists study
microbes. Here, in the format of an interview, we answer questions that directly
relate to how these discoveries are perceived in these two branches of
microbiology, and how they have impacted on both scientific thinking and
methodology.The first question is "What has been the influence of the 'Universal
Tree of Life' based on molecular markers?" For evolutionists, the tree was a tool
to understand the past of known (cultured) organisms, mapping the invention of
various physiologies on the evolutionary history of microbes. For ecologists the
tree was a guide to discover the current diversity of unknown (uncultured)
organisms, without much knowledge of their physiology.The second question we ask
is "What was the impact of discovering frequent lateral gene transfer among
microbes?" In evolutionary microbiology, frequent lateral gene transfer (LGT)
made a simple description of relationships between organisms impossible, and for
microbial ecologists, functions could not be easily linked to specific genotypes.
Both fields initially resisted LGT, but methods or topics of inquiry were
eventually changed in one to incorporate LGT in its theoretical models
(evolution) and in the other to achieve its goals despite that phenomenon
(ecology).The third and last question we ask is "What are the implications of the
unexpected extent of diversity?" The variation in the extent of diversity between
organisms invalidated the universality of species definitions based on molecular
criteria, a major obstacle to the adaptation of models developed for the study of
macroscopic eukaryotes to evolutionary microbiology. This issue has not overtly
affected microbial ecology, as it had already abandoned species in favor of the
more flexible operational taxonomic units. This field is nonetheless moving away
from traditional methods to measure diversity, as they do not provide enough
resolution to uncover what lies below the species level.The answers of the
evolutionary microbiologist and microbial ecologist to these three questions
illustrate differences in their theoretical frameworks. These differences mean
that both fields can react quite distinctly to the same discovery, incorporating
it with more or less difficulty in their scientific practice.
PMID- 22074259
TI - Identification of gunshot residues in fabric targets using sector field
inductively coupled plasma mass spectrometry technique and ternary graphs.
AB - During criminal investigations involving firearms, the detection of gunshot
residues (GSRs) is one of the most important evidences. In the present study, a
new method to identify trace evidences of GSRs, deposited around the bullet
entrance hole, in different types of fabrics used as targets, is described. The
experiments were carried out using a 0.38-inch caliber revolver, and 9-mm and
0.40-inch caliber pistols. Testimonies of 2.25 cm(2) of the fabrics were cut
around the bullet entrance and digested with 10% nitric acid. Antimony, barium,
and lead were analyzed in the remaining solution using a sector field inductively
coupled plasma mass spectrometer. The concentrations of the elements were
detected at levels up to few microgram per square centimeter. The use of ternary
graphics allowed us to identify specific patterns of distribution for blank
samples and the clear distinction between the revolver and pistols used.
PMID- 22074258
TI - Comparative efficacy and acceptability of methylphenidate and atomoxetine in
treatment of attention deficit hyperactivity disorder in children and
adolescents: a meta-analysis.
AB - BACKGROUND: Psychostimulants and non stimulants are effective in the treatment of
ADHD. Efficacy of both methylphenidate and atomoxetine has been established in
placebo controlled trials. Direct comparison of efficacy is now possible due to
availability of results from several head-to-head trials of these two
medications. METHODS: All published, randomized, open label or double blind
trials, comparing efficacy of methylphenidate with atomoxetine, in treatment of
ADHD in children, diagnosed using DSM-IVTM criteria were included. The outcome
studied was ADHDRS-IVParent:Inv score. The standardized mean difference (SMD) was
used as a measure of effect size. RESULTS: Nine randomized trials comparing
methylphenidate and atomoxetine, with a total of 2762 participants were included.
Meta-analysis did not find a significant difference in efficacy between
methylphenidate and atomoxetine (SMD=0.09, 95% CI -0.08-0.26) (Z=1.06, p=0.29).
Synthesis of data from eight trials found no significant difference in response
rates (RR=0.93 95% CI 0.76-1.14, p=0.49). Sub group analysis showed a significant
standardized mean difference favouring OROS methylphenidate (SMD=0.32, 95% CI
0.12-0.53 (Z=3.05, p<0.002). Immediate release methylphenidate was not superior
to atomoxetine (SMD=-0.04, 95% CI -0.19-0.12) (Z=0.46, p=0.64). Excluding open
label trials did not significantly alter the effect size (SMD=0.08, 95% CI -0.04
0.21) (Z=1.27, p=0.20). All-cause discontinuation was used as a measure of
acceptability. There was no significant difference in all cause discontinuation
between atomoxetine and methylphenidate (RR 1.22, 95% CI 0.87-1.71). There was
significant heterogeneity among the studies (p=0.002, I2=67%). Subgroup analysis
demonstrated the heterogeneity to be due to the open label trials (p=0.001,
I2=81%). CONCLUSIONS: In general atomoxetine and methylphenidate have comparable
efficacy and equal acceptability in treatment of ADHD in children and
adolescents. However OROS methylphenidate is more effective than atomoxetine and
may be considered as first line treatment in treatment of ADHD in children and
adolescents.
PMID- 22074257
TI - Prenylated acylphloroglucinols, chipericumins A-D, from Hypericum chinense.
AB - Two new tetracyclic prenylated acylphloroglucinols, chipericumins A (1) and B
(2), were isolated from the roots of Hypericum chinense, together with two new
tricyclic prenylated acylphloroglucinols, chipericumins C (3) and D (4). Their
structures were elucidated by spectroscopic data. Chipericumins A-D (1-4) are
prenylated acylphloroglucinols having a spiro skeleton with an acyl group, a
methyl group, a C(5) unit, and a monoterpene moiety in common.
PMID- 22074260
TI - GTP-dependent RNA 3'-terminal phosphate cyclase from the hyperthermophilic
archaeon Pyrococcus furiosus.
AB - We discovered that the PF1549 gene in Pyrococcus furiosus encodes a very heat
stable RNA 3'-terminal phosphate cyclase (Pf-Rtc). Although all previously
reported Rtc proteins are ATP-dependent enzymes, we found that Pf-Rtc requires
GTP for its cyclase activity at 95 degrees C. Low-level activation of the enzyme
was also observed in the presence of dGTP but not other dNTPs, indicating that
the guanine base is very important for Pf-Rtc activity. We analyzed a series of
GTP analogues and found that the conversion from GTP to GMP is important for Pf
Rtc activity and that an excess of GMP inhibits this activity. Gel-shift analysis
clearly showed that the RNA-binding activity of Pf-Rtc is totally dependent on
the linear form of the 3'-terminal phosphate, with an apparent K(d) value of 20
nm at 95 degrees C. Furthermore, we found that Pf-Rtc may contribute to GTP
dependent RNA ligation activity through the PF0027 protein (a 2'-5' RNA ligase
like protein in P. furiosus). The possible roles of Pf-Rtc and the importance of
terminal phosphate structures in RNA are discussed.
PMID- 22074261
TI - On your bike! a cross-sectional study of the individual, social and environmental
correlates of cycling to school.
AB - BACKGROUND: Active school transport (AST) has declined rapidly in recent decades.
While many studies have examined walking, cycling to school has received very
little attention. Correlates of cycling are likely to differ to those from
walking and cycling enables AST from further distances. This study examined
individual, social and environmental factors associated with cycling to school
among elementary school-aged children, stratified by gender. METHODS: Children (n
= 1197) attending 25 Australian primary schools located in high or low walkable
neighborhoods, completed a one-week travel diary and a parent/child questionnaire
on travel habits and attitudes. RESULTS: Overall, 31.2% of boys and 14.6% of
girls cycled >= 1 trip/week, however 59.4% of boys and 36.7% of girls reported
cycling as their preferred school transport mode. In boys (but not girls), school
neighborhood design was significantly associated with cycling: i.e., boys
attending schools in neighborhoods with high connectivity and low traffic were
5.58 times more likely to cycle (95% CI 1.11-27.96) and for each kilometer boys
lived from school the odds of cycling reduced by 0.70 (95% CI 0.63-0.99).
Irrespective of gender, cycling to school was associated with parental confidence
in their child's cycling ability (boys: OR 10.39; 95% CI 3.79-28.48; girls: OR
4.03; 95% CI 2.02-8.05), parental perceived convenience of driving (boys: OR
0.42; 95% CI 0.23-0.74; girls: OR 0.40; 95% CI 0.20-0.82); and child's preference
to cycle (boys: OR 5.68; 95% CI 3.23-9.98; girls: OR 3.73; 95% CI 2.26-6.17).
CONCLUSION: School proximity, street network connectivity and traffic exposure in
school neighborhoods was associated with boys (but not girls) cycling to school.
Irrespective of gender, parents need to be confident in their child's cycling
ability and must prioritize cycling over driving.
PMID- 22074262
TI - 'We are doing our best': African and African-Caribbean fatherhood, health and
preventive primary care services, in England.
AB - Recent policy pronouncements emphasise the importance of engaging fathers with
preventive primary care services. However, in England, there is a paucity of
literature which examines African and African-Caribbean fathers' experiences of
service provision. This paper reports a study that investigated African and
African-Caribbean fathers' beliefs about fatherhood, health and preventive
primary care services, with the aim of addressing the deficit in the literature.
Nine focus groups involving 46 African and African-Caribbean fathers, recruited
using purposive sampling, were undertaken between October 2008-January 2009.
Fatherhood was seen as a core aspect of the participants' identities. The fathers
enacted these identities in a number of ways, such as caring for and protecting
children, which were influenced by spirituality, relationships with women, paid
work and racism. The fathers had concerns about their bodies, medical conditions,
physical activity and forms of consumption. However, their primary focus was on
maintaining and improving the well-being of their children. This resulted in them
neglecting their own health needs as they had to meet the obligations of family
life and paid work. The fathers reported limited contact with preventive primary
care services and were unaware of their purpose, function and availability. They
identified ethnicity as a positive asset, and felt their families and communities
had particular strengths. However they acknowledged that structural constraints,
including racism, influenced their perceptions of and access to local health
services. The engagement of African and African-Caribbean fathers needs to be
addressed more specifically in policy as part of a broader programme of action to
tackle health inequalities. In addition, child health services could build on
fathers' commitment to children's well-being through practice that addresses
fathers' as well as mothers' needs in families.
PMID- 22074265
TI - Deformation, longitudinal shortening, and accordion of an ion stent.
PMID- 22074266
TI - Development of a sampling patch to measure dermal exposures to monomeric and
polymeric 1,6-hexamethylene diisocyanate: a pilot study.
AB - The purpose of this study was to develop and evaluate a patch sampler to monitor
dermal exposures to monomeric and polymeric 1,6-hexamethylene diisocyanate (HDI)
in the automotive refinishing industry. Different patch materials were used to
construct the patches, and patches impregnated with a derivatizing solution were
compared with those that were not impregnated. We observed that impregnated felt
patches measured significantly more HDI monomer (p = 0.04) than non-impregnated
patches in a controlled experiment. Both impregnated and non-impregnated patches
were compared with the tape-strip method by monitoring three spray painters'
dermal exposure to monomeric and polymeric HDI. Isocyanurate was the predominant
species measured by all three sampler types with detectable levels in >86% of
samples. Overall, tape-strips of exposed skin measured lower levels of monomeric
and polymeric HDI than impregnated patch samplers at the same sampling site on
the skin. Unlike tape-strips, impregnated patches are not as prone to evaporative
or reactive losses or losses due to rapid penetration into the skin. Further
investigations are warranted to evaluate these and other methods to measure
dermal exposure to workers under occupational conditions to better understand the
relationship between dermal exposure and internal dose.
PMID- 22074267
TI - Bi2(IO4)(IO3)3: a new potential infrared nonlinear optical material containing
[IO4](3-) anion.
AB - A new potential infrared (IR) nonlinear optical (NLO) material
Bi(2)(IO(4))(IO(3))(3) was synthesized by hydrothermal method.
Bi(2)(IO(4))(IO(3))(3) crystallizes in the chiral orthorhombic space group
P2(1)2(1)2(1) (No. 19) with a = 5.6831(11) A, b = 12.394(3) A, and c = 16.849(3)
A. It exhibits a three-dimensional framework through a combination of the IO(3),
IO(4), BiO(8), and BiO(9) polyhedra and is the first noncentrosymmetric (NCS)
structure containing [IO4](3-) anion. Bi(2)(IO(4))(IO(3))(3) has an IR cutoff
wavelength of 12.3 MUm and belongs to the type 1 phase-matchable class with a
moderately large SHG response of 5 * KDP, which is in good agreement with the
theoretical calculations.
PMID- 22074268
TI - Co-morbid diabetes in patients with Crohn's disease predicts a greater need for
surgical intervention.
AB - BACKGROUND: The prevalence of diabetes is increasing rapidly. Given its pro
inflammatory nature, comorbid diabetes may affect the course of Crohn's disease
(CD). AIM: To determine whether comorbid diabetes influences the natural history
of CD. METHODS: We compared a cohort with CD and comorbid diabetes to a
nondiabetic control population and calculated the period prevalence of surgical
intervention over a 5-year period. Unadjusted and adjusted odds-ratios were
calculated regarding the need for surgical intervention using univariate and
multivariate logistic regression. RESULTS: A total of 240 patients were
identified, 16 of whom were diabetics (6.7%). The period prevalence of CD
specific surgery in the diabetic cases was 75.0% and in the nondiabetic controls,
31.7%. The diabetic patients were more obese than the controls (44% vs. 10%; P <
0.0001) and older than the controls (47.4 years vs. 38.6; P < 0.01). There was no
difference in the frequency of biologic therapy use, immunomodulator use,
smoking, perianal disease, ileal involvement or corticosteroid use between the
diabetics and controls. Univariate analysis revealed that diabetes (OR 6.46 [95%
CI 2.01-20.8]), smoking (OR 2.46 [95% CI 1.24-4.90]), ileal disease (OR 2.21 [95%
CI 1.15-4.24]) and obesity (OR 2.22 [95% CI 1.04-4.77]) were risk factors for
needing surgery. After adjustment for covariates, the OR for surgical
intervention in diabetics was 5.4 (95% CI 1.65-17.64). CONCLUSION: Co-morbid
diabetes in patients with Crohn's disease predicts a greater need for surgical
intervention.
PMID- 22074271
TI - Comment on vertically fractured teeth without root canal fillings.
PMID- 22074269
TI - Body electrical loss analysis (BELA) in the assessment of visceral fat: a
demonstration.
AB - BACKGROUND: Body electrical loss analysis (BELA) is a new non-invasive way to
assess visceral fat depot size through the use of electromagnetism. BELA has
worked well in phantom measurements, but the technology is not yet fully
validated. METHODS: Ten volunteers (5 men and 5 women, age: 22-60 y, BMI: 21-30
kg/m(2), waist circumference: 73-108 cm) were measured with the BELA instrument
and with cross-sectional magnetic resonance imaging (MRI) at the navel level,
navel +5 cm and navel -5 cm. The BELA signal was compared with visceral and
subcutaneous fat areas calculated from the MR images. RESULTS: The BELA signal
did not correlate with subcutaneous fat area at any level, but correlated
significantly with visceral fat area at the navel level and navel +5 cm. The
correlation was best at level of navel +5 cm (R(2) = 0.74, P < 0.005, SEE = 29.7
cm(2), LOOCV = 40.1 cm(2)), where SEE is the standard error of the estimate and
LOOCV is the root mean squared error of leave-one-out style cross-validation. The
average estimate of repeatability of the BELA signal observed through the study
was +/-9.6 %. One of the volunteers had an exceptionally large amount of visceral
fat, which was underestimated by BELA. CONCLUSIONS: The correlation of the BELA
signal with the visceral but not with the subcutaneous fat area as measured by
MRI is promising. The lack of correlation with the subcutaneous fat suggests that
subcutaneous fat has a minor influence to the BELA signal. Further research will
show if it is possible to develop a reliable low-cost method for the assessment
of visceral fat either using BELA only or combining it, for example, with
bioelectrical impedance measurement. The combination of these measurements may
help assessing visceral fat in a large scale of body composition. Before large
scale clinical testing and ROC analysis, the initial BELA instrumentation
requires improvements. The accuracy of the present equipment is not sufficient
for such new technology.
PMID- 22074272
TI - Does mineral trioxide aggregate reinforce the immature roots?
PMID- 22074273
TI - Does MTA really increase expression of tissue inhibitor of metalloproteinase
(TIMP-2) in extracted teeth?
PMID- 22074274
TI - Inotropes and vasopressors: more than haemodynamics!
AB - Circulatory shock is characterized by arterial hypotension requiring fluid
resuscitation combined with inotropes and/or vasopressors to correct the
otherwise life-threatening impairment of oxygen supply to peripheral tissues.
Catecholamines represent the current therapeutic choice, but this standard is
only based on empirical clinical experience. Although there is evidence that some
catecholamines may be better than others, it is a matter of debate which one may
be the most effective and/or the safest for the different situations. In their
review in this issue of the British Journal of Pharmacology, Bangash et al.
provide an overview of the pharmacology as well as the available clinical data on
the therapeutic use of endogenous catecholamines, their synthetic derivatives and
a range of other agents (vasopressin and its analogues, PDE inhibitors and
levosimendan). The authors point out that, despite well-established receptor
pharmacology, the clinical effects of these treatments are poorly understood.
Hence, further investigations are essential to determine which catecholamine, or,
in a broader sense, which alternative vasopressor and/or inotrope is the most
appropriate for a particular clinical condition.
PMID- 22074275
TI - Integrated biomarker discovery: combining heterogeneous data.
PMID- 22074276
TI - Artificial microorgans: a microfluidic tool for in vitro assessment of toxicity.
PMID- 22074278
TI - Laboratory and software applications for clinical trials: the global laboratory
environment.
AB - The Applied Pharmaceutical Software Meeting is held annually. It is sponsored by
The Boston Society, a not-for-profit organization that coordinates a series of
meetings within the global pharmaceutical industry. The meeting generally focuses
on laboratory applications, but in recent years has expanded to include some
software applications for clinical trials. The 2011 meeting emphasized the global
laboratory environment. Global clinical trials generate massive amounts of data
in many locations that must be centralized and processed for efficient analysis.
Thus, the meeting had a strong focus on establishing networks and systems for
dealing with the computer infrastructure to support such environments. In
addition to the globally installed laboratory information management system,
electronic laboratory notebook and other traditional laboratory applications,
cloud computing is quickly becoming the answer to provide efficient, inexpensive
options for managing the large volumes of data and computing power, and thus it
served as a central theme for the meeting.
PMID- 22074279
TI - The importance of HT-ADME in drug discovery.
AB - The inaugural meeting of the Boston Society High-Throughput Absorption,
Distribution, Metabolism and Excretion (HT-ADME) Conference was held in
Cambridge, MA (USA). The theme was 'The Importance of HT-ADME in Drug Discovery'
and the organizers of the conference stressed the importance of incorporating
audience participation and discussion in the field. The conference hosted 65
attendees and 16 presentations, which was a good size to achieve the goal of
active participation while maintaining focus on HT-ADME. The speakers provided
broad coverage of HT-ADME within the drug discovery arena, while remembering that
the overall goal in drug discovery is identifying potential drug candidates to
move forward into development.
PMID- 22074280
TI - Anticoagulant counter ion impact on bioanalytical LC-MS/MS assay performance:
additional validation required?
PMID- 22074281
TI - Anticoagulant counter ion impact on bioanalytical LC-MS/MS assays: results from
discussions and experiments within the European Bioanalysis Forum.
AB - BACKGROUND: In regulated bioanalysis, the need for partial validation when
changing the counter ion of the anticoagulant is currently being debated within
the bioanalytical community. To date, industry and the health authorities have
not yet reached a consensus on this issue. The aim of the present study was to
evaluate the impact of a change in counter ion when using the same anticoagulant
on LC-MS/MS assay performance for a broad array of new chemical entities,
compiling data generated at companies within the European Bioanalysis Forum
(EBF). RESULTS: In all, 15 EBF member companies provided experimental data on
partial validation. In total, data from 42 LC-MS/MS assays were evaluated. The
results show that a change in counter ion when using the same anticoagulant had
no impact on assay performance. CONCLUSION: Based on these results and on
conclusions from previous studies, the EBF recommends that in regulated
bioanalysis, plasma samples containing different counter ions, but the same
anticoagulant, should be regarded as equal matrices, thus removing any need for
partial validation.
PMID- 22074282
TI - Platform for analysis of anthranilic acid N-glycan derivatives utilizing
multipolarity mode LC-MS with hydrophilic interaction chromatography separation
and ion trap MS/MS.
AB - BACKGROUND: The structure of glycans is complex compared with linear polymers
such as proteins and nucleic acids. Structural assignment of these compounds is
particularly challenging to the bioanalyst. Here we present a multipolarity mode
LC-MS platform for analysis of anthranilic acid-derivatized N-glycans. RESULTS:
Multipolarity mode LC-MS analysis of N-glycan anthranilic aid (2AA) derivatives,
collected under conditions that stabilize sialyloligosaccharides, provided more
complete structural coverage than either mode when used alone. Structural
assignment was simplified by the use of 2AA, which localizes charge to the
reducing end in both modes facilitating the production of reducing end fragment
dominant spectra. CONCLUSION: Multimode analysis of high-mannose, hybrid and
complex N-glycans, under conditions used in this method, is superior to either
mode when used alone.
PMID- 22074283
TI - Iontophoresis of a 13 kDa protein monitored by subcutaneous microdialysis in
vivo.
AB - BACKGROUND: The purpose of this study was to optimize parameters pertaining to
microdialysis technique so as to make this method feasible for evaluating
transdermal transport of macromolecules. RESULTS: Microdialysis experiments were
performed in vivo using hairless rats with daniplestim as the model protein. Two
perfusion fluids - phosphate-buffered saline (PBS) and 3% dextran in PBS - were
evaluated with respect to their effect on sample volume retrieval and recovery of
the target protein from the microdialysis probe. Incorporation of dextran-60 in
the perfusion fluid reduced fluid loss to 10% as opposed to 34% in the absence of
dextran-60. Improvement in daniplestim recovery was also seen with dextran-PBS
(56.5 +/- 10.3%) as the perfusion fluid than with PBS alone (26.7+/-4.5%).
CONCLUSION: Subcutaneous levels of daniplestim were measured following
iontophoresis after improving recovery and minimizing fluid loss from the
microdialysis probe.
PMID- 22074284
TI - MALDI imaging mass spectrometry: bridging biology and chemistry in drug
development.
AB - Our understanding of drug tissue distribution impacts a number of areas in drug
development, including: pharmacology, pharmacokinetics, safety, drug-drug
interactions, transport and metabolism. Despite their extensive use,
autoradiography and tissue homogenate LC-MS analysis have limitations in
providing a comprehensive assessment of tissue distributions. In the case of
autoradiography, it is the inability to distinguish between parent drug and drug
metabolites. In LC-MS analysis of tissue homogenate, all tissue localization
information is lost. The emerging technique of MALDI imaging mass spectrometry
has the capability to distinguish between parent and metabolites while
maintaining spatial distribution in tissues. In this article, we will review the
MALDI imaging MS methodology as applied to drug development and provide examples
highlighting the impact of this important technique in drug development.
PMID- 22074285
TI - Exploring the metabolic state of microorganisms using metabolomics.
AB - Microorganisms depend on their ability to modulate their metabolic composition
according to specific circumstances, such as different phases of the growth cycle
and circadian rhythms, fluctuations in environmental conditions, as well as
experimental perturbations. A thorough understanding of these metabolic
adaptations requires the ability to comprehensively identify and quantify the
metabolome of bacterial cells in different states. In this review, we present an
overview of the diverse metabolomics approaches recently adopted to explore the
metabolism of a wide variety of microorganisms. Focusing on a selection of
illustrative case studies, we assess the different experimental designs used and
explore the major achievements and remaining challenges in the field. We conclude
by discussing the important complementary information provided by computational
methods such as genome-scale metabolic modeling, which enable an integrated
analysis of metabolic state changes in the context of overall cellular
physiology.
PMID- 22074286
TI - Absolute quantitation of protein therapeutics in biological matrices by enzymatic
digestion and LC-MS.
AB - The advancement of biotechnology has led to an increase in biotherapeutic drugs,
especially recombinant proteins and monoclonal antibodies. Ligand-binding assays
or immunoassays are the standard methods of choice in pharmacokinetic studies in
support of drug discovery and development for protein therapeutics. LC-MS-based
methodologies are increasingly used as alternatives to immunoassays for absolute
protein quantitation in biological samples. We review recent advancements in
absolute quantitation of protein therapeutics in biological matrices by enzymatic
digestion and LC-MS.
PMID- 22074288
TI - Genetic diversity and genotype multiplicity of Plasmodium falciparum infections
in symptomatic individuals in the maritime region of Togo.
AB - OBJECTIVE: To assess the genotype prevalence and the multiplicity of Plasmodium
falciparum infections in the maritime region of Togo. METHODS: We enrolled 309
symptomatic individuals aged from 6 months to 15 years from Be/Lome and Tsevie,
two malaria endemic zones. The number and the proportions of merozoite surface
proteins 1, 2 and 3 genotypes in patients were determined using capillary
electrophoresis genotyping. We further investigated the possible association
between transaminases and homocysteine, and the severity of the disease. RESULTS:
Of the 309 samples genotyped, 210 tested positive to msp-1, 227 to msp-2 and 193
to msp-3. The nested PCR revealed 22 different alleles for the allelic family msp
1, 33 for msp-2 and 13 for msp-3. At each locus, the family distribution was
54.58% of K1, 25% of MAD20 and 20.42% of RO33 for msp-1, and 51.71% and 48.29% of
FC27 and 3D7, respectively, for msp-2. For all these allelic variants, the
distribution was associated with neither the severity of malaria nor the zone of
habitation. Pearson correlation coefficients between either the levels of
homocysteine or the transaminase and the severity of the disease were very low.
CONCLUSION: The severity of malaria was not associated with higher multiplicity
of infections and did not appear restricted to particular genotypes. More
comprehensive explorations including immunity, genetic factors, nutritional and
sociologic status of the population could clarify the situation.
PMID- 22074289
TI - Dynamic hyperinflation is associated with a poor cardiovascular response to
exercise in COPD patients.
AB - BACKGROUND: Pulmonary hyperinflation has the potential for significant adverse
effects on cardiovascular function in COPD. The aim of this study was to
investigate the relationship between dynamic hyperinflation and cardiovascular
response to maximal exercise in COPD patients. METHODS: We studied 48 patients
(16F; age 68 yrs +/- 8; BMI 26 +/- 4) with COPD. All patients performed
spirometry, plethysmography, lung diffusion capacity for carbon monoxide (TLco)
measurement, and symptom-limited cardiopulmonary exercise test (CPET). The end
expiratory lung volume (EELV) was evaluated during the CPET. Cardiovascular
response was assessed by change during exercise in oxygen pulse (DeltaO2Pulse)
and double product, i.e. the product of systolic blood pressure and heart rate
(DP reserve), and by the oxygen uptake efficiency slope (OUES), i.e. the relation
between oxygen uptake and ventilation. RESULTS: Patients with a peak exercise
EELV (%TLC) >= 75% had a significantly lower resting FEV1/VC, FEF50/FIF50 ratio
and IC/TLC ratio, when compared to patients with a peak exercise EELV (%TLC) <
75%. Dynamic hyperinflation was strictly associated to a poor cardiovascular
response to exercise: EELV (%TLC) showed a negative correlation with DeltaO2Pulse
(r = - 0.476, p = 0.001), OUES (r = - 0.452, p = 0.001) and DP reserve (r = -
0.425, p = 0.004). Furthermore, according to the ROC curve method, DeltaO2Pulse
and DP reserve cut-off points which maximized sensitivity and specificity, with
respect to a EELV (% TLC) value >= 75% as a threshold value, were <= 5.5 mL/bpm
(0.640 sensitivity and 0.696 specificity) and <= 10,000 Hg . bpm (0.720
sensitivity and 0.783 specificity), respectively. CONCLUSION: The present study
shows that COPD patients with dynamic hyperinflation have a poor cardiovascular
response to exercise. This finding supports the view that in COPD patients,
dynamic hyperinflation may affect exercise performance not only by affecting
ventilation, but also cardiac function.
PMID- 22074290
TI - Synthesis of dragmacidin D via direct C-H couplings.
AB - Dragmacidin D, an emerging biologically active marine natural product, has
attracted attention as a lead compound for treating Parkinson's and Alzheimer's
diseases. Prominent structural features of this compound are the two indole
pyrazinone bonds and the presence of a polar aminoimidazole unit. We have
established a concise total synthesis of dragmacidin D using direct C-H coupling
reactions. Methodological developments include (i) Pd-catalyzed thiophene-indole
C-H/C-I coupling, (ii) Pd-catalyzed indole-pyrazine N-oxide C-H/C-H coupling, and
(iii) acid-catalyzed indole-pyrazinone C-H/C-H coupling. These regioselective
catalytic C-H couplings enabled us to rapidly assemble simple building blocks to
construct the core structure of dragmacidin D in a step-economical fashion.
PMID- 22074291
TI - Pilose antler polypeptides promote chondrocyte proliferation via the tyrosine
kinase signaling pathway.
AB - BACKGROUND: Pilose antler polypeptides (PAP) have been reported to promote
chondrocyte proliferation. However, the underlying mechanism remains unclear. The
present study was to investigate the effects of PAP on the proliferation of
chondrocytes and its underlying mechanism. METHODS: Chondrocytes isolated from
the knee of Zealand white rabbits were cultured. The second generation
chondrocytes were collected and identified using safranin-O staining. The
chondrocytes were divided into the following 4 groups including serum-free, PAP,
genistein (an inhibitor of tyrosine kinases), and PAP plus genistein group. Cell
viability was analyzed using the MTT assay. The cell cycle distribution of the
chondrocytes was analyzed by flow cytometry. The expression levels of cyclin A
was detected using immunocytochemical staining. RESULTS: No significant
difference was observed between serum-free and genistein group. Treatment of the
cultures with PAP produced a significant dose-dependent increase in cell
viability, the percentage proportion of chondrocytes in the S phase and Cyclin A
expression as well. However, the promoting effect of PAP on chondrocyte
proliferation were dose-dependently inhibited by genistein, whereas genistein
alone had no effect on proliferation of isolated chondrocytes. CONCLUSIONS: The
data demonstrate that PAP promotes chondrocyte proliferation with the increased
cell number, percentage proportion of chondrocytes in S phase and expression of
protein cyclin A via the TK signaling pathway.
PMID- 22074292
TI - Accelerated high-resolution differential ion mobility separations using hydrogen.
AB - The resolving power of differential ion mobility spectrometry (FAIMS) was
dramatically increased recently by carrier gases comprising up to 75% He or
various vapors, enabling many new applications. However, the need for resolution
of complex mixtures is virtually open-ended and many topical analyses demand yet
finer separations. Also, the resolving power gains are often at the expense of
speed, in particular making high-resolution FAIMS poorly compatible with online
liquid-phase separations. Here, we report FAIMS employing hydrogen, specifically
in mixtures with N(2) containing up to 90% H(2). Such compositions raise the
mobilities of all ions and thus the resolving power beyond that previously
feasible, while avoiding the electrical breakdown inevitable in He-rich mixtures.
The increases in resolving power and ensuing peak resolution are especially
significant at H(2) fractions above ~50%. Higher resolution can be exchanged for
acceleration of the analyses by up to ~4 times. For more mobile species such as
multiply charged peptides, this exchange is presently forced by the constraints
of existing FAIMS devices, but future designs optimized for H(2) should
consistently improve resolution for all analytes.
PMID- 22074293
TI - Correlates of time spent walking and cycling to and from work: baseline results
from the commuting and health in Cambridge study.
AB - PURPOSE: Environmental perceptions and psychological measures appear to be
associated with walking and cycling behaviour; however, their influence is still
unclear. We assessed these associations using baseline data from a quasi
experimental cohort study of the effects of major transport infrastructural
developments in Cambridge, UK. METHODS: Postal surveys were sent to adults who
travel to work in Cambridge (n = 1582). Questions asked about travel modes and
time spent travelling to and from work in the last week, perceptions of the
route, psychological measures regarding car use and socio-demographic
characteristics. Participants were classified into one of two categories
according to time spent walking for commuting ('no walking' or 'some walking')
and one of three categories for cycling ('no cycling', '1-149 min/wk' and ' >=
150 min/wk'). RESULTS: Of the 1164 respondents (68% female, mean (SD) age: 42.3
(11.4) years) 30% reported any walking and 53% reported any cycling to or from
work. In multiple regression models, short distance to work and not having access
to a car showed strong positive associations with both walking and cycling.
Furthermore, those who reported that it was pleasant to walk were more likely to
walk to or from work (OR = 4.18, 95% CI 3.02 to 5.78) and those who reported that
it was convenient to cycle on the route between home and work were more likely to
do so (1-149 min/wk: OR = 4.60, 95% CI 2.88 to 7.34; >= 150 min/wk: OR = 3.14,
95% CI 2.11 to 4.66). Positive attitudes in favour of car use were positively
associated with time spent walking to or from work but negatively associated with
cycling to or from work. Strong perceived behavioural control for car use was
negatively associated with walking. CONCLUSIONS: In this relatively affluent
sample of commuters, a range of individual and household characteristics,
perceptions of the route environment and psychological measures relating to car
use were associated with walking or cycling to and from work. Taken together,
these findings suggest that social and physical contexts of travel decision
making should be considered and that a range of influences may require to be
addressed to bring about behaviour change.
PMID- 22074294
TI - Thymosin beta4: a multi-functional regenerative peptide. Basic properties and
clinical applications.
AB - INTRODUCTION: Thymosin beta(4), a low molecular weight, naturally-occurring
peptide plays a vital role in the repair and regeneration of injured cells and
tissues. After injury, thymosin beta(4), is released by platelets, macrophages
and many other cell types to protect cells and tissues from further damage and
reduce apoptosis, inflammation and microbial growth. Thymosin beta(4) binds to
actin and promotes cell migration, including the mobilization, migration, and
differentiation of stem/progenitor cells, which form new blood vessels and
regenerate the tissue. Thymosin beta(4) also decreases the number of
myofibroblasts in wounds, resulting in decreased scar formation and fibrosis.
AREAS COVERED: This article will cover the many thymosin beta(4) activities that
directly affect the repair and regeneration cascade with emphasis on its
therapeutic uses and potential. Our approach has been to evaluate the basic
biology of the molecule as well as its potential for clinical applications in the
skin, eye, heart and brain. EXPERT OPINION: The considerable advances in our
understanding of the functional biology and mechanisms of action of thymosin
beta(4) have provided the scientific foundation for ongoing and projected
clinical trials in the treatment of dermal wounds, corneal injuries and in the
regeneration and repair of heart and CNS tissue following ischemic insults and
trauma.
PMID- 22074295
TI - Psychosocial processes influencing weight management among persons newly
prescribed atypical antipsychotic medications.
AB - The purpose was to generate a theory of the psychosocial processes influencing
weight management among persons newly prescribed atypical antipsychotic
medications. A grounded theory research design was used to guide the study. Semi
structured interviews were the method of data collection, and analysis was
performed using constant comparison. Using theoretical sampling, a sample of 11
participants with first-episode psychosis prescribed atypical antipsychotics for
at least 8 weeks, and five participants with a diagnosis of chronic schizophrenia
prescribed atypical antipsychotic medication for at least 3 years were recruited
from an outpatient psychiatric programme. Contextual factors influencing weight
management were: accessibility to resources, unstructured lifestyle, and others'
perception of weight. Conditions influencing weight management were: rapid weight
gain, insatiable hunger and lack of motivation boosters. Participants' early
responses to weight gain included discontinuing medications, choosing lower
calorie foods, using walking in daily activities as exercise, accepting weight
gain and trying to manage weight but giving up. The consequences revealed from
data analysis were contemplating weight management and not trying, as the
barriers to weight management exceeded the facilitators. The theoretical
framework developed in this study can assist with the understanding and
management of weight gain among this unique population.
PMID- 22074296
TI - Basal renal tubular epithelial cell vacuolization and alcoholic ketoacidosis.
AB - Subnuclear renal tubular epithelial cell vacuolization is a marker for diabetic
ketoacidosis. Whether it is because of hyperglycemia or of ketoacidosis is
unclear. To examine the effect of ketoacidosis on renal cells in isolation, five
cases of lethal alcoholic ketoacidosis without hyperglycemia were examined
(vitreous humor beta-hydroxybutyrate: 6.42-8.75 mM, mean 7.66 mM; and glucose:
0.1-4.2 mM, mean 1.46 mM). Microscopic examination of the kidneys revealed basal
vacuoles in three cases (60%). Seven control cases with acute alcohol toxicity
without ketoacidosis (blood alcohol: 0.18-0.43%, mean 0.31%; and beta
hydroxybutyrate: 0.12-0.42 mM, mean 0.21 mM) did not have these changes. In this
study, basal epithelial vacuolization was found only in cases with significant
ketoacidosis. Although the numbers are small, the finding of basal renal tubular
epithelial vacuolization in normoglycemic cases with elevated beta
hydroxybutyrate levels provide further evidence that disordered lipid metabolism
may be involved in the pathogenesis of this phenomenon.
PMID- 22074297
TI - Indirect evidence for microbiota reduction through dietary mannanoligosaccharides
in the pigeon, an avian species without functional caeca.
AB - A feeding trial was conducted to evaluate the effects of mannanoligosaccharides
(MOS) on nutrient digestibility, intestinal pH, gut morphology and faecal
bacteriology of pigeons, as model for birds without functional caeca. Sixteen
adult pigeons (Columba livia domestica) were randomly allotted to either an
extruded pellet diet with or without 0.4% MOS. After an adaptation period of 24
days, excreta were collected during 4 days. Apparent nutrient digestibility
coefficients were determined using total collection method. Further, excreta pH
was measured and percentage of uric acid determined. Fresh excreta were cultured
for measurement of colony-forming units for Escherichia coli. At the end, the
gastrointestinal tract (GIT) was excised and pH measurements performed on the
separate GIT sections. Finally, pancreas, liver, gizzard and abdominal fat pad
were weighed, and standardised segments of duodenum and jejunum were removed for
microscopic measurement of crypt depth, villus height and muscularis thickness.
Feed intake and water intake were similar between control diet and MOS diet.
Intestinal pH was unaffected by MOS supplementation; however, excreta pH was
significantly lower in pigeons on the MOS diet. Although nutrient digestibility
was similar between treatments, uric acid content of excreta was significantly
higher in the MOS group in relation to the control group. Further, duodenal crypt
depth, villus height and muscularis thickness, as well as jejunal muscularis
thickness were all significantly reduced by MOS supplementation. No effect of MOS
supplementation was seen on the counts of E. coli. Furthermore, despite marked
differences on both GIT morphology and uric acid content of excreta, apparent
digestibility coefficients, and organ weights, were similar between treatments.
It is suggested that the MOS-induced changes on gut morphology and the reduced
excreta pH reflect a reduced bacterial challenge in the intestine of pigeons.
Supplementation of MOS, therefore, has potential as prebiotic strategy in birds
without functional caeca.
PMID- 22074299
TI - Nursing theory and management.
PMID- 22074298
TI - Inter-rater reliability of assessed prenatal maternal occupational exposures to
solvents, polycyclic aromatic hydrocarbons, and heavy metals.
AB - Because direct measurements of past occupational exposures are rarely available
in population-based case-control studies, exposure assessment of job histories by
multiple expert raters is frequently used; however, the subjective nature of this
method makes measuring reliability an important quality control step. We
evaluated inter-rater reliability of 7729 retrospective jobs reported in the
National Birth Defects Prevention Study. Jobs were classified as exposed,
unexposed, or exposure unknown by two independent industrial hygienists; exposed
jobs were further evaluated for intensity, frequency, and routes. Exposure
prevalence ranged from 0.1-9.8%. Inter-rater reliability for exposure (yes/no),
assessed by kappa coefficients, was fair to good for cadmium (kappa = 0.46),
chlorinated solvents (kappa = 0.59), cobalt (kappa = 0.54), glycol ethers (kappa
= 0.50), nickel compounds (kappa = 0.65), oil mists (kappa = 0.63), and Stoddard
Solvent (kappa = 0.55); PAHs (kappa = 0.24) and elemental nickel (kappa = 0.37)
had poor agreement. After a consensus conference resolved disagreements, an
additional 4962 jobs were evaluated. Inter-rater reliability improved or stayed
the same for cadmium (kappa = 0.51), chlorinated solvents (kappa = 0.81), oil
mists (kappa = 0.63), PAHs (kappa = 0.52), and Stoddard solvent (kappa = 0.92) in
the second job set. Inter-rater reliability varied by exposure agent and
prevalence, demonstrating the importance of measuring reliability in studies
using a multiple expert rater method of exposure assessment.
PMID- 22074300
TI - Theory-based practice in a major medical centre.
AB - AIMS: This project was designed to improve care quality and nursing staff
satisfaction. BACKGROUND: Nursing theory structures thought and action as
demonstrated by evidence of improvement in complex health-care settings. Nursing
administrators selected Modelling and Role-Modelling (MRM) for the theory-based
practice goal in their strategic plan. METHODS: An action research approach
structured implementation of MRM in a 1-year consultation project in 2001-2002.
RESULTS: Quality of health care improved according to national quality assessment
ratings, as well as patient satisfaction and nurse satisfaction. CONCLUSIONS:
Modelling and Role-Modelling demonstrated capacity to structure nursing thought
and action in patient care in a major medical centre. Uniformity of patient care
language was valued by nurses as well as by allied health providers who wished to
learn the holistic MRM style of practice. The processes of MRM and action
research contributed to project success. IMPLICATIONS FOR NURSING MANAGEMENT: A
positive health-care change project was carried out in a large medical centre
with action research. Introducing MRM theory-based practice was a beneficial
decision by nursing administration that improved care and nurse satisfaction.
Attention to nursing practice stimulated career development among the nurses to
pursue bachelors, masters, and doctoral degrees.
PMID- 22074301
TI - An examination of the sustainable adoption of whole-person care (WPC).
AB - AIM: This study illustrates how King's theory of goal attainment was used to
focus an examination of whole-person care (WPC) and to extend the range of
knowledge needed for WPC and nursing practice. BACKGROUND: Leadership implemented
a faith-based innovation using continuing education for patient care that
incorporates body-mind-spirit and eight principles called CREATION. Three
questions arose: (1) Is there an evidence-based framework to determine whether
the philosophy supports the discipline of nursing? (2) How extensive is the
adoption and application of WPC? (3) Does the model make a difference in the
context of nurse-patient interactions and outcomes in support of Magnet
recognition criteria? METHODS: Interpretative phenomenology was used and an
interview protocol was developed to examine the adoption and movement toward a
culture of WPC. RESULTS: WPC was 'lived.' it is a relationship-oriented patient
care model. However, except in direct patient care, the principles of creation
were poorly diffused. IMPLICATIONS FOR NURSING MANAGEMENT: These results
contribute to nursing leadership's roles in fostering a workplace climate that
enables the diffusion of innovative models of care. In addition, these results
support the Institute of Medicine's call for nurses to take the lead in adopting
innovations and provides leaders with actionable strategies.
PMID- 22074302
TI - Evaluating nurse staffing patterns and neonatal intensive care unit outcomes
using Levine's Conservation Model of Nursing.
AB - AIMS: To explore the influences of intensity of nursing care and consistency of
nursing caregivers on health and economic outcomes using Levine's Conservation
Model of Nursing as the guiding theoretical framework. BACKGROUND: Professional
nursing practice models are increasingly being used although limited research is
available regarding their efficacy. METHOD: A structural equation modelling
approach tested the influence of intensity of nursing care (direct care by
professional nurses and patient-nurse ratio) and consistency of nursing
caregivers on morbidity and resource utilization in a neonatal intensive care
unit (NICU) setting using primary nursing. RESULTS: Consistency of nursing
caregivers served as a powerful mediator of length of stay and the duration of
mechanical ventilation, supplemental oxygen therapy and parenteral nutrition.
Analysis of nursing intensity indicators revealed that a mix of professional
nurses and assistive personnel was effective. CONCLUSIONS: Providing consistency
of nursing caregivers may significantly improve both health and economic
outcomes. New evidence was found to support the efficacy of the primary nursing
model in the NICU. IMPLICATIONS FOR NURSING MANAGEMENT: Designing nursing care
delivery systems in acute inpatient settings with an emphasis on consistency of
nursing caregivers could improve health outcomes, increase organizational
effectiveness, and enhance satisfaction of nursing staff, patients, and families.
PMID- 22074303
TI - Exploring the relationship between job satisfaction and nursing group outcome
attainment capability in nurse administrators.
AB - AIM: To examine the relationship between job satisfaction and nursing group
outcome attainment capability (NOAC) among nurse administrators. BACKGROUND:
Contributing to the nursing shortage experienced in the USA is job
dissatisfaction and a sense of powerlessness. The potential to achieve power
exists within nursing and is realized when nurses achieve their goals. Nurse
administrators can positively impact their settings by achieving these goals as
they engage in the process of NOAC. However, little is known about the
relationship between nurse administrators, job satisfaction and NOAC. METHOD: In
this non-experimental study, nurse administrators (n = 20) employed in mid-size
urban and suburban hospitals were recruited using convenience sampling. Using an
on-line format, participants completed the Minnesota Satisfaction Questionnaire
to measure job satisfaction and the Sieloff-King Assessment of Group Outcome
Attainment within Organizations to measure NOAC. RESULTS: Moderately strong and
strong significant correlations (P < 0.003) were found between job satisfaction
and nursing group outcome attainment capability (intrinsic satisfaction r =
0.800; extrinsic satisfaction r = 0.650; total satisfaction r = 0.770).
CONCLUSION: To increase job satisfaction, it is important that nurse
administrators have the power to achieve their professional goals. IMPLICATIONS
FOR NURSING MANAGEMENT: Nurse administrators can potentially increase their job
satisfaction by achieving their professional goals.
PMID- 22074304
TI - Group power through the lens of the 21st century and beyond: further validation
of the Sieloff-King Assessment of Group Power within Organizations.
AB - AIM: The purpose was to determine the content validity of a semantic revision of
items on a reliable and valid instrument, the Sieloff-King Assessment of Group
Power within Organizations (SKAGPO). BACKGROUND: Research participants expressed
negative perceptions regarding the use of the concept of 'power' in SKAGPO items.
The SKAGPO is the only instrument measuring a nursing group's power or outcome
attainment. METHOD: Using a survey method, the instrument and grading scale were
sent to 12 expert judges. Six participants completed the grading scale. RESULTS:
The Content Validity Index (CVI) for seven questions was at or above 83%
agreement. Overall, the CVI for the eight revised questions was 93.75%.
Subsequently, the instrument was renamed the Sieloff-King Assessment of Group
Outcome Attainment within Organizations (SKAGOAO). CONCLUSIONS: The semantic
revision demonstrated content validity for the revised SKAGOAO. When used by
nursing groups to assess their level of outcome attainment, the instrument should
continue to be psychometrically evaluated. IMPLICATIONS FOR NURSING MANAGEMENT: A
nursing group of any size can use the SKAGOAO to both assess the group's level of
outcome attainment or empowerment and direct plans to further improve that level.
PMID- 22074305
TI - Nurse managers' challenges in project management.
AB - AIM: To analyse the challenges that nurse managers meet in project management.
BACKGROUND: Project management done by nurse managers has a significant role in
the success of projects conducted in work units. METHODS: The data were collected
by open interviews (n = 14). The participants were nurse managers, nurses and
public health nurses. Data analysis was carried out using qualitative content
analysis. RESULTS: The three main challenges nurse managers faced in project
management in health-care work units were: (1) apathetic organization and
management, (2) paralysed work community and (3) cooperation between individuals
being discouraged. CONCLUSIONS: Nurse managers' challenges in project management
can be viewed from the perspective of the following paradoxes: (1) keeping up
projects-ensuring patient care, (2) enthusiastic management-effective management
of daily work and (3) supporting the work of a multiprofessional team-leadership
of individual employees. IMPLICATIONS FOR NURSING MANAGEMENT: It is important for
nurse managers to learn to relate these paradoxes to one another in a positive
way. Further research is needed, focusing on nurse managers' ability to promote
workplace spirituality, nurse managers' emotional intelligence and their
enthusiasm in small projects.
PMID- 22074306
TI - A theoretical model of ageing hospital nurses' well-being at work.
AB - AIM: To describe the development process of a theoretical model of ageing
hospital nurses' well-being at work and the subsequent testing of the model
itself. BACKGROUND: Factors evoking well-being at work need to be identified to
promote nurses' well-being. METHOD: Qualitative data (n = 21) from ageing
hospital nurses, consisting of interviews, diaries and open data collection forms
were collected and analysed using grounded theory method. Based on the finding, a
survey was developed. Quantitative data (n = 328) were collected from hospital
nurses born between the years 1948-1962. Explorative factor analysis was used to
create the theoretical model. RESULTS: The main concepts of the model were nurse
nurse interaction, nurse-patient interaction and patient-care centeredness.
CONCLUSION: According to the theoretical model, well-being at work can be
described as nurses' experience of collaboration, cooperation and togetherness
with other nurses in a supporting and caring work environment. The aim and
possibility of high-quality patient care, in a spirit where nurses and nursing
are appreciated, were also revealed. IMPLICATIONS FOR NURSING MANAGEMENT: The
importance of nurse-nurse interaction and nurse-patient interaction as well as
ageing nurses' patient-care centeredness needs to be taken into account in
nursing management and leadership.
PMID- 22074307
TI - Leadership and job satisfaction among Azorean hospital nurses: an application of
the situational leadership model.
AB - AIMS: The aim of this study was to describe nurse managers' leadership
behaviours, comparing them with staff nurses' perceptions of their leader's
leadership, as well as to determine if leadership components affect job
satisfaction among staff nurses. BACKGROUND: Understanding the leadership
phenomenon using a detailed theoretical framework, such as the situational
leadership model, allows for the deconstruction of leadership into small
segments, thereby producing a vast but detailed picture of the process involved
in leading people. METHOD: This quantitative, descriptive, inferential and
correlational study involved the participation of 266 nurses (managers and staff)
from two public Portuguese Azorean hospitals. INSTRUMENTS: The Leadership
Effectiveness and Adaptability Description questionnaire, developed by Hersey and
Blanchard, was used to assess leadership behaviours. A job satisfaction
instrument, developed by the authors, was also used to determine staff nurses'
satisfaction. RESULTS: The study revealed important differences between nurse
managers and staff nurses regarding the perception of leadership components. Low
levels of job satisfaction among staff nurses were detected. It was also found
that some leadership components are related to staff nurses' satisfaction.
CONCLUSIONS: Nurse managers must be aware of their leadership, applying
appropriate styles, thereby developing their staff nurses' skills while promoting
their professional satisfaction. IMPLICATIONS FOR NURSING MANAGEMENT: Leadership
is a complex process that is not separable from job satisfaction. Promoting
effective leadership through formal training among nurse managers may translate
into having more satisfied nurses, better nursing care, and stronger leadership.
PMID- 22074308
TI - Development of a model of situational leadership in residential care for older
people.
AB - AIM: The aim of the present study was to present the process used to develop a
composite model of situational leadership enacted within a person-centred nursing
framework in residential care. BACKGROUND: Transforming the culture of the
residential unit from a restrictive institution to a vibrant community of older
adults requires transformational leadership. Situational leadership is one form
of transformational leadership, which claims that there is not one leadership
style that works in all situations. METHOD: A model of situational leadership in
residential care was developed through a series of systematic steps that
identified direct linkages between situational leadership and the main constructs
of the Person-Centred Nursing Framework. The process included reviewing the
evidence, undertaking a comparative analysis, identifying key concepts,
connecting the concepts and developing a model. CONCLUSION: A conceptual model is
presented which integrates person-centredness with leadership thinking in order
to effectively impact on the follower's performance in managing the care
environment and delivering person-centred care. IMPLICATIONS FOR NURSING
MANAGEMENT: Currently the model is being utilized in an action research study to
evaluate the role of leaders in the practice setting of long-term care. While
some of the connecting concepts have been identified in the present study, more
work needs to be done to unravel these connections in further study of leaders in
practice.
PMID- 22074309
TI - Impact of the revised (2008) EORTC/MSG definitions for invasive fungal disease on
the rates of diagnosis of invasive aspergillosis.
AB - Diagnosis of invasive aspergillosis (IA) remains a challenge as the clinical
manifestations are not specific, and a histological diagnosis is often
unfeasible. The 2002 European Organization for Research and Treatment of Cancer
(EORTC) and the National Institute of Allergy and Infectious Diseases Mycoses
Study Group (MSG) criteria for classification of cases into possible, probable or
proven were revised in 2008. Our objective was to analyze the impact of these
revisions on the diagnosis of IA. A retrospective analysis of 589 high risk
patient-episodes revealed that 125 of 155 'possible' (81%) and 12 of 16
'probable' (75%) cases of IA should be changed to 'non-classifiable' when the new
criteria were applied. We concluded, as expected, that the 2008 EORTC/MSG revised
definitions reduced the number of cases classified as 'possible' IA, but
additionally, there has been a dramatic reduction in 'probable' cases. These
changes have significant implications on the interpretation of clinical trial
data based on EORTC/MSG classifications.
PMID- 22074310
TI - Disseminated sporotrichosis in a patient with a past history of lepromatous
leprosy: a case report.
AB - Disseminated sporotrichosis is uncommon and usually occurs in patients who are
immunodeficient. Here we describe a male patient who was otherwise in good
physical condition, who presented with disseminated sporotrichosis. The only
significant event in his past medical history was lepromatous leprosy which had
been treated 42 years earlier.
PMID- 22074311
TI - Interpreting oral health-related quality of life data.
AB - The most common way of presenting data from studies using quality of life or
patient-based outcome (PBO) measures is in terms of mean scores along with
testing the statistical significance of differences in means. We argue that this
is insufficient in and of itself and call for a more comprehensive and thoughtful
approach to the reporting and interpretation of data. PBO scores (and their means
for that matter) are intrinsically meaningless, and differences in means between
groups mask important and potentially different patterns in response within
groups. More importantly, they are difficult to interpret because of the absence
of a meaningful benchmark. The minimally important difference (MID) provides that
benchmark to assist interpretability. This commentary discusses different
approaches (distribution-based and anchor-based) and specific methods for
assessing the MID in both longitudinal and cross-sectional studies, and suggests
minimum standards for reporting and interpreting PBO measures in an oral health
context.
PMID- 22074312
TI - From 1D to 3D single-crystal-to-single-crystal structural transformations based
on linear polyanion [Mn4(H2O)18WZnMn2(H2O)2(ZnW9O34)2]4-.
AB - A 1D anionic polyoxometalate,
[Mn(4)(H(2)O)(18)WZnMn(2)(H(2)O)(2)(ZnW(9)O(34))(2)](4-), undergoes 1D to 3D
single-crystal-to-single-crystal structural transformations that are induced by
transition-metal cations (Co(2+) and Cu(2+)) and solvent molecules. These solid
materials present interesting catalytic activity for the oxidative aromatization
of Hantzsch 1,4-dihydropyridines that is dependent on the inserted heterogeneous
metal cations.
PMID- 22074313
TI - (-)-Epigallocatechin-3-gallate: a novel storage medium for avulsed teeth.
AB - The purpose of the present study was to evaluate the efficacy of (-)
epigallocatechin-3-gallate (EGCG) in maintaining the vitality of human
periodontal ligament (PDL) cells when used as a storage medium for avulsed teeth
prior to replantation. Thirty freshly extracted single-rooted human teeth with
closed apices were randomly assigned to three experimental groups with 10 samples
per group and immersed in one of the storage media: EGCG, Hank's balanced salt
solution (HBSS), or milk for 2 h. The PDL cells were dissociated by an enzyme
treatment with collagenase and trypsin. The cells were then labeled with 0.4%
Trypan blue for the determination of viability. The result showed that EGCG group
had the highest percentage of cell viability, followed by HBSS and milk group, in
descending order.
PMID- 22074314
TI - Crystallization of fluorescent quantum dots within a three-dimensional bio
organic template of actin filaments and lipid membranes.
AB - Biological molecules and molecular self-assemblies are promising templates to
organize well-defined inorganic nanostructures. We demonstrate the ability of a
self-assembled three-dimensional crystal template of helical actin protein
filaments and lipids bilayers to generate a hierarchical self-assembly of quantum
dots. Functionnalized tricystein peptidic quantum dots (QDs) are incorporated
during the dynamical self-assembly of this actin/lipid template resulting in the
formation of crystalline fibers. The crystal parameters, 26.5*18.9*35.5 nm3, are
imposed by the membrane thickness, the diameter, and the pitch of the actin self
assembly. This process ensures the high quality of the crystal and results in
unexpected fluorescence properties. This method of preparation offers
opportunities to generate crystals with new symmetries and a large range of
distance parameters.
PMID- 22074315
TI - Quantification and recognition of parkinsonian gait from monocular video imaging
using kernel-based principal component analysis.
AB - BACKGROUND: The computer-aided identification of specific gait patterns is an
important issue in the assessment of Parkinson's disease (PD). In this study, a
computer vision-based gait analysis approach is developed to assist the clinical
assessments of PD with kernel-based principal component analysis (KPCA). METHOD:
Twelve PD patients and twelve healthy adults with no neurological history or
motor disorders within the past six months were recruited and separated according
to their "Non-PD", "Drug-On", and "Drug-Off" states. The participants were asked
to wear light-colored clothing and perform three walking trials through a
corridor decorated with a navy curtain at their natural pace. The participants'
gait performance during the steady-state walking period was captured by a digital
camera for gait analysis. The collected walking image frames were then
transformed into binary silhouettes for noise reduction and compression. Using
the developed KPCA-based method, the features within the binary silhouettes can
be extracted to quantitatively determine the gait cycle time, stride length,
walking velocity, and cadence. RESULTS AND DISCUSSION: The KPCA-based method uses
a feature-extraction approach, which was verified to be more effective than
traditional image area and principal component analysis (PCA) approaches in
classifying "Non-PD" controls and "Drug-Off/On" PD patients. Encouragingly, this
method has a high accuracy rate, 80.51%, for recognizing different gaits.
Quantitative gait parameters are obtained, and the power spectrums of the
patients' gaits are analyzed. We show that that the slow and irregular actions of
PD patients during walking tend to transfer some of the power from the main lobe
frequency to a lower frequency band. Our results indicate the feasibility of
using gait performance to evaluate the motor function of patients with PD.
CONCLUSION: This KPCA-based method requires only a digital camera and a decorated
corridor setup. The ease of use and installation of the current method provides
clinicians and researchers a low cost solution to monitor the progression of and
the treatment to PD. In summary, the proposed method provides an alternative to
perform gait analysis for patients with PD.
PMID- 22074317
TI - A systematic review of the evidence base for Schema Therapy.
AB - Schema Therapy is becoming an increasingly popular psychological model for
working with individuals who have a variety of mental health and personality
difficulties. The aim of this review is to look at the current evidence base for
Schema Therapy and highlight directions for further research. A systematic search
of the literature was conducted up until January 2011. All studies that had
clinically tested the efficacy of Schema Therapy as described by Jeffrey Young
(1994 and 2003) were considered. These studies underwent detailed quality
assessments based on Scottish Intercollegiate Guidelines Network (SIGN-50)
culminating in 12 studies being included in the review. The culminative message
(both from the popularity of this model and the medium-to-large effect sizes) is
of a theory that has already demonstrated clinically effective outcomes in a
small number of studies and that would benefit from ongoing research and
development with complex client groups. It is imperative that psychological
practice be guided by high-quality research that demonstrates efficacious,
evidence-based interventions. It is therefore recommended that researchers and
clinicians working with Schema Therapy seek to build on these positive outcomes
and further demonstrate the clinical effectiveness of this model through ongoing
research.
PMID- 22074316
TI - Maximizing tumour exposure to anti-neuropilin-1 antibody requires saturation of
non-tumour tissue antigenic sinks in mice.
AB - BACKGROUND AND PURPOSE: Neuropilin-1 (NRP1) is a VEGF receptor that is widely
expressed in normal tissues and is involved in tumour angiogenesis. MNRP1685A is
a rodent and primate cross-binding human monoclonal antibody against NRP1 that
exhibits inhibition of tumour growth in NPR1-expressing preclinical models.
However, widespread NRP1 expression in normal tissues may affect MNRP1685A tumour
uptake. The objective of this study was to assess MNRP1685A biodistribution in
tumour-bearing mice to understand the relationships between dose, non-tumour
tissue uptake and tumour uptake. EXPERIMENTAL APPROACH: Non-tumour-bearing mice
were given unlabelled MNRP1685A at 10 mg.kg(-1) . Tumour-bearing mice were given
(111) In-labelled MNRP1685A along with increasing amounts of unlabelled antibody.
Blood and tissues were collected from all animals to determine drug concentration
(unlabelled) or radioactivity level (radiolabelled). Some animals were imaged
using single photon emission computed tomography - X-ray computed tomography. KEY
RESULTS: MNRP1685A displayed faster serum clearance than pertuzumab, indicating
that target binding affected MNRP1685A clearance. I.v. administration of (111) In
labelled MNRP1685A to tumour-bearing mice yielded minimal radioactivity in the
plasma and tumour, but high levels in the lungs and liver. Co-administration of
unlabelled MNRP1685A with the radiolabelled antibody was able to competitively
block lungs and liver radioactivity uptake in a dose-dependent manner while
augmenting plasma and tumour radioactivity levels. CONCLUSIONS AND IMPLICATIONS:
These results indicate that saturation of non-tumour tissue uptake is required in
order to achieve tumour uptake and acceptable exposure to antibody. Utilization
of a rodent and primate cross-binding antibody allows for translation of these
results to clinical settings.
PMID- 22074318
TI - A programmed polymer folding: click and clip construction of doubly fused
tricyclic and triply fused tetracyclic polymer topologies.
AB - A tandem alkyne-azide addition, i.e., click, and an olefin metathesis
condensation, i.e., clip, reactions in conjunction with an electrostatic self
assembly and covalent fixation (ESA-CF) process, have been demonstrated as
effective means to produce constructions of programmed folding of polymers having
doubly fused tricyclic and triply fused tetracyclic topologies. Thus, a series of
cyclic poly(tetrahydrofuran), poly(THF), precursors having an allyloxy group and
an alkyne group (Ia), an allyloxy group and an azide group (Ib), and two alkyne
groups (Ic) at the opposite positions was prepared by means of the ESA-CF method.
The subsequent click reactions of Ia with a linear telechelic poly(THF) precursor
having azide end groups (Id) and of Ib with Ic afforded a bridged dicyclic
polymer (IIa) and a tandem spiro tricyclic precursor (IIb), respectively, both
having two allyloxy groups at the opposite positions of the ring units. Finally,
the intramolecular metathesis condensation reaction of IIa and of IIb in the
presence of a Grubbs catalyst was performed to construct effectively a doubly
fused tricyclic and a triply fused tetracyclic polymer topologies (III and IV),
respectively.
PMID- 22074319
TI - Regional variation and determinants of vitamin D status in sunshine-abundant
Thailand.
AB - BACKGROUND: Vitamin D insufficiency is highly prevalent. Most of the studies
concerning vitamin D status were generated from countries situated at temperate
latitudes. It is less clear what the extent of vitamin D insufficiency is in
countries situated in the tropics and how geographical regions within country
would affect vitamin D status. In the present study, we investigated vitamin D
status in Thais according to geographical regions and other risk factors.
METHODS: Subjects consisted of 2,641 adults, aged 15 - 98 years, randomly
selected from the Thai 4th National Health Examination Survey (2008-9) cohort.
Serum 25 hydroxyvitamin D were measured by liquid chromatography/tandem mass
spectrometry. Data were expressed as mean +/- SE. RESULTS: Subjects residing in
Bangkok, the capital city of Thailand, had lower 25(OH)D levels than other parts
of the country (Bangkok, central, northern, northeastern and southern regions:
64.8 +/- 0.7, 79.5 +/- 1.1, 81.7 +/- 1.2, 82.2 +/- 0.8 and 78.3 +/- 1.3 nmol/L,
respectively; p < 0.001). Within each region, except for the northeastern part of
the country, subjects living inside municipal areas had lower circulating 25(OH)D
(central, 77.0 +/- 20.9 nmol/L vs 85.0 +/- 22.1 nmol/L, p < 0.001; north 79.3 +/-
22.1 nmol/L vs 86.8 +/- 21.8 nmol/L, p < 0.001; northeast 84.1 +/- 23.3 nmol/L vs
87.3 +/- 20.9 nmol/L, p = 0.001; south, 76.6 +/- 20.5 nmol/L vs 85.2 +/- 24.7
nmol/L, p < 0.001). Overall, the prevalence of vitamin D insufficiency was 64.6%,
46.7%, and 33.5% in Bangkok, municipal areas except Bangkok, and outside
municipal area in other parts of the country, respectively. In addition, the
prevalence of vitamin D insufficiency according to geographical regions was
43.1%, 39.1%, 34.2% and 43.8% in the central, north, northeast and south,
respectively. After controlling for covariates in multiple linear regression
analysis, the results showed that low serum 25(OH)D levels were associated with
being female, younger age, living in urban and Bangkok. CONCLUSIONS: Vitamin D
insufficiency is common and varies across geographical regions in Thailand.
PMID- 22074320
TI - Head injury from a bungee run.
AB - An adaptation of bungee jumping, 'bungee running', involves participants
attempting to run as far as they can whilst connected to an elastic rope which is
anchored to a fixed point. Usually considered a safe recreational activity, we
report a potentially life-threatening head injury following a bungee running
accident.
PMID- 22074321
TI - Hyaluronic acid enhances gene delivery into the cochlea.
AB - Cochlear gene therapy can be a new avenue for the treatment of severe hearing
loss by inducing regeneration or phenotypic rescue. One necessary step to
establish this therapy is the development of a safe and feasible inoculation
surgery, ideally without drilling the bony cochlear wall. The round window
membrane (RWM) is accessible in the middle-ear space, but viral vectors placed on
this membrane do not readily cross the membrane to the cochlear tissues. In an
attempt to enhance permeability of the RWM, we applied hyaluronic acid (HA), a
nontoxic and biodegradable reagent, onto the RWM of guinea pigs, prior to
delivering an adenovirus carrying enhanced green fluorescent protein (eGFP)
reporter gene (Ad-eGFP) at the same site. We examined distribution of eGFP in the
cochlea 1 week after treatment, comparing delivery of the vector via the RWM,
with or without HA, to delivery by a cochleostomy into the perilymph. We found
that cochlear tissue treated with HA-assisted delivery of Ad-eGFP demonstrated
wider expression of transgenes in cochlear cells than did tissue treated by
cochleostomy injection. HA-assisted vector delivery facilitated expression in
cells lining the scala media, which are less accessible and not transduced after
perilymphatic injection. We assessed auditory function by measuring auditory
brainstem responses and determined that thresholds were significantly better in
the ears treated with HA-assisted Ad-eGFP placement on the RWM as compared with
cochleostomy. Together, these data demonstrate that HA-assisted delivery of viral
vectors provides an atraumatic and clinically feasible method to introduce
transgenes into cochlear cells, thereby enhancing both research methods and
future clinical application.
PMID- 22074323
TI - The development of 13-valent pneumococcal conjugate vaccine and its possible use
in adults.
AB - INTRODUCTION: Worldwide, Streptococcus pneumoniae causes significant morbidity
and mortality. The 23-valent pneumococcal polysaccharide vaccine (PPSV23) has
been recommended for use in persons aged 65 years and over and in adults with
certain chronic medical conditions. Pneumococcal conjugate vaccines (PCVs) have
been developed for use in infants and children aged less than 5 years, and are
being studied for use in adult populations. AREAS COVERED: The different types of
pneumococcal vaccines are discussed. Studies comparing PPSV23 and PCVs, as well
as the results of the widespread use of 7-valent PCV are covered. The possible
extension of the use of 13-valent PCV to adults, particularly to vulnerable
populations, is discussed. The MEDLINE database was used to identify relevant
studies from literature published in English between January 1977 and January
2011. All studies of adults aged over 18 years were considered for the review.
EXPERT OPINION: Elderly individuals and adults with chronic medical conditions
who are at increased risk for pneumococcal disease would benefit from more
effective prevention than is provided by the currently recommended PPSV23.
PMID- 22074322
TI - Inhibition of HCV 3a genotype entry through host CD81 and HCV E2 antibodies.
AB - BACKGROUND: HCV causes acute and chronic hepatitis which can eventually lead to
permanent liver damage hepatocellular carcinoma and death. HCV glycoproteins play
an important role in HCV entry by binding with CD81 receptors. Hence inhibition
of virus at entry step is an important target to identify antiviral drugs against
HCV. METHODS AND RESULT: The present study elaborated the role of CD81 and HCV
glycoprotein E2 in HCV entry using retroviral pseudo-particles of 3a local
genotype. Our results demonstrated that HCV specific antibody E2 and host
antibody CD81 showed dose- dependent inhibition of HCV entry. HCV E2 antibody
showed 50% reduction at a concentration of 1.5 +/- 1 MUg while CD81 exhibited 50%
reduction at a concentration of 0.8 +/- 1 MUg. In addition, data obtained with
HCVpp were also confirmed with the infection of whole virus of HCV genotype 3a in
liver cells. CONCLUSION: Our data suggest that HCV specific E2 and host CD81
antibodies reduce HCVpp entry and full length viral particle and combination of
host and HCV specific antibodies showed synergistic effect in reducing the viral
titer.
PMID- 22074324
TI - Nurses' decision on seclusion: patient characteristics, contextual factors and
reflexivity in teams.
AB - While many characteristics of patients, professionals and facilities with
relevance to seclusion rates have been investigated, their relative importance is
unclear. Virtually no attention has been paid to team processes and reflexivity
in relation to decision making on seclusion. The aim of this paper is to estimate
the effects of these factors on nurse decision making on seclusion. Sixty Dutch
psychiatric nurses of four closed wards reported team reflexivity and their
tendency to seclude a theoretical patient. Approachability (whether there was a
good or hardly any possibility to communicate with the patient), staffing level
and confidence within the team had the greatest impact on the decision to
seclude. Intra class correlation was 0.30. There was a large interaction effect
of reflexivity with team 4, and team reflexivity was highly correlated with team
tendency to avoid seclusion. In nurses' decision on seclusion, the effects of
'pure' patient characteristics are small as compared with the effects of
interpersonal and contextual factors, and nurses vary widely in their judgement.
Team reflexivity is related to the tendency to prevent seclusion.
PMID- 22074325
TI - Estimating the preappearance interval from temperature in Creophilus maxillosus
L. (Coleoptera: Staphylinidae).
AB - Some carrion insects appear on carcasses late in decomposition. While using these
late-arriving taxa to estimate postmortem interval, it is vital to accurately
estimate an interval preceding their appearance on a cadaver called the
preappearance interval (PAI). This paper tests the possibilities of a PAI
estimation from temperature in case of late-arriving, predatory carrion beetle
Creophilus maxillosus. The relationship between temperature and PAI of adult and
larval C. maxillosus was modeled using the results of a large-scale experiment on
succession in forests of Central Europe. In both stages, PAI was found to be
strongly, inversely related to temperature averaged for the duration of PAI. The
PAI estimation from mean degree-day accumulations (mDDA), estimated DDA, and
"PAI*T" functions were tested using external successional data. The greatest
accuracy of PAI estimates was achieved using an exponential "PAI*T" function.
PMID- 22074327
TI - Diets enriched with a Jerte Valley cherry-based nutraceutical product reinforce
nocturnal behaviour in young and old animals of nocturnal (Rattus norvegicus) and
diurnal (Streptopelia risoria) chronotypes.
AB - The decline in melatonin secretion with age seems to be one of the major reasons
for increased sleep disruption in older animals. Previously, we showed that the
administration with melatonin or its precursor, tryptophan, improved
activity/rest rhythms in aged individuals. Here, it was evaluated the effect of a
10-day consumption of a Jerte Valley cherry-based nutraceutical product (patent
no. ES2342141B1), which contains high levels of tryptophan, serotonin and
melatonin, on the activity/rest rhythms of young and old rats (Rattus norvegicus)
and ringdoves (Streptopelia risoria) as representatives of animals with nocturnal
and diurnal habits, respectively, and its possible relationship with the serum
levels of melatonin and glucose. Total diurnal and nocturnal activity pulses were
logged at control, during, and up to 3 days after the treatment. Melatonin and
glucose were measured with ELISA and testing kits respectively. In both young and
old rats, the intake of the cherry nutraceutical decreased diurnal activity,
whereas nocturnal activity increased. The opposite effect was observed for
ringdoves. The treatment increased the circulating levels of melatonin in both
species and restored the amplitude of the activity rhythm in the old animals to
that of the non-treated young groups. The consumption of a Jerte Valley cherry
based nutraceutical product may help to counteract the impaired activity/rest
rhythm found in aged animals.
PMID- 22074326
TI - Perspective on the human cough reflex.
AB - This review dissects the complex human cough reflex and suggests hypotheses
about the evolutionary basis for the reflex. A mechanosensory-induced cough
reflex conveys through branches of myelinated Adelta nerve fibers is not
chemically reactive (i.e., capsaicin, bradykinin); possibly, its evolution is to
prevent the harmful effects of aspiration of gastric or particulate contents into
the lungs. This became necessary as the larynx moves closer to the opening of the
esophagus as human ancestors adapt phonation over olfaction beginning less than
10 million years ago. The second type of cough reflex, a chemosensory type, is
carried by unmyelinated C fibers. Supposedly, its origin dates back when
prehistoric humans began living in close proximity to each other and were at risk
for infectious respiratory diseases or irritant-induced lung injury. The
mechanism for the latter type of cough is analogous to induced pain after tissue
injury; and, it is controlled by the identical transient receptor potential
vanilloid cation channel (TRPV1). The airways do not normally manifest
nociceptive pain from a stimulus but the only consistent response that capsaicin
and lung inflammation provoke in healthy human airways is cough. TRPA1, another
excitatory ion channel, has been referred to as the "irritant receptor" and its
activation also induces cough. For both types of cough, the motor responses are
identical and via coordinated, precisely-timed and sequential respiratory events
orchestrated by complex neuromuscular networking of the diaphragm, chest and
abdominal respiratory muscles, the glottis and parts of the brain.
PMID- 22074328
TI - Laboratory study to assess causative factors affecting temporal changes in
filtering facepiece respirator fit: part I - pilot study.
AB - The National Institute for Occupational Safety and Health is conducting a first
of-its-kind study that will assess respirator fit and facial dimension changes as
a function of time and improve the scientific basis for decisions on the
periodicity of fit testing. A representative sample of 220 subjects wearing
filtering-facepiece respirators (FFR) will be evaluated to investigate factors
that affect changes in respirator fit over time. The objective of this pilot
study (n = 10) was to investigate the variation in fit test data collected in
accordance with the study protocol. Inward leakage (IL) and filter penetration
were measured for each donned respirator, permitting the calculation of face seal
leakage (FSL) and fit factor (FF). The study included only subjects who (a)
passed one of the first three fit tests (FF >= 100), and (b) demonstrated through
a series of nine donnings that they achieved adequate fit (90th percentile FSL
was <= 0.05). Following the respirator fit tests, 3-D scans of subjects were
captured, and height, weight, and 13 traditional anthropometric facial dimensions
were measured. The same data were collected 2 and 4 weeks after baseline. The
mean change in FSL for the 10 subjects was 0.044% between Visits 1 and 2, and was
0.229% between Visits 1 and 3. Technicians achieved at least moderate reliability
for all manual measurements except nose protrusion. Filter penetration was
generally less than 0.03%. Geometric mean fit factors were not statistically
different among the three visits. The large variability was observed with
different respirator samples for the same model, between subjects (inter), and
within each subject (intra). Although variability was observed, adequate fit was
maintained for all 10 subjects. Pilot scans collected show subject faces remained
the same over the 4 weeks. The consistent results during the pilot study indicate
that the methods and procedures are appropriate for the 3-year main study. In
addition, this baseline fit change data will be compared with future fit changes
to determine if the changes are meaningful.
PMID- 22074329
TI - Examining the association between emotion regulation difficulties and probable
posttraumatic stress disorder within a sample of African Americans.
AB - This study examined the associations between emotion dysregulation and probable
posttraumatic stress disorder (PTSD) among 180 African American undergraduates
enrolled in a historically black college in the southern United States. Trauma
exposed participants with probable PTSD reported significantly higher levels of
overall emotion dysregulation and the specific dimensions of lack of emotional
acceptance, difficulties engaging in goal-directed behavior when upset,
difficulties controlling impulsive behaviors when distressed, and limited access
to effective emotion regulation strategies than participants without Criterion A
traumatic exposure and those with Criterion A traumatic exposure but no PTSD
(controlling for age and negative affect). Furthermore, results indicated that
participants with Criterion A traumatic exposure but no PTSD were significantly
less likely to report difficulties controlling impulsive behaviors when
distressed and limited access to effective emotion regulation strategies than
participants without Criterion A traumatic exposure (controlling for age and
negative affect). These findings extend extant research on the role of emotion
dysregulation in PTSD, thus providing support for the relevance of emotion
dysregulation to PTSD among African American adults in particular.
PMID- 22074330
TI - The neuropathology, pathophysiology and genetics of multiple system atrophy.
AB - Multiple system atrophy (MSA) is an unrelenting, sporadic, adult-onset,
neurodegenerative disease of unknown aetiology. Its clinically progressive course
is characterized by a variable combination of parkinsonism, cerebellar ataxia
and/or autonomic dysfunction. Neuropathological examination often reveals gross
abnormalities of the striatonigral and/or olivopontocerebellar systems, which
upon microscopic examination are associated with severe neuronal loss, gliosis,
myelin pallor and axonal degeneration. MSA is a member of a diverse group of
neurodegenerative disorders termed alpha-synucleinopathies, due to the presence
of abnormal alpha-synuclein positive cytoplasmic inclusions in oligodendrocytes,
termed glial cytoplasmic inclusions. These are the hallmark neuropathological
lesion of MSA and are thought to play a central role in the pathogenesis of the
disease. In this review, neuropathological features of MSA are described in
detail, along with recent advances in the pathophysiology and genetics of the
disease. Our current knowledge of the expression and accumulation of alpha
synuclein, and efforts to model the disease in vitro and in vivo, are emphasized
in this paper and have helped formulate a working hypothesis for the pathogenesis
of MSA.
PMID- 22074331
TI - Astrocyte and macrophage regulation of YKL-40 expression and cellular response in
neuroinflammation.
AB - Numerous inflammatory conditions are associated with elevated YKL-40 expression
by infiltrating macrophages. Thus, we were surprised to observe minimal
macrophage and abundant astrocyte expression of YKL-40 in neuroinflammatory
conditions. The aims of the current study were to better delineate this
discrepancy, characterize the factors that regulate YKL-40 expression in
macrophages and astrocytes and study whether YKL-40 expression correlates with
cell morphology and/or activation state. In vitro, macrophages expressed high
levels of YKL-40 that was induced by classical activation and inhibited by
alternative activation. Cytokines released from macrophages induced YKL-40
transcription in astrocytes that was accompanied by morphological changes and
altered astrocytic motility. Because coculturing of astrocytes and macrophages
did not reverse this in vitro expression pattern, additional components of the in
vivo central nervous system (CNS) milieu must be required to suppress macrophage
and induce astrocyte expression of YKL-40.
PMID- 22074332
TI - An iterative approach of protein function prediction.
AB - BACKGROUND: Current approaches of predicting protein functions from a protein
protein interaction (PPI) dataset are based on an assumption that the available
functions of the proteins (a.k.a. annotated proteins) will determine the
functions of the proteins whose functions are unknown yet at the moment (a.k.a.
un-annotated proteins). Therefore, the protein function prediction is a mono
directed and one-off procedure, i.e. from annotated proteins to un-annotated
proteins. However, the interactions between proteins are mutual rather than
static and mono-directed, although functions of some proteins are unknown for
some reasons at present. That means when we use the similarity-based approach to
predict functions of un-annotated proteins, the un-annotated proteins, once their
functions are predicted, will affect the similarities between proteins, which in
turn will affect the prediction results. In other words, the function prediction
is a dynamic and mutual procedure. This dynamic feature of protein interactions,
however, was not considered in the existing prediction algorithms. RESULTS: In
this paper, we propose a new prediction approach that predicts protein functions
iteratively. This iterative approach incorporates the dynamic and mutual features
of PPI interactions, as well as the local and global semantic influence of
protein functions, into the prediction. To guarantee predicting functions
iteratively, we propose a new protein similarity from protein functions. We adapt
new evaluation metrics to evaluate the prediction quality of our algorithm and
other similar algorithms. Experiments on real PPI datasets were conducted to
evaluate the effectiveness of the proposed approach in predicting unknown protein
functions. CONCLUSIONS: The iterative approach is more likely to reflect the real
biological nature between proteins when predicting functions. A proper definition
of protein similarity from protein functions is the key to predicting functions
iteratively. The evaluation results demonstrated that in most cases, the
iterative approach outperformed non-iterative ones with higher prediction quality
in terms of prediction precision, recall and F-value.
PMID- 22074334
TI - Introduction to a Virtual Special Issue on calcium signalling in plants.
PMID- 22074333
TI - MicroRNA modulation in complex regional pain syndrome.
AB - BACKGROUND: Aberrant expression of small noncoding RNAs called microRNAs (miRNAs)
is a common feature of several human diseases. The objective of the study was to
identify miRNA modulation in patients with complex regional pain syndrome (CRPS)
a chronic pain condition resulting from dysfunction in the central and/or
peripheral nervous systems. Due to a multitude of inciting pathologies, symptoms
and treatment conditions, the CRPS patient population is very heterogeneous. Our
goal was to identify differentially expressed miRNAs in blood and explore their
utility in patient stratification. METHODS: We profiled miRNAs in whole blood
from 41 patients with CRPS and 20 controls using TaqMan low density array cards.
Since neurogenic inflammation is known to play a significant role in CRPS we
measured inflammatory markers including chemokines, cytokines, and their soluble
receptors in blood from the same individuals. Correlation analyses were performed
for miRNAs, inflammatory markers and other parameters including disease symptoms,
medication, and comorbid conditions. RESULTS: Three different groups emerged from
miRNA profiling. One group was comprised of 60% of CRPS patients and contained no
control subjects. miRNA profiles from the remaining patients were interspersed
among control samples in the other two groups. We identified differential
expression of 18 miRNAs in CRPS patients. Analysis of inflammatory markers showed
that vascular endothelial growth factor (VEGF), interleukin1 receptor antagonist
(IL1Ra) and monocyte chemotactic protein-1 (MCP1) were significantly elevated in
CRPS patients. VEGF and IL1Ra showed significant correlation with the patients
reported pain levels. Analysis of the patients who were clustered according to
their miRNA profile revealed correlations that were not significant in the total
patient population. Correlation analysis of miRNAs detected in blood with
additional parameters identified miRNAs associated with comorbidities such as
headache, thyroid disorder and use of narcotics and antiepileptic drugs.
CONCLUSIONS: miRNA profiles can be useful in patient stratification and have
utility as potential biomarkers for pain. Differentially expressed miRNAs can
provide molecular insights into gene regulation and could lead to new therapeutic
intervention strategies for CRPS.
PMID- 22074335
TI - Wetlands and the global carbon cycle: what might the simulated past tell us about
the future?
PMID- 22074336
TI - Delivering resistance to a major constraint for rain-fed rice production.
PMID- 22074337
TI - Multi-factor global change experiments: what have we learned about terrestrial
carbon storage and exchange?
PMID- 22074338
TI - Mechanistic causes of tree drought mortality: recent results, unresolved
questions and future research needs.
PMID- 22074339
TI - Ectomycorrhizas from a Lower Eocene angiosperm forest.
AB - The development of mycorrhizal associations is considered a key innovation that
enabled vascular plants to extensively colonize terrestrial habitats. Here, we
present the first known fossil ectomycorrhizas from an angiosperm forest. Our
fossils are preserved in a 52 million-yr-old piece of amber from the Tadkeshwar
Lignite Mine of Gujarat State, western India. The amber was produced by
representatives of Dipterocarpaceae in an early tropical broadleaf forest. The
ectomycorrhizas were investigated using light microscopy and field emission
scanning electron microscopy. Dissolving the amber surrounding one of the fossils
allowed ultrastructural analyses and Raman spectroscopy. Approx. 20 unramified,
cruciform and monopodial-pinnate ectomycorrhizas are fossilized adjacent to
rootlets, and different developmental stages of the fossil mycorrhizas are
delicately preserved in the ancient resin. Compounds of melanins were detectable
in the dark hyphae. The mycobiont, Eomelanomyces cenococcoides gen. et spec.
nov., is considered to be an ascomycete; the host is most likely a dipterocarp
representative. An early ectomycorrhizal association may have conferred an
evolutionary advantage on dipterocarps. Our find indicates that ectomycorrhizas
occurred contemporaneously within both gymnosperms (Pinaceae) and angiosperms
(Dipterocarpaceae) by the Lower Eocene.
PMID- 22074340
TI - The rhenium tris(dithiolene) electron transfer series: calibrating covalency.
AB - Four members of the rhenium tris(dithiolene) electron transfer series have been
prepared, [Re(S(2)C(2)R(2))(3)](z) {R = Ph, z = 1+ (1), 0 (2), 1- (3); R = CN, z
= 2- (4)}, with the anions in 3 and 4 structurally characterized. The intraligand
C-S and C-C bond lengths for 3 vs 2 are indicative of ligand reduction
concomitant with an overall distorted trigonal prismatic geometry (Theta = 26.3
degrees cf. 3.8 degrees in 2). The distorted octahedral ReS(6) polyhedron in 4
(Theta = 38.3 degrees ) indicates reduction of the metal to a Re(IV) d(3) central
ion. This series has been probed by sulfur K-edge X-ray absorption spectroscopy
(XAS), and the electronic structures are unambiguously defined as follows:
[Re(V)(L(3)(4-))](1+) (S = 0) for the monocation in 1; [Re(V)(L(3)(5-*))](0) (S =
(1)/(2)) for neutral 2; [Re(V)(L(3)(6-))](1-) (S = 0) for the monoanion in 3; and
[Re(IV)(L(3)(6-))](2-) (S = (1)/(2)) for the dianion in 4. The sulfur 3p
character in the frontier orbitals-the covalency-is estimated by two different
approaches. Method A utilizes the radial dipole integral (I(s)) derived from the
S 1s -> 4p transition, whereas method B, involves time-dependent density
functional theoretical (TD-DFT) calculation of the pre-edge transitions and
calibrated to the intensity in [Re(pdt)(3)] (pdt(2-) = 1,2-diphenyl-1,2
dithiolate). The two estimates are contrasted for the rhenium series and extended
to the [V(pdt)(3)](0/1-), and [Mo(mdt)(3)](0/1-/2-) (mdt(2-) = 1,2-dimethyl-1,2
dithiolate) series, ultimately providing a refined description of the contested
electronic structure of neutral molybdenum (and tungsten) tris(dithiolenes)
compounds.
PMID- 22074342
TI - Order-disorder transition in supramolecular polymers.
AB - In supramolecular polymers, directional interactions control the constituting
units connectivity, but dispersion forces may conspire to make complex
organizations. Here we report on the long-range order and order-disorder
transition (ODT) of main-chain supramolecular polymers based on poly(propylene
oxide) (PPO) spacers functionalized on both ends with thymine. Below the ODT
temperature (T(ODT)), these compounds are semicrystalline with a lamellar
structure, showing nanophase separation between crystallized thymine planes and
amorphous PPO layers. Above T(ODT), they are amorphous and homogeneous even
though their X-ray scattering spectrum reveals a peak. This peak is due to
correlation hole effect resulting from contrast between end-functional groups and
spacer. Macroscopically, the transition is accompanied by dramatic flow and
mechanical properties changes.
PMID- 22074341
TI - Urban and rural variation in clustering of metabolic syndrome components in the
Thai population: results from the fourth National Health Examination Survey 2009.
AB - BACKGROUND: Information on the distribution of Metabolic syndrome (MetS) and its
combinations by urban/rural areas in lower-middle income countries has been
limited. It is not clear how the various combinations of MetS components varied
by urban/rural population and if particular combinations of MetS are more common.
This study aimed to estimate the prevalence of MetS and combinations of MetS
components according to sex and urban/rural areas from a nationally
representative sample of Thai adults. METHODS: Data from the fourth National
Health Examination Survey of 19,256 Thai adults aged 20 years and over were
analyzed. MetS was defined using the harmonized criteria of six international
expert groups with Asian-specific cut-point for waist circumference. RESULTS: The
prevalence of MetS was 23.2% among adults aged >= 20 years (19.5% in men and
26.8% in women). Among men, the prevalence of MetS in urban was higher than those
in rural areas (23.1% vs 17.9%, P < 0.05), but among women, the prevalence was
higher in rural areas (27.9% vs 24.5%, P < 0.05). Overall, an individual
component of low high density lipoprotein (HDL) and hypertriglyceridemia were
more common in rural areas, while obesity, high blood pressure and hyperglycemia
were more common in urban areas. The most common combination of MetS components
in men was the clustering of low HDL, hypertriglyceridemia, and high blood
pressure (urban: 3.4% vs. rural: 3.9%, adjusted OR 0.9, 95%CI 0.7, 1.1). Among
women, the most common combination was the clustering of obesity, low HDL, and
hypertriglyceridemia (urban: 3.9% vs rural: 5.9%, adjusted OR 0.8, 95%CI 0.6,
0.9), followed by the clustering of these three components with high blood
pressure (urban: 3.1% vs. rural 4.5%, adjusted OR 0.8, 95%CI 0.7, 0.9).
CONCLUSION: Metabolic syndrome affects both urban and rural population with
different pattern of MetS combinations. Dyslipidemia and obesity were the most
common components among women in rural areas, hence, interventions to prevent and
control these factors should be strengthened.
PMID- 22074343
TI - The efficacy and safety of antibiotic combination therapy for infections caused
by Gram-positive and Gram-negative organisms.
AB - INTRODUCTION: Antibiotic combination therapy is often used to improve clinical
efficacy in patients where a given therapy is thought to have limitations when
used alone. However, the use of any antibacterial and perhaps more so with
combination therapy comes with inherent risks. Herein the authors have evaluated
both the risks and the benefits associated with the use of combination therapy.
AREAS COVERED: Articles relating to the study of combination therapy were
included by multiple Medline searches using relevant search terminology.
Resultant publications included study by both in vitro and in vivo methodologies
with clinical data coming from both observational and randomized studies. EXPERT
OPINION: The majority of the data evaluating combination therapy were determined
using in vitro techniques or animal models of infection. Through analyses of this
information, coupled with the available clinical data, albeit limited, it is
possible to identify a number of clinical situations where combination therapy
can be supported. When used appropriately at optimal doses, combination therapy
may offer an excellent opportunity to maximize clinical outcomes, particularly in
the face of antibacterial resistance. Therefore, clinicians should evaluate
carefully the risks and the potential benefits before adding additional
antibiotics to standard single-drug therapies.
PMID- 22074344
TI - Evaluation of an ambient noise insensitive hum-based powered wheelchair
controller.
AB - PURPOSE: A recently-developed assistive technology nicknamed "the Hummer" was
investigated as a potential powered wheelchair controller for individuals with
severe and multiple disabilities. System performance in a noisy environment was
compared to that obtained with a commercial automatic speech recognition (ASR)
system. METHOD: A bi-hum driving protocol was developed to allow the Hummer to
serve as a powered wheelchair controller. Participants performed several virtual
wheelchair driving tasks of increasing difficulty using the two systems. Custom
written software recorded task execution time, number of commands issued and wall
collisions, speed, and trajectory. RESULTS: The bi-hum protocol was shown to be
non-intuitive and required user training. Overall, the Hummer achieved lower
performance relative to ASR. Once users became accustomed to the protocol, the
difference in performance between the two systems became insignificant,
particularly for the higher-difficulty task. CONCLUSIONS: The Hummer provides a
promising new alternative for powered wheelchair control in everyday environments
for individuals with severe and multiple disabilities who are able to hum,
particularly for those with severe dysarthria which precludes ASR usage. A more
intuitive driving protocol is still needed to reduce user frustration and
mitigate user-generated errors; recommendations on how this can be achieved are
given herein. [Box: see text].
PMID- 22074345
TI - Nitrogen metabolism in Sinorhizobium meliloti-alfalfa symbiosis: dissecting the
role of GlnD and PII proteins.
AB - To contribute nitrogen for plant growth and establish an effective symbiosis with
alfalfa, Sinorhizobium meliloti Rm1021 needs normal operation of the GlnD
protein, a bifunctional uridylyltransferase/uridylyl-cleavage enzyme that
measures cellular nitrogen status and initiates a nitrogen stress response (NSR).
However, the only two known targets of GlnD modification in Rm1021, the PII
proteins GlnB and GlnK, are not necessary for effectiveness. We introduced a Tyr
>Phe variant of GlnB, which cannot be uridylylated, into a glnBglnK background to
approximate the expected state in a glnD-sm2 mutant, and this strain was
effective. These results suggested that unmodified PII does not inhibit
effectiveness. We also generated a glnBglnK-glnD triple mutant and used this and
other mutants to dissect the role of these proteins in regulating the free-living
NSR and nitrogen metabolism in symbiosis. The glnD-sm2 mutation was dominant to
the glnBglnK mutations in symbiosis but recessive in some free-living phenotypes.
The data show that the GlnD protein has a role in free-living growth and in
symbiotic nitrogen exchange that does not depend on the PII proteins, suggesting
that S. meliloti GlnD can communicate with the cell by alternate mechanisms.
PMID- 22074346
TI - MGOS: development of a community annotation database for Magnaporthe oryzae.
AB - Magnaporthe oryzae causes rice blast disease, which is the most serious disease
of cultivated rice worldwide. We previously developed the Magnaporthe grisea
Orzya sativa (MGOS) database as a repository for the M. oryzae and rice genome
sequences together with a comprehensive set of functional interaction data
generated by a major consortium of U.S. researchers. The MGOS database has now
undergone a major redesign to include data from the international blast research
community, accessible with a new intuitive, easy-to-use interface. Registered
database users can manually annotate gene sequences and features as well as add
mutant data and literature on individual gene pages. Over 900 genes have been
manually curated based on various biological databases and the scientific
literature. Gene names and descriptions, gene ontology annotations, published and
unpublished information on mutants and their phenotypes, responses in diverse
microarray analyses, and related literature have been incorporated. Thus far, 362
M. oryzae genes have associated information on mutants. MGOS is now poised to
become a one-stop repository for all structural and functional data available on
all genes of this critically important rice pathogen.
PMID- 22074347
TI - An avirulent tomato powdery mildew isolate induces localized acquired resistance
to a virulent isolate in a spatiotemporal manner.
AB - Hypersensitive response (HR) of plant cells to the attack of pathogens induces
resistance to subsequent attacks by a broad spectrum of pathogens, leading to
acquired resistance. In this study, we characterized the localized acquired
resistance (LAR) in the epidermal cells of tomato. First, we report the discovery
of a new isolate of tomato powdery mildew occurring in Japan, KTP-02, which has a
different virulence spectrum compared with the previously-characterized isolate,
KTP-01. Using these two isolates, we investigated LAR phenomenon in the epidermal
cells of tomato plants carrying the Ol-4 resistance gene. Ol-4 encodes a
nucleotide-binding site leucine-rich repeat protein that triggers HR in the
epidermal cells in response to KTP-01 but not KTP-02. We mounted a single
conidium of KTP-01 on a single tomato epidermal cell and then monitored the
progress of HR in that cell by live microscopy. Once HR occurred in that cell, we
mounted a single conidium of KTP-02 on cells adjacent to or at one-cell distance
from the first challenged cells, in different time points. With a digital
microscope, we consecutively tracked the progress of HR (i.e., induction of LAR)
in those cells. Results showed that, in tomato plants carrying the Ol-4 gene, HR
to KTP-01 results in induction of HR in the adjacent epidermal cells challenged
with KTP-02. Our results show that LAR can be triggered only in adjacent cell
layer and lasts 24 to 48 h after HR occurred in the first cell. We did not
observe the reverse phenomenon, induced susceptibility to KTP-01 by KTP-02.
Altogether, we report an advanced technique for investigating LAR phenomena, and
provide data on spatiotemporal characteristics of LAR in tomato epidermal cells.
PMID- 22074348
TI - Development and application of a multilocus sequence analysis method for the
identification of genotypes within genus Bradyrhizobium and for establishing
nodule occupancy of soybean (Glycine max L. Merr).
AB - A multilocus sequence typing (MLST) method based on allelic variation of seven
chromosomal loci was developed for characterizing genotypes (GT) within the genus
Bradyrhizobium. With the method, 29 distinct multilocus GT were identified among
190 culture collection soybean strains. The occupancy of 347 nodules taken from
uninoculated field-grown soybean plants also was determined. The bacteroid GT
were either the same as or were closely related to GT identified among strains in
the culture collection. Double-nodule occupancy estimates of 2.9% were much lower
than values published based on serology. Of the 347 nodules examined, 337 and 10
were occupied by Bradyrhizobium japonicum and B. elkanii, respectively. The
collection strains within the species B. japonicum and B. elkaniialso were
compared with Bradyrhizobium cultures from other legumes. In many cases, the
observed GT varied more according to their geographic origin than by their trap
hosts of isolation. In other cases, there were no apparent relationships with
either the legume or geographic source. The MLST method that was developed should
be a useful tool in determining the influence of geographic location,
temperature, season, soil type, and host plant cultivar on the distribution of GT
of Bradyrhizobium spp.
PMID- 22074349
TI - Socioeconomic-related health inequality in South Africa: evidence from General
Household Surveys.
AB - BACKGROUND: Inequalities in health have received considerable attention from
health scientists and economists. In South Africa, inequalities exist in socio
economic status (SES) and in access to basic social services and are exacerbated
by inequalities in health. While health systems, together with the wider social
determinants of health, are relevant in seeking to improve health status and
health inequalities, those that need good quality health care too seldom get it.
Studies on the burden of ill-health in South Africa have shown consistently that,
relative to the wealthy, the poor suffer more from more disease and violence.
However, these studies are based on selected disease conditions and only consider
a single point in time. Trend analyses have yet to be produced. This paper
specifically investigates socio-economic related health inequality in South
Africa and seeks to understand how the burden of self-reported illness and
disability is distributed and whether this has changed since the early 2000s.
METHODS: Several rounds (2002, 2004, 2006, and 2008) of the South African General
Household Surveys (GHS) data were used, with standardized and normalized self
reported illness and disability concentration indices to assess the distribution
of illness and disability across socio-economic groups. Composite indices of
socio-economic status were created using a set of common assets and household
characteristics. RESULTS: This study demonstrates the existence of socio-economic
gradients in self-reported ill-health in South Africa. The burden of the major
categories of ill-health and disability is greater among lower than higher socio
economic groups. Even non-communicable diseases, which are frequently seen as
diseases of affluence, are increasingly being reported by lower socio-economic
groups. For instance, the concentration index of flu (and diabetes) declined from
about 0.17 (0.10) in 2002 to 0.05 (0.01) in 2008. These results have also been
confirmed internationally. CONCLUSION: The current burden and distribution of ill
health indicates how critical it is for the South African health system to strive
for access to and use of health services that is in line with need for such care.
Concerted government efforts, within both the health sector and other social and
economic sectors are therefore needed to address the significant health
inequalities in South Africa.
PMID- 22074350
TI - The role of disease surveillance in achieving IHR compliance by 2012.
AB - The World Health Organization's revised International Health Regulations (IHR
(2005)) call for member state compliance by mid-2012. Variation in disease
surveillance and core public health capacities will affect each member state's
ability to meet this deadline. We report on topics presented at the preconference
workshop, "The Interaction of Disease Surveillance and the International Health
Regulations," held at the 2010 International Society for Disease Surveillance
conference in Park City, Utah. Presenters were from the Pan American Health
Organization (PAHO), the U.S. Department of Health and Human Services (HHS), the
Centers for Disease Control and Prevention (CDC), the Armed Forces Health
Surveillance Center, U.S. Naval Research Unit Six, the Philippines' National
Epidemiologic Center, and the French armed forces. The topics addressed were: an
overview of the revised IHRs; disease surveillance systems implemented in Peru,
the Philippines, and by the French armed forces; the capacity building efforts of
the CDC; partnerships and contributions to IHR compliance from HHS; and the
application of the IHRs to special populations. Results from the meeting
evaluation indicate that many participants found the information useful in better
understanding current efforts of the U.S. government and international
organizations, areas for collaboration, and how the IHRs apply to their
countries' public health systems. Topics to address at future workshops include
progress and challenges to IHR implementation across all member states and
additional examples of how disease surveillance supports the IHRs in resource
constrained countries. The preconference workshop provided the opportunity to
convene public health experts from all regions of the world. Stronger
collaborations and support to better detect and respond to public health events
through building sustainable disease surveillance systems will not only help
member states to meet IHR compliance by 2012, but will also improve pandemic
preparedness and global health security.
PMID- 22074351
TI - The role of targeted chemical proteomics in pharmacology.
AB - Traditionally, proteomics is the high-throughput characterization of the global
complement of proteins in a biological system using cutting-edge technologies
(robotics and mass spectrometry) and bioinformatics tools (Internet-based search
engines and databases). As the field of proteomics has matured, a diverse range
of strategies have evolved to answer specific problems. Chemical proteomics is
one such direction that provides the means to enrich and detect less abundant
proteins (the 'hidden' proteome) from complex mixtures of wide dynamic range (the
'deep' proteome). In pharmacology, chemical proteomics has been utilized to
determine the specificity of drugs and their analogues, for anticipated known
targets, only to discover other proteins that bind and could account for side
effects observed in preclinical and clinical trials. As a consequence, chemical
proteomics provides a valuable accessory in refinement of second- and third
generation drug design for treatment of many diseases. However, determining
definitive affinity capture of proteins by a drug immobilized on soft gel
chromatography matrices has highlighted some of the challenges that remain to be
addressed. Examples of the different strategies that have emerged using well
established drugs against pharmaceutically important enzymes, such as protein
kinases, metalloproteases, PDEs, cytochrome P450s, etc., indicate the potential
opportunity to employ chemical proteomics as an early-stage screening approach in
the identification of new targets.
PMID- 22074353
TI - 'New to Forensic'; implementing a problem-based introductory educational
programme for forensic practitioners in Scotland.
AB - The New to Forensic educational programme has been developed for use across all
forensic services in Scotland. It is designed to meet the needs of all staff who
are both new to and already working within forensic mental health services. Its
objectives are targeted to both clinical and non-clinical staff. The programme
follows the patient's journey through the mental health and criminal justice
systems. It covers mental disorder, legislation, psychiatric defences, assessment
and treatment, risk of harm to others, services, attitudes and boundaries,
multidisciplinary working, users and carers, community, learning disability, and
prison services. It is self-directed and practice based, and uses a problem-based
approach. Over a 3-year period, trainers and mentors have been trained to deliver
the programme by members of the School of Forensic Mental Health
http://www.forensicnetwork.scot.nhs.uk/ and all services have adopted the
programme as a core educational initiative. Initial findings from the programme
evaluation indicate a significant improvement in learning following engagement in
the initiative.
PMID- 22074352
TI - Toward in vivo imaging of heart disease using a radiolabeled single-chain Fv
fragment targeting tenascin-C.
AB - Antibodies specific to a particular target molecule can be used as analytical
reagents, not only for in vitro immunoassays but also for noninvasive in vivo
imaging, e.g., immunoscintigraphies. In the latter case, it is important to
reduce the size of antibody molecules in order to achieve suitable in vivo
"diagnostic kinetics" and generate higher-resolution images. For these purposes,
single-chain Fv fragments (scFvs; M(r) < 30 kDa) have greater potential than
intact immunoglobulins (~150 kDa) or Fab (or Fab') fragments (~50 kDa). Our
recent observation of enhanced tenascin-C (Tnc) expression at sites of cardiac
repair after myocardial infarction prompted us to develop a radiolabeled scFv
against Tnc for in vivo imaging of heart disease. We cloned the genes encoding
the heavy and light chain variable domains of the mouse anti-Tnc monoclonal
antibody 4F10, and combined them to create a single gene. The resulting scFv-4F10
gene was expressed in E. coli cells to produce soluble scFv proteins. scFv-4F10
has an affinity for Tnc (K(a) = 3.5 * 10(7) M(-1)), similar to the Fab fragment
of antibody 4F10 (K(a) = 1.3 * 10(7) M(-1)) and high enough to be of practical
use. A cysteine residue was then added to the C-terminus to achieve site-specific
(111)In labeling via a chelating group. The resulting (111)In-labeled scFv was
administered to a rat model of acute myocardial infarction. Biodistribution and
quantitative autoradiographic studies indicated higher uptake of the
radioactivity at the infarcted myocardium than the noninfarcted one. Single
photon emission computed tomography (SPECT) provided in vivo cardiac images that
coincided with the ex vivo observations. Our results will promote advances in
diagnostic strategies for heart disease.
PMID- 22074354
TI - Heel-ball (HB) index: sexual dimorphism of a new index from foot dimensions.
AB - The present research is aimed to introduce Heel-ball (HB) index from foot
dimensions and determine whether this index exhibits sexual dimorphism. The study
was conducted on a sample of 303 North Indian individuals (154 men, and 149
women) aged between 13 and 18 years. The stature, body weight, foot breadth at
the ball (BBAL), and foot breadth at heel (BHEL) were measured. The HB index was
derived by the formula BHEL * 100/BBAL. Although the mean HB index was larger in
women in both feet it showed statistically significant sex differences in the
right foot only. The study shows that while the foot dimensions show a positive
correlation with stature and weight, the HB index is independent of the stature
and weight of an individual. This novel index (HB index) may be utilized in sex
determination when a part of the foot is brought for medico-legal investigation.
PMID- 22074355
TI - Posture influences patient cough rate, sedative requirement and comfort during
bronchoscopy: An observational cohort study.
AB - OBJECTIVES: To investigate differences between semi-recumbent and supine postures
in terms of cough rate, oxygen desaturation, sedative use, and patient comfort
during the initial phase of bronchoscopy. METHODS: Consecutive bronchoscopy
patients (n = 69) participated in this observational cohort study. Posture was
determined by the bronchoscopist's usual practice. Patient demographics,
spirometry, pulse, and SpO2 were recorded. The initial phase was defined as the
time from bronchoscopy insertion to visualisation of both distal main bronchi.
Cough rate, peak pulse, nadir SpO2, oxygen supplementation, and sedative use
during the initial phase were recorded. A post-procedure questionnaire was
administered to the patient and the attending nurse. RESULTS: 36 patients had
bronchoscopy in the semi-recumbent posture, 33 in the supine posture. 3 of 5
bronchoscopists performed in both postures. There were no differences in baseline
parameters between the groups. The semi-recumbent posture resulted in
significantly less cough (mean (SD) 3.6 (2.3) vs. 6.1 (4.5) coughs/min, p =
0.007) and less fentanyl use (70 (29) vs. 88 (28) mcg, p = 0.011) in the initial
phase. There were no significant differences in the nadir SpO2, fall in SpO2,
oxygen supplementation, or increase in pulse rate between the groups. On 100 mm
visual analogue scale, nurse perception of patient discomfort was lower in the
semi-recumbent position (23 (21) vs. 39 (28) mm, p = 0.01), and there was a trend
towards less patient perceived cough in the semi-recumbent group (28 (25) vs. 40
(28) mm, p = 0.06). CONCLUSIONS: Bronchoscopy performed in the semi-recumbent
posture results in less cough and sedative requirement, and may improve patient
comfort.
PMID- 22074356
TI - Duane retraction syndrome: series of 441 cases.
AB - PURPOSE: To determine the demographic and clinical profile of various subtypes of
Duane retraction syndrome (DRS). METHODS: Retrospective case series of 441
patients. RESULTS: Of the total 441 patients, 389 (88.2%) and 52 (11.8%) patients
had unilateral and bilateral involvement, respectively. In both unilateral and
bilateral cases, type I was the most common subtype, followed by types III and
II. Mean age of presentation of type I DRS was significantly less (13.3 years)
compared with types II (23 years) and III (21.9 years) (P < .05). Unilateral
disease was significantly more common in females (57. 6%, P = .006), whereas
there was no gender predilection in bilateral cases (P = .77). Type 1 DRS was
significantly more common among females (57.96%, P = .003) compared with males,
whereas there was no such gender predilection in types II and III. The left eye
was more commonly involved in patients with types I and III (P < .05), whereas in
type II there was no such predilection for any eye involvement. Esotropia was
more common in patients with bilateral disease (53.8%) and exotropia was
predominant ocular deviation in patients with types II and III (70% and 66.67%, P
= .03). There was no difference in the type of deviation in patients with type I
(P > .05). Upshoots and downshoots were more common in unilateral disease and
types I and III compared with type II. CONCLUSION: Unilateral and bilateral DRS
show considerable differences in gender distribution, associated ocular
deviation, overshoots, and ocular and systemic associations.
PMID- 22074357
TI - Topical ophthalmic moxifloxacin elicits minimal or no selection of
fluoroquinolone resistance among bacteria isolated from the skin, nose, and
throat.
AB - PURPOSE: To investigate whether moxifloxacin therapy of bacterial conjunctivitis
in children changes the moxifloxacin susceptibility of bacterial isolates in
eyes, cheeks below eyes, nares, and throat. METHODS: Patients (age: 1 to 12
years, n = 105) with bacterial conjunctivitis were treated topically with
moxifloxacin three times a day for 7 days. Gender- and age-matched subjects with
normal eyes (age: 1 to 12 years, n = 57) served as the control group.
Microbiological specimens were collected on days 1 (prior to therapy), 8 (1 day
after end of therapy), and 42 (follow-up). Specimens were processed to recover
total bacteria and bacteria that grew on fluoroquinolone-selective media.
Bacteria were identified to the species level and susceptibility to moxifloxacin
and selected other antibiotics determined. RESULTS: The primary pathogens
recovered from the infected eyes on day 1 before therapy were Haemophilus
influenzae, Streptococcus pneumoniae, and Staphylococcus aureus. None of the pre
therapy isolates of H. influenzae and S. pneumoniae were resistant to
moxifloxacin. Isolates of these two pathogenic species were also recovered
primarily from the nose and eyes. Moxifloxacin-resistant S. aureus isolates
(minimum inhibitory concentration 1.0 MUg/mL or greater) were recovered from the
nose and throat prior to topical dosing on day 1. However, there was no change in
the frequency of moxifloxacin-resistant isolates of S. aureus following treatment
with moxifloxacin. CONCLUSION: Treatment of conjunctivitis with topical
ophthalmic moxifloxacin did not select for moxifloxacin resistance in H.
influenzae, S. pneumoniae, or S. aureus in the eye or distal body sites.
PMID- 22074358
TI - Reading skills in children and adults with albinism: the role of visual
impairment.
AB - PURPOSE: To evaluate whether visual impairment in albinism contributes
significantly to the acquisition of normal reading skills. METHODS: The authors
administered standardized reading tests to 41 children and 18 adults with
albinism. The Young Children's Achievement Test was used for children between 4
and 6 years old and the Woodcock-Johnson III was used for children 7 years and
older and adults. Parents of children and adult subjects also completed a
questionnaire to document developmental, academic, and/or work experiences. The
Spearman test was used to evaluate the relationship between binocular best
corrected visual acuity (BCVA) and reading test results. RESULTS: Standardized
reading tests in both children and adults with albinism showed a normal
distribution of scores. BCVA did not appear to play a significant role in the
development of normal reading ability in these individuals who were visually
impaired except for a mild correlation of decreased reading fluency on the
Woodcock-Johnson III with decreased BCVA (r = 0.287, P = .046). Many young
children with albinism had superior reading skills despite having a BCVA of
20/200 or worse (legal blindness). CONCLUSION: This study of cognitively normal
children and adults with albinism demonstrates that impaired vision during
childhood does not by itself significantly impede a child's ability to acquire
normal reading skills. However, the lower reading fluency that occurs in the more
visually impaired individuals suggests they would benefit, both in the school
system and workplace, with an accommodation involving more time to complete
reading tasks.
PMID- 22074359
TI - Smooth-pursuit changes after the tenotomy and reattachment procedure for
infantile nystagmus syndrome: model predictions and patient data.
AB - PURPOSE: Patients with infantile nystagmus syndrome (INS) often cannot quickly
locate new visual targets or track moving objects. Dynamic demands on visual
function are not measured by static measures (eg, visual acuity); they require
time-sensitive measures. The authors investigated how dynamic properties of INS
(pursuit-target acquisition times) were affected by the tenotomy and reattachment
(T&R) procedure in both patients with INS and behavioral ocular motor system
model predictions. METHODS: Responses of 3 patients with different INS waveforms
were compared before and after T&R to test the model's predictions. A high-speed
digital video system was used to take eye-movement data. Human responses to
target-ramp stimuli were analyzed. RESULTS: T&R did not improve the smooth
pursuit responses of patients with INS; pursuit-target acquisition times did not
show marked improvements. However, in one case, T&R allowed the patient to pursue
targets "faster" in a specific direction. CONCLUSION: T&R can improve peak visual
acuity, broaden the high-acuity gaze-angle range, and reduce target acquisition
times to static targets but not moving targets. When the target moves
simultaneously with an ongoing saccade in the nystagmus cycle, the steady-state
errors and elongated target acquisition times observed might be part of the
intrinsic characteristics of normal pursuit responses.
PMID- 22074360
TI - Mesenchymal stem cells prime proliferating adult neural progenitors toward an
oligodendrocyte fate.
AB - Oligodendrogenesis encompasses lineage specification of neural progenitor cells
(NPCs) and differentiation into oligodendrocytes that ultimately culminates in
the myelination of central nervous system axons. Each individual process must be
tightly regulated by extracellular and cell-intrinsic mechanisms, whose
identities are barely understood. We had previously demonstrated that soluble
factors derived from rat mesenchymal stem cells (MSCs) induce oligodendrogenesis
in differentiating adult NPCs under differentiation conditions. However, since
lineage specification predominantly occurs in proliferating progenitors and not
necessarily during early differentiation, we investigated if soluble factors
derived from MSCs are able to prime NPCs to the oligodendroglial fate already
under proliferation conditions. Therefore, we analyzed the effects of a 3 weeks
stimulation of adult NPCs under proliferation conditions with conditioned media
derived from MSCs (MSC-CM) in terms of cell morphology, proliferation, cell
specific marker expression profile, response to growth factor withdrawal (GFW),
cell-lineage restriction, and expression of glial fate determinants. While MSC-CM
did not affect the proliferation rate of NPCs, it boosted the formation of 2', 3'
cyclic-nucleotide-3'-phosphodieesterase (CNPase)- and myelin basic protein
expressing oligodendrocytes after GFW, even when cells were exposed to an
astrogenic milieu. Moreover, it reinforced the proper development of
oligodendrocytes, since it ensured a sustained expression of the functional
marker CNPase. Finally, the presence of MSC-CM reduced the anti-oligodendrogenic
determinant Id2 in proliferating NPCs, thus increasing the relative proportion of
the pro-oligodendrogenic factor Olig2 expression. In summary, MSCs prime
proliferating progenitors and, thus, reinforce cell fate choice and accelerate
differentiation toward the oligodendrocyte lineage. The present findings
underscore the potential use of MSCs in cell therapies for remyelination such as
in multiple sclerosis and spinal cord injury. Moreover, they urge the
identification of the oligodendrogenic activity(ies) derived from MSCs to develop
novel molecular therapies for demyelinating diseases.
PMID- 22074361
TI - Animal fibre: the forgotten nutrient in strict carnivores? First insights in the
cheetah.
AB - As wild felids are obligate carnivores, it is likely that poorly enzymatically
digestible animal tissues determine hindgut fermentation, instead of plant fibre.
Therefore, faecal concentrations of short-chain fatty acids (SCFA, including
branched-chain fatty acids, BCFA), indole and phenol were evaluated in 14 captive
cheetahs, fed two different diets differing in proportion of poorly enzymatically
digestible animal tissue. Using a cross-over design, the cheetahs were fed
exclusively whole rabbit or supplemented beef for 1 month each. Feeding whole
rabbit decreased faecal propionic (p < 0.001) and butyric (p = 0.013) acid
concentrations, yet total SCFA was unaltered (p = 0.146). Also, a remarkably
higher acetic acid to propionic acid ratio (p = 0.013) was present when fed whole
rabbit. Total BCFA (p = 0.011) and putrefactive indole (p = 0.004) and phenol (p
= 0.002) were lower when fed whole rabbit. Additionally, serum indoxyl sulphate,
a toxic metabolite of indole, was analysed and showed a quadratic decrease (p =
0.050) when fed whole rabbit. The divergent SCFA ratios and the decrease in
putrefaction when fed whole rabbit could be caused by the presence of undigested
tissue, such as skin, bone and cartilage, that might have fibre-like functions.
The concept of animal fibre is an unexplored area of interest relevant to
gastrointestinal health of captive cheetahs and likely other felids.
PMID- 22074362
TI - A novel actin-microtubule cross-linking kinesin, NtKCH, functions in cell
expansion and division.
AB - * Kinesins with a calponin homology domain (KCHs) have been identified recently
as a plant-specific subgroup of the kinesin-14 family and are suspected to act as
microtubule-actin filament cross-linkers. The cellular function, however, has
remained elusive. * In order to address the function of KCHs, we isolated NtKCH,
a novel KCH homologue from tobacco BY-2 cells. Following synchronization, NtKCH
transcripts were shown to be abundant during mitosis, whereas, during interphase,
expression was low. * Using fluorescent-tagged cell lines and immunolabelling
techniques, the localization of tobacco KCH was found to differ depending on the
cell cycle. During interphase, NtKCH mainly associated with cortical
microtubules, whereas a subfraction also co-localized with perinuclear actin
cables. In dividing cells, NtKCH accumulated at the pre-prophase band and at the
phragmoplast. However, it remained absent from spindle microtubules, but,
instead, concentrated at two agglomerations in proximity to the two cell poles. *
This work develops a detailed model for the dual localization and function of
NtKCH during cell division vs cell expansion. This model implies two dynamic
states of KCHs that differ with regard to actin interaction. This allows the
modulation of force generation by KCH in a cell cycle-dependent capture
mechanism.
PMID- 22074363
TI - Light-induced copper(II) coordination by a bicyclic tetraaza chelator through a
ligand-to-metal charge-transfer reaction.
AB - To enable utilization of the broad potential of copper isotopes in nuclear
medicine, rapid and robust chelation of the copper is required. Bowl adamanzanes
(bicyclic tetraaza ligands) can form kinetically stable copper complexes, but
they are usually formed at low rates unless high pH values and high temperatures
are applied. We have investigated the effects of the variation in the pH,
different anions, and UV irradiation on the chelation rate. UV spectra of
mixtures of Cu(2+) and [2(4).3(1)]adz in water show the existence of a long-lived
two-coordinated copper(II) intermediate (only counting coordinated amine groups)
at pH above 6. These findings are supported by pH titrations of mixtures of
Cu(2+) and [2(4).3(1)]adz in water. Irradiation of this complex in the ligand-to
metal charge-transfer (LMCT) band by a diode-array spectrophotometer leads to
photodeprotonation and subsequently to formation of the four-coordinated
copper(II) complex at a rate up to 7800-fold higher at 25 degrees C than in the
dark. Anions in the solution were found to have three major effects: competitive
inhibition due to Cu(II) binding anions, inhibition of the photoinduced
transchelation from UV-absorbing anions, and photoredox inhibition from acido
ligands capable of acting as electron donors in LMCT reactions. Dissolved O(2)
was also found to result in photoredox inhibition.
PMID- 22074364
TI - Myoendothelial contacts, gap junctions, and microdomains: anatomical links to
function?
AB - In several species and in many vascular beds, ultrastructural studies describe
close contact sites between the endothelium and smooth muscle of <~20nm. Such
sites are thought to facilitate the local action of signaling molecules and/or
the passage of current, as metabolic and electrical coupling conduits between the
arterial endothelium and smooth muscle. These sites have the potential for
bidirectional communication between the endothelium and smooth muscle, as a key
pathway for coordinating vascular function. The aim of this brief review is to
summarize the literature on the ultrastructural anatomy and distribution of key
components of MECC sites in arteries. In addition to their traditional role of
facilitating electrical coupling between the two cell layers, data on the role of
MECC sites in arteries, as signaling microdomains involving a spatial
localization of channels, receptors and calcium stores are highlighted. Diversity
in the density and specific characteristics of MECC sites as signaling
microdomains suggests considerable potential for functional diversity within and
between arteries in health and disease.
PMID- 22074365
TI - Hormonal response to lipid and carbohydrate meals during the acute postprandial
period.
AB - BACKGROUND: Optimizing the hormonal environment during the postprandial period in
favor of increased anabolism is of interest to many active individuals. Data are
conflicting regarding the acute hormonal response to high fat and high
carbohydrate feedings. Moreover, to our knowledge, no studies have compared the
acute hormonal response to ingestion of lipid and carbohydrate meals of different
size. METHODS: We compared the hormonal response to lipid and carbohydrate meals
of different caloric content during the acute postprandial period. Nine healthy
men (22 +/- 2 years) consumed in a random order, cross-over design one of four
meals/beverages during the morning hours in a rested and fasted state: dextrose
at 75 g (300 kcals), dextrose at 150 g (600 kcals), lipid at 33 g (300 kcals),
lipid at 66 g (600 kcals). Blood samples were collected Pre meal, and at 0.5 hr,
1 hr, 2 hr, and 3 hr post meal. Samples were assayed for testosterone, cortisol,
and insulin using ELISA techniques. Area under the curve (AUC) was calculated for
each variable, and a 4 * 5 ANOVA was used to further analyze data. RESULTS: A
meal * time effect (p = 0.0003) was noted for insulin, with values highest for
the dextrose meals at the 0.5 hr and 1 hr times, and relatively unaffected by the
lipid meals. No interaction (p = 0.98) or meal (p = 0.39) effect was noted for
testosterone, nor was an interaction (p = 0.99) or meal (p = 0.65) effect noted
for cortisol. However, a time effect was noted for both testosterone (p = 0.04)
and cortisol (p < 0.0001), with values decreasing during the postprandial period.
An AUC effect was noted for insulin (p = 0.001), with values higher for the
dextrose meals compared to the lipid meals (p < 0.05). No AUC effect was noted
for testosterone (p = 0.85) or cortisol (p = 0.84). CONCLUSIONS: These data
indicate that 1) little difference is noted in serum testosterone or cortisol
during the acute postprandial period when healthy men consume lipid and dextrose
meals of different size; 2) Both testosterone and cortisol experience a drop
during the acute postprandial period, which is similar to what is expected based
on the normal diurnal variation--feeding with lipid or dextrose meals does not
appear to alter this pattern; 3) dextrose meals of either 75 g or 150 g result in
a significant increase in serum insulin, in particular at 0.5 hr and 1 hr post
ingestion; 4) lipid meals have little impact on serum insulin.
PMID- 22074366
TI - Etanercept: efficacy and safety for approved indications.
AB - INTRODUCTION: Etanercept is a tumor necrosis factor alpha (TNF-alpha) inhibitor,
which is approved for the treatment of immune-mediated inflammatory conditions
including rheumatoid arthritis (RA), juvenile idiopathic arthritis (JIA),
psoriatic arthritis (PsA), ankylosing spondylitis (AS) and psoriasis (PsO). AREAS
COVERED: Clinical efficacy and safety data of etanercept for the approved
indications are reviewed in this paper. Data were obtained from published
clinical trials, registries, post-marketing data as well as information provided
by Amgen. EXPERT OPINION: Etanercept is a generally well-tolerated treatment for
the approved inflammatory diseases. The most common adverse effect of etanercept
treatment is injection site reaction, which is generally self-limiting and often
does not require treatment. Etanercept may be associated with an increased risk
for infection, the development of malignancy, demyelinating disease and
congestive heart failure. Fewer patients withdraw from etanercept due to adverse
events than with other biologics. For pediatric patients, there are more data for
etanercept than other biologics, and etanercept may have lower rates for the
development of malignancy.
PMID- 22074367
TI - Prevalence and factors associated with traditional herbal medicine use among
patients on highly active antiretroviral therapy in Uganda.
AB - BACKGROUND: In Africa, herbal medicines are often used as primary treatment for
Human immunodeficiency virus (HIV) related problems. Concurrent use of
traditional herbal medicines (THM) with antiretroviral drugs (ARVs) is widespread
among HIV infected patients. However, the extent of THM use is not known in most
settings in Sub-Saharan Africa. This study aimed at determining the prevalence
and factors associated with THM use among HIV infected patients on highly active
antiretroviral therapy (HAART) attending The AIDS Support Organization (TASO) in
Uganda. TASO is a non-governmental organization devoted to offering HIV/AIDS care
and treatment services in the population. METHODS: This was a cross-sectional
study carried out in two TASO treatment centres in Uganda among 401 randomly
selected eligible participants. We included participants who were 18 years and
above, were enrolled on HAART, and consented to participate in the study. Data
was collected using an interviewer-administered semi-structured questionnaire.
THM use referred to someone who had ever used or was currently using herbal
medicine while on highly active antiretroviral therapy (HAART) by the time of the
study. Data was captured in Epi-data version 3.1 and exported to STATA version
9.0 for analysis. RESULTS: The prevalence of THM use was 33.7%. Patients on HAART
for < 4 years were more likely to use THM (OR = 5.98, 95% CI 1.13 - 31.73) as
well as those who experienced HAART side effects (OR = 3.66, 95% CI: 1.15 -
11.68). Older patients (>=39 years) were less likely to use THM (OR = 0.26 95%
CI: 0.08 - 0.83). Participants with HAART adherence levels > 95% were less likely
to use THM (OR = 0.09, 95% CI 0.01 - 0.65). CONCLUSION: The prevalence of THM use
among participants on HAART was high. This raises clinical and pharmacological
concerns that need attention by the health care service providers.
PMID- 22074368
TI - Infancy- and childhood-onset dyschromatoses.
AB - The dyschromatoses are a group of pigmentary disorders characterized clinically
by mixed and often guttate hypopigmented and hyperpigmented lesions. There are
many conditions that present with dyschromatosis, including genodermatoses,
inflammatory skin diseases, infections, drug and chemical use, and nutritional
disorders. Some conditions have extracutaneous features. Poikiloderma (a
combination of hypo- and hyperpigmentation with telangiectasia and atrophy) must
be excluded. In this article, we describe the dyschromatoses typically presenting
in infancy and childhood, most of which are genodermatoses. The approach we have
taken in classifying them is based on organ involvement. We hope this article
will serve as a guide for dermatologists to the recognition of these uncommon
conditions.
PMID- 22074369
TI - What's new in acne? An analysis of systematic reviews and clinically significant
trials published in 2010-11.
AB - This review summarizes important clinical developments in acne treatment
identified in five systematic reviews and two significant primary research
studies, published between March 2010 and February 2011. Although evidence
showing a direct link between development of bacterial resistance and oral
antibiotic therapy for acne is not convincing, prescribers can still tailor their
practice to minimize future risks by stopping treatment when appropriate, using
benzoyl peroxide, and avoiding combining topical and systemic antimicrobials. A
systematic review evaluating combination products containing benzoyl peroxide did
not show convincing evidence that such products are superior to monotherapies. A
systematic review of combined oral contraceptives confirmed their efficacy for
acne in women. However, another systematic review of botanical products for acne
failed to provide any good-quality evidence of benefit. A large, well-reported
retrospective cohort study attempted to clarify the potential link between
isotretinoin and depression/suicide. Although suicide risk peaked 6 months after
isotretinoin treatment, an increased risk was present before initiation of
isotretinoin, making it difficult to attribute the increased risk to isotretinoin
alone. However, those with a history of suicide attempts before treatment made
fewer new attempts than those whose behaviour started during treatment. This
suggests that patients with severe acne with a history of attempted suicide
should not automatically be refused isotretinoin. Another randomized controlled
trial of 60 patients from Korea suggested that low-dose isotretinoin dose than
might provide a better long-term outcome with minimal side-effects for people
with moderate acne.
PMID- 22074370
TI - Basal cell carcinoma masquerading as habit tic.
PMID- 22074371
TI - Asymptomatic vulvar pigmentation.
PMID- 22074372
TI - I have spikes on my hands.
PMID- 22074373
TI - Giant verrucous lesion on the scalp.
PMID- 22074374
TI - Interventions for pemphigus vulgaris and pemphigus foliaceus: a summarised
Cochrane review.
PMID- 22074375
TI - Topical treatments for chronic plaque psoriasis: a summarised Cochrane review.
PMID- 22074376
TI - Molecular markers to characterize the hermaphroditic reproductive system of the
planarian Schmidtea mediterranea.
AB - BACKGROUND: The freshwater planarian Schmidtea mediterranea exhibits two distinct
reproductive modes. Individuals of the sexual strain are cross-fertilizing
hermaphrodites with reproductive organs that develop post-embryonically. By
contrast, individuals of the asexual strain reproduce exclusively by transverse
fission and fail to develop reproductive organs. These different reproductive
strains are associated with distinct karyotypes, making S. mediterranea a useful
model for studying germline development and sexual differentiation. RESULTS: To
identify genes expressed differentially between these strains, we performed
microarray analyses and identified >800 genes that were upregulated in the sexual
planarian. From these, we characterized 24 genes by fluorescent in situ
hybridization (FISH), revealing their expression in male germ cells or accessory
reproductive organs. To identify additional markers of the planarian reproductive
system, we also used immuno- and fluorescent lectin staining, identifying several
antibodies and lectins that labeled structures associated with reproductive
organs. CONCLUSIONS: Collectively, these cell-type specific markers will enable
future efforts to characterize genes that are important for reproductive
development in the planarian.
PMID- 22074377
TI - A systematic assessment of the concept and practice of public-private mix for
tuberculosis care and control.
AB - INTRODUCTION: The STOP TB Partnership aims to improve global tuberculosis (TB)
control through expanding access to the directly observed treatment short course
(DOTS) strategy. One approach to this is 'Engaging all Care Providers', which
evolved from 'Public-Private Mix (PPM) DOTS'. The overall aim of this study was
to systematically assess whether and to what degree the STOP TB Partnership's
four global objectives of engaging all care providers are met through existing
PPM interventions. These four objectives are; 1) Increase TB case detection; 2)
Improve TB treatment outcomes; 3) Enhance access and equity; 4) Reduce financial
burden on patients. The specific objectives of this assessment were to 1)
Understand what PPM means to the STOP TB Partnership's PPM Subgroup and to
National Tuberculosis Programme managers; 2) Scope the nature of existing country
level PPM interventions and 3) Review PPM practice against the global PPM
objectives. METHODS: We undertook a systematic, multi-facetted assessment. The
methods included interviews with National Tuberculosis Programme managers from
high burden countries, clarification of key issues with the STOP TB Partnership
PPM secretariat and a review of publicly accessible reports and published
articles on PPM projects. Both the literature review and interviews with the
National Tuberculosis Programme managers yielded data on project characteristics;
PPM models at country level; National Tuberculosis Programme partners; and
mechanisms for engagement. Matrices were developed from the literature review and
the interviews to show the relationship between services and service providers
for different PPM projects. Data from the literature were assessed against each
of the four global PPM objectives. RESULTS: Twelve National Tuberculosis
Programme managers from high burden countries were interviewed about the scope of
PPM partnerships. Understanding of PPM and types of engaged providers varied
considerably; 'private-for-profit qualified clinical providers' were the dominant
category. The literature review yielded information on 22 projects in which
'private-for-profit qualified clinical providers' were again the dominant
category. The contributions made by 'private-for-profit qualified clinical
providers' and 'Non Governmental Organisation qualified clinical providers', were
assessed against the four global PPM objectives. Reporting on tuberculosis case
detection and treatment outcomes was generally good and demonstrated important
PPM contributions in these areas. Reporting on equity, access and reduced patient
costs was often lacking or inconclusive. CONCLUSIONS: PPM has improved case
detection and treatment outcomes among patients seeking care with private
providers. Evidence on reducing patient costs is inconclusive, and there is scope
for increasing equity in access to care by systematically engaging those
providers who are the primary agents for poor people seeking health care.
Guidelines outlining which types of providers best contribute to achieving the
four global objectives, along with the resources required by National
Tuberculosis Programs for such engagement is needed.
PMID- 22074378
TI - A predictive biomimetic model of cytokine release induced by TGN1412 and other
therapeutic monoclonal antibodies.
AB - Human peripheral blood mononuclear cells (PBMC) are routinely used in vitro to
detect cytokine secretion as part of preclinical screens to delineate agonistic
and antagonistic action of therapeutic monoclonal antibodies (mAbs). Preclinical
value of standard human PBMC assays to detect cytokine release syndrome (CRS) has
been questioned, as they did not predict the "cytokine storm" that occurred when
healthy human volunteers were given a CD28-specific super-agonist mAb, TGN1412.
In this article, we describe a three-dimensional biomimetic vascular test-bed
that can be used as a more physiologically relevant assay for testing therapeutic
Abs. For developing such a system, we used TGN1412 as a model mAb. We tested
soluble TGN1412 on various combinations of human blood components in a module
containing endothelial cells grown on a collagen scaffold and measured cytokine
release using multiplex array. Our system, consisting of whole leukocytes,
endothelial cells, and 100% autologous platelet-poor plasma (PPP) consistently
produced proinflammatory cytokines in response to soluble TGN1412. In addition,
other mAb therapeutics known to induce CRS or first infusion reactions, such as
OKT3, Campath-1H, or Herceptin, generated cytokine profiles in our model system
consistent with their in vivo responses. As a negative control we tested the non
CRS mAbs Avastin and Remicade and found little difference between these mAbs and
the placebo control. Our data indicate that this novel assay may have preclinical
value for predicting the potential of CRS for mAb therapeutics.
PMID- 22074379
TI - Preface to the special issue "Novel pharmaconeurogenetic approaches arising from
progress in translational genetics".
PMID- 22074380
TI - FRep: a fluorescent protein-based bioprobe for in vivo detection of protein-DNA
interactions.
AB - We describe a bacterial reporter system, FRep, for rapid and facile detection of
protein-DNA recognition. The bioprobe reporter comprises genes of two fluorescent
proteins (FPs) separated by a potential DNA target. If a coexpressed
transcription factor binds the DNA target, transcription of the second FP is
impeded, resulting in loss of FRET partner. Using ratiometric FRET, we show that
evaluation of protein-DNA recognition can be reliably made on bZIP and bHLHZ
transcription factors and their DNA targets. FRep displays similar thresholds of
detection regarding protein-DNA binding affinities, as compared to well
established electrophoretic and yeast assays, although we observed variations in
the intensity of fluorescence signals and detection thresholds that may depend on
differences between DNA-binding protein production levels and/or stability in the
cell, or the expressed bioprobe linker between the two FPs. FRep can potentially
be applied to high-throughput searches of both protein and DNA libraries; in a
mock library screen, binding and nonbinding complexes can even be distinguished
by visual inspection of colonies on plates. FRep presents notable advantages over
existing technologies when applied to assessing protein-DNA interactions in vivo,
and this approach has the potential for applications in assaying protein-protein
interactions and screening molecules that influence specific macromolecular
interactions.
PMID- 22074381
TI - Learning from the 2011 Great East Japan Disaster: insights from a special
radiological emergency assistance mission.
AB - On March 11, 2011, the eastern portion of Japan was struck by a massive
earthquake and tsunami that killed more than 15,700 people, left thousands of
others hurt or missing, and caused widespread destruction. In addition, the Great
East Japan Disaster seriously damaged the Fukushima Dai-ichi nuclear power
station, knocking out power, severely affecting communications, and causing a
loss of cooling to some reactor cores. Significant quantities of radioactive
materials were released, a "no go" zone was created around the crippled reactors,
and thousands of people were evacuated. With concern about the radiological
emergency growing, one of Japan's largest hospital and healthcare groups issued a
request for assistance to a U.S.-based international disaster relief
organization. After consultations with the Japanese, a special Radiological
Emergency Assistance Mission was assembled. The mission, which traveled to Japan
in April 2011, had several aims: (1) to rapidly assess the situation on the
ground, (2) to exchange information, experiences, and insights with Japanese
colleagues, and (3) to provide radiological information and practical refresher
training to Japanese healthcare professionals and first responders. In addition
to achieving these aims and laying the groundwork for future cooperation, the
mission produced dozens of insights and lessons. These have potential relevance
not only for future large-scale radiation accidents, but also for radiological
and nuclear terrorism situations. They also have more general relevance for
emergency planning, preparedness, and response. In this article, several of the
most salient insights and lessons are highlighted.
PMID- 22074383
TI - Flowering phenology as a functional trait in a tallgrass prairie.
AB - * The timing of flowering is a critical component of the ecology of plants and
has the potential to structure plant communities. Yet, we know little about how
the timing of flowering relates to other functional traits, species abundance,
and average environmental conditions. * Here, we assessed first flowering dates
(FFDs) in a North American tallgrass prairie (Konza Prairie) for 431 herbaceous
species and compared them with a series of other functional traits, environmental
metrics, and species abundance across ecological contrasts. * The pattern of FFDs
among the species of the Konza grassland was shaped by local climate, can be
linked to resource use by species, and patterns of species abundance across the
landscape. Peak FFD for the community occurred when soils were typically both
warm and wet, while relatively few species began flowering when soils tended to
be the driest. Compared with late-flowering species, species that flowered early
had lower leaf tissue density and were more abundant on uplands than lowlands. *
Flowering phenology can contribute to the structuring of grassland communities,
but was largely independent of most functional traits. Therefore, selection for
flowering phenology may be independent of general resource strategies.
PMID- 22074382
TI - A novel GABA(A) receptor pharmacology: drugs interacting with the alpha(+) beta(
) interface.
AB - GABA(A) receptors are ligand-gated chloride channels composed of five subunits
that can belong to different subunit classes. The existence of 19 different
subunits gives rise to a multiplicity of GABA(A) receptor subtypes with distinct
subunit composition; regional, cellular and subcellular distribution; and
pharmacology. Most of these receptors are composed of two alpha, two beta and one
gamma2 subunits. GABA(A) receptors are the site of action of a variety of
pharmacologically and clinically important drugs, such as benzodiazepines,
barbiturates, neuroactive steroids, anaesthetics and convulsants. Whereas GABA
acts at the two extracellular beta(+) alpha(-) interfaces of GABA(A) receptors,
the allosteric modulatory benzodiazepines interact with the extracellular
alpha(+) gamma2(-) interface. In contrast, barbiturates, neuroactive steroids
and anaesthetics seem to interact with solvent accessible pockets in the
transmembrane domain. Several benzodiazepine site ligands have been identified
that selectively interact with GABA(A) receptor subtypes containing
alpha2betagamma2, alpha3betagamma2 or alpha5betagamma2 subunits. This indicates
that the different alpha subunit types present in these receptors convey
sufficient structural differences to the benzodiazepine binding site to allow
specific interaction with certain benzodiazepine site ligands. Recently, a novel
drug binding site was identified at the alpha(+) beta(-) interface. This binding
site is homologous to the benzodiazepine binding site at the alpha(+) gamma2(-)
interface and is thus also strongly influenced by the type of alpha subunit
present in the receptor. Drugs interacting with this binding site cannot directly
activate but only allosterically modulate GABA(A) receptors. The possible
importance of such drugs addressing a spectrum of receptor subtypes completely
different from that of benzodiazepines is discussed.
PMID- 22074384
TI - Colloidal structure and stability of DNA/polycations polyplexes investigated by
small angle scattering.
AB - Polyplexes of short DNA-fragments (300 b.p., 100 nm) with tailor-made amine-based
polycations of different architectures (linear and hyperbranched) were
investigated in buffer solution as a function of the mixing ratio with DNA. The
resulting dispersed polyplexes were characterized using small-angle neutron and X
ray scattering (SANS, SAXS) as well as cryo-TEM with respect to their mesoscopic
structure and their colloidal stability. The linear polyimines form rather
compact structures that have a high tendency for precipitation. In contrast, the
hyperbranched polycation with enzymatic-labile pentaethylenehexamine arms (PEHA)
yields polyplexes colloidally stable for months. Here the polycation coating of
DNA results in a homogeneous dispersion based on a fractal network with low
structural organization at low polycation amount. With increasing polycation,
bundles of tens of aligned DNA rods appear that are interconnected in a fractal
network with a typical correlation distance on the order of 100 nm, the average
length of the DNA used. With higher organization comes a decrease in stability.
The 3D network built by these beams can still exhibit some stability as long as
the material concentration is large enough, but the structure collapses upon
dilution. SAXS shows that the complexation does not affect the local DNA
structure. Interestingly, the structural findings on the DNA polyplexes
apparently correlate with the transfection efficiency of corresponding siRNA
complexes. In general, these finding not only show systematic trends for the
colloid stability, but may allow for rational approaches to design effective
transfection carriers.
PMID- 22074385
TI - Effect of stress on hippocampal nociceptin expression in the rat.
AB - Nociceptin/orphanin FQ (N/OFQ) peptide and its receptor are not only ubiquitously
expressed in mammalian brain and spinal cord but are also abundant in limbic
structures, particularly in the hippocampus. The widespread distribution of N/OFQ
reflects the broad spectrum of its biological actions such as nociception, food
intake, spontaneous locomotor activity, and learning and memory processes. Since
the hippocampus is involved in the control of adrenocortical activity, its role
in stress-related phenomena is well characterized. In male Wistar rats, we first
examined the effects of acute restraint stress (120 min) on the brain
immunohistochemical localization of N/OFQ. The analysis carried out on sections
obtained at the onset of stress revealed enhanced expression of N/OFQ in CA1,
CA3, and the dentate gyrus as well as increased plasma corticosterone
concentrations. Next, we examined whether endogenous glucocorticoid hormone plays
a role in the modulation of hippocampal N/OFQ expression in response to stress.
To this end, rats were injected with corticosterone (1 mg/kg) or subjected to
restraint stress 1 week after adrenalectomy. Two hours after corticosterone
administration, plasma glucocorticoid concentrations were comparable to those
observed after restraint stress, while N/OFQ expression had significantly
increased in all the hippocampal subfields examined. By contrast, in
adrenalectomized rats, stress did not modify protein expression. These results
confirm that stress can affect N/OFQ expression and that glucocorticoids may
constitute hormonal mediators of this complex interplay.
PMID- 22074386
TI - Longitudinal melanonychia caused by Fusarium oxysporum in immunocompetent
patient.
PMID- 22074387
TI - Hurler disease (mucopolysaccharidosis type IH): clinical features and
consanguinity in Tunisian population.
AB - Mucopolysaccharidosis type I (MPS I) was a group of rare autosomal recessive
disorder caused by the deficiency of the lysosomal enzyme, alpha -L -iduronidase,
and the resulting accumulation of undergraded dematan sulfate and heparan
sulfate. MPS I patients have a wide range of clinical presentations, that makes
it difficult to predict patient phenotype which is needed for genetic counseling
and also impedes the selection and evaluation of patients undergoing therapy bone
marrow transplantation. AIM OF THE STUDY: consanguinity rates have been
determined among 14 families with mucopolysaccharidosis type I, seen in the
pediatric departments of different geographic areas of Tunisia (Central and
Southern areas) for the period August 2004 - August 2011 in order to investigate
the relation between consanguinity and this disorder. PATIENTS AND METHODS:
Clinical and molecular analyses confirmed the diagnosis for MPS type I in the
studied families. RESULTS: Most of the Tunisian MPS I patients have been
identified at the homozygous status: p.P533R mutation (7 homozygous and one
double heterozygous p.L578Q/p.P533R patients; 41.66% of all the investigated MPSI
patients), p.F177S (1 homozygous patient; 5.55%), p.L530fs (1 patient; 5.55%),
p.Y581X (2 patients; 11.11%), p.F602X (3 patients; 16.66%), p.R628X (1 patient;
5.55%). Another mutation: p.L578Q has been identified at the heterozygous status
in the only double heterozygous p.L578Q/p.P533R case. Part of the mutations was
the result of a founder effect. These described points are the consequences of
the high rate of consanguinity. CONCLUSION: The high frequency of p.P533R
mutation could be explained by the high degree of inbreeding. This is due to the
richness of the genetic background of the studied population.A multidisciplinary
approach is essential to develop adequate preventive program adapted to the
social, cultural, and economic context.
PMID- 22074388
TI - Napsin A expression in pulmonary sclerosing haemangioma.
PMID- 22074389
TI - The absence of MyD88 has no effect on the induction of alternatively activated
macrophage during Fasciola hepatica infection.
AB - Alternatively activated macrophages (AAMphi) play important roles in allergies
and responses toparasitic infections. However, whether signaling through toll
like receptors (TLRs) plays any role in AAMphi induction when young Fasciola
hepatica penetrates the liver capsule and migrates through the liver tissue is
still unclear. RESULTS: The data show that the lack of myeloid differentiation
factor 88 (MyD88) has no effect on the AAMphi derived from the bone marrow
(BMMphi) in vitro and does not impair the mRNA expression of arginase-1, resistin
like molecule (RELMalpha), and Ym1 in BMMphis. The Th2 cytokine production bias
in splenocytes was not significantly altered in F. hepatica-infected mice in the
absence of MyD88 in vitro and in the pleural cavity lavage in vivo. In addition,
MyD88-deficiency has no effect on the arginase production of the F. hepatica
elicited macrophages (Fe Mphis), production of RELMalpha and Ym1 proteins and
mRNA expression of Ym1 and RELMalpha of macrophages in the peritoneal cavity 6
weeks post F. hepatica infection. CONCLUSIONS: The absence of MyD88 has no effect
on presence of AAMphi 6 weeks post F. hepatica infection.
PMID- 22074390
TI - Construction of highly functional quaternary carbon stereocenters via an
organocatalytic tandem cyanation-allylic alkylation reaction.
AB - The first tertiary amine-catalyzed tandem cyanation-allyic alkylation (CAA)
reaction of aldehydes, appropriate cyanide sources, and Morita-Baylis-Hillman
(MBH) adducts has been developed, which provides a facile access to densely
functionalized products containing O-substituted quaternary centers.
PMID- 22074391
TI - 'Painting a path to wellness': correlations between participating in a creative
activity group and improved measured mental health outcome.
AB - Responding to a call for quantitative outcome evidence about the therapeutic
relationship between creative activity and mental health, this study examined the
mental health outcomes of inpatients participating in art- and craft-based
creative therapies at a private psychiatric hospital over a 5-year period. The
creative activity group sample (n= 403) improved from admission to discharge
across four different psychometric measures with moderate to strong mean effect
sizes. Reductions from pre- to post-treatment in both self-reported and clinician
rated symptoms are clearly demonstrated for the creative activity group
participant sample. Research findings establish that participation in creative
activity has potential benefits for people experiencing mental health problems.
PMID- 22074392
TI - Air embolus to arachnoid cyst as a rare delayed complication of intrathecal
medication delivery.
AB - INTRODUCTION: Intrathecal (IT) medication delivery is a commonly used technique
for the treatment of chronic intractable pain. Cerebrospinal fluid (CSF)
loculation at the catheter tip has been described as a cause of progressive loss
of drug effect that can often be difficult to diagnose. METHODS: The clinical
course of a 56-year-old woman was reviewed, including past imaging studies.
RESULTS: The patient presented with acute lower extremity paresis secondary to
air trapped within an arachnoid cyst thought to be due to long-term use of IT
morphine and an undiagnosed CSF loculation. She rapidly recovered after surgical
decompression. DISCUSSION: Symptomatic pneumorachis is rare. In this case,
because of radiographically impressive compression and severe deficit, we felt
that the trapped air was equivalent to a mass lesion and treated it aggressively.
The arachnoid cyst was near the level of the catheter tip prior to revision, and
we have retrospectively inferred a causal relationship.
PMID- 22074393
TI - Two-dimensional fourier transform spectroscopy of adenine and uracil using shaped
ultrafast laser pulses in the deep UV.
AB - We compare two-dimensional (2D) ultrafast Fourier transform spectroscopy
measurements in the deep UV (262 nm) for adenine and uracil in solution. Both
molecules show excited-state absorption on short time scales and ground-state
bleaching extending for over 1 ps. While the 2D spectrum for uracil shows changes
in the center of gravity during the first few hundred femtoseconds, the center of
gravity of the 2D spectrum for adenine does not show similar changes. We discuss
our results in light of ab initio electronic structure calculations.
PMID- 22074394
TI - Treatment strategies for childhood noninfectious chronic uveitis: an update.
AB - BACKGROUND: Uveitis is an inflammatory disorder involving inflammation of the
uveal tract. It is classified as anterior, intermediate, posterior or panuveitis,
depending on the part of eye affected by the inflammatory process. In children,
noninfectious, chronic uveitis is a relatively uncommon but serious disease, with
the potential for significant long-term complications and possible blindness.
Although frequently associated with an underlying systemic disease, for example,
juvenile idiopathic arthritis, a significant number of cases in children show no
associated signs or symptoms and are labeled as idiopathic. RESULTS: We reviewed
the available literature. Taking into account this evidence, an anti-inflammatory
therapy based on an immunomodulatory approach seems a reasonable strategy for
noninfectious chronic uveitis, in children as well as in adults. Due to a lack of
controlled studies regarding uveitis in children, immunosuppressive strategy is
supported only at evidence level III. Our aim is to review the currently
available medical strategies for the treatment of childhood sight-threatening
chronic uveitis. CONCLUSION: Uveitis in children can be severe. Methotrexate is
the drug of choice for recalcitrant cases, and biologic therapies can be useful
in selected situations.
PMID- 22074395
TI - High dilution of anionic vacancies in Sr(0.8)Ba(0.2)Fe(O,F)(~2.5).
AB - The (Ba,Sr)FeO(3-delta) system is known for its strong tendency for oxygen and
vacancies to order into several forms including fully ordered
pseudobrownmillerites, hexagonal perovskites with segregation of the vacancies in
particular anionic layers and low deficient (pseudo)cubic compounds (generally
delta < 0.27, Fe(3/4+)). We show for the first time, using a simple chemical
process, the easy access to a large amount of vacancies (delta ~ 0.5, Fe(3+))
within the room-temperature stable tetragonal (pseudocubic)
Sr(0.8)Ba(0.2)FeF(~0.1)(O,F)(~2.5.) The drastic effect of the incorporation of a
minor amount of fluoride passes through the repartition of local O/F/?
constraints shifting the tolerance factor into the pseudocubic range for highly
deficient compounds. It is stable up to 670 K, where an irreversible reoxidation
process occurs, leading to the cubic-form. The comparison with the cubic oxide
Sr(0.8)Ba(0.2)FeO(~2.7) shows the increase of the resistivity (3D-VRH model) by
two decades due to the almost single valent Fe(3+) of the oxofluoride. In
addition, the G-type magnetic ordering shows relatively weak moment for Fe(3+)
cations (M(Fe) ~ 2.64(1) MUB at room temperature) attributed to incoherent
magnetic components expected from local disorder in such anionic-deficient
compounds.
PMID- 22074396
TI - Synthesis and magnetic properties of Zr doped ZnO Nanoparticles.
AB - Zr doped ZnO nanoparticles are prepared by the sol-gel method with post
annealing. X-ray diffraction results show that all samples are the typical
hexagonal wurtzite structure without any other new phase, as well as the Zr atoms
have successfully entered into the ZnO lattices instead of forming other
lattices. Magnetic measurements indicate that all the doping samples show room
temperature ferromagnetism and the pure ZnO is paramagneism. The results of Raman
and X-ray photoelectron spectroscopy indicate that there are a lot of oxygen
vacancies in the samples by doping element of Zr. It is considered that the
observed ferromagnetism is related to the doping induced oxygen vacancies.
PMID- 22074397
TI - Biological monitoring of pesticide exposures in residents living near
agricultural land.
AB - BACKGROUND: There is currently a lack of reliable information on the exposures of
residents and bystanders to pesticides in the UK. Previous research has shown
that the methods currently used for assessing pesticide exposure for regulatory
purposes are appropriate for farm workers 1. However, there were indications that
the exposures of bystanders may sometimes be underestimated. The previous study
did not collect data for residents. Therefore, this study aims to collect
measurements to determine if the current methods and tools are appropriate for
assessing pesticide exposure for residents living near agricultural fields.
METHODS/DESIGN: The study will recruit owners of farms and orchards (hereafter
both will be referred to as farms) that spray their agricultural crops with
certain specified pesticides, and which have residential areas in close proximity
to these fields. Recruited farms will be asked to provide details of their
pesticide usage throughout the spray season. Informed consenting residents
(adults (18 years and over) and children (aged 4-12 years)) will be asked to
provide urine samples and accompanying activity diaries during the spraying
season and in addition for a limited number of weeks before/after the spray
season to allow background pesticide metabolite levels to be determined. Selected
urine samples will be analysed for the pesticide metabolites of interest.
Statistical analysis and mathematical modelling will use the laboratory results,
along with the additional data collected from the farmers and residents, to
determine systemic exposure levels amongst residents. Surveys will be carried out
in selected areas of the United Kingdom over two years (2011 and 2012), covering
two spraying seasons and the time between the spraying seasons. DISCUSSION: The
described study protocol was implemented for the sample and data collection
procedures carried out in 2011. Based on experience to date, no major changes to
the protocol are anticipated for the 2012 spray season although the pesticides
and regional areas for inclusion in 2012 are still to be confirmed.
PMID- 22074398
TI - The Matthew effect in environmental science publication: a bibliometric analysis
of chemical substances in journal articles.
AB - BACKGROUND: While environmental research addresses scientific questions of
possible societal relevance, it is unclear to what degree research focuses on
environmental chemicals in need of documentation for risk assessment purposes.
METHODS: In a bibliometric analysis, we used SciFinder to extract Chemical
Abstract Service (CAS) numbers for chemicals addressed by publications in the 78
major environmental science journals during 2000-2009. The Web of Science was
used to conduct title searches to determine long-term trends for prominent
substances and substances considered in need of research attention. RESULTS: The
119,636 journal articles found had 760,056 CAS number links during 2000-2009. The
top-20 environmental chemicals consisted of metals, (chlorinated) biphenyls,
polyaromatic hydrocarbons, benzene, and ethanol and contributed 12% toward the
total number of links- Each of the top-20 substances was covered by 2,000-10,000
articles during the decade. The numbers for the 10-year period were similar to
the total numbers of pre-2000 articles on the same chemicals. However, substances
considered a high priority from a regulatory viewpoint, due to lack of
documentation, showed very low publication rates. The persistence in the
scientific literature of the top-20 chemicals was only weakly related to their
publication in journals with a high impact factor, but some substances achieved
high citation rates. CONCLUSIONS: The persistence of some environmental chemicals
in the scientific literature may be due to a 'Matthew' principle of maintaining
prominence for the very reason of having been well researched. Such bias detracts
from the societal needs for documentation on less well known environmental
hazards, and it may also impact negatively on the potentials for innovation and
discovery in research.
PMID- 22074399
TI - Construction and humanization of a functional bispecific EGFR * CD16 diabody
using a refolding system.
AB - We previously reported the construction and activity of a humanized, bispecific
diabody (hEx3) that recruited T cells towards an epidermal growth factor receptor
(EGFR) positive tumor. Herein, we describe the construction of a second
functional, fully humanized, anti-EGFR bispecific diabody that recruits another
subset of lymphocyte effectors, the natural killer cells, to EGFR-expressing
tumor cells. After we confirmed that an anti-EGFR * anti-CD16 bispecific diabody
(Ex16) consisting of a previously humanized anti-EGFR variable fragment (Fv) and
a mouse anti-CD16 Fv had growth inhibitory activity, we designed a humanized anti
CD16 Fv to construct the fully humanized Ex16 (hEx16). However, the humanized
form had lower activity for inhibition of cancer growth. To restore its growth
inhibitory activity, we introduced mutations into the Vernier zone, which is
located near the complementarity-determining regions and is involved in their
binding activity. We efficiently prepared 15 different hEx16 mutants by
expressing each chimeric single-chain component for hEx16 separately. We then
used our in vitro refolding system to select the most functional mutant, which
had a growth inhibitory effect comparable with that of the commercially available
chimeric anti-EGFR antibody, cetuximab. Our refolding system could aid in the
efficient optimization of other proteins with heterodimeric structure.
PMID- 22074400
TI - Relevance of fluoroquinolone use in hospitals in the Lorraine region of France
before and after corrective measures: an investigation by the Antibiolor Network.
AB - OBJECTIVES: This study of fluoroquinolone use was carried out before and after an
educational intervention run by Antibiolor, a regional network to all hospitals
in the Lorraine region of France. METHODS: The relevance of fluoroquinolone
prescription according to regional guidelines was assessed using a standard card
filled out by physicians and pharmacists at the voluntarily participating
hospitals. A therapeutic index of adequacy was established for each card. The
initial survey took place in January 2008, with feedback and proposals for
corrective measures in January 2009. The second survey was organized in June
2009. The results of the 2 surveys were compared. RESULTS: Twenty-four hospitals
completed a total of 1336 cards in the first survey (S1) and 944 cards in the
second (S2). The appropriateness of indications for fluoroquinolone use improved
by 57% between the 2 surveys. All the criteria analyzed (choice of drug, dosage,
treatment duration) were significantly improved in S2 compared to S1, as was the
adequacy index (70% improvement). CONCLUSIONS: In view of the consequences of
fluoroquinolone use, many hospitals in Lorraine were keen to participate in this
study, confirming its feasibility over a large area. In view of the study
results, the book of guidelines was re-examined and republished at the conclusion
of S2. Greater adherence to guidelines was noted in S2, demonstrating the benefit
of assessing the situation thoroughly before proposing corrective measures and
evaluating their impact.
PMID- 22074402
TI - Antimicrobial peptides: key components of the innate immune system.
AB - Life-threatening infectious diseases are on their way to cause a worldwide
crisis, as treating them effectively is becoming increasingly difficult due to
the emergence of antibiotic resistant strains. Antimicrobial peptides (AMPs) form
an ancient type of innate immunity found universally in all living organisms,
providing a principal first-line of defense against the invading pathogens. The
unique diverse function and architecture of AMPs has attracted considerable
attention by scientists, both in terms of understanding the basic biology of the
innate immune system, and as a tool in the design of molecular templates for new
anti-infective drugs. AMPs are gene-encoded short (<100 amino acids), amphipathic
molecules with hydrophobic and cationic amino acids arranged spatially, which
exhibit broad spectrum antimicrobial activity. AMPs have been the subject of
natural evolution, as have the microbes, for hundreds of millions of years.
Despite this long history of co-evolution, AMPs have not lost their ability to
kill or inhibit the microbes totally, nor have the microbes learnt to avoid the
lethal punch of AMPs. AMPs therefore have potential to provide an important
breakthrough and form the basis for a new class of antibiotics. In this review,
we would like to give an overview of cationic antimicrobial peptides, origin,
structure, functions, and mode of action of AMPs, which are highly expressed and
found in humans, as well as a brief discussion about widely abundant, well
characterized AMPs in mammals, in addition to pharmaceutical aspects and the
additional functions of AMPs.
PMID- 22074401
TI - Gallium compound GaQ(3) -induced Ca(2+) signalling triggers p53-dependent and
independent apoptosis in cancer cells.
AB - BACKGROUND AND PURPOSE A novel anti-neoplastic gallium complex GaQ(3) (KP46),
earlier developed by us, is currently in phase I clinical trial. GaQ(3) induced
S-phase arrest and apoptosis via caspase/PARP cleavage in a variety of cancers.
However, the underlying mechanism of apoptosis is unknown. Here, we have explored
the mechanism(s) of GaQ(3) -induced apoptosis in cancer cells, focusing on p53
and intracellular Ca(2+) signalling. EXPERIMENTAL APPROACH GaQ(3) -induced
cytotoxicity and apoptosis were determined in cancer cell lines, with different
p53 status (p53(+/+) , p53(-/-) and p53 mutant). Time course analysis of
intracellular Ca(2+) calcium release, p53 promoter activation, p53
nuclear/cytoplasmic movements and reactive oxygen species (ROS) were conducted.
Ca(2+) -dependent formation of the p53-p300 transcriptional complex was analysed
by co-immunoprecipitation and chromatin immunoprecipitation. Ca(2+) signalling,
p53, p300 and ROS were serially knocked down to study Ca(2+) -p53-ROS ineractions
in GaQ(3) -induced apoptosis. KEY RESULTS GaQ(3) triggered intracellular Ca(2+)
release stabilizing p53-p300 complex and recruited p53 to p53 promoter, leading
to p53 mRNA and protein synthesis. p53 induced higher intracellular Ca(2+)
release and ROS followed by activation of p53 downstream genes including those
for the micro RNA mir34a. In p53(-/-) and p53 mutant cells, GaQ(3) -induced
Ca(2+) -signalling generated ROS. ROS further increased membrane translocation of
FAS and FAS-mediated extrinsic apoptosis. CONCLUSIONS AND IMPLICATIONS This study
disclosed a novel mechanism of Ca(2+) -signalling-mediated p53 activation and ROS
up-regulation. Understanding the mechanism of GaQ(3) -induced apoptosis will help
establish this gallium-based organic compound as a potent anti-cancer drug.
PMID- 22074404
TI - A point mutation at F1737 of the human Nav1.7 sodium channel decreases inhibition
by local anesthetics.
AB - Voltage-gated sodium channels (VGSC) contribute to the initiation and propagation
of action potentials within the nervous system. These channels are important
targets for inhibition by several classes of drugs, including antiarrhythmics and
local anesthetics. Structural and pharmacological studies have localized the
binding of these drugs to a common site near the channel's intracellular pore
region. Point mutations within this region disrupt local anesthetic inhibition of
cardiac, CNS, and skeletal muscle VGSC subtypes. This study was designed to test
whether a similar structural requirement for drug binding exists on the
peripheral neuronal VGSC subtype; Na(v)1.7. In support of this hypothesis, an
alanine substitution for phenylalanine at position 1737 (F1737A) in the pore
lining S6 segment of domain IV in human Na(v)1.7 reduced both use- and state-
dependent inhibition of the local anesthetics, lidocaine and tetracaine, by 8-21
fold. We also saw a 2-3-fold reduction in tonic inhibition with the F1737A
mutant. The voltage dependence of both activation and inactivation were
unaffected by the F1737A mutation, however, fast inactivation kinetics were
impaired, such that a significant portion of inward current remained at the end
of a 20-ms depolarization. These data suggest that F1737 forms a part of the high
affinity binding of local anesthetics as well as mediating inactivation processes
of neuronal Na(v)1.7 channels.
PMID- 22074405
TI - Highly selective colorimetric detection of hydrochloric acid using unlabeled gold
nanoparticles and an oxidizing agent.
AB - We report a colorimetric system for the detection of HCl in aqueous environments
using unlabeled gold nanoparticle (AuNP) probes. This nonaggregation-based
detection system relies on the ability of chloro species to cause rapid leaching
of AuNPs in an aqueous dispersion containing a strong oxidizing agent, such as
HNO(3) or H(2)O(2). The leaching process leads to remarkable damping of the
surface plasmon resonance peak of the AuNP dispersion. This method works only
with AuNPs of a particular size (~30 nm diameter). It is highly selective for HCl
over several common mineral acids, salts, and anions. This simple and cost
effective sensing system provides rapid and simple detection of HCl at
concentrations as low as 500 ppm (far below the hazard limit) in natural water
systems.
PMID- 22074403
TI - Factors associated with the occurrence of MRSA CC398 in herds of fattening pigs
in Germany.
AB - BACKGROUND: The purpose of this study was to investigate the prevalence of MRSA
in herds of fattening pigs in different regions of Germany, and to determine
factors associated with the occurrence of this pathogen. For this purpose pooled
dust samples were collected, and a questionnaire covered information regarding
herd characteristics and management practices. Samples were pre-enriched in high
salt medium followed by selective enrichment containing cefoxitin/aztreonam, and
culturing. Presumptive colonies were confirmed by multiplex-PCR targeting nuc-,
mecA- and 16S rRNA-genes. Isolates were spa- and SCCmec-, and in selected cases,
multilocus sequence-typed. Susceptibilities to 13 antimicrobials were determined
by broth microdilution. Statistical analysis was carried out using backward
stepwise logistic regression to calculate odds ratios with the MRSA test result
as the outcome and herd characteristics as categorical covariates. RESULTS:
Overall, 152 of 290 (52%) fattening pig farms tested positive for MRSA. The
prevalence in the east, north- and south-west of Germany ranged from 39 to
59%.t011 (66%) and t034 (23%) were the most commonly identified spa-types, and
85% of isolates carried SCCmec Type V. Identified spa-types were all associated
with clonal complex CC398. Susceptibility testing revealed that all isolates were
resistant to tetracycline. High resistance rates were also found for
sulfamethoxazole/trimethoprim (40%), and quinupristin/dalfopristin (32%). In
addition, 83% of strains displayed multidrug resistant (> 3 substance classes)
phenotypes.Logistic regression revealed herd size (large farms OR: 5.4; CI: 2.7
11.2; p < 0.05), and production type (wean-to-finish OR: 4.0; CI: 1.6-10.4; p <
0.05) as risk factors associated with a positive MRSA finding in fattening pig
operations. CONCLUSIONS: MRSA CC398 is widely distributed among herds of
fattening pigs in Germany. Farm management plays a crucial role in the
dissemination of MRSA with herd size, and production type representing potential
major indicators.
PMID- 22074406
TI - Primary cutaneous aspergillosis in a patient with systemic lupus erythematosus.
PMID- 22074407
TI - Inflammatory diseases of the parathyroid gland.
AB - AIMS: Inflammatory disorders of the parathyroid gland are very rare as compared
with those of other endocrine organs. The aim of this study was to provide the
first systematic review of this condition. METHODS AND RESULTS: A 42-year-old
patient underwent surgery for recurrent secondary hyperparathyroidism. Histology
showed hyperplastic parathyroiditis defined by a mixed inflammatory infiltrate
with active germinal centres. Molecular markers revealed significant upregulation
of CD68 in an ischaemic background (hypoxia-inducible factor 1 upregulation) with
mitochondrial reaction (malate dehydrogenase 2 upregulation) and
hyperparathyroidism (carbonic anhydrase 4 upregulation). Our case demonstrates
true intraparathyroid inflammation with terminal B-cell differentiation. We
searched PubMed, ISI Thompson and Google Scholar up to January 2011, using the
terms 'parathyroiditis', 'inflammation of parathyroid gland', 'lymphocytic
infiltrate', 'tuberculosis of the parathyroid', 'sarcoidosis', and 'graulomatous
inflammation'. Three autopsy series, 27 articles and 96 case reports with
inflammatory parathyroid disorders were identified. Autopsy series showed
lymphocytic infiltrates in up to 16% of all cases. The entire material reported
lymphocytic infiltrates (n=69), parathyroiditis with germinal centres (n=15),
sarcoidosis (n=6), tuberculosis (n=4), and other granulomatous diseases (n=2).
CONCLUSIONS: Distinct inflammatory and granulomatous processes in the parathyroid
gland are rare. Scanty lymphocytic infiltrates are common, and occur in
generalized inflammatory conditions or venous congestion. We note the surprising
absence of an association between histological proof of parathyroiditis and
hypoparathyroidism.
PMID- 22074409
TI - Vicious dogs part 2: criminal thinking, callousness, and personality styles of
their owners.
AB - Every year over 885,000 dog bites require serious medical attention. Based on
human injury and insurance claims, six dog breeds were designated as "vicious"
(Akitas, Chows, Dobermans, Pit Bulls, Rottweilers, and Wolf-mixes). This study
was conducted to expand on previous research examining antisocial tendencies and
personality styles of people choosing to own vicious breeds. Seven hundred and
fifty-four college students completed a questionnaire assessing type of dog
owned, criminal thinking, callousness, personality, alcohol usage, and deviant
lifestyle behaviors. Vicious dog owners reported significantly higher criminal
thinking, entitlement, sentimentality, and superoptimism tendencies. Vicious dog
owners were arrested, engaged in physical fights, and used marijuana
significantly more than other dog owners. However, the homogeneous sample
utilized could impact the generalizability of these findings. Choosing to own a
vicious dog may be a "thin slice" indicator of more antisocial tendencies.
PMID- 22074408
TI - Calcitonin gene-related peptide (CGRP) and its receptor components in human and
rat spinal trigeminal nucleus and spinal cord at C1-level.
AB - BACKGROUND: Calcitonin gene-related peptide (CGRP) has a key role in migraine
pathophysiology and is associated with activation of the trigeminovascular
system. The trigeminal ganglion, storing CGRP and its receptor components,
projects peripheral to the intracranial vasculature and central to regions in the
brainstem with Adelta- and C-fibers; this constitutes an essential part of the
pain pathways activated in migraine attacks. Therefore it is of importance to
identify the regions within the brainstem that processes nociceptive information
from the trigeminovascular system, such as the spinal trigeminal nucleus (STN)
and the C1-level of the spinal cord. Immunohistochemistry was used to study the
distribution and relation between CGRP and its receptor components - calcitonin
receptor-like receptor (CLR) and receptor activity modifying protein 1 (RAMP1) -
in human and rat STN and at the C1-level, using a set of newly well characterized
antibodies. In addition, double-stainings with CGRP and myelin basic protein
(MBP, myelin), synaptophysin (synaptic vesicles) or IB4 (C-fibers in general)
were performed. RESULTS: In the STN, the highest density of CGRP immunoreactive
fibers were found in a network around fiber bundles in the superficial laminae.
CLR and RAMP1 expression were predominately found in fibers in the spinal
trigeminal tract region, with some fibers spanning into the superficial laminae.
Co-localization between CGRP and its receptor components was not noted. In C1,
CGRP was expressed in fibers of laminae I and II. The CGRP staining was similar
in rat, except for CGRP positive neurons that were found close to the central
canal. In C1, the receptor components were detected in laminae I and II, however
these fibers were distinct from fibers expressing CGRP as verified by confocal
microscopy. CONCLUSIONS: This study demonstrates the detailed expression of CGRP
and its receptor components within STN in the brainstem and in the spinal cord at
C1-level, and shows the possibility of CGRP acting postjunctionally in these
areas putatively involved in primary headaches.
PMID- 22074410
TI - Imatinib mesylate for the treatment of pulmonary arterial hypertension.
AB - INTRODUCTION: Despite recent advances, pulmonary arterial hypertension (PAH)
remains a devastating disease which harbors a poor prognosis. Novel therapeutic
approaches directly targeting pulmonary vascular remodeling are warranted. AREAS
COVERED: This review delineates the current limitations in the management of PAH
and focuses on a novel, anti-proliferative therapeutic concept. It will help
readers understand the mechanisms of receptor tyrosine kinase signaling, with a
special focus on platelet-derived growth factor (PDGF) receptors and their role
in the pathobiology of PAH. Furthermore, it provides a comprehensive summary
regarding the rationale, efficacy and safety of the tyrosine kinase inhibitor
imatinib mesylate , which potently inhibits the PDGF receptor, as an additional
treatment option in PAH. EXPERT OPINION: PDGF is a potent mitogen for pulmonary
vascular smooth muscle cells and represents an important mediator of pulmonary
vascular remodeling. Imatinib mesylate, a compound that inhibits the Bcr-Abl
kinase and was developed for the treatment of chronic myeloid leukemia, also
targets PDGF receptors. Both experimental and clinical data indicate that it
reverses the vascular remodeling process even when it is fully established.
Results from Phase II and III clinical trials suggest potent and prolonged
efficacy in patients with severe PAH (i.e., pulmonary vascular resistance > 800
dynes*s*cm(-5)). Future studies should evaluate the long-term clinical efficacy
and safety of imatinib, including patients with less impaired hemodynamics. Based
on the current knowledge, this compound is likely to become an additional
treatment option for patients with PAH and has the potential to at least
partially correct the pathology of the disease.
PMID- 22074411
TI - Rates of ionic reactions with charged nanoparticles in aqueous media.
AB - A theory is developed to evaluate the electrostatic correction for the rate of
reaction between a small ion and a charged ligand nanoparticle. The particle is
assumed to generally consist of an impermeable core and a shell permeable to
water and ions. A derivation is proposed for the ion diffusion flux that includes
the impact of the equilibrium electrostatic field distribution within and around
the shell of the particle. The contribution of the extra- and intraparticulate
field is rationalized in terms of a conductive diffusion factor, f(el), that
includes the details of the particle geometry (core size and shell thickness),
the volume charge density in the shell, and the parameters defining the
electrostatic state of the particle core surface. The numerical evaluation of
f(el), based on the nonlinear Poisson-Boltzmann equation, is successfully
complemented with semianalytical expressions valid under the Debye-Huckel
condition in the limits of strong and weak electrostatic screening. The latter
limit correctly includes the original result obtained by Debye in his 1942
seminal paper about the effect of electrostatics on the rate of collision between
two ions. The significant acceleration and/or retardation possibly experienced by
a metal ion diffusing across a soft reactive particle/solution interphase is
highlighted by exploring the dependence of f(el) on electrolyte concentration,
particle size, particle charge, and particle type (i.e., hard, core/shell, and
entirely porous particles).
PMID- 22074412
TI - Spinal cord stimulation with hybrid lead relieves pain in low back and legs.
AB - OBJECTIVE: The failed back surgery syndrome (FBSS) is the most common chronic
pain syndrome. Whereas it is relatively easy to achieve pain relief in the lower
limbs of FBSS patients with spinal cord stimulation (SCS), it is difficult to
manage low back pain with SCS. The performance of a paddle-shaped SCS lead that
can be inserted surgically as well as percutaneously (a hybrid lead) was
evaluated in a prospective study on the relief of low back pain and leg pain in
patients with FBSS. MATERIALS AND METHODS: Patients with FBSS being eligible for
SCS were enrolled in the study, and a hybrid lead was placed surgically. Outcome
measures included pain scores for low back and leg pain assessed by visual analog
scale (VAS), pain medication, and patient satisfaction. These scores were
assessed before and at regular intervals after implantation. RESULTS: It was
shown that a single hybrid lead, generally positioned over the physiological
midline of the spinal cord, is capable of alleviating both low back and leg pain
in patients with FBSS. Forty-five subjects were eligible for SCS and received
trial stimulation. Forty-two of them had a successful trial period and were
converted to a permanent system. Their average VAS score at baseline was 8.0 for
lower limb pain and 7.5 for low back pain. After six months of SCS, these average
VAS scores were reduced to 3.2 and 3.5, respectively, and also pain medication
was reduced significantly. CONCLUSION: SCS with a hybrid lead in subjects with
FBSS is safe, and causes significant pain relief in both the low back and the
lower limbs.
PMID- 22074414
TI - Feeling out of control: a qualitative analysis of the impact of bipolar disorder.
AB - Bipolar disorder is a chronic and recurrent disorder with fluctuating symptoms.
Few patients with bipolar disorder experience a simple trajectory of clear-cut
episodes, with recovery typically occurring slowly over time. The chronic and
disabling course of the disorder has a marked impact on the person's functioning
and relationships with others. The objectives of this study were to investigate
the impact of bipolar disorder on the lives of people diagnosed with this
disorder. The method used was a general inductive qualitative approach. Twenty
one participants were interviewed between 2008 and 2009 about how they had
experienced the impact of bipolar disorder. The interviews were audio-taped and
transcribed. The core theme that emerged was the participants were feeling out of
control. Their own reactions and the reactions of others to the symptoms of
bipolar disorder contributed to this core theme. The core theme was constituted
by feeling overwhelmed, a loss of autonomy and felling flawed. Mental health
nurses can help facilitate a sense of personal control for people with bipolar
disorder by exploring what the symptoms mean for that person and implementing
strategies to manage the symptoms, address social stigma and facilitate active
involvement in treatment.
PMID- 22074413
TI - The distribution of lung cancer across sectors of society in the United Kingdom:
a study using national primary care data.
AB - BACKGROUND: There is pressing need to diagnose lung cancer earlier in the United
Kingdom (UK) and it is likely that research using computerised general practice
records will help this process. Linkage of these records to area-level geo
demographic classifications may also facilitate case ascertainment for public
health programmes, however, there have as yet been no extensive studies of data
validity for such purposes. METHODS: To first address the need for validation, we
assessed the completeness and representativeness of lung cancer data from The
Health Improvement Network (THIN) national primary care database by comparing
incidence and survival between 2000 and 2009 with the UK National Cancer Registry
and the National Lung Cancer Audit Database. Secondly, we explored the potential
of a geo-demographic social marketing tool to facilitate disease ascertainment by
using Experian's Mosaic Public Sector TM classification, to identify detailed
profiles of the sectors of society where lung cancer incidence was highest.
RESULTS: Overall incidence of lung cancer (41.4/100, 000 person-years, 95%
confidence interval 40.6-42.1) and median survival (232 days) were similar to
other national data; The incidence rate in THIN from 2003-2006 was found to be
just over 93% of the national cancer registry rate. Incidence increased
considerably with area-level deprivation measured by the Townsend Index and was
highest in the North-West of England (65.1/100, 000 person-years). Wider
variations in incidence were however identified using Mosaic classifications with
the highest incidence in Mosaic Public Sector TMtypes 'Cared-for pensioners, '
'Old people in flats' and 'Dignified dependency' (191.7, 174.2 and 117.1 per 100,
000 person-years respectively). CONCLUSIONS: Routine electronic data in THIN are
a valid source of lung cancer information. Mosaic TM identified greater incidence
differentials than standard area-level measures and as such could be used as a
tool for public health programmes to ascertain future cases more effectively.
PMID- 22074415
TI - Suppression of realgar cage degradation during complexation: formation of hybrid
coordination polymers with As4S4, PAs3S3, and Cu(I) halide building blocks.
AB - Realgar, As(4)S(4), reacts with Cr(CO)(5)THF under cage degradation to give
As(4)S(3).Cr(CO)(5) (1). The reverse structural change is found if solutions of 1
in CH(2)Cl(2) react with equimolar amounts of PAs(3)S(3).W(CO)(5) and CuX (X =
Cl, Br, I) in CH(3)CN under biphasic diffusion conditions. The resulting
coordination polymers 2-4 contain a reconstituted realgar molecule along with the
PAs(3)S(3) cage. The crystal structures of (CuX)(As(4)S(4))(PAs(3)S(3)) (X = Cl:
2; Br: 3) are characterized by one-dimensional (1D) (CuX)(As(4)S(4)) strands,
which are formed by alternating As(4)S(4) cages and CuX dumbbells. Terminal
PAs(3)S(3) molecules are coordinated to copper by apical phosphorus and bridging
realgar through sulfur. The As(3) triangles of the resulting
(CuX)(As(4)S(4))(PAs(3)S(3)) strands interact with halides of neighbored strands
to give a folded three-dimensional (3D) network. The structure of
(CuI)(3)(As(4)S(4))(PAs(3)S(3)) (4) contains 1D (Cu(3)I(3))(n) strands as
backbones, which are bridged by sulfur atoms of two eta(1:2)-As(4)S(4) molecules
while PAs(3)S(3) confines the resulting sheet. The As(3) triangles at the surface
of the layers interact with iodide of the next layer to form a layered 3D
network.
PMID- 22074416
TI - Differences in adjustment by child developmental stage among caregivers of
children with disorders of sex development.
AB - BACKGROUND: The current study sought to compare levels of overprotection and
parenting stress reported by caregivers of children with disorders of sex
development at four different developmental stages. METHODS: Caregivers (N = 59)
of children with disorders of sex development were recruited from specialty
clinics and were asked to complete the Parent Protection Scale and Parenting
Stress Index/Short Form as measures of overprotective behaviors and parenting
stress, respectively. RESULTS: Analyses of covariance (ANCOVAs) were conducted to
examine differences between caregiver report of overprotection and parenting
stress. Results revealed that caregivers of infants and toddlers exhibited more
overprotective behaviors than caregivers of children in the other age groups.
Further, caregivers of adolescents experienced significantly more parenting
stress than caregivers of school-age children, and this effect was driven by
personal distress and problematic parent-child interactions, rather than having a
difficult child. CONCLUSIONS: These results suggest that caregivers of children
with disorders of sex development may have different psychosocial needs based
upon their child's developmental stage and based upon the disorder-related
challenges that are most salient at that developmental stage.
PMID- 22074417
TI - Critical effects on attractive solutes in binary liquid mixtures close to their
consolute point: a new experimental strategy.
AB - The effect of near-criticality upon the properties of dilute solutions of
attractive solutes has been previously studied only using pure solvents close to
the vapor-liquid critical point. The experimental difficulties that plague this
thermodynamic region have somewhat obscured the interpretations of the results.
Consequently, the coupling of long-range critical fluctuations with short-range
intermolecular interactions is still a matter of debate. We developed a new
strategy consisting of studying the changes in the solvation shell of probe
molecules dissolved in a binary solvent mixture close to its lower consolute
critical point. The study is based on UV-vis absorption and fluorescent emission
measurements of the solvatochromic and thermochromic effects of two dyes,
Reichardt's Dye and Nile Red, dissolved in mixtures of lutidine-water. The
results show unambiguously the existence of a subtle change in the composition of
the near-critical solvent surrounding the probe molecules with respect to the
bulk composition, thus we conclude that the coupling of long-range fluctuations
with short-range interactions is now firmly established.
PMID- 22074418
TI - One-step construction of tetrahydro-5H-indolo[3,2-c]quinolines from benzyl azides
and indoles via a cascade reaction sequence.
AB - A novel one-step assembly of tetrahydro-5H-indolo[3,2-c]quinolines from benzyl
azides and indoles via a formal [4 + 2] cycloaddition is described. A cascade
reaction sequence, which involves benzyl azide-to-iminium rearrangement followed
by two sequential Pictet-Spengler reactions, generates the tetracycles in
moderate to excellent yields. The current method is applicable to a broad
substrate scope and holds significant potential in constructing polycyclic
indolines with tertiary and/or quaternary carbon centers.
PMID- 22074420
TI - Prodrugs: pharmaceutical design and current perspectives.
PMID- 22074421
TI - L-Dopa prodrugs: an overview of trends for improving Parkinson's disease
treatment.
AB - L-Dopa is the mainstay of Parkinson's disease therapy; this drug is usually
administered orally, but it is extensively metabolized in the gastrointestinal
tract, so that relatively little arrives in the bloodstream as intact L-Dopa. The
peripheral conversion of L-Dopa by amino acid decarboxylase to dopamine is
responsible for the typical gastrointestinal and cardiovascular side effects. To
minimize the conversion to dopamine outside the central nervous system, L-Dopa is
usually given in combination with peripheral inhibitors of amino acid
decarboxylase. In spite of that, other central nervous side effects such as
dyskinesia, on-off phenomenon and end-of-dose deterioration still remain. The
main factors responsible for the poor bioavailability are the drug's physical
chemical properties: low water and lipid solubility, resulting in unfavorable
partition, and the high susceptibility to chemical and enzymatic degradation.
Starting from these considerations the prodrug approach has been applied to L
Dopa in order to overcome its metabolism problems and to improve its
bioavailability. The goal of this paper is to provide the reader with a critical
overview on L-Dopa prodrugs here classified according to the nature of the main
chemical modification on L-Dopa backbone that led to the formation of the desired
derivative.
PMID- 22074419
TI - Associations of iron metabolism genes with blood manganese levels: a population
based study with validation data from animal models.
AB - BACKGROUND: Given mounting evidence for adverse effects from excess manganese
exposure, it is critical to understand host factors, such as genetics, that
affect manganese metabolism. METHODS: Archived blood samples, collected from 332
Mexican women at delivery, were analyzed for manganese. We evaluated associations
of manganese with functional variants in three candidate iron metabolism genes:
HFE [hemochromatosis], TF [transferrin], and ALAD [delta-aminolevulinic acid
dehydratase]. We used a knockout mouse model to parallel our significant results
as a novel method of validating the observed associations between genotype and
blood manganese in our epidemiologic data. RESULTS: Percentage of participants
carrying at least one copy of HFE C282Y, HFE H63D, TF P570S, and ALAD K59N
variant alleles was 2.4%, 17.7%, 20.1%, and 6.4%, respectively. Percentage
carrying at least one copy of either C282Y or H63D allele in HFE gene was 19.6%.
Geometric mean (geometric standard deviation) manganese concentrations were 17.0
(1.5) MUg/l. Women with any HFE variant allele had 12% lower blood manganese
concentrations than women with no variant alleles (beta = -0.12 [95% CI = -0.23
to -0.01]). TF and ALAD variants were not significant predictors of blood
manganese. In animal models, Hfe(-/-) mice displayed a significant reduction in
blood manganese compared with Hfe(+/+) mice, replicating the altered manganese
metabolism found in our human research. CONCLUSIONS: Our study suggests that
genetic variants in iron metabolism genes may contribute to variability in
manganese exposure by affecting manganese absorption, distribution, or excretion.
Genetic background may be critical to consider in studies that rely on
environmental manganese measurements.
PMID- 22074422
TI - Salicylanilide ester prodrugs as potential antimicrobial agents--a review.
AB - Salicylanilides have been a subject of interest in medicinal chemistry as a group
with a wide range of biological activities. The antibacterial (including
antimycobacterial) and antifungal activities have come to be viewed as very
significant. The synthesis of new prodrugs to counter a number of problematic
properties of salicylanilides is a current trend. This article brings together
the known basic facts about these prodrugs, particularly about the different
mechanisms of the antimicrobial action of salicylanilides, including
salicylanilide toxicity and undesired effects. The largest part of this group
consists of antimicrobial salicylanilide esters with different organic acids,
e.g. acetates, carbamates, esters with N-protected amino acids, and mutual
antibacterial compounds with known antibacterial agents (beta-lactames and
linezolid), with the activity and structure-activity relationships of these
compounds being of particular interest. This review summarizes the activity of
salicylanilides as potential virulence inhibitors attributable to a blockade of
the type III secretion pathway. Many salicylanilide ester derivatives have been
demonstrated an effective and promising treatment against pathogenic fungi and
bacteria (especially against Gram-positive, tuberculous and atypical
mycobacterial strains), including strains such as methicillin-resistant
Staphylococcus aureus and isoniazid-resistant mycobacteria which are resistant to
one or more clinically used drugs.
PMID- 22074423
TI - Antimycobacterial evaluation of pyrazinoic acid reversible derivatives.
AB - Design, results of in vitro antimycobacterial evaluation, and study of structure
activity relationships of various pyrazinecarboxylic acid reversible derivatives
are presented. This review deals with some pyrazinamide analogues/prodrugs
derived from Nphenylpyrazine- 2-carboxamides (1), arylaminopyrazine-2,5
dicarbonitriles (2), aryl/alkylsulphanylpyrazines (3,4), and aroylpyrazines (5)
effecting >50% inhibition in the primary antimycobacterial screen. The promising
pyrazine candidates for further antimycobacterial evaluation were discovered.
Results give good view onto structure-activity relationships of these analogues
and promise even better activity of new compounds prepared after some structure
optimization experiments.
PMID- 22074424
TI - A prodrug approach to improve the physico-chemical properties and decrease the
genotoxicity of nitro compounds.
AB - In therapeutics research, the nitro compounds are part of an important group of
drugs with multiple pharmacological activities. However, in drug design, the
inclusion of a nitro group in a molecule changes the physico-chemical and
electronic properties and is associated with increased mutagenicity and
carcinogenicity. In addition, several studies have related the relationship
between the antimicrobial and/or anti-protozoal activity and the mutagenic effect
to reduction of the nitro group. This work reviews the toxicity of nitro
compounds and shows how the use of prodrugs can increase the biological activity
and decrease the genotoxicity of nitro compounds, without any modification in
nitro reduction behavior, but rather by physico-chemical improvement. Examples
are given of metronidazole and nitrofurazone prodrugs.
PMID- 22074425
TI - Prodrugs for targeted tumor therapies: recent developments in ADEPT, GDEPT and
PMT.
AB - The treatment of cancer with common anti-proliferative agents generally suffers
from an insufficient differentiation between normal and malignant cells which
results in extensive side effects. To enhance the efficacy and reduce the normal
tissue toxicity of anticancer drugs, numerous selective tumor therapies have
emerged including the highly promising approaches ADEPT (Antibody-Directed Enzyme
Prodrug Therapy), GDEPT (Gene-Directed Enzyme Prodrug Therapy) and PMT (Prodrug
Monotherapy). These allow a selective release of cytotoxic agents from non-toxic
prodrugs at the tumor site either by targeted antibody-enzyme conjugates, enzyme
encoding genes or by exploiting physiological and metabolic aberrations in
cancerous tissue. Herein, recent developments in the design and biological
evaluation of prodrugs for use in ADEPT, GDEPT and PMT are reviewed. As a
highlight, a series of novel glycosidic prodrugs based on the natural antibiotics
CC-1065 and the duocarmycins will be discussed which show a therapeutic window of
up to one million. Notably, the corresponding drugs have tremendously high
cytotoxicities with IC(50) values of down to 110 fM.
PMID- 22074426
TI - Prodrugs in photodynamic anticancer therapy.
AB - Photodynamic therapy (PDT), the concept of cancer treatment through the selective
uptake of a light-sensitive agent followed by exposure to a specific wavelength,
is limited by the transport of a photosensitizer (PS) to the tumor tissue.
Porphyrin, an important PS class, can be used in PDT in the form of its prodrug
molecule 5-aminolevulinic acid (5-ALA). Unfortunately, its poor pharmacokinetic
properties make this compound difficult to administer. Two different methods for
eliminating this problem can be distinguished. The first approach is to play with
its formulation in order to improve the drug's applicability. The second
approach, which is to find possible 5- ALA prodrugs, is an example of the double
prodrug method, a strategy often used in modern drug design. In this approach,
the biological mechanisms in a long biosynthetic pathway involving several steps
must be completed before the active drug appears. Recently, an idea of enhancing
PDT sensitization using the so-called iron chelators seemed to increase the
accumulation of protoporphyrin in cells. At the same time, iron chelators can
destroy tumor cells by producing active oxygen after the formation of an active
drug by chelating iron in the cancer cells. Thus, in the latter case, the therapy
resembles a prodrug strategy. The mechanism can be explained by the Fenton
reaction. Vitamin C is another example of a potential anticancer agent of this
type.
PMID- 22074427
TI - Prodrugs and endogenous transporters: are they suitable tools for drug targeting
into the central nervous system?
AB - Hydrophilic drugs, or neuroactive agents characterized by high molecular weight,
do not have the physico-chemical properties required for passive diffusion across
the blood brain barrier (BBB). The prodrug approach by lipidization of
hydrophilic drugs generally allows to sensibly increase their permeability across
BBB, even if this phenomenon is often not associated to an effective entry into
the brain of the lipidized drugs. It has been understood that active efflux
transporters (AET) can have a very important role in extruding from the brain not
only prodrugs obtained by lipidization processes, but also lipophilic drugs. On
the other hand, it has been also demonstrated that carrier mediated transporters
(CMT), able to transfer essential nutrients and hormones from the bloodstream to
the CNS, can be employed for the brain targeting of appropriated designed
prodrugs. This approach consists on the chemical modification of a drug into a
"pseudonutrient" or, differently, on drug conjugation to essential nutrients
transported by CMT systems. This review focuses the molecular aspects that
regulate the activity of the CMT and AET systems for the transport of their
substrates, taking into account the in vitro and in vivo studies related to these
transporters. The studies are described and summarized in the aim to evaluate the
molecular keys for the design of prodrugs efficacious in the brain targeting.
Among these, the molecular Trojan horses systems are briefly illustrated as
carriers for the transport in the brain of large molecular weight neuroactive
agents.
PMID- 22074428
TI - Recent advances in the design and synthesis of prednisolone and
methylprednisolone conjugates.
AB - Glucocorticoid drugs are commonly used in the treatment of many acute and chronic
inflammatory diseases. However, application of these steroids is limited because
of their physico-chemical properties, such as very low water solubility.
Glucocorticoids also exhibit serious adverse side effects. Therefore, new drug
delivery systems are being developed, with the aim of improving the
physicochemical properties of glucocorticoids while avoiding undesirable side
effects associated with systemic administration. Here we discuss the design and
synthesis of conjugates of prednisolone (PD), methylprednisolone (MPD) and
similar glucocorticoids. In this review, possibilities for targeting inflammatory
sites, and reducing dosages and administration frequency through increasing drug
circulation time are discussed. This review summarises synthetic approaches for
the preparation of covalent conjugates, which are divided into two groups: low
molecular weight conjugates and polymeric conjugates. These two groups are
further divided into subgroups based on the chemical structure of the conjugates.
Published results from in vitro and in vivo testing of prepared conjugates are
also discussed.
PMID- 22074429
TI - Chitosan derivatives with antimicrobial, antitumour and antioxidant activities--a
review.
AB - Chitosan is a linear polysaccharide with a good biodegradability,
biocompatibility, and no toxicity, which provide it with huge potential for
future development. The chitosan molecule appears to be a suitable polymeric
complex for many biomedical applications. This review gathers current findings on
the antibacterial, antifungal, antitumour and antioxidant activities of chitosan
derivatives and concurs with our previous review presenting data collected up to
2008. Antibacterial activity is based on molecular weight, the degree of
deacetylation, the type of substitutents, which can be cationic or easily form
cations, and the type of bacterium. In general, high molecular weight chitosan
cannot pass through cell membranes and forms a film that protects cells against
nutrient transport through the microbial cell membrane. Low molecular weight
chitosan derivatives are water soluble and can better incorporate the active
molecule into the cell. Gram-negative bacteria, often represented by Escherichia
coli, have an anionic bacterial surface on which cationic chitosan derivatives
interact electrostatically. Thus, many chitosan conjugates have cationic
components such as ammonium, pyridinium or piperazinium substituents introduced
into their molecules to increase their positive charge. Gram-positive bacteria
like Staphylococcus aureus are inhibited by the binding of lower molecular weight
chitosan derivatives to DNA or RNA. Chitosan nanoparticles exhibit an increase in
loading capacity and efficacy. Antitumour active compounds such as doxorubicin,
paclitaxel, docetaxel and norcantharidin are used as drug carriers. It is evident
that chitosan, with its low molecular weight, is a useful carrier for molecular
drugs requiring targeted delivery. The antioxidant scavenging activity of
chitosan has been established by the strong hydrogen-donating ability of
chitosan. The low molecular weight and greater degree of quarternization have a
positive influence on the antioxidant activity of chitosan. Phenolic and
polyphenolic compounds with antioxidant effects are condensed with chitosan to
form mutual prodrugs.
PMID- 22074430
TI - There is more to predicting vascular disease than just established risk factors.
PMID- 22074431
TI - (Pro)renin receptor as a new drug target.
AB - Over the last few years, the implication of the (pro)renin receptor [(P)RR] in
the pathogenesis of end-organ damage has been shown through many different
studies. The (P)RR plays a dual role when stimulated by renin or prorenin as it
enhances both cell surface production of angiotensin and stimulates angiotensin
independent intracellular signaling cascades. Since Ichihara's group demonstrated
activation of prorenin when it was bound to antibodies targeted against a
specific region in the renin prosegment, they designed a complementary
decapeptide to this region called the handle region to use as a potential (P)RR
blocker (PRRB). The effects of systemic administration of the PRRB on the
development and progression of different renal, cardiac and ocular pathologies
have been observed and have thus proposed the blocker as a potential new
treatment for these afflictions. Conversely, the specificity of the PRRB has been
questioned as conflicting results have been reported in the literature. A recent
study has described a new high affinity binding site for renin and prorenin to
the (P)RR called the hinge region. Hence, although there is great promise in the
(P)RR potential as a therapeutic target, still much research is required to
better identify adequate blockers.
PMID- 22074432
TI - Lipid lowering drugs and gallstones: a therapeutic option?
AB - Cholelithiasis is a common disease worldwide. The majority of gallstones can
occur when the bile is supersaturated with cholesterol. Dyslipidaemia, obesity,
insulin resistance are associated with an increased risk for cholesterol
gallstone formation as well as with vascular risk. Statins and ezetimibe are used
to treat dyslipidaemia and appear to have some effect on bile composition and
cholesterol gallstone formation. Statin (e.g. pravastatin, simvastatin,
fluvastatin and lovastatin) monotherapy or combined with ursodeoxycholic acid
(UDCA) have shown reductions in bile cholesterol saturation, preventing gallstone
formation and even dissolving pre-existing stones. However, this effect was not
consistently reported in all studies. Statin use has also been associated with a
reduced risk for cholecystectomy in 2 large epidemiological studies. Ezetimibe
was shown to have a beneficial action against cholelithiasis in animal studies
but data in humans - although promising - are very limited. The effect of these
drugs on gallstone disease warrants further investigation in large human trials.
We also consider the links between cholelithiasis, vascular risk and the use of
lipid lowering drugs.
PMID- 22074433
TI - Liver enzymes: potential cardiovascular risk markers?
AB - Several cross-sectional studies have reported a relationship between elevated
serum activity of liver enzymes [e.g. alanine aminotransferase (ALT) and gamma
glutamyltransferase (gammaGT)] and metabolic syndrome (MetS) and/or diabetes
mellitus (DM). Raised serum activity of liver enzymes independently predicted the
future development of MetS and DM as well as cardiovascular (CV) events and/or
total/CV mortality in prospective studies. However, this association was not
consistently demonstrated and it appears to be independent of alcohol intake.
Even though these associations can be partly attributed to non-alcoholic fatty
liver disease (NAFLD) and insulin resistance, there may be additional underlying
mechanisms that contribute to the increased CV risk (e.g. inflammation and
oxidative stress). The association of gammaGT with atherosclerotic plaque is of
particular importance.
PMID- 22074434
TI - Is bilirubin a marker of vascular disease and/or cancer and is it a potential
therapeutic target?
AB - Normal aerobic metabolism is associated with reactive oxygen species (ROS) that
can damage cellular macromolecules. Analogous free radicals are formed by
exposure to ionizing radiation and many dietary products are considered to
contain free radical generators. During the past 15 years epidemiological studies
and animal experiments have identified bilirubin as a molecule at the crossroads
of the protection of the body against ROS. The studies have focused on bilirubin
as a biomarker of arterial disease. This review assesses the current state of
evidence and sets the data in context. There is no definitive evidence from
prospective studies of a causal protective effect from bilirubin in arterial
disease or that various genetic polymorphisms, (particularly the 7/7 UGT1A1
repeat polymorphism) impacts coronary artery disease. There is no definitive
evidence that high bilirubin levels confer protection against cancer. There is
some preliminary evidence that bilirubin may have a protective effect in lung
disease and stroke, but the reports have yet to be confirmed. The role of various
genotypes of UGT1A1 and HMOX1, if any, in cancer is unclear.
PMID- 22074435
TI - Therapeutic modulation of lipoprotein-associated phospholipase A2 (Lp-PLA2).
AB - Lipoprotein-associated phospholipase A2 (Lp-PLA2) is a calcium-independent
phospholipase A2 that circulates in plasma in association with lipoprotein
particles, whereas in atherosclerotic plaques it is co-localized with
macrophages. Lp-PLA2 generates two proinflammatory mediators,
lysophosphatidylcholine and oxidized nonesterified fatty acids, which play a role
in the development of atherosclerotic lesions and formation of a necrotic core,
leading to more vulnerable plaques. Epidemiologic studies demonstrate that
increased circulating levels of Lp-PLA2 predict an increased risk of myocardial
infarction, stroke and cardiovascular mortality. Furthermore, histologic
examination of diseased human coronary arteries reveals intense presence of the
enzyme in atherosclerotic plaques that are prone to rupture. These considerations
suggest Lp-PLA2 as a promising therapeutic target in cardiovascular disease.
Plasma levels of Lp-PLA2 are increased in various types of hyperlipidemias, while
hypolipidemic drugs reduce plasma Lp-PLA2 activity and mass along with the
improvement of plasma lipid profile. A selective inhibitor of Lp-PLA2 activity,
darapladib, has been developed and studies in animal models and humans have shown
that it effectively and safely reduces Lp-PLA2 activity in plasma and in
atherosclerotic plaques. Furthermore, in animal models darapladib decreases
plaque area and necrotic core area whereas in humans it prevents the expansion of
necrotic core volume. Whether the results obtained from the use of darapladib in
studies in vitro, as well as in preclinical and clinical studies would translate
into benefits on cardiovascular event outcomes, awaits to be proved in 2 ongoing
phase 3 trials.
PMID- 22074436
TI - Heat shock protein-60 and risk for cardiovascular disease.
AB - Cardiovascular disease (CVD) is a leading cause of morbidity and mortality
worldwide. There is growing evidence that molecular chaperones, many of which are
heat shock proteins HSPs, are involved in CVD pathogenesis. In this review we
focus on HSP60, the human mitochondrial chaperone that also displays
extramitochondrial and extracellular functions. HSP60 is typically cytoprotective
but a number of stress conditions determine its conversion to a potentially toxic
molecule for cells and tissues. We present illustrative examples of specific
subtypes of CVD where HSP60 is implicated in the initiation and/or progression of
disease. The data not only indicate a pathogenic role for HSP60 but also its
potential as a biomarker with applications for diagnosis, assessing prognosis and
response to treatment, as well as for preventing and treating CVD.
PMID- 22074437
TI - Statin discontinuation in high-risk patients: a systematic review of the
evidence.
AB - Hypercholesterolemia is a major risk factor for cardiovascular disease (CVD), the
leading cause of death worldwide. Since the late 1980s, statins have emerged as
effective lipid-lowering therapies and are now widely used to protect against and
slow the progression of CVD and cerebrovascular disease. However, there is a
significant gap between disease improvement in clinical trials and daily practice
possibly attributable to poor adherence with statin therapy. High discontinuation
rates were reported in primary and secondary prevention. This systematic review
aims to summarize the current literature regarding the association between statin
therapy discontinuation and cardiovascular and cerebrovascular events and all
cause mortality in high-risk patients. Available English literature was reviewed
using Medline, Embase, Web of Sciences and the Cochrane Library; 39 studies were
identified. In primary and secondary prevention, as well as perioperatively, non
adherence or discontinuation of statin therapy was associated with detrimental
effects on cardiovascular and cerebrovascular outcomes, including disease
severity and mortality. Importantly, some studies reported that very low
adherence and discontinuation was associated with worse outcomes than never using
statins. In conclusion, non-adherence and discontinuation of statin therapy
significantly increased the incidence of cardiovascular and cerebrovascular
events as well as all-cause mortality in high-risk patients. Patients would
therefore benefit from closer adherence assessment and education programs aimed
at increasing awareness of the risk associated with discontinuation of statin
therapy.
PMID- 22074438
TI - Role of physician gender in the quality of care of cardiometabolic diseases.
AB - Evidence suggests that patient gender is associated with the quality of care
provided in the treatment of cardiometabolic diseases. The majority of findings
suggest that female patients receive less intensified care than male patients.
However, the question whether physician gender plays a role in the quality of
care has been debated for some time. For example, it has been postulated that the
practice styles of female physicians, such as spending more time with a patient,
hearing and listening more effectively, and including more preventive measures,
may result in more efficient clinical encounters that may positively affect
clinical outcomes. This narrative review examines the existing evidence regarding
the effects of physician gender on the quality of care provided, focusing mainly
on patients with cardiometabolic diseases.
PMID- 22074439
TI - Evaluation of the possible contribution of antioxidants administration in
metabolic syndrome.
AB - The metabolic syndrome (MetS) is common, and its associated risk burdens of
diabetes and cardiovascular disease (CVD) are a major public health problem. The
hypothesis that main constituent parameters of the MetS share common
pathophysiologic mechanisms provides a conceptual framework for the future
research. Exercise and weight loss can prevent insulin resistance and reduce the
risk of diseases associated with the MetS. Interrupting intracellular and
extracellular reactive oxygen species (ROS) overproduction could also contribute
to normalizing the activation of metabolic pathways leading to the onset of
diabetes, endothelial dysfunction, and cardiovascular (CV) complications. On the
other hand, it is difficult to counteract the development of CV complications by
using conventional antioxidants. Indeed, interest has focused on strategies that
enhance the removal of ROS using either antioxidants or drugs that enhance
endogenous antioxidant defense. Although these strategies have been effective in
laboratory experiments, several clinical trials have shown that they do not
reduce CV events, and in some cases antioxidants have actually worsened the
outcome. More research is needed in this field.
PMID- 22074441
TI - European veterinary dissertations.
PMID- 22074440
TI - DNA binding activity of Helicobacter pylori DnaB helicase: the role of the N
terminal domain in modulating DNA binding activities.
AB - Replicative helicases are major motor proteins essential for chromosomal DNA
replication in prokaryotes. Usually hexameric in solution, their DNA binding
property must have different roles at stages ranging from the loading onto a
branched structure at initiation from the origin to the highly processive
translocation during elongation. Here, we have analysed the DNA binding activity
of Helicobacter pylori (Hp) replicative helicase, DnaB. The results indicate that
while the C-terminal region is important for its DNA binding activity, the N
terminus appears to dampen the protein's affinity for DNA. The masking activity
of the N-terminus does not require ATP or hexamerization of HpDnaB and can be
overcome by deleting the N-terminus. It can also be neutralized by engaging the N
terminus in an interaction with a partner like the C-terminus of DnaG primase.
The inhibitory effect of the N-terminus on DNA binding activity is consistent
with the 3D homology model of HpDnaB. Electron microscopy of the HpDnaB-ssDNA
complex showed that HpDnaB preferentially bound at the ends of linear ssDNA and
translocated along the DNA in the presence of ATP. These results provide an
insight into the stimulatory and inhibitory effects of different regions of
HpDnaB on DNA binding activities that may be central to the loading and
translocation functions of DnaB helicases.
PMID- 22074442
TI - A new species of Spauligodon (Nematoda: Oxyuroidea: Pharyngodonidae) in Gonatodes
antillensis (Squamata: Sphaerodactylidae) from Bonaire, Lesser Antilles.
AB - Spauligodon bonairensis n. sp. from the large intestines of the Antilles gecko,
Gonatodes antillensis (Lacertidae), from Bonaire, Lesser Antilles, is described
and illustrated. The new species is the 48th assigned to the genus and the 10th
from the Neotropical region. Spauligodon bonairensis n. sp. is most similar to S.
giganticus, S. hemidactylus, S. lamonthei, and S. oxkutzcabiensis in that only
these 5 species possess lanceolate eggs. For males of these species, only S.
lamothei possess a spicule, and only S. oxktzcabiensis has an aspinose tail. The
egg of S. hemidactylus has 2 knobs; in S. giganticus, the more rounded end
supports the single knob. In S. bonairensis n. sp., the more pointed end supports
the single knob.
PMID- 22074443
TI - Bioinorganic chemistry of titanium.
PMID- 22074444
TI - Extraction of DNA from malaria-infected erythrocytes using isotachophoresis.
AB - We demonstrate a technique for purification of nucleic acids from malaria
parasites infecting human erythrocytes using isotachophoresis (ITP). We release
nucleic acids from malaria-infected erythrocytes by lysing with heat and
proteinase K for 10 min and immediately, thereafter, load sample onto a capillary
device. We study the effect of temperature on lysis efficiency. We also implement
pressure-driven counterflow during ITP extraction to extend focusing time and
increase nucleic acid yield. We show that the purified genomic DNA samples are
compatible with polymerase chain reaction (PCR) and demonstrate a clinically
relevant limit of detection of 0.5 parasites per nanoliter using quantitative
PCR.
PMID- 22074445
TI - Determination of HIV status of infants born to HIV-infected mothers: a review of
the diagnostic methods with special focus on the applicability of p24 antigen
testing in developing countries.
AB - In 2009, 2.5 million children under the age of 15 y were living with human
immunodeficiency virus/acquired immune deficiency syndrome (HIV/AIDS); 370,000
were diagnosed with HIV and 260,000 died due to AIDS. More than 90% of the
children infected with HIV live in sub-Saharan Africa. Most children infected
with HIV contract the infection in utero, during delivery, or via breast milk.
This review outlines the current diagnostic methods to determine the HIV status
of infants born to HIV-infected mothers. The HIV DNA and RNA polymerase chain
reaction (PCR) tests are highly accurate and are recommended as the first-choice
diagnostic methods. However, they are expensive and require complex laboratory
procedures. Consequently, a search for less costly and complicated methods has
led to the testing of p24 antigen analyses as an alternative to the gold-standard
PCR tests, with encouraging results. The p24 antigen Perkin Elmer assay currently
most often used has a sensitivity of 98.8% and a specificity of 100% (infants 6
weeks of age). Larger-scale studies should be performed in resource-limited
settings to confirm these findings.
PMID- 22074446
TI - Successful and unsuccessful cannabis quitters: comparing group characteristics
and quitting strategies.
AB - BACKGROUND: In order to improve treatments for cannabis use disorder, a better
understanding of factors associated with successful quitting is required. METHOD:
This study examined differences between successful (n=87) and unsuccessful (n=78)
cannabis quitters. Participants completed a questionnaire addressing demographic,
mental health, and cannabis-related variables, as well as quitting strategies
during their most recent quit attempt. RESULTS: Eighteen strategies derived from
cognitive behavioral therapy were entered into a principal components analysis.
The analysis yielded four components, representing (1) Stimulus Removal, (2)
Motivation Enhancement, (3) (lack of) Distraction, and (4) (lack of) Coping.
Between groups comparisons showed that unsuccessful quitters scored significantly
higher on Motivation Enhancement and (lack of) Coping. This may indicate that
unsuccessful quitters focus on the desire to quit, but do not sufficiently plan
strategies for coping. Unsuccessful quitters also had significantly more symptoms
of depression and stress; less education; lower exposure to formal treatment;
higher day-to-day exposure to other cannabis users; and higher cannabis
dependence scores. CONCLUSIONS: The findings suggest that coping, environmental
modification, and co-morbid mental health problems may be important factors to
emphasize in treatments for cannabis use disorder.
PMID- 22074447
TI - Radiation-induced primitive neuroectodermal tumour - a rare cause and
consequence.
PMID- 22074448
TI - High resolution melting analysis for the detection of EMS induced mutations in
wheat SBEIIa genes.
AB - BACKGROUND: Manipulation of the amylose-amylopectin ratio in cereal starch has
been identified as a major target for the production of starches with novel
functional properties. In wheat, silencing of starch branching enzyme genes by a
transgenic approach reportedly caused an increase of amylose content up to 70% of
total starch, exhibiting novel and interesting nutritional characteristics. In
this work, the functionality of starch branching enzyme IIa (SBEIIa) has been
targeted in bread wheat by TILLING. An EMS-mutagenised wheat population has been
screened using High Resolution Melting of PCR products to identify functional
SNPs in the three homoeologous genes encoding the target enzyme in the hexaploid
genome. RESULTS: This analysis resulted in the identification of 56, 14 and 53
new allelic variants respectively for SBEIIa-A, SBEIIa-B and SBEIIa-D. The
effects of the mutations on protein structure and functionality were evaluated by
a bioinformatic approach. Two putative null alleles containing non-sense or
splice site mutations were identified for each of the three homoeologous SBEIIa
genes; qRT-PCR analysis showed a significant decrease of their gene expression
and resulted in increased amylose content. Pyramiding of different single null
homoeologous allowed to isolate double null mutants showing an increase of
amylose content up to 21% compared to the control. CONCLUSION: TILLING has
successfully been used to generate novel alleles for SBEIIa genes known to
control amylose content in wheat. Single and double null SBEIIa genotypes have
been found to show a significant increase in amylose content.
PMID- 22074449
TI - Day-case stapedotomy: is it a viable option?
AB - CONCLUSIONS: Stapedotomy is, in our opinion, the technique of choice in stapes
surgery. The precision of this technique allows clinicians to perform the
surgical procedure in day surgery under local anesthesia. OBJECTIVES: There is a
strong emphasis on increasing the number of elective day surgery cases,
especially in the patients' best interest, as it decreases the likelihood of late
cancellation and hospital-acquired morbidity. A prospective study was performed
to determine whether stapes surgery for otosclerosis could be performed safely in
an outpatient setting. METHODS: We present a series of stapes surgery cases for
otosclerosis performed on a day-case basis. We performed a classic stapedotomy in
9 patients, a reverse classic step stapedotomy in 2 patients, a partial reverse
classic step stapedotomy in 11 patients, and a hemi-stapedectomy in two patients.
RESULTS: Three of 24 patients (12.5%) treated with classic stapedotomy, 1 patient
with partial reverse classic step stapedotomy, and 1 patient with hemi
stapedectomy were formally admitted to the hospital after surgery (length of
stay, 23 h). The indications were vertigo (two patients) and asthenia (one
patient). These patients were treated under general anesthesia. Two of these
patients resided more than 250 km away from the hospital.
PMID- 22074450
TI - Temporal changes in the prevalence of childhood asthma and allergies in urban and
rural areas of Cyprus: results from two cross sectional studies.
AB - BACKGROUND: The prevalence of childhood asthma and allergies in Cyprus was
significantly higher in urban compared to rural areas back in the year 2000,
against a background of an overall low prevalence (e.g. current wheeze 6.9%) by
comparison to northern European countries. In this study we aimed to assess
temporal changes in the prevalence of asthma and allergies in Cyprus after an 8
year interval and to examine whether any differential changes have occurred in
urban and rural parts of the island. METHODS: During the academic years 1999-2000
and 2007-2008, the parents of 7-8 year old children residing in the same set of
urban and rural areas completed the ISAAC core questionnaire. In addition to
providing prevalence estimates of allergic diseases in 2000 and 2008, changes
between the two periods were expressed as odds ratios estimated in multiple
logistic regression models adjusting for survey participants' characteristics.
RESULTS: The prevalence of current wheeze was higher in 2008 (8.7%, 95%
confidence interval 7.5%-9.9%, n = 2216) than the previously recorded figure in
2000 (6.9%, 95% CI 6.2%-7.6%, OR = 1.25, 95% CI: 1.02-1.53, n = 4944).
Significant increases were also seen in the prevalence of lifetime asthma (11.3%
vs. 17.4%, OR = 1.59, CI: 1.36-1.86), eczema (6.8% vs. 13.5%, OR = 1.91, CI: 1.59
2.29) and allergic rhinoconjuctivitis (2.6% vs. 5.2%, OR = 1.82, CI: 1.39-2.41).
The prevalence of current wheeze nearly doubled between 2000 and 2008 in rural
areas (5.4% vs. 9.7%, OR 1.81, CI: 1.24-2.64) while no significant change was
observed in urban areas (7.5% vs. 8.4%, OR 1.08, CI: 0.84-1.37); p value for
effect modification = 0.04. Rises in asthma and rhinitis prevalence, but not
eczema were also more pronounced in rural compared to urban areas. CONCLUSIONS:
The prevalence of allergic diseases in Cyprus is still on the rise; recent
increases appear more pronounced among children living in rural areas possibly
indicating recent environmental and lifestyle changes in these communities.
PMID- 22074451
TI - Exploring ancestral variation of the hyoid.
AB - This study utilizes metric analysis to examine size and shape variation between
hyoids of Africans and Europeans in the Robert J. Terry Anatomical Collection. A
total of 200 fused and unfused hyoids were measured and three statistical methods
were employed to explore variation between ancestries. First, independent sample
t-tests showed that some significant size differences do occur between
ancestries. Second, to examine shape variation, skeletal measurements were
regressed on the geometric mean using least squares linear regression with the
residuals used to evaluate size-corrected shape differences. Finally,
discriminant function analysis was used to develop two functions for ancestry
prediction with overall accuracies of 73% and 77%. Results of the analyses
suggest hyoid size and shape differences do occur between ancestries, notably
that European hyoids are broader than African hyoids, while the African hyoid is
longer than Europeans.
PMID- 22074452
TI - Solution NMR evidence for symmetry in functionally or crystallographically
asymmetric homodimers.
AB - A recurrent theme of many structural studies of homo-oligomeric protein systems
is concerned with verification that the conformation observed in a crystal
represents the functionally relevant structure. An asymmetric conformation
adopted by two chemically identical subunits in homo-oligomers can represent an
intrinsic property of a protein or be an artifact induced by crystal packing
forces. Solution NMR studies can distinguish between these two possibilities.
Using methyl-based NMR spectroscopy, we provide evidence for symmetry in the
absence of ligands in several homodimeric proteins that are either asymmetric
functionally and/or adopt different conformations of the two subunits in
available X-ray structures.
PMID- 22074453
TI - A study comparing plasmakinetic enucleation with bipolar plasmakinetic resection
of the prostate for benign prostatic hyperplasia.
AB - BACKGROUND AND PURPOSE: Plasmakinetic enucleation of the prostate (PKEP) has been
reported to be a new method for the transurethral management of benign prostatic
hyperplasia (BPH). Our objective was to compare the safety and efficiency of PKEP
with that of bipolar plasmakinetic resection of the prostate (PKRP) in the
management of BPH. PATIENTS AND METHODS: A total of 303 patients with lower
urinary tract symptoms associated with BPH were included in our study. Of these,
143 patients underwent PKRP and 160 underwent PKEP. All patients were
preoperatively assessed and evaluated at 3 months after surgery. International
Prostate Symptom Score (IPSS), quality of life (QoL), postvoid residual urine
(PVRU) volume, and maximum flow rate (Qmax) were obtained at the follow-up. The
perioperative data and postoperative outcomes were compared. Immediate and late
complications were recorded. RESULTS: There were no significant differences
between the two surgical groups preoperatively. The mean prostate volumes in the
PKRP and PKEP groups were 75.6 cc and 77.3 cc, respectively. Both groups were
similar with respect to operative time, resected tissue weight, catheterization
time, and the total length of hospital stay. The blood loss observed in the PKEP
group was significantly less than that of conventional PKRP (P<0.0001). PKEP was
significantly superior to PKRP in terms of the transient incontinence in the
postoperative period (P=0.03). Both groups resulted in a significant improvement
from baseline in terms of IPSS, QoL, Qmax, and PVRU volume values. No significant
difference was found between them, however. CONCLUSIONS: PKEP is a safe and
effective method for the transurethral management of BPH. Compared with PKRP, the
main advantage of PKEP is the decreased risk of blood loss and transient
incontinence.
PMID- 22074454
TI - A tale of two mRNA degradation pathways mediated by RNase E.
AB - RNase E is an essential endoribonuclease with a preference for RNA substrates
with 5'-monophosphate ends. Primary transcripts, which have 5' triphosphate ends,
are thus protected from RNase E. Their conversion to 5'-monophosphate transcripts
by RppH is a prerequisite for RNase E-mediated processing and degradation. 5'
monophosphate recognition involves binding to a subdomain in the catalytic core
of RNase E known as the 5' sensor. There are, however, transcripts that can be
attacked directly by RNase E in a 5'-end-independent pathway. Direct entry
involves elements outside of the catalytic domain that are located in the
carboxyl terminal half (CTH) of RNase E. Strains harbouring rne alleles that
express variants of RNase E in which 5' sensing (rneR169Q) or direct entry
(rneDeltaCTH) are inactivated, are viable. However, the rneR169Q/rneDeltaCTH and
DeltarppH/rneDeltaCTH combinations are synthetic lethal suggesting that the
essential function(s) of RNase E requires at least one of these pathways to be
active. A striking result is the demonstration that mutations affecting Rho
dependent transcription termination can overcome synthetic lethality by a pathway
that requires RNase H. It is hypothesized that R-loop formation and RNase H
cleavage substitute for RNase E-dependent RNA processing and mRNA degradation.
PMID- 22074455
TI - Rechargeable internal neural stimulators--is there a problem with efficacy?
AB - INTRODUCTION: With the advent of rechargeable internal neural stimulators (rINS)
for deep brain stimulation, our aim was to survey patient satisfaction and
clinical efficacy in an early cohort of patients receiving this new technology.
METHODS: This is an observational study on nine patients with rINS. All patients
had initially received non-rechargeable INS with established efficacy of their
deep brain stimulation system for either dystonia or pain. Patient satisfaction
and efficacy with their rINS were established by completion of a questionnaire, a
quality of life assessment (SF-36), and calculation of the total electrical
energy delivered (TEED) by the rINS. RESULTS: A reduction in efficacy of their
rINS was noticed in 22% of patients. In 78% of patients, there was a problem with
recharging their rINS because of poor contact. Two patients (22%) felt that
recharging the rINS interfered with their lives and it was a daily reminder that
they had a deep brain stimulator system in situ. Eight out of nine patients
(89%), however, would recommend to other patients to have an rINS. CONCLUSION:
Most patients were happy with their rechargeable internal neural stimulator. A
reduction in efficacy was noticed in 22% of patients, which is similar to the
proportion of patients noticing a reduction in efficacy when replacing with a non
rechargeable system. Thus, all patients require close monitoring post-replacement
of rINS, in case possible adjustment of parameters is required.
PMID- 22074456
TI - Destruction of chloroanisoles by using a hydrogen peroxide activated method and
its application to remove chloroanisoles from cork stoppers.
AB - A chemical method for the efficient destruction of 2,4,6-trichloroanisole (TCA)
and pentachloroanisole (PCA) in aqueous solutions by using hydrogen peroxide as
an oxidant catalyzed by molybdate ions in alkaline conditions was developed.
Under optimal conditions, more than 80.0% TCA and 75.8% PCA were degraded within
the first 60 min of reaction. Chloroanisoles destruction was followed by a
concomitant release of up to 2.9 chloride ions per TCA molecule and 4.6 chloride
ions per PCA molecule, indicating an almost complete dehalogenation of
chloroanisoles. This method was modified to be adapted to chloroanisoles removal
from the surface of cork materials including natural cork stoppers (86.0%
decrease in releasable TCA content), agglomerated corks (78.2%), and granulated
cork (51.3%). This method has proved to be efficient and inexpensive with
practical application in the cork industry to lower TCA levels in cork materials.
PMID- 22074457
TI - Absolute intensities of NH-stretching transitions in dimethylamine and pyrrole.
AB - Vibrational spectra of vapor-phase dimethylamine (DMA) and pyrrole have been
recorded in the 1000 to 13000 cm(-1) region using long path conventional
spectroscopy techniques. We have focused on the absolute intensities of the NH
stretching fundamental and overtone transitions; Deltanu(NH) = 1-4 regions for
DMA and the Deltanu(NH) = 1-3 regions for pyrrole. In the Deltanu(NH) = 1-3
regions for DMA, evidence of tunneling splitting associated with the NH-wagging
mode is observed. For DMA, the fundamental NH-stretching transition intensity is
weaker than the first NH-stretching overtone. Also, the fundamental NH-stretching
transition in DMA is much weaker than the fundamental transition in pyrrole. We
have used an anharmonic oscillator local mode model with ab initio calculated
local mode parameters and dipole moment functions at the CCSD(T)/aug-cc-pVTZ
level to calculate the NH-stretching intensities and explain this intensity
anomaly in DMA.
PMID- 22074458
TI - The lifeworld characteristics of mental health nurses engaging in talk-based
therapies: a qualitative study from Scotland and England.
AB - Twenty-four mental health nurses were interviewed to gain a greater understanding
of their experiences of working within roles delivering talk-based therapies. The
study participants, while echoing the broad direction and purpose of policy that
supports mental health nurses expanding talk-based therapy roles, also offered
insights into the challenges associated with embedding these roles into mental
health settings. One area of findings analysed using Nvivo 8 software related to
the characteristics of the environments in which these roles are, or will be
enacted. Three key characteristics of these environments were: (1) low power and
worth; (2) obstacles to success; and (3) uncertainty. Responses to the challenges
found within this study are required from individual nurses, local organizations
and strategic levels of nursing to ensure the successful implementation and
uptake of talk-based therapy roles into the mental health nursing profession.
PMID- 22074461
TI - Chiroptical spectra of a series of tetrakis((+)-3
heptafluorobutylyrylcamphorato)lanthanide(III) with an encapsulated alkali metal
ion: circularly polarized luminescence and absolute chiral structures for the
Eu(III) and Sm(III) complexes.
AB - The luminescence and circularly polarized luminescence (CPL) spectra of
M(I)[Eu((+)-hfbc)(4)] show a similar behavior to the exciton CD in the
intraligand pi-pi* transitions when the alkali metal ions and solvents are
manipulated. There is a difference in susceptibility in solvation toward the
alkali metal ions but not toward the Eu(III) ion, as in the case of axially
symmetric DOTA-type compounds. The remarkable CPL in the 4f-4f transitions
provide much more information on the stereospecific formation of chiral Eu(III)
complexes, since CPL spectroscopy is limited to luminescent species and reflects
selectively toward helicity of the local structural environment around the
lanthanide(III). While in comparison, exciton CD reveals the chiral structural
information from the helical arrangement of the four bladed chelates. Of special
importance, the observation of the highest CPL activities measured to date for
lanthanide(III)-containing compounds (i.e., Eu and Sm) in solution supports the
theory that the chirality of lanthanide(III) in the excited state corresponds to
that in the ground state, which was derived from the exciton CD.
PMID- 22074462
TI - Oxazole as an electron-deficient diene in the Diels-Alder reaction.
AB - The Diels-Alder cycloaddition reaction of oxazole with ethylene is facilitated by
addition of an alkyl group or Bronsted or Lewis acids to the oxazole nitrogen
atom. The efficacy consists of stabilizing the transition state, lowering the
activation barrier and the HOMO(dienophile)-LUMO(diene) gap, and increasing the
reaction exothermicity.
PMID- 22074460
TI - Pro-inflammatory gene expression and neurotoxic effects of activated microglia
are attenuated by absence of CCAAT/enhancer binding protein beta.
AB - BACKGROUND: Microglia and astrocytes respond to homeostatic disturbances with
profound changes of gene expression. This response, known as glial activation or
neuroinflammation, can be detrimental to the surrounding tissue. The
transcription factor CCAAT/enhancer binding protein beta (C/EBPbeta) is an
important regulator of gene expression in inflammation but little is known about
its involvement in glial activation. To explore the functional role of C/EBPbeta
in glial activation we have analyzed pro-inflammatory gene expression and
neurotoxicity in murine wild type and C/EBPbeta-null glial cultures. METHODS: Due
to fertility and mortality problems associated with the C/EBPbeta-null genotype
we developed a protocol to prepare mixed glial cultures from cerebral cortex of a
single mouse embryo with high yield. Wild-type and C/EBPbeta-null glial cultures
were compared in terms of total cell density by Hoechst-33258 staining;
microglial content by CD11b immunocytochemistry; astroglial content by GFAP
western blot; gene expression by quantitative real-time PCR, western blot,
immunocytochemistry and Griess reaction; and microglial neurotoxicity by
estimating MAP2 content in neuronal/microglial cocultures. C/EBPbeta DNA binding
activity was evaluated by electrophoretic mobility shift assay and quantitative
chromatin immunoprecipitation. RESULTS: C/EBPbeta mRNA and protein levels, as
well as DNA binding, were increased in glial cultures by treatment with
lipopolysaccharide (LPS) or LPS + interferon gamma (IFNgamma). Quantitative
chromatin immunoprecipitation showed binding of C/EBPbeta to pro-inflammatory
gene promoters in glial activation in a stimulus- and gene-dependent manner. In
agreement with these results, LPS and LPS+IFNgamma induced different
transcriptional patterns between pro-inflammatory cytokines and NO synthase-2
genes. Furthermore, the expressions of IL-1beta and NO synthase-2, and consequent
NO production, were reduced in the absence of C/EBPbeta. In addition,
neurotoxicity elicited by LPS+IFNgamma-treated microglia co-cultured with neurons
was completely abolished by the absence of C/EBPbeta in microglia. CONCLUSIONS:
These findings show involvement of C/EBPbeta in the regulation of pro
inflammatory gene expression in glial activation, and demonstrate for the first
time a key role for C/EBPbeta in the induction of neurotoxic effects by activated
microglia.
PMID- 22074463
TI - Injuries associated with housing conditions in Europe: a burden of disease study
based on 2004 injury data.
AB - BACKGROUND: The authors recently undertook a study for the World Health
Organization estimating the European burden of injuries that can be attributed to
remediable structural hazards in the home. Such estimates are essential for
motivating injury prevention efforts as they quantify potential health gains, in
terms of injuries prevented, via specific environmental interventions. METHODS:
We combined exposure estimates from existing surveys and scenarios with estimates
of the exposure-risk relationship obtained from a structured review of the
literature on injury in the home and housing conditions. The resulting
attributable fractions were applied to burden of injury data for the WHO European
Region. RESULTS: This analysis estimated that two specific hazards, lack of
window guards at second level and higher, and lack of domestic smoke detectors
resulted in an estimated 7,500 deaths and 200,000 disability adjusted life years
(DALYs) per year. In estimating the environmental burden of injury associated
with housing, important deficiencies in injury surveillance data and related
limitations in studies of injury risk attributable to the home environment were
apparent. The ability to attribute proportions of the home injury burden to
features of the home were correspondingly limited, leading to probable severe
underestimates of the burden. CONCLUSIONS: The burden of injury from modifiable
home injury exposures is substantial. Estimating this burden in a comprehensive
and accurate manner requires improvements to the scope of injury surveillance
data and the evidence base regarding the effectiveness of interventions.
PMID- 22074464
TI - Comparison of higher order aberrations after implantable Collamer Lens
implantation and wavefront-guided LASEK in high myopia.
AB - PURPOSE: To compare changes in ocular higher order aberrations (HOAs) after
Visian Implantable Collamer Lens (ICL, STAAR Surgical Co) implantation and
wavefront-guided laser epithelial keratomileusis (WFG-LASEK) to correct high
myopia. METHODS: This prospective case series comprised 30 eyes (18 patients)
that underwent ICL implantation (ICL group) and 33 eyes (18 patients) that
underwent WFG-LASEK (WFG-LASEK group). All eyes had spherical equivalent of -6.00
to -9.00 diopters. Entire ocular, internal optic, and corneal HOAs were measured
before surgery and 3 months after surgery using a laser ray tracing aberrometer.
Contrast sensitivity testing was performed in 10 eyes from each group at a
photopic light level of 85 cd/m(2) and a mesopic level of 3 cd/m(2) 3 months
after surgery. RESULTS: In the ICL group, HOAs changed for the entire ocular
trefoil-y, spherical aberration, internal optic spherical aberration, and corneal
trefoil-y. In the WFG-LASEK group, increased HOAs were observed for total HOAs,
entire ocular and corneal spherical aberration, secondary astigmatism, and
tetrafoil. The ICL group had lower induced aberration values of entire ocular and
corneal HOAs compared with the WFG-LASEK group. No significant differences in
contrast sensitivity values between groups at the photopic level were noted;
however, contrast sensitivity values were significantly lower for 3 (P=.01) and 6
cycles per degree (P<.001) in the WFG-LASEK group at the mesopic level. At the
mesopic level, total HOAs, trefoil-y, spherical aberration, and secondary
astigmatism were higher in the WFG-LASEK group. CONCLUSIONS: Implantable Collamer
Lens implantation induced fewer ocular and corneal HOAs and resulted in better
contrast sensitivity at mesopic levels than WFG-LASEK in eyes with high myopia.
PMID- 22074465
TI - Inadvertent LASIK flap creation over a soft contact lens.
AB - PURPOSE: To report the findings and outcomes in two cases in which a contact lens
was inadvertently present during creation of a LASIK corneal flap. METHODS:
Interventional case report. RESULTS: A soft contact lens blocked the successful
creation of the corneal flap with a femtosecond laser in one patient. Repeat
surgery 1 week later resulted in a normal flap. In the second patient, a thin but
normal-shaped flap was successfully created using a microkeratome despite the
presence of a soft contact lens in the patient's eye. No adverse effect on vision
was noted in either case. CONCLUSIONS: Refractive surgeons are encouraged to
establish or review current procedures to ensure adequate contact lens removal
prior to LASIK surgery, as it may result in an aborted procedure or a potentially
thin and/or irregular corneal flap.
PMID- 22074466
TI - Theoretical performance of intraocular lenses correcting both spherical and
chromatic aberration.
AB - PURPOSE: To assess the performance and optical limitations of intraocular lenses
(IOLs) correcting both longitudinal spherical aberration (LSA) and longitudinal
chromatic aberration (LCA) compared to standard spherical and aspheric IOLs.
METHODS: Using a set of 46 white light, pseudophakic eye models representing a
population of cataract patients, retinal image quality was assessed for three IOL
designs-standard spherical IOLs; aspheric IOLs, correcting a fixed amount of LSA;
and aspheric refractive/diffractive IOLs, correcting a fixed amount of LSA and
LCA. Depth of field and tolerance to IOL misalignments were also assessed.
RESULTS: The improvement factor, based on the area under the radial polychromatic
modulation transfer function (pMTF) curve of the IOL, correcting both average LSA
and LCA over the aspheric IOL was 1.19+/-0.12, and over the spherical IOL was
1.43+/-0.29. Within the range of +/-1.00 diopter of defocus, pMTF of the IOL
correcting both LSA and LCA was equal or higher than both the spherical and
aspheric IOLs. The IOL could be decentered up to 0.6 to 0.8 mm before the
performance degraded below that of a spherical IOL. CONCLUSIONS: This is the
first study that evaluates IOLs correcting both LSA and LCA in the presence of
corneal higher order aberrations. Intraocular lenses that correct both LSA and
LCA improve simulated retinal image quality over spherical IOLs and IOLs that
correct LSA alone, without sacrificing depth of field or tolerance to
decentration. Correction of LCA in combination with LSA shows the potential to
improve visual performance.
PMID- 22074467
TI - Intracorneal ring segments implantation followed by same-day photorefractive
keratectomy and corneal collagen cross-linking in keratoconus.
AB - PURPOSE: To evaluate the efficacy of intrastromal corneal ring segments
implantation followed by same-day photorefractive keratectomy (PRK) and
ultraviolet-A/riboflavin collagen cross-linking (CXL) in patients with
keratoconus. METHODS: Four patients (five eyes) were included in the study. All
patients first underwent femtosecond laser-enabled placement of intracorneal ring
segments (Intacs, Addition Technology). Uncorrected (UDVA) and corrected distance
visual acuity (CDVA) and keratometry readings remained stable for 6 months. Same
day PRK and CXL were subsequently performed in all patients. RESULTS: Six months
after Intacs plus PRK/CXL, significant improvements were noted for UDVA, CDVA,
spherical equivalent refraction, keratometry, and total aberrations. No patient
lost lines of CDVA or developed haze. CONCLUSIONS: The combination of
intracorneal ring segments implantation followed by sequential same-day PRK/CXL
may be a reasonable option for improving visual acuity in select patients with
keratoconus.
PMID- 22074468
TI - Migration, social mobility and common mental disorders: critical review of the
literature and meta-analysis.
AB - OBJECTIVE: Changes in socio-economic position in people who migrate may have
adverse associations with mental health. The main objective of this review was to
assess the association of social mobility with common mental disorders in migrant
and second-generation groups, to inform future research. DESIGN: Systematic
review and meta-analysis of English-language studies assessing the association of
social mobility in migrant or second-generation groups with common mental
disorders. Approaches to operationalise 'social mobility' were reviewed. RESULTS:
Twelve studies (n=18,548) met criteria for retrieval. Very few included second
generation groups, and most studies were cross-sectional in design. Approaches to
operationalise 'social mobility' varied between studies. Downward
intragenerational social mobility was associated with migration in the majority
of studies. Random effects meta-analysis (n=5179) suggested that migrants to
higher income countries who experienced downward mobility or underemployment were
more likely to screen positive for common mental disorders, relative to migrants
who were upwardly mobile or experienced no changes to socio-economic position.
Conclusions on second-generation groups were limited by the lack of research
highlighted for these groups. Downward intragenerational mobility associated with
migration may be associated with vulnerability to common mental disorders in some
migrant groups. CONCLUSION: Given the increasing scale of global migration,
further research is needed to clarify how changes to socio-economic position
associated with international migration may impact on the mental health of
migrants, and in their children.
PMID- 22074469
TI - EphrinA5 suppresses colon cancer development by negatively regulating epidermal
growth factor receptor stability.
AB - Colon cancer is one of the most common human cancers worldwide. Owing to its
aggressiveness and lethality, it is necessary to determine the mechanisms
regulating the carcinogenesis of colon cancer. EphrinA5 has been reported to act
as a putative tumor suppressor in glioma; however, little is known concerning the
role of this protein in the context of colon cancer. To elucidate the biological
significance of ephrinA5 in colon cancer, we examined ephrinA5 and epidermal
growth factor receptor (EGFR) expression profiles in both colon cancer and normal
tissues, using immunohistochemistry on a 96-spot tissue microarray. Gain-of
function and loss-of-function experiments were performed on the human colon
cancer cell lines SW480 and WiDr to determine the biological effects of ephrinA5
in relation to cell proliferation, survival, and migration. It was found that
ephrinA5 mRNA and protein levels were significantly reduced in colon cancer as
compared with normal colon tissue specimens. EphrinA5 expression was also
negatively associated with tumor differentiation and clinical stage. In colon
cancer cell line models, ephrinA5 exerted an inhibitory effect on EGFR by
promoting c-Cbl-mediated EGFR ubiquitination and degradation. EphrinA5 did not
affect the transcriptional regulation of EGFR mRNA expression in colon cancer
cells. Expression of ephrinA5 suppressed colon cancer cell proliferation,
migration, and chemotherapeutic resistance. In conclusion, ephrinA5 inhibited
colon cancer progression by promoting c-Cbl-mediated EGFR degradation. Our
findings identify a novel mechanism that could be utilized to improve the
therapeutic efficiency of EGFR-targeting strategies.
PMID- 22074470
TI - European veterinary dissertations.
PMID- 22074471
TI - Inferring semantic organization from refractory access dysphasia: further
replication in the domains of geography and proper nouns but not concrete and
abstract concepts.
AB - Patients with "refractory access dysphasia" have been a source of unique insight
into the organization of previously unexplored domains of semantic knowledge
(i.e., proper nouns, geography, concrete and abstract concepts). However, much of
the relevant data have been based on the performance of a small number of
patients. Here, we present 2 patients who both display a "refractory access"
pattern of performance on spoken-word-written-word matching tasks and test their
performance in the domains of famous people, geography, and abstract and concrete
words. While these patients show performance similar to that for the previously
reported patients in the domains of famous people and geography, they show a very
different pattern of performance with abstract and concrete nouns. We discuss
possible reasons why patients may differ in performance and evidence for and
against the "differential frameworks" hypothesis for the organization of concrete
and abstract concepts.
PMID- 22074472
TI - Face recognition impairments despite normal holistic processing and face space
coding: evidence from a case of developmental prosopagnosia.
AB - Holistic processing and face space coding are widely considered primary
perceptual mechanisms behind good face recognition. Here, however, we present the
case of S.P., a developmental prosopagnosic who demonstrated severe impairments
in face memory and face perception, yet showed normal holistic processing and
face space coding. Across three composite experiments, S.P. showed normal
strength holistic processing for upright faces and no composite effect for
inverted faces. Across five aftereffect experiments, S.P. showed normal-sized
face aftereffects, which derived normally from face space rather than shape
generic mechanisms. The case of S.P. implies: (a) normal holistic processing and
face space coding can be insufficient for good face recognition even when present
in combination; and (b) the focus of recent literature on holistic processing and
face space should be expanded to include other potential face processing
mechanisms (e.g., part-based processing). Our article also highlights the
importance of internal task reliability in drawing inferences from single-case
studies.
PMID- 22074477
TI - Incorporation of positively charged linkages into DNA and RNA backbones: a novel
strategy for antigene and antisense agents.
PMID- 22074478
TI - Visualising neuroinflammation in post-stroke patients: a comparative PET study
with the TSPO molecular imaging biomarkers [11C]PK11195 and [11C]vinpocetine.
AB - With the main objective of comparing the prospective diagnostic power of two 11C
labelled molecular imaging biomarkers with affinity for TSPO and used for the
visualisation of activated microglia after a stroke, we measured with positron
emission tomography (PET) in four post-stroke patients the regional brain uptake
and binding potential of [11C]vinpocetine and [11C]PK11195. Percentage standard
uptake values (%SUV) and binding potential (BPND) were used as outcome measures.
The total peak brain uptake value and average global brain uptake value were
higher for [11C]vinpocetine than for [11C]PK11195. The regional %SUV values were
significantly higher for [11C]vinpocetine than for [11C]PK11195 in the
hemispheres as well as in almost all standard brain regions. The %SUV values of
[11C]vinpocetine were higher in the peri-infarct zone than in the ischaemic core,
however, the difference did not prove to be significant. There was basically no
difference in %SUV values between the ischaemic core and the peri-infarct zone
for [11C]PK11195. The BPND values for [11C]vinpocetine were higher in all
standard regions than those for [11C]PK11195, but the difference was not
significant between them. The BPND values of [11C]vinpocetine were higher in the
peri-infarct zone than in the ischaemic core, however, the difference did not
prove to be significant. A comparative analysis of the two ligands indicates that
[11C]vinpocetine shows a number of favourable characteristics over [11C]PK11195,
but to demonstrate that it may serve as a prospective molecular imaging biomarker
of microglia activation in post-stroke patients, further studies are required.
PMID- 22074479
TI - Epidermal growth factor receptors: a functional perspective.
AB - The epidermal growth factor receptors (EGFRs) belong to the ErbB family of
receptor tyrosine kinases (TKs) involved in the proliferation of normal and
malignant cells. EGFR has attracted considerable attention as a target for cancer
therapy. This article considers various functional roles of EGFR-based systems
that are relevant for the early detection and staging of cancers overexpressing
EGFR.
PMID- 22074480
TI - Synthesis of 99mTc-nimotuzumab with tricarbonyl ion: in vitro and in vivo
studies.
AB - The Epidermal growth factor receptor (EGFR) family plays an important role in
carcinogenesis. CIMAher(r) (Nimotuzumab), is a humanized monoclonal antibody,
which recognizes EGFR with high affinity. The aim of this work was to perform the
direct labeling of Nimotuzumab with [99mTc(CO)3(H2O)3]+ as precursor and to
evaluate its labeling conditions, in vitro and in vivo stability and
biodistrution in normal C57 BL/6J mice. 99mTc(CO3)-Nimotuzumab labeling yields
were up to 90%. More than 90% of the complex remained intact after 24 h of
incubation with L-Histidine (1/300 molar ratio). Biodistribution studies in
normal mice were also performed. Inmunoreactivity was confirmed by cell binding
assays with A431cells. These results encourage the evaluation of the potential
role of 99mTc(CO)3-Nimotuzumab as a novel tumor-avid radiotracer for targeting in
vivo EGFR expression.
PMID- 22074481
TI - (68)Ga-Citrate-PET for diagnostic imaging of infection in rats and for intra
abdominal infection in a patient.
AB - OBJECTIVES: 67Ga-Citrate has been extensively used for infection and inflammation
imaging for the past four decades but has limitations. In the present study, we
explored the ability of 68Ga-Citrate to detect Staphylococcus aureus (Staph A)
infection in rats and further studied its ability to localize intra-abdominal
infection in a patient. METHODS: An infection was induced in male Wistar rats by
injecting Staph A in the right thigh muscle. In this study a simple method was
described for the preparation of 68Ga-Citrate with > 99% yield and purity. 68Ga
Citrate (15 MBq/rat and 150 MBq/patient) was injected intravenously and the
images were acquired for 10 min each. RESULTS: 68Ga-Citrate uptake was moderate
at the infection lesion within 5 min post injection but intense focal uptake was
visualized from 30 min to 6 hr post-injection in rats. Cardiac blood pool and
liver activity decreased during the same period of study. In the patient studied,
an infected area in the abdomen at the site of recent appendectomy was detected
within 30min post-injection of 68Ga-Citrate, which was consistent with CT and
microbiology findings. CONCLUSION: A simple method of preparation of 68Ga-Citrate
with > 99% yield and purity was described, suitable for routine clinical work.
Our results showed 68Ga-Citrate is capable of detecting Staph A infection in rats
and an intraabdominal infection in a post-operative patient. These findings
indicate the high potential of 68Ga-Citrate for clinical utility.
PMID- 22074482
TI - Generation of subject-specific, dynamic, multisegment ankle and foot models to
improve orthotic design: a feasibility study.
AB - BACKGROUND: Currently, custom foot and ankle orthosis prescription and design
tend to be based on traditional techniques, which can result in devices which
vary greatly between clinicians and repeat prescription. The use of computational
models of the foot may give further insight in the biomechanical effects of these
devices and allow a more standardised approach to be taken to their design,
however due to the complexity of the foot the models must be highly detailed and
dynamic. METHODS/DESIGN: Functional and anatomical datasets will be collected in
a multicentre study from 10 healthy participants and 15 patients requiring
orthotic devices. The patient group will include individuals with metarsalgia,
flexible flat foot and drop foot.Each participant will undergo a clinical foot
function assessment, 3D surface scans of the foot under different loading
conditions, and detailed gait analysis including kinematic, kinetic, muscle
activity and plantar pressure measurements in both barefoot and shod conditions.
Following this each participant will undergo computed tomography (CT) imaging of
their foot and ankle under a range of loads and positions while plantar pressures
are recorded. A further subgroup of participants will undergo magnetic resonance
imaging (MRI) of the foot and ankle.Imaging data will be segmented to derive the
geometry of the bones and the orientation of the joint axes. Insertion points of
muscles and ligaments will be determined from the MRI and CT-scans and soft
tissue material properties computed from the loaded CT data in combination with
the plantar pressure measurements. Gait analysis data will be used to drive the
models and in combination with the 3D surface scans for scaling purposes.
Predicted plantar pressures and muscle activation patterns predicted from the
models will be compared to determine the validity of the models. DISCUSSION: This
protocol will lead to the generation of unique datasets which will be used to
develop linked inverse dynamic and forward dynamic biomechanical foot models.
These models may be beneficial in predicting the effect of and thus improving the
efficacy of orthotic devices for the foot and ankle.
PMID- 22074484
TI - IDH1 mutations are not found in cartilaginous tumours other than central and
periosteal chondrosarcomas and enchondromas.
PMID- 22074483
TI - MiRNA expression patterns predict survival in glioblastoma.
AB - BACKGROUND: In order to define new prognostic subgroups in patients with
glioblastoma a miRNA screen (> 1000 miRNAs) from paraffin tissues followed by a
bio-mathematical analysis was performed. METHODS: 35 glioblastoma patients
treated between 7/2005 - 8/2008 at a single institution with surgery and
postoperative radio(chemo)therapy were included in this retrospective analysis.
For microarray analysis the febit biochip "Geniom(r) Biochip MPEA homo-sapiens"
was used. Total RNA was isolated from FFPE tissue sections and 1100 different
miRNAs were analyzed. RESULTS: It was possible to define a distinct miRNA
expression pattern allowing for a separation of distinct prognostic subgroups.
The defined miRNA pattern was significantly associated with early death versus
long-term survival (split at 450 days) (p = 0.01). The pattern and the prognostic
power were both independent of the MGMT status. CONCLUSIONS: At present, this is
the first dataset defining a prognostic role of miRNA expression patterns in
patients with glioblastoma. Having defined such a pattern, a prospective
validation of this observation is required.
PMID- 22074485
TI - Microglia use multiple mechanisms to mediate interactions with vitronectin; non
essential roles for the highly-expressed alphavbeta3 and alphavbeta5 integrins.
AB - BACKGROUND: As the primary resident immune cells, microglia play a central role
in regulating inflammatory processes in the CNS. The extracellular matrix (ECM)
protein vitronectin promotes microglial activation, switching microglia into an
activated phenotype. We have shown previously that microglia express two
vitronectin receptors, alphavbeta3 and alphavbeta5 integrins. As these integrins
have well-defined roles in activation and phagocytic processes in other cell
types, the purpose of the current study was to investigate the contribution of
these two integrins in microglial activation. METHODS: Microglial cells were
prepared from wild-type, beta3 integrin knockout (KO), beta5 integrin KO or
beta3/beta5 integrin DKO mice, and their interactions and activation responses to
vitronectin examined in a battery of assays, including adhesion, expression of
activation markers, MMP-9 expression, and phagocytosis. Expression of other
alphav integrins was examined by flow cytometry and immunoprecipitation. RESULTS:
Surprisingly, when cultured on vitronectin, microglia from the different knockout
strains showed no obvious defects in adhesion, activation marker expression, MMP
9 induction, or phagocytosis of vitronectin-coated beads. To investigate the
reason for this lack of effect, we examined the expression of other alphav
integrins. Flow cytometry showed that beta3/beta5 integrin DKO microglia
expressed residual alphav integrin at the cell surface, and immunoprecipitation
confirmed this finding by revealing the presence of low levels of the alphavbeta1
and alphavbeta8 integrins. beta1 integrin blockade had no impact on adhesion of
beta3/beta5 integrin DKO microglia to vitronectin, suggesting that in addition to
alphavbeta1, alphavbeta3, and alphavbeta5, alphavbeta8 also serves as a
functional vitronectin receptor on microglia. CONCLUSIONS: Taken together, this
demonstrates that the alphavbeta3 and alphavbeta5 integrins are not essential for
mediating microglial activation responses to vitronectin, but that microglia use
multiple redundant receptors to mediate interactions with this ECM protein.
PMID- 22074486
TI - Determining transport efficiency for the purpose of counting and sizing
nanoparticles via single particle inductively coupled plasma mass spectrometry.
AB - Currently there are few ideal methods for the characterization of nanoparticles
in complex, environmental samples, leading to significant gaps in toxicity and
exposure assessments of nanomaterials. Single particle-inductively coupled plasma
mass spectrometry (spICPMS) is an emerging technique that can both size and count
metal-containing nanoparticles. A major benefit of the spICPMS method is its
ability to characterize nanoparticles at concentrations relevant to the
environment. This paper presents a practical guide on how to count and size
nanoparticles using spICPMS. Different methods are investigated for measuring
transport efficiency (i.e., nebulization efficiency), an important term in the
spICPMS calculations. In addition, an alternative protocol is provided for
determining particle size that broadens the applicability of the technique to all
types of inorganic nanoparticles. Initial comparison, using well-characterized,
monodisperse silver nanoparticles, showed the importance of having an accurate
transport efficiency value when determining particle number concentration and, if
using the newly presented protocol, particle size. Ultimately, the goal of this
paper is to provide improvements to nanometrology by further developing this
technique for the characterization of metal-containing nanoparticles.
PMID- 22074487
TI - Conservation and loss of ribosomal RNA gene sites in diploid and polyploid
Fragaria (Rosaceae).
AB - BACKGROUND: The genus Fragaria comprises species at ploidy levels ranging from
diploid (2n = 2x = 14) to decaploid (2n = 10x = 70). Fluorescence in situ
hybridization with 5S and 25S rDNA probes was performed to gather cytogenetic
information that illuminates genomic divergence among different taxa at multiple
ploidy levels, as well as to explore the evolution of ribosomal RNA genes during
polyploidization in Fragaria. RESULTS: Root tip cells of diploid taxa were
typified by two 5S and six 25S rDNA hybridization signals of varying intensities,
providing a baseline for comparisons within the genus. In three exceptional
diploid genotypes, F. nilgerrensis (CFRA 1358 and CFRA 1825) and F. vesca 'Yellow
Wonder', two 5S but only four 25S rDNA sites were found but with differing site
losses. The numbers of 5S and 25S rDNA signals, respectively were three and nine
in a triploid F. *bifera accession, and were four and twelve in three
tetraploids, thus occurring in proportional 1.5* and 2* multiples of the typical
diploid pattern. In hexaploid F. moschata, a proportional multiple of six 5S rDNA
sites was observed, but the number of 25S rDNA sites was one or two less than the
proportionate prediction of eighteen. This apparent tendency toward rDNA site
loss at higher ploidy was markedly expanded in octoploids, which displayed only
two 5S and ten 25S rDNA sites. In the two decaploids examined, the numbers of 5S
and 25S rDNA signals, respectively, were four and fifteen in F. virginiana subsp.
platypetala, and six and twelve in F. iturupensis. CONCLUSIONS: Among diploid
Fragaria species, a general consistency of rDNA site numbers implies conserved
genomic organization, but highly variable 25S signal sizes and intensities and
two instances of site loss suggest concurrent high dynamics of rDNA copy numbers
among both homologs and non-homologs. General conservation of rDNA site numbers
in lower ploidy, but marked site number reductions at higher ploidy levels,
suggest complex evolution of rDNA sites during polyploidization and/or
independent evolutionary pathways for 6x versus higher ploidy strawberries. Site
number comparisons suggest common genomic composition among natural octoploids,
and independent origins of the two divergent decaploid accessions.
PMID- 22074488
TI - Sleep-related groaning: prevalence and characteristics in a cohort of patients
with suspected obstructive sleep apnea.
AB - CONCLUSION: Nocturnal groaning has the same prevalence in patients referred for
diagnosis of sleep-disordered breathing as among other populations referred for
sleep studies. The respiratory tracings in these patients have a distinct
appearance that is possible to recognize with a polygraphic recording and thereby
prevent the pattern from being misdiagnosed as central apneas. OBJECTIVES: The
aim of this study was first to estimate the prevalence of groaning in patients
referred for diagnosis of sleep-related breathing disorders. Second, we wanted to
describe the respiratory pattern in order to distinguish the patients from
patients with sleep apnea. METHODS: This was a prospective study in 1004
patients, performed in the Sleep Unit in our ENT Department, during a 12 month
period. RESULTS: Four patients were diagnosed with video polysomnography, and the
diagnosis of nocturnal groaning was confirmed. The prevalence of groaning in our
sleep laboratory was 0.4%. All the patients had a mild form of sleep-related
disturbance, and all groaning episodes occurred during REM sleep. The groaning
events appeared in clusters. The length of each groan varied between 4 and 38 s.
The number of events in a period varied between 2 and 11, and the length of each
groaning period ranged between 11 and 168 s.
PMID- 22074490
TI - Anti-Shiga toxin immunoglobulin G antibodies in healthy South Korean
slaughterhouse workers.
AB - BACKGROUND: Slaughterhouse workers are in direct contact with cattle nearly every
day. The purpose of this study was to survey the presence and distribution of
anti-Shiga toxin 1 (Stx1) immunoglobulin G (IgG) in slaughterhouse workers,
enabling a study of the serologic response to this toxin while working in an area
at high-risk of Stx-producing Escherichia coli (STEC) infection. METHODS: One
thousand seven hundred and twenty-nine serum samples from healthy slaughterhouse
employees were collected and surveyed by indirect enzyme-linked immunosorbent
assay (ELISA). RESULTS: Among the 5 slaughterhouse positions, slaughterers had
the highest distribution of anti-Stx1 IgG values by an ELISA. Based on the ELISA
values, 25% (433/1729) of the workers had anti-Stx1 IgG. Slaughterers, residual
products handlers, inspectors, livestock hygiene controllers, and grading testers
had anti-Stx1 IgG-positive rates of 28%, 25%, 20%, 19%, and 17%, respectively.
The ELISA values of anti-Stx1 IgG increased with increases in the number of years
worked by slaughterers, but not by residual products handlers, inspectors,
livestock hygiene controllers, or grading testers. CONCLUSIONS: From these
results, slaughterhouse workers are healthy and asymptomatic; slaughterers in
particular are at high-risk for STEC exposure.
PMID- 22074491
TI - Mental health promotion of Iranian university students: the effect of self-esteem
and health locus of control.
AB - The purpose of this study was to investigate the effect of self-esteem and health
control belief on promoting students' mental health. In so doing, 144 students
from two medical universities in the north-east of Iran were recruited into
study. They were pair-matched and randomly assigned to case and control groups.
The data were collected through Goldberg's General Health Questionnaire-28,
Multidimensional Health Locus of Control and Rosenberg's Self-Esteem Scales. The
results showed that there were significant differences between the groups before
and after the intervention. The external components of health locus of control
(chance and powerful others) showed a significant decrease but the internal
health locus of control and self-esteem revealed a significant increase after the
intervention (P < 0.0001). Moreover, the students' mental health had a
significant increase after 3 months of intervention (P < 0.0001). The findings
emphasize that the programme can improve the health locus of control beliefs,
self-esteem and mental health promotion of the students. This will require
additional monitoring and uninterrupted attempts to be effective.
PMID- 22074492
TI - Rationally designed phthalocyanines having their main absorption band beyond 1000
nm.
AB - Highly air-stable phthalocyanines (Pcs) having their main absorption band beyond
1000 nm have been synthesized using main-group elements as peripheral and central
(core) substituents. The resultant [(PhS)(8)PcP(OMe)(2)][PF(6)] and
[(PhSe)(8)PcP(OMe)(2)][PF(6)] show a single Q-band peak at 1018 and 1033 nm,
respectively, which was achieved by carefully taking into account the
spectroscopic properties of Pcs and the characteristics of the frontier orbitals.
The large red shift can be considered to originate from synergistic effects
involving both the group-15 and -16 elements.
PMID- 22074494
TI - Developmental validation of the AmpFlSTR(r) Identifiler(r) Plus PCR Amplification
Kit: an established multiplex assay with improved performance.
AB - Analysis of length polymorphism at short tandem repeat (STR) loci utilizing
multiplex polymerase chain reaction (PCR) remains the primary method for
genotyping forensic samples. The AmpFlSTR((r)) Identifiler((r)) Plus PCR
Amplification Kit is an improved version of the AmpFlSTR((r)) Identifiler((r))
PCR Amplification Kit and amplifies the core CODIS loci: D3S1358, D5S818, D7S820,
D8S1179, D13S317, D16S539, D18S51, D21S11, CSF1PO, FGA, TH01, TPOX, and vWA.
Additional loci amplified in the multiplex reaction are the sex-determinant,
amelogenin, and two internationally accepted loci, D2S1338 and D19S433. While the
primer sequences and dye configurations were unchanged, the AmpFlSTR((r))
Identifiler((r)) Plus PCR Amplification Kit features an enhanced buffer
formulation and an optimized PCR cycling protocol that increases sensitivity,
provides better tolerance to PCR inhibitors, and improves performance on mixture
samples. The AmpFlSTR((r)) Identifiler((r)) Plus PCR Amplification Kit has been
validated according to the FBI/National Standards and Scientific Working Group on
DNA Analysis Methods (SWGDAM) guidelines. The validation results support the use
of the AmpFlSTR((r)) Identifiler((r)) Plus PCR Amplification Kit for human
identity and parentage testing.
PMID- 22074495
TI - Targeting notch pathway enhances rapamycin antitumor activity in pancreas cancers
through PTEN phosphorylation.
AB - BACKGROUND: Pancreas cancer is one of most aggressive human cancers with the
survival rate for patients with metastatic pancreas cancer at 5-6 months. The
poor survival demonstrates a clear need for better target identification, drug
development and new therapeutic strategies. Recent discoveries have shown that
the role for Notch pathway is important in both development and cancer. Its
contribution to oncogenesis also involves crosstalks with other growth factor
pathways, such as Akt and its modulator, PTEN. The mounting evidence supporting a
role for Notch in cancer promotion and survival suggests that targeting this
pathway alone or in combination with other therapeutics represents a promising
therapeutic strategy. RESULTS: Using a pancreas cancer tissue microarray, we
noted that Jagged1, Notch3 and Notch4 are overexpressed in pancreas tumors (26%,
84% and 31% respectively), whereas Notch1 is expressed in blood vessels. While
there was no correlation between Notch receptor expression and survival, stage or
tumor grade, Notch3 was associated with Jagged1 and EGFR expression, suggesting a
unique relationship between Notch3 and Jagged1. Inhibition of the Notch pathway
genetically and with gamma-secretase inhibitor (GSI) resulted in tumor
suppression and enhanced cell death. The observed anti-tumor activity appeared to
be through Akt and modulation of PTEN phosphorylation. We discovered that
transcriptional regulation of RhoA by Notch is important for PTEN
phosphorylation. Finally, the mTOR inhibitor Rapamycin enhanced the effect of GSI
on RhoA expression, resulting in down regulation of phospho-Akt and increased in
vitro tumor cytotoxity. CONCLUSIONS: Notch pathway plays an important role in
maintaining pancreas tumor phenotype. Targeting this pathway represents a
reasonable strategy for the treatment of pancreas cancers. Notch modulates the
Akt pathway through regulation of PTEN phosphorylation, an observation that has
not been made previously. Furthermore, we discovered that this regulation is
dependent on RhoA/Rock1 activation. Enhanced phospho-Akt suppression when GSI is
combined with rapamycin suggests that targeting both pathways will lead to a
greater efficacy in the treatment of patients with pancreas cancer.
PMID- 22074496
TI - Tandem one-pot synthesis of polysubstituted pyridines using the Blaise reaction
intermediate and 1,3-enynes.
AB - A tandem one-pot method for the construction of a pyridine moiety with selective
control of substitution patterns has been developed through the sequential
reactions of nitrile with a Reformatsky reagent and 1,3-enyne involving regio-
and chemoselective addition of the Blaise reaction intermediate to 1,3-enyne,
followed by isomerization, cyclization, and an aromatization cascade.
PMID- 22074498
TI - Molecular dynamics study on the effect of Lewis acid centers in poly(ethylene
oxide)/LiClO4 polymer electrolyte.
AB - Molecular dynamics simulations employing a polarizable force field have been
performed for the model poly(ethylene oxide)/LiClO(4) electrolytes with boron or
aluminum centers. Influence of Lewis acid centers on radial distribution
functions, coordination numbers, percentage of free cations, diffusion
coefficients and conductivity has been investigated. Results confirm the effect
of acid centers on ion complexation and show that the properties of the
electrolyte result from interplay of different interactions.
PMID- 22074499
TI - Topical antiseptics in wound care: time for reflection.
PMID- 22074497
TI - Changes in neuromuscular transmission in the W/W(v) mouse internal anal
sphincter.
AB - BACKGROUND: Intramuscular interstitial cells of Cajal (ICC-IM) have been shown to
participate in nitrergic neuromuscular transmission (NMT) in various regions of
the gastrointestinal (GI) tract, but their role in the internal anal sphincter
(IAS) is still uncertain. Contractile studies of the IAS in the W/W(v) mouse (a
model in which ICC-IM numbers are markedly reduced) have reported that nitrergic
NMT persists and that ICC-IM are not required. However, neither the changes in
electrical events underlying NMT nor the contributions of other non-nitrergic
neural pathways have been examined in this model. METHODS: The role of ICC-IM in
NMT was examined by recording the contractile and electrical events associated
with electrical field stimulation (EFS) of motor neurons in the IAS of wildtype
and W/W(v) mice. Nitrergic, purinergic, and cholinergic components were
identified using inhibitors of these pathways. KEY RESULTS: Under NANC
conditions, purinergic and nitrergic pathways both contribute to EFS-induced
inhibitory junction potentials (IJPs) and relaxation. Purinergic IJPs and
relaxation were intact in the W/W(v) mouse IAS, whereas nitrergic IJPs were
reduced by 50-60% while relaxation persisted. In the presence of L-NNA (NOS
inhibitor) and MRS2500 (P2Y1 receptor antagonist), EFS gave rise to cholinergic
depolarization and contractions that were abolished by atropine. Cholinergic
depolarization was absent in the W/W(v) mouse IAS while contraction persisted.
CONCLUSIONS & INFERENCES: ICC-IM significantly contributes to the electrical
events underlying nitrergic and cholinergic NMT, whereas contractile events
persist in the absence of ICC-IM. The purinergic inhibitory neural pathway
appears to be independent of ICC-IM.
PMID- 22074504
TI - Preface.
PMID- 22074505
TI - Gastrointestinal surgery II.
PMID- 22074503
TI - Bioactive compounds from endemic plants of Southwest Portugal: inhibition of
acetylcholinesterase and radical scavenging activities.
AB - CONTEXT: Natural products are reported to have substantial neuroprotective
activity due to their radical scavenging capacity, and also acetylcholinesterase
(AChE) inhibitory capacity, both activities important in neurodegeneration.
OBJECTIVE: The undesirable side effects of compounds in pharmacological use make
it important to identify natural neuroprotective molecules. This work assesses
the potential of five endemic Portuguese plants as sources of neuroprotective
compounds. MATERIALS AND METHODS: Antioxidant capacity for peroxyl radical was
determined by Oxygen Radical Absorbance Capacity method and for hydroxyl by
Electron Paramagnetic Resonance, as well as AChE inhibitory capacity of the plant
hydroethanolic extracts. The molecules responsible for these valuable properties
were also tentatively identified by HPLC. RESULTS AND DISCUSSION: Armeria rouyana
and Thymus capitellatus presented some of the highest phenolic contents (76.60 +/
7.19 and 12.82 +/- 0.24 mg GAE g-1 dw, respectively) and antioxidant capacities
(592 +/- 116 and 449 +/- 57 MUmol TE g-1 dw, respectively). The flavonoids were
identified as the phytomolecules related to the antioxidant capacity of these
plant extracts; in the case of A. rouyana, l-ascorbic acid also made an important
contribution (3.27 +/- 0.26 mg g-1 dw). Plant extracts clearly demonstrated
effective AChE inhibitory activity (480 +/- 98 and 490 +/- 46 MUg mL-1,
respectively), that could be associated to polyphenols. CONCLUSIONS: The extracts
of A. rouyana and T. capitellatus and their active components, especially
polyphenols, demonstrate interesting neuroprotective potential. They, therefore,
deserve further study as their phytomolecules are promising sources of either
natural neuroprotective products and/or novel lead compounds.
PMID- 22074507
TI - Therapy of cervical, thoracic, and lumbar spinal cord problems.
PMID- 22074506
TI - The external skeletal fixation system: IM PINS, wire, and external skeletal
fixator.
PMID- 22074508
TI - Urinary incontinence.
PMID- 22074509
TI - Periodontal disease: An update.
PMID- 22074510
TI - Field trials with Vedaprofen, a new non-steroidal anti-inflammatory drug.
PMID- 22074511
TI - Topical carbonic anhydrase inhibitors in the treatment of glaucoma.
PMID- 22074512
TI - Veterinary bolted pinning or interlocking nail: Clinical study of 45 cases.
PMID- 22074513
TI - Two failed urethrostomy procedures in one cat.
PMID- 22074514
TI - Treatment of diabetes mellitus in dogs and cats.
PMID- 22074515
TI - Diagnosis and treatment of canine hypothyroidism.
PMID- 22074516
TI - Drug-food interactions in cardiac patients.
PMID- 22074518
TI - Canine dilated cardiomyopathy: A study of 189 cases in 38 breeds.
PMID- 22074517
TI - Diagnosis and management of small bowel diarrhea.
PMID- 22074519
TI - Primary hyperparathyroidism in a cat: A case report.
PMID- 22074520
TI - Urinary corticoid/creatinine ratio in dogs with hyperadrenocorticism.
PMID- 22074521
TI - Ascites due to persistent eustachian valves in a young golden retriever.
PMID- 22074522
TI - Hypocalcemia due to hypoparathyroidism in a dog.
PMID- 22074523
TI - Feline leukemia virus & feline immunodeficiency virus.
PMID- 22074524
TI - Feline infectious peritonitis-new insights.
PMID- 22074525
TI - Diagnosis and control of gastrointestinal parasites in dogs and cats.
PMID- 22074526
TI - Epidemiology and control of fleas infesting dogs and cats.
PMID- 22074527
TI - The role of cats in some important rickettsial diseases of humans in southern
Africa.
PMID- 22074529
TI - Cytology for the diagnosis of neoplastic and non-neoplastic disease.
PMID- 22074528
TI - Atypical mycobacteriosis in a cat.
PMID- 22074530
TI - How to successfully use diagnostic cytology in veterinary practice.
PMID- 22074531
TI - Endoscopy of the upper airways in dogs and cats.
PMID- 22074532
TI - Spinal radiography in dogs and cats.
PMID- 22074533
TI - Evaluation of a DNA marker for copper toxicosis in bedlington terriers.
PMID- 22074534
TI - Assessment of laboratory tests and test results using data on biological
variation.
PMID- 22074535
TI - Exophthalmos in dogs: A challenge for diagnostic imaging.
PMID- 22074536
TI - A computerized ECG analyzer.
PMID- 22074538
TI - The death of companion animals in the Netherlands.
PMID- 22074537
TI - Helping during pet loss and bereavement.
PMID- 22074539
TI - Injection anesthesia in dogs and cats.
PMID- 22074540
TI - Artificial ventilation and monitoring in companion animal anesthesia.
PMID- 22074541
TI - Diseases of racing pigeon: An update.
PMID- 22074542
TI - Radiation therapy for mast cell tumours in companion animals.
PMID- 22074544
TI - Influence of cerebellar malformations on cerebral volume: does it matter?
PMID- 22074545
TI - Synthesis of 18-membered open-cage fullerenes through controlled stepwise
fullerene skeleton bond cleavage processes and substituent-mediated tuning of the
redox potential of open-cage fullerenes.
AB - Oxidation of the fullerenediol C(60)(OH)(2)(O)(OAc)(OOtBu)(3) with PhI(OAc)(2)
yields the open-cage fullerene derivative C(60)(O)(2)(O)(OAc)(OOtBu)(3)2 with an
11-membered orifice. Compound 2 reacts with aniline to form a new open-cage
derivative with a 14-membered orifice, which yields an 18-membered open-cage
fullerene derivative upon addition of another molecule of aniline. Two different
types of aniline derivatives with either electron-donating or electron
withdrawing substituents can be added sequentially, affording an unsymmetrical
moiety in the open-cage structure. Reduction potentials of the 18-membered open
cage fullerene derivatives can be fine-tuned by changing the substituents on the
aniline. The results provide new insights about the mechanism of open-cage
reactions of fullerene-mixed peroxide.
PMID- 22074546
TI - A parametric method for cumulative incidence modeling with a new four-parameter
log-logistic distribution.
AB - BACKGROUND: Competing risks, which are particularly encountered in medical
studies, are an important topic of concern, and appropriate analyses must be used
for these data. One feature of competing risks is the cumulative incidence
function, which is modeled in most studies using non- or semi-parametric methods.
However, parametric models are required in some cases to ensure maximum
efficiency, and to fit various shapes of hazard function. METHODS: We have used
the stable distributions family of Hougaard to propose a new four-parameter
distribution by extending a two-parameter log-logistic distribution, and carried
out a simulation study to compare the cumulative incidence estimated with this
distribution with the estimates obtained using a non-parametric method. To test
our approach in a practical application, the model was applied to a set of real
data on fertility history. CONCLUSIONS: The results of simulation studies showed
that the estimated cumulative incidence function was more accurate than non
parametric estimates in some settings. Analyses of real data indicated that the
proposed distribution showed a much better fit to the data than the other
distributions tested. Therefore, the new distribution is recommended for
practical applications to parameterize the cumulative incidence function in
competing risk settings.
PMID- 22074547
TI - Mixed modality treatment planning of accelerated partial breast irradiation: to
improve complex dosimetry cases.
AB - BACKGROUND: Although 3D-conformal accelerated partial breast irradiation (APBI)
is widely used, several questions still remain such as what are the optimal
treatment planning modalities. Indeed, some patients may have an unfavorable
anatomy and/or inadequate dosimetric constraints could be fulfilled ("complex
cases"). In such cases, we wondered which treatment planning modality could be
applied to achieve 3D-conformal APBI (2 mini-tangents and an "en face" electron
field or non-coplanar photon multiple fields; or a mixed technique combining non
coplanar photon multiple fields with an "en face" electron beam). METHODS: From
October 2007 to March 2010, 55 patients with pT1N0 breast cancer were enrolled in
a phase II APBI trial. Among them, 7 patients were excluded as they were
considered as "complex cases". A dosimetric comparison was performed according to
the 3 APBI modalities mentioned above and assessed: planning treatment volume
(PTV) coverage, PTV/whole breast ratio, lung and heart distance within irradiated
field and exposure of organs at risk (OAR). RESULTS: Adequate PTV coverage was
obtained with the 3 different treatment planning. Regarding OAR exposure, the
"mixed technique" seemed to reduce the volume of non-target breast tissue in 4
cases compared to the other techniques (in only 1 case), with the mean V50% at
44.9% (range, 13.4 - 56.9%) for the mixed modality compared to 51.1% (range, 22.4
- 63.4%) and 51.8% (range, 23.1 - 59.5%) for the reference and non-coplanar
techniques, respectively. The same trend was observed for heart exposure.
CONCLUSIONS: The mixed technique showed a promising trend of reducing the volume
of non-target breast tissue and heart exposure doses in APBI "complex cases".
PMID- 22074548
TI - Frequency of Mouse Double Minute 2 (MDM2) and Mouse Double Minute 4 (MDM4)
amplification in parosteal and conventional osteosarcoma subtypes.
PMID- 22074549
TI - The benefit of the reverse transfer function in the fitting process of the
Vibrant Soundbridge middle ear implant.
AB - CONCLUSION: Reverse transfer function (RTF) measurement of the Vibrant
Soundbridge (VSB) middle ear implant (MEI) is an objective method to evaluate the
function of the VSB and can be used to adjust the Connexx value required to reach
the optimal VSB gain during fitting sessions. OBJECTIVES: To investigate the
sound transfer of the VSB with the RTF in implanted patients and evaluate the
role of RTF in the fitting process of the VSB. METHODS: This was a prospective
study including patients undergoing VSB implantation and RTF recording from March
2007 to October 2010. Three parameters were analyzed. 1) RTF: energy transmitted
in dB SPL to ear canal by retrograde vibration of malleus and tympanic membrane.
2) Connexx value: level of amplification in dB delivered by the audioprocessor to
the floating mass transducer (FMT). 3) VSB gain: difference in dB HL in free
field between aided and unaided conditions. RESULTS: Ten patients fitted the
criteria. RTF measurements revealed a significant inter-patient disparity. We
adjusted the Connexx value according to the RTF value to obtain an optimal VSB
gain within comfort levels. The VSB gain and RTF with Connexx value were closely
correlated together. The mean VSB gain and RTF value converted to dB HL had
comparable values.
PMID- 22074550
TI - Selective targeted delivery of the TNF-alpha receptor p75 and uteroglobin to the
vasculature of inflamed tissues: a preliminary report.
AB - BACKGROUND: Ligand-targeted approaches have proven successful in improving the
therapeutic index of a number of drugs. We hypothesized that the specific
targeting of TNF-alpha antagonists to inflamed tissues could increase drug
efficacy and reduce side effects. RESULTS: Using uteroglobin (UG), a potent anti
inflammatory protein, as a scaffold, we prepared a bispecific tetravalent
molecule consisting of the extracellular ligand-binding portion of the human TNF
alpha receptor P75 (TNFRII) and the scFv L19. L19 binds to the ED-B containing
fibronectin isoform (B-FN), which is expressed only during angiogenesis processes
and during tissue remodeling. B-FN has also been demonstrated in the pannus in
rheumatoid arthritis. L19-UG-TNFRII is a stable, soluble homodimeric protein that
maintains the activities of both moieties: the immuno-reactivity of L19 and the
capability of TNFRII to inhibit TNF-alpha. In vivo bio-distribution studies
demonstrated that the molecule selectively accumulated on B-FN containing
tissues, showing a very fast clearance from the blood but a very long residence
time on B-FN containing tissues. Despite the very fast clearance from the blood,
this fusion protein was able to significantly improve the severe symptomatology
of arthritis in collagen antibody-induced arthritis (CAIA) mouse model.
CONCLUSIONS: The recombinant protein described here, able to selectively deliver
the TNF-alpha antagonist TNFRII to inflamed tissues, could yield important
contributions for the therapy of degenerative inflammatory diseases.
PMID- 22074551
TI - A multi-method evaluation of a training course on dual diagnosis.
AB - A training course on dual diagnosis was developed within the Irish forensic
mental health service, to bridge the gap in the lack of training on dual
diagnosis in Ireland. The course was designed for service providers within mental
health and addiction services. Twenty participants involving nursing, social
work, police and social welfare disciplines attended the first training course. A
mixed methodology research design was adapted to describe participants'
evaluation of the training course. Data were collected using multiple methods:
pre- and post-test, daily evaluation and focus group interviews. Quantitative
data were analysed using the spss Version 16.0 and qualitative data were analysed
thematically. Findings from the pre- and post-test suggest an increase in
participants' knowledge of dual diagnosis and an increase in confidence in
conducting groups. Daily evaluation indicates that the course content largely met
participants' needs. Finally, three themes emerged from the focus group
interview: increased confidence, the training course/teaching methods and
personal/organizational challenges. This study implies that service providers
within mental health and addiction services benefit from inter-professional,
needs and skills based courses incorporating a variety of teaching methods. The
way forward for future dual diagnosis training course developments would be
working in partnership with service users and carers.
PMID- 22074552
TI - Exon duplications in the ATP7A gene: frequency and transcriptional behaviour.
AB - BACKGROUND: Menkes disease (MD) is an X-linked, fatal neurodegenerative disorder
of copper metabolism, caused by mutations in the ATP7A gene. Thirty-three Menkes
patients in whom no mutation had been detected with standard diagnostic tools
were screened for exon duplications in the ATP7A gene. METHODS: The ATP7A gene
was screened for exon duplications using multiplex ligation-dependent probe
amplification (MLPA). The expression level of ATP7A was investigated by real-time
PCR and detailed analysis of the ATP7A mRNA was performed by RT-PCR followed by
sequencing. In order to investigate whether the identified duplicated fragments
originated from a single or from two different X-chromosomes, polymorphic markers
located in the duplicated fragments were analyzed. RESULTS: Partial ATP7A gene
duplication was identified in 20 unrelated patients including one patient with
Occipital Horn Syndrome (OHS). Duplications in the ATP7A gene are estimated from
our material to be the disease causing mutation in 4% of the Menkes disease
patients. The duplicated regions consist of between 2 and 15 exons. In at least
one of the cases, the duplication was due to an intra-chromosomal event.
Characterization of the ATP7A mRNA transcripts in 11 patients revealed that the
duplications were organized in tandem, in a head to tail direction. The reading
frame was disrupted in all 11 cases. Small amounts of wild-type transcript were
found in all patients as a result of exon-skipping events occurring in the
duplicated regions. In the OHS patient with a duplication of exon 3 and 4, the
duplicated out-of-frame transcript coexists with an almost equally represented
wild-type transcript, presumably leading to the milder phenotype. CONCLUSIONS: In
general, patients with duplication of only 2 exons exhibit a milder phenotype as
compared to patients with duplication of more than 2 exons. This study provides
insight into exon duplications in the ATP7A gene.
PMID- 22074554
TI - Fulminant myopericarditis in an immunocompetent adult due to pandemic 2009 (H1N1)
influenza A virus infection.
AB - Acute myopericarditis is a well-recognized but rare complication of numerous
viral infections. Here we report a case of fulminant myopericarditis presenting
with acute heart failure and a state of shock in a previously healthy young
woman. H1N1 influenza A virus sequences were identified in throat and pericardial
fluid, suggesting a viral source of the infection.
PMID- 22074553
TI - Identification and analysis of phosphorylation status of proteins in dormant
terminal buds of poplar.
AB - BACKGROUND: Although there has been considerable progress made towards
understanding the molecular mechanisms of bud dormancy, the roles of protein
phosphorylation in the process of dormancy regulation in woody plants remain
unclear. RESULTS: We used mass spectrometry combined with TiO2 phosphopeptide
enrichment strategies to investigate the phosphoproteome of dormant terminal buds
(DTBs) in poplar (Populus simonii * P. nigra). There were 161 unique
phosphorylated sites in 161 phosphopeptides from 151 proteins; 141 proteins have
orthologs in Arabidopsis, and 10 proteins are unique to poplar. Only 34 sites in
proteins in poplar did not match well with the equivalent phosphorylation sites
of their orthologs in Arabidopsis, indicating that regulatory mechanisms are well
conserved between poplar and Arabidopsis. Further functional classifications
showed that most of these phosphoproteins were involved in binding and catalytic
activity. Extraction of the phosphorylation motif using Motif-X indicated that
proline-directed kinases are a major kinase group involved in protein
phosphorylation in dormant poplar tissues. CONCLUSIONS: This study provides
evidence about the significance of protein phosphorylation during dormancy, and
will be useful for similar studies on other woody plants.
PMID- 22074555
TI - Interaction of G-quadruplexes with nonintercalating duplex-DNA minor groove
binding ligands.
AB - The enzyme telomerase synthesizes the G-rich DNA strands of the telomere and its
activity is often associated with cancer. The telomerase may be therefore
responsible for the ability of a cancer cell to escape apoptosis. The G-rich DNA
sequences often adopt tetra-stranded structure, known as the G-quadruplex DNA (G4
DNA). The stabilization of the telomeric DNA into the G4-DNA structures by small
molecules has been the focus of many researchers for the design and development
of new anticancer agents. The compounds which stabilize the G-quadruplex in the
telomere inhibit the telomerase activity. Besides telomeres, the G4-DNA forming
sequences are present in the genomic regions of biological significance including
the transcriptional regulatory and promoter regions of several oncogenes.
Inducing a G-quadruplex structure within the G-rich promoter sequences is a
potential way of achieving selective gene regulation. Several G-quadruplex
stabilizing ligands are known. Minor groove binding ligands (MGBLs) interact with
the double-helical DNA through the minor grooves sequence-specifically and
interfere with several DNA associated processes. These MGBLs when suitably
modified switch their preference sometimes from the duplex DNA to G4-DNA and
stabilize the G4-DNA as well. Herein, we focus on the recent advances in
understanding the G-quadruplex structures, particularly made by the human
telomeric ends, and review the results of various investigations of the
interaction of designed organic ligands with the G-quadruplex DNA while
highlighting the importance of MGBL-G-quadruplex interactions.
PMID- 22074556
TI - SLUG promotes prostate cancer cell migration and invasion via CXCR4/CXCL12 axis.
AB - BACKGROUND: SLUG is a zinc-finger transcription factor of the Snail/Slug zinc
finger family that plays a role in migration and invasion of tumor cells.
Mechanisms by which SLUG promotes migration and invasion in prostate cancers
remain elusive. METHODS: Expression level of CXCR4 and CXCL12 was examined by
Western blot, RT-PCR, and qPCR analyses. Forced expression of SLUG was mediated
by retroviruses, and SLUG and CXCL12 was downregulated by shRNAs-expressing
lentiviruses. Migration and invasion of prostate cancer were measured by scratch
wound assay and invasion assay, respectively. RESEARCH: We demonstrated that
forced expression of SLUG elevated CXCR4 and CXCL12 expression in human prostate
cancer cell lines PC3, DU145, 22RV1, and LNCaP; conversely, reduced expression of
SLUG by shRNA downregulated CXCR4 and CXCL12 expression at RNA and protein levels
in prostate cancer cells. Furthermore, ectopic expression of SLUG increased MMP9
expression and activity in PC3, 22RV1, and DU-145 cells, and SLUG knockdown by
shRNA downregulated MMP9 expression. We showed that CXCL12 is required for SLUG
mediated MMP9 expression in prostate cancer cells. Moreover, we found that
migration and invasion of prostate cancer cells was increased by ectopic
expression of SLUG and decreased by SLUG knockdown. Notably, knockdown of CXCL12
by shRNA impaired SLUG-mediated migration and invasion in prostate cancer cells.
Lastly, our data suggest that CXCL12 and SLUG regulate migration and invasion of
prostate cancer cells independent of cell growth. CONCLUSION: We provide the
first compelling evidence that upregulation of autocrine CXCL12 is a major
mechanism underlying SLUG-mediated migration and invasion of prostate cancer
cells. Our findings suggest that CXCL12 is a therapeutic target for prostate
cancer metastasis.
PMID- 22074557
TI - Tracking plant, fungal, and bacterial DNA in honey specimens.
AB - Consuming honey can result in adverse effects owing to poisoning by bacterial
(botulism) or plant toxins. We have devised a method to extract polymerase chain
reaction (PCR) amplifiable DNA of up to c. 400 bp in length based on dialysis of
a 15-mL honey sample for 18 h against deionized water followed by sequential
extraction using phenol, phenol/chloroform/isoamyl alcohol, chloroform/isoamyl
alcohol, and ether. Sequence analysis of PCR products obtained using "universal"
plant, fungal, and bacterial primers targeted to the ribosomal RNA genes has
allowed us to identify six different orders of plants (Apiales, Fabales,
Asterales, Solanales, Brassicales, and Sapindales), two orders of fungi
(Entylomatales and Saccharomycetales), and six orders of bacteria
(Sphingomonadales, Burkholderiales, Pseudomonadales, Enterobacteriales,
Actinomycetales, and Bifidobacteriales) in a single honey specimen.
PMID- 22074558
TI - Reactivity of amino acid nucleoside phosphoramidates: a mechanistic quantum
chemical study.
AB - Recent experimental evidence (Maiti et al. Chem.-Eur. J., submitted) indicates
that hydrolysis of nucleoside phosphoramidates is subjected to anchimeric
influence by carboxyl moieties in the leaving group but also by the base in the
nucleotide. A quantum chemical analysis of these findings is presented. First the
intrinsic hydrolysis mechanism is investigated for simplified model compounds,
and then both amino acid and nucleoside substituents are included. It is found
that hydrolysis is assisted by the alpha-carboxyl group via formation of a five
membered intermediate and that the barrier for the reaction of this intermediate
toward the product state can be influenced by the nucleobase. The adenine base
protonated on N3 interacts with the transition state and considerably lowers the
barrier for hydrolysis. The influence of several base modifications is explained
by calculating the pK(a) for protonation on N3.
PMID- 22074559
TI - Application of a C2-symmetric copper carbenoid in the enantioselective
hydrosilylation of dialkyl and aryl-alkyl ketones.
AB - We report excellent reactivity and enantioselectivity of a C(2)-symmetric copper
bound N-heterocyclic carbene (NHC) in the hydrosilylation of a variety of
structurally diverse ketones. This catalyst exhibits extraordinary
enantioselctivity in the reduction of such challenging substrates as 2-butanone
and 3-hexanone. Even at low catalyst loading (2.0 mol %), the reactions occur in
under an hour at room temperature and often do not require purification beyond
catalyst and solvent removal. The scope of this transformation was investigated
in the reduction of 10 aryl-alkyl and alkyl-alkyl ketones.
PMID- 22074560
TI - Efficacy of hydrosurgical debridement and nanocrystalline silver dressings for
infection prevention in type II and III open injuries.
AB - The aim of this study was to retrospectively evaluate the clinical and culture
positive infection rates of open Gustilo/Anderson type II and III fractures using
a protocol nanocrystalline silver wound dressing and hydrosurgical debridement.
Retrospective case series through chart review on all type II and III open
fractures were treated using a novel protocol from December 2005 to March 2008 (N
= 17). All Gustilo/Anderson grade II and III open fractures were treated with a
novel protocol at a Level I trauma centre. Open Gustilo/Anderson grade II and III
fractures were acutely stabilised in the trauma centre/emergency department,
while a nanocrystalline silver dressing was placed within the wound. Debridement
using a hydrosurgical scalpel and gravity irrigation was performed within 6-8
hours of injury. Cultures were obtained prior to definitive fixation. The primary
outcome measurements were positive cultures and clinical infection rates.
Seventeen patients met inclusion criteria. Mean age (33.5) and injury severity
score (12.7) were gathered. There were 4 grade II open fractures (23.5%), 11
grade IIIA (64.7%) and 2 grade IIIB open fractures (11.8%). The mean time to
intravenous antibiotics was 61.5 minutes. The mean time to initial
debridement/irrigation was 222.1 minutes. The average number of surgical
procedures was 2.35 with a mean length of stay of 11.8 days. Six patients
developed positive cultures from the traumatic wounds, five were contaminants.
One clinical infection was found (methicillin-resistant Staphylococcus aureus).
The overall clinical infection rate in this series was 5.9% (1/17). The only
infection was in a Gustilo/Anderson grade II fracture. There were no infections
in the more high-energy Gustilo/Anderson grade IIIA and IIIB fractures compared
with the Gustilo/Anderson control of 4-42%. We conclude that this novel protocol
for open-fracture treatment is a promising intervention. A further prospective
randomised clinical study is warranted.
PMID- 22074562
TI - Energy transfer in extended thienylene-phenylene-ethynylene dendrimers.
AB - We present a new family of dendrimers with all-conjugated, thienylene (Th)
containing photoactive backbones and branched end-groups. Steady-state
spectroscopy demonstrates a donor-acceptor system, while picosecond time-resolved
fluorescence characterizes a vectorial energy transfer from phenylene-ethynylene
(PE) units at the periphery to thienylene-containing PE units at the core. Energy
transfer rates of 1.5 and 3.5 ps are observed for generation 2 and 3 dendrimers,
indicative of a weakly coupled donor-acceptor system, with couplings on the order
of 40-60 cm(-1).
PMID- 22074561
TI - Design and synthesis of novel 2-(3-substituted propyl)-3-(2-methyl phenyl)
quinazolin-4-(3H)-ones as a new class of H1-antihistaminic agents.
AB - A series of novel 2-(3-substituted propyl)-3-(2-methyl phenyl) quinazolin-4-(3H)
ones were synthesized by the reaction of 2-(3-bromopropyl thio)-3-(2-methyl
phenyl) quinazolin-4-(3H)-one with various amines. The starting material, 2-(3
bromopropyl thio)-3-(2-methyl phenyl) quinazolin-4-(3H)-one was synthesized from
2-methyl aniline. When tested for their in vivo H(1)-antihistaminic activity on
conscious guinea pigs, all the test compounds protected the animals from
histamine induced bronchospasm significantly. Compound 2-(3-(4-methylpiperazin-1
yl) propylthio)-3-(2-methyl phenyl) quinazolin-4(3H)-one (OT5) emerged as the
most active compound (71.70% protection) of the series when compared to the
reference standard chlorpheniramine maleate (70.09% protection). Compound OT5
shows negligible sedation (7%) compared to chlorpheniramine maleate (33%).
Therefore, compound OT5 can serve as the leading molecule for further development
into a new class of H(1)-antihistaminic agents.
PMID- 22074563
TI - 5-HT(3) and 5-HT(4) receptors contribute to the anti-motility effects of
Garcinia buchananii bark extract in the guinea-pig distal colon.
AB - BACKGROUND: Garcinia buchananii bark extract is an anti-motility diarrhea remedy.
We investigated whether G. buchananii bark extract has components that reduce
gastrointestinal peristaltic activity via 5-HT(3) and 5-HT(4) receptors. METHODS:
Aqueous G. buchananii extract was separated into fractions using preparative thin
layer chromatography (PTLC), and major chemical components were identified using
standard tests. The anti-motility effects of the extract and its fractions (PTLC1
5) were studied through pellet propulsion assays using isolated guinea-pig distal
colons. KEY RESULTS: Anti-motility (PTLC1 & PTLC5) and pro-motility (PTLC2)
fractions were isolated from the extract. Flavonoids, steroids, alkaloids,
tannins, and phenols were identified in the extract and PTLC1&5. The potency of
the extract applied via the mucosal surface was reduced by 5-HT, 5-HT(3) receptor
agonist RS-56812, 5-HT(4) receptor agonists cisapride and CJ-033466, 5-HT(3)
receptor antagonist granisetron, and 5-HT(4) receptor antagonist GR-113808. The
anti-motility effects of the aqueous extract and PTLC1&5 when applied serosally
were reversed by RS-56812, cisapride, and CJ-033466. The 5-HT(3) receptor
antagonists, granisetron and ondansetron, reduced the effects of the extract to
an extent and completely reversed the anti-motility effects of PTLC1&5. GR-113808
inhibited the actions of the extract during the initial 10 min, but enhanced the
extracts' anti-motility effects after 15 min. GR-113808 augmented the anti
motility activities of PTLC1 and PTLC5 by 30%. CONCLUSIONS & INFERENCES: These
results indicate that the anti-motility effects of G. buchananii aqueous extract
are potentially mediated by compounds that affect 5-HT(3) and 5-HT(4) receptors.
Identification and characterization of the bioactive compounds within G.
buchananii could lead to the discovery of new non-opiate anti-diarrhea
formulations.
PMID- 22074564
TI - Configuring health care for systematic behavioral screening and intervention.
AB - The United States Preventive Services Task Force recommends universal screening
and intervention for tobacco use, excessive drinking, and depression. These
services improve health outcomes, decrease health care costs, enhance public
safety, and generate substantial return on investment. Given the prevalence rates
of these behavioral conditions and the time necessary for evidence-based
interventions, it will be challenging to integrate behavioral screening and
intervention (BSI) into busy health care settings. Therefore, consistent with the
principles of the medical home and the chronic care model, the health care team
must be expanded to systematically provide BSI. A 2-tiered, stepped-care model is
proposed. The first tier of services-consisting of assessment, intervention, and
follow-up services-would address most mild-to-moderate behavioral risks or
conditions. The second tier would include various specialty-based resources,
which would be conserved for patients with greatest need and potential to
benefit. With slight enhancement of their training, health educators would be
excellent candidates to serve as cost-efficient providers of first-tier services.
The proposed model would help the United States realize improved health outcomes
and cost savings as health care benefits are expanded to a greater proportion of
its population.
PMID- 22074565
TI - Challenge of neurological and psychosocial problems in developing countries.
PMID- 22074566
TI - European veterinary dissertations.
PMID- 22074567
TI - Association of the 5-HT2A receptor gene polymorphisms with obstructive sleep
apnea hypopnea syndrome in Chinese Han population.
AB - CONCLUSIONS: The -1438G/A polymorphism of 5-HT2A receptor gene may associate with
obstructive sleep apnea hypopnea syndrome (OSAHS) in a Chinese Han population.
Different genotypes of -1438G/A polymorphism may influence the ventilatory
activity in response to hypoxia, and in turn the sleep breath status. OBJECTIVE:
This study was designed to assess the association of polymorphisms in all exons
and promoter region of the 5-HT2A receptor gene with OSAHS in a Chinese Han
population. METHODS: A total of 315 subjects (210 patients and 105 controls) were
included for genetic analyses of polymorphisms in all exons and promoter region
of the 5-HT2A receptor gene. RESULTS: Six single nucleoside polymorphism (SNP)
sites were identified in the sequencing of the promoter and exons of the 5-HT2A
receptor gene; however, genotypes and allele frequencies of the SNPs did not show
significant differences between the patients and controls except the -1438G/A
polymorphism. For SNP of -1438G/A, the A/A genotype was over-represented and the
allele A was more frequent in the patients, while the G/A genotype was over
represented and the allele G was more frequent in the controls (p < 0.001, p =
0.005, respectively). In the patients, the A/A and G/A genotypes were over
represented in the subgroups with lowest nocturnal SaO(2) (LSaO(2)) <=75% and
LSaO(2) >75%, respectively (p = 0.006).
PMID- 22074568
TI - A bacteria colony-based screen for optimal linker combinations in genetically
encoded biosensors.
AB - BACKGROUND: Fluorescent protein (FP)-based biosensors based on the principle of
intramolecular Forster resonance energy transfer (FRET) enable the visualization
of a variety of biochemical events in living cells. The construction of these
biosensors requires the genetic insertion of a judiciously chosen molecular
recognition element between two distinct hues of FP. When the molecular
recognition element interacts with the analyte of interest and undergoes a
conformational change, the ratiometric emission of the construct is altered due
to a change in the FRET efficiency. The sensitivity of such biosensors is
proportional to the change in ratiometric emission, and so there is a pressing
need for methods to maximize the ratiometric change of existing biosensor
constructs in order to increase the breadth of their utility. RESULTS: To
accelerate the development and optimization of improved FRET-based biosensors, we
have developed a method for function-based high-throughput screening of biosensor
variants in colonies of Escherichia coli. We have demonstrated this technology by
undertaking the optimization of a biosensor for detection of methylation of
lysine 27 of histone H3 (H3K27). This effort involved the construction and
screening of 3 distinct libraries: a domain library that included several
engineered binding domains isolated by phage-display; a lower-resolution linker
library; and a higher-resolution linker library. CONCLUSION: Application of this
library screening methodology led to the identification of an optimized H3K27
trimethylation biosensor that exhibited an emission ratio change (66%) that was
2.3 * improved relative to that of the initially constructed biosensor (29%).
PMID- 22074570
TI - Evaluation of compressive strength in the first premolars between young and
elderly people: ex vivo study.
AB - OBJECTIVE: This study evaluated the compressive strength of first maxillary
premolars from young and elderly people. BACKGROUND: Findings have revealed that
changes happening on dental structure lead to a higher susceptibility of tooth
fracture. MATERIALS AND METHODS: Thirty teeth were divided into four groups as
follows: G I (n = 7) premolars of elderly patients with fused roots, G II (n = 8)
premolars of elderly with separate roots, G III (n = 7) pre-molars of young
people with fused roots and G IV (n = 8) premolars of young people with roots
separated. The roots were placed in polyvinyl chloride (PVC) cylinder and
embedded in acrylic resin. Afterward, the samples were subjected to fracture by
compressive strength in a universal testing machine. Loads were applied in
continuous and progressive speed of 0.5 mm/min until the moment of fracture. The
values found underwent statistical analysis (ANOVA alpha = 0.05). RESULTS: With
regard to age, there was a statistical difference, the teeth of the elderly being
more susceptible to fracture (p < 0.05). With regard to root morphology, no
significant differences between both groups were observed (p > 0.05). CONCLUSION:
It was concluded that with the methodology employed, the age factor was important
with regard to fracture resistance to compression.
PMID- 22074569
TI - Genome-scale reconstruction and system level investigation of the metabolic
network of Methylobacterium extorquens AM1.
AB - BACKGROUND: Methylotrophic microorganisms are playing a key role in
biogeochemical processes - especially the global carbon cycle - and have gained
interest for biotechnological purposes. Significant progress was made in the
recent years in the biochemistry, genetics, genomics, and physiology of
methylotrophic bacteria, showing that methylotrophy is much more widespread and
versatile than initially assumed. Despite such progress, system-level description
of the methylotrophic metabolism is currently lacking, and much remains to
understand regarding the network-scale organization and properties of
methylotrophy, and how the methylotrophic capacity emerges from this
organization, especially in facultative organisms. RESULTS: In this work, we
report on the integrated, system-level investigation of the metabolic network of
the facultative methylotroph Methylobacterium extorquens AM1, a valuable model of
methylotrophic bacteria. The genome-scale metabolic network of the bacterium was
reconstructed and contains 1139 reactions and 977 metabolites. The sub-network
operating upon methylotrophic growth was identified from both in silico and
experimental investigations, and 13C-fluxomics was applied to measure the
distribution of metabolic fluxes under such conditions. The core metabolism has a
highly unusual topology, in which the unique enzymes that catalyse the key steps
of C1 assimilation are tightly connected by several, large metabolic cycles
(serine cycle, ethylmalonyl-CoA pathway, TCA cycle, anaplerotic processes). The
entire set of reactions must operate as a unique process to achieve C1
assimilation, but was shown to be structurally fragile based on network analysis.
This observation suggests that in nature a strong pressure of selection must
exist to maintain the methylotrophic capability. Nevertheless, substantial
substrate cycling could be measured within C2/C3/C4 inter-conversions, indicating
that the metabolic network is highly versatile around a flexible backbone of
central reactions that allows rapid switching to multi-carbon sources.
CONCLUSIONS: This work emphasizes that the metabolism of M. extorquens AM1 is
adapted to its lifestyle not only in terms of enzymatic equipment, but also in
terms of network-level structure and regulation. It suggests that the metabolism
of the bacterium has evolved both structurally and functionally to an efficient
but transitory utilization of methanol. Besides, this work provides a basis for
metabolic engineering to convert methanol into value-added products.
PMID- 22074571
TI - Ten-year experiences with Tracheostomy at a University teaching hospital in
Northwestern Tanzania: A retrospective review of 214 cases.
AB - BACKGROUND: Tracheostomy remains a very important life saving surgical procedure
worldwide and particularly in our environment where patients present late in
upper airway obstruction. Little work has been done on this subject in our
environment and therefore it was necessary to conduct this study to describe our
own experiences with tracheostomy, outlining the common indications and outcome
of tracheostomized patients in our setting and compare our results with those
from other centers in the world. METHODS: This was a 10-year retrospective study
which was conducted at Bugando Medical Centre from January 2001 to December 2010.
Data were retrieved from patients' files kept in the Medical record department
and analyzed using SPSS computer software version 15.0. Ethical approval to
conduct the study was obtained from relevant authority before the commencement of
the study. RESULTS: A total of 214 patients were studied. The male to female
ratio was 3.1: 1. The majority of patients were in the 3rd decade of life. The
most common indication for tracheostomy was upper airway obstruction secondary to
traumatic causes in 55.1% of patients, followed by upper airway obstruction due
to neoplastic causes in 39.3% of cases. The majority of tracheostomies (80.4%)
were performed as an emergency. Transverse skin crease incision was employed in
all the cases. Post-tracheostomy complication rate was 21.5%. Complication rate
was significantly higher in emergency tracheostomy than in electives (P < 0.001).
The duration of temporary tracheostomy ranged from 8 days to 46 months, with a
median duration of 4 months. Tracheostomy decannulation was successively
performed in 72.4% of patients who survived. Mortality rate was 13.6%. The
mortality was due to their underlying illnesses, none had tracheostomy-related
mortality. CONCLUSION: Upper airway obstruction secondary to trauma and laryngeal
tumors still remains the most common indication for tracheostomy in our centre
and tracheostomy is still a life saving procedure in the surgical management of
airway despite complications which are seen more commonly in paediatric patients.
Most of tracheostomy related complications can be avoided by meticulous attention
to the details of the technique and postoperative tracheostomy care by skilled
and trained staff.
PMID- 22074572
TI - Ghrelin, a novel peptide hormone in the regulation of energy balance and
cardiovascular function.
AB - Ghrelin, a peptide hormone predominantly produced by the stomach, is a potent
stimulator of growth hormone release, food intake and weight gain. Besides its
functions in regulating energy homeostasis, ghrelin has pronounced
cardioprotective effects and was shown to improve cardiac performance in chronic
heart failure (CHF). The multifunctional nature of ghrelin makes it an
interesting pharmacological target for various diseases. Inhibition of ghrelin
could be a promising approach in obesity-related disorders, while an enhancement
of the ghrelin response is considered beneficial in several pathologic conditions
marked by malnutrition, wasting and cachexia, including CHF, cancer, chronic
pulmonary disease or chronic infections. In particular, patients suffering from
CHF could possibly benefit from ghrelin based compounds that do not only help to
reverse cardiac cachexia - by inducing a positive energy balance - but also
enhance the direct cardioprotective effects of ghrelin. This review highlights
the role of ghrelin in the regulation of energy balance and cardiovascular
function and summarizes the most recent patents, developments and strategies in
ghrelin-based pharmacotherapy for the treatment of pathologic conditions
associated with obesity, cachexia or cardiovascular dysfunction.
PMID- 22074573
TI - The monocyte locomotion inhibitory factor an anti-inflammatory peptide;
therapeutics originating from amebic abscess of the liver.
AB - Entamoeba histolytica in culture produces a pentapeptide (MQCNS). This
oligopeptide inhibits the in vitro and in vivo locomotion of human monocytes,
hence its denomination Monocyte Locomotion Inhibitory Factor (MLIF). The original
isolated peptide and its synthetic construct display similar effects, among
others, being inhibition of the respiratory burst in monocytes and neutrophils,
decrease of Dinitrochlorobenzene (DNCB) skin hypersensitivity in guinea pigs and
gerbils, and delay of mononuclear leukocytes in human Rebuck skin windows with
inhibition of vascular cell Very late antigen (VLA)-4 and Vascular adhesion
molecules (VCAM) in endothelia and monocytes. The MLIF molecular mechanism of
action is unknown, but data reveal its implication in Nuclear factor-kappa B (NF
kappaB) and Mitogenactivated protein kinase (MAPK) pathways. This could explain
MLIF multiplicity of biological effects. On the other hand, the amebic peptide
has been useful in treating experimental amebiasis of the liver. The amebic
peptide is effective in reducing inflammation induced by carragenin and arthritis
in a Collagen-induced arthritis (CIA) model. Microarray data from experimental
arthritis revealed an MLIF gene expression profile that includes genes that are
involved in apoptosis, cell adhesion, extracellular matrix, and inflammation /
chemotaxis. MLIF could be involved in unsuspected biological factions because
there is increasing data on the peptide effect on several cell activities. This
review also presents uses of MLIF as described in patents.
PMID- 22074575
TI - GLUT4 associated proteins as therapeutic targets for diabetes.
AB - Type 2 diabetes is a complex, multifactorial disease resulting from insulin
resistance in target tissues and the impairment of insulin secretion from the
pancreas. One of the key metabolic actions of insulin is to control blood sugar
levels by promoting glucose uptake into adipocyte and muscle cells. This is
achieved by activation of a complex signal transduction cascade that stimulates
the trafficking of the insulin responsive glucose transporter protein, GLUT4,
from specific intracellular sites to the plasma membrane. This review is divided
into two major sections. The first section gives an overview of GLUT4 trafficking
and the second section focuses on the patents that have been acquired for GLUT4
associated proteins and which demonstrate potential as therapeutic targets for
the treatment of diabetes. Inventions in this area include methods and agents to
translocate GLUT4 to the plasma membrane independent of insulin and methods to
increase the level of GLUT4 in insulin responsive cells.
PMID- 22074574
TI - Pharmacoperones: a new therapeutic approach for diseases caused by misfolded G
protein-coupled receptors.
AB - G Protein-coupled receptors (GPCRs) are cell membrane proteins that recognize
specific chemical signals such as drugs and hormones and transduce these signals
into cellular responses by activating G-proteins. As is the case for all newly
synthesized proteins, GPCRs are subjected to conformational scrutiny at the
endoplasmic reticulum prior to processing and trafficking to the cell surface
membrane. Because of this stringent quality control screening mechanism,
mutations that result in protein misfolding frequently lead to retention in the
endoplasmic reticulum, aggregation or other misrouting and, eventually, to
disease. This article reviews some patents and new therapeutic opportunities
based on the misfolding and retention of otherwise functional GPCRs that
represent promising approaches to correct conformational abnormalities leading to
distinct disease states.
PMID- 22074576
TI - Exploring the Role of "Brahmi" (Bacopa monnieri and Centella asiatica) in Brain
Function and Therapy
AB - It has been envisaged that in this century, disorders of the central nervous
system will have a significant bearing on the healthcare concerns of the human
population worldwide. Such neurological and psychiatric disorders are generally
associated with loss of memory, cognitive deficits, impaired mental function etc.
Due to the multi-factorial nature of these diseases, modern medicine based
psychoactive drugs have met with limited success. Therefore, there is a growing
demand for novel products that could target multiple pathways and improve the
mental capabilities either independently or in combination with conventional
drugs. In the recent times, herbal products based on traditional knowledge have
been increasingly used both in developed and developing countries. According to
"Ayurveda", the Indian traditional system of medicine, "medhyarasayanas"
represent herbal therapeutics that boost memory, restore cognitive deficits and
improve mental function. The current review deals with the components and
application of such a traditional herb "Brahmi" that corresponds to two plants,
Bacopa monnieri and Centella asiatica. Research evidences clearly indicate that
both plants possess neuroprotective properties, have nootropic activity with
therapeutic implications for patients with memory loss. The field has witnessed
exciting patent activity with most inventions aiming at either (i) improving the
methods of herbal extraction or (ii) enrichment and purification of novel
compounds from brahmi or (iii) providing novel synergistic formulations for
therapeutics in various human ailments. In this review, clinical trials related
to the therapeutic properties of brahmi and current patents relevant to the
preparation, composition and application have also been included.
PMID- 22074577
TI - The alteration of coagulation in patients with thyroid dysfunction.
AB - The influence of thyroid dysfunction on haemostasis is complex and still not very
well understood. Both bleeding tendency and hypercoagulable states have been
reported. In this article, we attempt to discuss the possible relationship
between thyroid dysfunction and secondary haemostasis and fibrinolysis. After the
analysis of the recent literature, we conclude that thyroid dysfunction is
associated with alterations in fibrin generation and fibrinolysis. Most of the
evidence suggests that hyperthyroidism is associated with impaired fibrinolysis
and enhanced coagulation. Although, former studies proposed that there was an
increase in fibrinolytic activity in hypothyroidism, increasing number of recent
reports advocated the opposite. We believe that further prospective comprehensive
clinical studies involving large numbers of patients either with overt or
subclinical thyroid dysfunctions should be performed to clarify the effect of
thyroid dysfunction on secondary haemostasis and fibrinolysis. Recent important
patents focusing on coagulation and thyroid dysfunction are also discussed in
this review.
PMID- 22074578
TI - Pituitary microadenoma treated with antipsychotic drug aripiprazole.
AB - Male patient 24 years old with a pituitary microadenoma and mental and
behavioural disorders due to multiple drug use and use of other psychoactive
substances (cocaine, cannabis and alcohol) were treated with haloperidol
(dopamine receptor blocker) 10 mg daily. In the last control, the patient
presented mammary hypertrophy; laboratory testing and brain magnetic resonance
imaging (MRI) was performed, reporting the presence of a pituitary microadenoma
syndrome with hormonal alteration (Prolactin levels 28.4 ng/ml). Haloperidol,
carbamazepine and levomepromazine were then discontinued. He was started on
aripiprazole 15 mg po daily for 4 days; the dosage was then increased to 30 mg po
daily, with Valproic Acid 500 mg po tid. After 3 weeks on aripiprazole, the
mammary hypertrophy that had increased in the patient had resolved. After 10
weeks follow up of prolactin revealed a normal level, at 4.33 ng/ml. Insomnia,
aggressiveness, irritability, visual, tactile and auditory hallucinations
remained absent after treatment with aripiprazole which is not a first line drug
in multiple drug use patient with psychosis. We also consider the correlation of
drug use in patient with psychosis, haloperidol treatment, pituitary microadenoma
syndrome, hyperprolactinemia, and dopamine D2- receptor partial agonist
aripiprazole treatment. This article also summarizes some relevant patents.
PMID- 22074579
TI - Dopamine: the forgotten felon in type 2 diabetes.
AB - This paper reviews recent patents and development related to bromocriptine and
other dopaminergic agents, being used or suggested for use in the management of
diabetes. The article discusses the contribution of dopaminergic pathways to
glucose, energy and weight homeostasis. The mechanism of action of bromocriptine,
its pharmacological properties, efficacy, safety and tolerability are assessed.
The article also covers recent patents which may be utilized to improve the
efficiency and tolerability of dopamine-based therapy in diabetes mellitus.
PMID- 22074580
TI - Hypoglycemic agents in the management of type 2 diabetes mellitus.
AB - Type 2 diabetes is increasing in prevalence and causes a significant health care
burden due to associated microvascular and macrovascular complications. Type 2
diabetes is diagnosed by clinical findings of hyperglycemia and laboratory
confirmation of elevated plasma glucose. Initial therapy includes diet and
exercise, followed by the use of oral hypoglycemic agents and potentially
subcutaneous insulin injections. Of the oral hypoglycemic agents currently
available, metformin is the first-line choice. Recently, new adjunct therapies
have been introduced that can improve glycemic control, although the long term
effects on modifying the disease outcome in terms of diabetes complications
remain to be seen. A review of the mechanism of action of current, non-insulin
therapies will be presented. This review article will also discuss recent patents
related to the field.
PMID- 22074582
TI - The pineal hormone melatonin in health and disease.
PMID- 22074583
TI - The use of chronobiotics in the resynchronization of the sleep/wake cycle.
Therapeutical application in the early phases of Alzheimer's disease.
AB - Treatment of circadian rhythm disorders, whether precipitated by intrinsic
factors (e.g., sleep disorders, blindness, mental disorders, aging) or by
extrinsic factors (e.g., shift work, jet-lag) has led to the development of a new
type of agents called "chronobiotics". The term "chronobiotic" defines a
substance displaying the therapeutic activity of shifting the phase or increasing
the amplitude of the circadian rhythms. The prototype of this therapeutic group
is melatonin, whose administration synchronizes the sleep-wake cycle in blind
people and in individuals suffering from circadian rhythm sleep disorders, like
delayed sleep phase syndrome, jet lag or shift-work. Daily melatonin production
decreases with age, and in several pathologies, attaining its lowest values in
Alzheimer's disease (AD) patients. About half of dementia patients have severe
disruptions in their sleep-wakefulness cycle. Melatonin replacement is effective
to treat sundowning and other sleep wake disorders in fully developed AD,
although controversial data on this point exist. Indeed, large interindividual
differences between patients suffering from AD exist and can explain these
erratic results. Theoretically the effect of melatonin could be more consistent
at an earlier stage of the disease, i.e., mild cognitive impairment (MCI), an
etiologically heterogeneous syndrome that precedes dementia. PubMed was searched
using Entrez for articles including clinical trials. Search terms were
"Alzheimer" "mild cognitive impairment" and "melatonin". Full publications were
obtained and references were checked for additional material where appropriate.
Only clinical studies with empirical treatment data were reviewed. Five double
blind, randomized placebo-controlled trials and 1 open-label retrospective study
(N = 651) all agree in indicating that treatment with daily evening melatonin
improves sleep quality and cognitive performance in MCI. The analysis of
published evidence and patents indicates that melatonin can be a useful ad-on
therapeutic tool in the early phases of AD.
PMID- 22074584
TI - Relevance of the chronobiological and non-chronobiological actions of melatonin
for enhancing therapeutic efficacy in neurodegenerative disorders.
AB - Melatonin is an indolamine with a large spectrum of functions that can be divided
into chronobiotic and nonchronobiotic. Chronobiotic effects are mediated by the
daily rhythm of melatonin in the plasma due to nocturnal pineal synthesis,
whereas the melatonin produced by other cells, such as gastrointestinal and
immune competent cells, is independent of the light/dark cycle and exert non
chronobiotic effects. The concentrations achieved by the two sources are
significantly different, varying in the pM-nM range in the plasma, and may
achieve concentrations in the mM range when released locally by activated immune
competent cells. Consequently, the effects of the melatonin produced in these two
situations are distinct. Much has been reported about the beneficial response to
exogenous melatonin administration in several pathological conditions. However,
the relationship between the establishment of a disease and the state of the
physiological activity of the pineal gland is still poorly understood. Here, we
review the state of art in the modulation of pineal melatonin synthesis, relevant
patents, and discuss its relationship with neurodegenerative disorders that
involve a central inflammatory response, such as Alzheimer's disease, to suggest
the putative relevance of new therapeutic protocols that replace this pineal
hormone.
PMID- 22074585
TI - Effects of melatonin on peripheral nerve regeneration.
AB - In the available literature, there are thousands of studies on peripheral nerve
regeneration using many nerves of several animals at different ages with various
types of lesions and different methods of evaluation at certain time of follow
up. Despite many experimental data and clinical observations, there is still no
ideal treatment method enhancing peripheral nerve regeneration. In clinical
practice, various types of surgical nerve repair techniques do not frequently
result in complete recovery due to neuroma formation, lipid peroxidative damage,
ischemia and other factors. Recently, a number of neuroscientists demonstrated
that pineal neurohormone melatonin (MLT) has an effect on the morphologic
features of the nerve tissue, suggesting its neuroprotective, free radical
scavenging, antioxidative, and analgesic effects in degenerative diseases of
peripheral nerves. At present, it is widely accepted that MLT has a useful effect
on axon length and sprouting after traumatic events to peripheral nerves. Our
studies using various experimental injury models clearly suggest positive effects
of MLT on the number of axons, thickness of myelin sheath by inhibition of
collagen accumulation and neuroma formation following traumatic events to
peripheral nerves, myelination of developing peripheral nerve after intrauterine
ethanol exposure. Nevertheless, further experimental and randomized controlled
clinical studies are vital to identify the clinical use of MLT hormone. This is
an overview of recent patents and current literature in terms of the effects of
MLT on peripheral nerve regeneration based on a critical analysis of
electrophysiological, biochemical and light and electron microscopic findings, in
addition to functional observations.
PMID- 22074586
TI - Melatonin, immune function and cancer.
AB - Melatonin is a natural substance ubiquitous in distribution and present in almost
all species ranging from unicellular organisms to humans. In mammals, melatonin
is synthesized not only in the pineal gland but also in many other parts of the
body, including the eyes, bone marrow, gastrointestinal tract, skin and
lymphocytes. Melatonin influences almost every cell and can be traced in
membrane, cytoplasmic, mitochondrial and nuclear compartments of the cell. The
decline in the production of melatonin with age has been suggested as one of the
major contributors to immunosenescence and development of neoplastic diseases.
Melatonin is a natural antioxidant with immunoenhancing properties. T-helper
cells play an important role for protection against malignancy and melatonin has
been shown to enhance T-helper cell response by releasing interleukin-2,
interleukin-10 and interferon-gamma. Melatonin is effective in suppressing
neoplastic growth in a variety of tumors like melanoma, breast and prostate
cancer, and ovarian and colorectal cancer. As an adjuvant therapy, melatonin can
be beneficial in treating patients suffering from breast cancer, hepatocellular
carcinoma or melanoma. In this paper, a brief review of recent patents on
melatonin and cancer has also been presented.
PMID- 22074587
TI - Fetuin-A: a multifunctional protein.
AB - Sixty-six years have elapsed since the discovery of fetuin in 1944, but its
importance in mammalian physiology has only recently been appreciated. Fetuin,
first isolated from fetal bovine serum and now most commonly known as either
fetuin-A, alpha-2-HS-glycoprotein (recommended name by UniprotKB and PIR), or
alpha2-Heremans-Schmid glycoprotein, functions as an important component of
diverse normal and pathological processes, including vascular calcification and
bone metabolism regulation, insulin resistance, protease activity control,
keratinocytes migration, and breast tumor cell proliferative signaling. Fetuin-A
has also been identified as a biomarker for neurodegenerative disease. Here, we
summarize recent publications focusing on the structural and functional
properties of fetuin-A. The emerging importance of fetuin-A for both diagnosis
and therapeutics has come to the attention of the pharmaceutical industry.
Therefore, we will discuss the status of patents based on fetuin-A.
PMID- 22074588
TI - Better targeting melanoma: options beyond surgery and conventional chemotherapy.
AB - Skin cancer is the commonest malignant tumour in white skinned individuals in the
western world. The incidence of skin cancer is on the rise. Case fatality for
melanoma is probably the highest, not only among skin cancers but also among all
types of cancers. The notion that cancer stem cells are responsible for disease
progress and development has lead researchers towards these initiators of new
metastases. Intra- and extracellular path inhibitors are targeted in eliminating
crucial functions of tumor cells. Treatments are easily escaped from stem cells
with pluripotent functional and proliferative potential. Immunomodulators are a
useful treatment adjunct for highly immunogenic cancers such as malignant
melanoma. Specific lineages of tumor cells are responsible for this modulation
and targeting this cancer function with specific antibodies appears to be a
reasonable but also effective treatment option. Chemoprotective agents have been
proposed as a mean of reducing dose dependent toxicities and enlarging the
therapeutic window of anticancer drugs. Current patent laws skew biomedical
research to products that yield high profits rather than to global priority
health needs in both developed and developing countries and with melanoma
benefiting from this skew many new patents will continue to emerge. Most patents
reported in this review follow the cytostatic rather than the cytotoxic paradigm.
Based on this trend, one might anticipate that the goal for future advances in
the treatment of melanoma patients would be to prolong their lifespan rather than
cure them.
PMID- 22074590
TI - Staff concerns in heroin-assisted treatment centres.
AB - Heroin-assisted treatment (HAT) is a solution for improving the condition of
treatment-resistant heroin addicts. Since 1994, six randomized controlled trials
have concluded that HAT is more efficacious than oral methadone for severe heroin
addicts. We visited seven HAT treatment centres in four countries in order to
observe diacetylmorphine (DAM) administration and to study the main concerns of
the staff. Nurses were concerned by the risk taken if a previously intoxicated
patient received his dose of DAM. Another concern was the smuggling of DAM doses.
The HAT centres face a dilemma: treating patients while at the same time allowing
their risky street habits in the centre.
PMID- 22074589
TI - Residual HIV-1 DNA Flap-independent nuclear import of cPPT/CTS double mutant
viruses does not support spreading infection.
AB - BACKGROUND: The human immunodeficiency virus type 1 (HIV-1) central DNA Flap is
generated during reverse transcription as a result of (+) strand initiation at
the central polypurine tract (cPPT) and termination after a ca. 100 bp strand
displacement at the central termination sequence (CTS). The central DNA Flap is a
determinant of HIV-1 nuclear import, however, neither cPPT nor CTS mutations
entirely abolish nuclear import and infection. Therefore, to determine whether or
not the DNA Flap is essential for HIV-1 nuclear import, we generated double
mutant (DM) viruses, combining cPPT and CTS mutations to abolish DNA Flap
formation. RESULTS: The combination of cPPT and CTS mutations reduced the
proportion of viruses forming the central DNA Flap at the end of reverse
transcription and further decreased virus infectivity in one-cycle titration
assays. The most affected DM viruses were unable to establish a spreading
infection in the highly permissive MT4 cell line, nor in human primary peripheral
blood mononuclear cells (PBMCs), indicating that the DNA Flap is required for
virus replication. Surprisingly, we found that DM viruses still maintained
residual nuclear import levels, amounting to 5-15% of wild-type virus, as
assessed by viral DNA circle quantification. Alu-PCR quantification of integrated
viral genome also indicated 5-10% residual integration levels compared to wild
type virus. CONCLUSION: This work establishes that the central DNA Flap is
required for HIV-1 spreading infection but points to a residual DNA Flap
independent nuclear import, whose functional significance remains unclear since
it is not sufficient to support viral replication.
PMID- 22074592
TI - Effectiveness and tissue compatibility of a 12-week treatment of chronic venous
leg ulcers with an octenidine based antiseptic--a randomized, double-blind
controlled study.
AB - The aim of this study was to evaluate the cytotoxic effect of octenidine
dihydrochloride/phenoxyethanol (OHP) found in vitro by conducting a randomized,
double-blind controlled clinical study focusing on its safe and effective use in
chronic venous leg ulcers. In total, 126 male and female patients were treated
with either OHP (n = 60) or Ringer solution (n = 66). The treatment lasted over a
period of maximum 12 weeks. For the assessment of the wound-healing process,
clinical outcome parameters were employed, that is, time span until 100%
epithelization, wound status and the wound surface area were analysed. Side
effects were recorded during the study period. The median time to complete ulcer
healing was comparable between the OHP and Ringer solution groups (92 versus 87
days; P = 0.952), without being influenced by wound size or duration of the
target ulcer (P-values: 0.947/0.978). In patients treated with OHP, fewer adverse
events (AEs) were observed compared with the Ringer group (17% versus 29% of
patients reported 20 versus 38 AEs). OHP is well suitable for the treatment of
chronic wounds without cytotoxic effects. Furthermore, OHP does not impair the
wound healing in chronic venous ulcers.
PMID- 22074591
TI - Results from the translation and adaptation of the Iranian Short-Form McGill Pain
Questionnaire (I-SF-MPQ): preliminary evidence of its reliability, construct
validity and sensitivity in an Iranian pain population.
AB - BACKGROUND: The Short Form McGill Pain Questionnaire (SF-MPQ) is one of the most
widely used instruments to assess pain. The aim of this study was to translate
and culturally adapt the questionnaire for Farsi (the official language of Iran)
speakers in order to test its reliability and sensitivity. METHODS: We followed
Guillemin's guidelines for cross-cultural adaption of health-related measures,
which include forward-backward translations, expert committee meetings, and face
validity testing in a pilot group. Subsequently, the questionnaire was
administered to a sample of 100 diverse chronic pain patients attending a
tertiary pain and rehabilitation clinic. In order to evaluate test-retest
reliability, patients completed the questionnaire in the morning and early
evening of their first visit. Finally, patients were asked to complete the
questionnaire for the third time after completing a standardized treatment
protocol three weeks later. Intraclass correlation coefficient (ICC) was used to
evaluate reliability. We used principle component analysis to assess construct
validity. RESULTS: Ninety-two subjects completed the questionnaire both in the
morning and in the evening of the first visit (test-retest reliability), and
after three weeks (sensitivity to change). Eight patients who did not finish
treatment protocol were excluded from the study. Internal consistency was found
by Cronbach's alpha to be 0.951, 0.832 and 0.840 for sensory, affective and total
scores respectively. ICC resulted in 0.906 for sensory, 0.712 for affective and
0.912 for total pain score. Item to subscale score correlations supported the
convergent validity of each item to its hypothesized subscale. Correlations were
observed to range from r2 = 0.202 to r2 = 0.739. Sensitivity or responsiveness
was evaluated by pair t-test, which exhibited a significant difference between
pre- and post-treatment scores (p < 0.001). CONCLUSION: The results of this study
indicate that the Iranian version of the SF-MPQ is a reliable questionnaire and
responsive to changes in the subscale and total pain scores in Persian chronic
pain patients over time.
PMID- 22074593
TI - The jump shot - a biomechanical analysis focused on lateral ankle ligaments.
AB - Handball is one of the top four athletic games with highest injury risks. The
jump shot is the most accomplished goal shot technique and the lower extremities
are mostly injured. As a basis for ankle sprain simulation, the aim of this study
was to extend the ankle region of an existing musculoskeletal full-body model
through incorporation of three prominent lateral ankle ligaments: ligamentum
fibulotalare anterius (LFTA), ligamentum fibulotalare posterius (LFTP),
ligamentum fibulocalcaneare (LFC). The specific objective was to calculate and
visualise ligament force scenarios during the jumping and landing phases of
controlled jump shots. Recorded kinematic data of performed jump shots and the
corresponding ground reaction forces were used to perform inverse dynamics. The
calculated peak force of the LFTA (107 N) was found at maximum plantarflexion and
of the LFTP (150 N) at maximum dorsiflexion. The peak force of the LFC (190 N)
was observed at maximum dorsiflexion combined with maximum eversion. Within the
performed jump shots, the LFTA showed a peak force (59 N to 69 N) during maximum
plantarflexion in the final moment of the lift off. During landing, the force
developed by the LFTA reached its peak value (61 N to 70 N) at the first contact
with the floor. After that, the LFTP developed a peak force (70 N to 118 N). This
model allows the calculation of forces in lateral ankle ligaments. The
information obtained in this study can serve as a basis for future research on
ankle sprain and ankle sprain simulation.
PMID- 22074594
TI - Conserved host response to highly pathogenic avian influenza virus infection in
human cell culture, mouse and macaque model systems.
AB - BACKGROUND: Understanding host response to influenza virus infection will
facilitate development of better diagnoses and therapeutic interventions. Several
different experimental models have been used as a proxy for human infection,
including cell cultures derived from human cells, mice, and non-human primates.
Each of these systems has been studied extensively in isolation, but little
effort has been directed toward systematically characterizing the conservation of
host response on a global level beyond known immune signaling cascades. RESULTS:
In the present study, we employed a multivariate modeling approach to
characterize and compare the transcriptional regulatory networks between these
three model systems after infection with a highly pathogenic avian influenza
virus of the H5N1 subtype. Using this approach we identified functions and
pathways that display similar behavior and/or regulation including the well
studied impact on the interferon response and the inflammasome. Our results also
suggest a primary response role for airway epithelial cells in initiating
hypercytokinemia, which is thought to contribute to the pathogenesis of H5N1
viruses. We further demonstrate that we can use a transcriptional regulatory
model from the human cell culture data to make highly accurate predictions about
the behavior of important components of the innate immune system in tissues from
whole organisms. CONCLUSIONS: This is the first demonstration of a global
regulatory network modeling conserved host response between in vitro and in vivo
models.
PMID- 22074596
TI - Fun with photons, reactive intermediates, and friends. Skating on the edge of the
paradigms of physical organic chemistry, organic supramolecular photochemistry,
and spin chemistry.
AB - This Perspective presents a review and survey of the science and philosophy of my
research career over the past five decades at Columbia as a physical organic
chemist and photochemist. I explore the role of paradigms, structure, and
geometric thinking in my own cognitive and intellectual development. The
Perspective describes my investigations of high energy content molecules in
electronically excited states and the development of electronic spin and
supramolecular photochemistry chemistry. Current research dealing with the
nuclear spin chemistry of H(2) incarcerated in buckyballs is illustrated. In the
second part of this Perspective, I recount a personal role of the philosophy and
history of science and the scientific communities' use of paradigms in their
every day research and intellectual activities. Examples are given of the crucial
role of geometry and structure in the rapid development of organic chemistry and
physical organic chemistry over the past century.
PMID- 22074595
TI - Ambulatory high-resolution manometry, lower esophageal sphincter lift and
transient lower esophageal sphincter relaxation.
AB - BACKGROUND: Lower esophageal sphincter (LES) lift seen on high-resolution
manometry (HRM) is a possible surrogate marker of the longitudinal muscle
contraction of the esophagus. Recent studies suggest that longitudinal muscle
contraction of the esophagus induces LES relaxation. AIM: Our goal was to
determine: (i) the feasibility of prolonged ambulatory HRM and (ii) to detect LES
lift with LES relaxation using ambulatory HRM color isobaric contour plots.
METHODS: In vitro validation studies were performed to determine the accuracy of
HRM technique in detecting axial movement of the LES. Eight healthy normal
volunteers were studied using a custom designed HRM catheter and a 16 channel
data recorder, in the ambulatory setting of subject's home environment. Color HRM
plots were analyzed to determine the LES lift during swallow-induced LES
relaxation as well as during complete and incomplete transient LES relaxations
(TLESR). KEY RESULTS: Satisfactory recordings were obtained for 16 h in all
subjects. LES lift was small (2 mm) in association with swallow-induced LES
relaxation. LES lift could not be measured during complete TLESR as the LES is
not identified on the HRM color isobaric contour plot once it is fully relaxed.
On the other hand, LES lift, mean 8.4 +/- 0.6 mm, range: 4-18 mm was seen with
incomplete TLESRs (n = 80). CONCLUSIONS & INFERENCES: Our study demonstrates the
feasibility of prolonged ambulatory HRM recordings. Similar to a complete TLESR,
longitudinal muscle contraction of the distal esophagus occurs during incomplete
TLESRs, which can be detected by the HRM. Using prolonged ambulatory HRM, future
studies may investigate the temporal correlation between abnormal longitudinal
muscle contraction and esophageal symptoms.
PMID- 22074597
TI - Usefulness of Forensic Dental Symbols(c) and Dental Encoder(c) database in
forensic odontology.
AB - A new universal graphic dental system, Forensic Dental Symbols((c)), has been
created to provide precision in the construction of dental records, improve
standardization, and increase efficiency in dental identification procedures. Two
hundred and thirty-four different graphic symbols representing the most frequent
clinical status for each tooth were designed. Symbols can be then converted to a
typographic font and then are ready to use in any computer. For the appropriate
use, manipulation, and storage of dental information generated by the Forensic
Dental Symbols((c)), Dental Encoder((c)) database has been created. The database
contains all the information required by INTERPOL Disaster Victim Identification
(DVI)-dental-forms. To explore the possibilities that Dental Encoder((c)) offers,
an antemortem dental database from a Spanish population of 3920 military
personnel had been constructed. Data generated by Dental Encoder((c)) were
classified into sex and age groups. The program can perform an automatic search
of the database for cases that match a selected clinical status presented in a
single tooth or a combination of situations for several teeth. Moreover, Dental
Encoder((c)) allows information to be printed on INTERPOL DVI-dental-forms, or
the inclusion of any completed form into any document, technical report, or
identification of dental report.
PMID- 22074598
TI - Uniaxial strain orientation dependence of superconducting transition temperature
(Tc) and critical superconducting pressure (Pc) in beta-(BDA-TTP)2I3.
AB - Dependence of the superconducting transition temperature (T(c)) and critial
superconducting pressure (P(c)) of the pressure-induced superconductor beta-(BDA
TTP)(2)I(3) [BDA-TTP = 2,5-bis(1,3-dithian-2-ylidene)-1,3,4,6-tetrathiapentalene]
on the orientation of uniaxial strain has been investigated. On the basis of the
overlap between the upper and lower bands in the energy dispersion curve, the
pressure orientation is thought to change the half-filled band to the quarter
filled one. The observed variations in T(c) and P(c) are explained by considering
the degree of application of the pressure and the degree of contribution of the
effective electronic correlation at uniaxial strains with different orientations
parallel to the conducting donor layer.
PMID- 22074599
TI - Phenotypic and genetic analyses of 111 clinical and environmental O1, O139, and
non-O1/O139 Vibrio cholerae strains from different geographical areas.
AB - A total of 111 clinical and environmental O1, O139 and non-O1/O139 Vibrio
cholerae strains isolated between 1978 and 2008 from different geographical areas
were typed using a combination of methods: antibiotic susceptibility, biochemical
test, serogroup, serotype, biotype, sequences containing variable numbers of
tandem repeats (VNTRs) and virulence genes ctxA and tcpA amplification. As a
result of the performed typing work, the strains were organized into four
clusters: cluster A1 included clinical O1 Ogawa and O139 serogroup strains
(ctxA(+) and tcpA(+)); cluster A2 included clinical non-O1/O139 strains (ctxA(-)
and tcpA(-)), as well as environmental O1 Inaba and non-O1/O139 strains (ctxA(-)
and tcpA(-)/tcpA(+)); cluster B1 contained two clinical O1 strains and
environmental non-O1/O139 strains (ctxA(-) and tcpA(+)/tcpA(-)); cluster B2
contained clinical O1 Inaba and Ogawa strains (ctxA(+) and tcpA(+)). The results
of this work illustrate the advantage of combining several typing methods to
discriminate between clinical and environmental V. cholerae strains.
PMID- 22074601
TI - Wnt signaling signaling at and above the receptor level.
AB - Wnt signaling is one of the most important developmental signaling pathways that
controls cell fate decisions and tissue patterning during early embryonic and
later development. It is activated by highly conserved Wnt proteins that are
secreted as palmitoylated glycoproteins and act as morphogens to form a
concentration gradient across a developing tissue. Wnt proteins regulate
transcriptional and posttranscriptional processes depending on the distance of
their origin and activate distinct intracellular cascades, commonly referred to
as canonical (beta-catenin-dependent) and noncanonical (beta-catenin-independent)
pathways. Therefore, the secretion and the diffusion of Wnt proteins needs to be
tightly regulated to induce short- and long-range downstream signaling. Even
though the Wnt signaling cascade has been studied intensively, key aspects and
principle mechanisms, such as transport of Wnt growth factors or regulation of
signaling specificity between different Wnt pathways, remain unresolved. Here, we
introduce basic principles of Wnt/Wg signal transduction and highlight recent
discoveries, such as the involvement of vacuolar ATPases and vesicular
acidification in Wnt signaling. We also discuss recent findings regarding
posttranslational modifications of Wnts, trafficking through the secretory
pathway and developmental consequences of impaired Wnt secretion. Understanding
the detailed mechanism and regulation of Wnt protein secretion will provide
valuable insights into many human diseases based on overactivated Wnt signaling.
PMID- 22074602
TI - Notch-independent functions of CSL.
AB - Notch-dependent CSL transcription complexes control essential biological
processes such as cell proliferation, differentiation, and cell-fate decisions in
diverse developmental systems. The orthologous proteins CBF1/Rbpj (mammalian),
Su(H) (Drosophila), and Lag-1 (Caenorhabditis elegans) compose the CSL family of
sequence-specific DNA-binding transcription factors. The CSL proteins are best
known for their role in canonical Notch signaling. However, CSL factors also form
transcription complexes that can function independent of Notch signaling and
include repression and activation of target gene transcription. Because the
different complexes share CSL as a DNA-binding subunit, they can control
overlapping sets of genes; but they can also control distinct sets when partnered
with tissue-specific cofactors that restrict DNA-sequence recognition or
stability of the DNA-bound complex. The Notch-independent functions of CSL and
the processes they regulate will be reviewed here with a particular emphasis on
the tissue-specific CSL-activator complex with the bHLH factor Ptf1a.
PMID- 22074600
TI - New insights into signaling during myelination in zebrafish.
AB - Myelin is a vertebrate adaptation that allows for the rapid propagation of action
potentials along axons. Specialized glial cells-oligodendrocytes in the central
nervous system (CNS) and Schwann cells in the peripheral nervous system (PNS)
form myelin by repeatedly wrapping axon segments. Debilitating diseases result
from the disruption of myelin, including multiple sclerosis and Charcot-Marie
Tooth peripheral neuropathies. The process of myelination involves extensive
communication between glial cells and the associated neurons. The past few years
have seen important progress in understanding the molecular basis of the signals
that coordinate the development of these fascinating cells. This review
highlights recent advances in myelination deriving from studies in the zebrafish
model system, with a primary focus on the PNS. While Neuregulin1-ErbB signaling
has long been known to play important roles in peripheral myelin development,
work in zebrafish has elucidated its roles in Schwann cell migration and radial
sorting of axons in vivo. Forward genetic screens in zebrafish have also
uncovered new genes required for development of myelinated axons, including
gpr126, which encodes a G-protein coupled receptor required for Schwann cells to
progress beyond the promyelinating stage. In addition, work in zebrafish
uncovered new roles for Schwann cells themselves, including in regulating the
boundary between the PNS and CNS and positioning a nerve after its initial
outgrowth.
PMID- 22074603
TI - Sonic hedgehog signaling in the developing CNS where it has been and where it is
going.
AB - Sonic Hedgehog (Shh) is one of three mammalian orthologs of the Hedgehog (Hh)
family of secreted proteins first identified for their role in patterning the
Drosophila embryo. In this review, we will highlight some of the outstanding
questions regarding how Shh signaling controls embryonic development. We will
mainly consider its role in the developing mammalian central nervous system (CNS)
where the pathway plays a critical role in orchestrating the specification of
distinct cell fates within ventral regions, a process of exquisite complexity
that is necessary for the proper wiring and hence function of the mature system.
Embryonic development is a process that plays out in both the spatial and the
temporal dimensions, and it is becoming increasingly clear that our understanding
of Shh signaling in the CNS is grounded in an appreciation for the dynamic nature
of this process. In addition, any consideration of Hh signaling must by necessity
include a consideration of data from many different model organisms and systems.
In many cases, the extent to which insights gained from these studies are
applicable to the CNS remains to be determined, yet they provide a strong
framework in which to explore its role in CNS development. We will also discuss
how Shh controls cell fate diversification through the regulation of patterned
target gene expression in the spinal cord, a region where our understanding of
the morphogenetic action of graded Shh signaling is perhaps the furthest
advanced.
PMID- 22074605
TI - Signaling pathways and axis formation in the lower metazoa.
AB - The determination of the body axis in the last common ancestor of bilaterian
animals is still a matter of debate. While Hox genes pattern the formation of the
primary, anteroposterior body axis in bilaterians, there is growing evidence from
lower metazoans that the Wnt/beta-catenin pathway acts as the primordial
signaling system in this process. This review summarizes molecular data from
recent genomic analyses of basal model organisms with a focus on the evolution of
signaling pathways involved in the establishment of the primary and successive
body axes during early metazoan evolution.
PMID- 22074604
TI - Activity-mediated synapse formation a role for Wnt-Fz signaling.
AB - Synapse formation is a critical step in the assembly of neuronal circuits. Both
secreted and membrane-associated proteins contribute to the assembly and
maturation of synapses. In addition, neuronal activity regulates the formation of
neuronal circuits through the stimulation of growth factor secretion and the
localization of receptors such as NMDA and AMPA receptors (NMDAR and AMPAR,
respectively). Little is known, however, about the role of activity in the
localization and function of receptors for synaptogenic molecules. Wnts are
secreted proteins that play a role in synapse formation by regulating pre- and
postsynaptic assembly at central and peripheral synapses. Wnts can signal through
different receptors including Frizzleds (Fzs), the LRP5/6 coreceptors, Ror and
Ryk. Fz receptors have been shown to mediate Wnt function during synapse
formation. At the cell surface, Fz receptors are located at synaptic and
extrasynaptic sites. Importantly, synaptic localization of Fzs is regulated by
neuronal activity in a Wnt-dependent manner. In this review, we discuss the
function of Wnt-Fz signaling in the assembly of central and peripheral synapses
and the evidence supporting a role for Wnt ligands and their Fz receptors in
activity-mediated synapse formation.
PMID- 22074606
TI - FGF and ROR2 receptor tyrosine kinase signaling in human skeletal development.
AB - Skeletal malformations are among the most frequent developmental disturbances in
humans. In the past years, progress has been made in unraveling the molecular
mechanisms that govern skeletal development by the use of animal models as well
as by the identification of numerous mutations that cause human skeletal
syndromes. Receptor tyrosine kinases have critical roles in embryonic
development. During formation of the skeletal system, the fibroblast growth
factor receptor (FGFR) family plays major roles in the formation of cranial,
axial, and appendicular bones. Another player of relevance to skeletal
development is the unusual receptor tyrosine kinase ROR2, the function of which
is as interesting as it is complex. In this chapter, we review the involvement of
FGFR signaling in human skeletal disease and provide an update on the growing
knowledge of ROR2.
PMID- 22074607
TI - Signaling between cells. Preface.
PMID- 22074608
TI - Characterization of flavonol glycosides in individual Arabidopsis root tips by
flow injection electrospray mass spectrometry.
AB - Developments in mass spectrometry-based technologies are offering insights into
the complexity and dynamic nature of plant metabolism. However, the ability to
generate reliable metabolic profiles at high spatial resolution is still limited
by the need of most technologies for large sample sizes or time-intensive
extraction and detection methods. Here we describe the use of flow injection
electrospray mass spectrometry for the rapid identification and semi-quantitative
analysis of flavonol glycosides in individual root tips. This method uncovered
spatial and temporal differences in metabolic profiles that were masked in
analyses of whole roots or seedlings, while showing that individual biological
replicates can be extremely consistent.
PMID- 22074609
TI - 2D versus 3D imaging of hip displacement in children with cerebral palsy.
PMID- 22074610
TI - Methodological quality of economic evaluations of new pharmaceuticals in The
Netherlands.
AB - BACKGROUND: In the Netherlands, decisions about the reimbursement of new
pharmaceuticals are based on cost effectiveness, as well as therapeutic value and
budget impact. Since 1 January 2005, drug manufacturers are formally required to
substantiate the cost effectiveness of drugs that have therapeutic added value in
comparison with existing ones through pharmacoeconomic evaluations. Dutch
guidelines for pharmacoeconomic research provide methods guidance, ensuring
consistency in both the evidence and the decision-making process about drug
reimbursement. AIM: This study reviewed the methodological quality of all 21
formally required pharmacoeconomic evaluations of new pharmaceuticals between 1
January 2005 and 1 October 2008, and verified whether these evaluations complied
with pharmacoeconomic guidelines. METHODS: Data on the quality of the
pharmacoeconomic evaluations were extracted from the pharmacoeconomic reports
published by the Dutch Health Care Insurance Board (CVZ). The Board's newsletters
provided information on the advice to, and reimbursement decisions made by, the
Dutch Minister of Health. All data extraction was carried out by two independent
reviewers, and descriptive analyses were conducted. RESULTS: The methodological
quality was sound in only 8 of the 21 pharmacoeconomic evaluations. In most
cases, the perspective of analysis, the comparator drugs, and the reporting of
both total and incremental costs and effects were correct. However, drug
indication, form (i.e. cost utility/cost effectiveness) and time horizon of the
evaluations were frequently flawed. Moreover, the costs and effects of the
pharmaceuticals were not always analysed correctly, and modelling studies were
often non-transparent. Twelve drugs were reimbursed, and nine were not.
CONCLUSIONS: The compliance with pharmacoeconomic guidelines in economic
evaluations of new pharmaceuticals can be improved. This would improve the
methodological quality of the pharmacoeconomic evaluations and ensure consistency
in the evidence and the decision-making process for drug reimbursement in the
Netherlands.
PMID- 22074611
TI - Reply to: Implicit memory in schizophrenia: the problem that will not go away.
PMID- 22074612
TI - Altered cell cycle dynamics in schizophrenia.
AB - BACKGROUND: The olfactory mucosa, the organ of smell in the nose, is a neural
tissue that regenerates new sensory neurons throughout adult life. Based on this
tissue, we previously demonstrated increased mitosis in olfactory biopsy cultures
from schizophrenia patients compared with healthy control subjects. In addition,
neural stem/progenitor cell cultures (neurosphere-derived cells) from nasal
biopsies from individuals with schizophrenia show significantly altered gene and
protein expression in key cell cycle control pathways. METHODS: The aim of this
study was to investigate cell cycle dynamics in olfactory neurosphere-derived
cells from nine male schizophrenia patients and nine male healthy control
subjects. Cell cycles were arrested by serum deprivation after which cell
population doubling time, proliferation fraction, and cell cycle period were
calculated from cell counts over 96 hours. Cell cycle phase was investigated
using flow cytometry. Cell lysates were analyzed for expression of cyclin
proteins. RESULTS: Cell population proliferation rate was increased in
schizophrenia through a larger pool of proliferating progenitors and a reduced
cell cycle period. All phases of the cell cycle were phase-shifted by 2 hours in
the schizophrenia-derived cells, which expressed higher levels of the cyclins D1,
E, and A2. CONCLUSIONS: Our observations indicate that schizophrenia is
associated with subtle alterations in cell cycle dynamics, shortening of the cell
cycle period, and increased expression of G1/S phase cyclins. We speculate that
this underlying diathesis could alter the temporal and spatial cascade of brain
development and contribute to an altered neurodevelopmental trajectory in
schizophrenia.
PMID- 22074613
TI - Several clinical interests regarding lung volume reduction surgery for severe
emphysema: meta-analysis and systematic review of randomized controlled trials.
AB - OBJECTIVES: We aim to address several clinical interests regarding lung volume
reduction surgery (LVRS) for severe emphysema using meta-analysis and systematic
review of randomized controlled trials (RCTs). METHODS: Eight RCTs published from
1999 to 2010 were identified and synthesized to compare the efficacy and safety
of LVRS vs conservative medical therapy. One RCT was obtained regarding
comparison of median sternotomy (MS) and video-assisted thoracoscopic surgery
(VATS). And three RCTs were available evaluating clinical efficacy of using
bovine pericardium for buttressing, autologous fibrin sealant and BioGlue,
respectively. RESULTS: Odds ratio (95%CI), expressed as the mortality of group A
(the group underwent LVRS) versus group B (conservative medical therapies), was
5.16(2.84, 9.35) in 3 months, 3(0.94, 9.57) in 6 months, 1.05(0.82, 1.33) in 12
months, respectively. On the 3rd, 6th and 12th month, all lung function indices
of group A were improved more significantly as compared with group B. PaO2 and
PaCO2 on the 6th and 12th month showed the same trend. 6MWD of group A on the 6th
month and 12th month were improved significantly than of group B, despite no
difference on the 3rd month. Quality of life (QOL) of group A was better than of
group B in 6 and 12 months. VATS is preferred to MS, due to the earlier recovery
and lower cost. And autologous fibrin sealant and BioGlue seems to be the
efficacious methods to reduce air leak following LVRS. CONCLUSIONS: LVRS offers
the more benefits regarding survival, lung function, gas exchange, exercise
capacity and QOL, despite the higher mortality in initial three postoperative
months. LVRS, with the optimization of surgical approach and material for
reinforcement of the staple lines, should be recommended to patients suffering
from severe heterogeneous emphysema.
PMID- 22074615
TI - The effect of administrative cessation of the use of ipratropium bromide in the
treatment of acute asthma attacks in the emergency department.
AB - BACKGROUND: The anticholinergic agent ipratropium bromide has demonstrated
effectiveness in the treatment of severe asthma exacerbations. METHODS: We
conducted a retrospective quasi-experiment to investigate the clinical
consequences on acute asthma patients of the administrative removal of
ipratropium bromide from an emergency department (ED) of a large tertiary
hospital. We compared the combined negative outcome (hospitalization, length of
stay in the ED, hospital readmission within 48 hours or 7 days, intubation, and
death) of acute asthma patients, treated in the 12 months preceding (n = 394;
Period A) and the 12 months following (n = 334; Period B) the policy change.
Multiple imputations based on sequentially improved regressions were performed
for missing data on measures of severity. RESULTS: Administration of steroid
medications increased from 49.8% to 61.4%; p = .002 from Period A to Period B.
There was no statistically significant difference in combined negative outcome
between Periods A and B (41.1% and 42.9%, respectively). CONCLUSIONS: An
administrative decision to stop the purchase of ipratropium bromide in an ED was
followed by an increased use of steroids; adverse consequences did not increase
in acute asthmatic patients. In the absence of ipratropium bromide in the ED,
steroids may thus serve as an appropriate substitute, an observation that calls
for a randomized controlled clinical trial.
PMID- 22074614
TI - Evaluation of the implementation of an integrated primary care network for
prevention and management of cardiometabolic risk in Montreal.
AB - BACKGROUND: The goal of this project is to evaluate the implementation of an
integrated and interdisciplinary program for prevention and management of
cardiometabolic risk (PCMR). The intervention is based on the Chronic Care Model.
The study will evaluate the implementation of the PCMR in 6 of the 12 health and
social services centres (CSSS) in Montreal, and the effects of the PCMR on
patients and the practice of their primary care physicians up to 40 months
following implementation, as well as the sustainability of the program.
Objectives are: 1-to evaluate the effects of the PCMR and their persistence on
patients registered in the program and the practice of their primary care
physicians, by implementation site and degree of exposure to the program; 2-to
assess the degree of implementation of PCMR in each CSSS territory and identify
related contextual factors; 3-to establish the relationships between the effects
observed, the degree of PCMR implementation and the related contextual factors; 4
to assess the impact of the PCMR on strengthening local services networks.
METHODS/DESIGN: The evaluation will use a mixed design that includes two
complementary research strategies. The first strategy is similar to a quasi
experimental "before-after" design, based on a quantitative approach; it will
look at the program's effects and their variations among the six territories. The
effects analysis will use data from a clinical database and from questionnaires
completed by participating patients and physicians. Over 3000 patients will be
recruited. The second strategy corresponds to a multiple case study approach,
where each of the six CSSS constitutes a case. With this strategy, qualitative
methods will set out the context of implementation using data from semi
structured interviews with program managers. The quantitative data will be
analyzed using linear or multilevel models complemented with an interpretive
approach to qualitative data analysis. DISCUSSION: Our study will identify
contextual factors associated with the effectiveness, successful implementation
and sustainability of such a program. The contextual information will enable us
to extrapolate our results to other contexts with similar conditions. TRIAL
REGISTRATION: ClinicalTrials.gov: NCT01326130.
PMID- 22074616
TI - Comparative study of direct and phase-specific vibrational sum-frequency
generation spectroscopy: advantages and limitations.
AB - As a surface-specific technique, vibrational sum-frequency generation (SFG) is
used in a wide range of applications where soft matter or solid interfaces are to
be probed on a molecular level through their vibrational modes. In recent years,
phase-specific sum-frequency generation (PS-SFG, also known as heterodyne
detected SFG) spectroscopy has been increasingly replacing its predecessor
(direct SFG, also known as homodyne SFG) as the experimental technique of choice
for characterizing interfacial structure. The technique enables phase sensitive
measurements, allowing for the determination of the real and imaginary parts of
the interfacial vibrational response function and thereby the unambiguous
identification of molecular orientation. This phase-sensitivity requires,
however, a complete understanding of the complex optical properties of the sample
and of their effect on the signal. These optical properties significantly
influence the raw spectral data from which the real and imaginary parts of the
second-order susceptibility are retrieved. We show that it is essential to
correct the data appropriately to infer the true molecular response. The current
study presents a detailed description of the physical contributions to the phase
resolved spectrum, allowing a direct comparison between the phase-resolved
spectrum and that obtained using the well-understood direct detection method in a
step-by-step data analysis process. In addition to phase sensitivity, PS-SFG has
been shown to increase the sensitivity compared to traditional (direct) SFG
spectroscopy. We present a quantitative comparison between theoretical limits of
the signal-to-noise ratio of both techniques, which shows that for many systems
the signal-to-noise ratio is very similar for direct- and phase-specific SFG
signals.
PMID- 22074617
TI - To live as mentally disabled in the risk society.
AB - The contemporary society is to some extent characterized by longitudinal changes,
towards individualization, uncertainty, and risk. Numerous risks and dangers in
modern society have been mastered, while others have emerged, often created by
human actions. The individual's freedom of choice has increased, but also the
responsibility for the choices made. In this society, the risk society, there is
a greater need for formative and situation-related knowledge to manage risks. The
aims of this paper are to discuss the concept of risk society in the light of
everyday experiences made by people with mental disabilities, how challenges can
be mastered and positive possibilities can be utilized. Data collection was made
through a multistage focus group, and the data were analysed by qualitative
content analysis. The results show that characteristics of the risk society are
identified by people with mental disabilities. Change and uncertainty, obstacles
created by societal institutions, lack of trust, and the need of adapted working
conditions are frequently experienced, impersonal relations and feelings of
loneliness as well. However, these conditions can be partly counteracted by
belonging to an alternative fellowship, which might lead to quality of life
related personal improvements.
PMID- 22074619
TI - Treatment of meniscus tears during anterior cruciate ligament reconstruction.
AB - PURPOSE: To define the incidence of meniscectomy, meniscus repair, and meniscus
tears left in situ during anterior cruciate ligament (ACL) reconstruction.
METHODS: A systematic search of PubMed and 7 sports medicine journal databases
was performed to determine the treatment of meniscus tears during ACL
reconstruction. Inclusion criteria were English language, publication in the last
10 years, clinical trials, all evidence levels, and skeletally mature or immature
knees. Exclusion criteria were revision ACL reconstruction, concomitant ligament
reconstruction, and studies with exclusion or inclusion criteria regarding
meniscus surgery during ACL reconstruction. RESULTS: Of 634 articles identified,
159 met the inclusion criteria, encompassing 19,531 patients. There were 11,711
meniscus tears; they were treated by meniscectomy in 65% (7,621 tears), treated
by repair in 26% (3,022 tears), or left in situ in 9% (1,068 tears). Only 19
studies analyzed the treatment of meniscus tears according to the tibiofemoral
compartment. These reported medial compartment tears were treated by meniscectomy
in 63%, treated by repair in 27%, and left in situ in 9%. Lateral compartment
tears were treated by meniscectomy in 71%, treated by repair in 14%, and left in
situ in 14%. Only 24 studies identified the type of meniscus repair procedure
performed. In 33 studies (21%) repair was performed more frequently than
meniscectomy. CONCLUSIONS: Meniscectomy is performed 2 to 3 times more frequently
than meniscus repair during ACL reconstruction. We were unable to analyze the
effect of the location and type of meniscus tear, sex, age, or chronicity of
injury on the treatment of meniscus tears. The number of potentially repairable
meniscus tears that were treated by resection could not be identified. CLINICAL
RELEVANCE: This study found that meniscectomy was performed in 65% of meniscus
tears. This is concerning because studies have shown that, regardless of knee
stability obtained after ACL reconstruction, meniscectomy accelerates
degenerative joint changes. LEVEL OF EVIDENCE: Level IV, systematic review of
Level I to IV studies.
PMID- 22074618
TI - DRACMA one year after: which changes have occurred in diagnosis and treatment of
CMA in Italy?
PMID- 22074620
TI - Dynamic contact mechanics of radial tears of the lateral meniscus: implications
for treatment.
AB - PURPOSE: To characterize the effect of radial tears (RTs) of the lateral meniscus
and their subsequent treatment (inside-out repair, partial meniscectomy) on joint
contact mechanics during simulated gait. METHODS: Six human cadaveric knees were
mounted on a simulator programmed to mimic human gait. A sensor was inserted
below the lateral meniscus to measure peak joint contact pressure location,
magnitude, and contact area. The following conditions were compared: intact
meniscus, 30% RT (at the popliteal hiatus), 60% RT, 90% RT, repair, and partial
meniscectomy. Data were analyzed in the midstance phase of gait (14% and 45%)
when axial force was at its highest (2,100 N). RESULTS: Intact knees had peak
contact pressures of 5.9 +/- 0.9 MPa and 6.4 +/- 1.1 MPa at 14% and 45% of gait,
respectively. RTs of up to and including 60% had no effect on pressure magnitude
or location. RTs of 90% resulted in significantly increased peak pressure (8.4 +/
1.1 MPa) in the postero-peripheral aspect of the tibial plateau and reduced
contact area versus the intact knee, at 45% of gait. Repair resulted in a
significant decrease in peak pressure (7.7 +/- 1.0 MPa) relative to 90% RT but
had no effect on contact area. Partial lateral meniscectomy resulted in areas and
pressures that were not significantly different from 90% tears (8.7 +/- 1.5 MPa).
CONCLUSIONS: Simulated large RTs of the lateral meniscus in the region of the
popliteal hiatus show unfavorable dynamic contact mechanics that are not
significantly different from those resulting from a partial lateral meniscectomy.
Pressure was significantly reduced with inside-out repair but was not affected by
partial meniscectomy; contact area was not restored to that of the intact
condition for either procedure. CLINICAL RELEVANCE: Large RTs in the region of
the popliteal hiatus show unfavorable dynamic contact mechanics.
PMID- 22074621
TI - Cellular therapies supplement: the peritoneum as an ectopic site of hematopoiesis
following in utero transplantation.
AB - BACKGROUND: In utero transplantation (IUT) has the potential to treat birth
defects early before full development of the immune system. Relatively small
grafts, which are not matched for major histocompatibility antigens, can be
delivered even before onset of disease symptoms. IUT of hematopoietic stem cells
is usually performed via intraperitoneal injection, yet the fate of donor cells
in the peritoneal cavity is not fully understood. We review our recent work and
present new data demonstrating that the peritoneum can be a site of ectopic
hematopoiesis with implications for IUT and immune tolerance induction. STUDY
DESIGN AND METHODS: Haplogeneic and allogeneic fetal transplants were performed
in mice and engraftment tracked by flow cytometry. Immune tolerance was studied
by mixed lymphocyte reactions and skin transplantation. Adult syngeneic murine
transplants and xenogeneic human into immunodeficient mouse transplants were
performed to follow hematopoietic retention in the peritoneum and engraftment of
the marrow. RESULTS: Although most transplanted cells rapidly clear the
peritoneum, hematopoietic cells and cells with the phenotype of hematopoietic
precursors can remain in the peritoneal cavity for months after transplant. The
presence of donor cells in the peritoneum can contribute to donor-specific
tolerance, but sufficient peripheral blood chimerism is required to ensure
acceptance of donor skin grafts. CONCLUSION: Ectopic hematopoiesis and the
survival of stem cells in the peritoneum offer the possibility of better using
the peritoneal cavity to delivery stem cells and foster the development of immune
tolerance to alloantigens or other foreign antigens.
PMID- 22074622
TI - Understanding the first steps in embryonic stem cell exit from the pluripotent
state.
AB - BACKGROUND: We are interested in understanding how a given cell type, in response
to external cues from its environment, makes the decision to differentiate. In
the case of mouse embryonic stem cells (mESCs), the key external factor that
maintains their undifferentiated state is the cytokine leukemia inhibitory factor
(LIF). LIF removal causes mESCs to exit their pluripotent state and differentiate
into more restricted precursors. Although LIF is known to activate multiple
different phosphorylation cascades, the mechanisms by which its removal leads to
mESC differentiation are not well understood. STUDY DESIGN AND METHODS: In order
to identify the molecular events that occur upon LIF removal, we developed a set
of novel experimental approaches that allowed identification and quantification
of global phosphorylation changes that occur when mESCs are deprived of LIF.
These included growth of mESCs on permeable membranes and development of a robust
and sensitive phospho-proteomics platform to quantify early signaling events.
RESULTS: In addition to the well-characterized tyrosine 705 phosphorylation of
STAT3, LIF removal results in the rapid phosphorylation of multiple other
proteins known to regulate the mESC self-renewal on both tyrosine, serine, and
threonine residues. We hypothesize that these unique posttranslational
modifications help drive the exit of mESCs from the pluripotent state.
CONCLUSIONS: Our data set the stage for future studies investigating the
functional role of these phosphorylation events in mESCs. These studies were
greatly facilitated by the National Blood Foundation, whose support in the
crucial initiation phase of these studies was invaluable.
PMID- 22074623
TI - Cellular therapies supplement: strategies for improving transplant efficiency in
the context of cellular therapeutics.
AB - The field of hematopoietic stem cell transplantation (HSCT) has overcome many
obstacles that have led to our current clinical ability to utilize cells
collected from marrow, mobilized peripheral blood, or umbilical cord blood for
the treatment of malignant and nonmalignant hematologic diseases. It is in this
context that it becomes evident that future progress will lie in our development
of an understanding of the biology by which the process of HSCT is regulated. By
understanding the cellular components and the mechanisms by which HSCT is either
enhanced or suppressed it will then be possible to design therapeutic strategies
to improve rates of engraftment that will have a positive impact on immune
reconstitution post-HSCT. In this review we focus primarily on allogeneic
hematopoietic stem cell transplantation (allo-HSCT), the current challenges
associated with allo-HSCT, and some developing strategies to improve engraftment
in this setting.
PMID- 22074624
TI - Human embryonic stem cell-derived mesenchymal stromal cells.
AB - Mesenchymal stromal cells (MSCs) originally isolated from marrow have multipotent
differentiation potential and favorable immunomodulatory and anti-inflammatory
properties that make them very attractive for regenerative cellular therapy.
Cells with similar phenotypic characteristics have now been derived from almost
all fetal, neonatal, and adult tissues; furthermore, more recently similar cells
have also been generated from human embryonic stem cells (ESCs). Generation of
MSCs from human ESCs provides an opportunity to study the developmental biology
of human mesenchymal lineage generation in vitro. Generation of bone and
cartilage from human ESC-derived MSCs and their functional characterization, both
in vitro and in vivo, is also an active area of investigation as ESCs could
provide an unlimited source of MSCs for potential repair of bone and cartilage
defects. MSCs from adult sources are being investigated in numerous Phase I-III
clinical trials for a wide variety of indications, mainly based on their
immunomodulatory properties. Our group and others have shown MSCs derived from
human ESCs possess immunomodulatory properties similar to marrow-derived MSCs.
Immunomodulatory properties of ESC-derived MSCs could prove to be highly valuable
for their potential clinical applications in the future as derivatives of human
ESCs have already entered clinical arena in the context of Phase I clinical
trials.
PMID- 22074625
TI - Production of cytotoxic, KIR-negative NK cells from CD34+ cord blood cells with
the use of Notch signaling.
AB - The use of natural killer (NK) cells as cell therapy against acute leukemia is an
active area of investigation. The optimal source of cytotoxic NK cells for
therapeutic use is presently unknown. With funds from the National Blood
Foundation, the author's lab has developed in vitro culture systems that use the
Notch receptor ligand Delta4 for the differentiation and expansion of functional
NK cells from CD34+ cord blood hematopoietic progenitor cells. These Notch
induced NK (N-NK) cells display a predominantly immature, CD56(bright) surface
phenotype, with expression of activating receptors important for leukemia cell
recognition and killing, but with an absence of inhibitory receptors that bind
major histocompatibility complex (MHC) class I, making them free of restriction
by self-MHC. They are capable of directly killing hematopoietic tumor cell lines
and primary leukemia cells in vitro. Thus, cytotoxic, HLA-independent N-NK cells
may represent a novel cell therapy for hematopoietic malignancy.
PMID- 22074626
TI - On how Rac controls hematopoietic stem cell activity.
AB - Rac GTPases form part of the family of Rho small GTPases. Rac GTPases, like other
Rho family GTPases, are key molecular switches controlling the transduction of
external signals to cytoplasmic and nuclear effectors. The development of genetic
and pharmacological tools has allowed a more precise definition of the specific
roles of Rac GTPases in hematopoietic stem cells (HSCs). Our current knowledge
has enabled dissection of their specific and redundant roles. Rac GTPases are now
known to be crucial in the response of HSCs to the hematopoietic microenvironment
cues. This review will briefly summarize the known HSC functions that are
regulated by Rac GTPases, focusing on adhesion, migration, retention,
proliferation, and survival, and how Rac relates to the physiological functions
of HSC. The development of small molecule inhibitors with the ability to
interfere with Rac GTPase activation offers new therapeutic strategies to
manipulate the function of HSC in vivo and ex vivo.
PMID- 22074627
TI - Cellular therapies supplement: the role of granulocyte macrophage colony
stimulating factor and dendritic cells in regulatory T-cell homeostasis and
expansion.
AB - Regulatory T cells are a subset of T cells with inhibitory function that are
critical for protection against autoimmunity and immunopathology. A failure to
maintain adequate regulatory T-cell numbers in the periphery results in
autoimmune manifestations, highlighting the importance of the continuous
maintenance of peripheral regulatory T cells. The cellular and molecular
requirements for regulatory T-cell homeostasis and expansion are not fully
understood but involve a complex interplay among dendritic cells, conventional T
cells, and regulatory T cells. In addition, soluble factors such as the cytokine
granulocyte macrophage colony-stimulating factor may play a role in enhancing
these interactions. In this review, we discuss our National Blood Foundation
funded studies relating to the role of granulocyte macrophage colony-stimulating
factor and dendritic cells in controlling regulatory T-cell homeostasis and
expansion.
PMID- 22074628
TI - A journey to produce platelets in vitro.
AB - Allogeneic platelet transfusions protect patients from bleeding episodes and also
make aggressive medical procedures such as those involving marrow transplants
requiring chemotherapy and/or radiotherapy possible. These patients are dependent
upon an unfailing supply of platelets that can sometimes be in short supply due
to high demands coupled with an extremely short expiration date for platelet
products of only 5 days. One approach that is under investigation to overcome
platelet shortages is to harness the extraordinary capabilities of stem cells to
proliferate and differentiate into various cell types and to use this ability to
specifically produce clinical scale quantities of functional platelets in
bioreactors. To accomplish such an enormous and complex task requires an
appreciation of the regulatory mechanisms that occur during the development of
megakaryocytes (MKs) and the subsequent biogenesis of functional platelets from
mature MKs. This means understanding the complex network of intracellular and
extracellular regulatory mechanisms that act at each phase of a developmental
process that ushers stem cells along the MK lineage to produce billions of
platelets per day in a healthy individual.
PMID- 22074629
TI - Regulation of hematopoietic stem cell trafficking and mobilization by the
endocannabinoid system.
AB - The cannabinoid receptors CB(1) and CB(2) are seven-transmembrane Galphai
protein-coupled receptors and are expressed in certain mature hematopoietic
cells. We recently showed that these receptors are expressed in murine and human
hematopoietic stem cells (HSCs) and that CB(2) agonists induced chemotaxis,
enhanced colony formation of marrow cells, as well as caused in vivo mobilization
of murine HSCs with short- and long-term repopulating abilities. Based on these
observations, we have further explored the role of CB(2) and its agonist AM1241
on hematopoietic recovery following sublethal irradiation in mice. Cannabinoid
receptor 2 knockout mice (Cnr2(-/-) deficient mice) exhibited impaired recovery
following sublethal irradiation as compared with irradiated wild-type (WT) mice,
as determined by low colony-forming units and low peripheral blood counts. WT
mice treated with CB(2) agonist AM1241 following sublethal irradiation
demonstrated accelerated marrow recovery and increased total marrow cells
(approximately twofold) and total lineage- c-kit(+) cells (approximately
sevenfold) as well as enhanced HSC survival as compared with vehicle control
treated mice. When the CB(2) agonist AM1241 was administered to WT mice 12 days
before their sublethal irradiation, analysis of hematopoiesis in these mice
showed decreased apoptosis of HSCs, enhanced survival of HSCs, as well as
increase in total marrow cells and c-kit+ cells in the marrow. Thus, CB(2)
agonist AM1241 promoted recovery after sublethal irradiation by inhibiting
apoptosis of HSCs and promoting survival, as well as enhancing the number of HSCs
entering the cell cycle.
PMID- 22074630
TI - Cellular-based therapies to prevent or reduce thrombocytopenia.
AB - BACKGROUND: Thrombocytopenia is a serious side effect following high-dose
chemotherapy or whole-body irradiation. For many patients, a hematopoietic stem
cell (HSC) transplant is required as part of the treatment or to restore the
integrity of the hematopoietic system. In this article, we first review the
origin of thrombocytopenia in the context of umbilical cord blood (UCB)
transplantation and current cellular therapies developed to overcome this
condition. Results obtained in recent clinical trials and in the laboratory using
a mouse-based xenograft model were also discussed. Second, we investigate the
kinetic of human platelet production in two immunodeficient mouse strains
transplanted with UCB cells to determine which of the two is better suited to
measure the thrombopoietic potential of human hematopoietic cells. STUDY DESIGN
AND METHODS: NOD/SCID/IL2Rgamma(null) or NOD.CB17-Prkdcscid/NcrCrl (NOD/SCID)
mice were transplanted with ex vivo expanded UCB cells. Human platelet levels and
marrow engraftments were measured by cytometry analyses. RESULTS: Human platelets
appeared earlier and at greater levels in the NOD/SCID/IL2Rgamma(null) mouse
strain. Consistent with these results and previous reports, human marrow
engraftment was also greater in the IL2Rgamma(null) -based NOD/SCID mice.
CONCLUSION: The NOD/SCID/IL2Rgamma(null) mouse strain is an ideal choice for
preclinical studies aimed at measuring the in vivo thrombopoietic potential of
human HPCs. Exploitation of such a model should facilitate the development of new
cellular therapies aimed at improving hematological recoveries following HSC
transplantation.
PMID- 22074631
TI - Advancing the preservation of cellular therapy products.
AB - Cell therapies are typically collected in one location, processed in a second
location, and then administered in a third location. The ability to preserve the
cells is critical to their clinical application. It improves patient access to
therapies by increasing the genetic diversity of cells available. In addition,
the ability to preserve cells improves the "manufacturability" of a cell therapy
product by permitting the cells to be stored until the patient is ready for
administration of the therapy, permitting inventory control of products, and
improving management of staffing at cell therapy facilities. Finally, the ability
to preserve cell therapies improves the safety of cell therapy products by
extending the shelf life of a product and permitting completion of safety and
quality control testing before release of the product for use. The support of the
National Blood Foundation has been critical to our work on improving the quality
of frozen and thawed cell therapy products through the development of a
microfluidic device to remove dimethlysulfoxide (DMSO). We are also involved in
research to replace DMSO with other agents that are less toxic to cells and
patients. Finally, the need to advance the preservation of cell therapies was a
driving force behind the development of the Biopreservation Core Resource
(http://www.biocor.net), a national resource in biopreservation. New interest in
translation of cell therapies from the bench to the patient's bedside has the
potential to drive the transformation of preservation science, technology, and
practice.
PMID- 22074632
TI - The role of HSAL (SALL) genes in proliferation and differentiation in normal
hematopoiesis and leukemogenesis.
AB - The National Blood Foundation (NBF) support was critical in the author's research
career development. The NBF support came in the form of a start-up seed grant
that she got from the American Association of Blood Banks, an organization that
advances the practice and standards of transfusion medicine and cellular
therapies and an organization in which she is a proud member. The NBF grant
enabled her to keep up with her transfusion medicine practice while pursuing her
passion to be a physician scientist. During its funding period, she was able to
obtain critical preliminary bench data and to secure several National Institutes
of Health grants with over a million dollars direct cost. In addition, the
knowledge gained from the NBF-supported projects is currently being translated
into medical practice in her lab by testing on cord blood expansion. She is
looking forward to spending the upcoming years of her professional career
bridging bedside observations on transfusion medicine with bench experiences and
then utilizing that bench-derived knowledge in the practice of transfusion
medicine.
PMID- 22074633
TI - Human placenta and chorion: potential additional sources of hematopoietic stem
cells for transplantation.
AB - BACKGROUND: Hematopoietic stem cell (HSC) transplantation is an essential element
of medical therapy, leading to cures of previously incurable hematological and
nonhematological diseases. Many patients do not find matched donors in a timely
manner, which has driven efforts to find alternative pools of transplantable
HSCs. The use of umbilical cord blood (UCB) as a source of transplantable HSCs
began more than two decades ago. However, the use of UCB as a reliable source of
HSCs for transplantation still faces crucial challenges: the number of HSCs
present in a unit of UCB is usually sufficient for younger children but not for
adults, and the persistent delayed engraftment often seen can result in high
rates of infection and mortality. STUDY DESIGN AND METHODS: We propose a new
approach to a solution of these problems: a potential increase of the limited
number of UCB-HSCs available by harvesting HSCs contained in the placenta and the
fetal chorionic membrane available at birth. RESULTS: We investigated the
presence of hematopoietic progenitors and HSCs in human placenta and chorion at
different gestational ages. The characterization of these cells was performed by
flow cytometry and immunolocalization, and their functional status was
investigated by transplanting them into immunodeficient mice. CONCLUSION: HSCs
are present in extraembryonic tissues and could be banked in conjunction to the
UCB-HSCs. This novel approach could have a large impact on the field of HSC
banking and, more crucially, on the outcome of patients undergoing this treatment
by greatly improving the use of life-saving hematopoietic transplants.
PMID- 22074635
TI - Reversible cerebral vasoconstriction syndrome in a patient taking citalopram and
Hydroxycut: a case report.
AB - INTRODUCTION: Reversible cerebral vasoconstriction syndrome presents with
thunderclap headaches accompanied by mild neurologic deficits and is
characterized by multifocal narrowing of the cerebral arteries that resolves over
days to weeks. This syndrome may be idiopathic or occur in special contexts, most
often involving adrenergic or serotonergic overactivity. To the best of our
knowledge, reversible cerebral vasoconstriction syndrome has not previously been
reported in association with Hydroxycut use in the literature. CASE PRESENTATION:
We report the case of a 65-year-old Caucasian woman on longstanding citalopram
who developed reversible cerebral vasoconstriction syndrome two weeks after
beginning to take the weight-loss supplement Hydroxycut. CONCLUSION: There are
sparse data about the safety of herbal supplements such as Hydroxycut, even
though the Food and Drug Administration has banned some herbal ingredients, such
as ephedra, that were in this preparation in the past. This case highlights the
importance of considering herbal supplements and potential drug interactions in
the genesis of otherwise unexplained reversible cerebral vasoconstriction
syndrome.
PMID- 22074636
TI - Staphylococcus simulans osteitis in a diabetic patient.
AB - Staphylococcus simulans was identified as the aetiological agent of osteitis in a
diabetic woman. Its identifying characteristics and antibiogram were confirmed.
Diabetic foot frequently becomes infected and the spread of infection to bone is
a major causal factor behind lower-limb amputation. Early diagnosis and
appropriate treatment are essential in such cases.
PMID- 22074637
TI - Cellular uptake mediated off/on responsive near-infrared fluorescent
nanoparticles.
AB - Fluorescence imaging, utilizing molecular fluorophores, often acts as a central
tool for the investigation of fundamental biological processes and offers huge
future potential for human imaging coupled to therapeutic procedures. An often
encountered limitation with fluorescence imaging is the difficulty in
discriminating nonspecific background fluorophore emission from a fluorophore
localized at a specific region of interest. This limits imaging to individual
time points at which background fluorescence has been minimized. It would be of
significant advantage if the fluorescence output could be modulated from off to
on in response to specific biological events as this would permit imaging of such
events in real time without background interference. Here we report our approach
to achieve this for the most fundamental of cellular processes, i.e. endocytosis.
We describe a new near-infrared off to on fluorescence switchable nanoparticle
construct that is capable of switching its fluorescence on following cellular
uptake but remains switched off in extracellular environments. This permits
continuous real-time imaging of the uptake process as extracellular particles are
nonfluorescent. The principles behind the fluorescence off/on switch can be
understood by encapsulation of particles in cellular organelles which effect a
microenvironmental change establishing a fluorescence signal.
PMID- 22074638
TI - Evaluation of animal and public health surveillance systems: a systematic review.
AB - Disease surveillance programmes ought to be evaluated regularly to ensure they
provide valuable information in an efficient manner. Evaluation of human and
animal health surveillance programmes around the world is currently not
standardized and therefore inconsistent. The aim of this systematic review was to
review surveillance system attributes and the methods used for their assessment,
together with the strengths and weaknesses of existing frameworks for evaluating
surveillance in animal health, public health and allied disciplines. Information
from 99 articles describing the evaluation of 101 surveillance systems was
examined. A wide range of approaches for assessing 23 different system attributes
was identified although most evaluations addressed only one or two attributes and
comprehensive evaluations were uncommon. Surveillance objectives were often not
stated in the articles reviewed and so the reasons for choosing certain
attributes for assessment were not always apparent. This has the potential to
introduce misleading results in surveillance evaluation. Due to the wide range of
system attributes that may be assessed, methods should be explored which collapse
these down into a small number of grouped characteristics by focusing on the
relationships between attributes and their links to the objectives of the
surveillance system and the evaluation. A generic and comprehensive evaluation
framework could then be developed consisting of a limited number of common
attributes together with several sets of secondary attributes which could be
selected depending on the disease or range of diseases under surveillance and the
purpose of the surveillance. Economic evaluation should be an integral part of
the surveillance evaluation process. This would provide a significant benefit to
decision-makers who often need to make choices based on limited or diminishing
resources.
PMID- 22074639
TI - Effects of testosterone gel treatment in hypogonadal men with liver cirrhosis.
AB - INTRODUCTION: Hypogonadism characterized by low serum testosterone level, loss of
libido, small testes, impotence and gynecomastia is a common clinical situation
in male patients with advanced chronic liver disease. The aim of the study was to
assess the efficacy and safety of testosterone replacement on muscle strength,
bone mineral density (BMD), body composition and gynecomastia in hypogonadal men
with liver cirrhosis. METHODS: Sixteen hypogonadal male cirrhotic patients were
included and twelve completed the study. Abdominal USG and/or MRI were performed
to exclude hepatocellular cancer. Testogel 50mg/day was administered for 6
months. Liver enzymes, hormone profiles and muscle strength were evaluated
monthly. Body composition parameters, BMD and gynecomastia were evaluated before
and after 6 months. RESULTS: Serum free testosterone level was higher (20.13 +/-
10.06 pmol/L; 57.26 +/- 39.56 pmol/L, P=0.002) after treatment. Testosterone
replacement resulted in an increase in muscle strength (34.03 +/- 7.24 kg; 39.18
+/- 5.99 kg, P<0.001), the subscapular site subcutaneous fat tissue (P=0.012) and
the sum of the four regions (P=0.04). Subareolar breast tissue was lower (28.83
+/- 17.18 mm; 15.00 +/- 6.74 mm, P=0.007) after treatment. No significant adverse
effects were detected. DISCUSSION: Testosterone gel 50mg/day raises free
testosterone to values below supraphysiological levels in cirrhotic men.
Transdermal testosterone replacement improves muscle strength, ameliorates
gynecomastia, alters body fat distribution and causes upper body adiposity in
hypogonadal men with cirrhosis. Application of testosterone gel, which undergoes
no hepatic first-pass metabolism, seems as a safe and well-tolerated agent in
liver cirrhosis as compared to other anabolic steroids, which may be associated
with various adverse events.
PMID- 22074640
TI - Evaluations of capsule endoscopy software in reducing the reading time and the
rate of false negatives by inexperienced endoscopists.
AB - BACKGROUND AND OBJECTIVE: Capsule endoscopy (CE) is a comfortable for the
patients; however, CE review is time-consuming. The aim of this study was (1) to
evaluate the effectiveness of the CE software in reducing the CE reading time and
the number of false negatives by beginners, and (2) to determine the learning
curve for reading CE images. METHODS: Capsule endoscopic images were captured by
Pillcam SB (Given Imaging Ltd, Tokyo, Japan), and analyzed using the proprietary
RAPID 5 software. Comparison of CE reading using different software modes: manual
mode, automatic mode, and QuickView (QV) mode. Three trainee endoscopists
participated as CE readers. Each participant watched CE videos in which positive
findings had been predefined by trained endoscopists. Each participant read the
same CE record by using one of three different software modes. These were blinded
on clinical history of patients. CE reading time was recorded, and the number of
false negatives was counted. Each trainee endoscopist read a total of 45 CE
videos, in five steps divided into nine videos per step. RESULTS: There was no
significant reader associated difference between the results for the different
modes. The QV software did miss some positive findings. Therefore, the total
number of instances of FN by the software plus the reader in the QV mode was
significantly higher than the others. The reading times in the automatic mode and
the QV mode were significantly shorter than that in the manual mode. After the
second step, the number of instances of false negatives significantly decreased.
CONCLUSIONS: CE software is useful for reducing the reading time. Experience of
approximately 20 CE readings can be considered as the first step to becoming an
expert.
PMID- 22074641
TI - Intramural perforation with hematoma of the esophagus.
PMID- 22074642
TI - Small bowel parasitosis.
PMID- 22074643
TI - Mucinous cystadenoma of the mesocolon, a rare entity frequently presenting with
features of malignity: two case reports and review of the literature.
AB - PURPOSE: Mucinous cystadenomas are tumors arising mostly from the ovaries and
pancreas. They can also arise from the kidneys, lungs, liver and appendix, but
are rarely seen in the mesocolon. Recently, they have been included in an updated
classification of mesenteric cysts and cystic tumors. The WHO classification (ICD
10) divides them into three subcategories according to their malignant behavior.
METHODS: This report of two cases of mucinous cystadenoma of the mesocolon
discusses the diagnostic and therapeutic modalities as well as the
pathophysiological pathway(s) of development of these neoplasms. RESULTS AND
CONCLUSION: The diagnosis of mucinous cystadenomas of the mesocolon is
challenging due to the absence of specific clinical, biological and radiological
features, and is often made during or after laparotomy. Preoperative biopsy is
not useful and may even lead to misdiagnosis or peritoneal spillage. Surgery is
the only curative treatment, but the modalities of resection are still a subject
of debate.
PMID- 22074644
TI - Alterations in the emotional and memory behavioral phenotypes of transient
receptor potential vanilloid type 1-deficient mice are mediated by changes in
expression of 5-HT1A, GABA(A), and NMDA receptors.
AB - The transient receptor potential vanilloid type 1 channel (TRPV1) receptors are
expressed in various regions of the brain. Much less is known about whether TRPV1
receptors affect higher brain functions. In the present study, we demonstrated
that TRPV1-knockout (TRPV1KO) mice showed antidepressant-like behaviors in a
novelty-suppressed feeding test and forced swim test when compared to wild-type
(WT) mice. Additionally, TRPV1KO mice exhibited increased aggressiveness and
reduced social interactions in a social dominance test and social interaction
test. TRPV1KO mice showed reduced short-term memory and normal long-term memory
in a novel object recognition test and passive avoidance test versus WT mice.
Based on these behavioral data, we investigated changes in specific receptors
related to depression, anxiety, and memory in the brains of TRPV1KO and WT mice.
Binding of [(3)H]-8-OH-DPAT was significantly higher in the frontal associated
cortex (FrA), nucleus accumbens (NAc), and the cingulate cortex (CC) of TRPV1KO
mice than WT mice, while the expression of 5-HT(1A) receptors was higher in the
FrA, NAc, and cortex of TRPV1KO mice than WT mice. [(3)H]-flunitrazepam binding
was also significantly higher in the FrA, striatum (CPU), and the CC of TRPV1KO
versus WT mice. In contrast, [(3)H]-musicmol binding in the FrA, CPU, NAc, CC,
and the dentate gyrus (DG) was significantly lower in TRPV1KO mice than WT mice.
The expression of GABA(A)gamma(2) was higher in the NAc, CPU, and cortex of
TRPV1KO versus WT mice, whereas the expression of GABA(A)alpha(2) was lower in
the FrA, CPU, NAc, and cortex in TRPV1KO mice than WT mice. Finally, [(3)H]-MK
801 binding was decreased in the CPU and CA1 of TRPV1KO versus WT mice. The
expression of NR2A was lower in the hippocampus of TRPV1KO versus WT mice. These
data suggest that the loss of TRPV1 results in antidepressant-like, anxiolytic,
abnormal social and reduced memorial behaviors due to changes in expression of 5
HT(1A), GABA(A,) and NMDA receptors. This article is part of a Special Issue
entitled 'Post-Traumatic Stress Disorder'.
PMID- 22074645
TI - Alpha-cobratoxin inhibits T-type calcium currents through muscarinic M4 receptor
and Gomicron-protein betagamma subunits-dependent protein kinase A pathway in
dorsal root ganglion neurons.
AB - The long-chain neurotoxic protein, alpha-cobratoxin (alpha-CTx), has been shown
to have analgesic effects. However, the underlying mechanisms still remain
unclear. In this study, we examined the effects of alpha-CTx on T-type calcium
channel currents (T-currents) and elucidated the relevant mechanisms in mouse
dorsal root ganglion (DRG) neurons. Our results showed that alpha-CTx reversibly
inhibited T-currents in a dose-dependent manner. This inhibitory effect was
blocked by the selective muscarinic M4 receptor antagonist tropicamide, while
methyllycaconitine, a specific antagonist for the alpha7 subtype of nicotinic
receptor had no effect. siRNA targeting the M4 receptor in small DRG neurons
abolished alpha-CTx-induced T-current inhibition. Intracellular application of
GDP-beta-S or a selective antibody against the G(o)alpha-protein, as well as
pretreatment of the cells with pertussis toxin, abolished the inhibitory effects
of alpha-CTx. The M4 receptor-mediated response was blocked by dialyzing cells
with QEHA peptide or anti-G(beta) antibody. Pretreatment of the cells with
protein kinase A (PKA) inhibitor H89 or intracellular application of PKI 6-22
abolished alpha-CTx-induced T-current inhibition in small DRG neurons, whereas
inhibition of phosphatidylinositol 3-kinase or PKC elicited no such effects. In
addition, alpha-CTx significantly increased PKA activity in DRG neurons, whereas
pretreatment of the cells with tropicamide abolished this effect. In summary, our
results suggest that activation of muscarinic M4 receptor by alpha-CTx inhibits T
currents via the G(betagamma) of G(o)-protein and PKA-dependent pathway. This
article is part of a Special Issue entitled 'Post-Traumatic Stress Disorder'.
PMID- 22074646
TI - Preparation and characterization of imprinted monolith with metal ion as pivot.
AB - This report provided the first example of using pivot concept to prepare
monolithic molecularly imprinted polymers (MIPs) with ketoprofen (KET) imprints,
in which metal ions were employed as mediator between the functional monomer and
the template to achieve higher fidelity of imprint. To solve metal ions in pre
polymerization system, a new ternary porogen of dimethyl sulfoxide-toluene
isooctane was developed for preparation of MIP monoliths with high porosity and
good permeability. The effect of polymerization parameters such as the nature of
metal ions, the ratio of template to metal ion and the degree of crosslinking, on
the permeability, morphology and affinity of the metal ion mediated MIP monolith
were studied. The experiments demonstrated that Ni(2+), Co(2+) and Zn(2+) can be
applied as pivot to prepare KET-imprinted monolith. Relative to monolithic MIP
without metal ions, all the ion-mediated macropore MIP monoliths showed enhanced
permeability, capacity factor and selectivity factor. High permeability (1.06*10(
7)mm(2)) was obtained on the Co(2+)-mediated MIP monolith and great selectivity
factor (3.84) was achieved on the Ni(2+)-mediated one. The stoichiometric
displacement model was constructed to investigate the recognition mechanism of
metal-ion mediated MIP. The results indicate that metal ion as pivot not only
improves the affinity but also allows the fine-tuning on the macroporous
structure of MIP monolith.
PMID- 22074647
TI - Determination of bromate in sea water using multi-dimensional matrix-elimination
ion chromatography.
AB - A multi-dimensional matrix-elimination ion chromatography approach has been
applied to the determination of bromate in seawater samples. Two-dimensional and
three-dimensional configurations were evaluated for their efficacy to eliminate
the interference caused by the high concentration of ubiquitous ions present in
seawater, such as chloride and sulfate. A two-dimensional approach utilising a
high capacity second dimension separation comprising two Dionex AS24 columns
connected in series was applied successfully and permitted the determination of
bromate in undiluted seawater samples injected directly onto the ion
chromatography system. Using this approach the limit of detection (LOD) for
bromate based on a signal to noise ratio of 3 was 1050 MUg/L using a 500 MUL
injection loop. Good linearity was obtained for bromate with correlation
coefficients for the calibration curves of 0.9981 and 0.9996 based on peak height
and area, respectively. A three-dimensional method utilising two 10-port
switching valves to allow sharing of the second suppressor and detector between
the second and third dimension separations showed better resolution and detection
for bromate and reduced the LOD to 60 MUg/L for spiked seawater samples. Good
linearity was maintained with correlation coefficients of 0.9991 for both peak
height and area. Ozonated seawater samples were also analysed and exhibited a non
linear increase in bromate level on increasing ozonation time. A bromate
concentration in excess of 1770 MUg/L was observed following ozonation of the
seawater sample for 120 min. Recoveries for the three-dimensional system were 92%
and 89% based on peak height and area, respectively, taken over 5 ozonated
samples with 3 replicates per sample.
PMID- 22074648
TI - Mathematical model using non-uniform flow distribution for dynamic protein
breakthrough with membrane adsorption media.
AB - A mathematical model has been investigated to predict protein breakthrough during
membrane adsorption/chromatography operations. The new model incorporates a non
uniform boundary condition at the column inlet to help describe the deviation
from plug flow within real membrane adsorption devices. The model provides
estimated breakthrough profiles of a binding protein while explicitly accounting
for non-uniform flow at the inlet of the separation operation by modeling the
flow distribution by a polynomial. We have explored experimental breakthrough
curves produced using commercial membrane adsorption devices, as well as novel
adsorption media of nanolayered nanofiber membranes, and compare them to model
predictions. Further, the impact of using various simplifying assumptions is
considered, which can have a dramatic effect on the accuracy and predictive
ability of the proposed models. The new model, using only simple batch
equilibrium and kinetic uptake rate data, along with membrane properties, is able
to accurately predict the non-uniform and unsymmetrical shape for protein
breakthrough during operation of membrane adsorption/chromatography devices.
PMID- 22074649
TI - Simultaneous determination of 23 amino acids and 7 biogenic amines in fermented
food samples by liquid chromatography/quadrupole time-of-flight mass
spectrometry.
AB - A novel liquid chromatography coupled with quadrupole time-of-flight mass
spectrometry (LC-Q-TOFMS) method was developed for the simultaneous determination
of 23 amino acids and 7 biogenic amines in food samples. These analytes were pre
column derivatized with dansyl chloride and then separated in an Acquity column
(1.7 MUm; 2.1 mm * 100 mm). The separation of 31 compounds including an internal
standard was achieved within 25 min at a flow rate of 0.2 mL/min. The method
linearity for each amino acid and biogenic amine had a relatively wide range with
r(2)>0.99. The intra- and inter-day precision, expressed as relative standard
deviation (RSD), ranged from 1.1 to 4.6% and from 2.0 to 11.2%, respectively. The
limit of detection was between 0.005 and 0.4 MUg/mL. With a simple dilution,
recoveries of around 80-120% were obtained for most of the compounds. No
significant matrix effect was observed, and the developed method was successfully
applied to the analysis of amino acids and biogenic amines in beer, cheese and
sausage samples.
PMID- 22074650
TI - Hope for the future.
PMID- 22074651
TI - Continuum of care in the emergency department.
PMID- 22074652
TI - Factors influencing patient assignment to level 2 and level 3 within the 5-level
ESI triage system.
AB - INTRODUCTION: Prospectively assessing factors that influence triage nurse
assignment of patients to the higher risk level 2 compared to the lower risk
level 3 has not previously been explored within the 5-level Emergency Severity
Index (ESI) triage system. Considering the large amount of information available
about the patient, less experienced triage nurses often struggle in deciding what
patient information is truly relevant when assessing if a high-risk situation
exists. The primary aim of this study was to identify specific factors used by
triage nurses to differentiate level 2 patients from level 3 patients. METHODS: A
convenience sample of triage nurses was recruited from 2 ED sites. If at the
completion of the nurse-patient triage interaction the nurse assigned the patient
to either level 2 or level 3, the triage nurse then completed a questionnaire
related to factors that influenced patient assignment. RESULTS: Overall, 18
triage nurses participated in the study with a total of 334 nurse-patient triage
interactions collected. Patient age, vital signs, and need for a timely
intervention were found to be significant factors that influenced patient
assignment to level 2 while expected number of resources influenced patient
assignment to level 3. DISCUSSION: Utilizing experienced triage nurses on
average, this study identified specific, objective factors that, combined with
factors already delineated in the ESI Version 4 Implementation Manual, have
useful implications for less experienced triage nurses by providing a more
comprehensive and relevant foundation for data gathering and decision making.
PMID- 22074653
TI - Transforming an emergency department: from crisis to excellence.
PMID- 22074654
TI - A 29-year-old man with dizziness and headache after skydiving.
PMID- 22074655
TI - Experience talks.
PMID- 22074656
TI - Syndromes of "holiday heart".
PMID- 22074657
TI - New and emerging agents for the treatment of castration-resistant prostate
cancer.
AB - Most men with recurrent prostate cancer (CaP) initially respond to androgen
deprivation therapy but eventually develop metastatic castration-resistant
prostate cancer (CRPC). Over the last decade, new therapeutic targets have been
identified in CRPC and several new drugs have reached advanced stages of clinical
development. In 2010, the Food and Drug Administration (FDA) approved sipuleucel
T and cabazitaxel, and in 2011, abiraterone for patients with metastatic CRPC
based on phase 3 trials showing improved survival. Although not yet available for
clinical use, a press release in June 2011 announced that radium 223 also
demonstrated a survival advantage in men with metastatic CRPC. Emerging therapies
in advanced stages of clinical development in CRPC include the hormonal therapies
MDV3100 and TAK 700, and the immunotherapy ipilimumab. Results are also pending
on phase 3 studies comparing docetaxel plus prednisone with docetaxel given with
the novel agents aflibercept, dasatinib, lenalidomide, and custirsen. In addition
to these new and emerging therapeutic agents, denosumab was approved for the
prevention of skeletal complications in patients with bone metastases due to
solid tumor malignancies, providing an alternative to zoledronic acid. While the
addition of these new treatment options is a great advance for men with
metastatic CRPC, there are many new questions arising regarding sequencing of
these treatments with each other, with previously existing therapies, and with
the emerging agents now in clinical trials. Furthermore, there are concerns that
on-going phase 3 trials may be contaminated if patients go off study treatment to
start 1 of the newly approved agents or take the agent subsequently. These
realities make clinical trial design more challenging than ever.
PMID- 22074659
TI - [Solution to case 36. Giant diverticulum of the sigmoid colon].
PMID- 22074660
TI - Chromatin remodelling protein SMAR1 inhibits p53 dependent transactivation by
regulating acetyl transferase p300.
AB - Acetylation of p53 is indispensable for its transcriptional activities and
induction of apoptosis upon DNA damage. Here, we show that chromatin remodelling
protein SMAR1 inhibits p53 acetylation and p53 dependent apoptosis by repressing
p300 expression in response to DNA damage. The repression of p300 expression by
SMAR1 is relieved upon treatment with proteosomal inhibitors MG132 and
Lactacystin. We demonstrate that SMAR1 interacts with p53-p300 transcriptional
complex and SMAR1 overexpression antagonizes p300 interaction with p53 and
suppresses activation of p53 apoptotic targets and p53 regulated miRNA miR-34a.
Conversely, knockdown of SMAR1 promotes p300 accumulation and p53 acetylation
while ectopic expression of p300 rescues SMAR1 inhibition on p53. Collectively,
these results indicate that SMAR1 is an important player in p300-p53 regulated
DNA damage signalling pathway and can exert its effect on apoptosis in a
transcription independent manner.
PMID- 22074661
TI - Comparative proteomic analysis to dissect differences in signal transduction in
activating TSH receptor mutations in the thyroid.
AB - In the thyroid, cAMP controls both thyroid growth and function. Gain-of-function
mutations in the thyroid-stimulating hormone receptor (TSHR) lead to constitutive
cAMP formation and are a major cause of autonomous thyroid adenomas. The impact
of activating TSHR mutations on the signal transduction network of the thyrocyte
is not fully understood. To gain more insights into constitutive TSHR signaling,
rat thyrocytes (FRTL-5 cells) with stable expression of three activating TSHR
mutants (mutTSHR: A623I, L629F and Del613-621), which differ in their functional
characteristics in vitro, were analyzed by a quantitative proteomic approach and
compared to the wild-type TSHR (WT-TSHR). This study revealed (1) differences in
the expression of Rab proteins suggesting an increased TSHR internalization in
mutTSHR but not in the WT-TSHR; (2) differential stimulation of PI3K/Akt
signaling in mutTSHR vs. WT-TSHR cells, (3) activation of Epac, impairing short
time Akt phosphorylation in both, mutTSHR and WT-TSHR cells. Based on the
analysis of global changes in protein expression patterns, our findings underline
the complexity of gain-of-function TSHR signaling in thyrocytes, which extends
beyond pure cAMP and/or IP formation. Moreover, evidence for augmented
endocytosis in the mutTSHR, adds to a new concept of TSHR signaling in thyroid
autonomy. Further studies are required to clarify whether the observed
differences in Rab, PI3K and Epac signaling may contribute to differences in the
phenotypic presentation, i.e. stimulation of function and growth of thyroid
autonomy in vivo.
PMID- 22074662
TI - Pigment epithelium-derived factor reduces the PDGF-induced migration and
proliferation of human aortic smooth muscle cells through PPARgamma activation.
AB - Our previous study demonstrated that pigment epithelium-derived factor (PEDF)
plays an important role in the proliferation and migration of human aortic smooth
muscle cells (HASMCs). In the present study, we examined whether PEDF inhibited
platelet-derived growth factor (PDGF)-stimulated HASMC migration and
proliferation. PEDF dose-dependently reduced PDGF-induced HASMC migration and
proliferation in vitro and also arrested cell cycle progression in the G0/G1
phase, and this was associated with decreased expression of cyclin D1, cyclin E,
CDK2, CDK4, and p21(Cip1) and increased expression of the cyclin-dependent kinase
inhibitor p27(Kip1). The antiproliferative and antimigratory effects of PEDF were
partially blocked by the PPARgamma antagonist GW9662, but not by the PPARalpha
antagonist MK886. In in vivo studies, the femoral artery of C57BL/6 mice was
endothelial-denuded and the mice injected intravenously with PEDF or vehicle.
After 2 weeks, both the neointima/media area ratio and cell proliferation
(proliferating cell nuclear antigen-positive cells) in the neointima were
significantly reduced and again these effects were partially reversed by GW9662
pretreatment. Our data show that PEDF increases PPARgamma activation, preventing
entry of HASMCs into the cell cycle in vitro and reducing the neointimal area and
cell proliferation in the neointima in vivo. Thus, PEDF may represent a safe and
effective novel target for the prevention and treatment of vascular proliferative
diseases.
PMID- 22074664
TI - Polyethylene glycol-conjugated hyaluronic acid-ceramide self-assembled
nanoparticles for targeted delivery of doxorubicin.
AB - Polyethylene glycol (PEG)-conjugated hyaluronic acid-ceramide (HACE) was
synthesized for the preparation of doxorubicin (DOX)-loaded HACE-PEG-based
nanoparticles, 160 nm in mean diameter with a negative surface charge. Greater
uptake of DOX from these HACE-PEG-based nanoparticles was observed in the CD44
receptor highly expressed SCC7 cell line, compared to results from the CD44
negative cell line, NIH3T3. A strong fluorescent signal was detected in the tumor
region upon intravenous injection of cyanine 5.5-labeled nanoparticles into the
SCC7 tumor xenograft mice; the extended circulation time of the HACE-PEG-based
nanoparticle was also observed. Pharmacokinetic study in rats showed a 73.0%
reduction of the in vivo clearance of DOX compared to the control group. The
antitumor efficacy of the DOX-loaded HACE-PEG-based nanoparticles was also
verified in a tumor xenograft mouse model. DOX was efficiently delivered to the
tumor site by active targeting via HA and CD44 receptor interaction and by
passive targeting due to its small mean diameter (<200 nm). Moreover, PEGylation
resulted in prolonged nanoparticle circulation and reduced DOX clearance rate in
an in vivo model. These results therefore indicate that PEGylated HACE
nanoparticles represent a promising anticancer drug delivery system for cancer
diagnosis and therapy.
PMID- 22074663
TI - The therapeutic efficacy of camptothecin-encapsulated supramolecular
nanoparticles.
AB - Nanomaterials have been increasingly employed as drug(s)-incorporated vectors for
drug delivery due to their potential of maximizing therapeutic efficacy while
minimizing systemic side effects. However, there have been two main challenges
for these vectors: (i) the existing synthetic approaches are cumbersome and
incapable of achieving precise control of their structural properties, which will
affect their biodistribution and therapeutic efficacies, and (ii) lack of an
early checkpoint to quickly predict which drug(s)-incorporated vectors exhibit
optimal therapeutic outcomes. In this work, we utilized a new rational
developmental approach to rapidly screen nanoparticle (NP)-based cancer
therapeutic agents containing a built-in companion diagnostic utility for optimal
therapeutic efficacy. The approach leverages the advantages of a self-assembly
synthetic method for preparation of two different sizes of drug-incorporated
supramolecular nanoparticles (SNPs), and a positron emission tomography (PET)
imaging-based biodistribution study to quickly evaluate the accumulation of SNPs
at a tumor site in vivo and select the favorable SNPs for in vivo therapeutic
study. Finally, the enhanced in vivo anti-tumor efficacy of the selected SNPs was
validated by tumor reduction/inhibition studies. We foresee our rational
developmental approach providing a general strategy in the search of optimal
therapeutic agents among the diversity of NP-based therapeutic agents.
PMID- 22074665
TI - Three-dimensional paper-based electrochemiluminescence immunodevice for
multiplexed measurement of biomarkers and point-of-care testing.
AB - In this work, electrochemiluminescence (ECL) immunoassay was introduced into the
recently proposed microfluidic paper-based analytical device (MUPADs) based on
directly screen-printed electrodes on paper for the very first time. The screen
printed paper-electrodes will be more important for further development of this
paper-based ECL device in simple, low-cost and disposable application than
commercialized ones. To further perform high-performance, high-throughput, simple
and inexpensive ECL immunoassay on MUPAD for point-of-care testing, a wax
patterned three-dimensional (3D) paper-based ECL device was demonstrated for the
very first time. In this 3D paper-based ECL device, eight carbon working
electrodes including their conductive pads were screen-printed on a piece of
square paper and shared the same Ag/AgCl reference and carbon counter electrodes
on another piece of square paper after stacking. Using typical tris-(bipyridine)
ruthenium (II) - tri-n-propylamine ECL system, the application test of this 3D
paper-based ECL device was performed through the diagnosis of four tumor markers
in real clinical serum samples. With the aid of a facile device-holder and a
section-switch assembled on the analyzer, eight working electrodes were
sequentially placed into the circuit to trigger the ECL reaction in the sweeping
range from 0.5 to 1.1 V at room temperature. In addition, this 3D paper-based ECL
device can be easily integrated and combined with the recently emerging paper
electronics to further develop simple, sensitive, low-cost, disposable and
portable MUPAD for point-of-care testing, public health and environmental
monitoring in remote regions, developing or developed countries.
PMID- 22074666
TI - Strong light-induced reorganization of pigment-protein complexes of thylakoid
membranes in rye (spectroscopic study).
AB - The supramolecular reorganization of LHCII complexes within the thylakoid
membrane in Secale cereale leaves under low and high light condition was
examined. Rye seedlings were germinated hydroponically in a climate chamber with
a 16 h daylight photoperiod, photosynthetic photon flux density (PPFD) of 150
MUmo lm(-2)s(-1) and 24/16 degrees C day/night temperature. The influence of pre
illumination of the plants with high light intensity on the PSII antenna
complexes was studied by comparison of the structure and function of the LHCII
complexes and organization of thylakoid membranes isolated from 10-day-old plants
illuminated with low (150 MUmo lm(-2)s(-1)) or high (1200 MUmo lm(-2)s(-1)) light
intensity. Aggregated and trimeric with monomeric forms of LHCII complexes were
separated from the whole thylakoid membranes using non-denaturing
electrophoresis. Analyses of fluorescence emission spectra of these different
LHCII forms showed that the monomer was the most effective aggregating antenna
form. Moreover, photoprotection connected with LHCII aggregation was more
effective upon LHCII monomers in comparison to trimer aggregation. Light stress
induced specific organization of neighboring LHCII complexes, causing an increase
in fluorescence yield of the long-wavelength bands (centered at 701 and 734 nm).
The changes in the organization of the thylakoid membrane under light stress,
observed by analysis of absorbance spectra obtained by Fourier transform infrared
spectroscopy, also indicated light-induced LHCII aggregation.
PMID- 22074667
TI - It is important to note that RWD will never replace the more traditional and more
robust RCT data; however, the emerging trend is to incorporate data that are more
generalizable. Introduction.
AB - BACKGROUND: The Patient Protection and Affordable Care Act brought considerable
attention to comparative effectiveness research (CER). OBJECTIVES: To (a)
suggest best practices for conducting and reporting CER using "real-world data"
(RWD), (b) describe some of the data and infrastructure requirements for
conducting CER using RWD, (c) identify statistical challenges with the analysis
of nonrandomized studies and suggest appropriate techniques to address those
challenges, (d) recognize the value of patient-reported outcomes in CER, (e)
encourage the incorporation of observational data into randomized controlled
studies, and (f) highlight the importance of incorporating payers in industry
sponsored research. SUMMARY: The first article in this supplement, "Something
old, something new..." provides a policy perspective on the recent evolution of
CER. It reviews the historical context, discusses the "promise and fear" of CER,
and then describes the new role of the Patient-Centered Outcomes Research
Institute (PCORI) in defining and sponsoring CER. The second paper, "Ten
Commandments," proposes a series of tenets for planning, conducting, and
reporting CER done with RWD. Oriented for basic-to-intermediate researchers, it
combines standard scientific research principles with considerations specific to
nonrandomized, RWD studies. The third article, "Infrastructure Requirements,"
points out that effective use of secondary data requires addressing major
methodological and infrastructural issues, including development of analytical
tools to readily access and analyze data, formulation of guidelines to enhance
quality and transparency, establishment of data standards, and creation of data
warehouses that respect the privacy and confidentiality of patients. It
identifies gaps that must be filled to address the underlying issues, with
emphasis on data standards, data quality assurance, data warehouses, computing
environment, and protection of privacy and confidentiality. The fourth paper,
"Statistical Issues," discusses how the validity of analytic results from
observational studies is adversely impacted by biases that may be introduced due
to lack of randomization. It reviews some of the methodological challenges that
arise in the analysis of data from nonrandomized studies, with particular
emphasis on the limitations of traditional approaches and potential solutions
from recent methodological developments. The fifth paper, "Considerations on the
Use of Patient Reported Outcomes (PROs)," describes how PRO data can play a
critical role in guiding patients, health care providers, payers, and policy
makers in making informed decisions regarding patient-centered treatment from
among alternative options and technologies and have been noted as such by PCORI.
However, collection and interpretation of such data within the context of CER
have not yet been fully established. It discusses some challenges with including
PROs in CER initiatives, provides a framework for their effective use, and
proposes several areas for future research. Lastly, "Developing a Collaborative
Study Protocol..." indicates that there is the potential, the desire, and the
capability for payers to be involved in CER studies, combining elements of their
own observational data with prospective studies. It describes a case example of a
payer, a pharmaceutical company, and a research organization collaborating on a
prospective study to examine the effect of prior authorization for pregabalin on
health care costs to the payer. CONCLUSION: Researchers at Pfizer routinely
conduct CER-type studies. In this supplement, we have proposed some approaches
that we believe are useful in developing certain kinds of evidence and have
described some of our experiences. Our experiences also make us acutely aware of
the limitations of approaches and data sources that have been used for CER
studies and suggest that there is a need to further develop methods that are most
useful for answering CER questions.
PMID- 22074668
TI - Something old, something new, something borrowed...comparative effectiveness
research: a policy perspective.
PMID- 22074669
TI - "Ten commandments" for conducting comparative effectiveness research using "real
world data".
PMID- 22074670
TI - Infrastructure requirements for secondary data sources in comparative
effectiveness research.
PMID- 22074671
TI - Statistical issues with the analysis of nonrandomized studies in comparative
effectiveness research.
PMID- 22074672
TI - Considerations on the use of patient-reported outcomes in comparative
effectiveness research.
PMID- 22074673
TI - Developing a collaborative study protocol for combining payer-specific data and
clinical trials for CER.
PMID- 22074674
TI - Low adherence to influenza vaccination campaigns: is the H1N1 virus pandemic to
be blamed?
AB - BACKGROUND: Over the last few months, debates about the handling of the influenza
virus A (H1N1) pandemic took place, in particular regarding the change of the WHO
pandemic definition, economic interests, the dramatic communication style of mass
media. The activation of plans to reduce the virus diffusion resulted in an
important investment of resources. Were those investments proportionate to the
risk? Was the pandemic overrated? The workload of the Pediatric Emergency Room
(P.E.R.) at a teaching hospital in Varese (Northern Italy) was investigated in
order to evaluate the local diffusion and severity of the new H1N1 influenza
epidemic. DISCUSSION: A 100% increase of the number of P.E.R. visits,
particularly for influenza-like illness, was recorded during weeks 42-46 of 2009
(October, 17 to November, 2); the low rate of hospitalization and the mild
presentation of the infection gave rise to the conclusion that the pandemic risk
was overrated. Mass media communications concerning the new virus created a
disproportionate fear in the population that significantly enhanced the burden of
cares at the hospital. In the absence of generally implemented measures for
etiological diagnosis, the actual incidence of the H1N1 infection could not be
estimated. Virus identification, in fact, was limited to children showing severe
symptoms after consultancy with an infectious disease specialist. The alarming
nature of the communication campaign and the choice to limit etiologic diagnosis
to severe cases created a climate of uncertainty which significantly contributed
to the massive admissions to the P.E.R.. SUMMARY: The communication strategy
adopted by the mass media was an important element during the pandemic: the
absence of clarity contributed to the spread of a pandemic phobia that appeared
to result more from the sensationalism of the campaign than from infection with
the novel influenza A variant of human, avian, swine origin virus. One relevant
effect of the media coverage was the extremely low adherence rate to the
vaccination campaign for the 2009-2010 and 2010-2011, especially among the high
risk population and health care workers. One positive consequence was, however,
the spread of preventive hygiene measures, such as hand washing.
PMID- 22074675
TI - Chemical structure of the O-polysaccharide isolated from Pectobacterium
atrosepticum SCRI 1039.
AB - The lipopolysaccharide (LPS) of the bacterium Pectobacterium atrosepticum SCRI
1039 was hydrolyzed and the products were separated. A study of the obtained O
polysaccharide by means of chemical methods, GLC, GLC-MS, and NMR spectroscopy
allowed us to identify a branched polymer with a pentasaccharide repeating unit
of the structure shown below, in which the fucose residue was partially O
acetylated at C-2, C-3 or C-4.
PMID- 22074676
TI - Synthesis of a novel pentasaccharide core component from the lipooligosaccharide
of Moraxella catarrhalis.
AB - The novel pentasaccharide [p-(trifluoroacetamido)phenyl]ethyl 3-O-beta-D
glucopyranosyl-4-O-beta-D-glucopyranosyl-6-O-[2-O-(alpha-D-glucopyranosyl)-beta-D
glucopyranosyl]-alpha-D-glucopyranoside (1), which includes a linker moiety to
enable facile coupling to an antigenic protein, was synthesised as a component of
a potential vaccine candidate against the Gram-negative bacterium Moraxella
catarrhalis. This microorganism is one of three principal causative agents of
otitis media in children. The pentasaccharide represents a common cross-serotype
(A, B and C) structure from the lipooligosaccharides of Moraxella catarrhalis.
PMID- 22074677
TI - Reversible opacification of a hydrophilic acrylic intraocular lens.
AB - A 56-year-old woman with diabetic retinopathy and chronic myelogenous leukemia
had phacoemulsification cataract removal and hydrophilic acrylic intraocular lens
(IOL) (Akreos MI-60) implantation in both eyes. One month after surgery,
significant IOL opacity and severe cystoid macular edema were observed in both
eyes. After bilateral intravitreal injection of bevacizumab (Avastin) to control
macular edema, central clearing of the IOL opacity was observed in both eyes. Two
months after the injection, the IOL opacity had almost disappeared from both
eyes. To our knowledge, this is the first case of early postoperative bilateral
IOL opacity in a hydrophilic acrylic IOL cleared after anti-vascular endothelial
growth factor (VEGF) intravitreal injection. The role of anti-VEGF therapy in
clearing IOL opacification requires further investigation.
PMID- 22074678
TI - Measurement of tooth and implant mobility under physiological loading conditions.
AB - In vivo measurement of the mobility of teeth under physiological loading has been
subject of research for years. Comparing the deflection under load of dental
implants with teeth provides valuable input for designing restorations spanning
both teeth and implants. Physiological force rise time of about 50-100 ms and
displacement of 10-100 MUm requires high spatial and temporal resolution of the
measurement set-up. Using an optical system attached to the teeth/implants to be
measured and a light source attached to a point of reference, displacement of
teeth and implants under axial and lateral loading was measured on a series of
volunteers. Axial displacement of teeth shows strong time dependence consistent
with (hydraulic) damping not observed for lateral loads. Displacement under
lateral loading was found to be about one order of magnitude higher than under
axial load. For dental implants elastic deflection was observed in axial and
lateral direction without measurable influence of the load rise time. For purely
axial loading, dental implants and teeth show similar deflection under
physiological force rise time but for lateral loading the considerably difference
between teeth and implant may put some restrictions on the construction of tooth
implant-bridges, especially for teeth in the anterior region.
PMID- 22074679
TI - Distinct effects of NPY13-36, a specific NPY Y2 agonist, in a model of rodent
endotoxemia on leukocyte subsets and cytokine levels.
AB - Even now, sepsis remains a major problem in modern clinical medicine, leading to
systemic inflammatory response including altered leukocyte subset distribution
and increased cytokine release. As immune cells are known to express NPY
receptors, we investigated the effects of a specific NPY Y(2) receptor agonist
(NPY(13-36)) and/or the corresponding Y(2) receptor antagonist BIIE0246 treatment
on blood (by FACS analyses) and tissue (by immunohistochemistry) leukocyte
subsets as well as on levels of IL-4, IL-6, IL-10, TNF-alpha, INF-gamma (by
Cytometric Bead Array) in healthy and acutely endotoxemic rats. Results show a
significant decrease in blood monocytes after LPS challenge in endotoxemic
control animals (by 93%), in endotoxemic NPY(13-36) treated animals (by 83%) and
in endotoxemic BIIE0246 treated animals (by 88%) as compared to the corresponding
healthy controls. Endotoxemic control animals showed a significant increase of
TNF-alpha (by 98%) as compared to the healthy control group. A treatment with
NPY(13-36) significantly stabilized TNF-alpha level in endotoxemic animals. This
study indicates distinct subset- and cytokine-specific in vivo effects induced by
an NPY Y(2) receptor specific treatment after a short-term LPS challenge.
PMID- 22074680
TI - Malignant fibrous histiocytoma of the urinary bladder as a post-radiation
secondary cancer: a case report.
AB - INTRODUCTION: Malignant fibrous histiocytomas have been periodically reported as
the primary tumor in various organs including the urinary bladder, and is the
second most frequent sarcoma of the urinary tract in adults. This report
discusses a case of the well established diagnosis of a malignant fibrous
histiocytoma of the bladder occurring as a post-radiation cancer after the
treatment of a cervical carcinoma. Our findings support those of many previous
studies and make the view of the nature of the disease clearer. CASE
PRESENTATION: We report the case of a 54-year-old Thai woman who had been treated
with radiation therapy for cervical cancer, who presented to our facility with
urinary incontinence. Initially, our patient was diagnosed as having a high-grade
urothelial carcinoma. Subsequent radical surgery rendered the final pathological
diagnosis, confirmed histologically and immunohistochemically as malignant
fibrous histiocytoma, with clinical and pathological staging of T4b N0 M0.
Adjuvant chemotherapy was provided for our patient. CONCLUSIONS: This type of
malignancy is very aggressive and easily misdiagnosed due to its rarity.
Therefore, in a patient with a prior history of irradiation in the pelvic area,
this should be considered as a differential diagnosis to ensure early correct
diagnosis and treatment.
PMID- 22074681
TI - Solvent effects in the excited-state tautomerization of 7-azaindole: a
theoretical study.
AB - The solvent effect often changes the mechanism of a chemical reaction.
Experimental studies of the excited-state tautomerization of 7-azaindole (7AI)
suggested that the intrinsic reactions occur via the concerted triple and double
proton transfer mechanisms in the gas and liquid phases, respectively.
Theoretical study is required to understand how the solvent effect changes the
mechanism; however, such studies have rarely been performed in the excited-state.
In this study, systematic quantum mechanical calculations were performed to study
the excited-state tautomerization of 7AI in methanol. Electronic structures and
energies for the reactant, transition state, and product were computed at the
complete active space self-consistent field levels with the second-order
multireference perturbation theory (MRPT2) to consider the dynamic electron
correlation. The IEFPCM and SM8 methods were used to include solvent effect in
the excited and ground-state calculations, respectively. The excited-state double
proton transfer (ESDPT) in 7AI-CH(3)OH and the triple proton transfer (ESTPT) in
7AI-(CH(3)OH)(2) both occur via a concerted but asynchronous mechanism. The ESTPT
barrier was smaller than the activation energy of solvent reorganization;
however, the amount of 7AI-(CH(3)OH)(2) in methanol is very small because the
complex formation is entropically very unfavorable. Therefore, the ESTPT is not
an important path. The MRPT2 barrier of ESDPT was 2.8 kcal/mol, which agrees very
well with the experimental value. The MRPT2 barrier of deuterium (D) transfer is
larger than the activation energy of solvent reorganization; therefore, the
intrinsic D transfer is rate-limiting, while the proton transfer must compete
with solvent reorganization. The time-dependent density functional theory (TDDFT)
was also used for comparison. Most TDDFT methods used in this study failed to
predict transition state structures or barriers of the excited-state
tautomerization. Additionally, the TDDFT levels failed to predict correct dipole
moments in the excited-state, which produced an unreliable solvent effect on
barrier heights.
PMID- 22074683
TI - The new classification criteria for rheumatoid arthritis and their impact on
therapeutic decisions.
PMID- 22074684
TI - Measles antibodies and response to vaccination in children aged less than 14
months: implications for age of vaccination.
AB - Passive immunity against measles decreases during the first months of life. The
objective of this study was to determine titres of measles antibodies in children
aged 9-14 months and their mothers before vaccination, and the children's
response to vaccination. Blood samples were collected by capillary puncture
before and 28 days after vaccination. Samples were obtained between February and
June 2007 during an ongoing measles outbreak. Titres of specific measles IgG
antibodies were determined by enzyme-linked immunosorbent assay. Seroconversion
was defined as the presence of antibodies after vaccination in subjects without
antibodies before vaccination. Maternal antibodies were present in 37.7% of all
69 children included and in 45.1% of children aged 9 months. Of the 51 children
in whom a second sample was obtained, 31 (60.8%) were seronegative before
vaccination and 61.3% seroconverted. Interference of maternal antibodies was 30%.
Advancing the first dose of measles vaccination from 15 to 12 months is a correct
strategy, given the increase in the time of susceptibility of infants to measles.
PMID- 22074685
TI - Identification of bacterial microflora in the midgut of the larvae and adult of
wild caught Anopheles stephensi: a step toward finding suitable paratransgenesis
candidates.
AB - To describe the midgut microbial diversity and to find the candidate bacteria for
the genetic manipulation for the generation of paratransgenic Anopheline
mosquitoes refractory to transmission of malaria, the microbiota of wild larvae
and adult Anopheles stephensi mosquito midgut from southern Iran was studied
using a conventional cell-free culture technique and analysis of a 16S ribosomal
RNA (rRNA) gene sequence library. Forty species in 12 genera including seven Gram
negative Myroides, Chryseobacterium, Aeromonas, Pseudomonas, Klebsiella,
Enterobacter and Shewanella and five Gram-positive Exiguobacterium, Enterococcus,
Kocuria, Microbacterium and Rhodococcus bacteria were identified in the
microbiota of the larvae midgut. Analysis of the adult midgut microbiota revealed
presence of 25 Gram-negative species in five genera including Pseudomonas,
Alcaligenes, Bordetella, Myroides and Aeromonas. Pseudomonas and Exiguobacterium
with a frequency of 51% and 14% at the larval stage and Pseudomonas and Aeromonas
with a frequency of 54% and 20% at the adult stage were the most common midgut
symbionts. Pseudomonas, Aeromonas and Myroides genera have been isolated from
both larvae and adult stages indicating possible trans-stadial transmission from
larva to adult stage. Fast growth in cheap media, Gram negative, and being
dominantly found in both larvae and adult stages, and presence in other malaria
vectors makes Pseudomonas as a proper candidate for paratransgenesis of An.
stephensi and other malaria vectors.
PMID- 22074686
TI - Monoclonal antibody 12D5 inhibits eosinophil infiltration in the brain of
Angiostrongylus cantonensis-infected BALB/c mice.
AB - Each of BALB/c mice was infected with 50 Angiostrongylus cantonensis larvae. One
group of mice received an intraperitoneal injection of 50 MUg 12D5 monoclonal
antibody (mAb) against a 98 kDa antigen of adult worms at 10 days post-infection
(dpi), with a booster injection of 25 MUg at 12 dpi. Five mice from each group
were sacrificed at 14 dpi for pathological examination and RNA extraction. The
infiltration of eosinophils and severity of eosinophilic meningitis were reduced
in 12D5 mAb-treated mice compared with the infected mice without 12D5 treatment.
The levels of eotaxin mRNA expression in spleen significantly increased and the
expression of the Th2-type cytokine IL-5 significantly decreased. However, the
expression of IL-4 was not changed. 12D5 mAb can observably enhance the survival
rate of infected mice and reduce symptoms of angiostrongyliasis. A. cantonensis
infection is a major cause of eosinophilic meningoencephalitis. The results of
this study could be helpful for the development of treatment of human
angiostrongylosis.
PMID- 22074688
TI - Development and analytical validation of an enzyme-linked immunosorbent assay
(ELISA) for the measurement of alpha(1)-proteinase inhibitor in serum and faeces
from cats.
AB - The objective of this study was to develop and analytically validate an ELISA for
the measurement of alpha(1)-proteinase inhibitor (alpha(1)-PI) in serum and
faeces from cats. Lower detection limit, linearity, accuracy, precision,
reproducibility, and reference intervals were determined. The lower detection
limits were 0.02 g/L for serum and 0.04 MUg/g for faeces. The observed-to
expected (O/E) ratios for serial dilutions of serum and faecal samples ranged
from 100.0 to 129.7% (mean+/-SD: 112.2+/-9.9%) and 103.5 to 141.6% (115.6+/
12.8%), respectively. The O/E ratios for samples spiked with seven known
concentrations of alpha(1)-PI ranged from 82.3 to 107.8% (94.7+/-7.6%) for serum,
and 78.5 to 148.7% (96.8+/-18.2%) for faeces. The coefficients of variation for
intra-assay and inter-assay variability were <7.9% and <12.1% for serum, and
5.3%, 11.8%, 14.2%, and 7.7%, 10.2%, 20.4% for faeces, respectively. Reference
intervals were 0.6-1.4 g/L for serum and upto 1.6 MUg/g for faeces. We conclude
that this ELISA is sufficiently linear, accurate, precise, and reproducible for
clinical evaluation.
PMID- 22074687
TI - Food restriction increases acquisition, persistence and drug prime-induced
expression of a cocaine-conditioned place preference in rats.
AB - Cocaine conditioned place preference (CPP) is more persistent in food-restricted
than ad libitum fed rats. This study assessed whether food restriction acts
during conditioning and/or expression to increase persistence. In Experiment 1,
rats were food-restricted during conditioning with a 7.0 mg/kg (i.p.) dose of
cocaine. After the first CPP test, half of the rats were switched to ad libitum
feeding for three weeks, half remained on food restriction, and this was followed
by CPP testing. Rats tested under the ad libitum feeding condition displayed
extinction by the fifth test. Their CPP did not reinstate in response to
overnight food deprivation or a cocaine prime. Rats maintained on food
restriction displayed a persistent CPP. In Experiment 2, rats were ad libitum fed
during conditioning with the 7.0 mg/kg dose. In the first test only a trend
toward CPP was displayed. Rats maintained under the ad libitum feeding condition
did not display a CPP during subsequent testing and did not respond to a cocaine
prime. Rats tested under food-restriction also did not display a CPP, but
expressed a CPP following a cocaine prime. In Experiment 3, rats were ad libitum
fed during conditioning with a 12.0 mg/kg dose. After the first test, half of the
rats were switched to food restriction for three weeks. Rats that were maintained
under the ad libitum condition displayed extinction by the fourth test. Their CPP
was not reinstated by a cocaine prime. Rats tested under food-restriction
displayed a persistent CPP. These results indicate that food restriction lowers
the threshold dose for cocaine CPP and interacts with a previously acquired CPP
to increase its persistence. In so far as CPP models Pavlovian conditioning that
contributes to addiction, these results suggest the importance of diet and the
physiology of energy balance as modulatory factors.
PMID- 22074689
TI - Structural analysis of zeolite NaA synthesized by a cost-effective hydrothermal
method using kaolin and its use as water softener.
AB - Zeolite 4A (LTA) has been successfully synthesized by a hydrothermal method,
where kaolin was used as silica and alumina source. The synthesized zeolite was
characterized by X-ray diffraction (XRD), scanning electron microscopy (SEM),
laser granulometry, and FTIR spectroscopy. XRD data from the Rietveld refinement
method confirmed only one crystallographic phase. Zeolite A morphology was
observed by SEM analysis, and it showed well-defined crystals with slightly
different sizes but with the same cubic shape. Particle size distribution of the
crystals was confirmed by laser granulometry, whereas FTIR spectroscopy revealed
significant structural differences between the starting material and the final
zeolite product used as water softener.
PMID- 22074690
TI - Facile fabrication of a superamphiphobic surface on the copper substrate.
AB - A simple solution-immersion technique was developed for the fabrication of a
superamphiphobic surface on the copper sheet. Hierarchical structure composed of
nanorod arrays and microflowers was formed on the copper surface by an alkali
assistant oxidation process; after fluorination, the surface became super
repellent toward water and several organic liquids possessing much lower surface
tension than that of water, such as hexadecane. Such superamphiphobicity is
attributed to the synergistic effect of their special surface chemicals and
microscopic structures, which allows for the formation of a composite interface
with all probing liquids tested. We also discuss the effects of surface chemical
constituent and geometrical structure on hydrophobicity and oleophobicity; such
information allows us to engineer surfaces with specific oleophobic behavior.
Additionally, the stability of the composite interface on the created
superamphiphobic surface is studied by the compression and immersion test.
PMID- 22074691
TI - Fabrication of hierarchical microparticles by depositing the in situ synthesized
surface nanoparticles on microspheres during the seed emulsion polymerization.
AB - A general strategy for the synthesis of polymeric hierarchical microparticles
containing surface nanoparticles through modified seed emulsion polymerization is
proposed. This modified seed emulsion polymerization has a character that
suitable amount of monomer miniemulsion is added during the polymerization. The
in situ synthesized surface nanoparticles which are resulted from the monomer
miniemulsion as well as the shell-forming polymer coagulate on the seed particles
and therefore hierarchical microparticles are fabricated. Various polymeric
hierarchical microparticles containing 20-36 nm poly(styrene-co-acrylamide),
poly(styrene-co-acrylic acid), and polystyrene surface nanoparticles are
synthesized following the proposed method. The advantages in the present
synthesis including both the well controls in the size, the composition, and the
number of the surface nanoparticles and the convenience are demonstrated. The
proposed strategy is anticipated to be a general method to fabricate hierarchical
microparticles and is believed to have promising application in particle surface
modification.
PMID- 22074692
TI - Cobalt (II) chloride promoted formation of honeycomb patterned cellulose acetate
films.
AB - CoCl(2) containing honeycomb patterned films were prepared from cellulose acetate
(CA)/CoCl(2)/acetone solutions by the breath figure method in a wide range of
humidities. Size and pore regularity depend on the CA/CoCl(2) molar ratio and
humidity. When replacing CoCl(2) with Co(NO(3))(2) or CoBr(2), no formation of
ordered porosity in the cellulose acetate films is observed. According to data
from scanning electron microscopy (SEM), Energy Dispersive X-ray Microanalysis
(EDX), X-ray Diffraction (XRD) and Fourier transform infrared (FTIR)
spectroscopy, the key role in the formation of honeycomb structures can be
attributed to the physical and chemical properties of CoCl(2) - hygroscopicity,
low interaction with CA, and extraction from CA/CoCl(2)/acetone solution by water
droplets condensed on the surface of the CA/CoCl(2) solution. Obtained films are
prospective for using in catalysis, hydrogen fuel cells, and optical sensing
materials.
PMID- 22074693
TI - Multi-functional nanopatterned optical films fabricated using capillary force
lithography.
AB - We demonstrate anisotropic optical films based on liquid crystalline polymer
(LCP) using a capillary force lithography (CFL). The fabricated optical films can
be used as both an optical component and a self-aligning capability of liquid
crystal molecules introduced on the film. Additionally, HA or PA LC can be
induced on same material by controlling the water repellency of LCP surface.
Moreover, surface anchoring transitions could be controlled by variation of
pattern sizes and surface treatment. In this point of view, one thin optical film
can act both retarder and alignment layer and then shows good retardation, LC
alignment, and transmittance at the same time.
PMID- 22074694
TI - Immobilization of poly(fluorene) within clay nanocomposite: an easy way to
control keto defect.
AB - Blue light emitting cationic polyfluorene polymer(PF)/montmorillonite (MMT)
nanocomposites were prepared by solution intercalation and exfoliation method to
evaluate the effect of MMT on the nanocomposite structures, properties and
morphologies. The properties of PF-MMT composites, containing 1-50 mass% MMT,
were characterized unambiguously with the help of multiple analytical techniques,
with focus on the keto defect and photostability of PF in the nanocomposites. XRD
and HRTEM studies reveal both exfoliation of MMT galleries at lower content of
MMT in composites and intercalation of PF chains into the MMT galleries at higher
MMT content. The nanocomposites show higher thermal stability than pristine PF as
anchorage of nanoclay in PF matrix occur through the electrostatic interaction
between nanoclay and polymer. The decrease in Si-O-Si stretching frequency during
exfoliation is much higher than in intercalation, as Si-O-Si experience lesser
hindrance to vibrate in exfoliated MMT galleries. The gradual redshift of pi
pi(*) transition peak of PF with increasing MMT content in composites confirms
the uncoiling of PF in clay galleries. The photoluminescence characteristics
reveal interruption of interchain interaction in this intercalated and exfoliated
organic/inorganic hybrid system, which reduces the low-energy emission that
results from keto defect. Due to very high aspect ratio of MMT, it can act as an
efficient exciton blocking layer and a barrier to oxygen diffusion, which may
lead to a device with high color purity and enhanced photostability. Again
current-voltage characteristics of nanocomposite films confirm the retention of
LED properties after nanocomposite formation.
PMID- 22074695
TI - Comment: Aris and Leblanc "Maternal and fetal exposure to pesticides associated
to genetically modified foods in Eastern Townships of Quebec, Canada".
PMID- 22074696
TI - Longitudinal changes in PON1 activities, PON1 phenotype distribution and
oxidative status throughout normal pregnancy.
AB - The purpose of the present study was to determine changes in plasma paraoxonase-1
activity (an indicator of paraoxonase phenotype) throughout normal pregnancy and
its relationship with maternal oxidative stress status. The frequencies of the
paraoxonase-1 phenotype in the studied population were determined using a two
substrate (paraoxon/diazoxon) activity method. As a parameter of oxidative stress
status we measured the redox balance. Paraoxonase-1 activity significantly
decreased at gestational week 32. In addition, the lipid profile was more
atherogenic. Redox balance was significantly increased across gestational weeks.
There were independent direct associations between maternal smoking habits before
pregnancy, glucose concentrations and redox balance with PON1 activity in the
third trimester. This study shows that pregnancy is followed by a decrease in
PON1 activity and increased risk for development of cardiovascular diseases. We
conclude that changes in paraoxonase-1 status during pregnancy are associated
with maternal oxidative stress status and smoking habits.
PMID- 22074697
TI - Acute reproductive toxicity of 3,3'-iminodipropionitrile in female rats.
AB - A potent neurotoxin 3,3'-iminodipropionitrile (IDPN), which is an occupational
exposure hazard in industry, induces persistent behavioral abnormalities in
experimental animals; however, its reproductive toxicity has not been determined.
Therefore, we assessed the toxicity of IDPN in the reproductive system of female
rats. A single intraperitoneal injection of IDPN (1000 mg/kg body weight) into
female Wistar-Imamichi rats caused acute estrous cycle arrest at diestrus for up
to 15 days. The arrest was accompanied by follicular atresia, and following
arrest, the estrous cycle and ovarian morphology recovered. Ovarian mRNA levels
of growth differentiation factor 9 and Fas ligand, a cell death marker,
transiently increased following IDPN injection, but eventually they returned to
basal levels. IDPN added to in vitro cultures of ovarian follicles also induced
the expression of these genes, indicating that IDPN directly promoted ovarian
cell death.
PMID- 22074698
TI - Erosive pustular dermatosis of the scalp: a review with a focus on dapsone
therapy.
AB - BACKGROUND: Erosive pustular dermatosis of the scalp (EPDS) is an inflammatory
disorder of unknown origin characterized by pustules, erosions, and crusting in
areas of alopecia that tend to be atrophic, actinically damaged, or both. The
most common treatments reported include antibiotics and topical anti
inflammatories, which can be ineffective. In the search for effective treatment
for EPDS, we share our experience with topical dapsone 5% gel. OBSERVATIONS: We
present 4 patients with EPDS, all with classic clinical presentations and
histologic findings of EPDS, who had failed a variety of treatments including
oral, intralesional, or topical steroids, tacrolimus, and antibiotics. All
patients demonstrated rapid improvement or resolution with topical dapsone 5%
gel. LIMITATIONS: Our experience and success with topical dapsone for EPDS is
observational and not the result of a randomized controlled trial. CONCLUSION:
Our observations demonstrate topical dapsone 5% gel to be a novel, safe, and
efficacious therapeutic alternative for mild to moderate EPDS.
PMID- 22074699
TI - Systematic skin cancer screening in Northern Germany.
AB - BACKGROUND: The incidence of skin cancer is increasing worldwide. For decades,
opportunistic melanoma screening has been carried out to respond to this burden.
However, despite potential positive effects such as reduced morbidity and
mortality, there is still a lack of evidence for feasibility and effectiveness of
organized skin cancer screening. OBJECTIVE: The main aim of the project was to
evaluate the feasibility of systematic skin cancer screening. METHODS: In 2003,
the Association of Dermatological Prevention was contracted to implement the
population-based SCREEN project (Skin Cancer Research to Provide Evidence for
Effectiveness of Screening in Northern Germany) in the German state of Schleswig
Holstein. A two-step program addressing malignant melanoma and nonmelanocytic
skin cancer was implemented. Citizens (aged >= 20 years) with statutory health
insurance were eligible for a standardized whole-body examination during the 12
month study period. Cancer registry and mortality data were used to assess first
effects. RESULTS: Of 1.88 million eligible citizens, 360,288 participated in
SCREEN. The overall population-based participation rate was 19%. A total of 3103
malignant skin tumors were found. On the population level, invasive melanoma
incidence increased by 34% during SCREEN. Five years after SCREEN a substantial
decrease in melanoma mortality was seen (men: observed 0.79/100,000 and expected
2.00/100,000; women: observed 0.66/100,000 and expected 1.30/100,000).
LIMITATIONS: Because of political reasons (resistance as well as lack of support
from major German health care stakeholders), it was not possible to conduct a
randomized controlled trial. CONCLUSIONS: The project showed that large-scale
systematic skin cancer screening is feasible and has the potential to reduce skin
cancer burden, including mortality. Based on the results of SCREEN, a national
statutory skin cancer early detection program was implemented in Germany in 2008.
PMID- 22074701
TI - Deleterious impact of elaidic fatty acid on ABCA1-mediated cholesterol efflux
from mouse and human macrophages.
AB - Consumption of trans fatty acids (TFA) increase cardiovascular risk more than do
saturated FA, but the mechanisms explaining their atherogenicity are still
unclear. We investigated the impact of membrane incorporation of TFA on
cholesterol efflux by exposing J774 mouse macrophages or human monocyte-derived
macrophages (HMDM) to media enriched or not (standard medium) with industrially
produced elaidic (trans-9 18:1) acid, naturally produced vaccenic (trans-11 18:1)
acid (34 h, 70 MUM) or palmitic acid. In J774 macrophages, elaidic and palmitic
acid, but not vaccenic acid, reduced ABCA1-mediated efflux by ~23% without
affecting aqueous diffusion, SR-BI or ABCG1-mediated pathways, and this effect
was maintained in cholesterol-loaded cells. The impact of elaidic acid on the
ABCA1 pathway was weaker in cholesterol-normal HMDM, but elaidic acid induced a
strong reduction of ABCA1-mediated efflux in cholesterol-loaded cells (-36%). In
J774 cells, the FA supplies had no impact on cellular free cholesterol or
cholesteryl ester masses, the abundance of ABCA1 mRNA or the total and plasma
membrane ABCA1 protein content. Conversely, TFA or palmitic acid incorporation
induced strong modifications of the membrane FA composition with a decrease in
the ratio of (cis-monounsaturated FA+polyunsaturated FA):(saturated FA+TFA), with
elaidic and vaccenic acids representing each 20% and 13% of the total FA
composition, respectively. Moreover, we demonstrated that cellular ATP was
required for the effect of elaidic acid, suggesting that it contributes to
atherogenesis by impairing ABCA1-mediated cholesterol efflux in macrophages,
likely by decreasing the membrane fluidity, which could thereby reduce ATPase
activity and the function of the transporter.
PMID- 22074700
TI - LBH-589 (panobinostat) potentiates fludarabine anti-leukemic activity through a
JNK- and XIAP-dependent mechanism.
AB - Effects of the HDAC inhibitor LBH-589 (panobinostat) on fludarabine lethality
toward acute myeloid leukemia (AML) cells were examined in vitro and in vivo. LBH
589 pretreatment sensitized U937, HL-60, and primary leukemia cells to
fludarabine while blocking NF-kappaB activation accompanied by XIAP down
regulation and JNK activation. Pharmacologic or genetic JNK inhibition
significantly attenuated LBH-589/fludarabine lethality, whereas XIAP over
expression diminished JNK activation and apoptosis. Combined in vivo treatment
abrogated leukemia growth in a U937 xenograft murine model and substantially
increased animal survival. These studies highlight the interplay between NF
kappaB activation, XIAP down-regulation, and JNK activation in anti-leukemic
synergism between fludarabine and LBH-589.
PMID- 22074702
TI - Inhibition of Pim1 kinase activation attenuates allergen-induced airway
hyperresponsiveness and inflammation.
AB - Pim kinases are a family of serine/threonine kinases whose activity can be
induced by cytokines involved in allergy and asthma. These kinases play a role in
cell survival and proliferation, but have not been examined, to the best of our
knowledge, in the development of allergic disease. This study sought to determine
the role of Pim1 kinase in the development of allergic airway responses. Mice
were sensitized and challenged with antigen (primary challenge), or were
sensitized, challenged, and rechallenged with allergen in a secondary model. To
assess the role of Pim1 kinase, a small molecule inhibitor was administered
orally after sensitization and during the challenge phase. Airway responsiveness
to inhaled methacholine, airway and lung inflammation, cell composition, and
cytokine concentrations were assessed. Lung Pim1 kinase concentrations were
increased after ovalbumin sensitization and challenge. In the primary allergen
challenge model, treatment with the Pim1 kinase inhibitor after sensitization and
during airway challenges prevented the development of airway hyperresponsiveness,
eosinophilic airway inflammation, and goblet cell metaplasia, and increased Th2
cytokine concentrations in bronchoalveolar fluid in a dose-dependent manner.
These effects were also demonstrated after a secondary allergen challenge, where
lung allergic disease was established before treatment. After treatment with the
inhibitor, a significant reduction was evident in the number of CD4(+) and CD8(+)
T cells and concentrations of cytokines in the airways. The inhibition of Pim1
kinase was effective in preventing the development of airway hyperresponsiveness,
airway inflammation, and cytokine production in allergen-sensitized and allergen
challenged mice. These data identify the important role of Pim1 kinase in the
full development of allergen-induced airway responses.
PMID- 22074703
TI - Nutritional stress and arginine auxotrophy confer high sensitivity to chloroquine
toxicity in mesothelioma cells.
AB - The correlation between cell sensitivity to autophagy inhibitors, such as
chloroquine (CQ), and the expression/activity of molecules involved in the
control and execution of autophagy is well documented. However, tumor cells with
comparable autophagic potentials may display variable degrees of autophagy
addiction, due to the differential expression of molecular determinants, which
are still scarcely defined. In this study, we investigated the effects of CQ on
growth, death, and autophagic activity of malignant mesothelioma cell lines
cultured in standard versus nutritional stress conditions partially mimicking
those found in the tumor microenvironment. We report that, in each cell line, the
toxic effects of CQ were amplified by nutritional stress and paralleled by
autophagy inhibition. Still, the cell lines displayed different levels of
sensitivity to CQ toxicity, which did not correlate with their relative degrees
of constitutive and nutritional stress-induced autophagy, nor with the relative
magnitude of the autophagy inhibition induced by the drug. Thus, we tested the
hypothesis that the cell lines' sensitivity to CQ was related to their variable
dependence on recycling of intracellular constituents by autophagy. In fact, the
cell line with the highest sensitivity to the toxic effects of CQ was auxotrophic
for arginine, due to the deficient expression of the enzyme argininosuccinate
synthetase (ASS). Furthermore, overexpression of ASS in these cells reduced their
sensitivity to CQ toxicity. Based on these results, the assessment of ASS
expression in malignant mesothelioma tissues may allow the identification of
subgroups of tumors with an increased sensitivity to the toxic effects of this
drug.
PMID- 22074705
TI - A comparison of the Ex-PRESSTM mini glaucoma shunt with standard trabeculectomy
in the surgical treatment of glaucoma.
AB - BACKGROUND AND OBJECTIVE: To compare the success and complication rates of
patients with glaucoma who had an Ex-PRESS mini glaucoma shunt device
implantation (Optonol, Ltd., Neve Ilan, Israel) to those who had conventional
trabeculectomy. PATIENTS AND METHODS: The records of 76 eyes of 69 consecutive
subjects who had Ex-PRESS implants and 77 eyes of 65 consecutive controls who had
trabeculectomy procedures were reviewed. All surgeries were performed by one of
the authors (LWH). Success was defined as an intraocular pressure (IOP) between 5
and 21 mm Hg in patients who did not require further glaucoma surgery in the eye
of note. RESULTS: The difference in the percentage of cases of postoperative
hypotony between the standard trabeculectomy group (16%) and the Ex-PRESS group
(4%) was statistically significant (P = .023). CONCLUSION: The Ex-PRESS device is
at least as effective as the standard trabeculectomy in lowering the IOP of
patients with glaucoma, with a significantly lower risk of postoperative
hypotony. The data further suggest that the Ex-PRESS device results in an overall
greater percentage reduction in IOP than with trabeculectomy, although this did
not reach statistical significance.
PMID- 22074704
TI - Proteomic footprinting of drug-treated cancer cells as a measure of cellular
vaccine efficacy for the prevention of cancer recurrence.
AB - The comparative proteomic study of cell surfaces of native and drug-treated
cancer cells was performed. To this end, cell proteomic footprinting, which
reflects the mass spectrometry profiling of cell surface proteins, was applied to
breast adenocarcinoma cells (MCF-7), which were untreated or treated with
doxorubicin, tamoxifen, or etoposide. The footprints of drug-treated cells were
compared with the footprints of untreated cells and the footprint of a randomly
selected control cancer cell culture. It was found that drug-treated cells have
reproducible, pronounced, and drug-specific changes in cell surface protein
expression. Cytotoxicity assays, which are an in vitro model of human antitumor
vaccination, revealed that the degree of these changes correlates directly with
the ability of the cancer cells to escape cell death induced by a cytotoxic T
cell-mediated immune response. Moreover, cancer cells escape from the immune
response was linearly approximated (R(2) equal to 0.99) with the degree by which
their proteomic footprints diverged from the footprint of the targeted (native)
cancer cells. From these findings, it was concluded that the design of anticancer
vaccines intended to prevent cancer recurrence after primary treatment should
consider the drug-specific changes in cancer cell-surface antigens. Such changes
can be easily identified by cell proteomic footprinting, renewing hopes for
development of efficient cellular cancer vaccines.
PMID- 22074706
TI - Intracuring: intrastromal corneal application of dental flowable composite.
AB - BACKGROUND AND OBJECTIVE: To evaluate an intracuring method by changing the
corneal curvature. MATERIALS AND METHODS: An intrastromal tunnel was created by
femtosecond laser in five cadaveric sheep eyes. Dental flowable restorative
composite was used to fill the tunnel. A light-emitting diode light was applied
for polymerization for 20 seconds. Flat plastic material was used for pressure on
the cornea during polymerization. Keratometric measurements were obtained in all
eyes using a rotating Scheimpflug camera. RESULTS: Remarkable changes on the
corneal curvature were observed after the intracuring method. Inferior or
inferonasal flattening and opposite steepening was observed in all sheep eyes.
The average flattening effect of this method was 10.6 diopters. CONCLUSION: This
new application may be a promising technique for the treatment of keratoconus.
The application of this technique in humans could offer improved treatment
options in the future.
PMID- 22074707
TI - Passive silicone oil removal in 23-gauge transconjunctival vitrectomy.
AB - The authors introduce a feasible technique of passive silicone oil removal via
the pars plana using 23-gauge transconjunctival vitrectomy microsurgery
instruments. The procedure consists of three-port transconjunctival insertion of
23-gauge microcannulas. An inferotemporal cannula is connected to an infusion
line and the height of the bottle is raised. The other two open ports are used to
remove intravitreal silicone oil with an externally applied cotton swab. Passive
silicone oil removal was conducted using the 23-gauge system in 46 phakic or
pseudophakic eyes with silicone oil of both 1,000 (n = 39) and 5,000 centistokes
(n = 7). A suture was placed for one of three sclera entry sites in 17 eyes.
Cataract extraction with phacoemulsification was combined with passive silicone
oil removal in 14 eyes. Transient hypotony was observed in 2 eyes. No retinal
redetachment or other procedure-related complications were encountered.
PMID- 22074708
TI - A new biconcave contact lens for vitrectomy in eyes with intraocular lens
implantation.
AB - The authors report a new biconcave contact lens capable of providing superior
surgical views of eyes during vitrectomy and intraocular lens implantation under
both fluid-filled and air-filled conditions. The new biconcave lens is made of a
glass with a high refractive index (nd = 1.883). The lens is placed on the cornea
using a regular lens ring for the floating vitrectomy lens system. During
vitrectomy, the new biconcave lens provides a view as clear as that of the
commercially available flat-concave lens when the vitreous cavity is filled with
fluid. The lens also provides a more magnified view than the commercially sold
biconcave lens during fluid-air exchange, with no need for replacement. The new
biconcave vitrectomy lens spares the surgeon the trouble of replacing different
refractive lenses during the fluid-air exchange procedure in an eye undergoing
intraocular lens implantation, and may be helpful for vitreous surgery.
PMID- 22074709
TI - Redox states of plastids and mitochondria differentially regulate intercellular
transport via plasmodesmata.
AB - Recent studies suggest that intercellular transport via plasmodesmata (PD) is
regulated by cellular redox state. Until now, this relationship has been unclear,
as increased production of reactive oxygen species (ROS) has been associated with
both increased and decreased intercellular transport via PD. Here, we show that
silencing two genes that both increase transport via PD, INCREASED SIZE EXCLUSION
LIMIT1 (ISE1) and ISE2, alters organelle redox state. Using redox-sensitive green
fluorescent proteins targeted to the mitochondria or plastids, we show that,
relative to wild-type leaves, plastids are more reduced in both ISE1- and ISE2
silenced leaves, whereas mitochondria are more oxidized in ISE1-silenced leaves.
We further show that PD transport is positively regulated by ROS production in
mitochondria following treatment with salicylhydroxamic acid but negatively
regulated by an oxidative shift in both chloroplasts and mitochondria following
treatment with paraquat. Thus, oxidative shifts in the mitochondrial redox state
positively regulate intercellular transport in leaves, but oxidative shifts in
the plastid redox state counteract this effect and negatively regulate
intercellular transport. This proposed model reconciles previous contradictory
evidence relating ROS production to PD transport and supports accumulating
evidence that mitochondria and plastids are crucial regulators of PD function.
PMID- 22074710
TI - Diagnosis and management of colorectal cancer: summary of NICE guidance.
PMID- 22074712
TI - Antiretroviral therapy programmes in resource limited settings.
PMID- 22074711
TI - Utility of routine viral load, CD4 cell count, and clinical monitoring among
adults with HIV receiving antiretroviral therapy in Uganda: randomised trial.
AB - OBJECTIVE: To evaluate the use of routine laboratory monitoring in terms of
clinical outcomes among patients receiving antiretroviral therapy (ART) in
Uganda. DESIGN: Randomised clinical trial SETTING: A home based ART programme in
rural Uganda. PARTICIPANTS: All participants were people with HIV who were
members of the AIDS Support Organisation. Participants had CD4 cell counts <250
cells * 10(6)/L or World Health Organization stage 3 or 4 disease. INTERVENTIONS:
Participants were randomised to one of three different monitoring arms: a viral
load arm (clinical monitoring, quarterly CD4 counts, and viral load
measurements), CD4 arm (clinical monitoring and CD4 counts), or clinical arm
(clinical monitoring alone). MAIN OUTCOME MEASURES: Serious morbidity (newly
diagnosed AIDS defining illness) and mortality. RESULTS: 1094 participants
started ART; median CD4 count at baseline was 129 cells * 10(6)/L. Median follow
up was three years. In total, 126 participants died (12%), 148 (14%) experienced
new AIDS defining illnesses, and 61(6%) experienced virological failure, defined
as two consecutive viral loads >500 copies/mL occurring more than three months
after the start of ART. After adjustment for age, sex, baseline CD4 count, viral
load, and body mass index, the rate of new AIDS defining events or death was
higher in the clinical arm than the viral load arm (adjusted hazard ratio 1.83, P
= 0.002) or the CD4 arm (1.49, P = 0.032). There was no significant difference
between the CD4 arm and the viral load arm (1.23, P = 0.31). CONCLUSION: In
patients receiving ART for HIV infection in Uganda, routine laboratory monitoring
is associated with improved health and survival compared with clinical monitoring
alone. Trial registration Clinical Trials NCT00119093.
PMID- 22074714
TI - Words are all we have.
PMID- 22074713
TI - CD4 cell count and viral load monitoring in patients undergoing antiretroviral
therapy in Uganda: cost effectiveness study.
AB - OBJECTIVE: To examine the cost and cost effectiveness of quarterly CD4 cell count
and viral load monitoring among patients taking antiretroviral therapy (ART).
DESIGN: Cost effectiveness study. SETTING: A randomised trial in a home based ART
programme in Tororo, Uganda. PARTICIPANTS: People with HIV who were members of
the AIDS Support Organisation and had CD4 cell counts <250 * 10(6) cells/L or
World Health Organization stage 3 or 4 disease. MAIN OUTCOME MEASURES: Outcomes
calculated for the study period and projected 15 years into the future included
costs, disability adjusted life years (DALYs), and incremental cost effectiveness
ratios (ICER; $ per DALY averted). Cost inputs were based on the trial and other
sources. Clinical inputs derived from the trial; in the base case, we assumed
that point estimates reflected true differences even if non-significant. We
conducted univariate and multivariate sensitivity analyses. INTERVENTIONS: Three
monitoring strategies: clinical monitoring with quarterly CD4 cell counts and
viral load measurement (clinical/CD4/viral load); clinical monitoring and
quarterly CD4 counts (clinical/CD4); and clinical monitoring alone. RESULTS: With
the intention to treat (ITT) results per 100 individuals starting ART, we found
that clinical/CD4 monitoring compared with clinical monitoring alone increases
costs by $20,458 (L12,780, ?14,707) and averts 117.3 DALYs (ICER = $174 per
DALY). Clinical/CD4/viral load monitoring compared with clinical/CD4 monitoring
adds $142,458, and averts 27.5 DALYs ($5181 per DALY). The superior ICER for
clinical/CD4 monitoring is robust to uncertainties in input values, and that
strategy is dominant (less expensive and more effective) compared with
clinical/CD4/viral load monitoring in one quarter of simulations. If clinical
inputs are based on the as treated analysis starting at 90 days (after laboratory
monitoring was initiated), then clinical/CD4/viral load monitoring is dominated
by other strategies. CONCLUSIONS: Based on this trial, compared with clinical
monitoring alone, monitoring of routine CD4 cell count is considerably more cost
effective than additionally including routine viral load testing in the
monitoring strategy and is more cost effective than ART.
PMID- 22074715
TI - Policy determines drinking behaviour, says expert on addiction.
PMID- 22074716
TI - Divergent postnatal development of the carotid body in DBA/2J and A/J strains of
mice.
AB - We have previously shown that the adult DBA/2J and A/J strains of mice differ in
carotid body volume and morphology. The question has arisen whether these
differences develop during the prenatal or postnatal period. Investigating
morphological development of the carotid body and contributing genes in these
mice can provide further understanding of the appropriate formation of the
carotid body. We examined the carotid body of these mice from 1 day to 4 wk old
for differences in volume, morphology, and gene expression of Gdnf family, Dlx2,
Msx2, and Phox2b. The two strains showed divergent morphology starting at 1 wk
old. The volume of the carotid body increased from 1 wk up to 2 wk old to the
level of 4 wk old in the DBA/2J mice but not in the A/J mice. This corresponds
with immunoreactivity of LC3, an autophagy marker, in A/J tissues at 10 days and
2 wk. The differences in gene expression were examined at 1 wk, 10 days, and 2 wk
old, because divergent growth occurred during this period. The DBA/2J's carotid
body at 1 wk old showed a greater expression of Msx2 than the A/J's carotid body.
No other candidate genes showed consistent differences between the ages and
strains. The difference was not seen in sympathetic cervical ganglia of 1 wk old,
suggesting that the difference is carotid body specific. The current study
indicates the critical postnatal period for developing distinctive morphology of
the carotid body in these mice. Further studies are required to further elucidate
a role of Msx2 and other uninvestigated genes.
PMID- 22074717
TI - Endurance exercise attenuates ventilator-induced diaphragm dysfunction.
AB - Controlled mechanical ventilation (MV) is a life-saving measure for patients in
respiratory failure. However, MV renders the diaphragm inactive leading to
diaphragm weakness due to both atrophy and contractile dysfunction. It is now
established that oxidative stress is a requirement for MV-induced diaphragmatic
proteolysis, atrophy, and contractile dysfunction to occur. Given that endurance
exercise can elevate diaphragmatic antioxidant capacity and the levels of the
cellular stress protein heat shock protein 72 (HSP72), we hypothesized that
endurance exercise training before MV would protect the diaphragm against MV
induced oxidative stress, atrophy, and contractile dysfunction in female Sprague
Dawley rats. Our results confirm that endurance exercise training before MV
increased both HSP72 and the antioxidant capacity in the diaphragm. Importantly,
compared with sedentary animals, exercise training before MV protected the
diaphragm against MV-induced oxidative damage, protease activation, myofiber
atrophy, and contractile dysfunction. Further, exercise protected diaphragm
mitochondria against MV-induced oxidative damage and uncoupling of oxidative
phosphorylation. These results provide the first evidence that exercise can
provide protection against MV-induced diaphragm weakness. These findings are
important and establish the need for future experiments to determine the
mechanism(s) responsible for exercise-induced diaphragm protection.
PMID- 22074718
TI - A novel vascular clip design for the reliable induction of 2-kidney, 1-clip
hypertension in the rat.
AB - The 2-kidney, 1-clip (2K1C) model has provided many insights into the
pathogenesis of renovascular hypertension. However, studies using the 2K1C model
often report low success rates of hypertension, with typical success rates of
just 40-60%. We hypothesized that these low success rates are due to fundamental
design flaws in the clips traditionally used in 2K1C models. Specifically, the
gap widths of traditional silver clips may not be maintained during investigator
handling and these clips may also be easily dislodged from the renal artery
following placement. Therefore, we designed and tested a novel vascular clip
possessing design features to maintain both gap width and position around the
renal artery. In this initial study, application of these new clips to the left
renal artery produced reliable and consistent levels of hypertension in rats.
Nine-day application of clips with gap widths of 0.27, 0.25, and 0.23 mm elicited
higher mean arterial blood pressures of 112 +/- 4, 121 +/- 6, and 135 +/- 7 mmHg,
respectively (n = 8 for each group), than those of sham-operated controls (95 +/-
2 mmHg, n = 8). Moreover, 8 out of 8 rats in each of the 0.23 and 0.25 mm 2K1C
groups were hypertensive, whereas 7 out of 8 rats in the 0.27 mm 2K1C group were
hypertensive. Plasma renin concentrations were also increased in all 2K1C groups
compared with sham-operated controls. In summary, this novel clip design may help
eliminate the large degree of unreliability commonly encountered with the 2K1C
model.
PMID- 22074719
TI - Precursors and inhibitors of hydrogen sulfide synthesis affect acute hypoxic
pulmonary vasoconstriction in the intact lung.
AB - The effects of hydrogen sulfide (H(2)S) and acute hypoxia are similar in isolated
pulmonary arteries from various species. However, the involvement of H(2)S in
hypoxic pulmonary vasoconstriction (HPV) has not been studied in the intact lung.
The present study used an intact, isolated, perfused rat lung preparation to
examine whether adding compounds essential to H(2)S synthesis or to its
inhibition would result in a corresponding increase or decrease in the magnitude
of HPV. Western blots performed in lung tissue identified the presence of the
H(2)S-synthesizing enzymes, cystathionine gamma-lyase (CSE) and 3
mercaptopyruvate sulfur transferase (3-MST), but not cystathionine beta-synthase
(CBS). Adding three H(2)S synthesis precursors, cysteine and oxidized or reduced
glutathione, to the perfusate significantly increased peak arterial pressure
during hypoxia compared with control (P < 0.05). Adding alpha-ketoglutarate to
enhance the 3-MST enzyme pathway also resulted in an increase (P < 0.05). Both
aspartate, which inhibits the 3-MST synthesis pathway, and propargylglycine
(PPG), which inhibits the CSE pathway, significantly reduced the increases in
arterial pressure during hypoxia. Diethylmaleate (DEM), which conjugates
sulfhydryls, also reduced the peak hypoxic arterial pressure at concentrations >2
mM. Finally, H(2)S concentrations as measured with a specially designed
polarographic electrode decreased markedly in lung tissue homogenate and in small
pulmonary arteries when air was added to the hypoxic environment of the
measurement chamber. The results of this study provide evidence that the rate of
H(2)S synthesis plays a role in the magnitude of acute HPV in the isolated
perfused rat lung.
PMID- 22074720
TI - Tracheal occlusions evoke respiratory load compensation and neural activation in
anesthetized rats.
AB - Airway obstruction in animals leads to compensation and avoidance behavior and
elicits respiratory mechanosensation. The pattern of respiratory load
compensation and neural activation in response to intrinsic, transient, tracheal
occlusions (ITTO) via an inflatable tracheal cuff are unknown. We hypothesized
that ITTO would cause increased diaphragm activity, decreased breathing
frequency, and activation of neurons within the medullary and pontine respiratory
centers without changing airway compliance. Obstructions were performed for 2-3
breaths followed by a minimum of 15 unobstructed breaths with an inflatable cuff
sutured around the trachea in rats. The obstruction procedure was repeated for 10
min. The brains of obstructed and control animals were removed, fixed, sectioned,
and stained for c-Fos. Respiratory pattern was measured from esophageal pressure
(P(es)) and diaphragm electromyography (EMG(dia)). The obstructed breaths
resulted in a prolonged inspiratory and expiratory time, an increase in EMG(dia)
amplitude, and a more negative P(es) compared with control breaths. Neurons
labeled with c-Fos were found in brain stem and suprapontine nuclei, with a
significant increase in c-Fos expression for the occluded experimental group
compared with the control groups in the nucleus ambiguus, nucleus of the solitary
tract, lateral parabrachial nucleus, and periaqueductal gray matter. The results
of this study demonstrate tracheal occlusion-elicited activation of neurons in
brain stem respiratory nuclei and neural areas involved in stress responses and
defensive behaviors, suggesting that these neurons mediate the load compensation
breathing pattern response and may be part of the neural pathway for respiratory
mechanosensation.
PMID- 22074721
TI - In situ methods for assessing alveolar mechanics.
AB - Lung mechanics are an important determinant of physiological and
pathophysiological lung function. Recent light microscopy studies of the intact
lung have furthered the understanding of lung mechanics but used methodologies
that may have introduced artifacts. To address this concern, we employed a short
working distance water immersion objective to capture confocal images of a
fluorescently labeled alveolar field on the costal surface of the isolated,
perfused rat lung. Surface tension held a saline drop between the objective tip
and the lung surface, such that the lung surface was unconstrained. For
comparison, we also imaged with O-ring and coverslip; with O-ring, coverslip, and
vacuum pressure; and without perfusion. Under each condition, we ventilated the
lung and imaged the same region at the endpoints of ventilation. We found use of
a coverslip caused a minimal enlargement of the alveolar field; additional use of
vacuum pressure caused no further dimensional change; and absence of perfusion
did not affect alveolar field dimension. Inflation-induced expansion was
unaltered by methodology. In response to inflation, percent expansion was the
same as recorded by all four alternative methods.
PMID- 22074722
TI - Diabetes incidence and long-term exposure to air pollution: a cohort study.
AB - OBJECTIVE: Animal and cross-sectional epidemiological studies suggest a link
between air pollution and diabetes, whereas the limited prospective data show
mixed results. We studied the association between long-term exposure to traffic
related air pollution and incidence of diabetes. RESEARCH DESIGN AND METHODS: We
followed 57,053 participants of the Danish Diet, Cancer, and Health cohort in the
Danish National Diabetes Register between baseline (1993-1997) and 27 June 2006.
We estimated the mean levels of nitrogen dioxide (NO(2)) at the residential
addresses of the cohort participants since 1971 and modeled the association
between NO(2) and diabetes incidence with a Cox regression model, separately for
two definitions of diabetes: all cases and a more strict definition where
unconfirmed cases were excluded. RESULTS: Over a mean follow-up of 9.7 years of
51,818 eligible subjects, there were 4,040 (7.8%) incident diabetes cases in
total and 2,877 (5.5%) with confirmed diagnoses. Air pollution was not associated
with all diabetes cases (hazard ratio 1.00 [95% CI 0.97-1.04] per interquartile
range of 4.9 MUg/m(3) mean NO(2) levels since 1971), but a borderline
statistically significant association was detected with confirmed cases of
diabetes (1.04 [1.00-1.08]). Among confirmed diabetes cases, effects were
significantly enhanced in nonsmokers (1.12 [1.05-1.20]) and physically active
people (1.10 [1.03-1.16]). CONCLUSIONS: Long-term exposure to traffic-related air
pollution may contribute to the development of diabetes, especially in
individuals with a healthy lifestyle, nonsmokers, and physically active
individuals.
PMID- 22074723
TI - Dietary patterns during adolescence and risk of type 2 diabetes in middle-aged
women.
AB - OBJECTIVE Whether dietary habits early in life can affect risk of type 2 diabetes
(T2DM) in adulthood is unknown. We evaluated the relationship between dietary
patterns during adolescence and risk of T2DM in midlife. RESEARCH DESIGN AND
METHODS We examined the 7-year incidence of T2DM in relation to dietary patterns
during high school among 37,038 participants in the Nurses' Health Study II
cohort, who completed a food-frequency questionnaire about their diet during high
school. Dietary patterns were derived by factor analysis. Cox proportional
hazards regression was used to estimate relative risk (RR) and 95% CI. RESULTS
The prudent pattern, characterized by healthy foods, was not associated with risk
of T2DM. The Western pattern, characterized by desserts, processed meats, and
refined grains, was associated with 29% greater risk of T2DM (RR 1.29; 95% CI
1.00-1.66; P trend 0.04), after adjusting for high school and adult risk factors
comparing extreme quintiles, but was attenuated after adjusting for adult weight
change (1.19; 0.92-1.54). Women who had high Western pattern scores in high
school and adulthood had an elevated risk of T2DM compared with women who had
consistent low scores (1.82; 1.35-2.45), and this association was partly mediated
by adult BMI (1.15; 0.85-1.56). CONCLUSIONS A Western dietary pattern during
adolescence may increase risk of T2DM in later life, partly through adult weight
gain. Preventive measures should be aimed at developing healthy dietary habits
that begin in early life and continue through adulthood.
PMID- 22074724
TI - Piloting a novel algorithm for glucose control in the coronary care unit: the
RECREATE (REsearching Coronary REduction by Appropriately Targeting Euglycemia)
trial.
AB - OBJECTIVE: Elevated glucose levels are common after an acute myocardial
infarction (AMI) and increase the risk of death. Prior trials of glucose control
after AMI have been inconsistent in their ability to lower glucose levels and
have reported mixed effects on mortality. We developed a paper-based glucose
lowering algorithm and assessed its feasibility and safety in the setting of AMI.
RESEARCH DESIGN AND METHODS: A total of 287 participants with an acute ST segment
elevation myocardial infarction (STEMI) and a capillary glucose level >=8.0
mmol/L were randomly allocated to glucose management with intravenous glulisine
insulin using this algorithm in the coronary care unit (CCU), followed by once
daily subcutaneous insulin glargine for 30 days versus standard glycemic
approaches. The primary outcome was a difference in mean glucose levels at 24 h.
Participants were followed for clinical outcomes through 90 days. RESULTS: At 24
h, the mean glucose level was 1.41 mmol/L (95% CI 0.69-2.13) lower in the insulin
(6.53 vs. 7.94 mmol/L). Differences in glucose levels were maintained at 72 h and
30 days. A total of 22.7% of the insulin group versus 4.4% of the standard group
had biochemical hypoglycemia (with neither signs nor symptoms) in the CCU because
of lower glycemic goals. However, there were no differences in symptomatic
hypoglycemia or clinical outcomes between the groups. CONCLUSIONS: A paper-based
insulin algorithm targeting glucose levels of 5.0-6.5 mmol/L (90-117 mg/dL) can
be feasibly implemented in the CCU. A cardiovascular outcomes trial using this
approach can determine whether targeted glucose lowering improves patient
outcomes.
PMID- 22074725
TI - Elevated admission glucose and mortality in patients with acute pulmonary
embolism.
AB - OBJECTIVE: Although associated with adverse outcomes in other cardiopulmonary
conditions, the prognostic value of elevated glucose in patients with acute
pulmonary embolism (PE) is unknown. We sought to examine the association between
glucose levels and mortality and hospital readmission rates for patients with PE.
RESEARCH DESIGN AND METHODS: We evaluated 13,621 patient discharges with a
primary diagnosis of PE from 185 acute care hospitals in Pennsylvania (from
January 2000 to November 2002). Admission glucose levels were analyzed as a
categorical variable (<=110, >110-140, >140-170, >170-240, and >240 mg/dL). The
outcomes were 30-day all-cause mortality and hospital readmission. We used random
intercept logistic regression to assess the independent association between
admission glucose levels and mortality and hospital readmission, adjusting for
patient (age, sex, race, insurance, comorbid conditions, severity of illness,
laboratory parameters, and thrombolysis) and hospital (region, size, and teaching
status) factors. RESULTS: Elevated glucose (>110 mg/dL) was present in 8,666
(63.6%) patients. Patients with a glucose level <=110, >110-140, >140-170, >170
240, and >240 mg/dL had a 30-day mortality of 5.6, 8.4, 12.0, 15.6, and 18.3%,
respectively (P < 0.001). Compared with patients with a glucose level <=110
mg/dL, the adjusted odds of dying were greater for patients with a glucose level
>110-140 (odds ratio 1.19 [95% CI 1.00-1.42]), >140-170 (1.44 [1.17-1.77]), >170
240 (1.54 [1.26-1.90]), and >240 mg/dL (1.60 [1.26-2.03]), with no difference in
the odds of hospital readmission. CONCLUSIONS: In patients with acute PE,
elevated admission glucose is common and independently associated with short-term
mortality.
PMID- 22074726
TI - Improved metabolic control in children and adolescents with type 1 diabetes: a
trend analysis using prospective multicenter data from Germany and Austria.
AB - OBJECTIVE: To investigate the temporal trend of metabolic control and potential
predictors in German and Austrian children and adolescents with type 1 diabetes.
RESEARCH DESIGN AND METHODS: This study is based on a large, multicenter database
for prospective longitudinal documentation of diabetes care in Germany and
Austria. Data from 30,708 patients documented in 305 diabetes centers between
1995 and 2009 were analyzed. Generalized linear mixed regression models were used
to adjust trend analysis for relevant confounders. RESULTS: Unadjusted mean
HbA(1c) decreased from 8.7 +/- 1.8% in 1995 to 8.1 +/- 1.5% in 2009. In multiple
regression analysis, treatment year, age, sex, diabetes duration, migration
background, BMI-SDS, and daily insulin dose were significant predictors of
metabolic control (P < 0.001). After multiple adjustment, mean HbA(1c) decreased
significantly by 0.038% per year (95% CI 0.032-0.043%), average odds ratio (OR)
per year for HbA(1c) >7.5% (>9.0%) was 0.969 (95% CI 0.961-0.977) (0.948, 95% CI
0.941-0.956). Intensified insulin regimen was associated with lower frequency of
poor metabolic control (HbA(1c) >9%; P = 0.005) but not with average HbA(1c) (P =
0.797). Rate of severe hypoglycemia and hypoglycemic coma decreased significantly
(relative risk [RR] per year 0.948, 95% CI 0.918-0.979; RR 0.917, 95% CI 0.885
0.950) over the study period. Diabetic ketoacidosis rate showed no significant
variation over time. CONCLUSIONS: This study showed a significant improvement in
metabolic control in children and adolescents with type 1 diabetes during the
past decade and a simultaneous decrease in hypoglycemic events. The improvement
was not completely explained by changes in the mode of insulin treatment. Other
factors such as improved patient education may have accounted for the observed
trend.
PMID- 22074727
TI - Incidence of diabetes mellitus and evolution of glucose parameters in growth
hormone-deficient subjects during growth hormone replacement therapy: a long-term
observational study.
AB - OBJECTIVE: Growth hormone (GH) deficiency is associated with insulin resistance
and diabetes. The aim of the current study was to determine incidence of diabetes
during GH replacement therapy (GHRT) and the effect of GHRT on fasting plasma
glucose concentrations and HbA(1c) in adult patients with GH deficiency. RESEARCH
DESIGN AND METHODS: A total of 5,143 GH-deficient patients (male 49.9%; mean age
+/- SD, 49 +/- 13 years; BMI 29.1 +/- 5.9 kg/m(2)) were analyzed. Mean
observation period was 3.9 years (range 0.01-13). Total number of patient-years
was 20,106. Observed number of cases (O) was compared with expected number of
cases (E). Reference rates were from Sweden, three additional European regions,
and one U.S. region. RESULTS: Patients who developed diabetes (n = 523) were
older; had higher BMI, waist circumference, triglyceride concentrations, and
blood pressure; and had lower HDL-cholesterol concentrations (P < 0.0001) than
those who did not develop diabetes. Diabetes incidence was 2.6 per 100 patient
years, equal in both sexes, and significantly increased compared with the Swedish
reference (O/E = 6.02; P < 0.0001) as well as with the four other populations
(O/E = 2.11-5.22). O/E increased with BMI and decreased with duration of GHRT (P
< 0.0001). There was no significant association with GH dose (P = 0.74) or IGF-I
SDS (P = 0.47). In subjects not developing diabetes, plasma glucose
concentrations increased from 84.4 +/- 0.9 mg/dL to 89.5 +/- 0.8 mg/dL (0.70
mg/dL/year) and HbA(1c) increased from 4.74 +/- 0.04% to 5.09 +/- 0.13%
(0.036%/year) after 6 years of GHRT. CONCLUSIONS: Diabetes incidence appears to
be increased in GH-deficient patients receiving GHRT and exhibiting an adverse
risk profile at baseline. Therefore, glucose homeostasis parameters should be
monitored carefully in these patients.
PMID- 22074728
TI - Women with knee osteoarthritis have more pain and poorer function than men, but
similar physical activity prior to total knee replacement.
AB - BACKGROUND: Osteoarthritis of the knee is a major clinical problem affecting a
greater proportion of women than men. Women generally report higher pain
intensity at rest and greater perceived functional deficits than men. Women also
perform worse than men on function measures such as the 6-minute walk and timed
up and go tests. Differences in pain sensitivity, pain during function,
psychosocial variables, and physical activity levels are unclear. Further the
ability of various biopsychosocial variables to explain physical activity,
function and pain is unknown. METHODS: This study examined differences in pain,
pain sensitivity, function, psychosocial variables, and physical activity between
women and men with knee osteoarthritis (N = 208) immediately prior to total knee
arthroplasty. We assessed: (1) pain using self-report measures and a numerical
rating scale at rest and during functional tasks, (2) pain sensitivity using
quantitative sensory measures, (3) function with self-report measures and
specific function tasks (timed walk, maximal active flexion and extension), (4)
psychosocial measures (depression, anxiety, catastrophizing, and social support),
and (5) physical activity using accelerometry. The ability of these mixed
variables to explain physical activity, function and pain was assessed using
regression analysis. RESULTS: Our findings showed significant differences on pain
intensity, pain sensitivity, and function tasks, but not on psychosocial measures
or physical activity. Women had significantly worse pain and more impaired
function than men. Their levels of depression, anxiety, pain catastrophizing,
social support, and physical activity, however, did not differ significantly.
Factors explaining differences in (1) pain during movement (during gait speed
test) were pain at rest, knee extension, state anxiety, and pressure pain
threshold; (2) function (gait speed test) were sex, age, knee extension, knee
flexion opioid medications, pain duration, pain catastrophizing, body mass index
(BMI), and heat pain threshold; and (3) physical activity (average metabolic
equivalent tasks (METS)/day) were BMI, age, Short-Form 36 (SF-36) Physical
Function, Kellgren-Lawrence osteoarthritis grade, depression, and Knee Injury and
Osteoarthritis Outcome Score (KOOS) pain subscale. CONCLUSIONS: Women continue to
be as physically active as men prior to total knee replacement even though they
have significantly more pain, greater pain sensitivity, poorer perceived
function, and more impairment on specific functional tasks.
PMID- 22074729
TI - An adnexal mass with raised serum beta-HCG: an unusual presentation of ovarian
dermoid cyst.
PMID- 22074730
TI - [Training of residents in abdominal wall surgery in Spain].
AB - The training of residents in abdominal wall surgery is a fundamental aspect of
surgical training, representing globally 20% of its activity. In this paper, we
analyze the current state of resident training in this kind of surgery in Spain,
taking into account the broad spectrum it covers: general services, specific
functional units, ambulatory surgery programs. To do this, based on the
specifications of the specialty program, specific data were used from several
different sources of direct information and a review of the results obtained by
residents in hernia surgery. In general, our residents agree with their training
and the recorded results are in line with objectives outlined in the program.
However, it would be important to structure their teaching schedules, a rotation
period in any specific unit and their involvement in outpatient surgery programs.
PMID- 22074731
TI - Lung dendritic cell-epithelial cell crosstalk in Th2 responses to allergens.
AB - Dendritic cells (DC) have been shown to be responsible for the initiation and
maintenance of adaptive Th2 responses in asthma. It is increasingly clear that DC
functions are strongly influenced by crosstalk with neighboring cells like
epithelial cells, which can release a number of innate cytokines promoting Th2
responses. Clinically relevant allergens often interfere directly or indirectly
with the innate immune functions of airway epithelial cells and DC. A better
understanding of these interactions might lead to a better prevention and
ultimately to new treatments for asthma.
PMID- 22074732
TI - Following up patients with depression after hospital discharge: a mixed methods
approach.
AB - BACKGROUND: A medication information intervention was delivered to patients with
a major depressive episode prior to psychiatric hospital discharge. METHODS: The
objective of this study was to explore how patients evolved after hospital
discharge and to identify factors influencing this evolution. Using a quasi
experimental longitudinal design, the quantitative analysis measured clinical
(using the Hospital Anxiety and Depression Scale, the somatic dimension of the
Symptom Checklist 90 and recording the number of readmissions) and humanistic
(using the Quality of Life Enjoyment and Satisfaction Questionnaire) outcomes of
patients via telephone contacts up to one year following discharge. The
qualitative analysis was based on the researcher diary, consisting of reports on
the telephone outcome assessment of patients with major depression (n = 99). All
reports were analyzed using the thematic framework approach. RESULTS: The change
in the participants' health status was as diverse as it was at hospital
discharge. Participants reported on remissions; changes in mood; relapses; and re
admissions (one third of patients). Quantitative data on group level showed low
anxiety, depression and somatic scores over time. Three groups of contributing
factors were identified: process, individual and environmental factors. Process
factors included self caring process, medical care after discharge, resumption of
work and managing daily life. Individual factors were symptom control, medication
and personality. Environmental factors were material and social environment. Each
of them could ameliorate, deteriorate or be neutral to the patient's health
state. A mix of factors was observed in individual patients. CONCLUSIONS: After
hospital discharge, participants with a major depressive episode evolved in many
different ways. Process, individual and environmental factors may influence the
participant's health status following hospital discharge. Each of the factors
could be positive, neutral or negative for the patient.
PMID- 22074733
TI - Self-reported pain severity, quality of life, disability, anxiety and depression
in patients classified with 'nociceptive', 'peripheral neuropathic' and 'central
sensitisation' pain. The discriminant validity of mechanisms-based
classifications of low back (+/-leg) pain.
AB - Evidence of validity is required to support the use of mechanisms-based
classifications of pain clinically. The purpose of this study was to evaluate the
discriminant validity of 'nociceptive' (NP), 'peripheral neuropathic' (PNP) and
'central sensitisation' (CSP) as mechanisms-based classifications of pain in
patients with low back (+/-leg) pain by evaluating the extent to which patients
classified in this way differ from one another according to health measures
associated with various dimensions of pain. This study employed a cross
sectional, between-subjects design. Four hundred and sixty-four patients with low
back (+/-leg) pain were assessed using a standardised assessment protocol.
Clinicians classified each patient's pain using a mechanisms-based classification
approach. Patients completed a number of self-report measures associated with
pain severity, health-related quality of life, functional disability, anxiety and
depression. Discriminant validity was evaluated using a multivariate analysis of
variance. There was a statistically significant difference between pain
classifications on the combined self-report measures, (p = .001; Pillai's Trace =
.33; partial eta squared = .16). Patients classified with CSP (n = 106) reported
significantly more severe pain, poorer general health-related quality of life,
and greater levels of back pain-related disability, depression and anxiety
compared to those classified with PNP (n = 102) and NP (n = 256). A similar
pattern was found in patients with PNP compared to NP. Mechanisms-based pain
classifications may reflect meaningful differences in attributes underlying the
multidimensionality of pain. Further studies are required to evaluate the
construct and criterion validity of mechanisms-based classifications of
musculoskeletal pain.
PMID- 22074734
TI - Muscle activity patterns of the tensor fascia latae and adductor longus for ramp
and stair walking.
AB - Walking on both outdoor and indoor surfaces requires the ability to negotiate
connections between vertical distances, simply known as hills and stairs.
Therefore, the purpose of the present study was to evaluate the muscle activity
patterns of the TFL and ADL during both hill and stair walking. We hypothesized
that TFL and ADL activity during initial swing, initial stance, and late stance
of up-ramp and up-stair walking would be greater than level walking. In contrast,
we hypothesized that both TFL and ADL activity during initial swing of down-ramp
and down-stair walking would be less. We utilized a 15 degrees ramp, a 35
degrees stair set, and for comparison of this steep angle, we also collected
data on a 33 degrees ramp. During up-ramp and up-stair walking, TFL and ADL
activity during both initial swing and late stance of the up conditions were
greater than level walking. For the down conditions, ADL activity during the
swing phase of the steep down-ramp was less. Practically, our muscle activity
results demonstrate that the hip abductors and hip adductors may provide
additional pelvic stability and supplementary thigh acceleration during ramp and
stair walking.
PMID- 22074735
TI - Excess mortality associated with the 2009 pandemic of influenza A(H1N1) in Hong
Kong.
AB - Reliable estimates of the burden of 2009 pandemic influenza A(pH1N1) cannot be
easily obtained because only a small fraction of infections were confirmed by
laboratory tests in a timely manner. In this study we developed a Poisson
prediction modelling approach to estimate the excess mortality associated with
pH1N1 in 2009 and seasonal influenza in 1998-2008 in the subtropical city Hong
Kong. The results suggested that there were 127 all-cause excess deaths
associated with pH1N1, including 115 with cardiovascular and respiratory disease,
and 22 with pneumonia and influenza. The excess mortality rates associated with
pH1N1 were highest in the population aged >=65 years. The mortality burden of
influenza during the whole of 2009 was comparable to those in the preceding ten
inter-pandemic years. The estimates of excess deaths were more than twofold
higher than the reported fatal cases with laboratory-confirmed pH1N1 infection.
PMID- 22074736
TI - Impaired ATP-induced coronary blood flow and diminished aortic NTPDase activity
precede lesion formation in apolipoprotein E-deficient mice.
AB - Intravascular ATP and ADP are important regulators of vascular tone, thrombosis,
inflammation, and angiogenesis. This study was undertaken to evaluate the
contribution of purinergic signaling to disturbed vasodilation and vascular
remodeling during atherosclerosis progression. We used apolipoprotein E-deficient
(Apoe(-/-)) mice as an appropriate experimental model for atherosclerosis.
Noninvasive transthoracic Doppler echocardiography imaging with adenosine, ATP,
and other nucleotides and nonhydrolyzable P2 receptor agonists and antagonists
suggests that ATP regulates coronary blood flow in mice through activation of P2Y
(most likely, endothelial ATP/UTP-selective P2Y(2)) receptors, rather than via
its dephosphorylation to adenosine. Strikingly, compared to age-matched wild-type
controls, young (10- to 15-week-old) Apoe(-/-) mice displayed diminished coronary
reactivity in response to ATP but not adenosine. The impaired hyperemic response
to ATP persisted in older (20- to 30-week-old) Apoe(-/-) mice, which were
additionally characterized by mild atherosclerosis (as ascertained by aortic Oil
Red O staining) and a systemic increase in plasma ATP and ADP levels. Concurrent
thin-layer chromatographic analysis of nucleoside triphosphate diphosphohydrolase
(NTPDase) and ecto-5'-nucleotidase/CD73 activities in thoracic aortas, lymph
nodes, spleen, and serum revealed that aortic NTPDase was decreased by 40% to 50%
in a tissue-specific manner both in young and mature Apoe(-/-) mice.
Collectively, disordered purinergic signaling in Apoe(-/-) mice may serve as
important prerequisite for impaired blood flow, local accumulation of ATP and ADP
at sites of atherogenesis, and eventually, the exacerbation of atherosclerosis.
PMID- 22074737
TI - Low-dose-rate, low-dose irradiation delays neurodegeneration in a model of
retinitis pigmentosa.
AB - The existence of radiation hormesis is controversial. Several stimulatory effects
of low-dose (LD) radiation have been reported to date; however, the effects on
neural tissue or neurodegeneration remain unknown. Here, we show that LD
radiation has a neuroprotective effect in mouse models of retinitis pigmentosa, a
hereditary, progressive neurodegenerative disease that leads to blindness.
Various LD radiation doses were administered to the eyes in a retinal
degeneration mouse model, and their pathological and physiological effects were
analyzed. LD gamma radiation in a low-dose-rate (LDR) condition rescues
photoreceptor cell apoptosis both morphologically and functionally. The greatest
effect was observed in a condition using 650 mGy irradiation and a 26 mGy/minute
dose rate. Multiple rounds of irradiation strengthened this neuroprotective
effect. A characteristic up-regulation (563%) of antioxidative gene peroxiredoxin
2 (Prdx2) in the LDR-LD-irradiated retina was observed compared to the sham
treated control retina. Silencing the Prdx2 using small-interfering RNA
administration reduced the LDR-LD rescue effect on the photoreceptors. Our
results demonstrate for the first time that LDR-LD irradiation has a biological
effect in neural cells of living animals. The results support that radiation
exhibits hormesis, and this effect may be applied as a novel therapeutic concept
for retinitis pigmentosa and for other progressive neurodegenerative diseases
regardless of the mechanism of degeneration involved.
PMID- 22074738
TI - BACE2 expression increases in human neurodegenerative disease.
AB - beta-Secretase, the rate-limiting enzymatic activity in the production of the
amyloid-beta (Abeta) peptide, is a major target of Alzheimer's disease (AD)
therapeutics. There are two forms of the enzyme: beta-site Abeta precursor
protein cleaving enzyme (BACE) 1 and BACE2. Although BACE1 increases in late
stage AD, little is known about BACE2. We conducted a detailed examination of
BACE2 in patients with preclinical to late-stage AD, including amnestic mild
cognitive impairment, and age-matched controls, cases of frontotemporal dementia,
and Down's syndrome. BACE2 protein and enzymatic activity increased as early as
preclinical AD and were found in neurons and astrocytes. Although the levels of
total BACE2 mRNA were unchanged, the mRNA for BACE2 splice form C (missing exon
7) increased in parallel with BACE2 protein and activity. BACE1 and BACE2 were
strongly correlated with each other at all levels, suggesting that their
regulatory mechanisms may be largely shared. BACE2 was also elevated in
frontotemporal dementia but not in Down's syndrome, even in patients with
substantial Abeta deposition. Thus, expression of both forms of beta-secretase
are linked and may play a combined role in human neurologic disease. A better
understanding of the normal functions of BACE1 and BACE2, and how these change in
different disease states, is essential for the future development of AD
therapeutics.
PMID- 22074740
TI - Antisense knockdown of Kras inhibits fibrosis in a rat model of unilateral
ureteric obstruction.
AB - Tubulointerstitial fibrosis is the hallmark of chronic kidney disease and is
characterized by an increase in the number and activity of interstitial
fibroblasts and by excessive matrix deposition. Ras is an intracellular signaling
molecule involved in cell proliferation and differentiation. It has recently been
implicated in the pathogenesis of renal fibrosis. Of the three different isoforms
of Ras (Kirsten, Harvey, and Neural), we previously demonstrated that the Kirsten
isoform is key in the control of renal fibroblast proliferation in vitro. In this
study, we used gene therapy in the form of antisense oligonucleotides (ASOs)
specifically to silence Kras (alias Ki-ras) expression in a rat model of renal
fibrosis caused by unilateral ureteric obstruction. We demonstrate that renal
Kras expression increases by 70% in this model compared with sham-operated
animals and that treatment with ASOs can reduce total renal Kras by >90% to
levels well below basal. This silencing is associated with a dramatic inhibition
of interstitial fibrosis, a fivefold reduction in alpha-smooth muscle actin
expression, and a 2.4-fold reduction in collagen I deposition. This inhibition
was observed despite histologic evidence of marked interstitial inflammation.
These findings demonstrate that silencing Kras expression can markedly inhibit
renal fibrosis. This strategy should be considered as a new potential therapeutic
avenue.
PMID- 22074739
TI - Alterations in nuclear pore architecture allow cancer cell entry into or exit
from drug-resistant dormancy.
AB - Phenotypic diversity arises in tumors just as it does in developing organisms,
and tumor recurrence frequently manifests from the selective survival of
divergent drug-resistant cells. Although the expanding tumor cell population may
be successfully targeted, drug-resistant cells may persist and sustain the tumor
or enter dormancy before igniting a future relapse. Herein, we show that partial
knockdown of nucleoporin p62 (NUP62) by small-interfering RNA confers cisplatin
resistance to cultured high-grade ovarian carcinoma cells. Treatment with NUP62
small-interfering RNA and cisplatin leaves resistant cells in a state of
dormancy; some dormant cells can be induced to proliferate by transient induction
of NUP62 expression from an ectopic expression construct. In addition to
suggesting functional links between nuclear pore complex architecture and cancer
cell survival, the culture system provides a novel experimental window into the
dynamics of tumor cell drug resistance and dormancy.
PMID- 22074741
TI - [Third molar and mandibular canal: selection of radiographic techniques].
AB - Injury of the inferior alveolar nerve during extraction of mandibular third molar
is a well recognized, but uncommon, serious complication. It has a serious impact
on oral function and its psychological impact on the patient is great. To prevent
this complication, several studies have been conducted to identify the high-risk
radiological signs associated with intimate anatomic relationships between third
molar and infra-alveolar nerve and thereby establish a unified process of
radiological exploration. In this paper, the authors present a review of the
literature of the recent studies involving radiological exploration of the
relation of the third molar with the mandibular canal.
PMID- 22074742
TI - Optimization on preparation condition of epimedium polysaccharide liposome and
evaluation of its adjuvant activity.
AB - The aim of this strategy was to investigate whether the adjuvant activity of
epimedium polysaccharide (EPS) could be further enhanced after encapsulated with
liposome. In preparation of EPS liposome (EPSL) test, an orthogonal L(9) (3(4))
test design was used to optimize the preparation condition of EPSL. In adjuvant
activity test, 350 14-day-old chickens were randomly assigned to 7 groups and
vaccinated with Newcastle disease (ND) vaccine. Simultaneously, the chickens in
experimental groups were injected with EPSL at three doses, EPS and blank
liposome, respectively. The activity of lymphocytes proliferation, titer of serum
antibody and concentrations of cytokines were determined. Results showed that the
optimal preparation condition of EPSL was that ratio of drug to lipid, ratio of
soybean phospholipid to cholesterol, ultrasonic time, and water bath temperature
were 1:30, 4:1, 10 min and 40 degrees C, respectively. EPSL could significantly
enhance the immune response of ND vaccine and promote cytokines secretion, and
its high dose possessed the best efficacy. These findings indicated that liposome
encapsulation could significantly improve the adjuvant activity of EPS.
PMID- 22074743
TI - Wireless remote monitoring of reconstructed 12-lead ECGs after ablation for
atrial fibrillation using a hand-held device.
AB - OBJECTIVE: Atrial fibrillation (AF) surveillance using a wireless handheld
monitor capable of 12-lead electrocardiogram reconstruction was performed, and
arrhythmia detection rate was compared with serial Holter monitoring. METHODS:
Twenty-five patients were monitored after an AF ablation procedure using the hand
held monitor for 2 months immediately after and then for 1 month approximately 6
months postablation. All patients underwent 12-lead 24-hour Holter monitoring at
1, 2, and 6 months postablation. RESULTS: During months 1-2, 425 of 2942 hand
held monitor transmissions from 21 of 25 patients showed AF/atrial flutter (Afl).
The frequency of detected arrhythmias decreased by month 6 to 85/1128 (P < .01)
in 15 of 23 patients. Holter monitoring diagnosed AF/Afl in 8 of 25 and 7 of 23
patients at months 1-2 and month 6, respectively (P < .01 compared with wireless
hand-held monitor). Af/Afl diagnosis by wireless monitoring preceded Holter
detection by an average of 24 days. CONCLUSIONS: Wireless monitoring with 12-lead
electrocardiogram reconstruction demonstrated reliable AF/Afl detection that was
more sensitive than serial 12-lead 24-hour Holter monitoring.
PMID- 22074745
TI - Role of the vectorcardiogram-derived spatial QRS-T angle in diagnosing left
ventricular hypertrophy.
AB - INTRODUCTION: Current criteria for electrocardiographic (ECG) diagnosis of left
ventricular hypertrophy (LVH) have a low diagnostic accuracy. Addition of
demographic, anthropomorphic, and additional ECG variables may improve accuracy.
As hypertrophy affects action potential morphology and intraventricular
conduction, QRS prolongation and T-wave morphology may occur and become manifest
in the vectorcardiographic variables spatial QRS-T angle (SA) and spatial
ventricular gradient. In this study, we attempted to improve the diagnostic
accuracy for LVH by using a combination of demographic, anthropomorphic, ECG, and
vectorcardiographic variables. METHODS: The study group (n = 196) was divided in
4 subgroups with, on one hand, echocardiographically diagnosed LVH or a normal
echocardiogram and, on the other hand, with any of the conventional ECG signs for
LVH or with normal ECGs. Each subgroup was randomly split into halves, yielding 2
equally-sized (n = 98) data sets A and B. Age, sex, height, weight, body mass
index, body surface area (BSA), frontal QRS axis, QRS duration, QT duration,
maximal QRS vector magnitude, SA, and ventricular gradient magnitude and
orientation were univariate studied by receiver operating characteristic analysis
and were used to build a stepwise linear discriminant model using P < .05 as
entry and P > .10 as removal criterion. The discriminant model was built in set A
(model A) and tested on set B. Stability checks were done by building a
discriminant model on set B and testing on set A and by cross-validation analysis
in the complete study group. RESULTS: The discriminant model equation was D =
5.130 * BSA - 0.014 * SA - 8.74, wherein D greater than or equal to 0 predicts a
normal echocardiogram and D less than 0 predicts LVH. The diagnostic accuracy
(79%) was better than the diagnostic accuracy of conventional ECG criteria for
LVH (57%). CONCLUSION: The combination of BSA and SA yields a diagnostic accuracy
of LVH that is superior to that of the conventional ECG criteria.
PMID- 22074744
TI - Outcome after implantable cardioverter-defibrillator in patients with Brugada
syndrome: the Gulf Brugada syndrome registry.
AB - BACKGROUND AND OBJECTIVE: Among patients with Brugada syndrome (BS) and aborted
cardiac arrest, syncope, or inducible ventricular fibrillation at
electrophysiologic study (EPS), the only currently recommended therapy is an
implantable cardioverter-defibrillator (ICD), but these are not without
complications. We assessed the total number of shocks (appropriate and
inappropriate) and complications related to ICD in patients with BS. METHODS AND
RESULTS: Twenty-five patients implanted with ICD for BS in 6 Gulf centers between
January 1, 2002, and December 31, 2010, were reviewed. Implantable cardioverter
defibrillator indication was based on aborted cardiac arrest (24%), syncope
(56%), or in asymptomatic patients with positive EPS (20%). During a follow-up of
41.2 +/- 17.6 months, 3 patients (all with prior cardiac arrest) had appropriate
device therapy. Four patients developed complications; 3 of them had
inappropriate shocks. CONCLUSION: In our cohort, appropriate device therapy was
limited to cardiac arrest survivors, whereas none of those with syncope and/or
positive EPS had arrhythmias. Overall complication rate was relatively high,
including inappropriate ICD shocks.
PMID- 22074746
TI - Triplex tetra-primer ARMS-PCR method for the simultaneous detection of MTHFR
c.677C>T and c.1298A>C, and MTRR c.66A>G polymorphisms of the folate-homocysteine
metabolic pathway.
AB - The folate-homocysteine metabolic pathway was shown to play an important role in
several diseases such as cancers, cardiovascular diseases, and neurodegenerative
diseases. The c.677C>T and c.1298A>C polymorphisms of the
Methylenetetrahydrofolate reductase (MTHFR) gene, and c.66A>G of the Methionine
synthase reductase (MTRR) gene are the most commonly investigated polymorphisms
in the folate-homocysteine metabolic pathway. The currently used methods for the
detection of the three polymorphisms are either slow and laborious or extremely
expensive. In this paper, a new highly optimized method for the simultaneous
detection of the three single nucleotide polymorphisms is described. The proposed
method utilizes 12 primers in a single PCR reaction to detect the three
polymorphisms simultaneously based on the principle of tetra-primer ARMS-PCR
(also known as PCR-CTPP). The proposed method offers extremely fast, economical,
and simple detection. Validation by PCR-RFLP showed 100% concordance in genotype
assignment. The proposed method was successfully applied to a sample of the
Syrian population (n=126), which was not previously genotyped for any of the
three SNPs. The variant allele frequencies were found to be 31, 29, and 43% for
the c.677C>T, c.1298A>C, and c.66A>G polymorphisms, respectively. The proposed
method is the first to detect three SNPs in a single PCR reaction based on tetra
primer ARMS-PCR or PCR-CTPP. We suggest that the use of Betaine may play an
important role in multiplex tetra-primer ARMS-PCR or PCR-CTPP based on its
potential capacity to close the gap in melting temperature between different
primers.
PMID- 22074747
TI - The OPPERA study: Act One.
PMID- 22074748
TI - Summary of findings from the OPPERA baseline case-control study: implications and
future directions.
PMID- 22074749
TI - Study methods, recruitment, sociodemographic findings, and demographic
representativeness in the OPPERA study.
AB - This paper describes methods used in the project "Orofacial Pain Prospective
Evaluation and Risk Assessment" (OPPERA) and evaluates sociodemographic
characteristics associated with temporomandibular disorders (TMD) in the OPPERA
case-control study. Representativeness was investigated by comparing
sociodemographic profiles of OPPERA participants with population census profiles
of counties near study sites and by comparing age and gender associations with
TMD in OPPERA and the 2007 to 2009 US National Health Interview Survey.
Volunteers aged 18 to 44 years were recruited at 4 US study sites: 3,263 people
without TMD were enrolled into the prospective cohort study; 1,633 of them were
selected as controls for the baseline case-control study. Cases were 185
volunteers with examiner-classified TMD. Distributions of some demographic
characteristics among OPPERA participants differed from census profiles, although
there was less difference in socioeconomic profiles. Odds of TMD was associated
with greater age in this 18 to 44 year range; females had 3 times the odds of TMD
as males; and relative to non-Hispanic-Whites, other racial groups had one-fifth
the odds of TMD. Age and gender associations with chronic TMD were strikingly
similar to associations observed in the US population. Assessments of
representativeness in this demographically diverse group of community volunteers
suggest that OPPERA case-control findings have good internal validity.
PERSPECTIVE: Demographic associations with TMD were consistent with population
benchmarks and with other studies, suggesting broad applicability of these OPPERA
findings. Greater occurrence of TMD in non-Hispanic-Whites than in other
racial/ethnic groups and the lack of a socioeconomic gradient contradicts the
disparities seen in many other health conditions.
PMID- 22074750
TI - Clinical findings and pain symptoms as potential risk factors for chronic TMD:
descriptive data and empirically identified domains from the OPPERA case-control
study.
AB - Clinical characteristics might be associated with temporomandibular disorders
(TMD) because they are antecedent risk factors that increase the likelihood of a
healthy person developing the condition or because they represent signs or
symptoms of either subclinical or overt TMD. In this baseline case-control study
of the multisite Orofacial Pain: Prospective Evaluation and Risk Assessment
(OPPERA) project, 1,633 controls and 185 cases with chronic, painful TMD
completed questionnaires and received clinical examinations. Odds ratios
measuring association between each clinical factor and TMD were computed, with
adjustment for study-site as well as age, sex, and race/ethnicity. Compared to
controls, TMD cases reported more trauma, greater parafunction, more headaches
and other pain disorders, more functional limitation in using the jaw, more
nonpain symptoms in the facial area, more temporomandibular joint noises and jaw
locking, more neural or sensory medical conditions, and worse overall medical
status. They also exhibited on examination reduced jaw mobility, more joint
noises, and a greater number of painful masticatory, cervical, and body muscles
upon palpation. The results indicated that TMD cases differ substantially from
controls across almost all variables assessed. Future analyses of follow-up data
will determine whether these clinical characteristics predict increased risk for
developing first-onset pain-related TMD PERSPECTIVE: Clinical findings from
OPPERA's baseline case-control study indicate significant differences between
chronic TMD cases and controls with respect to trauma history, parafunction,
other pain disorders, health status, and clinical examination data. Future
analyses will examine their contribution to TMD onset.
PMID- 22074751
TI - Orofacial pain prospective evaluation and risk assessment study--the OPPERA
study.
PMID- 22074752
TI - Potential psychosocial risk factors for chronic TMD: descriptive data and
empirically identified domains from the OPPERA case-control study.
AB - Case-control studies have consistently associated psychosocial factors with
chronic pain in general, and with temporomandibular disorders (TMD) specifically.
Moreover, a handful of prospective studies suggest that preexisting psychosocial
characteristics represent risk factors for new onset TMD. The current study
presents psychosocial findings from the baseline case-control study of the
Orofacial Pain Prospective Evaluation and Risk Assessment (OPPERA) cooperative
agreement. For this study, 1,633 TMD-free controls and 185 TMD cases completed a
battery of psychosocial instruments assessing general psychosocial adjustment and
personality, affective distress, psychosocial stress, somatic awareness, and pain
coping and catastrophizing. In bivariate and demographically adjusted analyses,
odds of TMD were associated with higher levels of psychosocial symptoms,
affective distress, somatic awareness, and pain catastrophizing. Among controls,
significant gender and ethnic group differences in psychosocial measures were
observed, consistent with previous findings. Principal component analysis was
undertaken to identify latent constructs revealing 4 components: stress and
negative affectivity, global psychosocial symptoms, passive pain coping, and
active pain coping. These findings provide further evidence of associations
between psychosocial factors and TMD. Future prospective analyses in the OPPERA
cohort will determine if the premorbid presence of these psychosocial factors
predicts increased risk for developing new onset TMD. PERSPECTIVE: This article
reports baseline psychosocial findings from the OPPERA Study, a large prospective
cohort study designed to discover causal determinants of TMD pain. Findings
indicate significant differences between TMD cases and TMD-free controls across
multiple psychosocial constructs, and future analyses will determine whether
these psychosocial factors increase risk for new onset TMD.
PMID- 22074753
TI - Pain sensitivity risk factors for chronic TMD: descriptive data and empirically
identified domains from the OPPERA case control study.
AB - Many studies report that people with temporomandibular disorders (TMD) are more
sensitive to experimental pain stimuli than TMD-free controls. Such differences
in sensitivity are observed in remote body sites as well as in the orofacial
region, suggesting a generalized upregulation of nociceptive processing in TMD
cases. This large case-control study of 185 adults with TMD and 1,633 TMD-free
controls measured sensitivity to painful pressure, mechanical cutaneous, and heat
stimuli, using multiple testing protocols. Based on an unprecedented 36
experimental pain measures, 28 showed statistically significantly greater pain
sensitivity in TMD cases than controls. The largest effects were seen for
pressure pain thresholds at multiple body sites and cutaneous mechanical pain
threshold. The other mechanical cutaneous pain measures and many of the heat pain
measures showed significant differences, but with lesser effect sizes. Principal
component analysis (PCA) of the pain measures derived from 1,633 controls
identified 5 components labeled: 1) heat pain ratings; 2) heat pain
aftersensations and tolerance; 3) mechanical cutaneous pain sensitivity; 4)
pressure pain thresholds; and 5) heat pain temporal summation. These results
demonstrate that compared to TMD-free controls, chronic TMD cases are more
sensitive to many experimental noxious stimuli at extracranial body sites, and
provide for the first time the ability to directly compare the case-control
effect sizes of a wide range of pain sensitivity measures. PERSPECTIVE: This
article describes experimental pain sensitivity differences between a large
sample of people with chronic TMD and non-TMD controls, using multiple stimulus
modalities and measures. Variability in the magnitude and consistency of case
control differences highlight the need to consider multiple testing measures to
adequately assess pain processing alterations in chronic pain conditions.
PMID- 22074754
TI - Potential autonomic risk factors for chronic TMD: descriptive data and
empirically identified domains from the OPPERA case-control study.
AB - Several case-control studies have been conducted that examine the association
between autonomic variables and persistent pain conditions; however, there is a
surprising dearth of published studies in this area that have focused on
temporomandibular disorders (TMD). The current study presents autonomic findings
from the baseline case-control study of the OPPERA (Orofacial Pain: Prospective
Evaluation and Risk Assessment) cooperative agreement. Measures of arterial blood
pressure, heart rate, heart rate variability, and indirect measures of baroreflex
sensitivity were assessed at rest and in response to a physical (orthostatic) and
psychological (Stroop) stressors in 1,633 TMD-free controls and 185 TMD cases. In
bivariate and demographically adjusted analyses, greater odds of TMD case status
were associated with elevated heart rates, reduced heart rate variability, and
reduced surrogate measures of baroreflex sensitivity across all experimental
procedures. Principal component analysis was undertaken to identify latent
constructs revealing 5 components. These findings provide evidence of
associations between autonomic factors and TMD. Future prospective analyses in
the OPPERA cohort will determine if the presence of these autonomic factors
predicts increased risk for developing new onset TMD. PERSPECTIVE: This article
reports autonomic findings from the OPPERA Study, a large prospective cohort
study designed to discover causal determinants of TMD pain. Findings indicate
statistically significant differences between TMD cases and controls across
multiple autonomic constructs at rest and during both physical and
psychologically challenging conditions. Future analyses will determine whether
these autonomic factors increase risk for new onset TMD.
PMID- 22074756
TI - Children with behavioral, non-behavioral, and multiple disabilities, and the risk
of out-of-home placement disruption.
AB - OBJECTIVE: This study examined the relative risk of placement disruption for 3-10
year-old children placed in out-of-home care based on the biological relatedness
of the placement caregiver and child disability status: no disability, a non
behavioral disability only, a behavioral disability only, or both a non
behavioral and behavioral disability. METHODS: Data were used from the baseline
and 36 month follow-up of the National Survey of Child and Adolescent Well-Being,
a national probability study of children investigated for child abuse and neglect
in the United States. Disability status was derived using several different
nationally-normed measures of language development, daily-living skills, social
skills, and behavioral problems. RESULTS: Around 1 in 4 children placed in out-of
home care experienced a disruption. Placement with kin decreased the likelihood
of disruption for a majority of children, and children with different types of
disabilities were no more or less likely to disrupt in kinship care compared to
children with no disability. Older children with a behavioral disability only or
both a non-behavioral and behavioral disability were more likely to disrupt
compared to younger regardless of placement. CONCLUSION: The study findings
suggest that maltreated children placed with kin will be afforded the same
stability provided to children without a disability.
PMID- 22074755
TI - Potential genetic risk factors for chronic TMD: genetic associations from the
OPPERA case control study.
AB - Genetic factors play a role in the etiology of persistent pain conditions,
putatively by modulating underlying processes such as nociceptive sensitivity,
psychological well-being, inflammation, and autonomic response. However, to date,
only a few genes have been associated with temporomandibular disorders (TMD).
This study evaluated 358 genes involved in pain processes, comparing allelic
frequencies between 166 cases with chronic TMD and 1,442 controls enrolled in the
OPPERA (Orofacial Pain: Prospective Evaluation and Risk Assessment) study
cooperative agreement. To enhance statistical power, 182 TMD cases and 170
controls from a similar study were included in the analysis. Genotyping was
performed using the Pain Research Panel, an Affymetrix gene chip representing
3,295 single nucleotide polymorphisms, including ancestry-informative markers
that were used to adjust for population stratification. Adjusted associations
between genetic markers and TMD case status were evaluated using logistic
regression. The OPPERA findings provided evidence supporting previously reported
associations between TMD and 2 genes: HTR2A and COMT. Other genes were revealed
as potential new genetic risk factors for TMD, including NR3C1, CAMK4, CHRM2,
IFRD1, and GRK5. While these findings need to be replicated in independent
cohorts, the genes potentially represent important markers of risk for TMD, and
they identify potential targets for therapeutic intervention. PERSPECTIVE:
Genetic risk factors for TMD pain were explored in the case-control component of
the OPPERA cooperative agreement, a large population-based prospective cohort
study. Over 350 candidate pain genes were assessed using a candidate gene panel,
with several genes displaying preliminary evidence for association with TMD
status.
PMID- 22074757
TI - Munchausen by proxy by Internet.
PMID- 22074758
TI - Malicious use of nonpharmaceuticals in children.
AB - OBJECTIVE: The objective of this study was to describe malicious
nonpharmaceutical exposures in children reported to US poison centers. METHODS:
We performed a retrospective study of all nonpharmaceutical exposures involving
children 7 years old reported to the US National Poison Data System (NPDS) from
2000 to 2008 for which the reason for exposure was coded as "malicious". The
American Association of Poison Control Centers definition and categorization of
nonpharmaceuticals was used. Data collected for each case included age, gender,
month and year of the exposure, the exposed substance or substances, intent, and
poison center outcome designation. Fatality abstracts (summaries of the facts
reported to the poison center) were reviewed. RESULTS: Out of approximately 21.4
million exposures reported to NPDS during the study period, 4,053 cases involving
4,232 nonpharmaceuticals were identified. The mean number of cases per year was
450 (range 409-546) with no linear annual trend (p=0.28). The median age was 3
years (1.5, 5) with boys constituting 57%. 4.5% of the cases resulted in moderate
or worse outcomes in which the outcome was known. The most commonly reported
major categories were household cleaning substances (23%), cosmetics/personal
care products (13%), pesticides (8%), other/unknown nondrug substances (6%),
foreign bodies/toys/miscellaneous (5%), alcohols (5%), hydrocarbons (4%),
lacrimators (4%), chemicals (4%), and deodorizers (3%). Four children died and 18
others had lifethreatening injuries. Among these 22 children, cleaning substances
(7) were the most common major category followed by chemicals (4), alcohols (3),
fumes/gases/vapors (2) and six other categories with 1 each. In the only case
where the presence or absence of associated physical injuries was described, the
child had multiple injuries consistent with physical abuse. CONCLUSION: Malicious
administration of nonpharmaceuticals is an important component of child
maltreatment with cases being reported consistently to poison centers. PRACTICAL
IMPLICATIONS: Clinicians should consider the possibility of child abuse when
presented with these exposures.
PMID- 22074759
TI - Self reported awareness of child maltreatment among school professionals in Saudi
Arabia: impact of CRC ratification.
AB - OBJECTIVES: The Convention on the Rights of the Child (CRC) was ratified by Saudi
Arabia 15 years ago; yet addressing the issue of child maltreatment only began in
more recent years. School professionals play a significant role in children's
lives, as they spend a great deal of time with them and are hence essential to
protecting and identifying those in danger or at risk. The objective of this
study is to identify school professional's awareness of child maltreatment and
the existing national policies and procedures to examine the extent of efforts
made in Saudi Arabia and to activate the roles of schools and school
professionals in protecting children from violence and implementation of Article
19 of the CRC. METHODS: This was a cross-sectional study, where school
professionals from randomly selected schools throughout the country were invited
to participate in a self-administered questionnaire. RESULTS: A total of 3,777
school professionals participated in the study. Fifty-five percent of
professionals had at least 10 years of work experience. A low-level of awareness
of child maltreatment was found in about 1/3 of school professionals. Only 1.9%
of school professionals had ever attended any sort of specific training on child
maltreatment, though 69.3% of those who had not, were willing to attend future
training. With regards to awareness of CRC Article 19 or policies and procedures
addressing child maltreatment, only 22% reported being aware of it. CONCLUSION:
The majority of school professionals in Saudi Arabia have a low-intermediate
level of awareness of child maltreatment, ratification of CRC, and related
national policies and procedures, yet most are willing to attend training
programs on this subject matter. Efforts need to be made in the country to fill
this gap.
PMID- 22074761
TI - Reporting and grading of complications after urologic surgical procedures: an ad
hoc EAU guidelines panel assessment and recommendations.
AB - CONTEXT: The incidence of postoperative complications is still the most
frequently used surrogate marker of quality in surgery, but no standard
guidelines or criteria exist for reporting surgical complications in the area of
urology. OBJECTIVE: To review the available reporting systems used for urologic
surgical complications, to establish a possible change in attitude towards
reporting of complications using standardised systems, to assess systematically
the Clavien-Dindo system when used for the reporting of complications related to
urologic surgical procedures, to identify shortcomings in reporting
complications, and to propose recommendations for the development and
implementation of future reporting systems that are focused on patient-centred
outcomes. EVIDENCE ACQUISITION: Standardised systems for reporting and
classification of surgical complications were identified through a systematic
review of the literature. To establish a possible change in attitude towards
reporting of complications related to urologic procedures, we performed a
systematic literature search of all papers reporting complications after urologic
surgery published in European Urology, Journal of Urology, Urology, BJU
International, and World Journal of Urology in 1999-2000 and 2009-2010. Data
identification for the systematic assessment of the Clavien-Dindo system
currently used for the reporting of complications related to urologic surgical
interventions involved a Medline/Embase search and the search engines of
individual urologic journals and publishers using Clavien, urology, and
complications as keywords. All selected papers were full-text retrieved and
assessed; analysis was done based on structured forms. EVIDENCE SYNTHESIS: The
systematic review of the literature for standardised systems used for reporting
and classification of surgical complications revealed five such systems. As far
as the attitude of urologists towards reporting of complications, a shift could
be seen in the number of studies using most of the Martin criteria, as well as in
the number of studies using either standardised criteria or the Clavien-Dindo
system. The latter system was not properly used in 72 papers (35.3%).
CONCLUSIONS: Uniformed reporting of complications after urologic procedures will
aid all those involved in patient care and scientific publishing (authors,
reviewers, and editors). It will also contribute to the improvement of the
scientific quality of papers published in the field of urologic surgery. When
reporting the outcomes of urologic procedures, the committee proposes a series of
quality criteria.
PMID- 22074760
TI - Influence of RNA labeling on expression profiling of microRNAs.
AB - Although a number of technical parameters are now being examined to optimize
microRNA profiling experiments, it is unknown whether reagent or component
changes to the labeling step affect starting RNA requirements or microarray
performance. Human brain/lung samples were each labeled in duplicate, at 1.0,
0.5, 0.2, and 0.1 MUg of total RNA, by means of two kits that use the same
labeling procedure but differ in the reagent composition used to label microRNAs.
Statistical measures of reliability and validity were used to evaluate microarray
data. Cross-platform confirmation was accomplished using TaqMan microRNA assays.
Synthetic microRNA spike-in experiments were also performed to establish the
microarray signal dynamic range using the ligation-modified kit. Technical
replicate correlations of signal intensity values were high using both kits, but
improved with the ligation-modified assay. The drop in detection call sensitivity
and miRNA gene list correlations, when using reduced amounts of standard-labeled
RNA, was considerably improved with the ligation-modified kit. Microarray signal
dynamic range was found to be linear across three orders of magnitude from 4.88
to 5000 attomoles. Thus, optimization of the microRNA labeling reagent can result
in at least a 10-fold decrease in microarray total RNA requirements with little
compromise to data quality. Clinical investigations bottlenecked by the amount of
starting material may use a ligation mix modification strategy to reduce total
RNA requirements.
PMID- 22074762
TI - Evaluating the effect of various background correction methods regarding noise
reduction, in two-channel microarray data.
AB - In this work, two novel background correction (BC) methods, along with several
commonly used ones, are evaluated regarding noise reduction in eleven two-channel
self-versus-self (SVS) hybridizations. The evaluation of each BC method is
investigated under the use of four statistical criteria combined into a single
measure, the polygon area measure. Overall, our proposed BC approaches perform
very well in terms of the proposed measure for most of the cases and provide an
improved effect regarding technical noise reduction.
PMID- 22074763
TI - Ant colony optimization-based feature selection method for surface
electromyography signals classification.
AB - This paper presented a new ant colony optimization (ACO) feature selection method
to classify hand motion surface electromyography (sEMG) signals. The multiple
channels of sEMG recordings make the dimensionality of sEMG feature grow
dramatically. It is known that the informative feature subset with small size is
a precondition for the accurate and computationally efficient classification
strategy. Therefore, this study proposed an ACO based feature selection scheme
using the heuristic information measured by the minimum redundancy maximum
relevance criterion (ACO-mRMR). The experiments were conducted on ten subjects
with eight upper limb motions. Two feature sets, i.e., time domain features
combined with autoregressive model coefficients (TDAR) and wavelet transform (WT)
features, were extracted from the recorded sEMG signals. The average
classification accuracies of using ACO reduced TDAR and WT features were 95.45+/
2.2% and 96.08+/-3.3%, respectively. The principal component analysis (PCA) was
also conducted on the same data sets for comparison. The average classification
accuracies of using PCA reduced TDAR and WT features were 91.51+/-4.9% and
89.87+/-4.4%, respectively. The results demonstrated that the proposed ACO-mRMR
based feature selection method can achieve considerably high classification rates
in sEMG motion classification task and be applicable to other biomedical signals
pattern analysis.
PMID- 22074764
TI - Reasons parents exempt children from receiving immunizations.
AB - School nurses are on the front lines of educational efforts to promote childhood
vaccinations. However, some parents still choose to exempt their children from
receiving vaccinations for personal reasons. Studying the beliefs of parents who
exempt vaccinations allows health care workers, including school nurses, to
better understand parental concerns which may, in turn, help prepare school
nurses for effective communication with these parents. The objective of the study
was to explore personal beliefs of parents living in Utah, who exempted their
children from receiving vaccinations. A cross-sectional, descriptive design was
implemented. Data were collected from a convenience sample of 287 parents
responding to an open-ended question about why they exempted their children from
receiving at least one vaccination. The qualitative data included parental
comments, concerns, or suggestions regarding childhood vaccinations. Five
categories were identified regarding reasons for personal exemptions: parental
perceptions, health care systems issues, chronic disease concerns, immune system
concerns, adverse reaction concerns and other reasons not classified. The number
of parents refusing childhood vaccinations remains relatively low; however,
despite public health efforts, the percentage increases each year.
PMID- 22074765
TI - Oral health patterns among schoolchildren in Mafraq Governorate, Jordan.
AB - Little is known about the oral hygiene patterns among schoolchildren in Jordan. A
school-based cross-sectional study was performed from January to March 2010. A
simple random sampling method was used. Each student participant completed a
detailed questionnaire regarding oral hygiene habits. Data were coded and
analyzed using SPSS software version 16. The chi-square was used, odds ratio (OR)
and the 95% confidence limits (Cl) for gum bleeding were estimated. The results
showed that nearly one fourth of the children indicating that they brush their
teeth twice or more daily (regular pattern). Furthermore, 17% of the
schoolchildren never brush their teeth at all. There is a higher proportion,
22.1%, of rural children that never brush their teeth compared to 14.6% of the
urban students. Dental flossing among primary schoolchildren in Mafraq
Governorate is very rare: 97.2% of the children stated that they had never used
dental floss in their life. Furthermore, one fourth of the schoolchildren did not
have a tooth brush. While 15.0% of them have a shared toothbrush with their
families. The findings of this study indicate that oral health habits in Mafraq
Governorate are poor and need to be improved. Based upon these finding, community
oriented oral health programs should be established.
PMID- 22074766
TI - Motives for smoking in movies affect future smoking risk in middle school
students: an experimental investigation.
AB - BACKGROUND: Exposure to smoking in movies has been linked to adolescent smoking
uptake. However, beyond linking amount of exposure to smoking in movies with
adolescent smoking, whether the way that smoking is portrayed in movies matters
for influencing adolescent smoking has not been investigated. This study
experimentally examined how motivation for smoking depicted in movies affects
self-reported future smoking risk (a composite measure with items that assess
smoking refusal self-efficacy and smoking intentions) among early adolescents.
METHODS: A randomized laboratory experiment was used. Adolescents were exposed to
movie scenes depicting one of three movie smoking motives: social smoking motive
(characters smoked to facilitate social interaction); relaxation smoking motive
(characters smoked to relax); or no smoking motive (characters smoked with no
apparent motive, i.e., in neutral contexts and/or with neutral affect). Responses
to these movie scenes were contrasted (within subjects) to participants'
responses to control movie scenes in which no smoking was present; these control
scenes matched to the smoking scenes with the same characters in similar
situations but where no smoking was present. A total of 358 adolescents, aged 11
14 years, participated. RESULTS: Compared with participants exposed to movie
scenes depicting characters smoking with no clear motive, adolescents exposed to
movie scenes depicting characters smoking for social motives and adolescents
exposed to movie scenes depicting characters smoking for relaxation motives had
significantly greater chances of having increases in their future smoking risk.
CONCLUSIONS: Exposure to movies that portray smoking motives places adolescents
at particular risk for future smoking.
PMID- 22074767
TI - Proteome analysis of bronchoalveolar lavage in pulmonary langerhans cell
histiocytosis.
AB - BACKGROUND: Pulmonary Langerhans-cell histiocytosis (PLCH) is a rare interstitial
lung disease characterized by clusters of Langerhans cells, organized in
granulomas, in the walls of distal bronchioles. It is a diffuse lung disease
related to tobacco smoking but otherwise of unknown etiopathogenesis. METHODS: In
this study we used a proteomic approach to analyze BAL protein composition of
patients with PLCH and of healthy smoker and non-smoker controls to obtain
insights into the pathogenetic mechanisms of the disease, to study the effect of
cigarette smoking on susceptibility to PLCH and to identify potential new
biomarkers. RESULTS: Two-dimensional electrophoresis and image analysis revealed
proteins that were differently expressed (quantitatively and qualitatively) in
the three groups of subjects. The proteins were identified by mass spectrometry
and have various functions (antioxidant, proinflammatory, antiprotease) and
origins (plasma, locally produced, etc.). Many, such as protease inhibitors
(human serpin B3) and antioxidant proteins (glutathione peroxidase and
thioredoxin) are already linked to PLCH pathogenesis, whereas other proteins have
never been associated with the disease. Interestingly, numerous proteolytic
fragments of plasma proteins (including kininogen-1 N fragments and haptoglobin)
were also identified and suggest increased proteolytic activity in this
inflammatory lung disease. Differences in protein expression were found between
the three groups and confirmed by Principal Component Analysis (PCA). CONCLUSION:
Analysis of BAL proteomes of PLCH patients and of smoker and non-smoker controls
also proved to be useful for researching the pathogenetic mechanisms and for
identifying biomarkers of this rare diffuse lung disease.
PMID- 22074768
TI - Structure-guided engineering of human thymidine kinase 2 as a positron emission
tomography reporter gene for enhanced phosphorylation of non-natural thymidine
analog reporter probe.
AB - Positron emission tomography (PET) reporter gene imaging can be used to non
invasively monitor cell-based therapies. Therapeutic cells engineered to express
a PET reporter gene (PRG) specifically accumulate a PET reporter probe (PRP) and
can be detected by PET imaging. Expanding the utility of this technology requires
the development of new non-immunogenic PRGs. Here we describe a new PRG-PRP
system that employs, as the PRG, a mutated form of human thymidine kinase 2 (TK2)
and 2'-deoxy-2'-18F-5-methyl-1-beta-L-arabinofuranosyluracil (L-18F-FMAU) as the
PRP. We identified L-18F-FMAU as a candidate PRP and determined its
biodistribution in mice and humans. Using structure-guided enzyme engineering, we
generated a TK2 double mutant (TK2-N93D/L109F) that efficiently phosphorylates L
18F-FMAU. The N93D/L109F TK2 mutant has lower activity for the endogenous
nucleosides thymidine and deoxycytidine than wild type TK2, and its ectopic
expression in therapeutic cells is not expected to alter nucleotide metabolism.
Imaging studies in mice indicate that the sensitivity of the new human TK2
N93D/L109F PRG is comparable with that of a widely used PRG based on the herpes
simplex virus 1 thymidine kinase. These findings suggest that the TK2
N93D/L109F/L-18F-FMAU PRG-PRP system warrants further evaluation in preclinical
and clinical applications of cell-based therapies.
PMID- 22074769
TI - Phenacetin pharmacokinetics in CYP1A2-deficient beagle dogs.
AB - Phenacetin is widely used as an in vitro probe to measure CYP1A2 activity across
species. To investigate whether phenacetin can be used as an in vivo probe
substrate to phenotype CYP1A2 activity in dogs, beagle dogs previously genotyped
for a single nucleotide polymorphism that yields an inactive CYP1A2 protein were
selected and placed into one of three groups: CC (wild-type), CT (heterozygous),
or TT (homozygous mutants). The dogs were dosed with phenacetin orally at 5 and
15 mg/kg and intravenously at 15 mg/kg. Plasma samples were analyzed by liquid
chromatography-tandem mass spectrometry, and phenacetin and its primary
metabolite, acetaminophen, were monitored. After intravenous dosing, all groups
showed similar exposure of phenacetin irrespective of genotype. After oral dosing
at 15 mg/kg, the exposure of phenacetin in CC and CT dogs was similar, but
phenacetin exposure was 2-fold greater in TT dogs. Exposure of the metabolite,
acetaminophen, was similar in all groups; however, the mean
acetaminophen/phenacetin ratio in TT dogs was 1.7 times less than that observed
in CC dogs. Similar trends between the groups of dogs with respect to phenacetin
exposure were also observed after a lower 5 mg/kg p.o. dose of phenacetin;
however, a proportionally greater amount of acetaminophen was generated. Although
oral exposure of phenacetin was 2-fold higher and acetaminophen exposure was 2
fold lower in CYP1A2-deficient (TT) dogs, these results were considered modest
and suggest that phenacetin is not a selective or robust in vivo probe to measure
CYP1A2 enzyme activity in the dog.
PMID- 22074770
TI - When four principles are too many: bloodgate, integrity and an action-guiding
model of ethical decision making in clinical practice.
AB - Medical ethical analysis remains dominated by the principlist account first
proposed by Beauchamp and Childress. This paper argues that the principlist model
is unreflective of how ethical decisions are taken in clinical practice. Two
kinds of medical ethical decisions are distinguished: biosocial ethics and
clinical ethics. It is argued that principlism is an inappropriate model for
clinical ethics as it is neither sufficiently action-guiding nor does it
emphasise the professional integrity of the clinician. An alternative model is
proposed for decision making in the realm of clinical ethics.
PMID- 22074771
TI - Genetic enhancement, post-persons and moral status: a reply to Buchanan.
AB - Responding to several leading ideas from a paper by Allen Buchanan, the present
essay explores the implications of genetic enhancement for moral status. Contrary
to doubts expressed by Buchanan, I argue that genetic enhancement could lead to
the existence of beings so superior to contemporary human beings that we might
aptly describe them as post-persons. If such post-persons emerged, how should we
understand their moral status in relation to ours? The answer depends in part on
which of two general models of moral status--one based on respect and one based
on interests--is more adequate. Buchanan tentatively argues that a respect-based
model is preferable. I challenge Buchanan's view, along these lines: If we
embrace a respect-based model of moral status featuring a threshold that divides
persons, who are thought to have full and equal moral status, from sentient
nonpersons, thought to have less moral status, then we should acknowledge a
second threshold and a level of moral status higher than ours. A better option, I
tentatively suggest, is to drop the idea of levels of moral status, accept that
all sentient beings have moral status, and allow that some differences in
interests and capacities justify some significant differences in how we should
treat beings of different kinds.
PMID- 22074772
TI - Discovery of "essential receptor" on red blood cells raises hope of effective
malaria vaccine.
PMID- 22074773
TI - Population based screening in Scotland reduces bowel cancer deaths.
PMID- 22074774
TI - Mississippi voters decide fertilised eggs are not people.
PMID- 22074775
TI - Soil warming alters seed-bank responses across the geographic range of freshwater
Taxodium distichum (Cupressaceae) swamps.
AB - PREMISE OF THE STUDY: Climate warming is predicted to have far-reaching effects
on the distribution of species, but those effects may depend on the flexibility
of regenerating species in responding to climate gradients. We conducted a study
to determine whether the variation in the response of seed banks to temperature
varied across the latitudinal range of Taxodium distichum swamps in North
America. METHODS: The soil was collected in a long-term research network and
heated experimentally to three current-day spring normal soil temperatures
(average maximum daily spring normal soil temperatures during May in Illinois,
Arkansas, and Louisiana, USA, respectively: 22 degrees , 25 degrees , and 29
degrees C). A "normal" is the mean temperature calculated over a 30-yr interval
(1971-2000). Seed-bank density and biomass responses were examined in relation to
latitude and difference in the soil temperature of the experiment and the spring
normal. KEY RESULTS: Using first- and second-order regression analysis, we
determined that the variation in total germination density was lowest mid-range
and in experimental soil temperatures similar to the spring normal. For some
dominant species, the variance in germination density was higher in the northern
(Cephalanthus occidentalis) or the southern part of the network (Saururus cernuus
and Polygonum pensylvanicum). Overall, the variance of total biomass (root,
shoot, whole plant) was higher if the experimental soil temperature was warmer
than the spring normal. CONCLUSIONS: Our results suggest that the regeneration of
some populations of swamp species may have more flexibility to respond to climate
warming than others.
PMID- 22074776
TI - Who invented the dichotomous key? Richard Waller's watercolors of the herbs of
Britain.
AB - On 27 March 1689, Richard Waller, Fellow and Secretary of the Royal Society
presented his "Tables of the English Herbs reduced to such an order, as to find
the name of them by their external figures and shapes" to his assembled
colleagues at a meeting of the Royal Society. These tables were developed for the
novice by being color images, composed in pencil and watercolor, of selected
plants and their distinguishing characteristics. The botanical watercolors for
the tables are now a Turning-the-Pages document online on the website of the
Royal Society. However, for the past 320 years, the scientific context for the
creation of these outstanding botanical watercolors has remained obscure. These
tables were developed by Waller as an image-based dichotomous key, pre-dating by
almost 100 years the text-based dichotomous keys in the first edition of Flora
Francaise (1778) by Jean Baptiste Lamarck, who is generally given priority for
the development of the dichotomous key. How these large folio images were
arranged to illustrate a dichotomous key is unknown, but an arrangement based on
Waller's description is illustrated here as leaf-ordering for the separate
hierarchical clusters (tables). Although only 24 species of watercolored dicot
herbs out of a total of 65 in the set of watercolors (the others being monocots)
are used in these tables, they are a "proof of concept", serving as models upon
which a method is based, that of using a key composed of dichotomous choices for
aiding identification.
PMID- 22074777
TI - Nuclear and chloroplast SSR markers in Paeonia delavayi (Paeoniaceae) and cross
species amplification in P. ludlowii.
AB - PREMISE OF THE STUDY: Microsatellite primers were developed for Paeonia delavayi
and P. ludlowii (Paeoniaceae) to study their population genetics and
phytogeography. METHODS AND RESULTS: Nine polymorphic nuclear microsatellite loci
were isolated from an enriched library of P. delavayi and primers were designed.
The number of alleles per locus ranged from two to 16; the observed and expected
heterozygosities ranged from 0.014 to 0.687 and 0.042 to 0.875, respectively. Six
polymorphic chloroplast microsatellite loci were identified in P. delavayi and
primers were provided. The number of alleles per locus ranged from two to six and
the polymorphic information content ranged from 0.08 to 0.716. Both nuclear and
chloroplast primers were successfully applicable to P. ludlowii. CONCLUSIONS: The
markers developed here will facilitate analyses of genetic diversity, population
genetic structure, phytogeographical patterns, and conservation for P. delavayi
and P. ludlowii.
PMID- 22074778
TI - Climate niches of milkweeds with plesiomorphic traits (Secamonoideae;
Apocynaceae) and the milkweed sister group link ancient African climates and
floral evolution.
AB - PREMISE OF THE STUDY: Climate change that increases mortality of plants and
pollinators can create mate-finding Allee effects and thus act as a strong
selective force on floral morphology. Milkweeds (Secamonoideae and
Asclepiadoideae; Apocynaceae) are typically small plants of seasonally dry
habitats, with pollinia and high pollen-transfer efficiency. Their sister group
(tribe Baisseeae and Dewevrella) is mostly comprised of giant lianas of African
rainforests, with pollen in monads. Comparison of the two groups motivated a new
hypothesis: milkweeds evolved in the context of African aridification and the
shifting of rainforest to dry forest. Pollinia and high pollen-transfer
efficiency may have been adaptations that alleviated mate-finding Allee effects
generated by high mortality during droughts. We formally tested whether milkweeds
have a drier climate niche by comparing milkweeds with plesiomorphic traits
(Secamonoideae) and the milkweed sister group in continental Africa. METHODS: We
georeferenced specimens of the milkweed sister group and Secamonoideae in
continental Africa, extracted 19 climatic variables from the Worldclim model,
conducted factor analysis to identify correlated suites of variables, and
compared the frequency distributions of the two lineages relative to each factor.
KEY RESULTS: The distributions of Secamonoideae and the milkweed sister group
differed significantly relative to four factors, each correlated with a distinct
suite of climate parameters: (1) air temperature (Secamonoideae: cooler), (2)
total and (3) summer precipitation (Secamonoideae: drier), and (4) temperature
seasonality and isothermality (Secamonoideae: more seasonal and less isothermal).
CONCLUSIONS: Secamonoideae in continental Africa inhabit drier, cooler sites than
do the milkweed sister group, consistent with a shift from rainforests to dry
forests in a cooling climate.
PMID- 22074779
TI - Three-dimensional imaging and photostimulation by remote-focusing and holographic
light patterning.
AB - Access to three-dimensional structures in the brain is fundamental to probe
signal processing at multiple levels, from integration of synaptic inputs to
network activity mapping. Here, we present an optical method for independent
three-dimensional photoactivation and imaging by combination of digital
holography with remote-focusing. We experimentally demonstrate compensation of
spherical aberration for out-of-focus imaging in a range of at least 300 MUm, as
well as scanless imaging along oblique planes. We apply this method to perform
functional imaging along tilted dendrites of hippocampal pyramidal neurons in
brain slices, after photostimulation by multiple spots glutamate uncaging. By
bringing extended portions of tilted dendrites simultaneously in-focus, we
monitor the spatial extent of dendritic calcium signals, showing a shift from a
widespread to a spatially confined response upon blockage of voltage-gated Na(+)
channels.
PMID- 22074780
TI - Crystal structure of the GlnZ-DraG complex reveals a different form of PII-target
interaction.
AB - Nitrogen metabolism in bacteria and archaea is regulated by a ubiquitous class of
proteins belonging to the P(II)family. P(II) proteins act as sensors of cellular
nitrogen, carbon, and energy levels, and they control the activities of a wide
range of target proteins by protein-protein interaction. The sensing mechanism
relies on conformational changes induced by the binding of small molecules to
P(II) and also by P(II) posttranslational modifications. In the diazotrophic
bacterium Azospirillum brasilense, high levels of extracellular ammonium
inactivate the nitrogenase regulatory enzyme DraG by relocalizing it from the
cytoplasm to the cell membrane. Membrane localization of DraG occurs through the
formation of a ternary complex in which the P(II) protein GlnZ interacts
simultaneously with DraG and the ammonia channel AmtB. Here we describe the
crystal structure of the GlnZ-DraG complex at 2.1 A resolution, and confirm the
physiological relevance of the structural data by site-directed mutagenesis. In
contrast to other known P(II) complexes, the majority of contacts with the target
protein do not involve the T-loop region of P(II). Hence this structure
identifies a different mode of P(II) interaction with a target protein and
demonstrates the potential for P(II) proteins to interact simultaneously with two
different targets. A structural model of the AmtB-GlnZ-DraG ternary complex is
presented. The results explain how the intracellular levels of ATP, ADP, and 2
oxoglutarate regulate the interaction between these three proteins and how DraG
discriminates GlnZ from its close paralogue GlnB.
PMID- 22074781
TI - Detection of beta cell death in diabetes using differentially methylated
circulating DNA.
AB - In diabetes mellitus, beta cell destruction is largely silent and can be detected
only after significant loss of insulin secretion capacity. We have developed a
method for detecting beta cell death in vivo by amplifying and measuring the
proportion of insulin 1 DNA from beta cells in the serum. By using primers that
are specific for DNA methylation patterns in beta cells, we have detected
circulating copies of beta cell-derived demethylated DNA in serum of mice by
quantitative PCR. Accordingly, we have identified a relative increase of beta
cell-derived DNA after induction of diabetes with streptozotocin and during
development of diabetes in nonobese diabetic mice. We have extended the use of
this assay to measure beta cell-derived insulin DNA in human tissues and serum.
We found increased levels of demethylated insulin DNA in subjects with new-onset
type 1 diabetes compared with age-matched control subjects. Our method provides a
noninvasive approach for detecting beta cell death in vivo that may be used to
track the progression of diabetes and guide its treatment.
PMID- 22074782
TI - Direct regulation of nucleosome density by the conserved AAA-ATPase Yta7.
AB - Yta7 is a highly conserved bromodomain-containing protein with AAA-ATPase
homology originally implicated in heterochromatin boundary function in
Saccharomyces cerevisiae. Although increased activity of the human ortholog has
been implicated in malignant breast tumors, Yta7's precise mode of action is
unknown. Transcriptional analysis in yeast cells revealed a role for Yta7 and its
ATPase function in gene induction, including galactose- and sporulation-induced
transcription. This requirement was direct and activating, because Yta7
associated with the GAL gene cluster only upon transcriptional induction.
Suggestive of a role in transcriptional elongation, Yta7 localized to the ORFs of
highly transcribed genes. Intriguingly, the yta7Delta mutant's transcriptional
defects were partially suppressed by decreased dosage of histones H3 and H4.
Consistent with this suppression, cells lacking Yta7 exhibited both increased
levels of chromatin-incorporated histone H3 and decreased nucleosome spacing.
Importantly, this modulation of H3 levels occurred independently of changes in H3
transcript level. Because Yta7 binds histone H3 in vitro, these results suggested
a direct role for Yta7 in H3 eviction or degradation. Further, local loss of Yta7
activity at a long inducible gene resulted in accumulation of H3 at the 3' end
upon transcriptional activation, implying Yta7 may regulate H3
cotranscriptionally.
PMID- 22074784
TI - Emerging role of innate immunity in organ transplantation part II: potential of
damage-associated molecular patterns to generate immunostimulatory dendritic
cells.
AB - Part 2 of the review focuses on the potential of oxidative injury-induced damage
associated molecular patterns (DAMPs) to generate immunostimulatory dendritic
cells (DCs) translating innate to adaptive immunity. Four different classes of
DAMPs are defined, and their potential role in mediating pathways contributing to
maturation of immunostimulatory DCs is explored and discussed. Accordingly,
injury-induced molecules are divided into (1) class I DAMPs that, when recognized
by pattern recognition receptors of DCs, trigger their activation; (2) class II
DAMPs that are recognized by special activating receptors on innate lymphocytes
that, after activation, contribute to maturation of DCs; (3) class III DAMPs that
are recognized by pattern recognition receptors involved in the activation of
inflammasomes, that is, molecular platforms that trigger the activation of
proinflammatory cytokines promoting maturation of DCs; and (4) class IV DAMPs in
terms of neoantigens that are recognized by preexisting natural immunoglobulin M
antibodies, which-via complement activation-are able to aggravate the oxidative
tissue injury and, thereby, may indirectly promote maturation of DCs. These new
insights into mechanisms of oxidative injury-mediated generation of
immunostimulatory DCs are finally discussed by addressing possible novel
therapeutic strategies with the aim to prevent the capacity of oxidative injury
to induce DAMPs in the donor organ. The ultimate goal of those strategies will be
to induce transplant tolerance by avoiding oxidative injury in the donor and the
recipient and thereby inhibiting activation of immunostimulatory DCs but
promoting activation of tolerogenic DCs.
PMID- 22074783
TI - Role of T cell-nuclear factor kappaB in transplantation.
AB - Nuclear factor (NF) kappaB is a pleiotropic transcription factor that is
ubiquitously expressed. After transplantation of solid organs, NF-kappaB in the
graft is activated within a few hours as a consequence of ischemia/reperfusion
and then again after a few days in intragraft infiltrating cells during the
process of acute allograft rejection. In the present article, we review the
components of the NF-kappaB pathway, their mechanisms of activation, and their
role in T cell and antigen-presenting cell activation and differentiation and in
solid organ allograft rejection. Targeted inhibition of NF-kappaB in selected
cell types may promote graft survival with fewer adverse effects compared with
global immunosuppressive therapies.
PMID- 22074785
TI - Protective effects of hypothermic ex vivo perfusion on ischemia/reperfusion
injury and transplant outcomes.
AB - Hypothermic machine preservation (HMP) has been used in renal transplantation
since the late 1960s with recent robust prospective, multicenter data showing
lower rates of delayed graft function and improved graft survival. Although now
clearly beneficial for renal transplantation, extrarenal machine perfusion has
remained predominantly in preclinical investigations. Pancreatic HMP has drawn
little clinical interest because HMP has been suggested to cause graft edema and
congestion, which is associated with early venous thrombosis and graft failure.
Early investigation showed no benefit of HMP in whole-organ pancreas transplant.
One report did show that HMP increases islet cell yield after isolation.
Preclinical work in liver HMP has been promising. Short- and long-term HMP has
been shown to improve graft viability and reduce preservation injury, even in
animal models of steatotic and donation after cardiac death. The first clinical
study of liver HMP using a centrifugal dual perfusion technique showed excellent
results with lower hepatocellular injury markers and no adverse perfusion-related
outcomes. In addition, a dramatic attenuation of proinflammatory cytokine
expression was observed. Further studies of liver HMP are planned with focus on
developing a reproducible and standard protocol that will allow the widespread
availability of this technology. Future research and clinical trials of novel
organ preservation techniques, solutions, and interventions are likely to bring
about developments that will allow further reduction of preservation-related
ischemia/reperfusion injury and improved outcomes and allow safer utilization of
the precious and limited resource of donor organs.
PMID- 22074787
TI - Phenomics--technologies to relieve the phenotyping bottleneck.
AB - Global agriculture is facing major challenges to ensure global food security,
such as the need to breed high-yielding crops adapted to future climates and the
identification of dedicated feedstock crops for biofuel production (biofuel
feedstocks). Plant phenomics offers a suite of new technologies to accelerate
progress in understanding gene function and environmental responses. This will
enable breeders to develop new agricultural germplasm to support future
agricultural production. In this review we present plant physiology in an 'omics'
perspective, review some of the new high-throughput and high-resolution
phenotyping tools and discuss their application to plant biology, functional
genomics and crop breeding.
PMID- 22074788
TI - Working alliance, interpersonal trust and perceived coercion in mental health
review hearings.
AB - BACKGROUND: There is some evidence that when mental health commitment hearings
are held in accordance with therapeutic jurisprudence principles they are
perceived as less coercive, and more just in their procedures leading to improved
treatment adherence and fewer hospital readmissions. This suggests an effect of
the hearing on therapeutic relationships. We compared working alliance and
interpersonal trust in clinicians and forensic patients, whose continued
detentions were reviewed by two different legal review bodies according to their
legal category. METHODS: The hearings were rated as positive or negative by
patients and treating psychiatrists using the MacArthur scales for perceived
coercion, perceived procedural justice (legal and medical) and for the impact of
the hearing. We rated Global assessment of Function (GAF), Positive and Negative
Symptom Scale (PANSS), Working Alliance Inventory (WAI) and Interpersonal Trust
in Physician (ITP) scales six months before the hearing and repeated the WAI and
ITP two weeks before and two weeks after the hearing, for 75 of 83 patients in a
forensic medium and high secure hospital. RESULTS: Psychiatrists agreed with
patients regarding the rating of hearings. Patients rated civil hearings (MHTs)
more negatively than hearings under insanity legislation (MHRBs). Those reviewed
by MHTs had lower scores for WAI and ITP. However, post-hearing WAI and ITP
scores were not different from baseline and pre-hearing scores. Using the
receiver operating characteristic, baseline WAI and ITP scores predicted how
patients would rate the hearings, as did baseline GAF and PANSS scores.
CONCLUSIONS: There was no evidence that positively perceived hearings improved
WAI or ITP, but some evidence showed that negatively perceived hearings worsened
them. Concentrating on functional recovery and symptom remission remains the best
strategy for improved therapeutic relationships.
PMID- 22074786
TI - T-cell activation and transplantation tolerance.
AB - Transplantation of allogeneic or "nonself" tissues stimulates a robust immune
response leading to graft rejection, and therefore, most recipients of allogeneic
organ transplants require the lifelong use of immune suppressive agents.
Excellent outcomes notwithstanding, contemporary immunosuppressive medications
are toxic, are often not taken by patients, and pose long-term risks of infection
and malignancy. The ultimate goal in transplantation is to develop new treatments
that will supplant the need for general immunosuppression. Here, we will describe
the development and application of costimulation blockade to induce
transplantation tolerance and discuss how the diverse array of signals that act
on T cells will determine the balance between graft survival and rejection.
PMID- 22074789
TI - Predictors of vitamin D status in predialysis chronic kidney disease patients: a
cross-sectional analysis in a high ultraviolet climate.
AB - OBJECTIVE: To determine vitamin D status in a subtropical climate among an
unselected, referred predialysis chronic kidney disease (CKD) population; assess
risks and correlates; and review whether higher 25-hydroxyvitamin D (25-OHD)
concentration can mitigate the decrement in circulating 1,25-dihydroxyvitamin D
(1,25-OHD) normally encountered with advancing CKD. DESIGN: Prospective cross
sectional cohort study. SETTING: Renal unit in Brisbane, Australia (27 degrees
28' S). SUBJECTS: Five hundred ninety-three consecutive CKD patients (stage 1 to
5). MAIN OUTCOME MEASURE: 25-OHD insufficiency (concentrations: 15 to 30 ng/mL)
and deficiency (<15 ng/mL), bone-mineral parameters, including 1,25-OHD, calcium,
and phosphate. RESULTS: Despite potentially higher environmental ultraviolet (UV)
exposure, only 48% of patients with CKD were 25-OHD sufficient. Traditional risks
for hypovitaminosis D were maintained, and sufficiency was independently
predicted by testing in the summer/autumn period (odds ratio [OR]: 2.77, 95%
confidence interval [CI]: 1.88 to 4.08, P < .001), male gender (OR: 2.18, 95%CI:
1.46 to 3.24, P < .001), Caucasian race (OR: 2.28, 95%CI: 1.37 to 3.78, P =
.001), hypoalbuminemia (OR: 0.47, 95%CI: 0.25 to 0.85, P = .01), macroalbuminuria
(OR: 0.60, 95%CI: 0.39 to 0.92, P = .02), and normal body mass index (OR: 1.94,
95%CI: 1.22 to 3.07, P = .005). Vitamin D sufficiency was also associated with
higher corrected calcium (0.4 mg/dL increments; OR: 1.29, 95%CI: 1.08 to 1.55, P
= .005). Although circulating 25-OHD concentrations were relatively maintained
across the range of renal function observed, 1,25-OHD concentrations decreased
with advancing CKD. CONCLUSION: 25-OHD insufficiency is mitigated but still
highly prevalent in patients with CKD in a high ambient UV environment. Despite
the maintenance of relatively higher 25-OHD concentrations with advancing CKD,
substrate availability does not appear to be a major determinant of circulating
1,25-OHD.
PMID- 22074790
TI - Demonstration of cell membrane permeabilization to medium-sized molecules caused
by a single 10 ns electric pulse.
AB - In our study, we used bleomycin to evaluate the permeabilization caused by
nanosecond duration electric pulses (nanopulses). Bleomycin is a non permeant
molecule which can be used both as a sensitive and quantitative marker to
evaluate cell electropermeabilization. Indeed, the penetration of as few as 500
molecules is sufficient to entail a major biological effect: cell death. We show
that one single nanopulse with a duration of 10 ns and a field strength of 40
kV/cm is sufficient to allow the uptake of at least 500 molecules of bleomycin in
20% of the cells when the external bleomycin concentration is 3 MUM. When the
external bleomycin concentration is reduced by a 100 fold, the same levels of
cytotoxicity require an increase of about 25 times in the number of pulses. These
results are in favor of the fact that each nanopulse creates new pores or defects
on the cell membrane even if most of these pores can reseal between two
consecutive pulses. Results also suggest that the cell permeability observed with
classical markers when a large number of pulses are delivered results from the
large number of nanopores or defects of the cell membrane created by the train of
nanopulses.
PMID- 22074791
TI - Viral respiratory infections during the 2009 influenza A(H1N1) outbreak in the
West Midlands Region, UK.
AB - In spring 2009 a new strain of influenza A(H1N1) emerged and caused a worldwide
pandemic. This study utilized a large collection of respiratory specimens from
suspected cases of influenza A(H1N1) in the UK West Midlands during the pandemic
in order to investigate which other respiratory viruses were circulating and
whether they played any role in the increased hospitalization rates seen during
that period. Study specimens were selected from community and hospitalized
patients positive and negative for influenza A(H1N1) and tested by PCR for other
respiratory viruses. A number of infections diagnosed as influenza during the
summer influenza outbreak were found to be due to other virus infections (most
commonly rhinovirus). No statistically significant difference was found between
the rates of respiratory virus co-infection with H1N1 in patients from community
or hospital locations suggesting underlying factors were likely to be more
significant than viral co-infections in determining severity of influenza A(H1N1)
disease.
PMID- 22074792
TI - Screening for natural chemoprevention agents that modify human Keap1.
AB - Upregulation of cytoprotective enzymes by therapeutic agents to prevent damage by
reactive oxygen species and xenobiotic electrophiles is a strategy for cancer
chemoprevention. The Kelch-like ECH-associated protein 1 (Keap1) and its binding
partner, transcription factor NF-E2-related factor-2 (NRF2), are chemoprevention
targets because of their role in regulating the antioxidant response element
(ARE) in response to oxidative stress and exposure to electrophiles. Modification
of the sensor protein Keap1 by electrophiles such as the isothiocyanate
sulforaphane can direct Nrf2 accumulation in the nucleus and subsequent ARE
activation. Since our previous matrix-assisted laser desorption time-of-flight
mass spectrometry (MALDI-TOF MS)-based screening method to discover natural
products that modify Keap1 does not detect covalent modification of Keap1 by some
highly reversible agents such as sulforaphane, a more sensitive screening assay
was developed. In this new assay, electrophiles that have reversibly modified
Keap1 can be released, trapped, and detected as beta-mercaptoethanol adducts by
mass spectrometry. Isoliquiritigenin and sulforaphane, known ARE activators that
target Keap1, were used to validate the assay. To determine the ability of the
assay to identify electrophiles in complex matrixes that modify Keap1,
sulforaphane was spiked into a cocoa extract, and LC-MS/MS using high resolution
mass spectrometry with accurate mass measurement was used to identify beta
mercaptoethanol adducts of sulforaphane that had been released from Keap1. This
screening assay permits identification of potential chemoprevention agents in
complex natural product mixtures that reversibly modify Keap1 but cannot be
detected using MALDI-TOF MS.
PMID- 22074793
TI - Piezoelectric detection of bilirubin based on bilirubin-imprinted titania film
electrode.
AB - A novel quartz crystal microbalance (QCM) sensor with a high selectivity and
sensitivity has been developed for bilirubin determination, based on the
modification of bilirubin-imprinted titania film onto a quartz crystal by
molecular imprinting and surface sol-gel techniques. The performance of the
developed bilirubin biosensor was evaluated and the results indicated that a
sensitive bilirubin biosensor could be fabricated. The obtained bilirubin
biosensor presents high-selectivity monitoring of bilirubin, better
reproducibility, shorter response time (30 min), wider linear range (0.1-50 MUM),
and lower detection limit (0.05 MUM). The analytical application of the bilirubin
biosensor confirms the feasibility of bilirubin determination in serum sample.
PMID- 22074794
TI - Label-free fluorescence method for screening G-quadruplex ligands.
AB - G-quadruplex ligands can interfere with the telomere structure, telomere
elongation/replication, and proliferation of cancer cells. A key element in the
development of potent G-quadruplex ligands is the screening of large chemical
libraries of potential candidates. Here, we describe a simple fluorescence method
for screening of G-quadruplex ligands. The method is based on the ability of G
quadruplex ligands to displace hemin from G-quadruplex-based DNAzyme, resulting
in a decrease of its catalytic activity on the fluorescence-developing reaction
between p-hydroxyphenylacetic acid and H(2)O(2). The method eliminates the
requirement for expensive and time-consuming preparation of labeled DNA. Our
method provides a simple, cheap, and sensitive approach to screen G-quadruplex
ligands (potential antitumor drugs).
PMID- 22074795
TI - Identification of reference microRNAs and suitability of archived hemopoietic
samples for robust microRNA expression profiling.
AB - In many cancers, including neuroblastoma, microRNA (miRNA) expression profiling
of peripheral blood (PB) and bone marrow (BM) may increase understanding of the
metastatic process and lead to the identification of clinically informative
biomarkers. The quality of miRNAs in PB and BM samples archived in PAXgeneTM
blood RNA tubes from large-scale clinical studies and the identity of reference
miRNAs for standard reporting of data are to date unknown. In this study, we
evaluated the reliability of expression profiling of 377 miRNAs using
quantitative polymerase chain reaction (qPCR) in PB and BM samples (n=90) stored
at -80 degrees C for up to 5 years in PAXgeneTM blood RNA tubes. There was no
correlation with storage time and variation of expression for any single miRNA (r
< 0.50). The profile of miRNAs isolated as small RNAs or co-isolated with
small/large RNAs was highly correlated (r=0.96). The mean expression of all
miRNAs and the geNorm program identified miR-26a, miR-28-5p, and miR-24 as the
most stable reference miRNAs. This study describes detailed methodologies for
reliable miRNA isolation and profiling of PB and BM, including reference miRNAs
for qPCR normalization, and demonstrates the suitability of clinical samples
archived at -80 degrees C into PAXgeneTM blood RNA tubes for miRNA expression
studies.
PMID- 22074796
TI - Involvement of C-type inactivation gating in the actions of voltage-gated K+
channel inhibitors.
AB - Voltage-gated K(+) (Kv) channels serve multiple functions. Besides the most well
known function of controlling membrane excitability, they may also play roles in
cell death and differentiation. Pharmacological activators and inhibitors of Kv
channels therefore offer potential therapeutic treatments for a variety of
diseases. Inhibition of Kv channels by classical blockers such as
tetraethylammonium and 4-aminopyridine, and toxin peptides such as scorpion
toxins, are believed to result from a direct intervention or occlusion of the
K(+) permeation pathway. During prolonged depolarization, most Kv channels
undergo a process called slow or C-type inactivation, by which the selectivity
filter destabilizes and thus limits K(+) flux. Increasing amount of evidence
shows that there are certain compounds which inhibit Kv currents not by directly
obstructing the K(+) conduction pathway, but by accelerating or intensifying
selectivity filter destabilization once the channels open. This mode of block
represents an alternative mechanism of Kv channel inhibition. Indeed, some of the
classical Kv channel blockers are to some extent, or in certain circumstances,
involved in hastening slow inactivation. This review begins with a brief
description of structure-functions of Kv channels, and then discusses the
multiple mechanisms of Kv channel inhibition by classical blockers and how
certain compounds inhibit Kv channels by accelerating C-type inactivation. The
pharmacological and therapeutic potentials of these C-type inactivation-dependent
Kv channel inhibitors are discussed.
PMID- 22074797
TI - Tea flavan-3-ols as modulating factors in endoplasmic reticulum function.
AB - Regular green tea consumption has been shown to reduce the risk of cancer and
diabetes mellitus. These effects are attributed to tea flavan-3-ols, especially
to epigallocatechin gallate; however, the molecular targets and mechanisms of
action are still subject of extensive research. The special roles of the
endoplasmic reticulum (ER) in biotransformation, protein synthesis, calcium
homeostasis, and glucose production make this organelle a potential target of the
antitumor and antidiabetic effects of tea flavan-3-ols. The purpose of this
review is to present evidence for the biologic actions of tea flavan-3-ols on
specific ER targets associated with normal function and disease. Reactivation of
chemical carcinogens can be reduced by tea flavan-3-ols through inhibition of
glucuronide transport across the ER membrane. Catechins modulate Ca(2+) release
from the ER lumen and interfere with glycoprotein maturation, which can lead to
decreased viability and increased drug sensitivity of tumor cells.
Epigallocatechin gallate inhibits glucose transport across the ER membrane, which
can underlie the reduction of hepatic glucose production by tea flavan-3-ols.
These mechanisms likely contribute to the chemopreventive and glucose-lowering
effects of tea catechins. Investigating the effects of flavan-3-ols on ER
functions is a promising field of medical and biochemical research to understand
disease and improve health.
PMID- 22074798
TI - Socioeconomic status and chronic child malnutrition: Wealth and maternal
education matter more in the Peruvian Andes than nationally.
AB - This study investigated the association of parents' socioeconomic status (SES)
with child stunting in the Peruvian Andes and in Peru nationally. It was
hypothesized that the relationship of SES to child stunting would be weaker in
the Andean compared with the national sample. This is consistent with earlier
research indicating that the relationship of SES to health may be weak in poor
regions. The data were from the Demographic and Health Survey 2004 to 2006. Two
samples of children 3 to 60 months old were compared: a national sample (n =
1426) and an Andean sample (n = 543). Malnutrition was measured using the
indicator "stunting," which is small stature for age. Socioeconomic status was
measured using parental education, occupation, and household wealth index (WI).
In both samples, SES was significantly related to stunting. The odds of stunting
in the poorest WI quintile were significantly higher than in the richest
quintile. The same pattern was observed in children of mothers having incomplete
primary education compared with children of mothers having complete secondary or
higher education. The odds of stunting were significantly lower in children of
mothers working at home compared with mothers in professional occupations. The
associations of WI and maternal education with stunting were significantly
stronger in the Andean compared with the national sample; the study did not find
support for the hypothesis. Even in very poor regions such as the Andes, SES may
be associated with child health, suggesting the importance of public health
measures to overcome the health disadvantages experienced by children living in
low SES households.
PMID- 22074799
TI - Urbanization of black South African women may increase risk of low bone mass due
to low vitamin D status, low calcium intake, and high bone turnover.
AB - Globally, rural to urban migration is accompanied by changes in dietary patterns
and lifestyle that have serious health implications, including development of low
bone mass. We hypothesized that serum 25 (OH) vitamin D3 (25[OH]D3) levels will
be lower, bone turnover higher, and nutrition inadequate in urban postmenopausal
black women, increasing risk for low bone mass. We aimed to assess the prevalence
of risk factors for low bone mass in 1261 black women from rural and urban areas
in the North West Province of South Africa (Prospective Urban and Rural
Epidemiology-South Africa project). Fasting blood samples were taken; and
participants were interviewed to complete questionnaires on self-reported
diseases, fractures, and dietary intakes. Bone health markers were assessed in a
subgroup of 658 women older than 45 years. Specific lifestyle risk factors
identified were inactivity, smoking, injectable progestin contraception use, and
high alcohol consumption. Dietary risk factors identified were low calcium and
high animal protein, phosphorous, and sodium intakes. The 25(OH)D3 and C-terminal
telopeptide (CTX) levels were significantly higher in the rural vs the urban
women older than 50 years. Parathyroid hormone (PTH) levels increased with age in
both groups. The 25(OH)D levels were inversely correlated with CTX and PTH in
rural women. In urban women, PTH and CTX were correlated while dietary calcium
was inversely correlated with CTX and PTH with 25(OH)D3. The combination of low
dietary calcium (<230 mg/d), marginally insufficient 25(OH)D3 status, and raised
PTH may result in increased bone resorption. Further research is required to
assess bone health and fracture risk in black African women.
PMID- 22074800
TI - Nutrients from dairy foods are difficult to replace in diets of Americans: food
pattern modeling and an analyses of the National Health and Nutrition Examination
Survey 2003-2006.
AB - Because dairy products provide shortfall nutrients (eg, calcium, potassium, and
vitamin D) and other important nutrients, this study hypothesized that it would
be difficult for Americans to meet nutritional requirements for these nutrients
in the absence of dairy product consumption or when recommended nondairy calcium
sources are consumed. To test this hypothesis, MyPyramid dietary pattern modeling
exercises and an analyses of data from the National Health and Nutrition
Examination Survey 2003-2006 were conducted in those aged at least 2 years (n =
16 822). Impact of adding or removing 1 serving of dairy, removing all dairy, and
replacing dairy with nondairy calcium sources was evaluated. Dietary pattern
modeling indicated that at least 3 servings of dairy foods are needed to help
individuals meet recommendations for nutrients, such as calcium and magnesium,
and 4 servings may be needed to help some groups meet potassium recommendations.
A calcium-equivalent serving of dairy requires 1.1 servings of fortified soy
beverage, 0.6 serving of fortified orange juice, 1.2 servings of bony fish, or
2.2 servings of leafy greens. The replacement of dairy with calcium-equivalent
foods alters the overall nutritional profile of the diet and affects nutrients
including protein, potassium, magnesium, phosphorus, riboflavin, vitamins A, D
and B(12). Similar modeling exercises using consumption data from the National
Health and Nutrition Examination Survey also demonstrated that nondairy calcium
replacement foods are not a nutritionally equivalent substitute for dairy
products. In conclusion, although it is possible to meet calcium intake
recommendations without consuming dairy foods, calcium replacement foods are not
a nutritionally equivalent substitute for dairy foods and consumption of a
calcium-equivalent amount of some nondairy foods is unrealistic.
PMID- 22074801
TI - Soy milk and dairy consumption is independently associated with ultrasound
attenuation of the heel bone among postmenopausal women: the Adventist Health
Study-2.
AB - Soy milk has become a popular substitute for dairy milk with important health
claims. We hypothesized that soy milk, based on its nutrient composition, is
comparable to dairy products and, therefore, beneficial for bone health. To test
this hypothesis, we examined the benefit of soy milk and dairy products intake on
bone health using broadband ultrasound attenuation of the calcaneus.
Postmenopausal white women (n = 337) who had completed a lifestyle and dietary
questionnaire at enrollment into the Adventist Health Study-2 had their calcaneal
broadband ultrasound attenuation measured 2 years later. The association between
osteoporosis (defined as a T-score <-1.8) and some dietary factors (soy milk,
dairy) and selected lifestyle factors was assessed using logistic regression. In
a multivariable model adjusted for demographics, hormone use, and other dietary
factors, osteoporosis was positively associated with age (odds ratio [OR] = 1.08;
95% confidence interval [CI], 1.06-1.12) and inversely associated with body mass
index (OR = 0.91; 95% CI, 0.86-0.97) and current estrogen use (OR = 0.27; 95% CI,
0.13-0.56). Compared with women who did not drink soy milk, women drinking soy
milk once a day or more had 56% lower odds of osteoporosis (OR = 0.44; 95% CI,
0.20-0.98; P(trend) = .04). Women whose dairy intake was once a day or more had a
62% reduction in the likelihood of having osteoporosis (OR = 0.38; 95% CI, 0.17
0.86; P(trend) = .02) compared with women whose dairy intake was less than twice
a week. Among individual dairy products, only cheese showed an independent and
significant protection (OR = 0.28; 95% CI, 0.12-0.66; P(trend) = .004) for women
eating cheese more than once per week vs those who ate cheese less than once a
week. We concluded that osteoporosis is inversely associated with soy milk intake
to a similar degree as dairy intake after accounting for age, body mass index,
and estrogen use.
PMID- 22074802
TI - Fresh and fresh lean pork are substantial sources of key nutrients when these
products are consumed by adults in the United States.
AB - Many fresh pork products, in particular, fresh lean pork products, are nutrient
dense sources of protein and several other nutrients. The purpose of this study
was to estimate nutritional contributions of fresh and fresh lean pork to adults'
diets in the United States. Mean total nutrient intakes by fresh and fresh lean
pork consumers on a day of recall were compared with intakes by nonconsumers to
test the hypothesis that overall nutrient intakes by consumers were comparable
with or better as compared with intakes by nonconsumers. Intakes were assessed
using the National Health and Nutrition Examination Survey 2003 to 2006. Based on
1 day of dietary intake, 10% of adults consumed fresh pork, and 4% consumed fresh
lean pork. Among consumers, fresh and fresh lean pork contributed 16% and 9%,
respectively, of total fat and accounted for 23% to 31% of total protein,
cholesterol, selenium, and thiamin intake. Fresh and fresh lean pork also
accounted for 11% to 19% of total saturated fat, phosphorus, potassium,
riboflavin, niacin, vitamin B(6), and vitamin B(12) in the diets of consumers and
contributed 21% and 16%, respectively, of total zinc. Diets including fresh or
fresh lean pork provided higher energy-adjusted amounts of protein, selenium,
thiamin, and vitamin B(6) as compared with diets of adults not consuming fresh
pork (P < .05) and provided comparable amounts of fat and saturated fat.
Consumption of lean cuts of fresh pork is consistent with dietary guidance, and
selection of fresh lean pork products by current nonconsumers could increase
dietary variety without adversely affecting nutrient intake.
PMID- 22074803
TI - Astaxanthin inhibits thrombosis in cerebral vessels of stroke-prone spontaneously
hypertensive rats.
AB - It is known that vitamin E and some carotenoids have antioxidant activities that
alleviate endothelial dysfunction and play a protective role against
cardiovascular disease. The current study was designed to examine the hypothesis
that astaxanthin, a red pigment carotenoid found in salmonid and crustacean
aquaculture, protects stroke-prone spontaneously hypertensive rats (SHRSP) from
vascular oxidative damage, hypertension, and cerebral thrombosis. Male 6-week-old
SHRSP were classified into 4 groups: a control group, 2 astaxanthin groups, and a
vitamin E group. The treated animals were given either astaxanthin or vitamin E
for 3 weeks. Body weights in each group were not significantly different from
control group during the treatment period, but the usual increase in systolic
blood pressure in SHRSP observed with age was significantly suppressed by
treatment. Thrombogenesis, assessed using a helium-neon (He-Ne) laser technique
in pial blood vessels, together with antioxidant activity, assessed by measuring
urinary 8-OHdG levels, were significantly moderated. Urinary nitric oxide (NO)
metabolites were increased after treatment. These results supported our
hypothesis and strongly suggested that the antithrombotic and antihypertensive
effects of astaxanthin or vitamin E may be related to an increase in bioavailable
NO, possibly mediated by decreased inactivation of NO by reactive oxygen species.
PMID- 22074804
TI - Dietary methyl donor deficiency during pregnancy in rats shapes learning and
anxiety in offspring.
AB - Two important lines of research have enhanced our understanding of the molecular
role of nutrition in influencing behavior. First, exposure to an adverse
environment during early life can influence the long-term behavior of the
offspring. Second, regulation of the nervous system development and functioning
appears to involve epigenetic mechanisms that require a continuous supply of
methyl group donors in food. We hypothesized that a maternal diet during
pregnancy deficient in methyl donors (MDD) may lead to altered behavior in
offspring through permanent changes in hippocampal DNA methylation. We used a rat
model of prenatal dietary MDD to test this hypothesis in female offspring as they
aged. Prenatal MDD reduced birth weight, litter size, and newborn viability. Aged
female offspring of MDD mothers showed increased anxiety and increased learning
ability in comparison with control diet group offspring. To explore the role of
MDD on epigenetic mechanisms in the brain of adult offspring, we studied
expression and methylation of 4 selected genes coding for glucocorticoid
receptor, hydroxysteroid dehydrogenase 11 type 2, neuronatin, and reelin proteins
in the hippocampus. No major group differences in methylation or expression of
the studied genes were detected, except for a significant down-regulation of the
reelin gene in the MDD female offspring. The prenatal MDD diet caused
intrauterine growth restriction, associated with long-term effects on the
behavior of the offspring. However, the observed behavioral differences between
the MDD and control diet offspring cannot be explained by epigenetic regulation
of the specific genes investigated in this study.
PMID- 22074805
TI - L-amino acid decarboxylase- and tyrosine hydroxylase-immunoreactive cells in the
extended olfactory amygdala and elsewhere in the adult prairie vole brain.
AB - Neurons synthesizing dopamine (DA) are widely distributed in the brain and
implicated in a tremendous number of physiological and behavioral functions,
including socioreproductive behaviors in rodents. We have recently been
investigating the possible involvement of sex- and species-specific TH
immunoreactive (TH-ir) cells in the male prairie vole (Microtus ochrogaster)
principal bed nucleus of the stria terminalis (pBST) and posterodorsal medial
amygdala (MeApd) in the chemosensory control of their monogamous pairbonding and
parenting behaviors. These TH-ir cells are not immunoreactive for dopamine-beta
hydroxylase (DBH), suggesting they are not noradrenergic but possibly DAergic. A
DAergic phenotype would require them to contain aromatic L-amino acid
decarboxylase (AADC) and here we examined the existence of cells immunoreactive
for both TH and AADC in the pBST and MeApd of adult virgin male and female
prairie voles. We also investigated the presence of TH/AADC cells in the
anteroventral periventricular nucleus (AVPV), medial preoptic area (MPO), arcuate
nucleus (ARH), zona incerta (ZI), substantia nigra (SN) and ventral tegmental
area (VTA). Among our findings were: (1) the pBST and MeApd each contained
completely non-overlapping distributions of TH-ir and AADC-ir cells, (2) the AVPV
contained surprisingly few AADC-ir cells and almost no TH-ir cells contained AADC
ir, (3) approximately 60% of the TH-ir cells in the MPO, ARH, and ZI also
contained AADC-ir, (4) unexpectedly, only about half of TH-ir cells in the SN and
VTA contained AADC-ir, and (5) notable populations of AADC-ir cells were found
outside traditional monoamine-synthesizing regions, including some sites that do
not contain AADC-ir cells in adult laboratory rats or cats (medial septum and
cerebral cortex). In the absence of the chemical requirements to produce DA,
monoenzymatic TH-ir cells in the virgin adult prairie vole pBST, MeApd, and
elsewhere in their brain may instead produce L-DOPA as an end product and use it
as a neurotransmitter or neuromodulator, similar to what has been observed for
monoenzymatic TH-synthesizing cells in the laboratory rat brain.
PMID- 22074806
TI - Age-associated loss of selectivity in human olfactory sensory neurons.
AB - We report a cross-sectional study of olfactory impairment with age based on both
odorant-stimulated responses of human olfactory sensory neurons (OSNs) and tests
of olfactory threshold sensitivity. A total of 621 OSNs from 440 subjects in 2
age groups of younger (<= 45 years) and older (>= 60 years) subjects were
investigated using fluorescence intensity ratio fura-2 imaging. OSNs were tested
for responses to 2 odorant mixtures, as well as to subsets of and individual
odors in those mixtures. Whereas cells from younger donors were highly selective
in the odorants to which they responded, cells from older donors were more likely
to respond to multiple odor stimuli, despite a loss in these subjects' absolute
olfactory sensitivity, suggesting a loss of specificity. This degradation in
peripheral cellular specificity may impact odor discrimination and olfactory
adaptation in the elderly. It is also possible that chronic adaptation as a
result of reduced specificity contributes to observed declines in absolute
sensitivity.
PMID- 22074807
TI - No association of ALOX5AP polymorphisms with risk of MRI-defined brain infarcts.
AB - The arachidonate 5-lipoxygenase-activating protein (ALOX5AP) gene has been
associated with stroke. The majority of the reported ALOX5AP associations have
considered non-radiologically confirmed infarcts as the stroke phenotype. We
assessed the association of genetic variants in ALOX5AP with stroke defined by
the presence of infarcts on brain magnetic resonance imaging (MRI). We studied
202 persons with MRI-defined brain infarcts and 487 healthy individuals of
Caribbean Hispanic ancestry. Another sample of European ancestry comprised 1823
persons with MRI-defined brain infarct and 7578 control subjects. Subjects were
genotyped for the 4 single nucleotide polymorphisms (SNPs) that define ALOX5AP
HapA haplotype. No association was found between SNPs and MRI-defined brain
infarcts. Our data do not support the hypothesis that variants in ALOX5AP are
associated with risk of MRI-defined brain infarcts.
PMID- 22074808
TI - Taxol alleviates 2-methoxyestradiol-induced endothelial permeability.
AB - We have previously shown that the anti-cancer agent 2-methoxyestradiol (2ME)
induces hyperpermeability across endothelial monolayers. Here, we show that both
microtubule disruptor, 2ME, and microtubule stabilizer, paclitaxel (taxol),
increase vascular lung permeability in vitro and in vivo. Simultaneous
application of 2ME and taxol alleviates 2ME-induced endothelial barrier
dysfunction, which is evident by the decreased Evans Blue Dye accumulation in
lung tissue and increased transendothelial resistance across monolayers. 2ME
significantly increases the level of p38 and MLC phosphorylation in both
endothelial monolayers and murine lungs; this increase is suppressed in the
presence of taxol. Taxol treatment leads to an immediate and sustained increase
in tubulin acetylation in human pulmonary artery endothelial cells (HPAEC).
Surprisingly, 2ME treatment also increases tubulin acetylation; however, the
onset of this process is delayed and coincides with the stage of a partial
barrier restoration in HPAEC monolayer. Inhibition of histone deacetylase 6
(HDAC6) with tubacin increases tubulin acetylation level, suppresses 2ME-induced
HSP27 and MLC phosphorylation, and decreases 2ME-induced barrier dysfunction,
suggesting barrier-protective and/or barrier-restorative role for tubulin
acetylation in vascular endothelium.
PMID- 22074809
TI - AMP-activated protein kinase and the control of smooth muscle cell
hyperproliferation in vascular disease.
AB - Smooth muscle cell (SMC) accumulation within the arterial intima contributes to
the formation of atherosclerotic lesions. Emerging data indicate that the
adenosine monophosphate-activated protein kinase (AMPK) is a potent inhibitor of
SMC proliferation. The anti-proliferative action of AMPK is mediated through
multiple mechanisms, including the regulation of cyclin dependent kinase
inhibitors expression p21(Cip1) and p27(kip1) and the inhibition of the mammalian
target of rapamycin complex 1 (mTORC1). A favorable effect of AMPK activation on
intima hyperplasia has been demonstrated in in vivo experimental models by using
the AMPK activator 5-aminoimidazole-4-carboxamide ribonucleoside (AICAR), or by
studying the AMPKalpha(-/-) mice. Starting from these evidences, a number of
atheroprotective drugs with antiproliferative properties have been shown to
induce AMPK phosphorylation. Among them, the Ca(2+) channel blocker nifedipine
was demonstrated to act through AMPK, independent of its calcium channel blocking
activity. In the present review I summarize current knowledge on the basic
biological function of AMPK in relationship to vascular SMC proliferation; the
evidence for the role of AMPK in in vivo intima hyperplasia; and the drugs for
which a pharmacological activity on AMPK has been shown.
PMID- 22074810
TI - Electrochemical sensor based on molecularly imprinted film at polypyrrole
sulfonated graphene/hyaluronic acid-multiwalled carbon nanotubes modified
electrode for determination of tryptamine.
AB - An imprinted electrochemical sensor based on polypyrrole-sulfonated graphene (PPy
SG)/hyaluronic acid-multiwalled carbon nanotubes (HA-MWCNTs) for sensitive
detection of tryptamine was presented. Molecularly imprinted polymers (MIPs) were
synthesized by electropolymerization using tryptamine as the template, and para
aminobenzoic acid (pABA) as the monomer. The surface feature of the modified
electrode was characterized by cyclic voltammetry (CV). The proposed sensor was
tested by chronoamperometry. Several important parameters controlling the
performance of the molecularly imprinted sensor were investigated and optimized.
The results showed that the PPy-SG composites films showed improved conductivity
and electrochemical performances. HA-MWCNTs bionanocomposites could enhance the
current response evidently. The good selectivity of the sensor allowed three
discriminations of tryptamine from interferents, which include tyramine, dopamine
and tryptophan. Under the optimal conditions, a linear ranging from 9.0*10(-8)
mol L(-1) to 7.0*10(-5) mol L(-1) for the detection of tryptamine was observed
with the detection limit of 7.4*10(-8) mol L(-1) (S/N=3). This imprinted
electrochemical sensor was successfully employed to detect tryptamine in real
samples.
PMID- 22074811
TI - The role of neuromodulators in selective attention.
AB - Several classes of neurotransmitters exert modulatory effects on a broad and
diverse population of neurons throughout the brain. Some of these
neuromodulators, especially acetylcholine and dopamine, have long been implicated
in the neural control of selective attention. We review recent evidence and
evolving ideas about the importance of these neuromodulatory systems in
attention, particularly visual selective attention. We conclude that, although
our understanding of their role in the neural circuitry of selective attention
remains rudimentary, recent research has begun to suggest unique contributions of
neuromodulators to different forms of attention, such as bottom-up and top-down
attention.
PMID- 22074812
TI - Characterizing longitudinal patterns of physical activity in mid-adulthood using
latent class analysis: results from a prospective cohort study.
AB - The authors aimed to describe how longitudinal patterns of physical activity
during mid-adulthood (ages 31-53 years) can be characterized using latent class
analysis in a population-based birth cohort study, the Medical Research Council's
1946 National Survey of Health and Development. Three different types of physical
activity-walking, cycling, and leisure-time physical activity-were analyzed
separately using self-reported data collected from questionnaires between 1977
and 1999; 3,847 study members were included in the analysis for one or more types
of activity. Patterns of activity differed by sex, so stratified analyses were
conducted. Two walking latent classes were identified representing low (52.8% of
males in the cohort, 33.5% of females) and high (47.2%, 66.5%) levels of
activity. Similar low (91.4%, 82.1%) and high (8.6%, 17.9%) classes were found
for cycling, while 3 classes were identified for leisure-time physical activity:
"low activity" (46.2%, 48.2%), "sports and leisure activity" (31.0%, 35.3%), and
"gardening and do-it-yourself activities" (22.8%, 16.5%). The classes were
reasonably or very well separated, with the exception of walking in females.
Latent class analysis was found to be a useful tool for characterizing
longitudinal patterns of physical activity, even when the measurement instrument
differs slightly across ages, which added value in comparison with observed
activity at a single age.
PMID- 22074814
TI - Relationship between the level of antibodies in bulk tank milk and the within
herd seroprevalence of Coxiella burnetii in cows.
AB - The relationship between the level of antibodies in bulk tank milk (BTM) and the
within-herd seroprevalence of Coxiella burnetii in cows was assessed. Blood from
milking cows and BTM were sampled in 55 infected herds and tested using
commercial enzyme-linked immunosorbent assay (ELISA) kits. The relationship
between antibody levels and WHP, which was quantified using a general linear
model, was only moderate (R(2)=0.15). Nevertheless, the lowest antibody level in
BTM was associated with the lowest mean within-herd prevalence. The present
finding indicates that ELISA applied to BTM could identify infected herds with
quite low within-herd seroprevalence. For such herds, the vaccination of dairy
cows as well as nulliparous heifers using a phase I vaccine could effectively
prevent C. burnetii shedding.
PMID- 22074813
TI - The treatment of severe child aggression (TOSCA) study: Design challenges.
AB - BACKGROUND: Polypharmacy (the concurrent use of more than one psychoactive drug)
and other combination interventions are increasingly common for treatment of
severe psychiatric problems only partly responsive to monotherapy. This practice
and research on it raise scientific, clinical, and ethical issues such as
additive side effects, interactions, threshold for adding second drug,
appropriate target measures, and (for studies) timing of randomization. One
challenging area for treatment is severe child aggression. Commonly-used
medications, often in combination, include psychostimulants, antipsychotics, mood
stabilizers, and alpha-2 agonists, which vary considerably in terms of perceived
safety and efficacy. RESULTS: In designing our NIMH-funded trial of polypharmacy,
we focused attention on the added benefit of a second drug (risperidone) to the
effect of the first (stimulant). We selected these two drugs because their
associated adverse events might neutralize each other (e.g., sleep delay and
appetite decrease from stimulant versus sedation and appetite increase from
antipsychotic). Moreover, there was considerable evidence of efficacy for each
drug individually for the management of ADHD and child aggression. The study
sample comprised children (ages 6-12 years) with both diagnosed ADHD and
disruptive behavior disorder (oppositional-defiant or conduct disorder)
accompanied by severe physical aggression. In a staged sequence, the medication
with the least problematic adverse effects (stimulant) was openly titrated in 3
weeks to optimal effect. Participants whose behavioral symptoms were not
normalized received additional double-blind medication, either risperidone or
placebo, by random assignment. Thus children whose behavioral symptoms were
normalized with stimulant medication were not exposed to an antipsychotic. All
families participated in an empirically-supported parent training program for
disruptive behavior, so that the actual comparison was stimulant+parent training
versus stimulant+antipsychotic+parent training. CONCLUSIONS: We hope that the
resolutions of the challenges presented here will be useful to other
investigators and facilitate much-needed research on child psychiatric
polypharmacy. TRIAL REGISTRATION: ClinicalTrials.gov NCT00796302.
PMID- 22074815
TI - Theoretical validation on the existence of two transverse surface waves in
piezoelectric/elastic layered structures.
AB - In this paper, we analytically study the dispersion behavior of transverse
surface waves in a piezoelectric coupled solid consisting of a transversely
isotropic piezoelectric ceramic layer and an isotropic metal or dielectric
substrate. This study is a revisit to the stiffened Love wave propagation done
previously. Closed-form dispersion equations are obtained in a very simple
mathematical form for both electrically open and shorted cases. From the
viewpoint of physical situation, two transverse surface waves (i.e., the
stiffened Love wave and the FDLW-type wave) are separately found in a PZT-4/steel
system and a PZT-4/zinc system. All the observed dispersion curves are
theoretically validated through the discussion on the limit values of phase
velocity using the obtained dispersion equations. Those validation and discussion
give rise to a deeper understanding on the existence of transverse surface waves
in such piezoelectric coupled structures. The results can be used as a benchmark
for the study of the wave propagation in the piezoelectric coupled structures and
are significant in the design of wave propagation in the piezoelectric coupled
structures as well.
PMID- 22074817
TI - Large procyanidins prevent bile-acid-induced oxidant production and membrane
initiated ERK1/2, p38, and Akt activation in Caco-2 cells.
AB - Procyanidins are oligomers of flavanol subunits present in large amounts in
fruits and vegetables. Their consumption is associated with health benefits
against colonic inflammation and colorectal cancer (CRC). Large procyanidins
(with more than three subunits) are not absorbed by intestinal epithelial cells
but could exert biological actions through their interactions with the cell
membrane. This study investigated the capacity of hexameric procyanidins (Hex) to
prevent oncogenic events initiated by deoxycholic acid (DCA), a secondary bile
acid linked to the promotion of CRC. Hex interacted with Caco-2 cell membranes
preferentially at the water-lipid interface. Hex (2.5-20 MUM) inhibited DCA
triggered increase in cellular calcium, NADPH oxidase activation, and oxidant
production. DCA promoted the activation of protein kinase B (Akt), of the mitogen
activated protein kinases ERK1/2 and p38, and of the downstream transcription
factor AP-1. This activation was not triggered by calcium or oxidant increases.
Hex caused a dose-dependent inhibition of DCA-mediated activation of all these
signals. DCA also triggered alterations in the cell monolayer morphology and
apoptotic cell death, events that were delayed by Hex. The capacity of large
procyanidins to interact with the cell membrane and prevent those cell membrane
associated events can in part explain the beneficial effects of procyanidins on
CRC.
PMID- 22074816
TI - [Early invasive strategy in non-ST-segment elevation acute coronary syndrome. The
paradox continues].
AB - OBJECTIVE: Observational studies have reported a paradoxical inverse relationship
between the use of an early invasive strategy (EIS) and the risk of events in
patients with non-ST-segment elevation acute coronary syndrome (NSTE ACS). The
study objectives are: 1) to examine the association between baseline risk in
patients with NSTE ACS and the use of EIS; and 2) to identify some of the factors
independently associated to the use of EIS. DESIGN: Retrospective cohort study.
SETTING: Intensive care units participating in the SEMICYUC ARIAM Registry.
PATIENTS: Consecutive patients admitted with a diagnosis of NSTE-ACS within 48
hours of evolution between the months of April-July 2010. INTERVENTIONS: None.
MAIN OUTCOMES: Coronary angiography with or without angioplasty within 72 hours,
risk stratification using the GRACE scale. RESULTS: We analyzed 543 patients with
NSTE-ACS, of which 194 were of low risk, 170 intermediate risk and 179 high risk.
The EIS was used in 62.4% of the patients at low risk, in 60.2% of those with
intermediate risk, and in 49.7% of those at high risk (p for tendency 0.0144).
The EIS was used preferentially in patients with low severity and comorbidity. In
the logistic regression model, EIS was independently associated to the
availability of a catheterization laboratory (OR 2.22 [CI 95% 1.55 to 3.19]), the
presence of ST changes on ECG (OR 1.80 [1.23 to 2.64]), or the existence of a low
risk of bleeding (OR 0.76 [0.66 to 0.88)]. Conversely, EIS was less prevalent in
patients with diabetes (OR 0.60 [0.41 to 0.88]) or tachycardia upon admission (OR
0.54 [0 36 to 0.82]). CONCLUSIONS: In 2010 there remained a lesser relative use
of EIS in patients at high risk, due in part to an increased risk of bleeding in
these patients.
PMID- 22074818
TI - Validation of an air-puff passive-avoidance paradigm for assessment of aversive
learning and memory in rat models of chronic pain.
AB - Chronic pain is associated with cognitive deficits. Considerable overlap in brain
regions involved in pain and aversion suggests that aversive learning and memory
may be affected during chronic pain. Passive-avoidance paradigms traditionally
use foot-shock to induce context-conditioned avoidance and may be unsuitable for
use in animal models of chronic pain, which are commonly associated with
hypersensitivity of the hind-paws. The aim of the present study was to develop
and validate a novel passive-avoidance paradigm in rats, employing air-puff as
the aversive stimulus, and to use this paradigm to assess aversive learning and
memory in rat models of chronic inflammatory and neuropathic pain. Air-puff
exposure produced a significant passive-avoidance and this response was
attenuated following administration of scopolamine. Nerve-ligated rats and rats
injected with complete Freund's adjuvant developed allodynia and hyperalgesia.
Air-puff produced a significant passive-avoidance response in both chronic pain
models. However, there was no difference in the response between either model and
its respective control group. Thus, air-puff can be used as an alternative to
foot-shock to induce a passive-avoidance response. The data generated using this
model suggest that aversive learning and memory remain intact in the rat spinal
nerve ligation and complete Freund's adjuvant models of chronic neuropathic and
inflammatory pain, respectively.
PMID- 22074819
TI - Lipschitz-Killing curvature based expected Euler characteristics for p-value
correction in fNIRS.
AB - Functional near-infrared spectroscopy (fNIRS) is a non-invasive imaging approach
for measuring brain activities based on changes in the cerebral concentrations of
hemoglobin. Recently, statistical analysis based on a general linear model (GLM)
has become popular. Here, to impose statistical significance on the activation
detected by fNIRS, family-wise error (FWE) rate control is important. However,
unlike fMRI, in which measurements are densely sampled on a regular lattice and
Gaussian smoothing makes the resulting random field homogeneous, the random
fields from fNIRS are inhomogeneous due to the interpolation from sparsely and
irregularly distributed optode locations. Thus, tube formula based correction has
been proposed to address this issue. However, Sun's tube formula cannot be used
for general random fields such as F-statistics. To overcome these difficulties,
we employ the expected Euler characteristic approach based on Lipschitz-Killing
curvature (LKC) to control the family-wise error rate. We compared this
correction method with Sun's tube formula for t-statistics to confirm the
existing method. Based on this comparison, we show that covariance estimation
should be modified to consider channel-wise least-square residual correlation.
These new results supplement the existing tool of statistical parameter mapping
for fNIRS.
PMID- 22074820
TI - Proteasome inhibitors induce apoptosis and reduce viral replication in primary
effusion lymphoma cells.
AB - Primary effusion lymphoma (PEL) is an aggressive neoplasm caused by Kaposi's
sarcoma-associated herpesvirus (KSHV). This study provides evidence that
proteasomal activity is required for both survival of PEL cells stably harboring
the KSHV genome and viral replication of KSHV. We evaluated the cytotoxic effects
of proteasome inhibitors on PEL cells. The proteasome inhibitors MG132,
lactacystin, and proteasome inhibitor I dramatically inhibited cell proliferation
and induced apoptosis of PEL cells through the accumulation of p21 and p27.
Furthermore, proteasome inhibitors induced the stabilization of NF-kappaB
inhibitory molecule (IkappaBalpha) and suppressed the transcriptional activity of
NF-kappaB in PEL cells. The NF-kappaB specific inhibitor BAY11-7082 also induced
apoptosis in PEL cells. The constitutive activation of NF-kappaB signaling is
essential for the survival and growth of B cell lymphoma cells, including PEL
cells. NF-kappaB signaling is upregulated by proteasome-dependent degradation of
IkappaBalpha. The suppression of NF-kappaB signaling by proteasome inhibitors may
contribute to the induction of apoptosis in PEL cells. In addition, proteasome
activity is required for KSHV replication in KSHV latently infected PEL cells.
MG132 reduced the production of progeny virus from PEL cells at low
concentrations, which do not affect PEL cell growth. These findings suggest that
proteasome inhibitors may represent a novel strategy for the treatment of KSHV
infection and KSHV-associated lymphomas.
PMID- 22074821
TI - Induction of antigen-specific immunity by pH-sensitive carbonate apatite as a
potent vaccine carrier.
AB - The ability of carbonate apatite (CO(3)Ap) to enhance antigen-specific immunity
was examined in vitro and in vivo to investigate its utility as a vaccine
carrier. Murine bone marrow-derived dendritic cells took up ovalbumin (OVA)
containing CO(3)Ap more effectively than free OVA. Interestingly, mice immunized
with OVA-containing CO(3)Ap produced OVA-specific antibodies more effectively
than mice immunized with free OVA. Furthermore, immunization of C57BL/6 mice with
OVA-containing CO(3)Ap induced the proliferation and antigen-specific production
of IFN-gamma by splenocytes more strongly than immunization with free OVA.
Moreover, no significant differences were detected in the induction of delayed
type hypersensitivity responses, an immune reaction involving an antigen
specific, cell-mediated immune response between OVA-containing CO(3)Ap and OVA
containing alumina salt (Alum), suggesting that CO(3)Ap induced cell-mediated
immune response to the same degree as Alum, which is commonly used for clinical
applications. This study is the first to demonstrate the induction of antigen
specific immune responses in vivo by CO(3)Ap.
PMID- 22074822
TI - Effects of micropatterned curvature on the motility and mechanical properties of
airway smooth muscle cells.
AB - Geometric features such as size and shape of the microenvironment are known to
alter cell behaviors such as growth, differentiation, apoptosis, and migration.
Little is known, however, about the effect of curvature on cell behaviors despite
that many cells reside in curved space of tubular organs such as the bronchial
airways. To address this question, we fabricated micropatterned strips that mimic
airway walls with varying curvature. Then, we cultured airway smooth muscle cells
(ASMCs) on these strips and investigated the cells' motility and mechanical
properties using time-lapse imaging microscopy and optical magnetic twisting
cytometry (OMTC). We found that both motility and mechanical properties of the
ASMCs were influenced by the curvature. In particular, when the curvature
increased from 0 to 1/150 MUm(-1), the velocity of cell migration first decreased
(0-1/750 MUm(-1)), and then increased (1/750-1/150 MUm(-1)). In contrast, the
cell stiffness increased and then decreased. Thus, at the intermediate curvature
(1/750 MUm(-1)) the ASMCs were the least motile, but most stiff. The
contractility instead decreased consistently as the curvature increased. The
level of F-actin, and vinculin expression within the ASMCs appeared to correlate
with the contractility and motility, respectively, in relation to the curvature.
These results may provide valuable insights to understanding the heterogeneity of
airway constrictions in asthma as well as the developing and functioning of other
tubular organs and tissue engineering.
PMID- 22074823
TI - STAT3 signaling pathway is necessary for cell survival and tumorsphere forming
capacity in ALDH+/CD133+ stem cell-like human colon cancer cells.
AB - Persistent activation of Signal Transducers and Activators of Transcription 3
(STAT3) is frequently detected in colon cancer. Increasing evidence suggests the
existence of a small population of colon cancer stem or cancer-initiating cells
may be responsible for tumor initiation, metastasis, and resistance to
chemotherapy and radiation. Whether STAT3 plays a role in colon cancer-initiating
cells and the effect of STAT3 inhibition is still unknown. Flow cytometry was
used to isolate colon cancer stem-like cells from three independent human colon
cancer cell lines characterized by both aldehyde dehydrogenase (ALDH)-positive
and CD133-positive subpopulation (ALDH(+)/CD133(+)). The effects of STAT3
inhibition in colon cancer stem-like cells were examined. The phosphorylated or
activated form of STAT3 was expressed in colon cancer stem-like cells and was
reduced by a STAT3-selective small molecular inhibitor, FLLL32. FLLL32 also
inhibited the expression of potential STAT3 downstream target genes in colon
cancer stem-like cells including survivin, Bcl-XL, as well as Notch-1, -3, and
4, which may be involved in stem cell function. Furthermore, FLLL32 inhibited
cell viability and tumorsphere formation as well as induced cleaved caspase-3 in
colon cancer stem-like cells. FLLL32 is more potent than curcumin as evidenced
with lower IC50 in colon cancer stem-like cells. In summary, our results indicate
that STAT3 is a novel therapeutic target in colon cancer stem-like cells and
inhibition of STAT3 in cancer stem-like cells may offer a potential treatment for
colorectal cancer.
PMID- 22074824
TI - Silencing p110beta prevents rapid depletion of nuclear pAkt.
AB - The p110beta subunit in the class IA PI3K family may act as an oncogene and is
critical for prostate tumor development in PTEN knockout mice. We tested the
possible involvement of p110beta in a recently described rapid depletion of
phosphorylated Akt (pAkt) in the nucleus. Previous work showed that this down
regulation is induced by extracellular ATP or by statins and is mediated by the
purinergic receptor P2X7. Here, we used p110beta knock out mouse embryonic
fibroblasts (MEFs) and siRNA-treated cancer cells. We found that p110beta is
essential for ATP- or statin-induced nuclear pAkt depletion in MEFs and in
several cancer cell lines including prostate cancer cells. ATP, statin or the
selective P2X7 agonist BzATP also inhibited cell growth, and this inhibition was
not seen in p110beta knock out cells. We also found that p110beta was necessary
for statin-induced changes in binding between FKBP51, pAkt and PTEN. Our data
show that p110beta is essential for the ATP- and statin-induced effects and
support a role of nuclear pAkt in cancer development. They also provide support
for a chemopreventive effect of statins mediated by depletion of nuclear pAkt.
PMID- 22074825
TI - IRS-2 deficiency in macrophages promotes their accumulation in the vascular wall.
AB - The aim of this study was to investigate the role of insulin receptor substrate-2
(IRS-2) mediated signal in macrophages on the accumulation of macrophages in the
vascular wall. Mice transplanted with IRS-2(-/-) bone marrow, a model of myeloid
cell restricted defect of IRS-2, showed accumulation of monocyte chemoattractant
protein-1-expressing macrophages in the vascular wall. Experiments using cultured
peritoneal macrophages showed that IRS-2-mediated signal pathway stimulated by
physiological concentrations of insulin, not by IL-4, contributed to the
suppression of monocyte chemoattractant protein-1 expression induced by
lipopolysaccharide. Our data indicated that IRS-2 deficiency in macrophages
enhanced their accumulation in the vascular wall accompanied by increased
expression of proinflammatory mediators in macrophages. These results suggest a
role for insulin resistance in macrophages in early atherosclerogenesis.
PMID- 22074826
TI - Differential activation of cAMP- and cGMP-dependent protein kinases by cyclic
purine and pyrimidine nucleotides.
AB - The cyclic purine nucleotides cAMP and cGMP are well-characterized second
messengers and activators of PKA and PKG, respectively. In contrast, the
functions of the cyclic pyrimidine nucleotides cCMP and cUMP are poorly
understood. cCMP induces relaxation of smooth muscle via PKGI, and
phosphodiesterases differentially hydrolyze cNMPs. Here, we report that cNMPs
differentially activate PKA isoforms and PKGIalpha. The combination of cCMP with
cAMP reduced the EC(50) of cAMP for PKA. PKGIalpha exhibited higher specificity
for the cognate cNMP than PKA. Our data support a role of cCMP and cUMP as second
messengers.
PMID- 22074827
TI - Role of ubiquitination in PCSK9-mediated low-density lipoprotein receptor
degradation.
AB - The proprotein convertases subtilisin kexin 9 (PCSK9) binds to the epidermal
growth factor domain A (EGF-A) of low-density lipoprotein receptor (LDLR) and
leads to its destruction. However, the intracellular processes leading to LDLR
degradation have not been fully delineated. In this report, we show that PCSK9
treatment can lead to ubiquitination of LDLR, which was enhanced in the presence
of proteasome inhibitor MG132. Furthermore, LDLR protein carrying mutations in
the C-terminal ubiquitination sites was resistant to PCSK9-mediated degradation.
Our data suggest that the ubiquitination system is involved in PCSK9-induced LDLR
degradation.
PMID- 22074828
TI - Flavonoids inhibit high glucose-induced up-regulation of ICAM-1 via the p38 MAPK
pathway in human vein endothelial cells.
AB - Recently, several flavonoids have been shown to have cardioprotective, cancer
preventive, or anti-inflammatory properties. However, the specific mechanisms
underlying their protective effects remain unclear. We aimed to investigate the
different effects of three representative flavonoids-hesperidin, naringin, and
resveratrol-on intracellular adhesion molecule-1 (ICAM-1) induction in human
umbilical vein endothelial cells (HUVECs) by using high-glucose (HG)
concentrations and the possible underlying molecular mechanisms. In HG-induced
HUVEC cultures, the effects of three different flavonoids on ICAM-1 production
and p38 phosphorylation were examined in the presence or absence of inhibitors
targeting the mitogen-activated protein kinase (MAPK) signal transduction
pathway. HG stimulation of HUVECs increased the levels of the adhesion molecules
ICAM-1 and endothelial selectin (E-selectin). Pretreatment with all the three
flavonoids drastically inhibited ICAM-1 expression in a time-dependent manner,
but did not alter VCAM-1 and E-selectin expressions. Moreover, we investigated
the effects of flavonoids on the MAPK signal transduction pathway, because MAPK
families are associated with vascular inflammation under stress. These flavonoids
did not block HG-induced phosphorylation of extracellular signal-regulated kinase
(ERK) and c-Jun N-terminal kinase (JNK), but completely inhibited the HG-induced
phosphorylation of p38 MAPK. SB202190, an inhibitor of p38 MAPK, also inhibited
the HG-induced enrichment of ICAM-1. This study demonstrated that hesperidin,
naringin, and resveratrol reduced the HG-induced ICAM-1 expression via the p38
MAPK signaling pathway, contributing to the inhibition of monocyte adhesion to
endothelial cells.
PMID- 22074829
TI - Lysophosphatidylcholine enhances the suppressive function of human naturally
occurring regulatory T cells through TGF-beta production.
AB - Naturally occurring CD4(+)CD25(+) regulatory T cells (nTregs) play a pivotal role
in the maintenance of self-tolerance and immune homeostasis. To gain insight into
the mechanism of action of nTregs in pathological and physiological immune
responses, it is important to analyze bioactive molecules that modulate the
maintenance and function of nTregs. From a library of bioactive lipids, we
obtained lysophosphatidylcholine (LPC) as a molecule that enhanced the Foxp3
expression and suppressive function of human nTregs significantly in comparison
with those of DMSO-treated nTregs (control). The expression levels of TGF-beta1
mRNA and protein in LPC-treated nTregs were significantly higher than those in
control nTregs. After treatment with anti-TGF-beta1 antibody, the increases in
Foxp3 expression and the suppressive properties of LPC-treated nTregs returned to
the levels observed in control nTregs. These findings indicate that LPC enhances
Foxp3 expression and the suppressive function of nTregs through TGF-beta1
produced by nTregs themselves. Experimental knockdown of G2A and GPR4 showed that
this LPC-induced TGF-beta1 expression in nTregs was due to G2A signaling, and did
not involve GPR4. Moreover, JNK was a major contributor to LPC-induced TGF-beta1
expression in nTregs, although LPC activated MAPKs including ERK1/2, p38 MAPK,
and JNK via G2A. LPC is a bioactive lysolipid highly abundant in the circulation.
Therefore, LPC may contribute to the maintenance and function of human nTregs in
vivo.
PMID- 22074830
TI - Involvement of proton-sensing receptor TDAG8 in the anti-inflammatory actions of
dexamethasone in peritoneal macrophages.
AB - Dexamethasone (DEX), a potent glucocorticoid, increased the expression of T-cell
death associated gene 8 (TDAG8), a proton-sensing G protein-coupled receptor,
which is associated with the enhancement of acidic pH-induced cAMP accumulation,
in peritoneal macrophages. We explored the role of increased TDAG8 expression in
the anti-inflammatory actions of DEX. The treatment of macrophages with either
DEX or acidic pH induced the cell death of macrophages; however, the cell death
was not affected by TDAG8 deficiency. While DEX inhibited lipopolysaccharide
induced production of tumor necrosis factor-alpha, an inflammatory cytokine,
which was independent of TDAG8, at neutral pH, the glucocorticoid enhanced the
acidic pH-induced inhibition of tumor necrosis factor-alpha production in a
manner dependent on TDAG8. In conclusion, the DEX-induced increase in TDAG8
expression is in part involved in the glucocorticoid-induced anti-inflammatory
actions through the inhibition of inflammatory cytokine production under the
acidic pH environment. On the other hand, the role of TDAG8 in the DEX-induced
cell death is questionable.
PMID- 22074832
TI - Mixed dentition space analysis for a northern Italian population: new regression
equations for unerupted teeth.
AB - OBJECTIVE: The aim of this study was to determine linear regression equations for
a Northern Italian population to predict mandibular permanent premolars and
canines widths, and particularly, second premolar widths, using the sum of the
widths of the four mandibular incisors, canines and first premolars. MATERIALS
AND METHODS: Mesiodistal tooth widths were measured from 230 dental casts
obtained from Northern Italian patients (130 females and 100 males). Student's t
test or Wilcoxon test for independent and paired samples were used to determine
right/left side and male/female differences. Correlation coefficients and linear
regression equations were constructed to evaluate the relationship between 1) the
combined mesiodistal widths of the permanent mandibular incisors (independent
variable) and the combined mesiodistal widths of the canine and premolars
(dependent variable); 2) the combined mesiodistal widths of the incisors, canines
and first premolars (independent variable) and the widths of the second premolars
(dependent variable). Significance value was set a priori at 0.05. RESULTS: A
linear regression equation was found, y=0.585x+7.927 (r=0.734) considering right
and left sides of mandibular arch together, to predict canines and premolars
widths. For second premolar width, the linear regression equation was
y=0.201x+2.149 (r=0.641), even when considering the right and left sides of the
mandibular arch together. CONCLUSIONS: New regression equations were derived for
a Northern Italian population.
PMID- 22074833
TI - Dentition phase and chronological age in relation to gingival crevicular fluid
alkaline phosphatase activity in growing subjects.
AB - OBJECTIVE: Identification of skeletal maturation phases is of primary importance
in terms of individual responsiveness to nearly all dentofacial orthopaedic
treatments. In this regard, dentition phase and chronological age are still
widely used to define the timing of and responsiveness to orthodontic treatments.
Recently, gingival crevicular fluid (GCF) alkaline phosphatase (ALP) activity has
been shown to be a reliable biomarker of skeletal maturation in growing subjects.
Here, for the first time, circumpubertal dentition phases and chronological age
were evaluated for correlations with GCF ALP activity, as a biomarker of skeletal
maturation. MATERIALS AND METHODS: Eighty-five healthy growing subjects (51
females, 34 males; mean age, 11.7+/-2.3 years) were enrolled into this double
blind, prospective, cross-sectional-design study. Samples of GCF were collected
from each subject at the mesial and distal sites of both of the central incisors,
at the maxillary and mandibular arches. Their dentition phases were recorded as
intermediate mixed, late mixed, or permanent. GCF ALP enzymatic activity was
determined spectrophotometrically. RESULTS: The dentition phases showed median
GCF ALP activities from 42.0 to 67.5 mU/sample. Although these were slightly
greater for the permanent dentition, no significant differences were seen. Also,
the chronological age did not correlate significantly with GCF ALP activity, and
no significant differences were seen between maxillary and mandibular sites in
any of the comparisons. CONCLUSIONS: Assessment for treatment timing of
dentofacial disharmonies in individual patients that require monitoring of their
skeletal maturation phases should not rely on their circumpubertal dentition
phase and chronological age.
PMID- 22074834
TI - Mesial rotation of upper first molars in Class II division 1 malocclusion in the
mixed dentition: a controlled blind study.
AB - OBJECTIVE: To compare the amount of upper molar rotation in subjects with Class
II Division 1 malocclusion and subjects with normal occlusion in the intermediate
and late mixed dentition phases. MATERIALS AND METHODS: Dental cast measurements
were performed in a sample of 120 Class II Division 1 subjects (CL2 group, 67
females and 53 males, mean age 9.4+/-1.1 years), and in a sample of 58 Class I
subjects (CL1 group, 34 females and 24 males, mean age 9.7+/-1.2 years).
Independent sample t tests were used for statistical comparisons (P<.05).
RESULTS: The amount of upper molar rotation was significantly greater in CL2
group when compared with CL1 group as assessed by both the mesial and buccal
molar cusp angles. No differences were found with regard to upper or lower arch
depths, or upper intercanine width. CL2 group showed a significant deficiency in
upper intermolar width along with a significant posterior transverse interarch
discrepancy when compared with CL1 group. CONCLUSIONS: Subjects with Class II
malocclusion in the mixed dentition present with mesial upper molar rotation in
about 84% of the cases. The correction of molar rotation may provide between 1
and 2mm of gain in arch perimeter and of improvement in molar relationships per
side in 5 out 6 Class II patients.
PMID- 22074835
TI - Malocclusions and non-nutritive sucking habits: a preliminary study.
AB - OBJECTIVE: This study investigated the non-nutritive sucking habits in a sample
of patients with malocclusions at the Unit of Pediatric Dentistry of the
"Sapienza", University of Rome. MATERIALS AND METHODS: 81 children (average age
5.9 years) were examined from January to December 2008. All children showed
typical malocclusions that could be associated with non-nutritive sucking habits
(pacifier or finger). Increased overjet (OVJ), anterior openbite (OPB), and
posterior crossbite (CRB) were recorded in this survey. A questionnaire was
directed to parents. RESULTS: At the first examination 40.4% of pacifier users
over 24 months were affected by an anterior OPB, 50% by a posterior CRB and 39.4%
by an increased OVJ. Moreover, 40.4% of the children with finger or thumb sucking
behavior were affected by an anterior OPB, 29.2% by a posterior CRB, and 42.4% by
an increased OVJ. CONCLUSIONS: No statistically significant associations could be
detected between the non-nutritive sucking habits and the malocclusions. There
was no difference in type of habit in children who presented an anterior OPB,
while there was a higher prevalence rate of children with a posterior CRB who had
sucked the pacifier and of children with increased OVJ who had sucked their
finger.
PMID- 22074836
TI - Spontaneous eruption of impacted second molars.
AB - OBJECTIVES: To propose a treatment protocol for the management of impacted second
molars. MATERIALS AND METHODS: Patients were randomly divided into two equal
groups (20 patients in each). The patients in the first group underwent a
surgical removal of the mucosa covering retained teeth; the second group was the
control group and patients were not treated but only observed. The total number
of retained teeth considered were 66 (34 in the first group and 32 in the control
group). A Chi squared test has been performed to compare the prevalence of
eruption in the two groups. We compared the frequency of eruption for the
treatment compared to the control group by calculating the risk ratio (RR) and
95% confidence interval (95% CI). RESULTS: Eruption occurred in 32 teeth after
surgical removal of the mucosa while in the control group only 3 teeth erupted in
the considered period. The difference between the two groups showed statistically
significant. Among the non erupted teeth, 20 were positioned with a mesial
inclination and 12 were positioned vertically. CONCLUSIONS: The results show that
the removal of the mucosa covering teeth allows in several cases second molars to
erupt. It's a conservative method which doesn't exclude the possibility of a
successive different therapy. Therefore it must be considered among the treatment
options during treatment planning.
PMID- 22074837
TI - Saliva analysis by surface-enhanced laser desorption/ionization time-of-flight
mass spectrometry (SELDI-TOF-MS) in orthodontic treatment: first pilot study.
AB - OBJECTIVE: SELDI-TOF-MS (Surface-Enhanced Laser Desorption/Ionization Time-Of
Flight Mass Spectrometry) allows the generation of an accurate protein profile
from minimal amounts of biological samples and may executes proteomic profile of
saliva. The aim of this work is to compare the proteomic profile of saliva of
patients in orthodontic treatment to the beginning of treatment and after three
months by using the surface enhanced laser desorption/ionization time-of-flight
mass spectrometry (SELDI-TOF-MS) technology. MATERIALS AND METHODS: Saliva was
collected from 14 patients, between the 11 and 17 years, to the beginning of the
orthodontic treatment and after three months. Specimens were centrifuged (10 min,
13000 x g); the Q10 ProteinChips were prepared according to the manufacturer's
instructions and were loaded with the supernatants. A saturated solution of
sinapinic acid was used as energy-absorbing matrix. The analysis was performed in
a m/z range from 2500 to 25000 Da, and the proteomic profiles were compared by a
specific data analysis software. Saliva (5 mL) was collected by spitting directly
into a clean 15 mL conical tube. The samples were then aliquotted and stored at
80 degrees C until use. RESULTS: Profile of saliva of patients before orthodontic
treatment present a number of peaks different respect profile of saliva after
three months of treatment. The average intensities of peaks at m/z 3372, 5232,
4045 and 10128 were significantly higher after three months then at beginning of
treatment in the same patients and among these one. The Roc Plot has demonstrated
high sensitivity and specificity. CONCLUSIONS: Many differences were noted in
salivary proteomic profile obtained using the SELDI-TOF-MS technology in patients
in orthodontic treatment to beginning and after three months. These data suggest
that the proteomic analysis of saliva is a promising new tool for a non-invasive
study of oral mucosa and bone changes.
PMID- 22074838
TI - An insight into four orthodontic treatment need indices.
AB - Occlusal indices have been introduced and used to rank or categorize the
occlusion. According to Dr. William Shaw and colleagues (1995), there are five
types of occlusal indices, diagnostic, epidemiologic, orthodontic treatment need,
orthodontic treatment outcome, and treatment complexity indices. Orthodontic
treatment need indices are used to rank the malocclusion. They were devised to
minimize the subjectivity associated with the diagnosis, referral and complexity
assessment of malocclusion. Some are also multifunctional and used to assess the
outcome of orthodontic treatment. The overall aim of the present article is to
provide an overview on four commonly used American and European orthodontic
treatment need indices, review their modifications, advantages, and limitations.
These indices are the Index of Orthodontic Treatment Need (IOTN), the Dental
Aesthetic Index (DAI), the Handicapping Labio-Lingual Deviation index (HLD), and
the Index of Complexity, Outcome and Need (ICON).
PMID- 22074839
TI - Psychological aspects of orthodontics in clinical practice. Part one: treatment
specific variables.
AB - The orthodontist-patient relationship may have a significant impact on treatment
outcome and patient satisfaction, thus improving the overall quality of care.
Effective communication is crucial and unfortunately, it is often underestimated
in a busy clinical practice. Aim of part one of this article is to review the
psychological aspects that are relevant to a number of treatment variables in
clinical orthodontics, including compliance with treatment, oral hygiene,
management of orthodontic pain and discomfort, and oral habits. Due to the
complex nature of the psychology of orthodontic treatment, it is difficult to
determine the extent of the influence that the orthodontist-patient relationship
may have on these variables, with effective communication and an awareness of the
psychological issues playing an important role in enhancing the orthodontist
patient relationship.
PMID- 22074840
TI - The Herbst appliance updated.
AB - The aim of this paper is to analyze the evolution that the Herbst appliance has
experienced in the last 30 years. In particular the different designs proposed
for the Herbst appliance are examined and the related differences in clinical
management, indications, and treatment effects are also illustrated. The two
major challenges in the clinical management of the Herbst appliance are
represented by the choice of the type of stabilization and by the control of the
proclination of the lower incisors. The use of acrylic splints presents a set of
relevant advantages: the construction technique is simple, the appliance can be
worn easily by the patients, and the height of the splint can be adjusted to the
growth pattern. Moreover, the acrylic splint Herbst can be used to reposition the
mandible in cases with temporomandibular disorders. As for the proclination of
the lower incisors, an accurate assessment of the neuromuscular pattern of the
individual patient before treatment can provide the clinician with reliable
criteria to evaluate the risk for incisor proclination during Herbst therapy.
PMID- 22074841
TI - When should orthodontics be part of reconstruction of a degenerating dentition? A
case report.
AB - The present case report aims to describe a typical situation of an adult patient
seeing her dentist for replacement of a missing tooth, but also requiring
information regarding the possibilities for a return to the original occlusion as
perceived by the patient based on family photos. The importance of the
communication regarding the consequences of blindly following the patient's
demand for a replacement of a missing tooth compared to the treatment
possibilities when involving orthodontics is stressed. The significance of
maintaining a high information level in the undergraduate training regarding
treatment possibilities is stressed. The logic in dividing the treatment into
separate phases defined by the solution of specific problems is demonstrated and
minimizes the chair time as well as the treatment time.
PMID- 22074842
TI - Interdisciplinary treatment of Class III malocclusion: a case report.
AB - The case report describes the multidisciplinary treatment of a 25-year-old male
patient with a Class III malocclusion. Anterior and bilateral posterior
crossbites were present. To correct the posterior crossbite a surgically assisted
rapid maxillary expansion was performed. The significant three dimensional
skeletal discrepancy was solved in a second phase with a surgical advancement of
the maxilla. Functional and aesthetic occlusion in an improved facial profile was
achieved with an interdisciplinary treatment that included orthodontic treatment,
maxillofacial and periodontal surgery, and direct composite restorations.
PMID- 22074843
TI - Indirect bonding with thermal glue and brackets with positioning jigs.
AB - In orthodontics the precise location of bracket placement on the teeth is a goal
in order to individualize and optimize treatment outcome. The authors will
describe the indirect bonding procedure with thermal glue transfer tray and
brackets with positioning jigs for precise bracket placement.
PMID- 22074844
TI - Moderate/severe erectile dysfunction in patients with antiphospholipid syndrome.
AB - PURPOSE: To evaluate sexual function of antiphospholipid syndrome (APS) patients
using the Brazilian version of the validated International Index of Erectile
Function (IIEF). MATERIALS AND METHODS: Eleven APS male patients (Sapporo
criteria) were age and race-matched with 22 healthy controls. Demographic and
clinical data, drug use and antiphospholipid antibodies were evaluated. The IIEF
was also self-applied. RESULTS: Mean age (p = 0.114), frequency of Caucasian race
(p = 1.00) and married status (p = 0.438) were similar in APS and controls. Mean
disease duration was 8.8 +/- 4.6 years. Erectile dysfunction (ED) was frequently
observed in APS versus controls (45.5 vs. 4.5%, p = 0.0096), especially
moderate/severe ED (p = 0.0081). The total IIEF score (49.6 vs. 67.1, p = 0.019),
erectile function (19.6 vs. 28.1, p = 0.005) and intercourse satisfaction (7.8
vs. 11.9, p = 0.009) were lower in patients than in controls. No differences were
seen in orgasmic function (p = 0.114), sexual desire (p = 0.123) or overall
satisfaction (p = 0.097) between the groups. The comparison between APS patients
with ED (n = 5) and without ED (n = 6) revealed more arterial events in APS with
ED (100 vs. 16.7%, p = 0.0152), and also longer disease duration (12 [7-16] vs.
5.5 [2-13] years, p = 0.031). A trend towards lower venous events (20 vs. 83.3%,
p = 0.0801) and higher renal thrombotic microangiopathy (60% vs. 0, p = 0.0606)
was observed in APS patients with ED. Demographics, clinical manifestations,
smoking and antiphospholipid antibodies positivity were similar in both groups.
CONCLUSION: To our knowledge, this was the first study that demonstrated
moderate/severe ED in almost 50% of cases of a rare autoimmune disease. This
alteration was linked to arterial events and longer disease duration.
PMID- 22074845
TI - Antinuclear and antiphospholipid antibodies in patients with multiple sclerosis.
AB - The prevalence of autoantibodies in multiple sclerosis (MS) patients and their
clinical associations differ between various studies. This study investigated
antiphospholipid and antinuclear antibodies in 85 patients with multiple
sclerosis (MS) and clinically isolated syndrome (CIS) with regard to their
association with demographic features, MS specific clinical features and symptoms
of connective tissue diseases. Autoantibodies tested included antinuclear
antibodies (ANA) with their specificities and anticardiolipin (aCL) and anti-beta
2-glycoprotein I (anti-beta2GPI) antibodies. Antinuclear antibodies were more
prevalent in MS patients than in controls (63.5% vs. 3.3%; p < 0.01) and in 19%
of patients specific antinuclear antibodies were detected. Anti-beta2GPI IgM
antibodies were more frequent in MS patients than in the control group (20% vs.
3.3%; p < 0.05). The frequency of anticardiolipin antibodies did not differ
between MS patients and controls. MS patients seropositive for ANA and
extractable nuclear antigens (ENA) had significantly shorter disease duration
than seronegative patients (p < 0.05) and a lower disability score (Expanded
Disability Status Score; EDSS) (p < 0.05). Anti-beta2GPI antibodies were more
frequent in patients with secondary progressive MS (SP-MS) and specific ANA
antibodies were more frequent in patients with clinically isolated syndrome (CIS)
(p < 0.05). The presence of autoantibodies was not associated with the
predominant site of neurological involvement or the clinical features of
connective tissue diseases.
PMID- 22074846
TI - Interspecies interactions that result in Bacillus subtilis forming biofilms are
mediated mainly by members of its own genus.
AB - Many different systems of bacterial interactions have been described. However,
relatively few studies have explored how interactions between different
microorganisms might influence bacterial development. To explore such
interspecies interactions, we focused on Bacillus subtilis, which
characteristically develops into matrix-producing cannibals before entering
sporulation. We investigated whether organisms from the natural environment of B.
subtilis--the soil--were able to alter the development of B. subtilis. To test
this possibility, we developed a coculture microcolony screen in which we used
fluorescent reporters to identify soil bacteria able to induce matrix production
in B. subtilis. Most of the bacteria that influence matrix production in B.
subtilis are members of the genus Bacillus, suggesting that such interactions may
be predominantly with close relatives. The interactions we observed were mediated
via two different mechanisms. One resulted in increased expression of matrix
genes via the activation of a sensor histidine kinase, KinD. The second was
kinase independent and conceivably functions by altering the relative
subpopulations of B. subtilis cell types by preferentially killing noncannibals.
These two mechanisms were grouped according to the inducing strain's relatedness
to B. subtilis. Our results suggest that bacteria preferentially alter their
development in response to secreted molecules from closely related bacteria and
do so using mechanisms that depend on the phylogenetic relatedness of the
interacting bacteria.
PMID- 22074847
TI - Structural basis for interaction between the conserved cell polarity proteins
Inscuteable and Leu-Gly-Asn repeat-enriched protein (LGN).
AB - Interaction between the mammalian cell polarity proteins mInsc (mammalian
homologue of Inscuteable) and Leu-Gly-Asn repeat-enriched protein (LGN), as well
as that between their respective Drosophila homologues Inscuteable and Partner of
Inscuteable (Pins), plays crucial roles in mitotic spindle orientation, a process
contributing to asymmetric cell division. Here, we report a crystal structure of
the LGN-binding domain (LBD) of human mInsc complexed with the N-terminal
tetratricopeptide repeat (TPR) motifs of human LGN at 2.6-A resolution. In the
complex, mInsc-LBD adopts an elongated structure with three binding modules--an
alpha-helix, an extended region, and a beta-sheet connected with a loop--that
runs antiparallel to LGN along the concave surface of the superhelix formed by
the TPRs. Structural analysis and structure-based mutagenesis define residues
that are critical for mInsc-LGN association, and reveal that the activator of G
protein signaling 3 (AGS3)-binding protein Frmpd1 [4.1/ezrin/radixin/moesin
(FERM) and PSD-95/Dlg/ZO-1 (PDZ) domain-containing protein 1] and its relative
Frmpd4 interact with LGN via a region homologous to a part of mInsc-LBD, whereas
nuclear mitotic apparatus protein (NuMA) and the C terminus of LGN recognize the
TPR domain in a manner different from that by mInsc. mInsc binds to LGN with the
highest affinity (K(D) ~ 2.4 nM) and effectively replaces the Frmpd proteins,
NuMA, and the LGN C terminus, suggesting the priority of mInsc in binding to LGN.
We also demonstrate, using mutant proteins, that mInsc-LGN interaction is vital
for stabilization of LGN and for intracellular localization of mInsc.
PMID- 22074848
TI - Integration of matrix-assisted laser desorption/ionization time-of-flight mass
spectrometry in blood culture diagnostics: a fast and effective approach.
AB - Sepsis is a major cause of mortality in hospitalized patients worldwide, with
lethality rates ranging from 30 to 70 %. Sepsis is caused by a variety of
different pathogens, and rapid diagnosis is of outstanding importance, as early
and adequate antimicrobial therapy correlates with positive clinical outcome. In
recent years, matrix-assisted laser desorption/ionization time-of-flight (MALDI
TOF) mass spectrometry (MS) fingerprinting has become a powerful tool in
microbiological diagnostics. The direct identification of micro-organisms in a
positive blood culture by MALDI-TOF MS can shorten the diagnostic procedure
significantly. Therefore, the aim of the present study was to evaluate whether
identification rates could be improved by using the new Sepsityper kit from
Bruker Daltonics for direct isolation and identification of bacteria from
positive blood cultures by MALDI-TOF MS compared with the use of conventional
separator gel columns, and to integrate the MALDI-TOF MS-based identification
method into the routine course of blood culture diagnostics in the setting of a
microbiological laboratory at a university hospital in Germany. The
identification of Gram-negative bacteria by MALDI-TOF MS was significantly better
using the Sepsityper kit compared with a separator gel tube-based method (99 and
68 % correct identification, respectively). For Gram-positive bacteria, only 73 %
were correctly identified by MALDI-TOF with the Sepsityper kit and 59 % with the
separator gel tube assay. A major problem of both methods was the poor
identification of Gram-positive grape-like clustered cocci. As differentiation of
Staphylococcus aureus from coagulase-negative staphylococci is of clinical
importance, a PCR was additionally established that was capable of identifying S.
aureus directly from positive blood cultures, thus closing this diagnostic gap.
Another benefit of the PCR approach is the possibility of directly detecting the
genes responsible for meticillin resistance in staphylococci and for vancomycin
resistance in enterococci, which is of high importance for early adequate
treatment. Both of the described methods were finally integrated into a protocol
for fast and effective identification of bacteria from positive blood cultures.
PMID- 22074849
TI - Streptococcus constellatus-associated pyoderma in a dog.
AB - This report describes a case of chronic and deep pyodermitis in a 4-year-old male
dog with a 3-month skin problems history that had been treated unsuccessfully
with fluoroquinolone therapy, prescribed by a private medical veterinary
practice, without an early diagnosis. Microbiological examination and
antimicrobial susceptibility testing were performed in our laboratory (Faculty of
Veterinary Medicine) and a diagnosis of Streptococcus constellatus-associated
pyoderma in the dog was made. A new antimicrobial treatment, with tetracyclines,
was designed after the definitive diagnosis and antimicrobial susceptibility
testing performed by the Kirby-Bauer disc diffusion method. The dog remained free
of clinical illness at completion of therapy. To our knowledge, this is the first
case of a canine pyoderma caused by S. constellatus, a commensal organism which
may also cause pyogenic infections. Furthermore, this study confirms that a
fluoroquinolone represents a poor empirical choice for initial therapy of canine
pyoderma.
PMID- 22074850
TI - Influence of capsule size on the in vitro activity of antifungal agents against
clinical Cryptococcus neoformans var. grubii strains.
AB - Cryptococcosis causes disseminated disease in AIDS patients. In contrast to what
occurs in laboratory conditions, a large capsule is produced by Cryptococcus
neoformans in vivo during infection. The aim of this study was to compare the in
vitro activity of different antifungal agents against 34 clinical isolates of C.
neoformans var. grubii without or with capsule induction (CLSI, CLSI-C,
respectively), following the CLSI M27A3 document. Capsule induction was obtained
by addition of NaHCO(3) and incubation with CO(2). The geometric means of the
MICs, in ug ml(-1), for CLSI and CLSI-C cultures, respectively, were 1.9 and 9.8
for fluconazole; 0.04 and 0.08 for itraconazole; 0.04 and 0.05 for voriconazole;
0.16 and 0.38 for amphotericin B; and 1.6 and 5.6 for 5-flucytosine. Thus
fluconazole showed the highest MICs after capsule induction. Determination of
antifungal activity after capsule induction may be clinically relevant and could
be used to evaluate the correlation between in vitro results and clinical
outcome.
PMID- 22074851
TI - Functional outcomes of multi-condition collaborative care and successful ageing:
results of randomised trial.
AB - OBJECTIVE: To evaluate the effectiveness of integrated care for chronic physical
diseases and depression in reducing disability and improving quality of life.
DESIGN: A randomised controlled trial of multi-condition collaborative care for
depression and poorly controlled diabetes and/or risk factors for coronary heart
disease compared with usual care among middle aged and elderly people SETTING:
Fourteen primary care clinics in Seattle, Washington. PARTICIPANTS: Patients with
diabetes or coronary heart disease, or both, and blood pressure above 140/90 mm
Hg, low density lipoprotein concentration >3.37 mmol/L, or glycated haemoglobin
8.5% or higher, and PHQ-9 depression scores of >= 10. INTERVENTION: A 12 month
intervention to improve depression, glycaemic control, blood pressure, and lipid
control by integrating a "treat to target" programme for diabetes and risk
factors for coronary heart disease with collaborative care for depression. The
intervention combined self management support, monitoring of disease control, and
pharmacotherapy to control depression, hyperglycaemia, hypertension, and
hyperlipidaemia. MAIN OUTCOME MEASURES: Social role disability (Sheehan
disability scale), global quality of life rating, and World Health Organization
disability assessment schedule (WHODAS-2) scales to measure disabilities in
activities of daily living (mobility, self care, household maintenance). RESULTS:
Of 214 patients enrolled (106 intervention and 108 usual care), disability and
quality of life measures were obtained for 97 intervention patients at six months
(92%) and 92 at 12 months (87%), and for 96 usual care patients at six months
(89%) and 92 at 12 months (85%). Improvements from baseline on the Sheehan
disability scale (-0.9, 95% confidence interval -1.5 to -0.2; P = 0.006) and
global quality of life rating (0.7, 0.2 to 1.2; P = 0.005) were significantly
greater at six and 12 months in patients in the intervention group. There was a
trend toward greater improvement in disabilities in activities of daily living (
1.5, -3.3 to 0.4; P = 0.10). CONCLUSIONS: Integrated care that covers chronic
physical disease and comorbid depression can reduce social role disability and
enhance global quality of life. Trial registration Clinical Trials NCT00468676.
PMID- 22074852
TI - Dietary fibre, whole grains, and risk of colorectal cancer: systematic review and
dose-response meta-analysis of prospective studies.
AB - OBJECTIVE: To investigate the association between intake of dietary fibre and
whole grains and risk of colorectal cancer. DESIGN: Systematic review and meta
analysis of prospective observational studies. DATA SOURCES: PubMed and several
other databases up to December 2010 and the reference lists of studies included
in the analysis as well as those listed in published meta-analyses. STUDY
SELECTION: Prospective cohort and nested case-control studies of dietary fibre or
whole grain intake and incidence of colorectal cancer. RESULTS: 25 prospective
studies were included in the analysis. The summary relative risk of developing
colorectal cancer for 10 g daily of total dietary fibre (16 studies) was 0.90
(95% confidence interval 0.86 to 0.94, I(2) = 0%), for fruit fibre (n = 9) was
0.93 (0.82 to 1.05, I(2) = 23%), for vegetable fibre (n = 9) was 0.98 (0.91 to
1.06, I(2) = 0%), for legume fibre (n = 4) was 0.62 (0.27 to 1.42, I(2) = 58%),
and for cereal fibre (n = 8) was 0.90 (0.83 to 0.97, I(2) = 0%). The summary
relative risk for an increment of three servings daily of whole grains (n = 6)
was 0.83 (0.78 to 0.89, I(2) = 18%). CONCLUSION: A high intake of dietary fibre,
in particular cereal fibre and whole grains, was associated with a reduced risk
of colorectal cancer. Further studies should report more detailed results,
including those for subtypes of fibre and be stratified by other risk factors to
rule out residual confounding. Further assessment of the impact of measurement
errors on the risk estimates is also warranted.
PMID- 22074853
TI - Promoting successful ageing through integrated care.
PMID- 22074854
TI - Fibre and prevention of chronic diseases.
PMID- 22074855
TI - Influences of two antibiotic contaminants on the production, release and toxicity
of microcystins.
AB - The influences of spiramycin and amoxicillin on the algal growth, production and
release of target microcystins (MCs), MC-LR, MC-RR and MC-YR, in Microcystis
aeruginosa were investigated through the seven-day exposure test. Spiramycin were
more toxic to M. aeruginosa than amoxicillin according to their 50 percent
effective concentrations (EC(50)) in algal growth, which were 1.15 and 8.03
MUg/l, respectively. At environmentally relevant concentrations of 100 ng/l-1
MUg/l, spiramycin reduced the total MC content per algal cell and inhibited the
algal growth, while exposure to amoxicillin led to increases in the total MC
content per algal cell and the percentage of extracellular MCs, without affecting
the algal growth. Toxicity of MCs in combination with each antibiotic was
assessed in the luminescent bacteria test using the toxic unit (TU) approach. The
50 percent effective concentrations for the mixtures (EC(50mix)) were 0.56 TU and
0.48 TU for MCs in combination with spiramycin and amoxicillin, respectively,
indicating a synergistic interaction between MCs and each antibiotic
(EC(50mix)<1TU). After seven-day exposure to 100 ng/l-1 MUg/l of antibiotics,
spiramycin-treated algal media and amoxicillin-treated algal media showed
significantly lower (p<0.05) and higher (p<0.05) inhibition on the luminescence
of Photobacterium phosphoreum, respectively, compared with the untreated algal
medium. These results indicated that the toxicity of MCs were alleviated by
spiramycin and enhanced by amoxicillin, and the latter effect would increase
threats to the aquatic environment.
PMID- 22074856
TI - Selenite induces apoptosis in colorectal cancer cells via AKT-mediated inhibition
of beta-catenin survival axis.
AB - Mounting evidence reveals that selenium possesses chemotherapeutic potential
against cancer cells. However, the molecular mechanisms underlying the anti
cancer effect of selenium remain elusive. In this study, with the aim to explore
the detailed mechanisms how selenite induces apoptosis in colorectal cancer
cells, we investigated the role of AKT/beta-catenin signaling, a critical
regulator of cell proliferation, survival and tumorigenesis, in selenite-induced
apoptosis of colorectal cancer cells and xenograft tumors. We showed that
selenite exerted a remarkable inhibitory effect on activation of AKT, leading to
suppression of beta-catenin activity and expression of its targets: cyclin D1 and
survivin. Further experiments by transient expression of AKT and beta-catenin
revealed that inhibition of AKT/beta-catenin was closely correlated with selenite
triggered apoptosis. Importantly, MnTMPyP pretreatment implied reactive oxygen
species (ROS) was a crucial upstream signal for selenite-triggered inhibition of
AKT/beta-catenin. Overall, these observations demonstrate that selenite could
induce apoptosis through ROS-dependent inhibition of AKT/beta-catenin signaling
in colorectal cancer cells in vitro and in vivo, and our findings yield novel
insights into elucidating the mechanisms involved in the anti-cancer effect of
selenium.
PMID- 22074857
TI - Ostrich--a new avian host of Riemerella columbina.
PMID- 22074858
TI - Comparative sequence analysis of poxvirus A32 gene encoded ATPase protein and
carboxyl terminal heterogeneity of Indian orf viruses.
AB - Thirteen orf virus (ORFV) isolates from natural outbreaks in sheep and goats
belonging to different geographical regions of India were analysed on the basis
of ORF108 (a homologue of poxviral A32 gene), which is known to encode for ATPase
and involved in virion DNA packaging. Comparative sequence analysis of ATPase
proteins revealed highly conserved N-terminal region with five different motifs
[Walker A, Walker B, A32L specific motifs (III and IV) and a novel AYDG (motif
V)] among all poxviruses and divergent carboxyl terminus with either single or
double RGD sequences among all Indian ORFV isolates. A homology model and
secondary structure predictions of N-terminal region of ORFV A32 revealed that
most of the poxviruses including ORFV ATPase protein belong to a distinct clade
of the HerA/FtsK super family of DNA packaging proteins. Despite differences in
host cell specificity and poxvirus infections among animals, DNA packaging motor
domain of poxviruses presumed to share remarkable similarities as indicated by
the presence of conserved ATPase motifs in the present investigation. The study
also indicated the circulation of heterogeneous strains of ORFV in India and
possibilities of differentiation of ORFV strains based on C-terminal
heterogeneity.
PMID- 22074859
TI - Primary isolation of Mycobacterium bovis from bovine tissues: conditions for
maximising the number of positive cultures.
AB - In studies of Mycobacterium bovis infection in animals a definitive diagnosis
requires the isolation of the organism. However, the optimum conditions for the
primary isolation of M. bovis have not been determined. The aim of this study was
to determine for primary isolation of M. bovis, (a) the incubation time required
to achieve maximum sensitivity (i.e., the number of positive samples identified),
(b) the effect of decontaminants on bacterial growth rates, and (c) the influence
of media and the number of slopes of media on the number of positive samples
detected. Two agar-based media, modified Middlebrook 7H11 (7H11) and tuberculosis
blood agar (B83), and an egg-based medium, Stonebrink's (SB) were compared. Three
decontaminants, 2% (w/v) sodium hydroxide (NaOH), 0.75% (w/v) and 0.075% (w/v)
cetylpyridinium chloride (CPC, also called hexadecylpyridinium chloride, HPC) and
0.5% (w/v) benzalkonium chloride (BC) were evaluated against treatment with
sterile distilled water. The inoculated media slopes were incubated for up to 15
weeks. Colonies first appeared after 2 weeks on all media types and 75% of
positive slopes were identified by 8 weeks. An incubation time of 15 weeks was
required to identify all positive samples. The slowest growth was associated with
inocula that contained the fewest viable bacilli. The time to the appearance of
colonies was influenced by medium type: the median time to detection of colonies
was 28 days on 7H11 and B83, and 36 days on SB. However, SB returned the greatest
number of positive samples. Decontamination procedures increased the minimum
incubation time required to detect positive cultures, probably due to the toxic
effect of the decontaminants. Increasing the number of inoculated slopes resulted
in an increased number of positive samples and a decreased time to the detection
of colonies. Overall, the detection of M. bovis was significantly influenced by
the choice of media, the decontaminant and the duration of incubation of
cultures.
PMID- 22074861
TI - Floating between the poles of pathology and protection: can we pin down the
granuloma in tuberculosis?
AB - The granuloma in tuberculosis (TB), referred to as the tubercle, is a lesion
containing multiple cell types and is the one definite hallmark of this disease.
A number of tubercle phenotypes are seen during infection yet how these
contribute to development of TB remains unclear. Here we highlight recent results
using diverse models of tubercle development as well as recent findings from
studies of human TB in an attempt to illustrate the plasticity of the tubercle
and to place it between the poles of pathology and protection. Such insights
could lead to future interventions to address TB as a global health issue.
PMID- 22074860
TI - Porcine IPEC-J2 intestinal epithelial cells in microbiological investigations.
AB - IPEC-J2 cells are porcine intestinal columnar epithelial cells that were isolated
from neonatal piglet mid-jejunum. This cell line forms polarized monolayers with
high transepithelial electrical resistance when cultured on 0.4 MUm pore-size
filters. The cell line is unique in that it is derived from small intestinal
tissue (compared to the common human colon-derived lines HT-29, T84, and Caco-2)
and is not transformed (compared to the porcine small intestinal line, IPI-2I).
Porcine intestinal epithelial cells more closely mimic human physiology than
analogous rodent cell lines (e.g. IEC-6 or IEC-18), which is important in studies
of zoonotic infections; in addition, they provide specificity to study porcine
derived infections. IPEC-J2 cells are increasingly being used in microbiological
studies to examine the interactions of various animal and human pathogens,
including Salmonella enterica and pathogenic Escherichia coli, with intestinal
epithelial cells. The IPEC-J2 cell line has also been employed in some probiotic
studies, in which the cells have been used as an initial screening tool for
adhesiveness and anti-inflammatory properties of the potential probiotic
microorganisms. The validity of these studies is not clear as follow-up studies
to assess the efficacy of the probiotics in vivo have not been published to date.
The aims of this review are to provide a comprehensive overview of the
microbiological studies that have been conducted with IPEC-J2 cells and a
reference guide of key cellular and immune markers that have been identified in
this cell line that may prove to be useful in future studies.
PMID- 22074862
TI - Diversity in the realm of eukaryotic microbe form and function.
PMID- 22074863
TI - N-acetyltransferase 2 polymorphisms, tobacco smoking, and breast cancer risk in
the breast and prostate cancer cohort consortium.
AB - Common polymorphisms in the N-acetyltransferase 2 gene (NAT2) modify the
association between cigarette smoking and bladder cancer and have been
hypothesized to determine whether active cigarette smoking increases breast
cancer risk. The authors sought to replicate the latter hypothesis in a
prospective analysis of 6,900 breast cancer cases and 9,903 matched controls
drawn from 6 cohorts (1989-2006) in the National Cancer Institute's Breast and
Prostate Cancer Cohort Consortium. Standardized methods were used to genotype the
3 most common polymorphisms that define NAT2 acetylation phenotype (rs1799930,
rs1799931, and rs1801280). In unconditional logistic regression analyses, breast
cancer risk was higher in women with more than 20 pack-years of active cigarette
smoking than in never smokers (odds ratio (OR) = 1.28, 95% confidence interval
(CI): 1.17, 1.39), after controlling for established risk factors other than
alcohol consumption and physical inactivity. However, associations were similar
for the slow (OR = 1.25, 95% CI: 1.11, 1.39) and rapid/intermediate (OR = 1.24,
95% CI: 1.08, 1.42) acetylation phenotypes, with no evidence of interaction (P =
0.87). These results provide some support for the hypothesis that long-term
cigarette smoking may be causally associated with breast cancer risk but
underscore the need for caution when interpreting sparse data on gene-environment
interactions.
PMID- 22074864
TI - Occipital condylar fractures in children: rare or underdiagnosed?
AB - A 6-year-old female is described who sustained a fracture of the left occipital
condyle after colliding with a car at low speed. The fracture was only visible on
thin-slice CT of the neck, which is not routinely recommended in children
following a road traffic accident. Fractures of the occipitoantlantoaxial complex
are difficult to diagnose by plain radiography, and awareness of this problem
needs to be raised among clinicians treating children.
PMID- 22074865
TI - The lingual lymph node identified as a sentinel node on CT lymphography in a
patient with cN0 squamous cell carcinoma of the tongue.
AB - We performed CT lymphography on an 81-year-old female patient with a
histologically confirmed squamous cell carcinoma of the tongue with no clinical
or radiological evidence of cervical lymph node involvement. The lateral lingual
lymph node was identified as a sentinel node, which is the first lymph node to
receive drainage from a primary tumour. CT lymphography also showed draining
lymphatics passing through the sublingual space, the medial side of the
submandibular gland and near the hyoid bone and connected with the middle
internal jugular node. Although metastasis to the lateral lingual lymph node is
known as one of the crucial events in determining survival outcome in cancer of
the tongue and floor of the mouth, very few reports are available on the imaging
of the lateral lingual lymph node metastasis. This is the first report regarding
the lateral lingual lymph node identified as a sentinel node demonstrated on CT
lymphography.
PMID- 22074866
TI - Digital subtraction radiography evaluation of longitudinal bone density changes
around immediate loading implants: a pilot study.
AB - OBJECTIVE: The aim of this study was to assess longitudinal quantitative changes
in bone density around different implant loading protocols and implant surfaces
measured by digital subtraction radiography (DSR). METHODS: 12 patients received
bilateral homologous standard and TiUnite(r) (Nobel Biocare, Kloten, Switzerland)
single-tooth implants under 2 implant-loading protocols: immediate loading (8
patients, 16 implants, 12 maxillary) and conventional loading (4 patients, 8
implants, 4 maxillary). Standardized periapical radiographs were taken
immediately after implant placement (baseline image) and at the 3-month, 6-month
and 12-month follow ups. Radiographic images were digitized and submitted to
digital subtraction using the DSR system(r) (Electro Medical System, Nyon,
Switzerland), resulting in three subtracted images. Quantitative analysis of bone
density was performed using Image Tool(r) software (University of Texas Health
Science Centre, San Antonio, TX) to assess pixel value changes in five areas
around the implants (crestal, subcrestal, medial third, apical-lateral and
apical). RESULTS: Repeated-measures analysis of variance showed that grey levels
were significantly influenced by follow-up time and implant-loading protocol. A
linear increase in grey levels was found for immediate loading (IML) implants and
a significant decrease in grey levels was observed in the 12-month follow up for
conventional loading implants. No effect of implant surface treatment was
observed. CONCLUSION: In conclusion, IML protocol induced mineral bone gain
around single-tooth implants after the first year under function for cases with
favourable bone conditions.
PMID- 22074867
TI - Imaging findings of neurogenic tumours in the head and neck region.
AB - OBJECTIVE: The aim of this study was to describe the CT, MRI and ultrasonography
findings of five cases of neurogenic tumours in the head and neck region.
METHODS: Five neurogenic tumours were analysed with respect to their CT value,
the presence of cystic change, target sign, lobulation, connection to the nerve
and vascularity. RESULTS: The contrast-enhanced CT (ECT) of the schwannomas
demonstrated either a mass with low enhancement (two out of three cases), which
reflected the predominant Antoni B components, or a mass with cystic changes,
which was an Antoni A-based schwannoma displaying cystic changes (one out of
three cases). On MRI, all tumours showed homogeneous and isointense signals for
muscle on T1 weighted images (T1 WIs). T2 weighted images (T2 WIs) and gadolinium
(Gd)-enhanced T1 WIs demonstrated target sign in both schwannomas. Ultrasound
examination showed a well-defined, ovoid or round hypoechoic mass. The direct
connection to the nerve was demonstrated in two of the five cases. Lobulation was
observed in only one of the five cases and cystic changes were observed in one of
the five cases. In all of the cases, no vascularity was seen in power Doppler
images (PDIs) obtained percutaneously. CONCLUSIONS: Low-enhanced areas on ECTs
can be specific for schwannomas, which suggests the predominance of Antoni B
components. The target sign on T2 WIs and Gd-enhanced T1 WIs can be specific,
which can be used to differentiate the two different components (Antoni A and
Antoni B). The direct connection to the nerve can be a specific finding for
neurogenic tumours; however, at present the sensitivity is 40%.
PMID- 22074868
TI - Diagnostic accuracy of proximal enamel subsurface demineralization and its
relationship with calcium loss and lesion depth.
AB - OBJECTIVE: The aim of this study was to determine the relationship between the
amount of calcium loss, lesion depth, and the accuracy of storage phosphor plate
(SPP) and film radiographs for the detection of artificial proximal
demineralization. METHODS: Standard enamel windows of extracted premolars were
exposed to a demineralizing solution for 60 h, 80 h, 100 h and 120 h. Solutions
were analysed for calcium concentration by atomic absorption spectrometer and the
lesion depths were calculated by a specific formula. All teeth were radiographed
with SPPs and F-speed films before and after acid application. Images were
evaluated by five observers. Stereomicroscopic and scanning electron microscopic
(SEM) observations were carried out to visualize enamel surfaces after acid
exposure. Receiver operating characteristic analysis was used for diagnostic
accuracy (A(z)). A(z)s were compared with factorial analysis of variance and t
tests. The relationship between A(z)s and lesion depths was determined with
Pearson's correlation test. RESULTS: Strong positive correlation was found
between A(z)s of both radiographic methods and lesion depths. No difference was
found between the A(z)s of two radiographic systems for any of the
demineralization durations (p > 0.05). Pair-wise comparisons revealed no
significant difference in A(z)s of SPPs (p > 0.05), while significant differences
were obtained for the A(z)s of films for different demineralization periods (p <
0.05). Stereomicroscopic and SEM observations confirmed demineralizations from
superficial to deeper layers of enamel. CONCLUSION: Subsurface enamel
demineralization was not accurately detectable with either storage phosphor
plates or F-speed films. The amount of calcium loss and the depth of
demineralization have a strong relationship with diagnostic accuracy with a
significant effect particularly on F-speed films.
PMID- 22074869
TI - Radiographic evaluation on prevalence of Stafne bone defect: a study from two
centres in Turkey.
AB - OBJECTIVES: The aim of this study was to investigate the frequency of Stafne bone
defect (SBD) and to describe the clinical and radiological characteristics of
detected cases. METHODS: A retrospective study was performed using panoramic
radiographs from 34 221 patients undergoing dental treatment in the Department of
Oral and Maxillofacial Radiology at Erciyes University and Ataturk University,
Turkey. After finding an image compatible with SBD in the radiographs, multislice
CT (MSCT) on seven patients and cone beam CT (CBCT) on six patients were
performed to confirm the diagnosis. RESULTS: Of the 34 221 patients, 29 (0.08 %)
had SBDs, of whom 4 were female (13.8%) and 25 were male (86.2 %). The age range
of patients with SBD was 18-77 years (mean age 49.6 years). SBD was found in the
lingual molar region in 28 patients and in the lingual canine-premolar region of
the mandible in 1 patient. The contour of the concavities on CT images (MSCT and
CBCT) was detected. The MSCT revealed glandular tissue within the defects.
CONCLUSIONS: According to our results, SBD is an uncommon anomaly. Examination of
MSCT images supports the presence of aberrant submandibular glands within these
mandibular defects, suggesting that pressure from submandibular gland tissue had
caused the SBD, as generally thought. Both CBCT and MSCT can provide adequate
support for the detection of SBDs. The CBCT could be suggested as the most
suitable non-invasive diagnostic modality for this bony configuration of the
mandible since it provides a lower radiation exposure dose than MSCT.
PMID- 22074870
TI - Osteosarcoma of the jaws: demographic and CT imaging features.
AB - OBJECTIVE: The aim of this study was to evaluate the patient demographic and CT
imaging findings of primary osteosarcoma of the jaws. METHODS: 88 primary
osteosarcomas of the jaws histopathologically diagnosed during 1997-2007 were
reviewed. 21 cases of CT images were reviewed. RESULTS: Of 88 patients, 51 (58%)
had tumours in the mandible and 37 (42%) in the maxilla. The mean age was 37.8
years (range 9-80 years). The male-to-female ratio was 1.32:1. The mean age of
patients with mandibular lesions was 41.04 years and in those with maxillary
lesions it was 33.3 years. CT imaging findings were available in 21 patients. In
the maxilla (n = 9), all tumours (100%) arose from the alveolar ridge. In the
mandible (n = 12), most tumours (9 cases, 75%), arose from the ramus and/or
condyle. All except two lesions had the epicentrum within the medullary cavity of
the involved bone. The presence of periosteal reaction was demonstrated in 13
cases (62%). Soft-tissue extension was present in 18 lesions (86%), with
calcification identified in 13 (72%). CONCLUSIONS: This study provides age, sex
distribution, location and CT imaging features of primary osteosarcoma of the
jaws.
PMID- 22074871
TI - CT findings of necrotizing sialometaplasia.
AB - An otherwise healthy 56-year-old Caucasian female was referred to the radiology
department because of an ulceration of her palatal mucosa. Clinically the lesion
was suspected to be malignant and a multislice CT examination of the head and
neck region was performed. This revealed an ulcer-like cavity with no tumour-like
contrast enhancement. No relevant bone changes or suspicious lymph nodes of the
neck were detected. Based on the multislice CT findings, necrotizing
sialometaplasia was suspected and the diagnosis was verified histopathologically.
This report describes the CT findings of necrotizing sialometaplasia at the
ulceration stage.
PMID- 22074872
TI - Tuberculous osteomyelitis of mandibular condyle: a diagnostic dilemma.
AB - The incidence of tuberculosis (TB) is increasing worldwide and so are its
consequences. Its oral manifestations are infrequent, occurring in approximately
3% of all cases. Although the primary lesion occurs as a pulmonary infection, the
extrapulmonary infections have also shown an increase over the past few years.
These infections generally involve the head and neck through haematogenous or
lymphatic routes. The clinical presentation may be as an ulcer, granuloma,
orofacial TB, TB of the salivary glands or tuberculous lymphadenitis. Rarely,
secondary oral manifestations associated with pulmonary infection are seen, which
can appear as lesions on the gingiva, palate, lips, tongue, buccal mucosa,
frenulum and in the jaw bones. Owing to the rarity of orofacial TB, it seldom
arouses clinical suspicion, especially when a positive history of a systemic
infection or therapy is denied. Tuberculous involvement of the mandibular condyle
is even rarer, and only two such cases are reported so far, both in English
language literature. Further, the diagnosis of such a case is extremely difficult
as there are no specific signs pathogonomic of infection. The only manifestation
may be a localized painful swelling of the jaw. The presented case is of
osteomyelitis of the mandibular condyle in a 20-year-old male patient in whom TB
was later suspected. In this case report the role of diagnostic techniques is
emphasized as the osteomyelitis of the condyle has the risk of being easily
missed owing to its atypical signs and symptoms and atypical radiographic
appearance.
PMID- 22074873
TI - Radiological examination of the articular eminence morphology using cone beam CT.
AB - OBJECTIVE: The purpose of the present study was to investigate the articular
eminence inclination and height according to age and gender in patients with
temporomandibular joint (TMJ) dysfunction and healthy controls using cone beam CT
(CBCT). METHODS: The measurements were performed on CBCT records of 52 TMJ
dysfunction patients (11 males and 41 females) and 41 control patients (17 males
and 24 females). The eminence inclination and height were measured on the CBCT
images. RESULTS: The eminence inclination and height values were higher in males
than in females in both TMJ dysfunction patient and control groups; however,
these differences were not statistically significant (p>0.05). While no
statistically significant differences were found in the eminence inclination and
height values between the age groups (p>0.05) in the TMJ dysfunction patient
group, there were statistically significant differences in the control group. The
eminence inclination was highest between the ages of 21 and 30 years and showed a
decrease after the age of 30 years. Additionally, the eminence inclinations of
the control patients were statistically higher than those of the TMJ dysfunction
patients. CONCLUSION: There were no statistically significant differences in
eminence inclination and height according to gender. The eminence inclination
reaches its highest value between the ages of 21 and 30 years and shows a
decrease after the age of 31 years in healthy patients. The eminence inclination
was steeper in healthy control patients than in patients with TMJ dysfunction.
PMID- 22074874
TI - CT findings in two cases of lobular capillary haemangioma of the nasal cavity:
focusing on the enhancement pattern.
AB - Lobular capillary haemangioma, known as pyogenic granuloma, is a relatively
common benign vascular neoplasm that is composed of hyperplastic clusters of
capillaries arranged in a lobular architectural pattern. Most mucosal lobular
capillary haemangioma (LCH) of the head and neck arise in the oral cavity, but
the nasal cavity involvement is rare. We report here on the CT imaging findings
of two cases of LCH involving the nasal cavity, with an emphasis being placed on
the different pattern of enhancement of the tumour on the enhanced CT scans.
PMID- 22074875
TI - Multiple nodular fasciitis in the mandibular border area which is misdiagnosed as
metastatic lymph node.
AB - Nodular fasciitis (NF) is a benign lesion that has proliferative fibroblasts and
myofibroblasts. NF is similar to a tumour and has infiltrative properties. We
describe a rare case of multiple nodular fasciitis occurring in the mandibular
border area of a 51-year-old male. Radiological and histological features are
discussed along with a brief review of the literature. In addition, the
importance of a differential diagnosis for this lesion is also discussed.
PMID- 22074876
TI - A multilocular radiolucency with spindle cell proliferation in a case of
ossifying fibroma: a potential pitfall.
AB - Ossifying fibroma (OF) is a benign tumour characterized by cementum or bone
formation accompanied by a fibrocellular stroma. The diagnosis of OF may be
apparent when the histological features are supported by clinical and
radiological information. In this report, an OF with predominantly spindle cell
proliferation and purely radiolucent, multilocular lesion in a middle-aged woman
is presented.
PMID- 22074877
TI - The importance of cone beam CT in the radiological detection of osteomalacia.
AB - Although osteomalacia is one of the most common osteometabolic diseases among the
elderly, there is no case in the literature that presents the effects of
osteomalacia in detail using cone beam CT (CBCT). While thin and porous bones are
the most common radiographic sign of the disease, the radiological hallmarks are
pseudofractures (Looser's zone). We coincidentally detected osteomalacia in a 23
year-old female and we showed the pseudofracture on CBCT images. In the present
case, we aim to present the images of osteomalacia that were detected by CBCT in
detail. CBCT has an important value in screening for osteomalacia.
PMID- 22074878
TI - Pre-operative diagnostic radiograph interpretation by general dental
practitioners for root canal treatment.
AB - OBJECTIVES: The aim of this study was to evaluate which radicular radiographic
features general dentists want to interpret, determine which of the radicular
radiographic features general dentists interpret and which ones they miss in a
diagnostic radiograph and correlate how accurately general dentists are able to
interpret radiographic features. METHODS: 20 general dental practitioners were
selected and given 2 sets of questionnaires. The first set asked them to fill out
the findings they would elucidate in a diagnostic radiograph while the second set
consisted of 30 randomly selected intraoral radiographs to interpret. RESULTS: In
the first set of questionnaires, more than 50% of dentists said they would
interpret periapical changes, calcification, root curvature and the number of
root canals. Less than 50% said they would interpret canal morphology, open apex,
resorption, fracture, number of roots and lamina dura. In the second set of
questionnaires, more than 90% missed grade 1 or 2 periapical changes (according
to periapical index scoring), resorption and canal calcification. More than 80%
of dentists missed extra roots and root curvature buccally while no dentists were
able to interpret the periodontal ligament width changes, lamina dura and canal
variation (C-shape). Using a paired t-test, there was significant variation in
answers between the first set and second set of questionnaires. CONCLUSION: It is
concluded from this study that general dental practitioners are able to detect
radiographic changes when they are extensive but they miss periodontal ligament
width and lamina dura changes.
PMID- 22074879
TI - [West Nile fever/encephalitis: re-emergence in Europe and the situation in
Spain].
AB - Some arbovirosis have increased their incidence and geographic range in the past
few years. This phenomenon has been particularly noticeable in the case of West
Nile fever/encephalitis. This disease did not receive much attention until
serious outbreaks occurred in Romania, Russia and Israel between 1996 and 1999.
But the event drawing an unprecedented attention to this disease was its
occurrence in New York in 1999. Since then its incidence and geographic range has
not ceased to grow. In America it has extended from coast to coast and from
Canada to Argentina. In Europe, the disease incidence has increased in areas
where it had already been reported, and, recently, affected other areas where it
had never been observed before. The present article is a review on the virus, the
disease, and its situation in Europe, with special reference to Spain, where in
2010 human and veterinary cases were reported.
PMID- 22074880
TI - Diverse rare lipid-related metabolites including omega-7 and omega-9
alkenylitaconic acids (ceriporic acids) secreted by a selective white rot fungus,
Ceriporiopsis subvermispora.
AB - Ceriporiopsis subvermispora is a selective white-rot fungus that secretes
alk(en)ylitaconic acids named ceriporic acids, known as ion redox silencers. In
this study, we analysed a series of extracellular lipid-related metabolites
produced by the fungus and found that a wide variety of ceriporic acids and fatty
acids, including those with odd-numbered and very long-chains, were produced in
wood meal cultures. Two new ceriporic acids, (R)-3-[(Z)-tetradec-7-enyl]-itaconic
acid (ceriporic acid E) and (R)-3-[(Z)-tetradec-5-enyl]-itaconic acid (ceriporic
acid F), were for the first time identified by dimethyl disulfide derivatisation,
followed by GC/EI-MS, (1)H and homonuclear J-resolved 2D NMR and feeding
experiments with [(13)C-U] glucose coupled with multiple-stage mass spectrometry.
In separation by GC and LC, a reversed correlation of elution sequences between a
nonpolar GC column and an ODS-LC column for cis and trans isomers of omega7 and
omega9 lipids was found, and the elution of new metabolites was in accordance
with the prevailing theory. The biosynthetic precursors of ceriporic acid F can
be proposed as oxaloacetate and 16:1Delta7-CoA. Because fatty acids
biosynthesised from 16:1Delta7-CoA have been reported for only a limited number
of organisms, the highly individual structure of ceriporic acid F is highlighted.
PMID- 22074882
TI - Spectroscopic (FT-IR, FT-Raman and UV-vis) investigation and frontier molecular
orbitals analysis on 3-methyl-2-nitrophenol using hybrid computational
calculations.
AB - In the present study, the FT-IR and FT-Raman spectra of 3-methyl-2-nitrophenol
(C(7)H(7)O(3)N) (3M2NP) have been recorded in the range of 4000-100 cm(-1). The
fundamental modes of vibrational frequencies of 3M2NP are assigned. All the
geometrical parameters have been calculated by HF and DFT (LSDA and B3LYP)
methods with 6-31G(d,p) and 6-311G(d,p) basis sets. Optimized geometries of the
molecule have been interpreted and compared with the reported experimental values
for phenol and some substituted phenol. The harmonic and anharmonic vibrational
wave numbers, IR intensities and Raman activities are calculated at the same
theory levels used in geometry optimization. The calculated frequencies are
scaled and compared with experimental values. The scaled vibrational frequencies
at LSDA/B3LYP/6-311G(d,p) seem to coincide with the experimentally observed
values with acceptable deviations. The impact of substitutions on the benzene
structure is investigated. The molecular interactions between the substitutions
(OH, CH(3) and NO(2)) are also analyzed. A detailed interpretation of the
infrared spectra of was also reported more precisely. Charge transfer occurring
in the molecule between HOMO and LUMO energies, frontier energy gap are
calculated and presented. On the basis of the thermodynamic properties of the
title compound at different temperatures have been calculated, revealing the
correlations between standard heat capacities (C) standard entropies (S), and
standard enthalpy changes (H) and temperatures.
PMID- 22074881
TI - Distribution of c-Fos immunoreactivity in the rat brain following abuse-like
toluene vapor inhalation.
AB - Inhalation of vapors from toluene-containing products results in euphoria
accompanied by a variety of cognitive impairments and motor dysfunctions. The
profound behavioral changes observed during and following toluene inhalation
suggest changes in the activity of cells in potentially many brain regions;
however, a comprehensive assessment of the neuroanatomical structures activated
by toluene vapor has not been completed. Thus in the present study we
systematically mapped in over 140 brain structures the distribution of c-Fos
immunoreactivity (c-Fos IR), a proxy for neural activation, following exposure to
an abuse-like concentration (~5000 ppm) of toluene vapor for 0, 5, 10 or 30 min.
Quantitative analyses revealed increases in c-Fos IR in about one-third of the
brain structures examined, with most of these structures significantly activated
only after prolonged toluene exposure. The majority of brain structures activated
by toluene were found in the forebrain and midbrain, with particularly pronounced
activation in nuclei implicated in the processing of rewarding, emotional, and
olfactory stimuli, and those controlling motor output. These structures included
the ventral tegmental area, nucleus accumbens, select regions of the amygdala and
hypothalamus, cingulate cortex, olfactory nuclei, piriform cortex, secondary
motor cortex and caudate-putamen. In contrast, all subregions of the hippocampus
and most thalamic nuclei were not significantly activated by toluene vapor. In
the brainstem, effects of toluene vapor were restricted to select nuclei in the
pons. The pattern of c-Fos IR evoked by inhalation of toluene vapor appears
distinct from other psychoactive substances, consistent with the unique and
complex behavioral outcomes associated with acute toluene inhalation.
PMID- 22074883
TI - Molecular structure and properties of wool fiber surface-grafted with nano
antibacterial materials.
AB - Wool fiber was modified by ultraviolet irradiation (UV) and functionalized by
grafting antibacterial agent. The structure and properties of antibacterial wool
fiber were discussed in detail. The secondary structure changes and crystal
structure were analyzed based on Fourier Transformation Raman Spectrometry (FTR)
and X-ray diffraction (XRD). The results show that the disordered degree of UV
treated sample was increased and the antibacterial sample became more oriented.
Compared with parent wool fiber, the antibacterial wool fiber was improved in
mechanical property. The force, tensile strength and elongation were increased by
18%, 16%, and 7%, respectively. Also, the anti-shrinkage performance was
increased because of the decrease in the directional frictional effect (DFE).
PMID- 22074884
TI - The function of prehistoric lithic tools: a combined study of use-wear analysis
and FTIR microspectroscopy.
AB - The application of combined use-wear analysis and FTIR micro spectroscopy for the
investigation of the flint and obsidian tools from the archaeological sites of
Masseria Candelaro (Foggia, Italy) and Sant'Anna di Oria (Brindisi, Italy) aiming
to clarify their functional use is described. The tools excavated in the former
site showed in a very high percentage spectroscopically detectable residues on
their working edges. The identification of micro deposits is based on comparison
with a great number of replicas studied in the same experimental conditions. FTIR
data confirmed in almost all cases the use-wear analysis suggestions and added
details about the material processed and about the working procedures.
PMID- 22074885
TI - Synthesis, X-ray crystal structure and optical properties of novel 5-(3-aryl-1H
pyrazol-5-yl)-2-(6-methoxy-3-methylbenzofuran-2-yl)-1,3,4-oxadiazole.
AB - A series of novel 5-(3-aryl-1H-pyrazol-5-yl)-2-(6-methoxy-3-methylbenzofuran-2
yl)-1,3,4-oxadiazole derivatives has been synthesized from 6-methoxy-3
methylbenzofuran-2-carboxylic acid and ethyl 3-aryl-1H-pyrazole-5-carboxylate.
The structures of compounds obtained were determined by IR, (1)H NMR and HRMS
spectra. Typically, the spatial structure of compound 7e was determined by using
X-ray diffraction analysis. UV-vis absorption and fluorescence spectral
characteristics of the compounds in dichloromethane and acetonitrile were
investigated. The results showed that the absorption maxima of the compounds vary
from 321 to 339 nm depending on the substituents in N-1 position of pyrazole
moiety and para position of benzene moiety. The maximum emission spectra of
compounds in two different solvents were mainly dependent on groups in N-1
position of pyrazole moiety. The intensity of absorption and fluorescence was
also correlated with substituents on the aryl ring bonded to pyrazole moiety. In
addition, the absorption and emission spectra of these compounds change with
increasing solvent polarity.
PMID- 22074886
TI - Raman spectroscopy of selected tsumcorite Pb(Zn,Fe3+)2(AsO4)2(OH,H2O) minerals-
implications for arsenate accumulation.
AB - The presence of arsenic in the environment is a hazard. The accumulation of
arsenate by a range of cations in the formation of minerals provides a mechanism
for the accumulation of arsenate. The formation of the tsumcorite minerals is an
example of a series of minerals which accumulate arsenate. There are about twelve
examples in this mineral group. Raman spectroscopy offers a method for the
analysis of these minerals. The structure of selected tsumcorite minerals with
arsenate and sulphate anions were analysed by Raman spectroscopy. Isomorphic
substitution of sulphate for arsenate is observed for gartrellite and
thometzekite. A comparison is made with the sulphate bearing mineral
natrochalcite. The position of the hydroxyl and water stretching vibrations are
related to the strength of the hydrogen bond formed between the OH unit and the
AsO(4)(3-) anion. Characteristic Raman spectra of the minerals enable the
assignment of the bands to specific vibrational modes.
PMID- 22074887
TI - Vibrational spectroscopic, first-order hyperpolarizability and HOMO, LUMO studies
of 4-chloro-2-(trifluoromethyl) aniline based on DFT calculations.
AB - The Fourier-transform infrared and FT-Raman spectra of 4-chloro-2
(trifluoromethyl) aniline (4C2TFA) were recorded in the region 4000-400 cm(-1)
and 3500-50 cm(-1) respectively. Quantum chemical calculations of energies,
geometrical structure and vibrational wavenumbers of 4C2TFA were carried out by
density functional theory (DFT/B3LYP) method with 6-311+G(d,p) and 6-311++G(d,p)
basis sets. The difference between the observed and scaled wavenumber values of
most of the fundamentals is very small. The values of the total dipole moment
(MU) and the first order hyperpolarizability (beta) of the investigated compound
were computed using B3LYP/6-311++G(d,p) calculations. The calculated results also
show that 4C2TFA might have microscopic non-linear optical (NLO) behavior with
non-zero values. A detailed interpretation of infrared and Raman spectra of
4C2TFA is also reported. The calculated HOMO-LUMO energy gap shows that charge
transfer occurs within the molecule.
PMID- 22074888
TI - Spectroscopic studies on the intermolecular charge transfer interaction of Fe(II)
and Fe(III)-phthalocyanines with 2,3,5,6-tetrachloro-1,4-benzoquinone and its
application in colorimetric sensing of amino acids and amines.
AB - The interactions of Fe(II)Pc and Fe(III)Pc with pi-acceptor 2,3,5,6-tetrachloro
1,4-benzoquinone (p-chloranil, p-CHL) have been investigated spectroscopically
(UV/vis and FT-IR) and spectrofluorimetrically at three different temperatures.
The stoichiometry of the complexes was found to be 1:1. The results of electronic
spectral studies indicated that the formation constant for Fe(II)Pc-p-CHL system
is found to be higher than that for Fe(III)Pc-p-CHL system. This observation is
well supported by the results of fluorescence quenching studies and the
association constants calculated for Fe(II)Pc-p-CHL system is 4.2 * 10(3) mol L(
1) and that for Fe(III)Pc-p-CHL system is 2.2 * 10(3) mol L(-1). The data are
discussed in terms of physico-chemical parameters viz. molar extinction
coefficient, oscillator strength, dipole moment, ionization potential,
dissociation energy and thermodynamic parameters. The results indicated that the
formation of pi-pi CT complex is spontaneous and endothermic. Preliminary studies
indicated that the CT complex can effectively be used as a colorimetric agent for
sensing amino acids and amines.
PMID- 22074889
TI - Analysis of vibrational spectra and nonlinear optical properties of organic
molecule L-alaninium formate.
AB - Vibrational and electronic spectra of the crystallized nonlinear optical molecule
L-alaninium formate have been recorded and analyzed. The equilibrium geometry,
vibrational wavenumbers, and the first order hyperpolarizability of the crystal
have been calculated with the help of density functional theory computations. The
N-H...O bond distance shows the presence of intramolecular hydrogen bonding and
the result is confirmed by the natural bond orbital analysis. The HOMO-LUMO
energy gap and the first order hyperpolarizability was calculated and it supports
the nonlinear optical activity of the L-alaninium formate crystal.
PMID- 22074890
TI - Growth, spectroscopic, dielectric and nonlinear optical studies of semi organic
nonlinear optical crystal--L-alanine lithium chloride.
AB - A new and efficient semi organic nonlinear optical crystal (NLO) from the amino
acid family L-alanine lithium chloride (LAL) has been grown by slow evaporation
technique from aqueous solution. The functional groups were identified from NMR
spectral studies. Mass spectral analysis shows the molecular ion mass. Dielectric
studies has been done for the grown crystal and relative SHG efficiency is
measured by Kurtz and Perry method and found to about 0.43 times that of standard
potassium dihydrogen phosphate (KDP) crystals. The compound crystallized in non
centrosymmetric space group Pna21. The results have been discussed in detail.
PMID- 22074891
TI - Predicting the risk of cardiovascular disease in people exposed to moderate to
high levels of dioxin.
AB - Cardiovascular disease (CVD) is a leading cause of morbidity and mortality
worldwide. Dioxins can cause cardiovascular toxicity in experimental animals. The
potential role of dioxin exposure as a preventable risk factor has attracted the
attention of public health services, especially because dioxin exposure is a
ubiquitous problem. We aimed to investigate and clarify the effect on CVD risk of
moderate-to-high exposure to dioxins. This cross-sectional study investigated 914
residents without CVD near a deserted pentachlorophenol factory. CVD-related
factors were measured to examine their associations with serum dioxin. We also
investigated associations between serum dioxins and the Framingham risk score.
Serum PCDD/F levels were significantly positively associated with CVD risk in
both genders (Men: b=0.023, P<0.001; Women: b=0.005, P<0.001; All: b=0.013,
P<0.001). After adjusting for confounding factors, participants with higher serum
PCDD/F levels had a higher risk for CVD than did the reference group (serum
PCDD/levels<9.8 pg WHO(98)-TEQ(DF)/g lipid) (25th to <50th percentile, adjusted
odds ratio (AOR)=2.96 [95% confidence interval (CI)=1.13-7.75]; 50th to<75th
percentile, AOR=3.37 [1.32-8.59]; >=75th percentile, AOR=6.22 [2.47-15.63]). We
hypothesize that accumulated dioxins heightens the cardiovascular risk.
PMID- 22074892
TI - Mechanochemical destruction of pentachloronitrobenzene with reactive iron powder.
AB - Pentachloronitrobenzene (PCNB) has been identified as a potential persistent
organic pollutant, and received concerns from many countries for regulation due
to its impurities such as dioxins and furans. Considering the need for its
disposal, the mechanochemical destruction approach was investigated for the
applicability. PCNB was co-ground with reactive iron powder in a high energy
planetary ball mill at room temperature. PCNB was found to be destructed finally,
and the Dioxins and coplanar-PCBs concentrations in PCNB had been degraded from
1146 ng-TEQ/kg to 0.04 ng-TEQ/kg finally. Pentachloroaniline (PCA), 2,3,5,6
tetrachloroaniline (2,3,5,6-TeCBA) and 3,4,5,6-tetrachloroaniline (3,4,5,6-TeCBA)
were detected as intermediates during the ball milling process and were
destructed completely after 8h milling. The amount of water soluble chlorides
(Cl(-)) reached 95.8% of the theoretical amount of chlorine in original PCNB
sample after 12h ball milling. The solvent acetone might play a role as the main
hydrogen donor, which gives rise to the amination reaction of nitro-group.
Metastable structures with high molecular were proposed to be formed during the
ball milling process as intermediates. Carbonization was confirmed by Raman
spectrum as the final degradation product of PCNB.
PMID- 22074893
TI - Growth and cesium uptake responses of Phytolacca americana Linn. and Amaranthus
cruentus L. grown on cesium contaminated soil to elevated CO2 or inoculation with
a plant growth promoting rhizobacterium Burkholderia sp. D54, or in combination.
AB - Growth and cesium uptake responses of plants to elevated CO(2) and microbial
inoculation, alone or in combination, can be explored for clean-up of
contaminated soils, and this induced phytoextraction may be better than the
natural process. The present study used open-top chambers to investigate combined
effects of Burkholderia sp. D54 inoculation and elevated CO(2) (860 MUL L(-1)) on
growth and Cs uptake by Phytolacca americana and Amaranthus cruentus grown on
soil spiked with various levels of Cs (0-1000 mg kg(-1)). Elevated CO(2) and
bacterial inoculation, alone or in combination, significantly increased biomass
production with increased magnitude, ranging from 22% to 139% for P. americana,
and 14% to 254% for A. cruentus. Total tissue Cs in both plants was significantly
greater for bacterial inoculation treatment singly, and combined treatments of
bacterial inoculation and elevated CO(2) than for the control treatment in most
cases. Regardless of CO(2) concentrations and bacterial inoculation, A. cruentus
had higher tissue Cs concentration, Cs transfer factors and concentration ratios
than P. americana, but they had slightly different contents of antioxidant
enzymes. It is concluded that combined effects of elevated CO(2) and microbial
inoculation with regard to plant ability to grow and remove radionuclides from
soil can be explored for CO(2)- and microbe-assisted phytoextraction technology.
PMID- 22074895
TI - When more is less: failure to compress discourse with re-telling in normal
ageing.
AB - When young adults tell the same story repeatedly, their narratives become
progressively more concise. Although impaired discourse production has been
reliably demonstrated in the elderly, changes in narrative production with
repetition have not been investigated in this cohort. Thirty young (aged 18-49
years, M=28.77, SD=9.73) and thirty elderly (aged 65+ years, M=73.57, SD=6.80)
adults completed a discourse production task involving narrative construction
using an eight-frame cartoon. Narratives were repeated 4 consecutive times.
Variables analysed were narrative duration, word count and fluency (words/sec).
For all variables the compression index for the elderly group was significantly
lower than that for young participants. Further, compared to their younger
counterparts, elderly adults were less able to improve the cohesion of their
narratives with repetition. These findings suggest that the elderly have a
reduced capacity to generate and refine discourse representations.
PMID- 22074894
TI - Degradation pathway of pentachlorophenol by Mucor plumbeus involves phase II
conjugation and oxidation-reduction reactions.
AB - Environmental pollution by pentachlorophenol (PCP) is a critical concern
worldwide and fungal bioremediation constitutes an elegant and environment
friendly solution. Mucorales from the Zygomycota phylum are often observed to be
competitive in field conditions and Mucor plumbeus, in particular, can
efficiently deplete PCP from media. The pathway for PCP degradation used by this
fungus has not been investigated. In this study, PCP-derived metabolites were
identified by liquid chromatography coupled with quadrupole time-of-flight mass
spectrometry, including tetra- and tri-chlorohydroquinones and phase II
conjugated metabolites. Amongst the latter are the previously reported glucose,
sulfate and ribose conjugates, and identified for the first time in fungi sulfate
glucose conjugates. A PCP transformation pathway for M. plumbeus is proposed,
which excludes the involvement of cytochrome P-450 and extracellular ligninolytic
enzymes.
PMID- 22074896
TI - The distribution of small preantral follicles within the ovaries of prepubertal
African elephants (Loxodonta africana).
AB - BACKGROUND: Data on the distribution of primordial (single layer of squamous
granulosa cells), early primary (some granulosa cells cuboidal) and primary (all
granulosa cells cuboidal) follicles, grouped together as small follicles (SF)
within the ovary of the elephant is lacking, yet such information is necessary to
be able to estimate accurately the total numbers of small follicles in the
ovaries of elephant throughout their lifespan. AIM: To determine if the density
of SF differs between ovaries, between the surfaces of an ovary, or between the
interpolar and intermarginal zones of an ovary. MATERIALS/METHODS: Stereological
techniques were employed on 25MUm thick histological sections of the ovaries
recovered from 12 prepubertal elephant calves aged 2 months to 4.5 years. Cell
densities were calculated using the optical brick method and Cavalieri's
principle for volume calculation. RESULTS: The density of SF (numbers of SF per
unbiased counting frame [UCF]) did not differ between the left (1.11+/-0.39
(mean+/-sd)) and right (1.10+/-0.39) ovaries (P=0.82, n=12), or between the
lateral (median 1.24; interquartile range 0.85-1.39) and medial (1.03; 0.76-1.36)
surfaces of the ovary (P=0.22, n=24) or among the 5 segments of the ovary between
the two poles (P=0.20, n=24). The third of the cortex nearest to the mesovarial
margin of the ovary had fewer small follicles per UCF (0.85; 0.51-1.28) than the
middle third (1.01; 0.78-1.42; P=0.034), and the third adjacent to the free
margin (1.27; 0.79-1.51; P=0.0024), n=24 per group. CONCLUSION: Providing a
random sample is taken from the full interpolar and intermarginal dimensions of
ovary of a non-pregnant elephant, the density of small follicles throughout the
cortex may be accurately measured using stereological techniques applied to one
of its surfaces.
PMID- 22074897
TI - The calcium signal: a universal carrier to code, decode and transduce
information.
PMID- 22074898
TI - The neural coding of expected and unexpected monetary performance outcomes:
dissociations between active and observational learning.
AB - Successful adaptation to the environment requires the learning of stimulus
response-outcome associations. Such associations can be learned actively by trial
and error or by observing the behaviour and accompanying outcomes in other
persons. The present study investigated similarities and differences in the
neural mechanisms of active and observational learning from monetary feedback
using functional magnetic resonance imaging. Two groups of 15 subjects each -
active and observational learners - participated in the experiment. On every
trial, active learners chose between two stimuli and received monetary feedback.
Each observational learner observed the choices and outcomes of one active
learner. Learning performance as assessed via active test trials without feedback
was comparable between groups. Different activation patterns were observed for
the processing of unexpected vs. expected monetary feedback in active and
observational learners, particularly for positive outcomes. Activity for
unexpected vs. expected reward was stronger in the right striatum in active
learning, while activity in the hippocampus was bilaterally enhanced in
observational and reduced in active learning. Modulation of activity by
prediction error (PE) magnitude was observed in the right putamen in both types
of learning, whereas PE related activations in the right anterior caudate nucleus
and in the medial orbitofrontal cortex were stronger for active learning. The
striatum and orbitofrontal cortex thus appear to link reward stimuli to own
behavioural reactions and are less strongly involved when the behavioural outcome
refers to another person's action. Alternative explanations such as differences
in reward value between active and observational learning are also discussed.
PMID- 22074899
TI - The role of endogenous dynorphin in ethanol-induced state-dependent CPP.
AB - The aim of this study was to determine the role of the endogenous dynorphin/kappa
opioid receptor (DYN/KOP) system in ethanol-induced state-dependent conditioned
place preference (CPP). To this end, mice lacking the pro-DYN gene and their wild
type littermates/controls were tested for baseline place preference on day 1,
received 15-min morning and afternoon conditionings with saline or ethanol
(2g/kg) each day for three consecutive days and were then tested for CPP under a
drug-free state on day 5 and following a saline or ethanol (1 or 2g/kg) challenge
on day 8. Given that compensatory developmental changes may occur in knockout
mice, the effect of nor-binaltorphimine (nor-BNI), a KOP antagonist, on state
dependent CPP induced by ethanol was also studied in wild-type mice. On day 1,
mice were tested for baseline place preference and, 4h later, treated with saline
or nor-BNI (10mg/kg). On days 2-4, mice received 15-min morning and afternoon
conditionings and were tested for CPP under a drug-free state on day 5 and
following an ethanol (1g/kg) challenge on day 8. A comparable CPP was observed in
mice lacking the pro-DYN gene and their wild-type littermates/controls as well as
in wild-type mice treated with nor-BNI and their saline-treated controls.
However, these mice compared to their respective controls exhibited a greater CPP
response following an ethanol (1g/kg) challenge, suggesting that the endogenous
DYN/KOP system may negatively regulate ethanol-induced state-dependent CPP.
PMID- 22074900
TI - Effects of apomorphine and beta-carbolines on firing rate of neurons in the
ventral pallidum in the rats.
AB - The ventral pallidum (VP) is a critical element of the mesocorticolimbic system
that is inter-connected with motor and limbic structures and may be considered as
an interface between motivational and effector neural signals. Dopamine is
important in behavioral output of the VP, and dysfunctioning its dopamine
quantity leads to various neuropsychiatric disorders. Understanding neural
substrate underlying this phenomenon has become an important affair in recent
years. In this study, neuronal activities were recorded from the VP in presence
or absence of the mixed dopamine D1/D2 receptor agonist, apomorphine, and/or beta
carbolines, using an extracellular single-unit recording technique. We reported
that subcutaneous administration of apomorphine (0.5mg/kg) decreased neural
activity in the VP. In addition, neither harmine (7.8 mg/kg; i.p.) nor harmane (4
mg/kg; i.p.) and norharmane (2.5mg/kg; i.p.) had any effect on neural firing in
the VP. Finally, pretreatment with beta-carbolines prevented the apomorphine
induced inhibition on VP firing rate. Thus, according to the results of
aforementioned study and our results in the present study, we can conclude that
presumably most responses in the VP are D2 dopamine dependent. Although the beta
carbolines were unable to alter neural activity in the VP, interestingly,
pretreatment with beta-carbolines protect decreasing in firing rate of neurons in
the VP followed by apomorphine administration. This protective effect could be
explained by interaction between beta-carbolines and dopaminergic mechanisms.
PMID- 22074901
TI - Anaerobic digestion of extruded OFMSW.
AB - Organic fraction of municipal solid waste (OFMSW) was used to feed two pilot
plants of 1000 l working in anaerobic conditions. The OFMSW had previously been
treated using a system of extrusion which, due to exerted pressure, separates the
undesired fractions of waste from organic waste and reduces the organic fraction
in a kind of homogeneous jam. Pilot tests were performed in semi-continuous
conditions with a stepwise progressive increase of the total solids content (TS)
of the input material from 3% TS w/w (1.5 g VS l(-1) d(-1) organic loading rate)
to 10% TS w/w (4.3 g VS l(-1) d(-1) organic loading rate) using activated sludge
as diluting agent. The average specific biogas production obtained was 600 l kg(
1)VS. When the input TS content was increased to 10% w/w, the biogas average
specific production went up to 800 l kg(-1)VS. The methane content in the biogas
was always higher than 60% measured by volume.
PMID- 22074902
TI - A novel 2,3-xylenol-utilizing Pseudomonas isolate capable of degrading multiple
phenolic compounds.
AB - This work characterized a novel 2,3-xylenol-utilizing Pseudomonas isolate XQ23.
From 16S rRNA phylogenetic analysis, XQ23 was found to be a member of the
Pseudomonas putida group. Most of its physiological characteristics also shared
similarities to P. putida. Phenols were catabolized by the meta-cleavage pathway.
The dependence of the specific growth rate on 2,3-xylenol concentration could be
well fitted by the Haldane model, with the maximum occurring at the concentration
around 180 mg l(-1). Kinetic parameters indicated that XQ23 was sensitive to 2,3
xylenol and had low affinity. Three patterns, i.e. constant, linear decline, and
allometric decline, were proposed to describe the biomass yields of phenols
during bacterial degradation and XQ23 under 2,3-xylenol culturing conditions
followed the allometric pattern. In a mineral-salts medium supplemented with 180
mg l(-1) of 2,3-xylenol as the sole carbon and energy source, over 40% of 2,3
xylenol was turned into CO(2) to provide energy by complete oxidization.
PMID- 22074903
TI - Technoeconomic assessment of phenanthrene degradation by Pseudomonas stutzeri
CECT 930 in a batch bioreactor.
AB - Polycyclic aromatic hydrocarbons (PAHs) are among the most persistent pollutants
that accumulate in natural environment mainly as a result of anthropogenic
activities. Therefore, the improvement of the available bank of microbial
resources and information is crucial to the proper management of PAHs-polluted
sites and effluents. In this work, Pseudomonas stutzeri CECT 930 was selected for
aerobically degrading an aqueous effluent containing phenanthrene (PHE). Maximum
PHE degradation of 90% was obtained both at flask and stirred tank bioreactor
scale. All the experimental data were fitted to logistic and Luedeking and Piret
models, and licensed to quantitatively ascertain a stronger dependence on the
biomass of the metabolites triggering the bioremediation process. In addition,
PHE degradation via protocatechuate pathway was elucidated through GC-MS data.
Finally, based on the promising results of biodegradation, a preliminary economic
evaluation of this process at industrial scale was approached by means of
simulation data obtained with SuperPro Designer.
PMID- 22074904
TI - Valuable chemicals by the enzymatic modification of molecules of natural origin:
terpenoids, steroids, phenolics and related compounds.
AB - A renewed interest for using natural organic molecules for the production of
valuable chemicals is observed in current organic processes. Natural compounds
provide the access to natural grade chemicals when submitted to physical
treatments or biotechnological processes. Dealing with structurally complex
molecules, they can provide complex core structures for hemisynthesis purposes,
and in many instances they offer the advantage of providing sustainable processes
when using renewable resources. These assets could be synergistic with the assets
of biocatalytic processes, to end-up with efficient and sustainable processes in
the organic synthesis of valuable products. In this review, we have gathered a
selection of examples on the use of enzymes for the modification of molecules of
natural origin being either purified compounds (terpenoids, steroids, phenolics)
or mixtures (essential oils, natural extracts) to access fine chemicals or
organic polymers.
PMID- 22074905
TI - Effect of carbon source, C/N ratio, nitrate and dissolved oxygen concentration on
nitrite and ammonium production from denitrification process by Pseudomonas
stutzeri D6.
AB - Pseudomonas stutzeri D6, selectively isolated from activated sludge was used to
study NO(2)(-) and NH(4)(+) production from denitrification processes. Changes in
carbon type, C/N ratio and oxygen concentration significantly influenced the
magnitude of NO(2)(-) and NH(4)(+) accumulation through denitrification. D6
showed a preference for citrate and acetate, which led to the largest quantity of
nitrate reduced and which were exhausted most rapidly, with minimal intermediate
products accumulation. It is found that at higher initial organic carbon
concentration or for directly metabolic carbon type more complete denitrification
could be obtained as a result of increase of the oxygen consumption rate by
substrate stimulation. The higher the oxygen concentration in the culture was,
the higher the intermediate products concentration became. The experiment showed
that NO(2)(-) and NH(4)(+) production was only slightly influenced by nitrate
concentration. Biological nitrogen removal systems should be optimized to promote
complete denitrification to minimize NO(2)(-) and NH(4)(+) accumulation.
PMID- 22074906
TI - Fermentative production of ethanol from syngas using novel moderately
alkaliphilic strains of Alkalibaculum bacchi.
AB - Ethanol production from syngas using three moderately alkaliphilic strains of a
novel genus and species Alkalibaculum bacchi CP11(T), CP13 and CP15 was
investigated in 250 ml bottle fermentations containing 100ml of yeast extract
medium at 37 degrees C and pH 8.0. Two commercial syngas mixtures (Syngas I: 20%
CO, 15% CO(2), 5% H(2), 60% N(2)) and (Syngas II: 40% CO, 30% CO(2), 30% H(2))
were used. Syngas I and Syngas II represent gasified biomass and coal,
respectively. The maximum ethanol concentration (1.7 g l(-1)) and yield from CO
(76%) were obtained with strain CP15 and Syngas II after 360 h. CP15 produced
over twofold more ethanol with Syngas I compared to strains CP11(T) and CP13. In
addition, CP15 produced 18% and 71% more ethanol using Syngas II compared to
strains CP11(T) and CP13, respectively. These results show that CP15 is the most
promising for ethanol production because of its higher growth and ethanol
production rates and yield compared to CP11(T) and CP13.
PMID- 22074907
TI - Mesophilic and thermophilic anaerobic co-digestion of rendering plant and
slaughterhouse wastes.
AB - Co-digestion of rendering and slaughterhouse wastes was studied in laboratory
scale semi-continuously fed continuously stirred tank reactors (CSTRs) at 35 and
55 degrees C. All in all, 10 different rendering plant and slaughterhouse waste
fractions were characterised showing high contents of lipids and proteins, and
methane potentials of 262-572 dm(3)CH(4)/kg volatile solids(VS)(added). In
mesophilic CSTR methane yields of ca 720 dm(3) CH(4)/kg VS(fed) were obtained
with organic loading rates (OLR) of 1.0 and 1.5 kg VS/m(3) d, and hydraulic
retention time (HRT) of 50 d. For thermophilic process, the lowest studied OLR of
1.5 kg VS/m(3) d, turned to be unstable after operation of 1.5 HRT, due to
accumulating ammonia, volatile fatty acids (VFAs) and probably also long chain
fatty acids (LCFAs). In conclusion, mesophilic process was found to be more
feasible for co-digestion than thermophilic process, methane yields being higher
and process more stable in mesophilic conditions.
PMID- 22074908
TI - Artificial intelligence based modeling and optimization of poly(3-hydroxybutyrate
co-3-hydroxyvalerate) production process by using Azohydromonas lata MTCC 2311
from cane molasses supplemented with volatile fatty acids: a genetic algorithm
paradigm.
AB - The present work describes the optimization of medium variables for the
production of poly(3-hydroxybutyrate-co-3-hydroxyvalerate) [P(3HB-co-3HV)] by
Azohydromonas lata MTCC 2311 using cane molasses supplemented with propionic
acid. Genetic algorithm (GA) has been used for the optimization of P(3HB-co-3HV)
production through the simulation of artificial neural network (ANN) and response
surface methodology (RSM). The predictions by ANN are better than those of RSM
and in good agreement with experimental findings. The highest P(3HB-co-3HV)
concentration and 3HV content have been reported as 7.35 g/l and 16.84 mol%,
respectively by hybrid ANN-GA. Upon validation, 7.20 g/l and 16.30 mol% of P(3HB
co-3HV) concentration and 3HV content have been found in the shake flask, whereas
6.70 g/l and 16.35 mol%, have been observed in a 3 l bioreactor, respectively.
The specific growth rate and P(3HB-co-3HV) accumulation rate of 0.29 per h and
0.16 g/lh determined with cane molasses are comparable to those observed on pure
substrates.
PMID- 22074910
TI - Elucidating the role of ferrous ion cocatalyst in enhancing dilute acid
pretreatment of lignocellulosic biomass.
AB - BACKGROUND: Recently developed iron cocatalyst enhancement of dilute acid
pretreatment of biomass is a promising approach for enhancing sugar release from
recalcitrant lignocellulosic biomass. However, very little is known about the
underlying mechanisms of this enhancement. In the current study, our aim was to
identify several essential factors that contribute to ferrous ion-enhanced
efficiency during dilute acid pretreatment of biomass and to initiate the
investigation of the mechanisms that result in this enhancement. RESULTS: During
dilute acid and ferrous ion cocatalyst pretreatments, we observed concomitant
increases in solubilized sugars in the hydrolysate and reducing sugars in the
(insoluble) biomass residues. We also observed enhancements in sugar release
during subsequent enzymatic saccharification of iron cocatalyst-pretreated
biomass. Fourier transform Raman spectroscopy showed that major peaks
representing the C-O-C and C-H bonds in cellulose are significantly attenuated by
iron cocatalyst pretreatment. Imaging using Prussian blue staining indicated that
Fe2+ ions associate with both cellulose/xylan and lignin in untreated as well as
dilute acid/Fe2+ ion-pretreated corn stover samples. Analyses by scanning
electron microscopy and transmission electron microscopy revealed structural
details of biomass after dilute acid/Fe2+ ion pretreatment, in which delamination
and fibrillation of the cell wall were observed. CONCLUSIONS: By using this
multimodal approach, we have revealed that (1) acid-ferrous ion-assisted
pretreatment increases solubilization and enzymatic digestion of both cellulose
and xylan to monomers and (2) this pretreatment likely targets multiple
chemistries in plant cell wall polymer networks, including those represented by
the C-O-C and C-H bonds in cellulose.
PMID- 22074909
TI - Genetic vs. pharmacological inactivation of COMT influences cannabinoid-induced
expression of schizophrenia-related phenotypes.
AB - Catechol-O-methyltransferase (COMT) is an important enzyme in the metabolism of
dopamine and disturbance in dopamine function is proposed to be central to the
pathogenesis of schizophrenia. Clinical epidemiological studies have indicated
cannabis use to confer a 2-fold increase in risk for subsequent onset of
psychosis, with adolescent-onset use conveying even higher risk. There is
evidence that a high activity COMT polymorphism moderates the effects of
adolescent exposure to cannabis on risk for adult psychosis. In this paper we
compared the effect of chronic adolescent exposure to the cannabinoid WIN 55212
on sensorimotor gating, behaviours related to the negative symptoms of
schizophrenia, anxiety- and stress-related behaviours, as well as ex-vivo brain
dopamine and serotonin levels, in COMT KO vs. wild-type (WT) mice. Additionally,
we examined the effect of pretreatment with the COMT inhibitor tolcapone on acute
effects of this cannabinoid on sensorimotor gating in C57BL/6 mice. COMT KO mice
were shown to be more vulnerable than WT to the disruptive effects of adolescent
cannabinoid treatment on prepulse inhibition (PPI). Acute pharmacological
inhibition of COMT in C57BL/6 mice also modified acute cannabinoid effects on
startle reactivity, as well as PPI, indicating that chronic and acute loss of
COMT can produce dissociable effects on the behavioural effects of cannabinoids.
COMT KO mice also demonstrated differential effects of adolescent cannabinoid
administration on sociability and anxiety-related behaviour, both confirming and
extending earlier reports of COMT*cannabinoid effects on the expression of
schizophrenia-related endophenotypes.
PMID- 22074911
TI - Virulence differences of closely related pandemic 2009 H1N1 isolates correlate
with increased inflammatory responses in ferrets.
AB - Several early pandemic H1N1 influenza isolates cause severe disease in different
animals models, while most strains result in mild clinical signs similar to
seasonal influenza. In this study, the pathogenesis of the virulent Mexican
isolate A/Mexico/InDRE4487/2009 and a mild Canadian isolate A/Canada
AB/RV1532/2009 was compared in ferrets. These viruses differed at nine residues,
none of which has been previously identified as virulence factor. The Mexican
isolate caused more severe disease and higher mortality, and reached higher peak
nasal wash titers. Both viruses grew similarly in the respiratory tract, but only
the virulent virus was detected in the gut after day 3. During the acute phase,
both strains caused similar lung pathology, however the Mexican isolate induced
severe inflammation even after virus clearance. This virus was also associated
with a rapid and sustained induction of inflammatory cytokines, indicating that
early dysregulation of the host response contributes importantly to the disease
outcome.
PMID- 22074912
TI - The influence of graphic display format on the interpretations of quantitative
risk information among adults with lower education and literacy: a randomized
experimental study.
AB - OBJECTIVE: To test optimal graphic risk communication formats for presenting
small probabilities using graphics with a denominator of 1000 to adults with
lower education and literacy. METHODS: A randomized experimental study, which
took place in adult basic education classes in Sydney, Australia. The
participants were 120 adults with lower education and literacy. An experimental
computer-based manipulation compared 1) pictographs in 2 forms, shaded "blocks"
and unshaded "dots"; and 2) bar charts across different orientations
(horizontal/vertical) and numerator size (small <100, medium 100-499, large 500
999). Accuracy (size of error) and ease of processing (reaction time) were
assessed on a gist task (estimating the larger chance of survival) and a verbatim
task (estimating the size of difference). Preferences for different graph types
were also assessed. RESULTS: Accuracy on the gist task was very high across all
conditions (>95%) and not tested further. For the verbatim task, optimal graph
type depended on the numerator size. For small numerators, pictographs resulted
in fewer errors than bar charts (blocks: odds ratio [OR] = 0.047, 95% confidence
interval [CI] = 0.023-0.098; dots: OR = 0.049, 95% CI = 0.024-0.099). For medium
and large numerators, bar charts were more accurate (e.g., medium dots: OR =
4.29, 95% CI = 2.9-6.35). Pictographs were generally processed faster for small
numerators (e.g., blocks: 14.9 seconds v. bars: 16.2 seconds) and bar charts for
medium or large numerators (e.g., large blocks: 41.6 seconds v. 26.7 seconds).
Vertical formats were processed slightly faster than horizontal graphs with no
difference in accuracy. Most participants preferred bar charts (64%); however,
there was no relationship with performance. CONCLUSIONS: For adults with low
education and literacy, pictographs are likely to be the best format to use when
displaying small numerators (<100/1000) and bar charts for larger numerators
(>100/1000).
PMID- 22074913
TI - Repair integrity and functional outcome after arthroscopic rotator cuff repair:
double-row versus suture-bridge technique.
AB - BACKGROUND: Only a few studies have examined repair integrity and functional
outcome after arthroscopic suture-bridge rotator cuff repair procedure. In
addition, no reported study has compared outcomes between the suture-bridge and
double-row techniques. PURPOSE: This study compared the functional outcome and
repair integrity of arthroscopic double-row and conventional suture-bridge repair
in full-thickness rotator cuff tears. STUDY DESIGN: Cohort study; Level of
evidence, 2. METHODS: Fifty-two consecutive full-thickness rotator cuff tears
with 1 to 4 cm of anterior to posterior dimension that underwent arthroscopic
rotator cuff repair were included. A double-row technique was used in the first
26 consecutive shoulders, and a conventional suture-bridge technique was used in
the next 26 consecutive shoulders. Fifty shoulders (92.5%) underwent magnetic
resonance imaging or ultrasonography postoperatively. Clinical outcomes were
evaluated a minimum 2 years (mean, 37.2 months; range, 24-54) postoperatively
using the University of California at Los Angeles (UCLA), American Shoulder and
Elbow Surgeons (ASES), and Constant scores. The postoperative cuff integrity was
evaluated a mean of 33.0 (range, 10-54) months postoperatively. RESULTS: At the
final follow-up, the average UCLA, ASES, and Constant scores improved
significantly, to 32.3, 90.5, and 80.7, respectively, in the double-row group and
to 30.6, 88.5, and 74.0, respectively, in the suture-bridge group. The UCLA,
ASES, and Constant scores improved in both groups postoperatively (all P < .001);
however, there was no significant difference between the 2 groups at final follow
up (P = .185, .585, and .053, respectively). The retear rate was 24% in the
shoulders that underwent double-row repair and 20% in the shoulders that
underwent suture-bridge repair; this difference was not statistically significant
(P = .733). CONCLUSION: The arthroscopic conventional suture-bridge technique
resulted in comparable patient satisfaction, functional outcome, and rates of
retear compared with the arthroscopic double-row technique in full-thickness
rotator cuff tears.
PMID- 22074914
TI - Morbidity and costs associated with neurological disorders.
PMID- 22074916
TI - Thematic minireview series on focus on vision.
PMID- 22074915
TI - KCa1.1 potassium channels regulate key proinflammatory and invasive properties of
fibroblast-like synoviocytes in rheumatoid arthritis.
AB - Fibroblast-like synoviocytes (FLS) play important roles in the pathogenesis of
rheumatoid arthritis (RA). Potassium channels have regulatory roles in many cell
functions. We have identified the calcium- and voltage-gated KCa1.1 channel (BK,
Maxi-K, Slo1, KCNMA1) as the major potassium channel expressed at the plasma
membrane of FLS isolated from patients with RA (RA-FLS). We further show that
blocking this channel perturbs the calcium homeostasis of the cells and inhibits
the proliferation, production of VEGF, IL-8, and pro-MMP-2, and migration and
invasion of RA-FLS. Our findings indicate a regulatory role of KCa1.1 channels in
RA-FLS function and suggest this channel as a potential target for the treatment
of RA.
PMID- 22074917
TI - Multiple SecA molecules drive protein translocation across a single translocon
with SecG inversion.
AB - SecA is a translocation ATPase that drives protein translocation. D209N SecA, a
dominant-negative mutant, binds ATP but is unable to hydrolyze it. This mutant
was inactive to proOmpA translocation. However, it generated a translocation
intermediate of 18 kDa. Further addition of wild-type SecA caused its
translocation into either mature OmpA or another intermediate of 28 kDa that can
be translocated into mature by a proton motive force. The addition of excess
D209N SecA during translocation caused a topology inversion of SecG. Moreover, an
intermediate of SecG inversion was identified when wild-type and D209N SecA were
used in the same amounts. These results indicate that multiple SecA molecules
drive translocation across a single translocon with SecG inversion. Here, we
propose a revised model of proOmpA translocation in which a single catalytic
cycle of SecA causes translocation of 10-13 kDa with ATP binding and hydrolysis,
and SecG inversion is required when the next SecA cycle begins with additional
ATP hydrolysis.
PMID- 22074918
TI - Comparison of presenilin 1 and presenilin 2 gamma-secretase activities using a
yeast reconstitution system.
AB - gamma-Secretase is composed of at least four proteins, presenilin (PS), nicastrin
(NCT), Aph1, and Pen2. PS is the catalytic subunit of the gamma-secretase
complex, having aspartic protease activity. PS has two homologs, namely, PS1 and
PS2. To compare the activity of these complexes containing different PSs, we
reconstituted them in yeast, which lacks gamma-secretase homologs. Yeast cells
were transformed with PS1 or PS2, NCT, Pen2, Aph1, and artificial substrate C55
Gal4p. After substrate cleavage, Gal4p translocates to the nucleus and activates
transcription of the reporter genes ADE2, HIS3, and lacZ. gamma-Secretase
activity was measured based on yeast growth on selective media and beta
galactosidase activity. PS1 gamma-secretase was ~24-fold more active than PS2
gamma-secretase in the beta-galactosidase assay. Using yeast microsomes
containing gamma-secretase and C55, we compared the concentration of Abeta
generated by PS1 or PS2 gamma-secretase. PS1 gamma-secretase produced ~24-fold
more Abeta than PS2 gamma-secretase. We found the optimal pH of Abeta production
by PS2 to be 7.0, as for PS1, and that the PS2 complex included immature NCT,
unlike the PS1 complex, which included mature NCT. In this study, we compared the
activity of PS1 or PS2 per one gamma-secretase complex. Co-immunoprecipitation
experiments using yeast microsomes showed that PS1 concentrations in the gamma
secretase complex were ~28 times higher than that of PS2. Our data suggest that
the PS1 complex is only marginally less active than the PS2 complex in Abeta
production.
PMID- 22074919
TI - Neutral sphingomyelinase 2 activity and protein stability are modulated by
phosphorylation of five conserved serines.
AB - We previously presented that the neutral sphingomyelinase 2 (nSMase2) is the only
SMase activated in human airway epithelial (HAE) cells following exposure to
oxidative stress (ox-stress), yielding ceramide accumulation and thereby inducing
apoptosis. Furthermore, we reported that nSMase2 is a phospho-protein in which
the level of phosphorylation controls nSMase2 activation induced by ox-stress.
Here we identify five specific serines that are phosphorylated in nSMase2 and
demonstrate that their phosphorylation controls the nSMase2 activity upon ox
stress exposure in an interdependent manner. Furthermore, we show that the
nSMase2 protein stability and thus its level of expression is also post
translationally regulated by these five serine phosphorylation sites. This study
provides initial structure/function insights regarding nSMase2 phosphorylation
sites and offers some new links for future studies aiming to fully elucidate
nSMase2 regulatory machinery.
PMID- 22074920
TI - Molecular determinants of modulation of CaV2.1 channels by visinin-like protein
2.
AB - CaV2.1 channels, which conduct P/Q-type Ca2+ currents, initiate synaptic
transmission at most synapses in the central nervous system. Ca2+/calmodulin
dependent facilitation and inactivation of these channels contributes to short
term facilitation and depression of synaptic transmission, respectively. Other
calcium sensor proteins displace calmodulin (CaM) from its binding site,
differentially regulate CaV2.1 channels, and contribute to the diversity of short
term synaptic plasticity. The neuronal calcium sensor protein visinin-like
protein 2 (VILIP-2) inhibits inactivation and enhances facilitation of CaV2.1
channels. Here we examine the molecular determinants for differential regulation
of CaV2.1 channels by VILIP-2 and CaM by construction and functional analysis of
chimeras in which the functional domains of VILIP-2 are substituted in CaM. Our
results show that the N-terminal domain, including its myristoylation site, the
central alpha-helix, and the C-terminal lobe containing EF-hands 3 and 4 of VILIP
2 are sufficient to transfer its regulatory properties to CaM. This regulation by
VILIP-2 requires binding to the IQ-like domain of CaV2.1 channels. Our results
identify the essential molecular determinants of differential regulation of
CaV2.1 channels by VILIP-2 and define the molecular code that these proteins use
to control short-term synaptic plasticity.
PMID- 22074921
TI - Chemistry and biology of vision.
AB - Visual perception in humans occurs through absorption of electromagnetic
radiation from 400 to 780 nm by photoreceptors in the retina. A photon of visible
light carries a sufficient amount of energy to cause, when absorbed, a cis,trans
geometric isomerization of the 11-cis-retinal chromophore, a vitamin A derivative
bound to rhodopsin and cone opsins of retinal photoreceptors. The unique
biochemistry of these complexes allows us to reliably and reproducibly collect
continuous visual information about our environment. Moreover, other
nonconventional retinal opsins such as the circadian rhythm regulator melanopsin
also initiate light-activated signaling based on similar photochemistry.
PMID- 22074922
TI - Determinants of the DNA binding specificity of class I and class II TCP
transcription factors.
AB - TCP proteins constitute a family of plant transcription factors with more than 20
members in angiosperms. They can be divided in two classes based on sequence
homology and the presence of an insertion within the basic region of the TCP DNA
binding and dimerization domain. Here, we describe binding site selection studies
with the class I protein TCP16, showing that its DNA binding preferences are
similar to those of class II proteins. Through sequence comparison and the
analysis of mutants and chimeras of TCP16, TCP20 (class I), and TCP4 (class II),
we established that the identity of residue 11 of the class I TCP domain or the
equivalent residue 15 of the class II domain, whether it is Gly or Asp,
determines a preference for a class I or a class II sequence, respectively.
Footprinting analysis indicated that specific DNA contacts related to these
preferences are established with one of the strands of DNA. The dimerization
motif also influences the selectivity of the proteins toward class I and class II
sequences and determines a requirement of an extended basic region in proteins
with Asp-15. We postulate that differences in orientation of base-contacting
residues brought about by the presence of either Gly or Asp are responsible for
the binding site preferences of TCP proteins. Expression of repressor forms of
TCP16 with Asp-11 or Gly-11 differently affects leaf development. TCP16-like
proteins with Asp-11 in the TCP domain arose in rosids and may be related to
developmental characteristics of this lineage of eudicots.
PMID- 22074923
TI - MicroRNA 34c gene down-regulation via DNA methylation promotes self-renewal and
epithelial-mesenchymal transition in breast tumor-initiating cells.
AB - Tumor-initiating cells (T-ICs), a subpopulation of cancer cells with stem cell
like properties, are related to tumor relapse and metastasis. Our previous
studies identified a distinct profile of microRNA (miRNA) expression in breast T
ICs (BT-ICs), and the dysregulated miRNAs contribute to the self-renewal and
tumorigenesis of these cells. However, the underlying mechanisms for miRNA
dysregulation in BT-ICs remain obscure. In the present study, we demonstrated
that the expression and function of miR-34c were reduced in the BT-ICs of MCF-7
and SK-3rd cells, a breast cancer cell line enriched for BT-ICs. Ectopic
expression of miR-34c reduced the self-renewal of BT-ICs, inhibited epithelial
mesenchymal transition, and suppressed migration of the tumor cells via silencing
target gene Notch4. Furthermore, we identified a single hypermethylated CpG site
in the promoter region of miR-34c gene that contributed to transcriptional
repression of miR-34c in BT-ICs by reducing DNA binding activities of Sp1.
Therefore, miR-34c reduction in BT-ICs induced by a single hypermethylated CpG
site in the promoter region promotes self-renewal and epithelial-mesenchymal
transition of BT-ICs.
PMID- 22074924
TI - Macrophages discriminate glycosylation patterns of apoptotic cell-derived
microparticles.
AB - Inappropriate clearance of apoptotic remnants is considered to be the primary
cause of systemic autoimmune diseases, like systemic lupus erythematosus. Here we
demonstrate that apoptotic cells release distinct types of subcellular membranous
particles (scMP) derived from the endoplasmic reticulum (ER) or the plasma
membrane. Both types of scMP exhibit desialylated glycotopes resulting from
surface exposure of immature ER-derived glycoproteins or from surface-borne
sialidase activity, respectively. Sialidase activity is activated by caspase
dependent mechanisms during apoptosis. Cleavage of sialidase Neu1 by caspase 3
was shown to be directly involved in apoptosis-related increase of surface
sialidase activity. ER-derived blebs possess immature mannosidic glycoepitopes
and are prioritized by macrophages during clearance. Plasma membrane-derived
blebs contain nuclear chromatin (DNA and histones) but not components of the
nuclear envelope. Existence of two immunologically distinct types of apoptotic
blebs may provide new insights into clearance-related diseases.
PMID- 22074925
TI - Photoreceptor signaling: supporting vision across a wide range of light
intensities.
AB - For decades, photoreceptors have been an outstanding model system for elucidating
basic principles in sensory transduction and biochemistry and for understanding
many facets of neuronal cell biology. In recent years, new knowledge of the
kinetics of signaling and the large-scale movements of proteins underlying
signaling has led to a deeper appreciation of the photoreceptor's unique
challenge in mediating the first steps in vision over a wide range of light
intensities.
PMID- 22074926
TI - Identification and structural characterization of novel cyclotide with activity
against an insect pest of sugar cane.
AB - Cyclotides are a family of plant-derived cyclic peptides comprising six conserved
cysteine residues connected by three intermolecular disulfide bonds that form a
knotted structure known as a cyclic cystine knot (CCK). This structural motif is
responsible for the pronounced stability of cyclotides against chemical, thermal,
or proteolytic degradation and has sparked growing interest in this family of
peptides. Here, we isolated and characterized a novel cyclotide from Palicourea
rigida (Rubiaceae), which was named parigidin-br1. The sequence indicated that
this peptide is a member of the bracelet subfamily of cyclotides. Parigidin-br1
showed potent insecticidal activity against neonate larvae of Lepidoptera
(Diatraea saccharalis), causing 60% mortality at a concentration of 1 MUm but had
no detectable antibacterial effects. A decrease in the in vitro viability of the
insect cell line from Spodoptera frugiperda (SF-9) was observed in the presence
of parigidin-br1, consistent with in vivo insecticidal activity. Transmission
electron microscopy and fluorescence microscopy of SF-9 cells after incubation
with parigidin-br1 or parigidin-br1-fluorescein isothiocyanate, respectively,
revealed extensive cell lysis and swelling of cells, consistent with an
insecticidal mechanism involving membrane disruption. This hypothesis was
supported by in silico analyses, which suggested that parigidin-br1 is able to
complex with cell lipids. Overall, the results suggest promise for the
development of parigidin-br1 as a novel biopesticide.
PMID- 22074927
TI - Metabolism of carotenoids and retinoids related to vision.
AB - All animals endowed with the ability to detect light through visual pigments must
have evolved pathways in which dietary precursors for the involved chromophore
are absorbed, transported, and metabolized. Knowledge about this metabolism has
exponentially increased over the past decade. Genetic manipulation of animal
models provided insights into the metabolic flow of these compounds through the
body and in the eyes, unraveling their regulatory aspects and aberrant side
reactions. The scheme that emerges reveals a common origin of key components for
chromophore metabolism that have been adapted to the specific requirements of
retinoid biology in different animal classes.
PMID- 22074928
TI - Rod and cone visual pigments and phototransduction through pharmacological,
genetic, and physiological approaches.
AB - Activation of the visual pigment by light in rod and cone photoreceptors
initiates our visual perception. As a result, the signaling properties of visual
pigments, consisting of a protein, opsin, and a chromophore, 11-cis-retinal, play
a key role in shaping the light responses of photoreceptors. The combination of
pharmacological, physiological, and genetic tools has been a powerful approach
advancing our understanding of the interactions between opsin and chromophore and
how they affect the function of visual pigments. The signaling properties of the
visual pigments modulate many aspects of the function of rods and cones,
producing their unique physiological properties.
PMID- 22074929
TI - Loss of daylight vision in retinal degeneration: are oxidative stress and
metabolic dysregulation to blame?
AB - Retinitis pigmentosa is characterized by loss of night vision, followed by
complete blindness. Over 40 genetic loci for retinitis pigmentosa have been
identified in humans, primarily affecting photoreceptor structure and function.
The availability of excellent animal models allows for a mechanistic
characterization of the disease. Metabolic dysregulation and oxidative stress
have been found to correlate with the loss of vision, particularly in cones, the
type of photoreceptors that mediate daylight and color vision. The evidence that
these problems actually cause loss of vision and potential therapeutic approaches
targeting them are discussed.
PMID- 22074930
TI - Melanopsin and mechanisms of non-visual ocular photoreception.
AB - In addition to rods and cones, the mammalian eye contains a third class of
photoreceptor, the intrinsically photosensitive retinal ganglion cell (ipRGC).
ipRGCs are heterogeneous irradiance-encoding neurons that primarily project to
non-visual areas of the brain. Characteristics of ipRGC light responses differ
significantly from those of rod and cone responses, including depolarization to
light, slow on- and off-latencies, and relatively low light sensitivity. All
ipRGCs use melanopsin (Opn4) as their photopigment. Melanopsin resembles
invertebrate rhabdomeric photopigments more than vertebrate ciliary pigments and
uses a G(q) signaling pathway, in contrast to the G(t) pathway used by rods and
cones. ipRGCs can recycle chromophore in the absence of the retinal pigment
epithelium and are highly resistant to vitamin A depletion. This suggests that
melanopsin employs a bistable sequential photon absorption mechanism typical of
rhabdomeric opsins.
PMID- 22074931
TI - The prognostic value of serial leukocyte adhesion molecules in post-aneurysmal
subarachnoid hemorrhage.
AB - BACKGROUND: Leukocyte recruitment and inflammatory response play an important
role in the pathophysiology after aneurysmal subarachnoid hemorrhage (SAH). We
determined whether leukocyte adhesion molecules after aneurysmal SAH can predict
the presence of delayed cerebral infarction (DCI). METHODS: Serial changes in P
selectin glycoprotein ligand-1 (PSGL-1), macrophage antigen-1 (Mac-1), and
lymphocyte function-associated antigen-1 (LFA-1) expression by leukocyte subsets
were prospectively examined using flow cytometry at various time points in 20
acute aneurysmal SAH patients and 21 healthy volunteers who were free of
medications were enrolled as a control group. RESULTS: Neutrophil (cut-off value
of >44.90) and monocyte (cut-off value of >32.95) PSGL-1 expressions on admission
were significantly higher in patients with DCI than in non-DCI patients, but the
difference between neutrophil PGSL-1 and monocyte PGSL-1 levels between the two
groups was no longer significant from Day 4 to Day 14 after aneurysmal SAH. After
stepwise logistic regression analysis, only neutrophil PSGL-1 expression upon
admission was independently associated with DCI. The mean hospitalization days
were 44.4+/-26.2 for those patients with DCI and 40.5+/-26.4 for non-DCI
patients. After a minimum 6-month follow-up period, the median BI score was 20
for DCI patients and 80 for non-DCI patients. CONCLUSIONS: Higher expressions of
neutrophil PSGL-1 on admission may imply a danger of DCI in patients with
aneurysmal SAH.
PMID- 22074932
TI - Isolation of Coxsackievirus A24 variant from patients with hemorrhagic
conjunctivitis in Cuba, 2008-2009.
AB - BACKGROUND: An outbreak of acute hemorrhagic conjunctivitis occurred in Cuba in
2008 and 2009. OBJECTIVE: To determinate the etiological agent associated with
the Cuban outbreaks of acute hemorrhagic conjunctivitis during 2008 and 2009.
STUDY DESIGN: Conjunctival swabs and/or faecal samples from 382 patients with
clinical diagnosis suggestive of acute hemorrhagic conjunctivitis were subject to
viral culture in HEp-2 human laryngeal epidermoid carcinoma cells. Positive
samples were identified by a specific Coxsackievirus A24 variant PCR and the 3C
protease region of 16 isolates was sequenced for phylogenetic analysis. RESULTS:
Enterovirus cytopathic effect was observed in 138 cases (36%). A higher percent
of CA24v was recovered from faecal samples, 19 out of 45 cases (42.2%), than from
conjunctival swabs, 127 out of 355 samples (35.8%). All isolates were identified
as Coxsackievirus A24 variant. Phylogenetic analysis revealed that 2008 and 2009
Cuban outbreaks were caused by the same virus strains and that isolates were
closely related to those from Taiwan (2006-2007), China (2007-2008) and Singapore
(2005) with a bootstrap value of 71%. CONCLUSIONS: Outbreaks of acute hemorrhagic
conjunctivitis occurred in Cuba in 2008 and 2009 were caused by Coxsackievirus
A24 variant. The faecal-oral route is another mode of transmission of CA24v in
the acute hemorrhagic conjunctivitis outbreaks. Phylogenetic analysis of Cuban
CA24v strains involved in an acute hemorrhagic conjunctivitis outbreak in 2008
and 2009 confirms a new introduction of the CA24 variant into the Americas from
South-east Asia.
PMID- 22074933
TI - Susceptibility to varicella-zoster among pregnant women in the province of Lecce,
Italy.
AB - BACKGROUND: Varicella is predominantly a childhood disease, considered a mild
self-limiting disease that can have serious complications for a pregnant woman
and her developing fetus. OBJECTIVES: We investigated the susceptibility to
varicella-zoster Virus (VZV) among pregnant women in the province of Lecce. STUDY
DESIGN: A cross-sectional study was carried out in Departments of Gynecology and
Obstetrics of the Province of Lecce, where 539 pregnant women were recruited, and
face-to-face interviews were conducted. Varicella IgG tests were performed.
RESULTS: The prevalence of varicella susceptibility among pregnant mothers was
10.6%. The prevalence of IgG antibodies increases significantly with increasing
age, from 62.5% in the age group 15-19 years to 94.4% in the age group 40-49
years. DISCUSSION: In the Italian National Vaccination Plan 2005-2007, varicella
vaccine is only recommended for childbearing women. A safe and effective vaccine
is available and no abnormalities have been observed among infants born to
susceptible women who received varicella vaccines during pregnancy. Such a high
number of susceptible women indicates that preventive and informative programs
should be introduced, even among those who do not plan to become pregnant.
Routine counselling, varicella IgG antibody screening and varicella vaccination
should be considered if they have no history of the infection, to reduce the risk
of fetal complications and the cost of healthcare associated with the infection.
PMID- 22074935
TI - Introducing the parvome: bioactive compounds in the microbial world.
AB - We describe and discuss the features and functions of the "parvome", the "-ome"
of the chemical world, consisting of the small molecules produced by living
organisms. Here, we focus specifically on the world of microbial small molecules.
Many years of natural product discovery research, coupled with recent advances
and applications of genetic and genomic techniques have revealed the presence of
an enormous collection of unique small molecules that are the products of
cellular metabolism. As yet, we have a poor understanding of their functions and,
in most cases, little knowledge of their routes of biosynthesis, although such
information is accruing rapidly. In this review, we attempt to address the raison
d'etre of the parvome in the bacterial world, and we propose that a better
understanding of the true biological roles of natural products will permit the
application of rational approaches to the more effective exploitation of their
use in medicine by humankind.
PMID- 22074934
TI - Ten years of human metapneumovirus research.
AB - Described for the first time in 2001, human metapneumovirus (hMPV) has become one
of the main viral pathogens responsible for acute respiratory tract infections in
children but also in the elderly and immuno-compromised patients. The pathogen
most closely related to hMPV is human respiratory syncytial virus (hRSV), the
most common cause of bronchiolitis and pneumonia in young children. hMPV has been
classified into two main viral groups A and B and has a seasonal distribution in
temperate countries with most cases occurring in winter and spring. Given the
difficulties encountered in culturing hMPV in vitro, diagnosis is generally
achieved using real-time polymerase chain reaction. Like other Paramyxoviridae,
hMPV has a negative-sense single-stranded RNA genome that includes 8 genes coding
for 9 different proteins. The genomic organization and functions of surface
attachment and fusion glycoproteins are relatively similar to those of hRSV.
Although many groups have studied the viral life cycle of hMPV, many questions
remain unanswered concerning the exact roles of the viral proteins in the
attachment, fusion and replication of hMPV. To date, there remains no approved
modality to combat hMPV infections. The majority of treatments that have been
tested on hMPV have already demonstrated activity against hRSV infections. Some
innovative approaches based on RNA interference and on fusion inhibitors have
shown efficacy in vitro and in animal studies and could be beneficial in treating
human hMPV disease. Difficulties faced inducing a durable immune response
represent the biggest challenge in the development of an effective hMPV vaccine.
Several strategies, such as the use of live-attenuated viruses generated by
reverse genetics or recombinant proteins, have been tested in animals with
encouraging results.
PMID- 22074937
TI - Multifocal skin lesions and melena with thrombocytopenia in an infant.
PMID- 22074938
TI - Applications of cathodoluminescence spectroscopy and imaging in the
characterisation of pharmaceutical materials.
AB - Cathodoluminescence (CL) analysis is a mature technique which utilises the light
that is emitted from materials when they are bombarded with a beam of high energy
electrons to produce spectra and spectral images of specimens. This technique is
used routinely in many industries as a non-destructive way to investigate and
characterise inorganic compounds, such as minerals, ceramics and semiconductors,
as they are being examined in a scanning electron microscope, but is seldom used
to study organic compounds. The discovery that many (up to about 80%) active
pharmaceutical ingredients (APIs) and API-like compounds are cathodoluminescent
has resulted in the development of CL analysis as a novel technique to rapidly
visualise the solid state spatial distribution of APIs in drug products as they
are being examined by scanning electron microscopy. Spectral images of API
particles dispersed in drug products (a tablet, a multiparticulate bead, and a
dry powder inhalation blend) were acquired to illustrate the practical
application of CL imaging to support product development or to optimise
manufacturing processes. This study has also revealed that CL spectroscopy can
distinguish between crystalline and amorphous materials and is sensitive the
differences between the solid forms of some organic compounds, such as salts and
polymorphs. Up to 80% of commonly used excipients are non-cathodoluminescent and
this has the advantage of enabling APIs in formulated products to be imaged
without interference. As part of the investigation to explore the use of CL as a
way to identify polymorphs and monitor phase transformations, it was discovered
that by recrystallising fused carbamazepine, the metastable Form IV was
unexpectedly produced.
PMID- 22074939
TI - Mapping Patient-Specific Functional Scale (PSFS) items to the International
Classification of Functioning, Disability and Health (ICF).
AB - BACKGROUND: The International Classification of Functioning, Disability and
Health (ICF) provides a common framework for clinical outcome measurement.
Because the Patient-Specific Functional Scale (PSFS) is widely used for
documenting change over time in individual patients receiving musculoskeletal
physical therapy, investigation of the extent to which PSFS items reflect the ICF
is needed. OBJECTIVE: The study objective was to investigate the extent to which
patient-generated PSFS items reflect ICF domains. DESIGN: This investigation was
an observational content validity study. METHODS: A total of 2,911 PSFS items
from 1,050 files for patients with musculoskeletal disorders were analyzed. The
data were from a random sample of participants in the Otago Outcome Measures
Project at 4 clinics of the School of Physiotherapy, University of Otago,
situated in 3 New Zealand cities. Patient-nominated PSFS items were categorized
and mapped with thematic analysis techniques to ICF components, chapters, and
categories. Subgroup analyses were conducted for body region of injury and age
ranges. RESULTS: All (100%) of the analyzed items could be mapped to the ICF.
Most patient-nominated items mapped to the activity component (80.0%), some items
mapped to the participation component (7.7%), other items were related to
impairment (7.4%), and the fourth group contained items that overlapped the
activity and participation components (4.9%). Similar results were found for each
of the 5 body regions and across age ranges in subgroup analyses. LIMITATIONS:
These results are limited to individual patients seeking musculoskeletal physical
therapy. Patient-generated PSFS items were investigated. CONCLUSIONS: The ICF
activity component was most commonly represented by patient-nominated PSFS items,
the participation component was moderately represented, and impairment was least
represented. Hence, the PSFS would complement impairment-based clinical outcome
measures.
PMID- 22074940
TI - The modified Gait Efficacy Scale: establishing the psychometric properties in
older adults.
AB - BACKGROUND: Perceived ability or confidence plays an important role in
determining function and behavior. The modified Gait Efficacy Scale (mGES) is a
10-item self-report measure used to assess walking confidence under challenging
everyday circumstances. OBJECTIVE: The purpose of this study was to determine the
reliability, internal consistency, and validity of the mGES as a measure of gait
in older adults. DESIGN: This was a cross-sectional study. METHODS: Participants
were 102 community-dwelling older adults (mean [+/-SD] age=78.6+/-6.1 years) who
were independent in ambulation with or without an assistive device. Participants
were assessed using the mGES and measures of confidence and fear, measures of
function and disability, and performance-based measures of mobility. In a
subsample (n=26), the mGES was administered twice within a 1-month period to
establish test-retest reliability through the intraclass correlation coefficient
(ICC [2,1]). The standard error of measure (SEM) was determined from the ICC and
standard deviation. The Cronbach alpha value was calculated to determine internal
consistency. To establish the validity of the mGES, the Spearman rank order
correlation coefficient was used to examine the association with measures of
confidence, fear, gait, and physical function and disability. RESULTS: The mGES
demonstrated test-retest reliability within the 1-month period (ICC=.93, 95%
confidence interval=.85, .97). The SEM of the mGES was 5.23. The mGES was
internally consistent across the 10 items (Cronbach alpha=.94). The mGES was
related to measures of confidence and fear (r=.54-.88), function and disability
(Late-Life Function and Disability Instrument, r=.32-.88), and performance-based
mobility (r=.38-.64). LIMITATIONS: This study examined only community-dwelling
older adults. The results, therefore, should not be generalized to other patient
populations. CONCLUSION: The mGES is a reliable and valid measure of confidence
in walking among community-dwelling older adults.
PMID- 22074941
TI - Continuity in the provider of home-based physical therapy services and its
implications for outcomes of patients.
AB - BACKGROUND: A growing body of research suggests that greater continuity of health
care is positively associated with improved outcomes of patients. However, few
studies have examined this issue in the context of physical therapy. OBJECTIVE:
The purpose of this study was to evaluate whether the level of continuity in the
provider (provider continuity) of physical therapy services was related to
outcomes in a population of patients receiving home health care. DESIGN: This was
a retrospective observational study. METHODS: Clinical and administrative records
were retrieved for a population of adult patients receiving physical therapy
services from a large, urban, not-for-profit certified home health care agency in
2009. Descriptive and multivariable analyses were used to examine how the level
of provider continuity, calculated by use of a formula that models dispersion in
contact between the patient and the providers of physical therapy services,
varied across characteristics and outcomes of patients. RESULTS: Logistic
regression analyses indicated that patients with lower levels of provider
continuity had significantly higher odds of hospitalization (odds ratio
[OR]=2.06, 95% confidence interval [CI]=1.90-2.23) and lower odds of improvements
in the number of activity limitations (OR=0.85, 95% CI=0.80-0.92) and in the
severity of activity limitations (OR=0.85, 95% CI=0.78-0.93) between the
beginning and the end of the home health care episode. LIMITATIONS: Baseline
clinical characteristics associated with continuity of care suggest some level of
indication bias. Outcome measures for activities of daily living were limited to
patients who were not hospitalized during their home health stay. CONCLUSIONS:
These findings build upon research suggesting that continuity in the patient
provider relationship is an important determinant of outcomes of patients.
PMID- 22074942
TI - Protease inhibitors for treatment of genotype 1 hepatitis C virus infection.
PMID- 22074943
TI - Achieving integrated care is a slow and difficult process.
PMID- 22074944
TI - Ombudsman reports GP to the GMC for refusing to apologise to a patient.
PMID- 22074945
TI - Single maintenance and reliever therapy (SMART) for asthma.
AB - Around 5.2 million people in the UK are estimated to have asthma.1 Mortality and
hospitalisation rates associated with the condition fell significantly in the
last 20 years of the 20th century, but have not fallen further since then.2 In
2006, there were over 1,000 asthma deaths and around 78,000 hospital admissions
due to asthma in the UK.2 One pharmacological strategy that has been developed
recently to try to improve asthma management is the use of single maintenance and
reliever therapy (SMART). This involves the patient using a single inhaler
containing a corticosteroid (budesonide) and a long-acting beta(2) agonist (LABA;
formoterol), for regular maintenance treatment, but also for additional 'rescue'
use on an as-needed basis. Combination inhaler use is claimed to improve
adherence, and is now included in UK asthma guidelines.3 Here we assess the
evidence for single combination therapy, its relative effectiveness in comparison
with other approaches, and whether or under what circumstances it should be used.
PMID- 22074946
TI - Tyrosine kinase inhibitors for metastatic renal cell carcinoma.
AB - Renal cell carcinoma accounts for 2-3% of all adult malignancies worldwide, and
around 30% of patients with the condition present with advanced or metastatic
disease.1,2 Until recently, cytokine therapy (e.g. interleukin-2 or interferon
alfa) was the standard treatment for metastatic renal cell carcinoma but provided
only a small survival advantage (e.g. extending life by a median of 2.5 months).3
A key development has been the introduction of drugs known as receptor tyrosine
kinase inhibitors, which include ?sunitinib (Sutent-Pfizer), ?sorafenib (Nexavar
Bayer) and ?pazopanib (Votrient-GlaxoSmithKline). Here we review the evidence on
the efficacy, tolerability and cost-effectiveness of these treatments in renal
cell carcinoma.
PMID- 22074947
TI - Research resource: enhanced genome-wide occupancy of estrogen receptor alpha by
the cochaperone p23 in breast cancer cells.
AB - p23 is a chaperone with multiple heat shock protein 90 dependent and independent
cellular functions, including stabilizing unliganded steroid receptors and
modulating receptor-DNA dynamics. p23 protein is also up-regulated in several
cancers, notably breast cancer. We previously demonstrated that higher expression
of p23 in the estrogen-dependent breast cancer line MCF-7 (MCF-7+p23) selectively
increased estrogen receptor (ER) target gene transcription and ER recruitment to
regulatory elements, promoted cell invasion, and predicted a poor prognosis in
breast cancer patients. To probe the impact of p23 on ER binding throughout the
human genome, we compared ER occupancy in MCF-7+p23 cells relative to MCF-7
control cells by using chromatin immunoprecipitation followed by ultrahigh
throughput DNA sequencing in the absence and presence of 17beta-estradiol (E2)
treatment. We found that increased expression of p23 resulted in a 230% increase
in the number of E2-induced ER-binding sites throughout the genome compared with
control cells and also increased ER binding under basal conditions. Motif
analysis indicated that ER binds to a similar DNA sequence regardless of p23
status. We also observed that ER tends to bind closer to genes that were induced,
rather than repressed by either E2 treatment or p23 overexpression.
Interestingly, we also found that the increased invasion of MCF-7+p23 cells was
not only p23 dependent but also ER dependent. Thus, a small increase in the
expression of p23 amplifies ER-binding genome wide and, in combination with ER,
elicits an invasive phenotype. This makes p23 an attractive target for combating
tumor cell metastasis in breast cancer patients.
PMID- 22074948
TI - Mouse resistin modulates adipogenesis and glucose uptake in 3T3-L1 preadipocytes
through the ROR1 receptor.
AB - Mouse resistin, a cysteine-rich protein primarily secreted from mature
adipocytes, is involved in insulin resistance and type 2 diabetes. Human
resistin, however, is mainly secreted by immune mononuclear cells, and it
competes with lipopolysaccharide for the binding to Toll-like receptor 4, which
could mediate some of the well-known proinflammatory effects of resistin in
humans. In addition, resistin has been involved in the regulation of many cell
differentiation and proliferation processes, suggesting that different receptors
could be involved in mediating its numerous effects. Thus, a recent work
identifies an isoform of Decorin (Delta Decorin) as a functional resistin
receptor in adipocyte progenitors that may regulate white adipose tissue
expansion. Our work shows that the mouse receptor tyrosine kinase-like orphan
receptor (ROR)1 could mediate some of the described functions of resistin in 3T3
L1 adipogenesis and glucose uptake. We have demonstrated an interaction of mouse
resistin with specific domains of the extracellular region of the ROR1 receptor.
This interaction results in the inhibition of ROR1 phosphorylation, modulates
ERK1/2 phosphorylation, and regulates suppressor of cytokine signaling 3, glucose
transporter 4, and glucose transporter 1 expression. Moreover, mouse resistin
modulates glucose uptake and promotes adipogenesis of 3T3-L1 cells through ROR1.
In summary, our results identify mouse resistin as a potential inhibitory ligand
for the receptor ROR1 and demonstrate, for the first time, that ROR1 plays an
important role in adipogenesis and glucose homeostasis in 3T3-L1 cells. These
data open a new line of research that could explain important questions about the
resistin mechanism of action in adipogenesis and in the development of insulin
resistance.
PMID- 22074949
TI - BMP3 suppresses osteoblast differentiation of bone marrow stromal cells via
interaction with Acvr2b.
AB - Enhancing bone morphogenetic protein (BMP) signaling increases bone formation in
a variety of settings that target bone repair. However, the role of BMP in the
maintenance of adult bone mass is not well understood. Targeted disruption of
BMP3 in mice results in increased trabecular bone formation, whereas transgenic
overexpression of BMP3 in skeletal cells leads to spontaneous fracture,
consistent with BMP3 having a negative role in bone mass regulation. Here we
investigate the importance of BMP3 as a mediator of BMP signaling in the adult
skeleton. We find that osteoblasts (OBL) and osteocytes are the source of BMP3 in
adult bone. Using in vitro cultures of primary bone marrow stromal cells, we show
that overexpression of BMP3 suppresses OBL differentiation, whereas loss of BMP3
increases colony-forming unit fibroblasts and colony-forming unit OBL. The
ability of BMP3 to affect OBL differentiation is due to its interaction with
activin receptor type 2b (Acvr2b) because knockdown of endogenous Acvr2b in bone
marrow stromal cells reduces the suppressive effect of BMP3 on OBL
differentiation. These findings best fit a model in which BMP3, produced by
mature bone cells, acts to reduce BMP signaling through Acvr2b in skeletal
progenitor cells, limiting their differentiation to mature OBL. Our data further
support the idea that endogenous BMPs have a physiological role in regulating
adult bone mass.
PMID- 22074950
TI - Research resource: transcriptional response to glucocorticoids in childhood acute
lymphoblastic leukemia.
AB - Glucocorticoids (GC) induce apoptosis in lymphoblasts and are thus essential in
the treatment of acute lymphoblastic leukemia (ALL). Their effects result from
gene regulations via the GC receptor (NR3C1/GR), but it is unknown how these
changes evolve, what the primary GR targets are, and to what extent responses
differ between ALL subtypes and nonlymphoid malignancies. We delineated the
transcriptional response to GC on the exon level in a time-resolved manner in a
precursor B- and a T childhood ALL model employing Exon microarrays and combined
this with genome-wide NR3C1-binding site detection using chromatin
immunoprecipitation-on-chip technology. This integrative approach showed that the
response was strongly influenced by kinetics and extent of GR autoinduction in
both models. Although remarkable differences between the ALL systems were
apparent, we defined a set of common response genes enriched in apoptosis-related
processes. Globally, GR binding was higher for GC-induced vs. -repressed genes,
suggesting that GR mediates gene repression by interaction with distant enhancers
or by cross talk with other transcription factors. Exon level analysis defined
several new GC-regulated transcript variants of genes, including ATP4B, GPR98,
TBCD, and ZBTB16. Our study provides unprecedented insight into the
transcriptional response to GC in ALL cells, essential to understand this
biologically and clinically important phenomenon. We found evidence of cell type
specific as well as common responses, possibly related to apoptosis induction,
and detected induction of novel transcript variants by GC in the investigated
systems. Finally, we implemented a bioinformatic framework that might be useful
for high-density microarray analyses to identify alternative transcript variant
expression.
PMID- 22074951
TI - Research resource: interactome of human embryo implantation: identification of
gene expression pathways, regulation, and integrated regulatory networks.
AB - A prerequisite for successful embryo implantation is adequate preparation of
receptive endometrium and the establishment and maintenance of a viable embryo.
The success of implantation further relies upon a two-way dialogue between the
embryo and uterus. However, molecular bases of these preimplantation and
implantation processes in humans are not well known. We performed genome
expression analyses of human embryos (n = 128) and human endometria (n = 8). We
integrated these data with protein-protein interactions in order to identify
molecular networks within the endometrium and the embryo, and potential embryo
endometrium interactions at the time of implantation. For that, we applied a
novel network profiling algorithm HyperModules, which combines topological module
identification and functional enrichment analysis. We found a major wave of
transcriptional down-regulation in preimplantation embryos. In receptive-stage
endometrium, several genes and signaling pathways were identified, including JAK
STAT signaling and inflammatory pathways. The main curated embryo-endometrium
interaction network highlighted the importance of cell adhesion molecules in the
implantation process. We also identified cytokine-cytokine receptor interactions
involved in implantation, where osteopontin (SPP1), leukemia inhibitory factor
(LIF) and leptin (LEP) pathways were intertwining. Further, we identified a
number of novel players in human embryo-endometrium interactions, such as
apolipoprotein D (APOD), endothelin 1 (END1), fibroblast growth factor 7 (FGF7),
gastrin (GAST), kringle containing trnasmembrane protein 1 (KREMEN1), neuropilin
1 (NRP1), serpin peptidase inhibitor clade A member 3 (SERPINA3), versican
(VCAN), and others. Our findings provide a fundamental resource for better
understanding of the genetic network that leads to successful embryo
implantation. We demonstrate the first systems biology approach into the complex
molecular network of the implantation process in humans.
PMID- 22074952
TI - Androgen receptor repression of GnRH gene transcription.
AB - Alterations in androgen levels lead to reproductive defects in both males and
females, including hypogonadotropic hypogonadism, anovulation, and infertility.
Androgens have been shown to down-regulate GnRH mRNA levels through an androgen
receptor (AR)-dependent mechanism. Here, we investigate how androgen regulates
expression from the GnRH regulatory region in the GT1-7 cell line, a model of
GnRH neurons. A synthetic androgen, R1881, repressed transcription from the GnRH
promoter (GnRH-P) in an AR-dependent manner, and liganded AR associated with the
chromatin at the GnRH-P in live GT1-7 cells. The three known octamer-binding
transcription factor-1 (Oct-1) binding sites in GnRH-P were required for AR
mediated repression, although other sequences were also involved. Although a
multimer of the consensus Oct-1 binding site was not repressed, a multimer of the
cluster of Oct-1, Pre-B cell leukemia transcription factor (Pbx)/Prep, and NK2
homeobox 1 (Nkx2.1) binding sites, found at -106/-91 in GnRH-P, was sufficient
for repression. In fact, overexpression of any of these factors disrupted the
androgen response, indicating that a balance of factors in this tripartite
complex is required for AR repression. AR bound to this region in EMSA,
indicating a direct interaction of AR with DNA or with other transcription
factors bound to GnRH-P at this sequence. Collectively, our data demonstrate that
GnRH transcription is repressed by AR via multiple sequences in GnRH-P, including
three Oct-1 binding sites, and that this repression requires the complex
interaction of several transcription factors.
PMID- 22074954
TI - Molecular determinants of the interaction between human high molecular weight
kininogen and Candida albicans cell wall: Identification of kininogen-binding
proteins on fungal cell wall and mapping the cell wall-binding regions on
kininogen molecule.
AB - An excessive production of vasoactive and proinflammatory bradykinin-related
peptides, the kinins, is often involved in the human host defense against
microbial infections. Recent studies have shown that a major fungal pathogen to
humans, Candida albicans, can bind the proteinaceous kinin precursor, the high
molecular weight kininogen (HK) and trigger the kinin-forming cascade on the cell
surface. In this work, we preliminarily characterized a molecular mechanism
underlying the HK adhesion to the fungal surface by (i) identification of major
kininogen-binding constituents on the candidial cell wall and (ii) mapping the
cell wall-binding regions on HK molecule. A major fraction of total fungal
kininogen-binding capacity was assigned to beta-1,3-glucanase-extractable cell
wall proteins (CWP). By adsorption of CWP on HK-coupled agarose gel and mass
spectrometric analysis of the eluted material, major putative HK receptors were
identified, including Als3 adhesin and three glycolytic enzymes, i.e., enolase 1,
phosphoglycerate mutase 1 and triosephosphate isomerase 1. Using monoclonal
antibodies directed against selected parts of HK molecule and synthetic peptides
with sequences matching selected HK fragments, we assigned the major fungal cell
wall-binding ability to a short stretch of amino acids in the C-terminal part of
domain 3 and a large continuous region involving the C-terminal part of domain 5
and N-terminal part of domain 6 (residues 479-564). The latter characteristics of
HK binding to C. albicans surface differ from those reported for bacteria and
host cells.
PMID- 22074953
TI - Evolutionary history and functional characterization of the amphibian xenosensor
CAR.
AB - The xenosensing constitutive androstane receptor (CAR) is widely considered to
have arisen in early mammals via duplication of the pregnane X receptor (PXR). We
report that CAR emerged together with PXR and the vitamin D receptor from an
ancestral NR1I gene already in early vertebrates, as a result of whole-genome
duplications. CAR genes were subsequently lost from the fish lineage, but they
are conserved in all taxa of land vertebrates. This contrasts with PXR, which is
found in most fish species, whereas it is lost from Sauropsida (reptiles and
birds) and plays a role unrelated to xenosensing in Xenopus. This role is
fulfilled in Xenopus by CAR, which exhibits low basal activity and pronounced
responsiveness to activators such as drugs and steroids, altogether resembling
mammalian PXR. The constitutive activity typical for mammalian CAR emerged first
in Sauropsida, and it is thus common to all fully terrestrial land vertebrates
(Amniota). The constitutive activity can be achieved by humanizing just two amino
acids of the Xenopus CAR. Taken together, our results provide a comprehensive
reconstruction of the evolutionary history of the NR1I subfamily of nuclear
receptors. They identify CAR as the more conserved and remarkably plastic NR1I
xenosensor in land vertebrates. Nonmammalian CAR should help to dissect the
specific functions of PXR and CAR in the metabolism of xeno- and endobiotics in
humans. Xenopus CAR is a first reported amphibian xenosensor, which opens the way
to toxicogenomic and bioaugmentation studies in this critically endangered taxon
of land vertebrates.
PMID- 22074955
TI - Beyond the metabolic role of ghrelin: a new player in the regulation of
reproductive function.
AB - Ghrelin is a gastric peptide, discovered by Kojima et al. (1999) [55] as a result
of the search for an endogenous ligand interacting with the "orphan receptor" GHS
R1a (growth hormone secretagogue receptor type 1a). Ghrelin is composed of 28
aminoacids and is produced mostly by specific cells of the stomach, by the
hypothalamus and hypophysis, even if its presence, as well as that of its
receptors, has been demonstrated in many other tissues, not least in gonads.
Ghrelin potently stimulates GH release and participates in the regulation of
energy homeostasis, increasing food intake, decreasing energy output and exerting
a lipogenetic effect. Furthermore, ghrelin influences the secretion and motility
of the gastrointestinal tract, especially of the stomach, and, above all,
profoundly affects pancreatic functions. Despite of these previously envisaged
activities, it has recently been hypothesized that ghrelin regulates several
aspects of reproductive physiology and pathology. In conclusion, ghrelin not only
cooperates with other neuroendocrine factors, such as leptin, in the modulation
of energy homeostasis, but also has a crucial role in the regulation of the
hypothalamic-pituitary gonadal axis. In the current review we summarize the main
targets of this gastric peptide, especially focusing on the reproductive system.
PMID- 22074956
TI - Pharmacological characteristics of endokinin C/D-derived peptides in nociceptive
and inflammatory processing in rats.
AB - Endokinins designated from the human TAC4 gene consist of endokinin A, endokinin
B, endokinin C (EKC) and endokinin D (EKD). EKC/D is a peptide using the common
carboxyl-terminal in EKC and EKD and consists of 12 amino acids, and exerts
antagonistic effects on the induction of scratching behavior by substance P (SP).
Some of SP-preferring receptor antagonists have several d-tryptophan (d-Trp);
however, the pharmacological effect of EKC/D-derived peptides with d-Trp remains
to be solved. Therefore, to clarify the pharmacological characteristics of EKC/D
derived peptides, effects of pretreatment with these peptides on SP-induced
scratching and thermal hyperalgesia, formalin-induced flinching and carrageenan
induced inflammation were evaluated. Intrathecal administration of [d-Trp(8)]
EKC/D and [d-Trp(10)]-EKC/D showed a markedly long inhibitory effect, at least 14
h, whereas the antagonistic effects of [d-Trp(8,10)]-EKC/D and EKC/D without d
Trp disappeared after 1h. Furthermore, the inhibitory effect of [d-Trp(10)]-EKC/D
derived peptides was dependent on the number of amino acids from the amino
terminus, and the more numerous the amino acids, the more marked the antagonistic
effect. Thus, these results indicate that the effective duration of EKC/D-derived
peptides is dependent on the number of d-Trp in the carboxyl-terminal region and
the amino-terminal region regulates the antagonistic effect of EKC/D.
PMID- 22074957
TI - LC-MS-based metabolomics in the clinical laboratory.
AB - The analysis of metabolites in human body fluids remains a challenge because of
their chemical diversity and dynamic concentration range. Liquid chromatography
(LC) in combination with tandem mass spectrometry (MS/MS) offers a robust,
reliable, and economical methodology for quantitative single metabolite analysis
and profiling of complete metabolite classes of a biological specimen over a
broad dynamic concentration range. The application of LC-MS/MS based metabolomic
approaches in clinical applications aims at both, the improvement of diagnostic
sensitivity and specificity by profiling a metabolite class instead of a single
metabolite analysis, and the identification of new disease specific biomarkers.
In the present paper we discuss recent advances in method development for LC
MS/MS analysis of lipids, carbohydrates, amino acids and biogenic amines,
vitamins and organic acids with focus on human body fluids. In this context an
overview on recent LC-MS/MS based metabolome studies for cancer, diabetes and
coronary heart disease is presented.
PMID- 22074958
TI - Chlorpromazine quantification in human plasma by UPLC-electrospray ionization
tandem mass spectrometry. Application to a comparative pharmacokinetic study.
AB - In the present study a method to quantify chlorpromazine in human plasma using
cyclobenzaprine as the internal standard (IS) is described. The analyte and the
IS were extracted from human plasma by a liquid-liquid extraction with diethyl
ether/dichloromethane (70/30, v/v) and analyzed by an ultra performance liquid
chromatography (UPLC) coupled to an electrospray tandem triple quadrupole mass
spectrometer in positive mode (UPLC-ES(+)-MS/MS). Chromatography was performed
isocratically on an Aquity UPLC BEH C18 1.7 MUm (50 mm * 2.1 mm i.d.) operating
at 40 degrees C. The mobile phase was a mixture of 65% water+1% formic acid and
35% of acetonitrile at a flow-rate of 0.5 mL/min. The lowest concentration
quantified was 0.5 ng/mL and a linear calibration curve over the range 0.5-200
ng/mL was obtained, showing intra-assay precisions from 2.4 to 5.8%, and inter
assay precisions from 3.6 to 9.9%. The intra-assay accuracies ranged from 96.9 to
102.5%, while the inter-assay accuracies ranged from 94.1 to 100.3%. This
analytical method was applied in a relative bioavailability study in order to
compare a test chlorpromazine 100 mg simple dose formulation versus a reference
in 57 volunteers of both sexes. The study was conducted in an open randomized two
period crossover design and with a fourteen days washout period. Plasma samples
were obtained over a 144-h interval. Since the 90% CI for both C(max), AUC(last)
and AUC(0-inf) were within the 80-125% interval proposed by the Food and Drug
Administration and ANVISA, it was concluded that chlorpromazine 100 mg/dose was
bioequivalent to the reference formulation, according to both the rate and extent
of absorption.
PMID- 22074959
TI - Bipolar temperaments and light.
AB - BACKGROUND: Converging lines of evidence have established a relationship between
exposure to ambient light and both mood state and mood disorders. In view of the
association between mood disorder and affective disposition, in this study, the
relationship between temperament (depressive, cyclothymic, hyperthymic, irritable
and anxious) and daily light exposure was closely examined. METHODS: Fifty-six
subjects completed the Japanese standardized version of the Temperament
Evaluation of Memphis, Pisa, Paris and San Diego-auto questionnaire version
(TEMPS-A) and illuminance of daytime using actigraphy. RESULTS: Cyclothymic
temperament scores were significantly and negatively associated with illuminance
of daytime whereas hyperthymic temperament scores were significantly and
positively associated with illuminance of daytime. LIMITATIONS: Sample size was
relatively small and they were only healthy subjects and no patients.
CONCLUSIONS: The findings suggest that illuminance of daytime may be related to
both cyclothymic and hyperthymic temperaments but that the associations lie in
opposite directions. If this is the case, it seems important to consider
individual patients' temperaments when light therapy is used to treat their
depressive episode. Further studies are required to determine why and how these
temperaments are associated with illuminance.
PMID- 22074960
TI - A simple synthesis of APM ([p-(N-acrylamino)-phenyl]mercuric chloride), a useful
tool for the analysis of thiolated biomolecules.
AB - This study describes two novel synthetic procedures to prepare APM, a useful tool
for the analysis and the purification of thiolated biomolecules. The methods
developed are technically simple and robust and allowed the first full
characterization of pure APM. Moreover, the efficacy of APM, as a biochemical
tool, was demonstrated by analysis of tRNA thiolation by APM-PAGE.
PMID- 22074961
TI - The synthesis of 2-nitroaryl-1,2,3,4-tetrahydroisoquinolines, nitro-substituted
5,6-dihydrobenzimidazo[2,1-a]isoquinoline N-oxides and related heterocycles as
potential bioreducible substrates for the enzymes NAD(P)H: quinone oxidoreductase
1 and E. coli nitroreductase.
AB - A series of 2-nitroaryl-1,2,3,4-tetrahydroisoquinolines 10 and nitro-substituted
5,6-dihydrobenzimidazo[2,1-a]isoquinoline N-oxides 11 have been synthesised and
evaluated as potential bioreducible substrates for the enzymes NAD(P)H: quinone
oxidoreductase 1 (NQO1) and Escherichia coli nitroreductase (NR). Also prepared
and evaluated were 2-(3,5-dinitropyridin-2-yl)-1,2,3,4-tetrahydroisoquinoline 12
and 5,6-dihydro-10-nitropyrido[3",2":4',5']imidazo[2',1'-a]isoquinoline 12-oxide
13. Both compounds 10b and 13 were reduced faster by human NQO1 than by CB-1954
[5-(aziridin-1-yl)-2,4-dinitrobenzamide].
PMID- 22074962
TI - Drugs as instruments: a new framework for non-addictive psychoactive drug use.
AB - Most people who are regular consumers of psychoactive drugs are not drug addicts,
nor will they ever become addicts. In neurobiological theories, non-addictive
drug consumption is acknowledged only as a "necessary" prerequisite for
addiction, but not as a stable and widespread behavior in its own right. This
target article proposes a new neurobiological framework theory for non-addictive
psychoactive drug consumption, introducing the concept of "drug
instrumentalization." Psychoactive drugs are consumed for their effects on mental
states. Humans are able to learn that mental states can be changed on purpose by
drugs, in order to facilitate other, non-drug-related behaviors. We discuss
specific "instrumentalization goals" and outline neurobiological mechanisms of
how major classes of psychoactive drugs change mental states and serve non-drug
related behaviors. We argue that drug instrumentalization behavior may provide a
functional adaptation to modern environments based on a historical selection for
learning mechanisms that allow the dynamic modification of consummatory behavior.
It is assumed that in order to effectively instrumentalize psychoactive drugs,
the establishment of and retrieval from a drug memory is required. Here, we
propose a new classification of different drug memory subtypes and discuss how
they interact during drug instrumentalization learning and retrieval.
Understanding the everyday utility and the learning mechanisms of non-addictive
psychotropic drug use may help to prevent abuse and the transition to drug
addiction in the future.
PMID- 22074963
TI - Toward an evolutionary basis for resilience to drug addiction.
AB - According to Muller & Schumann (M&S), people would have evolved adaptations for
learning to use psychoactive plants and drugs as instruments that reveal
particularly advantageous in modern urban environments. Here I "instrumentalize"
this framework to propose an evolutionary basis for the existence of a biological
resilience to drug addiction in people.
PMID- 22074964
TI - Drugs' rapid payoffs distort evaluation of their instrumental uses.
AB - Science has needed a dispassionate valuation of psychoactive drugs, but a
motivational analysis should be conducted with respect to long-term reward rather
than reproductive fitness. Because of hyperbolic overvaluation of short-term
rewards, an individual's valuation depends on the time she forms it and the times
she will revisit it, sometimes making her best long-term interest lie in total
abstinence.
PMID- 22074965
TI - Drugs as instruments from a developmental child and adolescent psychiatric
perspective.
AB - Developmental, epidemiological, and neurobiological studies indicate that the
adaptive and maladaptive functions, as well as immediate and long-term
consequences of drug use, may vary by age. Early initiation seems to be
associated with a reduced ability to use drugs purposely in a temporally stable,
non-addictive manner. Prevention strategies should consider social environmental
factors and aim to delay age at initiation.
PMID- 22074966
TI - Drug use as consumer behavior.
AB - Seeking integration of drug consumption research by a theory of memory function
and emphasizing drug consumption rather than addiction, Muller & Schumann (M&S)
treat drug self-administration as part of a general pattern of consumption. This
insight is located within a more comprehensive framework for understanding drug
use as consumer behavior that explicates the reinforcement contingencies
associated with modes of drug consumption.
PMID- 22074967
TI - Nonaddictive instrumental drug use: Theoretical strengths and weaknesses.
AB - The potential to instrumentalize drug use based upon the detection of very many
different drug states undoubtedly exists, and such states may play a role in
psychiatric and many other drug uses. Nevertheless, nonaddictive drug use is
potentially more parsimoniously explained in terms of sensation
seeking/impulsivity and drug expectations. Cultural factors also play a major
role in nonaddictive drug use.
PMID- 22074968
TI - Non-addictive psychoactive drug use: Implications for behavioral addiction.
AB - The newly proposed framework for non-addictive psychoactive substances postulated
by Muller & Schumann (M&S) provides an interesting and plausible explanation for
non-addictive drug use. However, with specific reference to the relevant
behavioral addiction literature, this commentary argues that the model may
unexpectedly hold utility not only for non-addictive use of drugs, but also for
non-addictive use of other potentially addictive behaviors.
PMID- 22074969
TI - Does drug mis-instrumentalization lead to drug abuse?
AB - Understanding the perceived benefits of using drugs to achieve specific mental
states will provide novel insights into the reasons individuals seek to use
drugs. However, the precision of attempts to instrumentalize drugs is unclear
both across drugs and individuals. Moreover, mis-instrumentalization, defined as
discrepancies between such endpoints, may have relevance to understanding the
relation among use, abuse, and addiction.
PMID- 22074970
TI - Drug instrumentalization and evolution: Going even further.
AB - Muller & Schumann (M&S) deserve applause for their interdisciplinary examination
of drug use, evolution, and learning. Further steps can deepen their evolutionary
analysis: a focus on adaptive benefits, a distinction between approach and
consummatory behaviors, an examination of how drugs can create adaptive lag
through changing human niche construction, the importance of other
neurobehavioral mechanisms in drug use besides instrumentalization, and the
importance of sociocultural dynamics and neural plasticity in both human
evolution and drug use.
PMID- 22074971
TI - Optimal drug use and rational drug policy.
AB - The Muller & Schumann (M&S) view of drug use is courageous and compelling, with
radical implications for drug policy and research. It implies that most nations
prohibit most drugs that could promote happiness, social capital, and economic
growth; that most individuals underuse rather than overuse drugs; and that
behavioral scientists could use drugs more effectively in generating hypotheses
and collaborating empathically.
PMID- 22074972
TI - Sacramental and spiritual use of hallucinogenic drugs.
AB - Arguably, the religious use of hallucinogenic drugs stems from a human search of
metaphysical insight rather than from a direct need for cognitive, emotional,
social, physical, or sexual improvement. Therefore, the sacramental and spiritual
intake of hallucinogenic drugs goes so far beyond other biopsychosocial functions
that it deserves its own category in the drug instrumentalization list.
PMID- 22074973
TI - The instrumental rationality of addiction.
AB - The claim that non-addictive drug use is instrumental must be distinguished from
the claim that its desired ends are evolutionarily adaptive or easy to
comprehend. Use can be instrumental without being adaptive or comprehensible.
This clarification, together with additional data, suggests that Muller &
Schumann's (M&S's) instrumental framework may explain addictive, as well as non
addictive consumption.
PMID- 22074974
TI - Drug addiction finds its own niche.
AB - The evolutionary framework suggested by Muller & Schumann (M&S) can be extended
further by considering drug-taking in terms of Niche Construction Theory (NCT).
It is suggested here that genetic and environmental components of addiction are
modified by cultural acceptance of the advantages of non-addicted drug taking and
the legitimate supply of performance-enhancing drugs. This may then reduce the
prevalence of addiction.
PMID- 22074975
TI - But is it evolution...?
AB - We applaud Muller & Schumann (M&S) for bringing needed attention to the problem
of motivation for common non-addictive drug use, as opposed to the usual focus on
exotic drugs and addiction. Unfortunately, their target article has many
underdeveloped and sometimes contradictory ideas. Here, we will focus on three
key issues.
PMID- 22074976
TI - Why do we take drugs? From the drug-reinforcement theory to a novel concept of
drug instrumentalization.
AB - The drug-reinforcement theory explains why humans get engaged in drug taking
behavior. This theory posits that drugs of abuse serve as biological rewards by
activating the reinforcement system. Although from a psychological and
neurobiological perspective this theory is extremely helpful, it does not tell us
about the drug-taking motives and motivation of an individual. The definition of
drug instrumentalization goals will improve our understanding of individual drug
taking profiles.
PMID- 22074977
TI - Flaws of drug instrumentalization.
AB - The adaptive use of drugs, or "drug instrumentalization," is presented as a
reality that the scientific literature has largely ignored. In this commentary,
we demonstrate why this concept has limited value from the standpoint of
nosology, why it should not be viewed as "adaptive," and why it has dangerous
implications for policy and public health efforts.
PMID- 22074978
TI - Psychoactive drug use: Expand the scope of outcome assessment.
AB - The "hijacking" and "drug instrumentalization" models of psychoactive drug use
predict opposite outcomes in terms of adaptive behavior and fitness benefits.
Which is the range of applicability of each model? To answer this question, we
need more data than those reported by studies focusing on medical, psychiatric,
and legal problems in addicted users. An evolutionary analysis requires a much
wider focus.
PMID- 22074979
TI - Drugs, mental instruments, and self-control.
AB - The instrumental model offered by Muller & Schumann (M&S) is broadened to apply
not only to drugs, but also to other methods of self-control, including the use
of mental constructs to produce adaptive changes in behavior with the possibility
of synergistic interactions between various instruments.
PMID- 22074980
TI - Aspects of nicotine utilization.
AB - This commentary reviews the effects of nicotine on mood and cognition in support
of the drug utilization concept of Muller & Schumann (M&S). Specifically, it
amplifies the concept with the nicotine utilization hypothesis (NUH), which
opposes the nicotine withdrawal hypothesis (NWH). Evidence against NWH comes from
changes in mood after abstinence and the performance effects of nicotine
supporting drug utilization.
PMID- 22074981
TI - Governing drug use through neurobiological subject construction: The sad loss of
the sociocultural.
AB - Based on their "drugs as instruments" framework, Muller & Schumann (M&S) propose
a staged drug policy that matches well the neoliberal governance scheme. To mend
the sad loss of the sociocultural dimension in their model, I propose three such
considerations: first, sociocultural interactions with the brain; second,
sociocultural context and justice of drug use; and third, sociocultural
preparedness for implementing their drug policy.
PMID- 22074982
TI - A strain of Saccharomyces cerevisiae evolved for fermentation of lignocellulosic
biomass displays improved growth and fermentative ability in high solids
concentrations and in the presence of inhibitory compounds.
AB - BACKGROUND: Softwoods are the dominant source of lignocellulosic biomass in the
northern hemisphere, and have been investigated worldwide as a renewable
substrate for cellulosic ethanol production. One challenge to using softwoods,
which is particularly acute with pine, is that the pretreatment process produces
inhibitory compounds detrimental to the growth and metabolic activity of
fermenting organisms. To overcome the challenge of bioconversion in the presence
of inhibitory compounds, especially at high solids loading, a strain of
Saccharomyces cerevisiae was subjected to evolutionary engineering and adaptation
for fermentation of pretreated pine wood (Pinus taeda). RESULTS: An industrial
strain of Saccharomyces, XR122N, was evolved using pretreated pine; the resulting
daughter strain, AJP50, produced ethanol much more rapidly than its parent in
fermentations of pretreated pine. Adaptation, by preculturing of the industrial
yeast XR122N and the evolved strains in 7% dry weight per volume (w/v) pretreated
pine solids prior to inoculation into higher solids concentrations, improved
fermentation performance of all strains compared with direct inoculation into
high solids. Growth comparisons between XR122N and AJP50 in model hydrolysate
media containing inhibitory compounds found in pretreated biomass showed that
AJP50 exited lag phase faster under all conditions tested. This was due, in part,
to the ability of AJP50 to rapidly convert furfural and hydroxymethylfurfural to
their less toxic alcohol derivatives, and to recover from reactive oxygen species
damage more quickly than XR122N. Under industrially relevant conditions of 17.5%
w/v pretreated pine solids loading, additional evolutionary engineering was
required to decrease the pronounced lag phase. Using a combination of adaptation
by inoculation first into a solids loading of 7% w/v for 24 hours, followed by a
10% v/v inoculum (approximately equivalent to 1 g/L dry cell weight) into 17.5%
w/v solids, the final strain (AJP50) produced ethanol at more than 80% of the
maximum theoretical yield after 72 hours of fermentation, and reached more than
90% of the maximum theoretical yield after 120 hours of fermentation.
CONCLUSIONS: Our results show that fermentation of pretreated pine containing
liquid and solids, including any inhibitory compounds generated during
pretreatment, is possible at higher solids loadings than those previously
reported in the literature. Using our evolved strain, efficient fermentation with
reduced inoculum sizes and shortened process times was possible, thereby
improving the overall economic viability of a woody biomass-to-ethanol conversion
process.
PMID- 22074983
TI - Exact two-stage designs for phase II activity trials with rank-based endpoints.
AB - Features common to phase II clinical trials include limited knowledge of the
experimental treatment being evaluated, design components reflecting ethical
considerations, and small to moderate sample sizes as a result of resource
constraints. It is for these reasons that there exist many two-stage designs
proposed in the literature for use in this context. The majority of these designs
are for binary endpoints and based on exact probability calculations, or are for
continuous endpoints and rooted in asymptotic approximations to the null
distribution. We present exact two-stage Mann-Whitney designs in the context of
two-arm randomized clinical trials. In addition to describing the designs, we
present tables of decision rules under a variety of assumed realities for use in
trial planning.
PMID- 22074984
TI - A novel caryophyllene type sesquiterpene lactone from Asparagus falcatus (Linn.);
structure elucidation and anti-angiogenic activity on HUVECs.
AB - In this study the novel caryophyllene type sesquiterpene lactone (aspfalcolide)
has been isolated from the leaves of Asparagus falcatus (Linn.) and characterized
by IR, 1D NMR, 2D NMR, EI-MS, HR-ESI-MS and X-ray single crystal diffraction
analysis. The aspfalcolide crystallizes in the orthorhombic space group
P2(1)2(1)2(1) with a = 6.37360(10), b = 7.6890(2), c = 27.3281(6) A, alpha = beta
= gamma = 90( degrees ) and Z = 4. One intermolecular O-H?O hydrogen bond
enforces these natural molecules to form infinite chains through the crystal.
Aspfalcolide was screened for its anti-angiogenic activity in human umbilical
vein endothelial cells (HUVECs) and the result showed the remarkable inhibitory
effect of aspfalcolide on the proliferation (IC(50) 1.82 MUM), migration and tube
formation of HUVECs.
PMID- 22074985
TI - 3,5-Disubstituted-thiazolidine-2,4-dione analogs as anticancer agents: design,
synthesis and biological characterization.
AB - A series of 2,5-disubstituted-thiazolidine-2,4-dione analogs based on the newly
identified lead 1, a potential anticancer agent via the inhibition of the
Raf/MEK/extracellular signal regulated kinase (ERK) and phosphatidylinositol 3
kinase (PI3K)/Akt signaling cascades, were synthesized and biologically
characterized. A new lead structure, 15, was identified to have improved anti
proliferative activities in U937 cells, to induce apoptosis in U937, M12 and
DU145 cancer cells, and to arrest U937 cells at the S-phase. Furthermore, Western
blot analysis demonstrated a correlation of the anti-proliferative activity and
blockade of the Raf/MEK/ERK and PI3K/Akt signaling pathways. Collectively, these
results strongly encourage further optimization of 15 as a new lead with multi
target properties to develop more potent compounds as anticancer agents.
PMID- 22074986
TI - 1,2,4-Triazole D-ribose derivatives: design, synthesis and antitumoral
evaluation.
AB - Herein we report the design, synthesis and characterization of novel 1,2,4
triazole d-ribose derivatives, as well as their synthetic precursors. The
antitumoral activity against T cell lymphoma cell line of these products was
studied. Structures containing a 1,2,4-triazolic ring linked by sulfur to the
carbohydrate moiety showed a moderate antiproliferative activity. The presence of
the second heterocyclic ring did not show significant changes in their biological
activity. Meanwhile, structures with 3-thiobenzyl-5-substituted-1,2,4-triazole
ring linked by nitrogen leads to compounds with a biphasic behavior, stimulating
cell proliferation at low concentrations and inhibiting it at higher ones. An
increment in the polarity was associated with a decrease in the activity of the
evaluated compounds. A preliminary antitumoral screening pointed the 1,2,4
triazolic structures linked to protected sugars as promising leaders for further
studies.
PMID- 22074988
TI - Nanoparticle zeta -potentials.
AB - For over half a century, alternating electric fields have been used to induce
particle transport, furnishing the zeta-potential of analytes with sizes ranging
from a few nanometers to several micrometers. Concurrent advances in
nanotechnology have provided new materials for catalysis, self-assembly, and
biomedical applications, all of which benefit from a thorough understanding of
particle surface charge. Therefore, the measurement of the zeta-potential via
electrophoretic light scattering (ELS) has become essential for nanoparticle (NP)
research. However, the interpretation of NP electrophoretic mobility, especially
that of ligand-coated NPs, can be a complex undertaking. Despite the inherent
intricacy of these data, key concepts from colloidal science can help to distill
valuable information from ELS. In this Account, we adopt PEGylated Au NPs as an
illustrative example to explore extensions of the classical theories of
Smoluchowski, Huckel, and Henry to more contemporary theories for ligand-coated
NP systems such as those from Ohshima, and Hill, Saville, and Russel. First, we
review the basic experimental considerations necessary to understand NP
electrophoretic mobility, identifying when O'Brien and White's numerical solution
of the standard electrokinetic model should be adopted over Henry's closed-form
analytical approximation. Next, we explore recent developments in the theory of
ligand-coated particle electrophoresis, and how one can furnish accurate and
meaningful relationships between measured NP mobility, zeta-potential, and
surface charge. By identifying key ligand-coated NP parameters (e.g., coating
thickness, permeability, molecular mass, and hydrodynamic segment size), we
present a systematic method for quantitatively interpreting NP electrophoretic
mobility. In addition to reviewing theoretical foundations, we describe our
recent results that examine how the unique surface curvature of NPs alters and
controls their properties. These data provide guidelines that can expedite the
rational design of NPs for advanced uses, such as heterogeneous catalysis and in
vivo drug delivery. As a practical demonstration of these concepts, we apply the
ligand-coated theory to a recently developed noncovalent PEGylated Au NP drug
delivery system. Our analysis suggests that anion adsorption on the Au NP core
may enhance the stability of these NP-drug conjugates in solution. In addition
to providing useful nanochemistry insights, the information in this Account will
be useful to biomedical and materials engineers, who use ELS and zeta-potentials
for understanding NP dynamics.
PMID- 22074987
TI - The thrombospondin repeat containing protein MIG-21 controls a left-right
asymmetric Wnt signaling response in migrating C. elegans neuroblasts.
AB - Wnt proteins are secreted signaling molecules that play a central role in
development and adult tissue homeostasis. Although several Wnt signal
transduction mechanisms have been described in detail, it is still largely
unknown how cells are specified to adopt such different Wnt signaling responses.
Here, we have used the stereotypic migration of the C. elegans Q neuroblasts as a
model to study how two initially equivalent cells are instructed to activate
either beta-catenin dependent or independent Wnt signaling pathways to control
the migration of their descendants along the anteroposterior axis. We find that
the specification of this difference in Wnt signaling response is dependent on
the thrombospondin repeat containing protein MIG-21, which acts together with the
netrin receptor UNC-40/DCC to control an initial left-right asymmetric
polarization of the Q neuroblasts. Furthermore, we show that the direction of
this polarization determines the threshold for Wnt/beta-catenin signaling, with
posterior polarization sensitizing for activation of this pathway. We conclude
that MIG-21 and UNC-40 control the asymmetry in Wnt signaling response by
restricting posterior polarization to one of the two Q neuroblasts.
PMID- 22074989
TI - Growth and exopolysaccharide yield of Lactobacillus delbrueckii ssp. bulgaricus
DSM 20081 in batch and continuous bioreactor experiments at constant pH.
AB - Some Lactobacillus delbrueckii ssp. bulgaricus strains are able to synthesize
exopolysaccharides (EPS) and are therefore highly important for the dairy
industry as starter cultures. The aim of this study was to investigate the
nutritional requirements for growth and EPS production of Lactobacillus
delbrueckii ssp. bulgaricus DSM 20081. A medium was developed from a semi-defined
medium (SDM) in which glucose was replaced by lactose and different combinations
of supplements (nucleobases, vitamins, salts, sodium formate and orotic acid)
were added. Constant pH batch fermentation with the modified medium resulted in
an EPS yield of approximately 210 mg glucose equivalents per liter medium. This
was a 10-fold increase over flask cultivation of this strain in SDM. Although not
affecting cell growth, the mixture of salts enhanced the EPS synthesis. Whereas
EPS production was approximately 12 mg/g dry biomass without salt
supplementation, a significantly higher yield (approximately 20 mg/g dry biomass)
was observed after adding the salt mixture. In continuous fermentation, a maximal
EPS concentration was obtained at a dilution rate of 0.31/h (80 mg EPS/L), which
corresponded to a specific EPS production of 49 mg/g dry biomass.
PMID- 22074990
TI - Functional roles of a tetraloop/receptor interacting module in a cyclic di-GMP
riboswitch.
AB - Riboswitches are a class of structural RNAs that regulate transcription and
translation through specific recognition of small molecules. Riboswitches are
attractive not only as drug targets for novel antibiotics but also as modular
tools for controlling gene expression. Sequence comparison of a class of
riboswitches that sense cyclic di-GMP (type-I c-di-GMP riboswitches) revealed
that this type of riboswitch frequently shows a GAAA loop/receptor interaction
between P1 and P3 elements. In the crystal structures of a type-I c-di-GMP
riboswitch from Vibrio cholerae (the Vc2 riboswitch), the GNRA loop/receptor
interaction assembled P2 and P3 stems to organize a ligand-binding pocket. In
this study, the functional importance of the GAAA loop-receptor interaction in
the Vc2 riboswitch was examined. A series of variant Vc2 riboswitches with
mutations in the GAAA loop/receptor interaction were assayed for their switching
abilities. In mutants with mutations in the P2 GAAA loop, expression of the
reporter gene was reduced to approximately 40% - 60% of that in the wild-type.
However, mutants in which the P3 receptor motif was substituted with base pairs
were as active as the wild-type. These results suggested that the GAAA
loop/receptor interaction does not simply establish the RNA 3D structure but
docking of P2 GAAA loop reduces the flexibility of the GAAA receptor motif in the
P3 element. This mechanism was supported by a variant riboswitch bearing a
theophylline aptamer module in P3 the structural rigidity of which could be
modulated by the small molecule theophylline.
PMID- 22074991
TI - Significance of reflux of contrast medium into the inferior vena cava on
computerized tomographic pulmonary angiogram.
AB - Reflux of contrast medium into the inferior vena cava (IVC) is often detected on
computerized tomographic pulmonary angiogram. The potential clinical implications
and associated diagnoses of this finding have not been established. We
investigated the prevalence and significance of reflux of contrast medium into
the IVC in a large cohort of patients evaluated for possible pulmonary embolism
(PE) by computerized tomographic pulmonary angiography. We retrospectively
reviewed 1,065 consecutive computerized tomographic pulmonary angiographic
examinations performed from January 1, 2007 through January 7, 2008 for the
presence of reflux. Degree of reflux into the IVC and hepatic veins was graded
from 1 (none) to 6 (severe). Patients' charts were reviewed for diagnoses during
the index hospitalization and for background diseases. These clinical data were
correlated with the reflux grade. The final study included 967 computerized
tomographic pulmonary angiographic scans of 367 men and 600 women (mean age 62 +/
20 years, range 17 to 103). Almost 1/2 (480, 49.6%) had grade 1, 310 (32.1%) had
grades 2 to 3, and 177 (18.3%) had grades 4 to 6. Multivariate logistic
regression found that pulmonary hypertension, history of congestive heart
failure, chronic atrial fibrillation, and acute PE were associated with extensive
reflux (grades 4 to 6) with odds ratios (95% confidence intervals) of 5.4 (3.0 to
9.9, p <0.001), 3.7 (2.3 to 6.1, p <0.001), 2.3 (1.0 to 5.3, p = 0.044), and 1.8
(1.2 to 2.9, p = 0.011), respectively. Interobserver agreement between the 2
readers for reflux grading was good (kappa = 0.77). In conclusion, extensive
reflux of contrast medium into the IVC detected on computerized tomographic
pulmonary angiogram may serve as a pathophysiologic marker of right heart
dysfunction, specifically pulmonary hypertension, congestive heart failure,
chronic atrial fibrillation, or PE.
PMID- 22074992
TI - Sudden death associated with danon disease in women.
AB - Danon disease is an X-linked systemic disorder characterized by left ventricular
hypertrophy, mental retardation, and skeletal myopathy affecting young men.
Electrocardiogram usually displays a Wolff-Parkinson-White preexcitation pattern.
Less has been reported about the phenotype in women, although later-onset cardiac
symptoms have been described. The aim of this study was to expand the knowledge
of the phenotype of Danon disease in women. We clinically followed and evaluated
with echocardiography, cardiac magnetic resonance imaging (cMRI), and genetic
testing a family affected by Danon disease in which 2 men and 6 women showed a
severe arrhythmogenic phenotype. Affected family members carried a nucleotide
substitution at position 294 in exon 3 (c.294 G -> A) that changed a tryptophan
residue to a stop codon at position W98X in the lysosome-associated membrane
protein 2 (LAMP2) gene. Four women died suddenly (1 aborted) at 37 to 54 years of
age. Wolff-Parkinson-White pattern with atrioventricular block was detected in 2
of 6 women. Four had successful pregnancies without symptoms of heart failure.
cMRI showed late gadolinium enhancement areas in a clinically healthy woman who
was a mutation carrier. Two patients underwent heart transplantation; histology
of explanted hearts demonstrated severe interstitial fibrosis, hypertrophic
cardiomyocytes with cytoplasmic vacuoles, and myofibrillar disarray. In
conclusion, LAMP2 mutation can cause a severe arrhythmogenic phenotype in women
that includes a high risk of sudden death. cMRI may be useful in women harboring
LAMP2 mutations to permit early detection of cardiac involvement and guide timely
considerations of implantable cardioverter-defibrillator therapy. Heart
transplantation should be considered at onset of heart failure symptoms owing to
rapid progression of the disease.
PMID- 22074993
TI - Sub-chronic dietary tryptophan depletion--an animal model of depression with
improved face and good construct validity.
AB - Sub-chronic tryptophan depletion (SCTD) is proposed as an animal model for
depression. Aims were to test the hypothesis and optimise the time of SCTD
induced depression-related behaviour and associated biochemical changes. Sprague
Dawley rats were treated with a low tryptophan (TRP) containing diet for 0, 7 or
14 days. Peripheral and central neurochemical markers were measured. SCTD-induced
depression-related behaviour was assessed by the forced swim test (FST). Model
sensitivity to antidepressants was tested by concomitant treatment with
paroxetine. SCTD-induced significant reductions in weight gain and measures of
peripheral and central TRP. Corticosterone, aldosterone and kynurenine (K),
increased whilst kynurenic acid (KA), an NMDA antagonist decreased. 5-HT(2)
receptor binding Bmax was enhanced but was reversed by paroxetine. Corticosterone
and aldosterone were significantly negatively-correlated to weight gain. SCTD
increased floating time and reduced swimming time in the FST but were reversed by
paroxetine. Aldosterone was increased at 7 and 14 days, whereas other changes
maximised at 14 days. Aldosterone may be an early marker or causal link for
depression development. Increased corticosterone and brain tissue 5-HT-receptor
density may be correlates of depressive behaviour. Consequential increases in
NMDA signalling through increased K/KA ratios suggest the model may be useful for
testing novel antidepressants.
PMID- 22074994
TI - Medication overuse headache: a silent pandemic.
PMID- 22074995
TI - CaV2.1 voltage activated calcium channels and synaptic transmission in familial
hemiplegic migraine pathogenesis.
AB - Studies on the genetic forms of epilepsy, chronic pain, and migraine caused by
mutations in ion channels have given crucial insights into the molecular
mechanisms, pathogenesis, and therapeutic approaches to complex neurological
disorders. In this review we focus on the role of mutated CaV2.1 (i.e., P/Q-type)
voltage-activated Ca2+ channels, and on the ultimate consequences that mutations
causing familial hemiplegic migraine type-1 (FHM1) have in neurotransmitter
release. Transgenic mice harboring the human pathogenic FHM1 mutation R192Q or
S218L (KI) have been used as models to study neurotransmission at several central
and peripheral synapses. FHM1 KI mice are a powerful tool to explore presynaptic
regulation associated with expression of CaV2.1 channels. Mutated CaV2.1 channels
activate at more hyperpolarizing potentials and lead to a gain-of-function in
synaptic transmission. This gain-of-function might underlie alterations in the
excitatory/ inhibitory balance of synaptic transmission, favoring a persistent
state of hyperexcitability in cortical neurons that would increase the
susceptibility for cortical spreading depression (CSD), a mechanism believed to
initiate the attacks of migraine with aura.
PMID- 22074996
TI - CD80 and CD86 polymorphisms in populations of various ancestries: 5 new CD80
promoter alleles.
AB - CD80 and CD86 are closely linked genes on chromosome 3 that code for
glycoproteins of the immunoglobulin superfamily, expressed on the surface of
antigen-presenting cells. These costimulatory molecules play essential roles for
stimulation and inhibition of T cells through binding to CD28 and CTLA-4
receptors. In this study, CD80 promoter and CD86 exon 8 polymorphisms were
analyzed to investigate the genetic diversity and microevolution of the 2 genes.
We genotyped 1,124 individuals, including Brazilians of predominantly European,
mixed African and European, and Japanese ancestry, 5 Amerindian populations, and
an African sample. All variants were observed in Africans, which suggests their
origin in Africa before the human migrations out of that continent. Five new CD80
promoter alleles were identified and confirmed by cloning and sequencing, and
promoter 2 is most likely the ancestral allele. Nucleotide -79 is monomorphic in
4 Amerindian populations, where the presence of the -79 G allele is probably the
result of gene flow from non-Amerindians.
PMID- 22074997
TI - Identification of HLA-A24-restricted CD8(+) cytotoxic T-cell epitopes derived
from mammaglobin-A, a human breast cancer-associated antigen.
AB - Human breast cancer-associated antigen, mammaglobin-A (Mam-A), potentially offers
a novel therapeutic target as a breast cancer vaccine. In this study, we define
the CD8(+) cytotoxic T lymphocyte (CTL) response to Mam-A-derived candidate
epitopes presented in the context of HLA-A24 (A*2402). HLA-A24 has a frequency of
72% in Japanese, 27% in Asian Indian, and 18% in Caucasian populations. Using a
human leukocyte antigen (HLA)-binding prediction algorithm we identified 7 HLA
A24-restricted Mam-A-derived candidate epitopes (MAA24.1-7). Membrane
stabilization studies with TAP-deficient T2 cells transfected with HLA-A2402
(T2.A24) indicated that MAA24.2 (CYAGSGCPL) and MAA24.4 (ETLSNVEVF) have the
highest HLA-A24 binding affinity. Further, 2 CD8(+) CTL cell lines generated in
vitro against T2.A24 cells individually loaded with Mam-A-derived candidate
epitopes demonstrated significant cytotoxic activity against MAA24.2 and MAA24.4.
In addition, the same CD8(+) CTL lines lysed the HLA-A24(+)/Mam-A(+) stable
transfected human breast cancer cell lines AU565 and MDA-MB-361. However, these
CTLs had no cytotoxicity against HLA-A24(-)/Mam-A(+) and HLA-A24(+)/Mam-A(-)
breast cancer cell lines. In summary, our results define HLA-A24-restricted, Mam
A-derived, CD8(+) CTL epitopes that can potentially be employed for Mam-A-based
breast cancer vaccine therapy to breast cancer patients with HLA-A24 phenotype.
PMID- 22074998
TI - HLA-DRB1 allele frequencies and C4 copy number variation in Finnish sarcoidosis
patients and associations with disease prognosis.
AB - Sarcoidosis is a multiorgan immune-mediated disease of unknown etiology with
varying clinical pictures. We studied 3 genes in the major histocompatibility
complex region (HLA-DRB1 and complement C4A and C4B) in patients with resolved
disease after a 2-year follow-up (n = 90) and in patients whose disease was still
active at that time point (n = 98) and compared them with controls (n = 150). Our
primary aim was to detect genetic differences between the patient groups. We
observed that the susceptibility allele for sarcoidosis was HLA-DRB1*15:01 (p =
0.011; odds ratio [OR] = 1.67) and the protective allele was HLA-DRB1*01:01 (p =
0.001; OR = 0.43). HLA-DRB1*03:01 was associated with resolving disease when
compared with the persistent group (p = 0.011; OR = 2.22). The probability of
having resolving disease was even greater if the patient had HLA-DRB1*03:01 and
did not have extrapulmonary lesions (p = 0.001; OR = 3.39). By evaluating amino
acid variants of the HLA-DRB1 gene, we determined that specific amino acids in
pockets 4, 7, and 9 were associated with the prognosis of sarcoidosis. Our
results support the importance of HLA-DRB1 as a predisposing gene for
sarcoidosis. Particularly, HLA-DRB1*03:01 and polymorphisms of DRB1 pocket
residues were associated with a favorable prognosis. Thus, accurate
categorization of disease phenotype and HLA-DRB1 sequencing offer a basis for
disease course estimation of sarcoidosis.
PMID- 22075000
TI - School-aged children who were exposed to sodium valproate in utero have impaired
language scores when compared with a population mean score.
PMID- 22074999
TI - Human leukocyte antigen class I (A, B, C) and II (DRB1) diversity in the black
and Caucasian South African population.
AB - A cross-section of black and Caucasian South Africans (N = 302) were genotyped at
high resolution (class I HLA-A, -B, -C and class II HLA-DRB1). Five new class I
alleles (A*30:01:02, A*30:02:02, A*68:27, B*42:06, and B*45:07) and one new
confirmatory allele (A*29:11) were identified in the black population. Alleles
and haplotypes showed expected differences between the black and Caucasian
populations, with the black population, on average, showing a broader spectrum of
allele representation (less single allele dominance). The most prevalent alleles
at the four loci in the black population were A*30:01, B*58:02, C*06:02, and
DRB1*13:01 and in the Caucasian population were A*02:01:01, B*07:02:01, C*07:01,
and DRB1*03:01. HLA-B, and HLA-C loci showed the strongest overall linkage
disequilibrium (LD) and HLA-B/HLA-C two locus haplotypes also showed the
strongest LD (D'(ij)) in both population groups. Bw allotype representation was
similar between the two populations; however C allotypes differed significantly
(C1 higher representation in Caucasians; C2 higher representation in blacks). HLA
A Supertype family phenotypic frequencies did not differ between the two
populations, but four (B08, B27, B58, and B62) HLA-B Supertype families differed
significantly. However, vaccine coverage estimation came close to 100% in both
population groups, with inclusion of only four Supertype families (A1, A2, B7,
B58).
PMID- 22075001
TI - Patients Association deems hospitals' care of older patients "unacceptable".
PMID- 22075002
TI - Rap1 binds single-stranded DNA at telomeric double- and single-stranded junctions
and competes with Cdc13 protein.
AB - The ends of eukaryotic chromosomes are protected by specialized telomere
chromatin structures. Rap1 and Cdc13 are essential for the formation of
functional telomere chromatin in budding yeast by binding to the double-stranded
part and the single-stranded 3' overhang, respectively. We analyzed the binding
properties of Saccharomyces castellii Rap1 and Cdc13 to partially single-stranded
oligonucleotides, mimicking the junction of the double- and single-stranded DNA
(ds-ss junction) at telomeres. We determined the optimal and the minimal DNA
setup for a simultaneous binding of Rap1 and Cdc13 at the ds-ss junction.
Remarkably, Rap1 is able to bind to a partially single-stranded binding site
spanning the ds-ss junction. The binding over the ds-ss junction is anchored in a
single double-stranded hemi-site and is stabilized by a sequence-independent
interaction of Rap1 with the single-stranded 3' overhang. Thus, Rap1 is able to
switch between a sequence-specific and a nonspecific binding mode of one hemi
site. At a ds-ss junction configuration where the two binding sites partially
overlap, Rap1 and Cdc13 are competing for the binding. These results shed light
on the end protection mechanisms and suggest that Rap1 and Cdc13 act together to
ensure the protection of both the 3' and the 5' DNA ends at telomeres.
PMID- 22075004
TI - Reduced time for urinary alkalinization before high-dose methotrexate with
preadmission oral bicarbonate.
AB - PURPOSE: Hydration and urinary alkalinization are essential for reducing renal
dysfunction with high dose methotrexate (HDMTX). This report presents an analysis
of institutional methods used to achieve adequate urinary alkalinization and
output for patients receiving single agent HDMTX. Renal and metabolic parameters
of tolerance were examined. METHODS: Medical records of adult patients receiving
HDMTX during the calendar years of 2008-2009 were retrospectively reviewed to
determine the time to achieve urine pH > 7. Number of hospital days, bicarbonate
dose, ordered hydration rate, urine output, and urine pH were assessed. A
survival analysis model was run for time to urine pH > 7 using preadmission oral
bicarbonate as a predictor variable and including a frailty term. Observational
statistics were performed for other parameters. RESULTS: The analysis included 79
encounters for ten patients. Urine pH > 7 was achieved more rapidly in patients
receiving preadmission oral bicarbonate (P = 0.012). The number of patients
receiving HDMTX on the same day as admission was greater for those receiving
preadmission oral bicarbonate (47%) in comparison to those who did not (2%), and
they spent less time in the hospital. A standard regimen for hydration and
urinary alkalinization based on this project is reported. The nature and
frequency of adverse events were as expected for this treatment. CONCLUSION: At
our institution, the time to achieve urinary alkalinization was reduced for
patients receiving preadmission oral bicarbonate which facilitated chemotherapy
infusion on the same day as admission and decreased the number of calendar days
that patients stayed in the hospital.
PMID- 22075003
TI - Connexin 39.9 protein is necessary for coordinated activation of slow-twitch
muscle and normal behavior in zebrafish.
AB - In many tissues and organs, connexin proteins assemble between neighboring cells
to form gap junctions. These gap junctions facilitate direct intercellular
communication between adjoining cells, allowing for the transmission of both
chemical and electrical signals. In rodents, gap junctions are found in
differentiating myoblasts and are important for myogenesis. Although gap
junctions were once believed to be absent from differentiated skeletal muscle in
mammals, recent studies in teleosts revealed that differentiated muscle does
express connexins and is electrically coupled, at least at the larval stage.
These findings raised questions regarding the functional significance of gap
junctions in differentiated muscle. Our analysis of gap junctions in muscle began
with the isolation of a zebrafish motor mutant that displayed weak coiling at day
1 of development, a behavior known to be driven by slow-twitch muscle (slow
muscle). We identified a missense mutation in the gene encoding Connexin 39.9. In
situ hybridization found connexin 39.9 to be expressed by slow muscle. Paired
muscle recordings uncovered that wild-type slow muscles are electrically coupled,
whereas mutant slow muscles are not. The further examination of cellular activity
revealed aberrant, arrhythmic touch-evoked Ca(2+) transients in mutant slow
muscle and a reduction in the number of muscle fibers contracting in response to
touch in mutants. These results indicate that Connexin 39.9 facilitates the
spreading of neuronal inputs, which is irregular during motor development, beyond
the muscle cells and that gap junctions play an essential role in the efficient
recruitment of slow muscle fibers.
PMID- 22075006
TI - Loading profile of topotecan into polyvinyl alcohol microspheres (DC BeadTM) over
a 7-day period.
AB - PURPOSE: DC BeadTM is successfully used for chemoembolization of various liver
cancers. The purpose of this study was to determine the loading capacity of the
semi-synthetic topoisomerase-1 inhibitor topotecan into the DC BeadTM
microspheres under static or agitated conditions and to assess the
physicochemical stability over a period of 7 days. METHODS: Commercially
available topotecan hydrochloride powder (Hycamtin(r)) was reconstituted with
water for injection to yield a nominal concentration of 1 mg/mL topotecan.
Polyvinyl alcohol (PVA)-based microspheres (DC BeadTM, 300-500 um, 2 mL/vial)
were mixed with 4 mL of the reconstituted topotecan solution. Vials were stored
light protected at room temperature under static or agitated conditions for 7
days (n = 3, for each loading condition). At different time intervals, samples
were taken from the excess solution and assayed via a stability-indicating HPLC
assay. Drug-loading profiles were determined by measuring the remaining topotecan
concentration in the excess solution. RESULTS: Under agitated conditions,
topotecan was loaded into the microspheres rapidly after mixing. After 5 min 86.4
+/- 0.1% of topotecan was loaded. Under static conditions, drug uptake was
slower. Only 65.0 +/- 0% were loaded after 5 min; 86.6 +/- 0.1% drug uptake was
achieved not until 1 h. Over a storage period of 7 days, topotecan remained
loaded in the DC BeadTM microspheres at a level of >90%. CONCLUSION: Drug uptake
of 4 mg topotecan (1 mg/mL solution) into DC BeadsTM was faster under agitated
loading conditions. Nevertheless, after 1 h, ~90% of topotecan was loaded into
the DC BeadTM microspheres independent from the type of loading condition. The
loading rate remained >90% over the observation period of 7 days and light
protected storage at room temperature. Loading and stability of topotecan-loaded
DC BeadsTM is suitable and convenient for preparation in a pharmacy-based
cytotoxic preparation unit.
PMID- 22075005
TI - Long-term stability study of clofarabine injection concentrate and diluted
clofarabine infusion solutions.
AB - PURPOSE: The aim of this study was to investigate the physicochemical stability
of clofarabine (CAFdA) injection concentrate and ready-to-use CAFdA infusion
solutions over a prolonged period of 28 days. METHODS: To determine the stability
of CAFdA infusion solutions, the injection concentrate (Evoltra(r), 1 mg/mL,
Genzyme) was diluted either with 0.9% sodium chloride or 5% glucose infusion
solution. The resulting concentrations of 0.2 mg/mL or 0.6 mg/mL, respectively,
were chosen to represent the lower and upper limit of the ordinary concentration
range. Test solutions were stored under refrigeration (2-8 degrees C) or at room
temperature either light protected or exposed to light. CAFdA concentrations and
pH values were determined at different time intervals throughout a 28-day storage
period. Compatibility of diluted CAFdA infusion solutions (0.1-0.4 mg/mL) with
different container materials (polyvinyl chloride (PVC), glass, and
polypropylene/polyethylene (PP/PE)) was tested over a 48-h storage period. CAFdA
concentrations were measured by a stability-indicating reversed phase high
performance liquid chromatography (HPLC) assay with ultraviolet detection.
RESULTS: CAFdA injection concentrate and CAFdA infusion solutions remained
physicochemically stable (>90% CAFdA) for 4 weeks. Results are independent of
storage conditions, drug concentrations (0.2, 0.6, and 1.0 mg/mL) and diluents
(0.9% sodium chloride, 5% glucose infusion solution). Adsorption of CAFdA to
container material can be excluded. CONCLUSIONS: CAFdA injection concentrate and
diluted infusion solutions in commonly used vehicles are stable for at least 28
days either refrigerated or at room temperature. Physicochemical stability favors
pharmacy-based centralized preparation. Due to microbiological reasons, strict
aseptic handling and storage of the products under refrigeration is recommended.
PMID- 22075007
TI - Obstructive sleep apnoea; a rare cause of pseudophaeochromocytoma.
PMID- 22075008
TI - Acute shrinkage of a giant prolactinoma, masquerading as an erosive skull base
tumour, with cabergoline.
PMID- 22075009
TI - Acute chest pain of cardiovascular aetiology: a diagnostic dilemma.
PMID- 22075011
TI - Knuckle-dimple sign.
PMID- 22075012
TI - Advance care planning and the older patient.
AB - Making treatment decisions for older people is difficult, because of the complex
interplay of their multiple co-morbidities, but also because of the fine balance
of risks vs. benefit in any chosen management plan. This becomes even more
difficult when they lose the capacity to tell us what they want, and often in
such situations we have to rely on information from others in order to make
decisions based on their best interests. Advance care planning should help with
making these decisions clearer, based on the documented preferences of what the
patient would have wanted while capacity was still present. However, such
documents are still very rarely used, and even if they are, health-care
professionals are often wary of them for the multitude of ethical and legal
problems that can arise.
PMID- 22075013
TI - Racial and ethnic disparities in neuraxial labor analgesia.
AB - BACKGROUND: Racial and ethnic disparities in the treatment of pain have been well
documented, and there is evidence of such disparities in neuraxial analgesia use.
Our objectives of this study were to analyze racial/ethnic disparities in
neuraxial analgesia use, as well as anticipated use, among laboring Hispanic,
African-American, and Caucasian women, and to evaluate sociodemographic,
clinical, and decision-making predictors of actual and anticipated neuraxial
analgesia use among these women. METHODS: Laboring women, in a large urban
academic hospital, were interviewed using a face-to-face survey to determine
individual factors that may influence choice of labor analgesia. After delivery,
the type of labor analgesia used was recorded. The primary outcome was use of
neuraxial analgesia. Multivariable logistic regression models were estimated to
test the likelihood that race and ethnicity were significantly associated with
neuraxial analgesia use, anticipated neuraxial analgesia use, and the intrapartum
decision to use neuraxial analgesia. RESULTS: There was a univariate association
between race/ethnicity and anticipated as well as actual use of neuraxial
analgesia. However, there was no association between race/ethnicity and the
intrapartum decision to use neuraxial analgesia. After controlling for
confounders, the association between race/ethnicity and actual use of neuraxial
analgesia no longer remained significant (adjusted odds ratio: Hispanic versus
Caucasian women 0.66, 95% confidence interval [CI]: 0.24 to 1.80; African
American versus Caucasian women 0.93, 95% CI: 0.31 to 2.77). In contrast,
Hispanic women were less likely than Caucasian women to anticipate using
neuraxial analgesia even after controlling for confounders (adjusted odds ratio
0.40, 95% CI: 0.20 to 0.82). CONCLUSIONS: After controlling for confounding
variables, Hispanic women anticipated using neuraxial analgesia at a lower rate
than other racial/ethnic groups; however, actual use was similar among groups.
PMID- 22075014
TI - Echo rounds: aneurysm of the anterior mitral valve.
PMID- 22075015
TI - Aortic intramural hematoma: echocardiographic characteristics.
PMID- 22075016
TI - Tracheal intubation through the I-gelTM supraglottic airway versus the LMA
FastrachTM: a randomized controlled trial.
AB - BACKGROUND: The i-gelTM is a supraglottic airway device not requiring inflation
of a cuff for lung ventilation. Its design allows for unobstructed passage of a
tracheal tube and previous studies have demonstrated a favorable alignment with
the glottic inlet. In this prospective randomized study, we compared the success
rate of blind tracheal intubation using the i-gel and the laryngeal mask airway
(LMA) FastrachTM. METHODS: One hundred sixty patients requiring general
anesthesia and airway management were randomized to tracheal intubation using the
i-gel or the LMA Fastrach. After induction of general anesthesia, the allocated
device was inserted and adequate lung ventilation was confirmed. Blind tracheal
intubation was then attempted. First attempt and overall tracheal intubation
success rates were evaluated and tracheal intubation times were measured.
RESULTS: Eighty patients were recruited in each study group. Successful tracheal
intubation was obtained on the first attempt in 69% of patients with the i-gel
and 74% of patients with the LMA Fastrach (95% confidence interval [CI] of
difference, -9% to 19%, P = 0.60). The overall intubation success rate was lower
using the i-gel than it was using the LMA Fastrach (73% vs 91%, 95% CI of
difference, 7% to 31%, P < 0.0001). CONCLUSIONS: On first attempts, successful
blind tracheal intubation was obtained at comparable rates using the i-gel and
the LMA Fastrach. However, when the first attempt was unsuccessful, subsequent
attempts through the i-gel did not significantly increase tracheal intubation
success rate. The LMA Fastrach yielded a higher overall intubation success rate.
PMID- 22075017
TI - The relationship between preoperative and primary care blood pressure among
veterans presenting from home for surgery: is there evidence for anesthesiologist
initiated blood pressure referral?
AB - BACKGROUND: American College of Cardiology/American Heart Association guidelines
describe the perioperative evaluation as "a unique opportunity to identify
patients with hypertension"; however, factors such as anticipatory stress or
medication noncompliance may induce a bias toward higher blood pressure, leaving
clinicians unsure about how to interpret preoperative hypertension. Information
describing the relationship between preoperative intake blood pressure and
primary care measurements could help anesthesiologists make primary care
referrals for improved blood pressure control in an evidence-based fashion. We
hypothesized that the preoperative examination provides a useful basis for
initiating primary care blood pressure referral. METHODS: We analyzed
retrospective data on 2807 patients who arrived from home for surgery and who
were subsequently evaluated within 6 months after surgery in the primary care
center of the same institution. After descriptive analysis, we conducted multiple
linear regression analysis to identify day-of-surgery (DOS) factors associated
with subsequent primary care blood pressure. We calculated the sensitivity,
specificity, and positive and negative predictive value of different blood
pressure referral thresholds using both a single-measurement and a 2-stage screen
incorporating recent preoperative and DOS measurements for identifying patients
with subsequently elevated primary care blood pressure. RESULTS: DOS systolic
blood pressure (SBP) was higher than subsequent primary care SBP by a mean bias
of 5.5 mm Hg (95% limits of agreement + 43.8 to -32.8). DOS diastolic blood
pressure (DBP) was higher than subsequent primary care DBP by a mean bias of 1.5
mm Hg (95% limits of agreement +13.0 to -10.0). Linear regression of DOS factors
explained 19% of the variability in primary care SBP and 29% of the variability
in DBP. Accounting for the observed bias, a 2-stage SBP referral screen requiring
preoperative clinic SBP >=140 mm Hg and DOS SBP >=146 mm Hg had 95.9% estimated
specificity (95% confidence interval [CI] 94.4 to 97.0) for identifying
subsequent primary care SBP >=140 mm Hg and estimated sensitivity of 26.8% (95%
CI 22.0 to 32.0). A similarly high specificity using a single DOS SBP required a
threshold SBP >=160 mm Hg, for which estimated specificity was 95.2% (95% CI 94.2
to 96.1). For DBP, a presenting DOS DBP >=92 mm Hg had 95.7% specificity (95% CI
94.8 to 96.4) for subsequent primary care DBP >=90 mm Hg with a sensitivity of
18.8% (95% CI 14.4 to 24.0). CONCLUSION: A small bias toward higher DOS blood
pressures relative to subsequent primary care measurements was observed. DOS
factors predicted only a small proportion of the observed variation. Accounting
for the observed bias, a 2-stage SBP threshold and a single-reading DBP threshold
were highly specific though insensitive for identifying subsequent primary care
blood pressure elevation.
PMID- 22075018
TI - Continuous epicapsular ropivacaine 0.3% infusion after minimally invasive hip
arthroplasty: a prospective, randomized, double-blinded, placebo-controlled study
comparing continuous wound infusion with morphine patient-controlled analgesia.
AB - BACKGROUND: In this study, we investigated the impact of a continuous wound
infusion with ropivacaine 0.3% on pain and morphine consumption after minimally
invasive hip arthroplasty. METHODS: Seventy-six consecutive patients scheduled
for elective minimally invasive hip replacement using spinal anesthesia were
prospectively included in this double-blind study. Epicapsular placement of a 15
cm fenestrated catheter was performed by the surgeon. Patients were randomized to
receive either 20 mL ropivacaine 0.3% (R-group) or 20 mL NaCl 0.9% (P-group)
applied into the wound as a bolus before wound closure. A continuous infusion of
either ropivacaine 0.3% or placebo was then infused at 8 mL/h for 48 hours after
surgery with an elastomeric pump. Morphine IV-patient-controlled analgesia was
offered to all patients. Morphine consumption, pain at rest and with motion, and
total and unbound ropivacaine plasma concentration were recorded during the 48
hour study period. Postoperative follow-up was performed at 3 months. RESULTS:
Demographic and surgical data were similar in both groups. Mean morphine
consumption was significantly lower in the R-group than in the P-group during the
first 48 postoperative hours: 45.4 +/- 9.5 vs 69.7 +/- 9.6 (P < 0.0001). There
was a mean reduction of 14.4 mg for the first 24 postoperative hours (95%
confidence interval [CI] 12.6 to 16.1) and 20.8 mg for the next 24 hours (95% CI
19.1 to 22.4). Pain scores at rest and with motion were lower in the R-group (P <
0.0001). Mean patient satisfaction increased 22.7% from baseline (CI 95% 15.9 to
29.6) in the R-group. Total and unbound ropivacaine plasma concentrations were
below toxic levels in the R-group. The free ropivacaine concentration was 0.14
and 0.11 MUgmol/L at T(24) and T(48), respectively, in the R-group. At 3 months
postoperatively, hip pain and analgesic consumption were similar, but a
significant reduction in wound discomfort to touch (31.2; 95% CI 27.7 to 34.7)
and pressure (24; 95% CI 20.1 to 27.9) was observed in the R-group (P < 0.0001).
CONCLUSIONS: Continuous epicapsular wound infusion with ropivacaine 0.3% after
minimally invasive hip replacement is an efficient technique for reducing
morphine consumption and improving the quality of postoperative analgesia. The
beneficial effects of this technique are still present 3 months after surgery.
PMID- 22075019
TI - Spinal cord ischemia occurring in association with induced hypotension for
colonic surgery.
AB - A 19-year-old woman underwent an ileoanal pull-through. Intraoperatively,
deepening of anesthesia was associated with reduced bleeding. Therefore, induced
hypotension, mean arterial blood pressure 50 to 55 mm Hg, was maintained for 2.5
hours. Postoperatively, the patient was paraplegic with spinal cord infarction on
magnetic resonance imaging from T9 to the tip of the conus medullaris. The
collateralization of the anterior spinal artery is very variable and it seems
likely that in this individual induced hypotension was associated with inadequate
blood flow in the distribution of the artery of Adamkiewicz.
PMID- 22075020
TI - The effects of isoflurane and desflurane on cognitive function in humans.
AB - BACKGROUND: The etiology of postoperative cognitive decline (POCD) remains to be
determined. Anesthetic isoflurane, but not desflurane, may induce neurotoxicity.
However, the functional consequences of these effects have not been assessed. We
therefore performed a pilot study to determine the effects of isoflurane and
desflurane on cognitive function in humans. METHODS: The subjects included
patients who had lower extremity or abdominal surgery under spinal anesthesia
alone (S, n = 15), spinal plus desflurane anesthesia (SD, n = 15), or spinal plus
isoflurane anesthesia (SI, n = 15) by randomization. Each of the subjects
received cognitive tests immediately before and 1 week after anesthesia and
surgery administered by an investigator who was blinded to the anesthesia
regimen. POCD was defined using the scores from each of these tests. RESULTS: We
studied 45 subjects, 24 males and 21 females. The mean age of the subjects was
69.0 +/- 1.9 years. There was no significant difference in age and other
characteristics among the treatment arms. The mean number of cognitive function
declines in the S, SD, and SI groups was 1.13, 1.07, and 1.40, respectively. POCD
incidence after SI (27%), but not SD (0%), anesthesia was higher than that after
S (0%), P = 0.028 (3-way comparison). CONCLUSION: These findings from our pilot
study suggest that isoflurane and desflurane may have different effects on
postoperative cognitive function, and additional studies with a larger sample
size and longer times of follow-up testing are needed.
PMID- 22075022
TI - Identification of seven Xanthomonas oryzae pv. oryzicola genes potentially
involved in pathogenesis in rice.
AB - Xanthomonas oryzae pv. oryzicola (Xoc) causes bacterial leaf streak (BLS) in
rice, an emerging and destructive disease worldwide. Identification of key
virulence factors is a prerequisite for understanding the pathogenesis of Xoc. In
this study, a Tn5-tagged mutant library of Xoc strain RS105 was screened on rice,
and 27 Tn5 mutants were identified that were either non-pathogenic or showed
reduced virulence in rice. Fourteen of the non-pathogenic mutants were also
unable to elicit the hypersensitive response (HR) in tobacco and were designated
Pth(-)/HR(-) mutants; 13 mutants showed attenuated virulence and were able to
induce an HR (Vir(-)/HR(+)). Sequence analysis of the Tn5-tagged genes indicated
that the 14 Pth(-)/HR(-) mutants included mutations in hrcC, hrcT, hrcV, hpaP,
hrcQ, hrpF, hrpG and hrpX. The 13 Vir(-)/HR(+) mutants included tal-C10c-like (a
transcriptional activator-like TAL effector), rpfC (regulator of pathogenicity
factors), oxyR (oxidative stress transcriptional regulator), dsbC (disulfide
isomerase), opgH (glucan biosynthesis glucosyltransferase H), rfbA (glucose-1
phosphate thymidylyltransferase), amtR (aminotransferase), purF
(amidophosphoribosyltransferase), thrC (threonine synthase), trpA (tryptophan
synthase alpha subunit) and three genes encoding hypothetical proteins
(Xoryp_02235, Xoryp_00885 and Xoryp_22910). Collectively, the 27 Tn5 insertions
are located in 21 different open reading frames. Bacterial growth and in planta
virulence assays demonstrated that opgH, purF, thrC, trpA, Xoryp_02235,
Xoryp_00885 and Xoryp_22910 are candidate virulence genes involved in Xoc
pathogenesis. Reduced virulence in 13 mutants was restored to wild-type levels
when the cognate gene was introduced in trans. Expression profiles demonstrated
that the seven candidate virulence genes were significantly induced in planta,
although their roles in Xoc pathogenesis remain unclear.
PMID- 22075021
TI - Salvinorin A pretreatment preserves cerebrovascular autoregulation after brain
hypoxic/ischemic injury via extracellular signal-regulated kinase/mitogen
activated protein kinase in piglets.
AB - BACKGROUND: Cerebral hypoxia/ischemia during infant congenital heart surgery is
not uncommon and may induce devastating neurologic disabilities persistent over
the lifespan. Hypoxia/ischemia-induced cerebrovascular dysfunction is thought to
be an important contributor to neurological damage. No pharmacological agents
have been found to prevent this. Mitogen activated protein kinase (MAPK),
including extracellular signal regulated kinase (ERK), c-Jun-N-terminal kinase,
and p38, is thought to contribute to ischemic preconditioning. We investigated
whether pretreatment with salvinorin A, the only natural nonopioid kappa receptor
agonist, could preserve autoregulation of the pial artery via MAPK. METHODS: The
response of the pial artery to hypotension and hypercapnia was monitored in
piglets equipped with a closed cranial window before and after hypoxia and
ischemia in the presence or absence of U0126, an inhibitor for the protein kinase
upstream of ERK, sp600125, an inhibitor of c-Jun-N-terminal kinase or sb203580,
an inhibitor of p38. Salvinorin A (10 MUg/kg IV) was administered 30 minutes
before hypoxia/ischemia in salvinorin-treated animals. Cerebrospinal fluid
samples were collected before and 30 minutes after salvinorin A administration
for the measurement of MAPK. Data (n = 5) were analyzed by repeated-measures
analysis of variance. RESULTS: Pial artery dilation to hypercapnia and
hypotension was blunted after hypoxia/ ischemia but preserved well by
pretreatment with salvinorin A. U0126, but not sp600125 or sb203580, abolished
the preservative effects of salvinorin A on cerebral vascular autoregulation to
hypotension and hypercapnia. The ratio of pERK/ERK in cerebrospinal fluid
increased significantly in salvinorin-treated animals, which was inhibited by
U0126. CONCLUSIONS: Salvinorin A pretreatment preserves autoregulation of the
pial artery to hypotension and hypercapnia after hypoxia/ischemia via ERK in a
piglet model.
PMID- 22075023
TI - The seven-transmembrane receptor Gpr1 governs processes relevant for the
antagonistic interaction of Trichoderma atroviride with its host.
AB - Mycoparasitic Trichoderma species are applied as biocontrol agents in agriculture
to guard plants against fungal diseases. During mycoparasitism, Trichoderma
directly interacts with phytopathogenic fungi, preceded by a specific recognition
of the host and resulting in its disarming and killing. In various fungal
pathogens, including mycoparasites, signalling via heterotrimeric G proteins
plays a major role in regulating pathogenicity-related functions. However, the
corresponding receptors involved in the recognition of host-derived signals are
largely unknown. Functional characterization of Trichoderma atroviride Gpr1
revealed a prominent role of this seven-transmembrane protein of the cAMP
receptor-like family of fungal G-protein-coupled receptors in the antagonistic
interaction with the host fungus and governing of mycoparasitism-related
processes. Silencing of gpr1 led to an avirulent phenotype accompanied by an
inability to attach to host hyphae. Furthermore, gpr1-silenced transformants were
unable to respond to the presence of living host fungi with the expression of
chitinase- and protease-encoding genes. Addition of exogenous cAMP was able to
restore host attachment in gpr1-silenced transformants but could not restore
mycoparasitic overgrowth. A search for downstream targets of the signalling
pathway(s) involving Gpr1 resulted in the isolation of genes encoding e.g. a
member of the cyclin-like superfamily and a small secreted cysteine-rich protein.
Although silencing of gpr1 caused defects similar to those of mutants lacking the
Tga3 Galpha protein, no direct interaction between Gpr1 and Tga3 was observed in
a split-ubiquitin two-hybrid assay.
PMID- 22075024
TI - Genomic and antigenic characterization of monomeric autotransporters of
Haemophilus parasuis: an ongoing process of reductive evolution.
AB - The genome of the highly pathogenic Haemophilus parasuis Nagasaki strain (serovar
5) was sequenced to 99 % completion. A genomic comparison with two other
pathogenic serovar 5 H. parasuis strains identified six genes per genome (bmaA1
bmaA6) encoding beta-barrel monomeric autotransporters, bmaA2 and bmaA3 being
pseudogenes in at least one strain. The remaining encoded proteins were predicted
to belong to the subtilisin (BmaA1 and BmaA4) and cysteine (BmaA5 and BmaA6)
protease families. Allelic polymorphism was detected in other H. parasuis strains
by comparative genomic hybridization using microarrays. Recombination events were
observed, some of them leading to gene disruption in one of the three strains,
although synteny around bmaA genes was conserved. These results suggest that bmaA
genes are undergoing a process of reductive evolution. To evaluate their use as
potential vaccine antigens, the products of the passenger domains of bmaA1,
bmaA4, bmaA5 and bmaA6 were produced in Escherichia coli as recombinant proteins.
They were detected by immunoblotting using sera of colostrum-deprived piglets
recovering from a sublethal infection with H. parasuis (Nagasaki). The existence
of specific antibodies after infection with H. parasuis also demonstrated in vivo
expression. Using proteomics, only BmaA6 was detected in the in vitro-grown
Nagasaki strain. Interestingly, the translocator domain was found in the outer
membrane, while the passenger domain was located in supernatants. These results
indicate that BmaA proteins could be considered as immunogen candidates to
improve H. parasuis vaccines. However, their capacity to confer protective
immunity needs to be studied further.
PMID- 22075025
TI - Taxon-specific metagenomics of Trichoderma reveals a narrow community of
opportunistic species that regulate each other's development.
AB - In this paper, we report on the in situ diversity of the mycotrophic fungus
Trichoderma (teleomorph Hypocrea, Ascomycota, Dikarya) revealed by a taxon
specific metagenomic approach. We designed a set of genus-specific internal
transcribed spacer (ITS)1 and ITS2 rRNA primers and constructed a clone library
containing 411 molecular operational taxonomic units (MOTUs). The overall species
composition in the soil of the two distinct ecosystems in the Danube floodplain
consisted of 15 known species and two potentially novel taxa. The latter taxa
accounted for only 1.5 % of all MOTUs, suggesting that almost no hidden or
uncultivable Hypocrea/Trichoderma species are present at least in these temperate
forest soils. The species were unevenly distributed in vertical soil profiles
although no universal factors controlling the distribution of all of them
(chemical soil properties, vegetation type and affinity to rhizosphere) were
revealed. In vitro experiments simulating infrageneric interactions between the
pairs of species that were detected in the same soil horizon showed a broad
spectrum of reactions from very strong competition over neutral coexistence to
the pronounced synergism. Our data suggest that only a relatively small portion
of Hypocrea/Trichoderma species is adapted to soil as a habitat and that the
interaction between these species should be considered in a screening for
Hypocrea/Trichoderma as an agent(s) of biological control of pests.
PMID- 22075026
TI - Fumarate reductase is a major contributor to the generation of reactive oxygen
species in the anaerobe Bacteroides fragilis.
AB - Despite the detrimental role that endogenously generated reactive oxygen species
(ROS) may play in bacteria exposed to aerobic environments, very few sources of
ROS have been identified in vivo. Such studies are often precluded by the
presence of efficient ROS-scavenging pathways, like those found in the
aerotolerant anaerobe Bacteroides fragilis. Here we demonstrate that deletion of
the genes encoding catalase (Kat), alkylhydroperoxide reductase (AhpC) and
thioredoxin-dependent peroxidase (Tpx) strongly inhibits H(2)O(2) detoxification
in B. fragilis, thereby allowing for the quantification of ROS production.
Exogenous fumarate significantly reduced H(2)O(2) production in a
DeltaahpCDeltakatDeltatpx B. fragilis strain, as did deletion of fumarate
reductase subunit c (frdC). Deletion of frdC also increased the aerotolerance of
a strain lacking superoxide dismutase, indicating that fumarate reductase is a
major contributor to ROS formation in B. fragilis exposed to oxygen.
PMID- 22075027
TI - Functional analysis of non-ribosomal peptide synthetases (NRPSs) in Trichoderma
virens reveals a polyketide synthase (PKS)/NRPS hybrid enzyme involved in the
induced systemic resistance response in maize.
AB - Trichoderma virens genome harbours genes encoding 22 non-ribosomal peptide
synthetases (NRPSs) with at least one complete module (containing adenylation,
thiolation and condensation domains) and four PKS/NRPS (polyketide synthase/NRPS)
hybrid enzymes. After a primary screen for expression of these 26 genes when
mycelia of T. virens are in contact with maize roots, seven genes that are
upregulated were selected for further study. Using homologous recombination, loss
of-function mutants in six of these were obtained (the seventh, tex2, was
acquired from our previous studies). Plant assays in a hydroponics system
revealed that all seven mutants retained the ability to internally colonize maize
roots. However, a mutation in one of the PKS/NRPS hybrid genes impaired the
ability of T. virens to induce the defence response gene pal (phenylalanine
ammonia lyase), suggesting a putative role for the associated metabolite product
in induced systemic resistance. Interestingly, the mutant retained its ability to
induce another defence response gene aos (allene oxide synthase). We thus provide
evidence that a PKS/NRPS hybrid enzyme is involved in Trichoderma-plant
interactions resulting in induction of defence responses.
PMID- 22075028
TI - Streptomyces coelicolor sRNA scr5239 inhibits agarase expression by direct base
pairing to the dagA coding region.
AB - Transcriptional regulation of primary and secondary metabolism is well-studied in
Streptomyces coelicolor, a model organism for antibiotic production and cell
differentiation. In contrast, little is known about post-transcriptional
regulation and the potential functions of small non-coding RNAs (sRNAs) in this
Gram-positive, GC-rich soil bacterium. Here, we report the identification and
characterization of scr5239, an sRNA highly conserved in the genus Streptomyces.
The sRNA is 159 nt long, composed of five stem-loops, and encoded in the
intergenic region between SCO5238 and SCO5239. scr5239 expression is constitutive
under several stress and growth conditions but dependent on the nitrogen supply.
scr5239 decreases the production of the antibiotic actinorhodin, and represses
expression of the extracellular agarase dagA at the post-transcriptional level by
direct base pairing to the coding region 33 nt downstream of the ribosome-binding
site.
PMID- 22075029
TI - Comparative study of Trichoderma gene expression in interactions with tomato
plants using high-density oligonucleotide microarrays.
AB - Trichoderma spp. are widely used as biopesticides and biofertilizers to control
diseases and to promote positive physiological responses in plants. In vitro and
in vivo assays with Trichoderma harzianum CECT 2413 (T34), Trichoderma virens
Gv29-8 (T87) and Trichoderma hamatum IMI 224801 (T7) revealed that these strains
affected the growth and development of lateral roots in tomato plants in
different ways. The early expression profiles of these Trichoderma strains were
studied after 20 h of incubation in the presence of tomato plants, using a high
density oligonucleotide (HDO) microarray, and compared to the profiles in the
absence of plants. Out of the total 34 138 Trichoderma probe sets deposited on
the microarray, 1077 (3.15 %) showed a significant change of at least 2-fold in
expression in the presence of tomato plants. The numbers of probe sets identified
in the individual Trichoderma strains were 593 in T. harzianum T34, 336 in T.
virens T87 and 94 in T. hamatum T7. Carbohydrate metabolism - the chitin
degradation enzymes N-acetylglucosamine-6-phosphate deacetylase, glucosamine-6
phosphate deaminase and chitinase - was the most significantly overrepresented
process commonly observed in the three Trichoderma strains in early interactions
with tomato plants. Strains T7 and T34, which had similar positive effects on
plant development in biological assays, showed a significantly overrepresented
hexokinase activity in interaction with tomato. In addition, genes encoding a 40S
ribosomal protein and a P23 tumour protein were altered in both these strains.
PMID- 22075030
TI - Effects of saliva or serum coating on adherence of Streptococcus oralis strains
to titanium.
AB - The use of dental implants to treat tooth loss has increased rapidly over recent
years. 'Smooth' implants showing high long-term success rates have successively
been replaced by implants with rougher surfaces, designed to stimulate rapid
osseointegration and promote tissue healing. If exposed in the oral cavity,
rougher surfaces may promote bacterial adhesion leading to formation of microbial
biofilms which can induce peri-implant inflammation. Streptococcus oralis is an
early colonizer of oral surfaces and has been recovered from titanium surfaces in
vivo. The purpose of this study was to examine the adherence of clinical strains
of S. oralis to titanium with smooth or moderately rough surface topography and
to determine the effect of a saliva- or serum-derived coating on this process.
Adherence was studied using a flow-cell system with confocal laser scanning
microscopy, while putative adhesins were analysed using proteomics of bacterial
cell wall proteins. This showed that adherence to moderately rough surfaces was
greater than to smooth surfaces. Serum did not promote binding of any of the
studied S. oralis strains to titanium, whereas a saliva coating increased
adherence in two of three strains tested. The higher level of adherence to the
moderately rough surfaces was maintained even in the presence of a saliva
coating. The S. oralis strains that bound to saliva expressed an LPXTG-linked
protein which was not present in the non-adherent strain. Thus strains of S.
oralis differ in their capacity to bind to saliva-coated titanium and we propose
that this is due to differential expression of a novel adhesin.
PMID- 22075031
TI - Alanine racemase mutants of Mycobacterium tuberculosis require D-alanine for
growth and are defective for survival in macrophages and mice.
AB - Alanine racemase (Alr) is an essential enzyme in most bacteria; however, some
species (e.g. Listeria monocytogenes) can utilize d-amino acid transaminase (Dat)
to generate d-alanine, which renders Alr non-essential. In addition to the
conflicting reports on gene knockout of alr in Mycobacterium smegmatis, a recent
study concluded that depletion of Alr does not affect the growth of M. smegmatis.
In order to get an unambiguous answer on the essentiality of Alr in Mycobacterium
tuberculosis and validate it as a drug target in vitro and in vivo, we have
inactivated the alr gene of M. tuberculosis and found that it was not possible to
generate an alr knockout in the absence of a complementing gene copy or d-alanine
in the growth medium. The growth kinetics of the alr mutant revealed that M.
tuberculosis requires very low amounts of d-alanine (5-10 ug ml(-1)) for optimum
growth. Survival kinetics of the mutant in the absence of d-alanine indicated
that depletion of this amino acid results in rapid loss of viability. The alr
mutant was found to be defective for growth in macrophages. Analysis of phenotype
in mice suggested that non-availability of d-alanine in mice leads to clearance
of bacteria followed by stabilization of bacterial number in lungs and spleen.
Additionally, reversal of d-cycloserine inhibition in the presence of d-alanine
in M. tuberculosis suggested that Alr is the primary target of d-cycloserine.
Thus, Alr of M. tuberculosis is a valid drug target and inhibition of Alr alone
should result in loss of viability in vitro and in vivo.
PMID- 22075032
TI - The year in burns 2010.
AB - For 2010, roughly 1446 original burn research articles were published in
scientific journals using the English language. This article reviews those with
the most impact on burn treatment according to the Editor of one of the major
journals (Burns) and his colleagues. As in previous reviews, articles were
divided into the following topic areas: epidemiology, demographics of injury,
wound characterisation and treatment, critical care, inhalation injury,
infection, metabolism and nutrition, psychological considerations, pain and
itching management, rehabilitation and long-term outcomes, and burn
reconstruction. Each paper is considered very briefly, and the reader is referred
to full manuscripts for details.
PMID- 22075033
TI - Flow cytometry analysis: a quantitative method for collagen VI deficiency
screening.
AB - Mutations in COL6A1, COL6A2 and COL6A3 genes result in collagen VI myopathies:
Ullrich congenital muscular dystrophy (UCMD), Bethlem myopathy (BM) and
intermediate phenotypes. At present, none of the existing diagnostic techniques
for evaluating collagen VI expression is quantitative, and the detection of
subtle changes in collagen VI expression remains challenging. We investigated
flow cytometry analysis as a means of quantitatively measuring collagen VI in
primary fibroblasts and compared this method with the standard method of
fibroblast collagen VI immunohistochemical analysis. Eight UCMD and five BM
molecularly confirmed patients were studied and compared to five controls. Flow
cytometry analysis consistently detected a reduction of collagen VI of at least
60% in all UCMD cases. In BM cases the levels of collagen VI were variable but on
average 20% less than controls. Flow cytometry analysis provides an alternative
method for screening for collagen VI deficiency at the protein level in a
quantitative, time and cost-effective manner.
PMID- 22075034
TI - C-Mantec: a novel constructive neural network algorithm incorporating competition
between neurons.
AB - C-Mantec is a novel neural network constructive algorithm that combines
competition between neurons with a stable modified perceptron learning rule. The
neuron learning is governed by the thermal perceptron rule that ensures stability
of the acquired knowledge while the architecture grows and while the neurons
compete for new incoming information. Competition makes it possible that even
after new units have been added to the network, existing neurons still can learn
if the incoming information is similar to their stored knowledge, and this
constitutes a major difference with existing constructing algorithms. The new
algorithm is tested on two different sets of benchmark problems: a Boolean
function set used in logic circuit design and a well studied set of real world
problems. Both sets were used to analyze the size of the constructed
architectures and the generalization ability obtained and to compare the results
with those from other standard and well known classification algorithms. The
problem of overfitting is also analyzed, and a new built-in method to avoid its
effects is devised and successfully applied within an active learning paradigm
that filter noisy examples. The results show that the new algorithm generates
very compact neural architectures with state-of-the-art generalization
capabilities.
PMID- 22075035
TI - Working memory and response selection: a computational account of interactions
among cortico-basalganglio-thalamic loops.
AB - Cortico-basalganglio-thalamic loops are involved in both cognitive processes and
motor control. We present a biologically meaningful computational model of how
these loops contribute to the organization of working memory and the development
of response behavior. Via reinforcement learning in basal ganglia, the model
develops flexible control of working memory within prefrontal loops and achieves
selection of appropriate responses based on working memory content and visual
stimulation within a motor loop. We show that both working memory control and
response selection can evolve within parallel and interacting cortico
basalganglio-thalamic loops by Hebbian and three-factor learning rules.
Furthermore, the model gives a coherent explanation for how complex strategies of
working memory control and response selection can derive from basic cognitive
operations that can be learned via trial and error.
PMID- 22075036
TI - Evaluation of the flocculation performance of carboxymethyl chitosan-graft
polyacrylamide, a novel amphoteric chemically bonded composite flocculant.
AB - In the present work, a novel amphoteric chemically bonded composite flocculant
(carboxymethyl chitosan-graft-polyacrylamide, denoted as CMC-g-PAM) was
successfully prepared and used to flocculate the kaolin suspension. The
flocculation performance of CMC-g-PAM in acidic, neutral, and alkaline conditions
was systematically evaluated by light scattering in combination with fractal
theory, as well as by traditional turbidity and zeta potential measurements.
Based on the experimental facts from in situ size and fractal dimension
measurements, different flocculation mechanisms play key roles at various pH
levels, resulting in substantially varied flocculation kinetic processes under
three pH conditions. In acidic condition, patching was the main mechanism
involved in the opposite zeta potential between CMC-g-PAM and the kaolin
suspension. A flat configuration was favored when the polymeric flocculant was
adsorbed onto the particle surface, leading to a slower initial floc growth rate
but larger and denser flocs. Bridging was the dominant mechanism in neutral and
alkaline conditions. A faster initial rate of bridging resulted in smaller and
more open floc structures. A rearrangement process in neutral pH subsequently led
to more compact flocs, whereas no restructuration of flocs occurred in alkaline
conditions because of the electrostatic repulsion of the same negative charges on
the flocculant and particles.
PMID- 22075037
TI - Multi-cycle bioregeneration of spent perchlorate-containing macroporous selective
anion-exchange resin.
AB - Ion exchange using perchlorate-selective resin is possibly the most feasible
technology for perchlorate removal from water. However, in current water
treatment applications, selective resins are used once and then incinerated,
making the ion-exchange process economically and environmentally unsustainable. A
new concept has been developed involving the biological regeneration of resin
containing perchlorate. This concept involves directly contacting perchlorate
containing resins with a perchlorate-reducing microbial culture. In this
research, the feasibility of multi-cycle loading and bioregeneration of a
macroporous perchlorate-selective resin was investigated. Loading and
bioregeneration cycles were performed, using a bench-scale fermenter and a
fluidized bed reactor followed by fouling removal and disinfection of the resin.
The results revealed that selective macroporous resin can be employed
successfully in a consecutive loading-bioregeneration ion-exchange process. Loss
of resin capacity stabilized after a few cycles of bioregeneration, indicating
that the number of loading and bioregeneration cycles that can be performed is
likely greater than the five cycles tested. The results also revealed that most
of the capacity loss in the resin is due to perchlorate buildup from previous
regeneration cycles. The results further indicated that as the bioregeneration
progresses, clogging of the resin pores results in strong mass transfer
limitation in the bioregeneration process.
PMID- 22075038
TI - Genetic characterization of three Cuban Trichomonas vaginalis virus. Phylogeny of
Totiviridae family.
AB - Trichomonas vaginalis can be infected with double stranded RNA (dsRNA) viruses
known as T. vaginalis virus (TVV). This viral infection may have important
implications for trichomonal virulence and disease pathogenesis. In this study we
identified and genetic characterized three strains of TVVs isolated from T.
vaginalis in Cuba. The three new predicted sequences of capsid protein and RNA
dependent RNA polymerase amounted to the previously determined 20 TVV sequences
and other 21 viruses of Totiviridae family were used for a phylogenetic analysis.
Four distinct monophyletic clades are shown in a phylogenetic tree. One
corresponds with TVVs, other with Victorivirus, Leishmaniavirus and Eimeria
brunetti virus and, other with viruses of the genus Totivirus and the last with
Giardiavirus. The E. brunetti virus is identified in the phylogenetic tree as
independent taxon between Leishmaniavirus and Victorivirus isolates, most closely
related to Victorivirus. TVV constitute a monophyletic cluster distinguishable
from all other viruses in Totiviridae family. This result suggested that TVV may
be grouped in a separated genus and not inside of Giardiavirus. TVVs appear to be
more closely related to protozoan viruses in the genus Leishmaniavirus and to
fungal viruses in the genus Victorivirus than to other protozoan and fungal
viruses in Giardiavirus and Totivirus. Among TVVs, four main groups can be
recognized within Trichomonasvirus cluster, which correspond with the previous
species classification proposed. Further studies, with more TVV strains,
especially TVV3 and 4 strains, are needed in order to determine the phylogenetic
relationship among Trichomonasvirus genus and specifically if TVV2 and 3 each
also constitute a well-delimited group.
PMID- 22075039
TI - Effect of the diclazuril on Hsp90 in the second-generation merozoites of Eimeria
tenella.
AB - Eimeria tenella (E. tenella) is one of the most virulent pathogens of
coccidiosis. In apicomplexan parasites, Hsp90 (Heat shock protein 90) is
essential for the invasion and survival in host cells. In this study, the effect
of diclazuril, an effective benzeneacetonitrile anticoccidial agent, on the
expression of Hsp90 in the second-generation merozoites of E. tenella was
investigated. We inoculated 8 * 10(4) oocysts/chicken suspended in 1 ml of
distilled water, and chickens were challenged with E. tenella oocysts and
provided with normal feed as Control group; chickens challenged with E. tenella
oocysts and provided with 1mg/kg diclazuril in feed from 96 h to 120 h after
inoculation as treatment group. Then the second-generation merozoites were
obtained after 120 h from the infected caeca. Our results showed that the
transcription level of mzHsp90 was reduced by 29.7% in the diclazuril treatment
group, accompanied by reduced level of mzHsp90 protein in second-generation
merozoites prepared from infected chickens. We also found that the subcellular
localization of mzHsp90 was more dispersed in these merozoites. Moreover, we
demonstrated that the effects of diclazuril on mzHsp90 expression were direct by
in vitro experiments. Taken together, our data provide insights into the
molecular mechanisms of diclazuril in the chemotherapy of E. tenella, and suggest
that mzHsp90 represents a promising target for the intervention with E. tenella
infection.
PMID- 22075040
TI - Pharmacological characterization of the Haemonchus contortus GABA-gated chloride
channel, Hco-UNC-49: modulation by macrocyclic lactone anthelmintics and a
receptor for piperazine.
AB - Invertebrate ligand-gated chloride channels are well recognized as important
targets for several insecticides and anthelmintics. Hco-UNC-49 is a GABA-gated
chloride channel from the parasitic nematode Haemonchus contortus and is an
orthologue to the neuromuscular receptor (Cel-UNC-49) from the free-living
nematode Caenorhabditis elegans. While the receptors from the two nematodes are
similar in sequence, they exhibit different sensitivities to GABA which may
reflect differences in in vivo function. The aim of the current study was to
further characterize the pharmacology of the Hco-UNC-49 receptor by examining its
sensitivity to various insecticides and anthelmintics using two-electrode voltage
clamp. Specifically, the insecticides fipronil and picrotoxin appear to inhibit
the channel in a similar manner. The IC(50) of picrotoxin on the homomeric
channel was 3.65 +/- 0.64 MUM and for the heteromeric channel was 134.56 +/-
44.12 MUM. On the other hand, dieldrin, a well-known insect GABA receptor
blocker, had little effect on the UNC-49 channel. The anthelmintics ivermectin
and moxidectin both moderately potentiated the activation of Hco-UNC-49 by GABA,
while piperazine was able to directly activate both the Hco-UNC-49 homomeric and
heteromeric channels with EC(50) values of 6.23 +/- 0.45 mM and 5.09 +/- 0.32 mM,
respectively. This piperazine current was reversibly blocked by picrotoxin which
demonstrates that the anthelmintic specifically targets Hco-UNC-49. These results
demonstrate that Hco-UNC-49 exhibits binding sites for several molecules
including piperazine and macrocyclic lactone anthelmintics. In addition, this is
the first report of the heterologous expression and subsequent characterization
of a receptor for piperazine.
PMID- 22075041
TI - Pharmacokinetics of a new ivermectin/praziquantel oil suspension after
intramuscular administration in pigs.
AB - A new oil suspension containing 0.15% ivermectin and 15% praziquantel for
intramuscular injection was developed, and corresponding pharmacokinetics studies
were conducted in swine. The combination product is a white- to cream-colored oil
suspension and its physical properties such as settling volume ratio,
redispersibility, syringeability and flowability are well consistent with the
Technical Standards by the Ministry of Agriculture of the People's Republic of
China. The pharmacokinetic study consists of two parts. First, the experiments
were carried out to compare the pharmacokinetic parameters of the combination
product and those same products with praziquantel or ivermectin removed merely.
The results showed that no significant change in the major pharmacokinetic
parameters (t(1/2z), T(max), C(max), AUC(INF), TimeDur) was observed when either
of the component was removed from the combination product, indicating that
ivermectin and praziquantel do not interfere with each other when being used
together. Second, the pharmacokinetics of the combination product were compared
with those of their respective single product. The results showed that the C(max)
(15.94 ng/mL) of ivermectin in combination product was 9.01 times higher than the
single product, while the AUC(INF) (1925.61 ng h/mL) was 6.02 times higher.
Meanwhile, the C(max) (1.48 MUg/mL), AUC(INF) (17.08MUgh/mL), t(1/2z) (20.25 h),
TimeDur3 (42.01 h) and TimeDur4 (16.60 h) of praziquantel in combination product
were improved with a factor of 5.48, 13.66, 8.58, 10.10 and 7.31 times when
compared with the single product, respectively. Therefore, the efficacy of the
combination product was significantly prolonged, especially for praziquantel, so
that comprehensive efficacy of controlling parasites sensitive to ivermectin and
praziquantel can be achieved with one-single use of it.
PMID- 22075042
TI - Starvation and overwinter do not affect the reproductive fitness of Rhipicephalus
sanguineus.
AB - Rhipicephalus sanguineus is the most widespread tick in the world, being able to
survive under different climate conditions. In this study, the longevity of R.
sanguineus was investigated under laboratory and natural climate conditions in
southern Italy. Moreover, the reproductive fitness of females after overwintering
was assessed. In the environment, unfed larvae, nymphs and adults were able to
survive for up to 34, 40 and 385 days, respectively. However, the longevity of
larvae (44 days), nymphs (54 days) and adults (584 days) in the laboratory was
longer. Adult ticks that had overwintered under natural climate conditions were
able to feed and give rise to viable larvae, after 40 weeks of starvation.
Thereby, it is demonstrated that R. sanguineus is able to overwinter in southern
Italy, without any prejudice to its fitness. These findings advance our knowledge
on the natural history of R. sanguineus in nature and provide new insights into
the epidemiology of certain tick-borne diseases in southern Europe as well as
into the risk for their introduction in northern European countries.
PMID- 22075043
TI - Safety and efficacy against fourth-stage gastrointestinal nematode larvae, of
monepantel in 6-week old lambs.
AB - A controlled, blinded study was undertaken in 6-week old, pre-weaned lambs to
demonstrate the safety and efficacy against fourth-stage gastrointestinal
nematode larvae, of monepantel administered per os at 2.5mg/kg body weight. Worm
burdens of 10 monepantel-treated lambs were compared to those from 10 untreated
control lambs. Geometric mean derived efficacies of 100, 100, 96.4 and 99.9% were
demonstrated against Haemonchus contortus, Teladorsagia spp., Cooperia curticei
and Trichostrongylus colubriformis, respectively. These results, considered in
the light of an earlier series of studies demonstrating the efficacy of
monepantel in older animals, and an absence of any adverse events, provides
strong support for the use of monepantel as a safe and effective anthelmintic in
lambs from six weeks of age.
PMID- 22075044
TI - Massive aquaresis after tolvaptan administration and albumin infusion in a
patient with alcoholic cirrhosis.
PMID- 22075045
TI - Thrombosis in suspected heparin-induced thrombocytopenia occurs more often with
high antibody levels.
AB - OBJECTIVE: The study objective was to determine whether higher antiplatelet
factor 4 (PF4)/heparin antibody levels using an enzyme-linked immunosorbent assay
are associated with more frequent thrombotic events in patients with clinically
suspected heparin-induced thrombocytopenia. Heparin-induced thrombocytopenia is
an immune-mediated adverse drug reaction. An enzyme-linked immunosorbent assay
detects anti-PF4/heparin antibodies to support a suspected clinical diagnosis of
heparin-induced thrombocytopenia. The utility of quantitative enzyme-linked
immunosorbent assay results is uncertain. METHODS: Our single-centered study
evaluated quantitative anti-PF4/heparin antibody levels using an enzyme-linked
immunosorbent assay in consecutive hospitalized patients with a clinical
suspicion of heparin-induced thrombocytopenia and positive anti-PF4/heparin
antibody levels between July 2003 and December 2006. RESULTS: Overall, anti
PF4/heparin antibody values were available for 318 patients with clinically
suspected heparin-induced thrombocytopenia. The median level was 0.85 optical
density units (range 0.31-4.0). The overall rate of arterial or venous thrombosis
was 23.3%. A 1-unit increase in anti-PF4/heparin antibody level was associated
with an approximate doubling in the odds of thrombosis by 30 days (odds ratio,
1.9; 95% confidence interval, 1.5-2.6; P=.0001). The proportion of patients with
pulmonary embolism increased with higher anti-PF4/heparin antibody levels.
CONCLUSION: Higher levels of anti-PF4/heparin antibody are associated with
increased thrombosis risk among patients with clinically suspected heparin
induced thrombocytopenia and might have clinical utility for prediction of true
heparin-induced thrombocytopenia and the development of thrombosis.
PMID- 22075046
TI - Callosal warning syndrome.
AB - OBJECTIVE: To report the clinical and imaging findings in a patient with an
initial fluctuating disconnection syndrome due to corpus callosal ischemia that
ultimately culminated in infarction with persistent symptoms. CASE REPORT: A 40
year-old, hypertensive, right-handed man presented with transient, stereotyped
symptoms of corpus callosal disconnection (intermanual conflict, apraxia,
dysgraphia and construction difficulties in his left hand). Serial magnetic
resonance imaging scans demonstrated the ischemic nature of the initial
fluctuating symptoms and later showed callosal infarction when the symptoms were
persistent. Magnetic resonance angiogram did not reveal significant stenosis or
occlusion of the internal carotid or proximal portion of anterior cerebral
arteries. Patient received standard treatment for ischemic stroke and at follow
up 1 month later, had mild left hand apraxia, dysgraphia and construction
difficulties. CONCLUSION: The case highlights the unusual occurrence of crescendo
transient ischemic attacks culminating in infarction in the location of corpus
callosum. We have termed this novel stroke syndrome as 'callosal warning
syndrome' as the temporal profile was quite indistinguishable from that of
relatively well-known stroke warning syndromes in the location of internal
capsule and pontine tegmentum.
PMID- 22075047
TI - Effect of micro lesions of the basal ganglia on ballistic movements in patients
with deep brain stimulation.
AB - Bradykinesia and hypokinesia are the prominent symptoms of substantia nigra
degeneration in Parkinson's disease (PD). In segmental dystonia, movements of not
affected limbs are not impaired. Here we studied the impact of the mere
implantation of stimulation electrodes on the performance of fast movements in
these two groups. We investigated 9 PD patients with subthalamic electrodes and 9
patients with segmental dystonia with electrodes in the globus pallidus internum.
Patients were studied on the first postoperative day without electrical
stimulation of the electrodes. Subjects had to perform boxing movements with
either touching the target or stopping the fist in front of the target. PD
subjects performed significantly faster movements in the touch-task only as
compared to dystonic patients. No difference was seen in the stopping task. In
conclusion, our findings suggest that a small subthalamic lesion in individuals
with PD specifically reverses bradykinesia during simple ballistic movements
(touch) but not during complex ones requiring more pre-programming (no-touch
paradigm).
PMID- 22075048
TI - New novel mutation of the ATP7B gene in a family with Wilson disease.
AB - Wilson disease (WD) is an autosomal recessive disorder of copper metabolism. The
WD gene codes for a copper transporting P-type ATPase (ATP7B) are located on
chromosome 13q14.3. Mutation of this gene disrupts copper homeostasis, resulting
in the accumulation of copper in the liver, brain, kidneys and corneas and copper
toxication at these sites. Since the detection of the WD gene in 1993,
approximately 300 disease-specific muations have been identified. We recently
evaluated a Korean family with WD. The proband, a 17-year-old boy, visited our
hospital due to abnormal behaviors including generalized slow movement,
dysphagia, drooling and ataxia. Laboratory results revealed decreases in serum
copper and ceruloplasmin and an increase in urinary excretion of copper. He had
liver cirrhosis, brain lesions and Kayser-Fleischer corenal rings. Molecular
genetic analysis of the ATP7B gene demonstrated that he was heterozygous for
deletion mutation c.2697_2723del27 in exon 11. Further study of family members
revealed that his father and younger brother had the same mutation. The
c.2697_2723del27 deletion mutation in exon 11 has not yet been reported as a
causative muation of WD and is an in-frame deletion not expected to lead to a
frame shift. Therefore, we report a novel mutation of the ATP7B gene in a family
with WD.
PMID- 22075049
TI - Tissue-specific accumulation of cadmium and its effects on antioxidative
responses in Japanese flounder juveniles.
AB - This study investigated the accumulation of cadmium (0-8 mg Cd L-1) and its
toxicological effects on oxidative stress biomarkers in different tissues of
Japanese flounder juveniles. Following Cd exposure for 28 d, accumulation of Cd
in fish was dose-dependent and tissue-specific, with the greatest accumulation in
the liver, followed by the kidney, gill, and muscle. Although the gill and liver
mounted active antioxidant responses at >= 4 mg L-1 Cd including a decrease in
glutathione level and GST and GPx activities, the antioxidant response failed to
prevent lipid peroxidation induction in these organs. In the kidney, increased
GPx and GST activities and decreased SOD activity were observed in fish exposed
to high Cd concentrations, but LPO levels did not significantly differ among the
exposure concentrations. The gill was most sensitive to oxidative damage,
followed by the liver; the kidney was the least affected tissue.
PMID- 22075050
TI - Use of custom-made stockings to control postoperative leg and foot edema
following free tissue transfer and external fixation of fractures.
AB - Patients with lower extremity trauma treated with free tissue transfer and
external fixation of fractures almost always have postoperative edema of the foot
and leg. Although compressive elastic stockings have been advised to be worn by
patients with venous or lymphatic insufficiency, no such stocking has been
described for postoperative use by patients with external fixators. The aim of
the present report was to describe a custom-made elastic compression stocking for
patients who have undergone soft tissue reconstruction and fracture fixation with
external fixators. These custom-made stockings can help to decrease postoperative
edema during the nonambulatory and nonweight-bearing ambulatory period. They are
easy to apply, affordable, and can be tailored from regular compression stockings
according to the needs of the patients.
PMID- 22075051
TI - Effect of silver nanoparticles in crop plants Phaseolus radiatus and Sorghum
bicolor: media effect on phytotoxicity.
AB - Understanding some adverse effects of nanoparticles in edible crop plants is a
matter of importance because nanoparticles are often released into soil
environments. We investigated the phytotoxicity of silver nanoparticles (AgNPs)
on the important crop plants, Phaseolus radiatus and Sorghum bicolor. The silver
nanoparticles were selected for this study because of their OECD designation as a
priority nanomaterial. The toxicity and bioavailability of AgNPs in the crop
plant species P. radiatus and S. bicolor were evaluated in both agar and soil
media. The seedling growth of test species was adversely affected by exposure to
AgNPs. We found evidence of nanoparticle uptake by plants using electron
microscopic studies. In the agar tests, P. radiatus and S. bicolor showed a
concentration dependent-growth inhibition effect. Measurements of the growth rate
of P. radiatus were not affected in the soil studies by impediment within the
concentrations tested herein. Bioavailability of nanoparticles was reduced in the
soil, and the dissolved silver ion effect also differed in the soil as compared
to the agar. The properties of nanoparticles have been shown to change in soil,
so this phenomenon has been attributed to the reduced toxicity of AgNPs to plants
in soil medium. The application of nanoparticles in soil is a matter of great
importance to elucidate the terrestrial toxicity of nanoparticles.
PMID- 22075052
TI - Development of a new time-integrative sampler using in situ solvent extraction.
AB - Despite the great success of time-weighted average passive sampling of
hydrophobic contaminants, such as PCBs and PAHs, the sampling of polar organic
compounds still presents a challenge because the equilibrium between water and
most sampling phases is attained in a relatively short time. In this study, we
proposed a new time-integrative sampler using in situ solvent extraction (TISIS)
for polar organic chemicals. The sampler was composed of a 15 cm
poly(dimethylsiloxane) (PDMS) tubing, with an internal diameter of 0.5 mm and
wall thickness of 0.5 mm, through which an extraction solvent (acetonitrile) was
passed. Four polar organic contaminants, caffeine, atrazine, diuron and 17alpha
ethynylestradiol, were chosen for the evaluation of the performance of the
sampler. Without the use of in situ solvent extraction, the PDMS tubing when
exposed to a constant aqueous concentration of the four model compounds was able
to linearly accumulate those compounds for less than 12 h and equilibrium between
the PDMS tubing and water was attained in 2 d under our laboratory conditions.
However, TISIS when exposed to a constant aqueous concentration was able to
linearly accumulate all the model compounds without any exposure time limitation.
The measured sampling rates at three different extraction flow rates (0.2, 0.5,
1.5 mL min(-1)) were similar, regardless of the chemicals, indicating that the
overall mass transfer from aqueous solution to the extraction solvent was most
likely dominated by partitioning to the PDMS tubing and the internal diffusion
within PDMS. In addition, a pulsed exposure experiment confirmed that TISIS
operated in a time-integrative mode when the environmental concentration was
highly fluctuated.
PMID- 22075053
TI - Fecal coliforms, caffeine and carbamazepine in stormwater collection systems in a
large urban area.
AB - Water samples from streams, brooks and storm sewer outfall pipes that collect
storm waters across the Island of Montreal were analyzed for caffeine,
carbamazepine and fecal coliforms. All samples contained various concentrations
of these tracers, indicating a widespread sanitary contamination in urban
environments. Fecal coliforms and caffeine levels ranged over several orders of
magnitude with a modest correlation between caffeine and fecal coliforms (R(2)
value of 0.558). An arbitrary threshold of 400 ng caffeine L(-1) allows us to
identify samples with an elevated fecal contamination, as defined by more than
200 colony-forming units per 100 mL (cfu 100 mL(-1)) of fecal coliforms. Low
caffeine levels were sporadically related to high fecal coliform counts. Lower
levels of caffeine and fecal coliforms were observed in the brooks while the
larger streams and storm water discharge points contained over ten times more.
The carbamazepine data showed little or no apparent correlation to caffeine.
These data suggest that this storm water collection system, located in a highly
urbanized urban environment, is widely contaminated by domestic sewers as
indicated by the ubiquitous presence of fecal contaminants as well as caffeine
and carbamazepine. Caffeine concentrations were relatively well correlated to
fecal coliforms, and could potentially be used as a chemical indicator of the
level of contamination by sanitary sources. The carbamazepine data was not
significantly correlated to fecal coliforms and of little use in this dataset.
PMID- 22075054
TI - Combined effects of deltamethrin, temperature and salinity on oxidative stress
biomarkers and acetylcholinesterase activity in the black tiger shrimp (Penaeus
monodon).
AB - This study aimed to investigate the interactions of two abiotic factors
(temperature and salinity) and deltamethrin (pyrethroid pesticide) exposure on
some oxidative stress biomarkers as well as on acetylcholinesterase activity
(AChE) in hepatopancreas, gills and muscle of black tiger shrimp (Penaeus
monodon). A combination of three temperatures (24, 29 and 34 degrees C), two
salinities (15 and 25 ppt), and the absence or presence of 0.1 MUg L(-1)
deltamethrin was applied on shrimp during 4 d under laboratory conditions. Lipid
peroxidation level (LPO) and glutathione S-transferase activity (GST) were not
affected by combined effect of temperature, salinity and deltamethrin in any of
the studied tissues. Deltamethrin impaired other tested oxidative stress
biomarkers, i.e. total glutathione (tGSH), catalase (CAT), glutathione peroxidase
(GPx). tGSH level significantly increased in hepatopancreas due to deltamethrin
exposure mainly at 34 degrees C, while pesticide effects on tGSH and CAT activity
in gills were influenced by both temperature and salinity. In addition, GPx
activity in hepatopancreas decreased after deltamethrin treatment mainly at 24
degrees C. Finally, AChE in muscle was strongly inhibited by deltamethrin at all
tested temperatures and salinities. These novel findings demonstrate that
interactions between abiotic factors and a commonly used pesticide exposure
should be taken into account when analyzing some widespread biomarkers in black
tiger shrimp.
PMID- 22075055
TI - Effectiveness of different methods of resistance exercises in lateral
epicondylosis--a systematic review.
AB - STUDY DESIGN: Systematic Review. INTRODUCTION: Lateral epicondylosis (LE) is
relatively common with an annual incidence in the general population of 1% to 3%.
Systematic reviews have identified exercise is effective, but have not
established specific exercise parameters. PURPOSE: The purpose of this systematic
review was to synthesize the quality and content of clinical research addressing
type and dosage of resistance exercises in lateral epicondylosis. METHODS:
Computerized bibliographic databases (1990-2010) were searched using relevant
keywords; bibliographies of included papers were hand searched. Of 594 screened
abstracts, 11 articles (12 studies) met inclusion criteria. Articles were
randomly allocated to pairs of reviewers who independently verified data
extraction and appraised the full text, using a structured critical appraisal
tool with 24 items. Data extraction was limited by a lack of consistent reporting
of elements of exercise dosage. RESULTS: The mean quality rating of the studies
was 72%, with 2 papers exceeding 75% quality. Of the 12 studies, 9 addressed the
effects of isotonic (eccentric/concentric) exercises, 2 studied the effect of
isometric and one studied isokinetic exercises. The exercise programs ranged over
a period of 4 to 52 weeks. Exercises were prescribed 1 to 6 times per day, with
an average duration of 15 minutes per session, and average of 15 repetitions
(range: 3 to 50), with 1 to 4 sets per session. CONCLUSION: All the studies
reported that resistance exercise resulted in substantial improvement in pain and
grip strength; eccentric exercise was most studied. Strengthening using
resistance exercises is effective in reducing pain and improving function for
lateral epicondylosis but optimal dosing is not defined. LEVEL OF EVIDENCE: 2a.
PMID- 22075056
TI - Central chemoreflex ventilatory responses in humans following passive heat
acclimation.
AB - Since there is temperature dependence of pulmonary ventilation (V(E)) in response
to the normal modulators (i.e. [Formula: see text] , [Formula: see text] ), it
was asked in this study if passive heat acclimation (HA) modifies the human
central chemoreflex ventilatory response to CO(2). Nine males performed
normothermic- and hyperthermic modified Read re-breathing tests before and after
HA. Heat acclimation consisted of 2hday(-1) exposures to 50 degrees C and 20% RH
for 10 consecutive days and each exposure elevated rectal temperature to between
38.5 and 39.0 degrees C. Ventilatory recruitment thresholds (VRTs) and central
chemosensitivity were assessed before and after HA during normothermia with an
oesophageal temperature (T(es)) of ~37 degrees C and in hyperthermia when T(es)
was 38.5-39.0 degrees C. Results showed VRT and central chemosensitivities were
unaltered by HA (p>=0.375) and hyperthermia increased pre- (p=0.010) but not post
acclimation (p=0.332) central chemosensitivity. Additionally, during hyperthermia
V(E) became progressively greater (p=0.027) relative to corresponding
normothermic values in the re-breathing tests. In conclusion, the ventilatory
response to hyperoxic CO(2) was unaltered by heat Acclimation State.
PMID- 22075057
TI - Focal adhesion kinase inhibitors are potent anti-angiogenic agents.
AB - Focal adhesion kinase (FAK), a cytoplasmic tyrosine kinase and scaffold protein
localized to focal adhesions, is uniquely positioned at the convergence point of
integrin and receptor tyrosine kinase signal transduction pathways. FAK is
overexpressed in many tumor cells, hence various inhibitors targeting its
activity have been tested for anti-tumor activity. However, the direct effects of
these pharmacologic agents on the endothelial cells of the vasculature have not
been examined. Using primary human umbilical vein endothelial cells (HUVEC), we
characterized the effects of two FAK inhibitors, PF-573,228 and FAK Inhibitor 14
on essential processes for angiogenesis, such as migration, proliferation,
viability and endothelial cell tube formation. We observed that treatment with
either FAK Inhibitor 14 or PF-573,228 resulted in reduced HUVEC viability,
migration and tube formation in response to vascular endothelial growth factor
(VEGF). Furthermore, we found that PF-573,228 had the added ability to induce
apoptosis of endothelial cells within 36 h post-drug administration even in the
continued presence of VEGF stimulation. FAK inhibitors also resulted in
modification of the actin cytoskeleton within HUVEC, with observed increased
stress fiber formation in the presence of drug. Given that endothelial cells were
sensitive to FAK inhibitors at concentrations well below those reported to
inhibit tumor cell migration, we confirmed their ability to inhibit endothelial
derived FAK autophosphorylation and FAK-mediated phosphorylation of recombinant
paxillin at these doses. Taken together, our data indicate that small molecule
inhibitors of FAK are potent anti-angiogenic agents and suggest their utility in
combinatorial therapeutic approaches targeting tumor angiogenesis.
PMID- 22075058
TI - Ultrafast soft X-ray photoelectron spectroscopy at liquid water microjets.
AB - Since the pioneering work of Kai Siegbahn, electron spectroscopy for chemical
analysis (ESCA) has been developed into an indispensable analytical technique for
surface science. The value of this powerful method of photoelectron spectroscopy
(PES, also termed photoemission spectroscopy) and Siegbahn's contributions were
recognized in the 1981 Nobel Prize in Physics. The need for high vacuum, however,
originally prohibited PES of volatile liquids, and only allowed for investigation
of low-vapor-pressure molecules attached to a surface (or close to a surface) or
liquid films of low volatility. Only with the invention of liquid beams of
volatile liquids compatible with high-vacuum conditions was PES from liquid
surfaces under vacuum made feasible. Because of the ubiquity of water interfaces
in nature, the liquid water-vacuum interface became a most attractive research
topic, particularly over the past 10 years. PES studies of these important
aqueous interfaces remained significantly challenging because of the need to
develop high-pressure PES methods. For decades, ESCA or PES (termed XPS, for X
ray photoelectron spectroscopy, in the case of soft X-ray photons) was restricted
to conventional laboratory X-ray sources or beamlines in synchrotron facilities.
This approach enabled frequency domain measurements, but with poor time
resolution. Indirect access to time-resolved processes in the condensed phase was
only achieved if line-widths could be analyzed or if processes could be related
to a fast clock, that is, reference processes that are fast enough and are also
well understood in the condensed phase. Just recently, the emergence of high
harmonic light sources, providing short-wavelength radiation in ultrashort light
pulses, added the dimension of time to the classical ESCA or XPS technique and
opened the door to (soft) X-ray photoelectron spectroscopy with ultrahigh time
resolution. The combination of high harmonic light sources (providing radiation
with laserlike beam qualities) and liquid microjet technology recently enabled
the first liquid interface PES experiments in the IR/UV-pump and extreme
ultraviolet-probe (EUV-probe) configuration. In this Account, we highlight
features of the technology and a number of recent applications, including extreme
states of matter and the discovery and detection of short-lived transients of the
solvated electron in water. Properties of the EUV radiation, such as its
controllable polarization and features of the liquid microjet, will enable unique
experiments in the near future. PES measures electron binding energies and
angular distributions of photoelectrons, which comprise unique information about
electron orbitals and their involvement in chemical bonding. One of the future
goals is to use this information to trace molecular orbitals, over time, in
chemical reactions or biological transformations.
PMID- 22075059
TI - Levator ani subtended volume: a novel parameter to evaluate levator ani muscle
laxity in pelvic organ prolapse.
AB - OBJECTIVE: We describe a new parameter based on magnetic resonance 3-dimensional
(3D) reconstructions proposed to evaluate levator ani muscle (LAM) laxity in
women with pelvic organ prolapse (POP). STUDY DESIGN: This is an institutional
review board-approved, retrospective chart review of 35 women with POP, stages I
IV. The 3D Slicer software package was used to perform 2-dimensional and 3D
measurements and the levator ani subtended volume (LASV) was described.
Basically, the LASV represents the volume contained by LAM between 2 planes,
which coincides with pubococcygeal line and H line. Correlations among
measurements, ordinal POP stages, POP Quantification (POPQ) individual
measurements, and validated questionnaires were performed. RESULTS: The LASV
differentiated major (III and IV) from minor (I and II) POPQ stages, which
positively correlated to POP stages and POPQ individual measurements. CONCLUSION:
The LASV is a promising parameter to evaluate the LAM laxity.
PMID- 22075060
TI - Evaluation of simulation training in cardiothoracic surgery: the Senior Tour
perspective.
AB - OBJECTIVE: The study objective was to introduce senior surgeons, referred to as
members of the "Senior Tour," to simulation-based learning and evaluate ongoing
simulation efforts in cardiothoracic surgery. METHODS: Thirteen senior
cardiothoracic surgeons participated in a 21/2-day Senior Tour Meeting. Of 12
simulators, each participant focused on 6 cardiac (small vessel anastomosis,
aortic cannulation, cardiopulmonary bypass, aortic valve replacement, mitral
valve repair, and aortic root replacement) or 6 thoracic surgical simulators
(hilar dissection, esophageal anastomosis, rigid bronchoscopy, video-assisted
thoracoscopic surgery lobectomy, tracheal resection, and sleeve resection). The
participants provided critical feedback regarding the realism and utility of the
simulators, which served as the basis for a composite assessment of the
simulators. RESULTS: All participants acknowledged that simulation may not
provide a wholly immersive experience. For small vessel anastomosis, the portable
chest model is less realistic compared with the porcine model, but is valuable in
teaching anastomosis mechanics. The aortic cannulation model allows multiple
cannulations and can serve as a thoracic aortic surgery model. The
cardiopulmonary bypass simulator provides crisis management experience. The
porcine aortic valve replacement, mitral valve annuloplasty, and aortic root
models are realistic and permit standardized training. The hilar dissection model
is subject to variability of porcine anatomy and fragility of the vascular
structures. The realistic esophageal anastomosis simulator presents various
approaches to esophageal anastomosis. The exercise associated with the rigid
bronchoscopy model is brief, and adding additional procedures should be
considered. The tracheal resection, sleeve resection, and video-assisted
thoracoscopic surgery lobectomy models are highly realistic and simulate advanced
maneuvers. CONCLUSIONS: By providing the necessary tools, such as task trainers
and assessment instruments, the Senior Tour may be one means to enhance
simulation-based learning in cardiothoracic surgery. The Senior Tour members can
provide regular programmatic evaluation and critical analyses to ensure that
proposed simulators are of educational value.
PMID- 22075062
TI - Ultrasound-guided platelet-rich plasma injections for the treatment of
osteoarthritis of the hip.
AB - OBJECTIVE: To assess the safety and symptomatic changes of IA injections of
platelet-rich plasma (PRP) in patients with OA of the hip. METHODS: Forty
patients affected by monolateral severe hip OA were included in the study. Each
joint received three IA injections of PRP, which were administered once a week.
The primary end point was meaningful pain relief, which was described as a
reduction in pain intensity of at least 30% from baseline levels as evaluated by
the WOMAC subscale at 6-months post-treatment. The visual analogue scale (VAS)
and Harris hip score subscale for pain were used to verify the results. Secondary
end points included changes in the level of disability of at least 30% and the
percentage of positive responders, i.e. the number of patients that achieved a
>30% reduction in pain and disability. RESULTS: Statistically significant
reductions in VAS, WOMAC and Harris hip subscores for pain and function were
reported at 7 weeks and 6 months (P < 0.05). Twenty-three (57.5%) patients
reported a clinically relevant reduction of pain (45%, range 30-71%) as assessed
by the WOMAC subscale. Sixteen (40%) of these patients were classified as
excellent responders who showed an early pain reduction at 6-7 weeks, which was
sustained at 6 months, and a parallel reduction of disability. Side effects were
negligible and were limited to a sensation of heaviness in the injection site.
CONCLUSIONS: This preliminary non-controlled prospective study supported the
safety, tolerability and efficacy of PRP injections for pain relief and improved
function in a limited number of patients with OA of the hip.
PMID- 22075063
TI - Tocilizumab: a novel therapy for patients with large-vessel vasculitis.
AB - OBJECTIVE: Treatment of large-vessel vasculitis (LVV) remains challenging.
Patients usually respond to glucocorticoid (GC) therapy, but often relapse on
tapering of the GC dose or after GC withdrawal. In addition, GCs are fraught with
numerous adverse events. The aim of this study was to assess the efficacy and
safety of the anti-IL-6 receptor (IL-6R) antibody tocilizumab (TCZ) in patients
with LVV. METHODS: Four patients with active LVV (two with GCA and two with
Takayasu arteritis) received monthly TCZ infusions (8 mg/kg bodyweight) for 6
consecutive months. Two patients were treatment naive, while two had relapsing
disease. Disease activity and drug tolerability were assessed clinically and by
laboratory tests at study entry and subsequently every month for 6 months of TCZ
treatment, while an [(18)F]fluorodeoxyglucose PET (PET/CT) scan was performed
before and after treatment. In addition, a semi-quantitative clinical evaluation
was performed at baseline and at 3 and 6 months using the Indian Takayasu
activity score and the Kerr indices. After TCZ, MTX was used as maintenance
therapy. RESULTS: All patients treated with TCZ therapy had a satisfactory
clinical and laboratory response, while PET/CT findings significantly improved in
all cases. No serious adverse events were noted. Only one patient had a transient
increase in liver enzymes. CONCLUSIONS: In this small group of patients with LVV,
treatment with TCZ was effective and well tolerated. Further, larger studies are
required to confirm our findings.
PMID- 22075061
TI - Differential effects of aprotinin and tranexamic acid on outcomes and cytokine
profiles in neonates undergoing cardiac surgery.
AB - OBJECTIVE: Factors contributing to postoperative complications include blood loss
and a heightened inflammatory response. The objective of this study was to test
the hypothesis that aprotinin would decrease perioperative blood product use,
reduce biomarkers of inflammation, and result in improved clinical outcome
parameters in neonates undergoing cardiac operations. METHODS: This was a
secondary retrospective analysis of a clinical trial whereby neonates undergoing
cardiac surgery received either aprotinin (n = 34; before May 2008) or tranexamic
acid (n = 42; after May 2008). Perioperative blood product use, clinical course,
and measurements of cytokines were compared. RESULTS: Use of perioperative red
blood cells, cryoprecipitate, and platelets was reduced in neonates receiving
aprotinin compared with tranexamic acid (P < .05). Recombinant activated factor
VII use (2/34 [6%] vs 18/42 [43%]; P < .001), delayed sternal closure (12/34
[35%] vs 26/42 [62%]; P = .02), and inotropic requirements at 24 and 36 hours (P
< .05) were also reduced in the aprotinin group. Median duration of mechanical
ventilation was reduced compared with tranexamic acid: 2.9 days (interquartile
range: 1.7-5.1 days) versus 4.2 days (2.9-5.2 days), P = .04. Production of tumor
necrosis factor and interleukin-2 activation were attenuated in the aprotinin
group at 24 hours postoperatively. No differential effects on renal function were
seen between agents. CONCLUSIONS: Aprotinin, compared with tranexamic acid, was
associated with reduced perioperative blood product use, improved early indices
of postoperative recovery, and attenuated indices of cytokine activation, without
early adverse effects. These findings suggest that aprotinin may have unique
effects in the context of neonatal cardiac surgery and challenge contentions that
antifibrinolytics are equivalent with respect to early postoperative outcomes.
PMID- 22075064
TI - Increased IL-17A expression in granulomas and in circulating memory T cells in
sarcoidosis.
AB - OBJECTIVE: Sarcoidosis is a systemic inflammatory disorder characterized by
granulomas. Although the aetiology is unknown, sarcoidosis is thought to be
mediated by Th1 lymphocytes. Recently, IL-17A has been implicated in granuloma
formation in various diseases, including tuberculosis. Therefore, we hypothesized
that Th17 cells play a role in sarcoidosis, paralleling recent findings in
autoimmune diseases such as RA. The aim of our study was to investigate the role
of Th17 cells in sarcoidosis. METHODS: T cells were investigated by intracellular
flow cytometry and immunohistochemistry, in blood, bronchoalveolar lavages (BALs)
and bronchial mucosal biopsies from a cohort of newly diagnosed sarcoidosis
patients and healthy controls. RESULTS: Circulating memory CD4(+) T-cell
populations of sarcoidosis patients contained significantly increased proportions
of IL-17A(+) cells when compared with healthy controls. Interestingly,
proportions of IL-17A/IFN-gamma and IL-17A/IL-4 double-producing cells were
significantly increased in blood of sarcoidosis patients and were present in
substantial numbers in BAL. In granuloma-containing, but not in non-granulomatous
sarcoidosis biopsies, we found significantly increased numbers of IL-17A(+) T
cells, located in and around granulomas throughout the lamina propria. IL-22(+) T
cells were increased in the subepithelial layer. CONCLUSIONS: Enhanced IL-17A
expression in granulomas and the presence of IL-17A(+), IL-17A(+)IFN-gamma(+) and
IL-17A(+)IL-4(+)memory Th cells in the circulation and BAL indicate Th17 cell
involvement in granuloma induction or maintenance in sarcoidosis. Therefore,
neutralization of IL-17A activity may be a novel strategy to treat sarcoidosis.
PMID- 22075065
TI - Correlation between computer-aided dynamic gadolinium-enhanced MRI assessment of
inflammation and semi-quantitative synovitis and bone marrow oedema scores of the
wrist in patients with rheumatoid arthritis--a cohort study.
AB - OBJECTIVE: To test the correlation between assessment of inflammation using
dynamic contrast-enhanced MRI (DCE-MRI) analysed by a novel computer-aided
approach and semi-quantitative scores of synovitis and bone marrow oedema (BME)
using the OMERACT-RA MRI Scoring (RAMRIS) system, in the wrist of patients with
RA. METHODS: Fifty-four RA patients had conventional and DCE-MRI of a symptomatic
wrist using a low-field 0.2T extremity scanner. RAMRIS synovitis and BME of the
wrist joint were done. DCE-MRI data were analysed in three ways: (i) in all
images (fully automated approach), (ii) within a large extended region of
interest (ROI) placed around the wrist joint (semi-automated approach) and (iii)
within a small ROI placed in the area with most visual enhancement (semi
automated approach). Time spent on each procedure was noted. Spearman's rank
correlation test was applied to assess the correlation between RAMRIS and the
computer-generated dynamic parameters. RESULTS: RAMRIS synovitis (range 2-9), BME
(range 0-39) and the dynamic parameters reflecting the number of enhancing voxels
were significantly correlated, especially when an extended ROI around the wrist
was used (rho = 0.74; P < 0.01 for synovitis and rho = 0.82; P < 0.01 for BME).
The observer spent on average 20 min (range 12-25 min) to perform RAMRIS,
including acquisition of the results in the database, and 8 min (range 7-10 min)
to perform all above-mentioned computer-aided analyses. CONCLUSION: Computer
aided analysis of DCE-MRI data correlated with RAMRIS synovitis and BME and was
twice as fast to perform. This technique may be useful for quick semi-automated
assessment of joint inflammation, but needs further validation.
PMID- 22075066
TI - Factors and comorbidities associated with first neuropsychiatric event in
systemic lupus erythematosus: does a risk profile exist? A large multicentre
retrospective cross-sectional study on 959 Italian patients.
AB - OBJECTIVE: To analyse risk factors and comorbidities potentially associated with
CNS involvement in a large cohort of Italian patients affected by SLE. METHODS: A
number of generic (not strictly SLE related) and specific (disease related) risk
factors to which all patients have been exposed in the span of 5 years before the
first neuropsychiatric (NP) event or before the last available observation were
checked for and their distribution was analysed in 959 SLE patients with and
without NP involvement; all the first NP events that occurred in a time frame of
10 years were recorded and categorized as SLE related or SLE unrelated. RESULTS:
Three hundred and twenty-six SLE patients with and 633 SLE patients without NP
manifestations were included in the study. A total of 469 NP events were
recorded. Headache (26.1%), cerebrovascular events (22.7%), mood disorders
(8.9%), seizures (14.4%) and cognitive dysfunctions (9.5%) were the most frequent
SLE-related NP events. More risk factors [mean 4.52 (2.44) vs 3.73 (2.01); P <
0.0001] were observed in patients with than without NP involvement. Overall,
aPLs, LA and APS were factors more strongly associated with NP involvement.
CONCLUSIONS: In SLE, NP involvement and aPLs were confirmed as closely related.
Furthermore, other modifiable generic risk factors, such as hypertension, carotid
vasculopathy and dyslipidaemia, appeared to be related to the occurrence of
cerebral vascular accident (CVA) and cognitive dysfunctions, suggesting the need
for a more intensive preventive strategy to optimize the management of NP lupus.
PMID- 22075067
TI - The discovery of the pyramidal neurons: Vladimir Betz and a new era of
neuroscience.
AB - As a consequence of nascent technology, the 19th century witnessed a profound
change in orientation to the nervous system. For example, improved microscopy in
the first half of the 19th century allowed high magnification without blurring.
The subsequent observation of nucleated cells led to the identification of
individual brain cells. Philosophical changes in approach to the natural sciences
took their lead from those applied to physical observations. The Ukrainian
anatomist and histologist, Vladimir Alekseyevich Betz (1834-94) played a pivotal
role in reshaping scientific and philosophical approaches to the brain,
connecting cerebral localization, function and brain microstructure. Betz
revolutionized methods of cell fixation and staining. Sometimes his efforts
yielded enormously complicated technological improvements. Betz's greatest
contribution, however, was connecting his discovery of the function of giant
pyramidal neurons of the primary motor cortex ('cells of Betz') with the cortical
organization. Considering cortical cytoarchitectonics in relation with
physiological function, Betz recognized this organization in two areas: motor and
sensory. He defined a functional area on histological grounds and thereby opened
the way to study precise cortical areas. Betz participated in the scientific
transformation of cytoarchitectonics based on macro- and microscopic studies of
the cortical surface, enabling him to view the paths of nerve cells in the brain.
Betz's influence allowed systemization of scattered scientific findings. The
discovery of pyramidal cells was a turning point in the prevailing philosophical
and scientific approach to the brain, linking cytoarchitecture, neurophysiology
and cerebral localization.
PMID- 22075068
TI - Believing is perceiving: mismatch between self-report and actigraphy in
psychogenic tremor.
AB - We assessed the duration and severity of tremor in a real-life ambulatory setting
in patients with psychogenic and organic tremor by actigraphy, and compared this
with self-reports of tremor over the same period. Ten participants with
psychogenic tremor and eight with organic tremor, diagnosed using standardized
clinical criteria, were studied. In an explicit design, participants were asked
to wear a small actigraph capable of continuously monitoring tremor duration and
intensity for 5 days while keeping a diary of their estimates of tremor duration
during the same period. Eight patients with psychogenic tremor and all patients
with organic tremor completed the study. Psychogenic patients reported
significantly more of the waking day with tremor compared with patients with
organic tremor (83.5 +/- 14.0% of the waking day versus 58.0 +/- 19.0% of the
waking day; P < 0.01), despite having almost no tremor recorded by actigraphy
(3.9 +/- 3.7% of the waking day versus 24.8 +/- 7.7% of the waking day; P =
0.001). Patients with organic tremor reported 28% more tremor than actigraphy
recordings, whereas patients with psychogenic tremor reported 65% more tremor
than actigraphy. These data demonstrate that patients with psychogenic tremor
fail to accurately perceive that they do not have tremor most of the day. The
explicit study design we employed does not support the hypothesis that these
patients are malingering. We discuss how these data can be understood within
models of active inference in the brain to provide a neurobiological framework
for understanding the mechanism of psychogenic tremor.
PMID- 22075069
TI - Integration of structural and functional magnetic resonance imaging in
amyotrophic lateral sclerosis.
AB - Amyotrophic lateral sclerosis as a system failure is a concept supported by the
finding of consistent extramotor as well as motor cerebral pathology. The
functional correlates of the structural changes detected using advanced magnetic
resonance imaging techniques such as diffusion tensor imaging and voxel-based
morphometry have not been extensively studied. A group of 25 patients with
amyotrophic lateral sclerosis was compared to healthy control subjects using a
multi-modal neuroimaging approach comprising T(1)-weighted, diffusion-weighted
and resting-state functional magnetic resonance imaging. Using probabilistic
tractography, a grey matter connection network was defined based upon the
prominent corticospinal tract and corpus callosum involvement demonstrated by
white matter tract-based spatial statistics. This 'amyotrophic lateral sclerosis
specific' network included motor, premotor and supplementary motor cortices, pars
opercularis and motor-related thalamic nuclei. A novel analysis protocol, using
this disease-specific grey matter network as an input for a dual-regression
analysis, was then used to assess changes in functional connectivity directly
associated with this network. A spatial pattern of increased functional
connectivity spanning sensorimotor, premotor, prefrontal and thalamic regions was
found. A composite of structural and functional magnetic resonance imaging
measures also allowed the qualitative discrimination of patients from controls.
An integrated structural and functional connectivity approach therefore
identified apparently dichotomous processes characterizing the amyotrophic
lateral sclerosis cerebral network failure, in which there was increased
functional connectivity within regions of decreased structural connectivity.
Patients with slower rates of disease progression showed connectivity measures
with values closer to healthy controls, raising the possibility that functional
connectivity increases might not simply represent a physiological compensation to
reduced structural integrity. One alternative possibility is that increased
functional connectivity reflects a progressive loss of inhibitory cortical
influence as part of amyotrophic lateral sclerosis pathogenesis, which might then
have relevance to future therapeutic strategies.
PMID- 22075070
TI - Subthalamic nucleus stimulation reverses spinal motoneuron activity in
parkinsonian patients.
AB - Although a cardinal symptom of Parkinsonian disease, up to now, rigidity has been
investigated much less than spasticity in hemiplegic patients. Many
pathophysiological mechanisms may at least theoretically contribute to
Parkinsonian rigidity, from altered viscoelastic muscle properties to inability
of parkinsonian patients to relax. However, as demonstrated many years ago,
motoneuron responses to muscle afferent volleys are involved in rigidity since
afferent volleys are suppressed after dorsal root section. To our knowledge,
homosynaptic depression (i.e. the fact that motoneuron responses to Ia afferent
volleys exhibit a frequency-related depression) has not been studied in
parkinsonian disease, despite the fact that in spastic patients, changes in
homosynaptic depression are significantly correlated at wrist and ankle levels
with the severity of spasticity. Thus, in the present series of experiments, we
investigated in parkinsonian patients with chronic implantation of both
subthalamic motor nuclei, the amount of homosynaptic depression at wrist and
ankle levels on and off deep brain stimulation. Off deep brain stimulation, the
frequency-related depression disappeared, the patients became rigid and the
amount of homosynaptic depression was significantly correlated with the severity
of rigidity. On deep brain stimulation, the frequency-related depression was
restored and the rigidity suppressed, suggesting that homosynaptic depression is
one of the mechanisms underlying rigidity in Parkinson's disease. Moreover, the
unexpected finding that changes in the rigidity score and the amount of
homosynaptic depression are time-locked to the onset of deep brain stimulation
leads us to reconsider the mechanisms underlying changes in homosynaptic
depression.
PMID- 22075071
TI - Critical neural substrates for correcting unexpected trajectory errors and
learning from them.
AB - Our proficiency at any skill is critically dependent on the ability to monitor
our performance, correct errors and adapt subsequent movements so that errors are
avoided in the future. In this study, we aimed to dissociate the neural
substrates critical for correcting unexpected trajectory errors and learning to
adapt future movements based on those errors. Twenty stroke patients with focal
damage to frontal or parietal regions in the left or right brain hemispheres and
20 healthy controls performed a task in which a novel mapping between actual hand
motion and its visual feedback was introduced. Only patients with frontal damage
in the right hemisphere failed to correct for this discrepancy during the ongoing
movement. However, these patients were able to adapt to the distortion such that
their movement direction on subsequent trials improved. In contrast, only
patients with parietal damage in the left hemisphere showed a clear deficit in
movement adaptation, but not in online correction. Left frontal or right parietal
damage did not adversely impact upon either process. Our findings thus identify,
for the first time, distinct and lateralized neural substrates critical for
correcting unexpected errors during ongoing movements and error-based movement
adaptation.
PMID- 22075072
TI - Changes in central apnea index following pediatric adenotonsillectomy.
AB - OBJECTIVES: To determine if there are changes in the central apnea index (CAI)
when pediatric patients undergo adenotonsillectomy for obstructive sleep apnea
(OSA). STUDY DESIGN: Case series with chart review. SETTING: Two tertiary
children's hospitals. SUBJECTS AND METHODS: Children between 1 and 16 years of
age who underwent adenotonsillectomy for OSA and had both preoperative and
postoperative full-night polysomnography (PSG) with CAI greater than 1 on
preoperative PSG were eligible for inclusion. Central apnea was defined as the
absence of both inspiratory effort and chest wall movement lasting longer than 20
seconds. Criteria for diagnosis of central sleep apnea (CSA) was CAI greater than
1. RESULTS: A total of 101 children with OSA had preoperative and postoperative
PSG. Fifteen of these patients had a preoperative CAI greater than 1. The mean
age was 67.7 months (SD, 62.7 months). The CAI ranged from 1.1 to 11.1. The mean
preoperative CAI was 3.9 (SD, 2.9), while the mean postoperative CAI was 1.9 (SD,
4.8). There was significant improvement (P = .008) of the CAI following
adenotonsillectomy. Ninety percent of subjects with mild CSA (CAI between 1 and
5) had postoperative resolution of their disease. There was also significant
improvement (P = .004) in the obstructive apnea hypopnea index (AHI), with the
mean preoperative AHI of 22.8 (SD, 19.8) decreasing to an AHI of 5.5 (SD, 6.5)
postoperatively. CONCLUSIONS: Children with OSA and mild CSA on preoperative PSG
showed significant improvement in CAI following adenotonsillectomy. Future
studies are needed to determine the clinical significance of CSA in children with
OSA and to identify treatment strategies.
PMID- 22075073
TI - Surgical parathyroidectomy versus cinacalcet therapy: in the management of
secondary hyperparathyroidism.
AB - OBJECTIVES: The aim of this study was to compare the clinical effectiveness of
surgery with calcimimetics as treatment strategies for managing the biochemical
abnormalities that characterize secondary hyperparathyroidism (2HPTH), resistant
to optimal conventional therapy in patients with end-stage renal failure (ESRF).
STUDY DESIGN: A historical cohort study. SETTING: Maxillofacial Department,
Morriston Hospital, Swansea, United Kingdom. SUBJECTS AND METHODS: Fifty-four
patients with 2HPTH resistant to optimal conventional medical management were
studied. One cohort of 20 patients was treated with surgical parathyroidectomy,
the other cohort of 34 patients with cinacalcet. Serum parathyroid hormone (PTH)
and bone profile were measured before and at monthly intervals after
intervention. RESULTS: Both cohorts were comparable in their demographic profile,
pretreatment comorbidities, baseline PTH, and bone profile. In all 20 surgical
patients, the 1-week postoperative PTH had decreased by 97% (P < .001); in the
medical cohort after 4 months of daily cinacalcet, the PTH decreased by 48% (P <
.001) from baseline. This reduction was maintained at 18 months. In all but 1
surgical patient, alkaline phosphatase (ALP) decreased to normal levels, whereas
on cinacalcet, there was no statistically significant reduction. Patients who
underwent parathyroidectomy had a more significant decrease in PTH (P < .001) and
ALP (P < .0014) than did patients on cinacalcet therapy. All patients managed
surgically who complained of preoperative symptoms of pruritis and bone pain
expressed complete resolution or significant improvement after parathyroidectomy
(P < .001, P < .003, respectively). CONCLUSION: Surgery was superior to "medical"
parathyroidectomy in controlling PTH and ALP.
PMID- 22075074
TI - Factors correlating with burnout in practicing otolaryngologists.
AB - OBJECTIVE: This study sought to determine which demographic and practice
characteristics were predictive of professional burnout in otolaryngologists.
STUDY DESIGN: Cross-sectional survey. SETTING: Tertiary care hospital. SUBJECTS
AND METHODS: Postal mailings, including the Maslach Burnout Inventory (MBI), were
sent to alumni of the University of Iowa Hospitals and Clinics otolaryngology
program. Participants completed the MBI according to the enclosed instructions.
In addition, they answered a brief questionnaire comprising 8 items designed to
collect demographic information. The MBI was then scored and subjects were
classified according to their degree of burnout. Statistical analysis was then
performed, and correlations were used to summarize associations between
continuous variables. RESULTS: This study had a response rate of 49% to the
survey. Of the respondents, 3.5% met criteria for burnout syndrome, and 16% were
classified as having high levels of burnout according to the MBI. Young age,
number of hours worked per week, and length of time in practice were found to be
statistically significant predictors of burnout. In addition, the length of time
married and the presence of children in the home were also significant predictors
of burnout. CONCLUSION: The authors report an investigation of burnout in
practicing otolaryngologists using a validated instrument with correlation to
potentially modifiable risk factors. The experience of burnout was found to
correlate significantly with both personal and professional factors, each of
which can potentially be addressed to curb the incidence of burnout. Further
understanding of the potential risk factors for burnout is necessary to minimize
and prevent burnout among practicing otolaryngologists.
PMID- 22075075
TI - Novel approach of medialization thyroplasty with arytenoid adduction performed
under general anesthesia with a laryngeal mask.
AB - OBJECTIVE: To objectively assess the voice outcomes of patients with unilateral
vocal fold paralysis treated with medialization thyroplasty and arytenoid
adduction suture. STUDY DESIGN: Case series of patients who underwent
medialization thyroplasty and arytenoid adduction suture. Preoperative and
postoperative voice testing was performed and the data were compared by
statistical analysis. SETTING: Tertiary referral teaching hospital in Sydney,
Australia. SUBJECTS: All patients had a unilateral vocal fold paralysis, with a
large posterior glottic gap and vocal symptoms affecting their quality of life.
METHODS: Thirteen patients with a diagnosis of a unilateral vocal fold paralysis
with a large posterior glottic gap, vocal symptoms, and total denervation of the
vocal fold underwent medialization thyroplasty and arytenoid adduction suture.
The surgery was performed in a novel method under a general anesthetic using a
laryngeal mask and with direct intraoperative endoscopic feedback. Preoperative
and postoperative measures of voice performance were compared, including acoustic
analysis (fundamental frequency, speech intensity against quiet and loud
background noise, speech rate) and aerodynamic assessment (airflow, maximum
phonation time). RESULTS: Medialization thyroplasty with arytenoid adduction
suture significantly improved aerodynamic assessment and phonation duration for
both male and female subjects overall. There were 2 of 13 treatment failures.
Median follow-up time was 6 months. CONCLUSION: Preliminary results indicate that
in selected patients with vocal fold paralysis, medialization thyroplasty with
arytenoid adduction suture leads to significant improvements in objective voice
measures. Longer follow-up data are required to further quantify the voice
outcomes after this procedure.
PMID- 22075076
TI - Three-year ear, nose, and throat cross-sectional analysis of audiometric
protocols for magnetic resonance imaging screening of acoustic tumors.
AB - OBJECTIVES: (1) Evaluate audiometric protocols and recommend protocols with best
sensitivity and specificity for magnetic resonance imaging (MRI) screening of
acoustic tumors; (2) determine clinical risks (false negative) of missing
acoustic tumors and potential wastes in screening (false positive) nonacoustic
tumors or radiologically "normal" cases; and (3) identify the decibel difference
and range of frequencies compared by the best-performing protocols. STUDY DESIGN:
Cross-sectional study with chart review. SETTING: Ear, nose, and throat (ENT);
audiology; and radiology departments in a tertiary-care hospital. SUBJECTS AND
METHODS: Three-year cohort (2006-2009) of 1751 ENT patients underwent MRI
screening and pure-tone audiometry indicating sensorineural hearing loss.
Audiometric protocols were ranked by highest sensitivity to acoustic tumors,
specificity A to nonacoustic tumors, and specificity B to "radiologically normal"
cases. RESULTS: No audiometric protocols achieved 100% sensitivity or specificity
rates. Only 2 protocols achieved >=90% sensitivity: the AMCLASS-A-Urben protocol
(93.16%) and the Mangham protocol (91.58%). Eleven of 15 protocols for
specificity A and 12 of 15 protocols for specificity B achieved >=50%. Clinical
risks ranged from 6.84% to 18.95%, whereas potential wastes ranged from 33.56% to
68.37% for specificity A and 31.76% to 66.86% for specificity B. Interaural
difference parameters indicating highest mean sensitivity were on the order of
>=10 dB, >=15 dB, and >=20 dB. For frequency comparison parameters, "2 or more
adjacent frequency" and "single-frequency" comparison indicated higher mean
sensitivity than the "averaged multifrequency" comparison. Mean specificity
showed an opposite pattern. CONCLUSIONS: For optimum sensitivity, the Mangham
protocol is preferred (sensitivity, 91.58%; specificity A, 44.23%; specificity B,
44.91%), which proposes a >=10-dB interaural difference, averaging 1 to 8 kHz.
For optimum specificity, the American Academy of Otolaryngology-Head and Neck
Surgery protocol is preferred (sensitivity, 87.37%; specificity A, 65.38%;
specificity B, 66.04%), which proposes >=15 dB between ears, averaging 0.5 to 3
kHz.
PMID- 22075077
TI - Analysis of swallowing function after supracricoid laryngectomy with
cricohyoidopexy.
AB - OBJECTIVE: To evaluate the swallowing function after supracricoid laryngectomy
with cricohyoidopexy, focusing on the effects of arytenoid cartilage resection
and radiation therapy. STUDY DESIGN: Case series with chart review. SETTING:
Tertiary medical center. SUBJECTS AND METHODS: Thirty supracricoid laryngectomy
cricohyoidopexy patients, at least 1 year after treatment, were retrospectively
analyzed. Fiber-optic endoscopic evaluation of swallowing was performed for each
patient. Three blinded judges evaluated the video recordings based on 3
parameters. The M. D. Anderson Dysphagia Inventory was completed by each patient
for assessment of disease-specific quality of life. RESULTS: All patients were
decannulated at an average of 23.6 days. Nasogastric feeding tubes were removed
at an average of 27.3 days, and all patients could eat orally. Fiber-optic
endoscopic evaluation of swallowing showed that patients with total resection of
1 arytenoid had more bolus retention than patients with both arytenoids preserved
(P = .008). Compared with patients who did not receive radiotherapy, patients who
did receive radiotherapy exhibited increased retention (P = .021) and aspiration
(P = .007). The M. D. Anderson Dysphagia Inventory results revealed no
differences in quality of life according to the level of arytenoid resection or
the administration of radiotherapy. CONCLUSION: The functional evaluation of
swallowing after supracricoid laryngectomy-cricohyoidopexy showed satisfactory
results. Patients with total resection of 1 arytenoid had significantly higher
bolus retention, and those who received radiotherapy had significantly increased
retention and aspiration.
PMID- 22075078
TI - Contemporary assessment of medical morbidity and mortality in head and neck
surgery.
AB - OBJECTIVE: To update the incidence of medical morbidity and mortality in head and
neck surgery and factors that influence length of stay (LOS). STUDY DESIGN: Cross
sectional analysis of a national database. SETTING: Academic medical center.
METHODS: A specific database for head and neck surgical procedures was extracted
from the National Hospital Data Survey for 2005 through 2007. Records of
inpatient admissions for patients undergoing head and neck surgery as the primary
procedure were examined to establish the frequency of medical complications,
mortality, and LOS. The association of these medical complications with mortality
and LOS was determined. RESULTS: A total of 330,629 head and neck procedures were
analyzed. The overall medical morbidity and mortality rates were 5.0% +/- 0.7%
and 0.4% +/- 0.2%, respectively. The most common medical complication was
hospital-acquired pneumonia (3.3% +/- 0.6%), whereas acute renal failure, stroke,
acute myocardial infarction, pulmonary embolism, and deep venous thrombosis were
substantially less frequent (combined incidence, 2.1%). The mean LOS for patients
without a major complication was 3.3 +/- 0.2 days vs 14.0 +/- 1.9 days for those
with a major complication (P < .001). CONCLUSIONS: Medical morbidity and
mortality in patients undergoing head and neck surgical procedures continue to be
exceedingly low. Although medical complications are associated with a longer LOS,
the influence of medical complications on mortality has declined. Enhancements in
perioperative medical management of head and neck surgical patients are likely
responsible for these improved results.
PMID- 22075079
TI - Chromosomal mapping of rDNAs and H3 histone sequences in the grasshopper
rhammatocerus brasiliensis (acrididae, gomphocerinae): extensive chromosomal
dispersion and co-localization of 5S rDNA/H3 histone clusters in the A complement
and B chromosome.
AB - BACKGROUND: Supernumerary B chromosomes occur in addition to standard karyotype
and have been described in about 15% of eukaryotes, being the repetitive DNAs the
major component of these chromosomes, including in some cases the presence of
multigene families. To advance in the understanding of chromosomal organization
of multigene families and B chromosome structure and evolution, the distribution
of rRNA and H3 histone genes were analyzed in the standard karyotype and B
chromosome of three populations of the grasshopper Rhammatocerus brasiliensis.
RESULTS: The location of major rDNA was coincident with the previous analysis for
this species. On the other hand, the 5S rDNA mapped in almost all chromosomes of
the standard complement (except in the pair 11) and in the B chromosome, showing
a distinct result from other populations previously analyzed. Besides the
spreading of 5S rDNA in the genome of R. brasiliensis it was also observed
multiple sites for H3 histone genes, being located in the same chromosomal
regions of 5S rDNAs, including the presence of the H3 gene in the B chromosome.
CONCLUSIONS: Due to the intense spreading of 5S rRNA and H3 histone genes in the
genome of R. brasiliensis, their chromosomal distribution was not informative in
the clarification of the origin of B elements. Our results indicate a linked
organization for the 5S rRNA and H3 histone multigene families investigated in R.
brasiliensis, reinforcing previous data concerning the association of both genes
in some insect groups. The present findings contribute to understanding the
organization/evolution of multigene families in the insect genomes.
PMID- 22075080
TI - Interprofessional education for the quality use of medicines: designing authentic
multimedia learning resources.
AB - It is claimed that health care students who learn together will be better
prepared for contemporary practice and more able to work collaboratively and
communicate effectively. In Australia, although recognised as important for
preparing nursing, pharmacy and medical students for their roles in the
medication team, interprofessional education is seldom used for teaching
medication safety. This is despite evidence indicating that inadequate
communication between health care professionals is the primary issue in the
majority of medication errors. It is suggested that the pragmatic constraints
inherent in university timetables, curricula and contexts limit opportunities for
health professional students to learn collaboratively. Thus, there is a need for
innovative approaches that will allow nursing, medical and pharmacy students to
learn about and from other disciplines even when they do not have the opportunity
to learn with them. This paper describes the development of authentic multimedia
resources that allow for participative, interactive and engaging learning
experiences based upon sound pedagogical principles. These resources provide
opportunities for students to critically examine clinical scenarios where
medication safety is, or has the potential to be compromised and to develop
skills in interprofessional communication that will prepare them to manage these
types of situations in clinical practice.
PMID- 22075081
TI - In vitro and in vivo characterization of AS2643361, a novel and highly potent
inosine 5'-monophosphate dehydrogenase inhibitor.
AB - Inosine 5'-monophosphate (IMP) dehydrogenase is a critical target in solid organ
transplantation. To this end, the development of mycophenolate mofetil (MMF)
represents a major advance in transplant medicine. Here, we investigated the in
vitro and in vivo pharmacological effects of a novel IMP dehydrogenase inhibitor,
AS2643361, in several immunological and non-immunological models. The in vitro
inhibitory activity of AS2643361 on immune cell and endothelial cell
proliferation and on antibody production from lipopolysaccharide-stimulated B
cells, was significantly more potent than that of mycophenolic acid, the active
form of MMF, despite the similar potency of these compounds on IMP dehydrogenase.
In a rat heterotopic cardiac transplant model, monotherapy using orally
administered AS2643361 at 10 or 20mg/kg/day prolonged the median graft survival
time from 6 to 16 and 19days, respectively. In dinitrophenol-lipopolysaccharide
stimulated rats, oral administration of AS2643361 at 2.5, 5 or 10mg/kg/day
resulted in suppression of antibody production. In vivo antibody production
against alloantigen was also suppressed by AS2643361 treatment at 5 or
10mg/kg/day. Furthermore, treatment with AS2543361 effectively inhibited balloon
injury induced-intimal thickening, which is a major cause of late allograft loss.
Overall, the in vivo activity of AS2643361 was over two-fold more potent than
that of MMF. In addition, gastrointestinal toxicity, considered a dose-limiting
factor for MMF, was reduced with AS2643361 treatment. These results suggest
AS2643361 has higher potency and less toxicity than MMF, making it a potential
candidate for treatment of acute and chronic rejection in transplant medicine.
PMID- 22075082
TI - Modulation of synaptic plasticity in the hippocampus by hippocampus-derived
estrogen and androgen.
AB - The hippocampus synthesizes estrogen and androgen in addition to the circulating
sex steroids. Synaptic modulation by hippocampus-derived estrogen or androgen is
essential to maintain healthy memory processes. Rapid actions (1-2h) of 17beta
estradiol (17beta-E2) occur via synapse-localized receptors (ERalpha or ERbeta),
while slow genomic E2 actions (6-48h) occur via classical nuclear receptors
(ERalpha or ERbeta). The long-term potentiation (LTP), induced by strong tetanus
or theta-burst stimulation, is not further enhanced by E2 perfusion in adult
rats. Interestingly, E2 perfusion can rescue corticosterone (stress hormone)
induced suppression of LTP. The long-term depression is modulated rapidly by E2
perfusion. Elevation of the E2 concentration changes rapidly the density and head
structure of spines in neurons. ERalpha, but not ERbeta, drives this enhancement
of spinogenesis. Kinase networks are involved downstream of ERalpha. Testosterone
(T) or dihydrotestosterone (DHT) also rapidly modulates spinogenesis. Newly
developed Spiso-3D mathematical analysis is used to distinguish these complex
effects by sex steroids and kinases. It has been doubted that the level of
hippocampus-derived estrogen and androgen may not be high enough to modulate
synaptic plasticity. Determination of the accurate concentration of E2, T or DHT
in the hippocampus is enabled by mass-spectrometric analysis in combination with
new steroid-derivatization methods. The E2 level in the hippocampus is
approximately 8nM for the male and 0.5-2nM for the female, which is much higher
than that in circulation. The level of T and DHT is also higher than that in
circulation. Taken together, hippocampus-derived E2, T, and DHT play a major role
in modulation of synaptic plasticity.
PMID- 22075084
TI - Transmission of a live Eimeria acervulina vaccine strain and response to
infection in vaccinated and contact-vaccinated broilers.
AB - Live vaccines for coccidiosis control are infrequently used in broilers, mainly
due to variability in efficacy and relatively high costs. More insight in
transmission of vaccine and wild-type strains can facilitate optimization of
vaccination strategies and might increase its use as an alternative for
anticoccidial drugs. The aim of this study was to quantify transmission of a live
Eimeria acervulina vaccine strain and to determine the degree of protection
against a subsequent infection with a wild-type E. acervulina strain. An
experiment was carried out with 4 groups of 22 SPF broilers. At 2 days of age, 11
birds of groups 2 to 4 were vaccinated directly by oral application of E.
acervulina oocysts of the ParacoxTM vaccine and 11 birds were placed in contact
with these birds (contact-vaccinated). Birds in group 1 remained unvaccinated
(controls) and were not exposed to vaccinated birds. At day 28 of age, 6 groups
of 10 birds were formed, with 2 groups (duplo) for each treatment group, i.e.
vaccinated, contact-vaccinated or unvaccinated control birds. Five birds of each
group were orally inoculated with wild-type E. acervulina oocysts and five were
contact-exposed. Single droppings were examined daily from days 5 to 49 of age
for oocyst output and to determine the time of infection. The transmission rate
of the vaccine strain was estimated to be 1.6 per day and of the wild-type strain
2.3, 8.7 and 20.8 per day for vaccinated, contact-vaccinated and unvaccinated
birds, respectively. Although transmission of wild-type coccidia was not
significantly reduced in vaccinated or contact-vaccinated groups, both groups
were equally protected against high oocyst output after infection compared to
unvaccinated groups. These results suggest that factors influencing transmission
of live vaccine strains in flocks may be important targets for improvement of
vaccine efficacy and warrant further research.
PMID- 22075083
TI - Nebulized live-attenuated influenza vaccine provides protection in ferrets at a
reduced dose.
AB - Live-attenuated influenza vaccine (LAIV) is delivered to vaccine recipients using
a nasal spray syringe. LAIV delivered by this method is immunogenic at current
doses; however, improvements in nasal delivery might allow for significant dose
reduction. We investigated LAIV vaccination in ferrets using a high efficiency
nebulizer designed for nasal delivery. LAIV nasal aerosol elicited high levels of
serum neutralizing antibodies and protected ferrets from homologous virus
challenge at conventional (10(7)TCID(50)) and significantly reduced
(10(3)TCID(50)) doses. Aerosol LAIV also provided a significant level of subtype
specific cross-protection. These results demonstrate the dose-sparing potential
of nebulizer-based nasal aerosol LAIV delivery.
PMID- 22075085
TI - Survey of national immunization programs and vaccine coverage rates in Asia
Pacific countries.
AB - Children in the Asia Pacific region are still suffering from certain vaccine
preventable diseases. The current study surveyed the national immunization
programs and vaccine uptake of traditional and newly developed vaccines in 12
countries in this area. The results showed children in most countries were well
protected from conventional vaccine-preventable diseases, while immunization
programs for certain diseases such as poliovirus or measles should be
strengthened in certain countries. Protection against pneumococcus, rotavirus,
and human papillomavirus infections were obviously inadequate in most of the
countries in the region. Promoting coverage of newly developed vaccines will
benefit a great number of children in this area.
PMID- 22075086
TI - Antigen dose escalation study of a VEGF-based therapeutic cancer vaccine in non
human primates.
AB - CIGB-247 is a cancer therapeutic, based on recombinant modified human vascular
endothelial growth factor (VEGF) as antigen, in combination with the oil free
adjuvant VSSP (very small sized proteoliposomes of Neisseria meningitidis outer
membrane). Our previous experimental studies in mice with CIGB-247 have shown
that the vaccine has both anti-tumoral and anti-metastatic activity, and produces
both antibodies that block VEGF-VEGF receptor interaction, and a specific T-cell
cytotoxic response against tumor cells. CIGB-247, with an antigen dose of 100
MUg, has been characterized by an excellent safety profile in mice, rats,
rabbits, and non human primates. In this article we extend the immunogenicity and
safety studies of CIGB-247 in non human primates, scaling the antigen dose from
100 MUg to 200 and 400 MUg/vaccination. Our results indicate that such dose
escalation did not affect animal behavior, clinical status, and blood parameters
and biochemistry. Also, vaccination did not interfere with skin deep skin wound
healing. Anti-VEGF IgG antibodies and specific T-cell mediated responses were
documented at all three studied doses. Antigen dose apparently did not determine
differences in maximum antibody titer during the 8 weekly immunization induction
phase, or the subsequent increase in antibodies seen for monthly boosters
delivered afterwards. Higher antigen doses had a positive influence in antibody
titer maintenance, after cessation of immunizations. Boosters were important to
achieve maximum antibody VEGF blocking activity, and specific T-cell responses in
all individuals. Purified IgG from CIGB-247 immunized monkey sera was able to
impair proliferation and formation of capillary-like structures in Matrigel, for
HMEC cells in culture. Altogether, these results support the further clinical
development of the CIGB-247 therapeutic cancer vaccine, and inform on the
potential mechanisms involved in its effect.
PMID- 22075087
TI - Correlation between serum bactericidal activity against Neisseria meningitidis
serogroups A, C, W-135 and Y measured using human versus rabbit serum as the
complement source.
AB - The surrogate of protection against invasive meningococcal disease is the
presence of serum bactericidal activity (SBA) at a titer >=4 in an assay using
human serum as the complement source (hSBA). However, for various practical and
logistical reasons, many meningococcal vaccines in use today were licensed based
on a modified SBA assay that used baby rabbit serum as the complement source
(rSBA). To assess the strength of correlation between the two assay systems for
serogroups A, C, W-135 and Y, we analyzed a subset of samples from adolescent
subjects enrolled in a Phase II study of Novartis' MenACWY-CRM conjugate vaccine
vs. an ACWY polysaccharide vaccine; samples were analyzed in parallel using hSBA
and rSBA. We compared geometric mean titers (GMTs), calculated Pearson
correlation coefficients between paired hSBA and rSBA results, and calculated
sensitivity/specificity and likelihood ratios for an rSBA >=8 or >=128 for
classifying hSBA >=4, taking hSBA as the 'gold standard'. Correlations between
hSBA and rSBA ranged from 0.46 to 0.78 for serogroup C, but were weaker for
serogroups A, W-135 and Y (range -0.15 to 0.57). In post vaccination samples,
nearly all subjects had rSBA titers >=8, though up to 15% remained seronegative
by hSBA. In post vaccination settings, rSBA titers at >=8 or >=128 was highly
sensitive for an hSBA titer >=4, but non-specific. In conclusion, results
generated by rSBA did not accurately classify serostatus according to hSBA for
serogroups A, W-135 and Y.
PMID- 22075088
TI - Effect of age and frequency of injections on immune response to hepatitis B
vaccination in drug users.
AB - Despite the high immunogenicity of the hepatitis B vaccine, evidence suggests
that immunological response in drug users is impaired compared to the general
population. A sample of not-in-treatment adult drug users from two communities in
Houston, TX, USA, susceptible to hepatitis B virus (HBV), was sampled via
outreach workers and referral methodology. Participants were randomized to either
the standard multi-dose hepatitis B vaccine schedule (0, 1, and 6 months) or to
an accelerated (0, 1, and 2 months) schedule. The participants were followed for
1 year. Antibody levels were measured at 2, 6 and 12 months after enrollment in
order to determine the immune responses. At 12 months, cumulative adequate
protective response was achieved in 65% of the HBV susceptible subgroup using
both the standard and accelerated schedules. The standard group had a higher mean
antibody titer (184.6 mIU/mL vs 57.6 mIU/mL). But at 6 months, seroconversion at
the adequate protective response was reached by a higher proportion of
participants and the mean antibody titer was also higher in the accelerated
schedule group (104.8 mIU/mL vs. 64.3 mIU/mL). Multivariate analyses indicated a
63% increased risk of non-response for participants 40 years or older (p=0.046).
Injecting drugs more than once a day was also highly associated with the risk of
non-response (p=0.016). Conclusions from this research will guide the development
of future vaccination programs that anticipate other prevalent chronic
conditions, susceptibilities, and risk-taking behaviors of hard-to-reach
populations.
PMID- 22075089
TI - Comparison of immune responses and protective efficacy of intranasal prime-boost
immunization regimens using adenovirus-based and CpG/HH2 adjuvanted-subunit
vaccines against genital Chlamydia muridarum infection.
AB - An efficacious Chlamydia vaccine is urgently needed to control Chlamydia
infections. Heterologous prime-boost vaccination regimens are emerging as a
promising strategy for preventing intracellular viral and bacterial infections.
However, it remains to be determined if this regimen would be a feasible and
effective approach for Chlamydia infection. In this study, we examined the immune
response and the protective efficacy induced by various vaccination regimens
using a recombinant adenovirus vector expressing the Chlamydia antigen CPAF
(AdCPAF) and recombinant CPAF (rCPAF) subunit vaccines formulated with CpG
oligodeoxynucleotides and/or a synthetic immunomodulatory peptide HH2 as
adjuvants. A single dose of AdCPAF stimulated potent antibody production but weak
cellular immune responses in mice. A booster rCPAF vaccine formulated with both
CpG and HH2, but not CpG alone or HH2 alone, showed robust adjuvant effects on
induction of Th1-biased cellular immune responses in mice primed with AdCPAF. In
contrast, a homologous regimen using rCPAF/CpG/HH2 subunit vaccine for both
priming and boosting induced a weak antibody response, but potent cellular
immunity with a mixed Th1/Th17 profile. Despite the disparities observed in
humoral and cellular immune responses, both the heterologous and homologous prime
boost regimens conferred significant immune protection against genital Chlamydia
muridarum challenge in C3H/HeN and BALB/c mice.
PMID- 22075090
TI - Effectiveness of mouse brain-derived inactivated Japanese encephalitis vaccine in
Thai National Immunization Program: a case-control study.
AB - Mouse brain-derived, purified inactivated Japanese encephalitis vaccine (MB JEV)
has been locally produced and introduced into Thai National Immunization Program
(NIP) since 1990. However, MB JEV effectiveness has been concerned, since 30-40%
of JE cases received JE vaccines were recently reported in two descriptive
studies. Therefore, in 2010, a case-control study was designed to assess
effectiveness of the MB JEV used in the NIP, among children aged 1 to <6 years.
Subjects enrolled from all regions of the country, 26 cases were clinical
encephalitis with laboratory-confirmed for JE IgM titer, while 103 controls had
past illness free on encephalitis. For each case, four controls were enrolled
from children living in the same community with the case. Individual health
records of the subjects were used to verify JE immunization status. Among
children aged >= 18 months old, the recommended age by the NIP, the effectiveness
was estimated at 94.6% (95%CI, 79.6-98.6%) and adjusted effectiveness was 97.50%
(95%CI, 88.60-99.50%). The study results suggest that the MB JEV used in the NIP
is highly effective, even among children aged <18 months. Therefore, aggressive
immunization using the MB JEV would greatly diminish disease burden.
PMID- 22075091
TI - Time for change? An economic evaluation of integrated cervical screening and HPV
immunization programs in Canada.
AB - Many jurisdictions have implemented universal human papillomavirus (HPV)
immunization programs in preadolescent females. However, the cost-effectiveness
of modified cervical screening guidelines and/or catch-up immunization in older
females in Canada has not been evaluated. We conducted a cost-utility analysis of
screening and immunization with the bivalent vaccine for the Canadian setting
from the Ministry of Health perspective. We used a dynamic model to capture herd
immunity and included cross-protection against strains not included in the
vaccine. We found that adding catch-up immunization to the current program would
be cost-effective, and that combining catch-up immunization with delaying the age
at which screening is first initiated could result in cost savings and net health
gains.
PMID- 22075092
TI - Vaccination and risk of type 1 diabetes mellitus in active component U.S.
Military, 2002-2008.
AB - AIMS/HYPOTHESIS: To evaluate whether vaccination increases the risk of type 1
diabetes mellitus in active component U.S. military personnel. METHODS: We
conducted a retrospective cohort study among active component U.S. military
personnel age 17-35 years. Individuals with first time diagnoses of type 1
diabetes between January 1, 2002 and December 31, 2008 were identified using
International Classification of Diseases, Ninth Revision, Clinical Modification
(ICD-9-CM) codes. We used Poisson regression to estimate risk ratios between
individual vaccine exposures and type 1 diabetes. Secondary analyses were
performed controlling for receipt of multiple vaccines and available demographic
variables. RESULTS: Our study population consisted of 2,385,102 individuals
followed for approximately 7,644,098 person-years of service. This included 1074
incident type 1 diabetes cases. We observed no significant increased risk of type
1 diabetes after vaccination with anthrax vaccine adsorbed (AVA) [RR=1.00; 95% CI
(0.85, 1.17)], smallpox vaccine [RR=0.84; 95% (CI 0.70, 1.01)], typhoid vaccine
[RR=1.03; 95% CI (0.87, 1.22)], hepatitis B vaccine [RR=0.83; 95% CI (0.72,
0.95)], measles mumps rubella vaccine (MMR) [RR=0.71, 95% CI (0.61, 0.83)], or
yellow fever vaccine [RR=0.70; 95% CI (0.59, 0.82)]. CONCLUSIONS: We did not find
an increased risk of diagnosed type 1 diabetes and any of the study vaccines. We
recommend that follow-up studies using medical record review to confirm case
status should be considered to corroborate these findings.
PMID- 22075093
TI - The cationic lipid, diC14 amidine, extends the adjuvant properties of aluminum
salts through a TLR-4- and caspase-1-independent mechanism.
AB - Adjuvant efficiency is critical for inducing a protective and long-lasting immune
response against weak immunogenic antigens. Discovered more than 70 years ago,
aluminum salts remain the most widely used adjuvant in human vaccine. Prone to
induce a strong humoral response, alum fails to drive a cell-mediated immunity,
which is essential to fight against intracellular pathogens. Adjuvant systems
that contain more than one component may represent an excellent alternative for
completing the lack of T cell immunity associated with the injection of alum
based vaccine. In this work, we demonstrated that the adjuvant effects of alum
strongly benefited from combining with a cationic lipid, the diC14 amidine.
Indeed, we measured a significant improvement of alum-driven IL-1beta release
when human macrophages were co-cultured with a mixed suspension of alum and the
diC14 amidine. Morphological analysis suggested that diC14 amidine improved the
alum uptake by phagocytes. Furthermore, the addition of diC14 amidine to alum
efficiently enhanced antigen processing and cross-presentation by antigen
presenting cells. The biological relevance of these in vitro data was assessed by
measuring the in vivo development of a cytotoxic activity and the enhanced
synthesis of antigen-specific immunoglobulins after immunization with alum
combined to diC14 amidine. Mechanistically, we demonstrated that diC14 amidine
supported the alum adjuvanticity independently of the TLR-4 and caspase-1 agonist
activities of the cationic lipid. Based on our findings, we conclude that diC14
amidine works synergistically with alum to achieve higher immune protection after
vaccination.
PMID- 22075094
TI - Unusual presentation of pneumocystis pneumonia in an immunocompetent patient
diagnosed by open lung biopsy.
AB - Pneumocystis pneumonia (PCP) is the most common opportunistic infection in
acquired immune deficiency syndrome (AIDS) patients. It is a fungal infection
with Pneumocystis jiroveci which can be isolated from bronchoalveolar lavage of
healthy subjects. The infection occurs mainly in HIV patients; with CD4
lymphocyte count drop to less than 200 cells/MUL. PCP has been reported in non
HIV patients with other risk factors such as immunosuppressive medications,
malignancies, and other inflammatory conditions. PCP has been rarely reported in
immunocompetent subjects. However, in most of these patients, PCP occurred after
a period of acute illness with bacterial pneumonia and antibiotic therapy. In
this report, we describe a case of PCP in an immunocompetent patient with
nonreactive HIV and no immunosuppressive risk factors. The patient had large
pulmonary nodules discovered incidentally on chest film as preoperative
evaluation for hip surgery. Bronchoalveolar lavage, transbronchial biopsies
(TBB), and computed tomography (CT) guided needle biopsy were all negative for P.
jiroveci. PCP diagnosis was made after open lung biopsy and wedge resection. To
our knowledge, this is the first case of PCP in immunocompetent patient with
negative BAL, TBB and CT guided biopsy. The diagnosis of PCP required open lung
biopsy and the patient recovered without complications.
PMID- 22075095
TI - The recovery of latent text from thermal paper using a simple iodine treatment
procedure.
AB - Faded, or actively removed text on thermally printed paper samples may be
enhanced and retrieved through the use of a simple iodine fuming procedure. The
recovery of printed documentation evidence in this fashion is neither affected by
prior fingerprint enhancement techniques (such as ninhydrin or DFO), nor by
sample age. This method allows, for the first time, evidence to be obtained from
completely faded thermal paper samples (receipts, for example) as well as
allowing deliberately removed printed text (a consequence of solvent washing pre
treatment in latent fingerprint enhancement procedures) to be recovered.
PMID- 22075096
TI - Validation of LUCIO-Direct-ELISA kits for the detection of drugs of abuse in
urine: application to the new German driving licence re-granting guidelines.
AB - LUCIO-Direct-enzyme linked immunosorbent assay (ELISA) tests were validated for
the screening of drugs of abuse cannabis, opiates, amphetamines and cocaine in
urine for the new German medical and psychological assessment (MPA) guidelines
with subsequent gas chromatographic-mass spectrometric (GC-MS) confirmation. The
screening cut-offs corresponding to 10 ng/mL 11-nor-delta-9-tetrahydrocannabinol
9-carboxylic acid (THC-COOH), 50 ng/mL amphetamine, 25 ng/mL morphine and codeine
and 30 ng/mL benzoylecgonine were chosen at the point where the number of false
negatives was lower than 1%. Due to their accuracy, ease of use and rapid
analysis, these ELISA tests are very promising for cases where a large proportion
of the tests are expected to be negative such as for abstinence monitoring as
part of the driving licence re-granting process.
PMID- 22075097
TI - International consensus group on depression prevention in bipolar disorder.
PMID- 22075098
TI - Effect of antidepressant medication treatment on suicidal ideation and behavior
in a randomized trial: an exploratory report from the Combining Medications to
Enhance Depression Outcomes Study.
AB - OBJECTIVE: To explore relationships between baseline sociodemographic and
clinical features and baseline suicidal ideation, and treatment effects on
suicidal ideation and behavior, in depressed outpatients. METHOD: From March 2008
to September 2009, the Combining Medications to Enhance Depression Outcomes
study, a single-blind, 7-month randomized trial, enrolled outpatients with
nonpsychotic chronic and/or recurrent major depressive disorder (DSM-IV-TR
criteria) in primary and psychiatric care (N = 665). Participants received
escitalopram plus placebo, bupropion sustained release (SR) plus escitalopram, or
venlafaxine extended release (XR) plus mirtazapine. The primary outcome measure
for this report is presence of suicidal ideation assessed by the Concise Health
Risk Tracking Self-Report, which measures suicidal ideation and behaviors over
the last 24 hours. Sociodemographic and clinical features were compared in those
with versus without baseline ideation. At 4, 12, and 28 weeks, treatment effects
on suicidality were assessed, and unadjusted and adjusted outcomes were compared
among those with and without baseline ideation using linear, logistic, ordinal
logistic, and negative binomial regression models. RESULTS: Baseline suicidal
ideation was associated with greater depressive severity, childhood neglect,
childhood abuse, early major depressive disorder onset, greater psychiatric
comorbidity, and worse functioning and quality of life. After adjustment for
treatment, gender, age at first depressive episode, obsessive-compulsive
symptoms, and depressive severity, depressive symptom outcomes did not differ
between ideation groups at 12 or 28 weeks or between treatments. Overall, 79% of
participants with baseline suicidal ideation had none at week 4, 83% had none at
week 12, and 86% had none at week 28. All treatments reduced ideation, with
bupropion-SR plus escitalopram the most effective at week 12 (P < .01). In
participants without baseline ideation, emergent ideation did not differ between
treatments: 2.5% had ideation at 4 weeks, 1.3% had ideation at 12 weeks, and only
1.7% had ideation at 28 weeks. Four patients (all receiving venlafaxine-XR plus
mirtazapine) attempted suicide (P = .0162). CONCLUSION: Baseline ideation did not
affect depressive symptom outcome. Bupropion-SR plus escitalopram most
effectively reduced ideation. Ideation emergence was uncommon. Venlafaxine-XR
plus mirtazapine may pose a higher risk of suicide attempts. TRIAL REGISTRATION:
clinicaltrials.gov Identifier: NCT00590863.
PMID- 22075099
TI - Dimensional diagnosis and DSM-5.
PMID- 22075100
TI - The problematic DSM-5 personality disorders proposal: options for plan B.
PMID- 22075101
TI - Another point of view: superiority, noninferiority, and the role of active
comparators.
AB - Despite substantial agreement with points made by Andrew C. Leon, PhD, in his
article, I am not in complete agreement in a few areas. The definition of
noninferiority proposed by Leon allows drugs somewhat less effective than placebo
to be characterized as noninferior to placebo, and 2 active drugs may each be
simultaneously noninferior to the other. Moreover, including a placebo arm in
comparing 2 active drugs is of no use in deciding whether the study is well
designed or not, since a significant difference between one of the active arms
and the placebo may be due to chance or to a bias in the design. An alternative
view of the situation is presented.
PMID- 22075102
TI - Suicide and prescription rates of intranasal corticosteroids and nonsedating
antihistamines for allergic rhinitis: an ecological study.
AB - OBJECTIVE: To estimate the relationship between antiallergy drug prescription
rates and suicide across the United States and over time. The relationship
between allergy, allergens, and suicidal behavior and suggestions of a possible
immune mediation led us to hypothesize that intranasal corticosteroids, known to
reduce local airway production of T-helper cell type 2 cytokines, may be
associated with reduced risk of suicide relative to antihistamines, which only
secondarily affect cytokine production. METHOD: The authors evaluated the
relationship of suicide rates at the county level in the United States (N =
120,076 suicides) with prescriptions for intranasal corticosteroids and
nonsedating antihistamines, in interaction with antidepressant prescriptions and
other socioeconomic variables, for the period from 1999 to 2002. Suicide rate
data were derived from state vital record systems based on local death
certificate registries, and county-level allergy and antidepressant prescription
data were obtained from IMS Health Incorporated (Plymouth Meeting, Pennsylvania).
RESULTS: The prescription volume of intranasal corticosteroids was associated
with a lower suicide risk (P = .0004), while that of antihistamines was
associated with a modestly greater suicide risk (P = .0001). Adjustment for
antidepressant prescriptions did not affect these relationships. CONCLUSIONS:
This is the first study, to our knowledge, to find a possible association between
completed suicide and medications for allergic rhinitis and also the first report
of an association of intranasal corticosteroid use with a lower suicide rate.
This association should be considered preliminary and deserving of further
investigation.
PMID- 22075103
TI - Stepping back to step forward: lessons from the Systematic Treatment Enhancement
Program for Bipolar Disorder (STEP-BD).
PMID- 22075104
TI - Performance improvement CME: managing schizophrenia.
AB - Schizophrenia is a highly disabling disorder characterized by positive and
negative symptoms and poor functioning in almost every area of life. Multiple
antipsychotics have demonstrated varying levels of efficacy in reducing symptoms
in schizophrenia, but adverse effects are common, adherence to medication is low,
and relapse rates are high. Psychosocial interventions used in conjunction with
antipsychotic pharmacotherapy may help improve treatment outcomes. This
Performance Improvement CME activity focuses on individualizing treatment for
schizophrenia, assessing treatment adherence, minimizing medication-induced
adverse events, and preventing relapse, for children, adolescents, and adults
with schizophrenia.
PMID- 22075105
TI - Moderators of antidepressant response in major depression.
AB - Moderators are baseline variables that predict response to a treatment.
Prognostic moderators predict response to all treatments, whereas prescriptive
moderators predict differential response to particular treatments. In patients
with major depressive disorder, prognostic variables include having anxious or
chronic depression, living alone, and having psychiatric comorbidities.
Prescriptive variables include gender, menopausal status, age and age at onset,
depressive subtype, severity, and chronicity. Recognizing these variables can
help clinicians better predict patients' response to treatment, select effective
treatments for individual patients, and move patients to the next treatment step
when response is inadequate.
PMID- 22075106
TI - Recognizing and diagnosing ADHD in college students.
AB - College students have greater independence, autonomy, and academic expectations
than children and adolescents. Combined with the minimal structure and
supervision provided on campus, the temptations and challenges of this
transitional phase can exacerbate symptoms of undiagnosed attention
deficit/hyperactivity disorder (ADHD) and lead to poor academic performance,
social difficulties and interpersonal conflicts, financial problems, and
substance use. Recognition by college personnel and proper evaluation and
consistent follow-up by clinicians can help college students with ADHD get the
treatment that they need in order to thrive in an academic setting.
PMID- 22075107
TI - Coeliac disease and gluten avoidance in New Zealand children.
AB - OBJECTIVES: Although gluten avoidance is thought to be common among New
Zealanders, the prevalence of gluten avoidance and of actual coeliac disease (CD)
in children is uncertain. Our aims were: (1) to determine the prevalence of
doctor-diagnosed CD and of gluten avoidance in New Zealand children; and (2)
among children without CD, to identify independent predictors of gluten
avoidance. DESIGN: The New Zealand Asthma and Allergy Cohort Study has detailed
information on participants' demographic, pregnancy-related and neonatal factors.
The authors surveyed parents regarding their child's history of lactose
intolerance and gluten-related issues (eg, gluten avoidance, history of wheat or
gluten allergy in first degree relatives, testing and doctor diagnosis of CD).
After excluding children with doctor-diagnosed CD, the authors identified
independent predictors of gluten avoidance. RESULTS: Among 916 children, most
(78%) were of European ethnicity. The authors identified nine (1.0%, 95% CI 0.5%
to 1.9%) who had doctor-diagnosed CD, while 48 (5.2%, 95% CI 4.0% to 6.9%)
avoided gluten. Among children without diagnosed CD, significant independent
predictors for gluten avoidance were Christchurch site (OR 2.2, 95% CI 1.02 to
4.7), prior testing for CD (OR 9.0, 95% CI 4.1 to 19.5) and doctor-diagnosed
lactose intolerance (OR 5.2, 95% CI 2.0 to 13.9). CONCLUSIONS: CD affected 1% of
these New Zealand children, but 5% reported gluten avoidance. The predictors of
gluten avoidance in children without doctor-diagnosed CD suggest important
regional differences in community belief or medical practice regarding
implementation of gluten avoidance and the contributory role of non-specific
subjective abdominal complaints.
PMID- 22075108
TI - Transverse colon volvulus presenting as 'inverted' coffee-bean sign.
PMID- 22075109
TI - Effect of program type on the training experiences of 248 university, community,
and US military-based general surgery residencies.
AB - BACKGROUND: There is a paucity of research comparing resident training
experiences of university, community, and military-affiliated surgical programs.
STUDY DESIGN: We reviewed a cross-sectional national survey (NEARS) involving all
US categorical general surgery residents (248 programs). Demographics and level
of agreement regarding training experiences were collected. Statistical analysis
included chi-square, ANOVA, and hierarchical logistic regression modeling (HLRM).
RESULTS: There were 4,282 residents included (82.4% response rate). The majority
(69%) trained in university programs. Types of programs differed by sex mix (p <
0.001), racial makeup (p = 0.005), marital status profile (p = 0.002), and
parental status profile (p < 0.001). Community residents were most satisfied with
their operative experience (community 84.5%, university 73.4%, military 62.4%; p
< 0.001), most likely to feel their opinions are important (76.0% vs 69.4% vs
67.9%, respectively; p < 0.001), and least likely to believe attendings will
think worse of them if residents asked for help with patient management (12.6% vs
15.9% vs 14.7%, respectively; p = 0.025). Military residents were least likely to
report that surgical training is too long (military 7.4%, community 14.0%,
university 23.8%; p < 0.001). On HLRM, community programs were independently
associated with residents feeling their opinions are important (odds ratio [OR]
1.91; p < 0.001), and reporting satisfactory operative experience (OR 4.73; p <
0.001). Residents training at military programs (OR 0.23; p = 0.002) or community
programs (OR 0.31; p < 0.001) were less likely to feel that surgical training is
too long, or that attendings will think worse of them if asked for help with
patient care (community OR 0.19; p < 0.001; military OR 0.27; p = 0.004).
CONCLUSIONS: Residents at university, community, and military programs report
distinct training experiences. These findings may inform programs of potential
targeted strategies for enhanced support.
PMID- 22075110
TI - Total parenteral nutrition therapy and liver injury: a histopathologic study with
clinical correlation.
AB - Total parenteral nutrition (TPN) therapy is a well-recognized cause of liver
injury. The histologic changes attributed to TPN in the literature vary widely.
In this study, we describe the histopathologic changes associated with TPN
therapy and relate these changes to various clinical parameters. We conducted a
retrospective study of 89 patients who underwent biopsy or liver transplantation
while on TPN. We report that (1) ductopenia, a previously unreported finding, is
seen in a significant number of patients on TPN. It is more frequently seen in
patients with low stage of fibrosis and may have an inverse relationship with the
length of therapy; (2) Perivenular fibrosis is a feature frequently seen in
patients with high-stage portal fibrosis. In fact, we find the combination of
portal and perivenular fibrosis to be a characteristic of TPN injury; (3) Infants
are more susceptible to TPN-related hepatocellular injury, are more likely to
develop fibrosis, and progress to high-stage fibrosis more rapidly than older
children and adults; (4) Cholestasis, although more common in infants, is the
most common pathologic finding in all age groups; (5) Steatosis is more commonly
seen in older children and adults than in infants; (6) Progression to fibrosis in
infants may be dependent on the length of therapy and the underlying disease for
which TPN is administered; and (7) Clinical markers of liver injury (eg, elevated
liver enzymes) do not predict the degree of hepatocellular injury or fibrosis,
and therefore, serial biopsies may be indicated for patients on TPN therapy.
PMID- 22075111
TI - Administrative database research has unique characteristics that can risk biased
results.
AB - OBJECTIVE: The provision of health care frequently creates digitized data--such
as physician service claims, medication prescription records, and hospitalization
abstracts--that can be used to conduct studies termed "administrative database
research." While most guidelines for assessing the validity of observational
studies apply to administrative database research, the unique data source and
analytical opportunities for these studies create risks that can make them
uninterpretable or bias their results. STUDY DESIGN: Nonsystematic review.
RESULTS: The risks of uninterpretable or biased results can be minimized by;
providing a robust description of the data tables used, focusing on both why and
how they were created; measuring and reporting the accuracy of diagnostic and
procedural codes used; distinguishing between clinical significance and
statistical significance; properly accounting for any time-dependent nature of
variables; and analyzing clustered data properly to explore its influence on
study outcomes. CONCLUSION: This article reviewed these five issues as they
pertain to administrative database research to help maximize the utility of these
studies for both readers and writers.
PMID- 22075112
TI - "Might" or "suggest"? No wording approach was clearly superior in conveying the
strength of recommendation.
AB - OBJECTIVE: To compare different wording approaches for conveying the strength of
health care recommendations. STUDY DESIGN AND SETTING: Participants were medical
residents in Canada and the United States. We randomized them to one of three
wording approaches, each expressing two strengths of recommendation, strong and
weak: (1) "we recommend," "we suggest;" (2) "clinicians should," "clinicians
might;" (3) "we recommend," "we conditionally recommend." Each participant
received one strong and one weak recommendation. For each recommendation, they
chose a hypothetical course of action; we judged whether their choice was
appropriate for the strength of the recommendation. RESULTS: The response rate
was 77% (341/441). Most participants, in response to strong recommendations,
chose hypothetical courses of action appropriate for weak recommendations. None
of the wording approaches was clearly superior in conveying the strength of a
recommendation. However, different approaches appeared superior depending on the
strength and direction (for or against an intervention) of the recommendation.
CONCLUSION: No wording approach was clearly superior in conveying the strength of
recommendation. Guideline developers need to make the connection between the
wording and their intended strength explicit.
PMID- 22075113
TI - Evolutionary origins of transcription factor binding site clusters.
AB - Empirical studies have revealed that regulatory DNA sequences such as enhancers
or promoters often harbor multiple binding sites for the same transcription
factor. Such "homotypic site clustering" has been hypothesized as arising out of
functional requirements of the sequences. Here, we propose an alternative
explanation of this phenomenon that multisite enhancers are common because they
are favored by evolutionary sampling of the genotype-phenotype landscape. To test
this hypothesis, we developed a new computational framework specialized for
population genetic simulations of enhancer evolution. It uses a thermodynamics
based model of enhancer function, integrating information from strong as well as
weak binding sites, to determine the strength of selection. Using this framework,
we found that even when simpler genotypes exist for a desired strength of
regulation, relatively complex genotypes (enhancers with more sites) are more
readily reached by the simulated evolutionary process. We show that there are
more ways to "build" a fit genotype with many weak sites than with a few strong
sites, and this is why evolution finds complex genotypes more often. Our claims
are consistent with an empirical analysis of binding site content in enhancers
characterized in Drosophila melanogaster and their orthologs in other Drosophila
species. We also characterized a subtle but significant difference between
genotypes likely to be sampled by evolution and equally fit genotypes one would
obtain by uniform sampling of the fitness landscape, that is, an "evolutionary
signature" in enhancer sequences. Finally, we investigated potential effects of
other factors, such as rugged fitness landscapes, short local duplications, and
noise characteristics of enhancers, on the emergence of homotypic site
clustering. Homotypic site clustering is an important contributor to the
complexity and function of cis-regulatory sequences. This work provides a simple
null hypothesis for its origin, against which alternative adaptationist
explanations may be evaluated, and cautions against "evolutionary mirages"
present in common features of genomic sequence. The quantitative framework we
develop here can be used more generally to understand how mechanisms of enhancer
action influence their composition and evolution.
PMID- 22075114
TI - Evidence for horizontal gene transfer from bacteroidetes bacteria to
dinoflagellate minicircles.
AB - Dinoflagellate protists harbor a characteristic peridinin-containing plastid that
evolved from a red or haptophyte alga. In contrast to typical plastids that have
~100-200 kb circular genomes, the dinoflagellate plastid genome is composed of
minicircles that each encode 0-5 genes. It is commonly assumed that
dinoflagellate minicircles are derived from a standard plastid genome through
drastic reduction and fragmentation. However, we demonstrate that the ycf16 and
ycf24 genes (encoded on the Ceratium AF490364 minicircle), as well as rpl28 and
rpl33 (encoded on the Pyrocystis AF490367 minicircle), are related to sequences
from Algoriphagus and/or Cytophaga bacteria belonging to the Bacteroidetes clade.
Moreover, we identified a new open reading frame on the Pyrocystis minicircle
encoding a SRP54 N domain, which is typical of FtsY proteins. Because neither of
these minicircles share sequence similarity with any other dinoflagellate
minicircles, and their genes resemble bacterial operons, we propose that these
Ceratium and Pyrocystis minicircles resulted from a horizontal gene transfer
(HGT) from a Bacteroidetes donor. Our findings are the first indication of HGT to
dinoflagellate minicircles, highlighting yet another peculiar aspect of this
plastid genome.
PMID- 22075115
TI - Evolution and function of the globin intergenic regulatory regions of the
antarctic dragonfishes (Notothenioidei: Bathydraconidae).
AB - As the Southern Ocean cooled to -1.8 degrees C over the past 40 My, the
teleostean clade Notothenioidei diversified and, under reduced selection pressure
for an oxygen-transporting apparatus, became less reliant on hemoglobin and red
blood cells. At the extreme of this trend, the crown group of Antarctic icefishes
(Channichthyidae) lost both components of oxygen transport. Under the decreased
selection scenario, we hypothesized that the Antarctic dragonfishes
(Bathydraconidae, the red-blooded sister clade to the icefishes) evolved lower
blood hemoglobin concentrations because their globin gene complexes (alpha- and
beta-globin gene pairs linked by a regulatory intergene) transcribe globin mRNAs
less effectively than those of basal notothenioids (e.g., the Nototheniidae
[notothens]). To test our hypothesis, we 1) sequenced the alpha/beta-intergenes
of the adult globin complexes of three notothen and eight dragonfish species and
2) measured globin transcript levels in representative species from each group.
The typical nototheniid intergene was ~3-4 kb in length. The bathydraconid
intergenes resolved into three subclasses (long [3.8 kb], intermediate [3.0 kb],
and short [1.5-2.3 kb]) that corresponded to the three subclades proposed for the
taxon. Although they varied in length due to indels, the three notothen and eight
dragonfish intergenes contained a conserved ~90-nt element that we have
previously shown to be required for globin gene transcription. Using the
quantitative polymerase chain reaction, we found that globin mRNA levels in red
cells from one notothen species and from one species of each dragonfish subclade
were equivalent statistically. Thus, our results indicate that the bathydraconids
have evolved adult globin loci whose regulatory intergenes tend to be shorter
than those of the more basal nototheniids yet are equivalent in transcriptional
efficacy. Their low blood hemoglobin concentrations are most likely due to
reduction in hematocrit.
PMID- 22075116
TI - The role of GC-biased gene conversion in shaping the fastest evolving regions of
the human genome.
AB - GC-biased gene conversion (gBGC) is a recombination-associated evolutionary
process that accelerates the fixation of guanine or cytosine alleles, regardless
of their effects on fitness. gBGC can increase the overall rate of substitutions,
a hallmark of positive selection. Many fast-evolving genes and noncoding
sequences in the human genome have GC-biased substitution patterns, suggesting
that gBGC-in contrast to adaptive processes-may have driven the human changes in
these sequences. To investigate this hypothesis, we developed a substitution
model for DNA sequence evolution that quantifies the nonlinear interacting
effects of selection and gBGC on substitution rates and patterns. Based on this
model, we used a series of lineage-specific likelihood ratio tests to evaluate
sequence alignments for evidence of changes in mode of selection, action of gBGC,
or both. With a false positive rate of less than 5% for individual tests, we
found that the majority (76%) of previously identified human accelerated regions
are best explained without gBGC, whereas a substantial minority (19%) are best
explained by the action of gBGC alone. Further, more than half (55%) have
substitution rates that significantly exceed local estimates of the neutral rate,
suggesting that these regions may have been shaped by positive selection rather
than by relaxation of constraint. By distinguishing the effects of gBGC,
relaxation of constraint, and positive selection we provide an integrated
analysis of the evolutionary forces that shaped the fastest evolving regions of
the human genome, which facilitates the design of targeted functional studies of
adaptation in humans.
PMID- 22075117
TI - Secondary abdominal compartment syndrome in patients with toxic epidermal
necrolysis.
AB - INTRODUCTION: Secondary abdominal compartment syndrome (ACS) is a severe
complication in patients admitted to burn intensive care units (BICUs). Unlike
patients with thermal burns, patients with toxic epidermal necrolysis (TEN)
present with a different pathophysiology and usually require less fluid. PATIENTS
AND METHODS: We reviewed our registry of adult patients presenting with TEN in
our 8-bed BICU over the course of 11 years and identified and analyzed patients
treated for ACS and decompressive laparotomy (DL). RESULTS: From a total of 29
patients with bioptic confirmed TEN, 5 underwent DL due to ACS with a mean age of
57 years, mean percentage of total body surface area (TBSA) affected of 54+/-25%,
complete epidermolysis of 28+/-24% TBSA, a mean severity of illness score
(SCORTEN) of 3.8+/-0.8, and a mean intra-abdominal pressure before DL of 33+/-7
mmHg. Mortality was 100% in patients with ACS versus 33% without ACS. CONCLUSION:
An ACS that requires DL worsens the already critical condition of a TEN patient
considerably. TEN-related impaired intestinal functionality and increasing
intestinal edema due to systemic capillary leakage warrant early initiation of
intra-abdominal pressure monitoring to identify patients at high risk of ACS.
PMID- 22075118
TI - Family function and social support in Iranian self-immolated women.
AB - AIM: The significance of family function and social support in Iranian self
immolated women was investigated in this study. METHODS: In a prospective design,
we selected 53 cases (31 self-immolated women and 22 women with unintentional
burn) from Tehran and Shiraz burn centre (Motahari and Ghotbeddin Shirazi,
respectively). The average age of the experimental group was 26.19 (S=7.11) with
a burn percentage of 46.11 (S=25.52), and the average age of the control group
was 29.15 (S=5.12) and their burn percentage was 43 (S=25.98). Along with
Demographic Information Questionnaire, participants were administered Family
Assessment Device (FAD) and Social Support Scale (SSS). To analyse data, we used
chi-square test (chi(2)), t-test, multivariate analysis of variance (MANOVA) and
also logistic regression analysis. RESULTS: Data showed that the difference of
Family Function sub-scales between the experimental and control groups was not
significant (Wilk's lambda=0.75, F=1.67, df=(8, 51), P<0.05). On the other hand,
two independent sample t-tests showed a significant difference of Social Support
between the two groups (t=-3.31, df=51, P<0.002). CONCLUSIONS: Consistent with
previous studies, this research showed that the less Social Support one perceives
the more one at risk for self-immolation. Although the mean scores of FAD sub
scales in control group were more than experimental group, there was no
significant difference between the two groups.
PMID- 22075119
TI - Engaging medical students in the feedback process.
AB - BACKGROUND: There are potential advantages to engaging medical students in the
feedback process, but efforts to do so have yielded mixed results. The purpose of
this study was to evaluate a student-focused feedback instructional session in an
experimental setting. METHODS: Medical students were assigned randomly to either
the intervention or control groups and then assigned randomly to receive either
feedback or compliments. Tests of knowledge, skills, and attitudes were given
before and after the intervention. RESULTS: There was a significant gain of
knowledge and skill in the group that received instruction. Satisfaction was
higher after compliments in the control group but higher after feedback in the
instructional group. There was no change in the subject's willingness to seek
feedback. CONCLUSIONS: A student-focused component should be carefully included
as part of an overall effort to improve feedback in surgical education. The role
of medical student attitudes about feedback requires further investigation.
PMID- 22075120
TI - A novel multimodal platform for assessing surgical technical skills.
AB - BACKGROUND: Established methods for assessing surgical performance face
limitations. Global rating scales and procedure-specific checklists are resource
intensive and rely on expert opinions. Alternatives that use technology to track
hand movements, such as magnetic and optical tracking systems, are generally
expensive and ill suited to the surgical environment. METHODS: The authors
present a new platform that integrates a novel, low-cost optical tracking system,
magnetic tracking technology and a videographic recording system to quantify
surgical performance synchronously across all modalities. The validity of this
platform was tested by examining its ability to differentiate between the
performance of expert and novice participants on a basic surgical task. RESULTS:
Each modality was able to differentiate between expert and novice participants,
and metrics were well correlated across modalities. CONCLUSIONS: The authors have
developed a platform for assessing surgical performance. It can operate in the
absence of expert raters and has the potential to provide immediate feedback to
trainees.
PMID- 22075121
TI - Magnesium alloys as body implants: fracture mechanism under dynamic and static
loadings in a physiological environment.
AB - It is essential that a metallic implant material possesses adequate resistance to
cracking/fracture under the synergistic action of a corrosive physiological
environment and mechanical loading (i.e. stress corrosion cracking (SCC)), before
the implant can be put to actual use. This paper presents a critique of the
fundamental issues with an assessment of SCC of a rapidly corroding material such
as magnesium alloys, and describes an investigation into the mechanism of SCC of
a magnesium alloy in a physiological environment. The SCC susceptibility of the
alloy in a simulated human body fluid was established by slow strain rate tensile
(SSRT) testing using smooth specimens under different electrochemical conditions
for understanding the mechanism of SCC. However, to assess the life of the
implant devices that often possess fine micro-cracks, SCC susceptibility of
notched specimens was investigated by circumferential notch tensile (CNT)
testing. CNT tests also produced important design data, i.e. threshold stress
intensity for SCC (KISCC) and SCC crack growth rate. Fractographic features of
SCC were examined using scanning electron microscopy. The SSRT and CNT results,
together with fractographic evidence, confirmed the SCC susceptibility of both
smooth and notched specimens of a magnesium alloy in the physiological
environment.
PMID- 22075123
TI - Evaluation of the ultrasonication process for injectability of hydraulic calcium
phosphate pastes.
AB - This study examined the use of ultrasonication to improve the injectability of an
aqueous calcium phosphate paste. Ultrasonication was applied to the paste through
the plunger of the delivery syringe. A factorial design of experiments with three
investigated factors, liquid to powder ratio (LPR) (38%, 39% and 40%), the size
of the delivery syringe (5 and 10 ml) and the amplitude of the 20 kHz power
ultrasonication (0-30 MUm), was used in this study. The volume fraction of the
extruded paste was used to quantify injectability. Small injectability
improvements were observed with an increase in LPR and decrease in syringe size,
which is consistent with previously published results. The improvements due to
ultrasonication were significant and remarkable. For example, when using the 5 ml
syringe the injected volume fraction of the 38% LPR paste improved from 63.4 +/-
2.3% without ultrasonication to 97.3 +/- 2.4% with 30%. This result shows that
ultrasonication is an effective solution to improve injectability.
PMID- 22075122
TI - Effects of structural properties of electrospun TiO2 nanofiber meshes on their
osteogenic potential.
AB - Ideal outcomes in the field of tissue engineering and regenerative medicine
involve biomaterials that can enhance cell differentiation and production of
local factors for natural tissue regeneration without the use of systemic drugs.
Biomaterials typically used in tissue engineering applications include polymeric
scaffolds that mimic the three-dimensional structural environment of the native
tissue, but these are often functionalized with proteins or small peptides to
improve their biological performance. For bone applications, titanium implants,
or more appropriately the TiO2 passive oxide layer formed on their surface, have
been shown to enhance osteoblast differentiation in vitro and to promote
osseointegration in vivo. In this study we evaluated the effect on osteoblast
differentiation of pure TiO2 nanofiber meshes with different surface
microroughness and nanofiber diameters, prepared by the electrospinning method.
MG63 cells were seeded on TiO2 meshes, and cell number, differentiation markers
and local factor production were analyzed. The results showed that cells grew
throughout the entire surfaces and with similar morphology in all groups. Cell
number was sensitive to surface microroughness, whereas cell differentiation and
local factor production was regulated by both surface roughness and nanofiber
diameter. These results indicate that scaffold structural cues alone can be used
to drive cell differentiation and create an osteogenic environment without the
use of exogenous factors.
PMID- 22075124
TI - The Pentax-AWS video laryngoscope for emergency airway management.
PMID- 22075125
TI - Confidence intervals and adjusted odds ratios: a commend on interpreting the
results of Killip classification and glucose levels.
PMID- 22075126
TI - Anaphylaxis-induced hyperfibrinogenolysis and the risk of Kounis syndrome: the
dual action of tryptase.
PMID- 22075127
TI - Complex asymmetric male genitalia of Anevrina Lioy (Diptera: Phoridae).
AB - Detailed structure of the male genitalia of Anevrina is described. Hitherto
unknown morphological characters of the internal sclerites relating to the
epandrium and hypandrium are illustrated and elucidated. The subepandrial
sclerite + bacilliform sclerites are distinctly modified, and the typical
subepandrial sclerite is not recognizable. The right base of the medially shifted
right surstylus is not connected to the posterior margin of the epandrium, and is
directly supported by a robust bacilliform sclerite. The robust bacilliform
sclerites are greatly developed inside the epandrium, and extended to three
clasping components, the left surstylus, the medially shifted right surstylus and
a pair of clasping lobes on the posteroventral margin of the right side of the
epandrium. The upper lobe of a pair of clasping lobes on the right side of the
epandrium is considered to originally have been situated on the left side and
subsequently shifted to the right side. The plesiomorphic state of the clasping
components relative to Anevrina is thought to be symmetrically four, comprising
both the left and right surstyli and the posterior edge of both sides of the
epandrium, indicating that the amazing phenomenon of cross-shifting of the
clasping components has occurred in Anevrina. A cladogram generated based on the
genitalic characters observed in this study shows sister groups within Anevrina,
namely an Anevrina urbana-group comprised of A. urbana, A. setigera, A. olympiae,
A. variabilis, A. thoracica, and an Anevrina unispinosa-group comprised of A.
unispinosa, A. curvinervis, A. luggeri and A. macateei.
PMID- 22075128
TI - Cephalic morphology of Hymenopus coronatus (Insecta: Mantodea) and its
phylogenetic implications.
AB - External and internal head structures of the mantodean Hymenopus coronatus are
examined and described in detail. The results are elaborately compared with the
literature. Strong crests on the anterior tentorial arms that articulate with the
subantennal suture, a parietal suture and glossae and paraglossae with anteriorly
bent tips are proposed as new potential apomorphies for Mantodea while a head
capsule being wider than long, enlarged compound eyes, the presence of a frontal
shield or scutellum, lateral lobes in the anterior tentorial arms, the presence
of a transverse and an interantennal suture and the reduction of the mentum are
confirmed as apomorphies, As potential apomorphies for Dictyoptera the reduction
of Musculus tentoriobuccalis lateralis (M. 49) is newly presented and a
"perforate" tentorium, lacinial incisivi that are located in a galeal pouch and
the presence of a postmola are confirmed. The present study shows the value of
cephalic morphology for phylogenetic analysis but also points out that further
studies including evolutionary key taxa are essential for resolving the
evolutionary adaptations among dictyopterans.
PMID- 22075129
TI - Microscopic anatomy of male tegumental glands and associated cuticular structures
in Titanethes albus (Crustacea: Isopoda).
AB - Male glandular organs characterized by porous surfaces with hair-like cuticular
elaborations are known from several trichoniscid isopods. In the subterranean
species Titanethes albus, males possess paired tubercles with numerous hairs and
pores dorsally on the pleon. We analyzed the microscopic anatomy of these
structures with scanning and transmission electron microscopy. Diverse
epicuticular formations and numerous sensilla, which are probably chemoreceptive,
are present on the tubercles. We found several secretory surfaces on the pleon in
addition to the dorsal tubercles. We also examined the distribution, architecture
and ultrastructure of male-specific glands in T. albus with light and
transmission electron microscopy. Three distinct types of male-specific rosette
glands are present in different parts of the pleon and in the uropods. Glands
secreting on the dorsal tubercles contain stellar central cells. The
ultrastructure and histochemical staining properties of male-specific glands in
T. albus suggest that they produce peptides which might function as contact
pheromones.
PMID- 22075130
TI - [Assessment of a residency training program in endocrinology and nutrition:
results of a resident survey].
AB - INTRODUCTION: In 2006, a new training program was approved for resident
physicians in endocrinology and nutrition (EN). A survey was conducted to EN
residents to assess their training, their depth of knowledge, and compliance with
the new program, as well as potential changes in training, and the results
obtained were compared to those from previous surveys. MATERIAL AND METHODS: A
survey previously conducted in 2000 and 2005 was used for this study. The survey
included demographic factors, questions about the different rotations, scientific
and practical training, assessment of their training departments and other
aspects. Results of the current survey were compared to those of the 2005 survey.
RESULTS: The survey was completed by 40 residents. Mandatory rotations are mainly
fulfilled, except for neurology. Some rotations removed from the program, such as
radiology and nuclear medicine, still are frequently performed and popular among
residents, who would include them back into the program. There was a low
compliance with practical training in the endocrinology area. Forty percent of
residents were not aware of the new program, but 60% thought that it was
fulfilled. A total of 82.5% of residents thought that their departments fulfilled
the training objectives. CONCLUSIONS: Few differences were found in rotations as
compared to the data collected in 2005 despite changes in the training program,
and there was still a lack of practical training. By contrast, rating of training
received from departments and senior physicians was improved as compared to prior
surveys.
PMID- 22075131
TI - Crystal structure of Apis mellifera OBP14, a C-minus odorant-binding protein, and
its complexes with odorant molecules.
AB - Apis mellifera (Amel) relies on its olfactory system to detect and identify new
sources of floral food. The Odorant-Binding Proteins (OBPs) are the first
proteins involved in odorant recognition and interaction, before activation of
the olfactory receptors. The Amel genome possess a set of 21 OBPs, much fewer
compared to the 60-70 OBPs found in Diptera genomes. We have undertaken a
structural proteomics study of Amel OBPs, alone or in complex with odorant or
model compounds. We report here the first 3D structure of a member of the C-minus
class OBPs, AmelOBP14, characterized by only two disulfide bridges of the three
typical of classical OBPs. We show that AmelOBP14 possesses a core of 6 alpha
helices comparable to that of classical OBPs, and an extra exposed C-terminal
helix. Its binding site is located within this core and is completely closed.
Fluorescent experiments using 1-NPN displacement demonstrate that AmelOBP14 is
able to bind several compounds with sub micromolar dissociation constants, among
which citralva and eugenol exhibit the highest affinities. We have determined the
structures of AmelOBP14 in complex with 1-NPN, eugenol and citralva, explaining
their strong binding. Finally, by introducing a double cysteine mutant at
positions 44 and 97, we show that a third disulfide bridge was formed in the same
position as in classical OBPs without disturbing the fold of AmelOBP14.
PMID- 22075132
TI - Surgical management with or without a nasogastric tube in esophageal repairs.
AB - OBJECTIVE: The aim of the study was to compare surgical management with or
without a nasogastric tube (NGT) to prevent anastomotic stricture that occurred
following esophageal repairs (ERs). METHODS: Twelve New Zealand rabbits were
divided equally into 2m: with a NGT (experimental group) and without a NGT
(control group). A 1-cm-length of the cervical esophagus was resected through a
cervical incision and then anastomosis was performed using the NGT and keeping it
in place for 6 days in the experimental group. The same procedures were performed
in the control group. Both groups were fed parenterally for 6 days and orally
after esophagography on postoperative day 7 as long as there was no esophageal
leakage. The rabbits were sacrificed to evaluate diameter of the esophageal lumen
(DOTEL), bursting pressure (BP), tissue hydroxyproline (HP) and wound healing
scores (WHSs) in the anastomosis lines 8 weeks later. RESULTS: In the
experimental group, DOTEL, BP, and HP were significantly lower than they were in
the control group. WHSs in the experimental group were not higher than they were
in the control group. CONCLUSIONS: Surgical management without a NGT is more
effective than management with a NGT in ERs as shown by increased DOTEL, BP, and
HP levels.
PMID- 22075133
TI - Comparison of bilateral and unilateral cochlear implants in children with
sequential surgery.
AB - OBJECTIVE: The objective of this study was to evaluate the effect of bilateral
versus unilateral cochlear implants and the importance of the inter-implant
interval. METHODS: Seventy-three prelingually deaf children received sequential
bilateral cochlear implants. Speech recognition in quiet with the first, second
and with both implants simultaneously was evaluated at the time of the second
implantation and after 12 and 24 months. RESULTS: Mean bilateral speech
recognition 12 and 24 months after the second implantation was significantly
higher than that obtained with either the first or the second implant. The
addition of a second implant was demonstrated to have a beneficial effect after
both 12 and 24 months. Speech recognition with the second implant increased
significantly during the first year. A small, non-significant improvement was
observed during the second year. The inter-implant interval significantly
influenced speech recognition with the second cochlear implant both at 12 and 24
months, and bilateral speech recognition at 12 months, but not at 24 months.
CONCLUSIONS: A small, but statistically significant improvement in speech
recognition was found with bilateral cochlear implants compared with a unilateral
implant. A major increase in speech recognition occurred with the second cochlear
implant during the first year. A shorter time interval between the two
implantations resulted in better speech recognition with the second implant.
However, no definitive time-point was found for when the second implant could no
longer add a positive effect.
PMID- 22075134
TI - Microscopic comparison of topical use of Mitomycin C and Fluorouracil on cold
knife myringotomy.
AB - Objective/hypothesis A comparison of the histopathological effect of topical use
of Mitomycin C and 5-Fluorouracil in preventing myringotomy closure in rats.
STUDY DESIGN: clinical trial. Methods and materials The study was performed on 43
rats that were divided into three groups. Study groups (A and B) and control
group (C) after bilateral cold-knife myringotomy, we applied Mitomycin C (MMC)
4mg/ml to group A, 5-Fluouracil (5FU) 50mg/ml to group B, and normal saline to
group C. An examination of all ears of rats was carried out by otoscope on days
0, 1, 3, 5, 7, and then every five days up to 70 days. Each day's closed
myringotomies of all groups were examined. Results The mean of post myringotomy
opening time was 37, 16, and 12 days respectively in MMC, 5FU, and saline.
Patency duration of MMC group was significantly long (p<0.0001), but in
histopatholgical examinations, sclerosis of tympanic membrane in MMC group showed
the highest patency duration (p<0.0001). Conclusion Mitomycin C significantly
prolonged the duration of myringotomy patency time - longer than 5-Fluouracil and
saline but with the adverse effects of tympanic membrane fibrosis.
PMID- 22075135
TI - Mid-esophagus unresectable cancer treated with a low cost stent. First
experience.
AB - BACKGROUND: In the cancer of the esophagus, with recent technologic advances,
self-expanding metal stents (SEMS) are at the forefront of the armamentarium for
re-establishing luminal patency. Weighed against the numerous advantages of
stents are the import conditions and the cost. In light of this, we tested new
low cost prostheses having the basic needs and characteristics to aim a
significant benefit to poor people having advanced esophageal cancer, in a
Brazilian regional public hospital. METHODS: This initial experience included
fifteen patients (eleven men and four women, 55 +/- 6.17 years old), presenting
esophageal cancer, located at the medium third of the thoracic esophagus,
extending for 5.5-8 cm long, not suitable for surgical procedure because they had
been staged on fourth grade of the disease, two of them having fistula
communicating esophagus to respiratory tree. The stents were placed under
endoscopic and fluoroscopic guidance, after attempting an esophageal dilatation.
An appropriate covered stent was then deployed, twelve of 10 cm and three of 13
cm in length. A chest X-ray was done 2 h after the procedure and a barium swallow
was performed within 12 hours. Seven days and monthly until complete a six month
follow-up after the procedure the patients were questioned about presence of
pain, regurgitation, heartburn, cough, and their alimentary behavior. RESULTS:
There were no severe complications and transient mild chest pain resolved until
the seventh day after the stent deployment. Chest X-ray demonstrated expansion of
the stent in all patients. In 2 cases of fistula, a barium swallow showed its
complete sealing. The completion of the proposed follow-up was not achieved in
three cases, limited by the patient's death until the third month, due to cancer
progression. Recurrent dysphagia to paste food accounted for by tumor overgrowth
proximal or distal to the stent and stent migration were not observed in the
series. CONCLUSIONS: The new low cost endoprostheses is effective and forthcoming
increased experience and prospective trials including questionnaires to analyze
quality of life will allow for more informed decisions tailoring to a particular
patient situation or to unexpected complications.
PMID- 22075136
TI - Tea consumption, incidence and long-term prognosis of a first acute myocardial
infarction--the SHEEP study.
AB - BACKGROUND & AIMS: Results of previous studies on tea consumption and incidence
or prognosis of acute myocardial infarction (AMI) are conflicting. The aim of the
present study was to examine the potential role of tea consumption in the
previous 12 months in primary and secondary prevention of AMI. METHODS: We
studied a total of 1340 individuals with a first non-fatal AMI and 2303 frequency
matched control participants on age, gender and hospital catchment area including
querying their tea consumption over the previous 12 months. The cohort of AMI
cases was then followed for total and cardiac mortality and for non-fatal
cardiovascular events with national registers over 8 years. Estimates of relative
risks for a first AMI were based on odds ratios from unconditional logistic
regression and Cox proportional hazards models were used to examine the
prognostic importance of tea consumption in the cohort of cases. RESULTS: The
prevalence of daily tea consumption was 20.5% among cases and 21.5% among
controls. Tea consumption was associated with a lower risk for a first AMI with
adjustment for matching criteria alone, with an odds ratio of 0.78 (95%
confidence interval, 0.64-0.95) comparing those who consumed tea daily to those
never consuming tea. However, in multivariable adjusted model there was no
evidence for an association, the corresponding odds ratio was 1.08(0.86-1.36).
There was also no association between tea consumption and cardiac mortality and
non-fatal cardiovascular events, with a corresponding adjusted hazard ratio of
0.99(0.77-1.27). CONCLUSIONS: In this epidemiological study, greater tea
consumption in the previous year was associated with a lower risk of AMI.
However, a clear association between tea consumption and the incidence or
prognosis of AMI was not demonstrated, probably because of tea drinkers having a
healthier lifestyle.
PMID- 22075137
TI - Borylation and silylation of C-H bonds: a platform for diverse C-H bond
functionalizations.
AB - Methods that functionalize C-H bonds can lead to new approaches for the synthesis
of organic molecules, but to achieve this goal, researchers must develop site
selective reactions that override the inherent reactivity of the substrates.
Moreover, reactions are needed that occur with high turnover numbers and with
high tolerance for functional groups if the C-H bond functionalization is to be
applied to the synthesis of medicines or materials. This Account describes the
discovery and development of the C-H bond functionalization of aliphatic and
aromatic C-H bonds with borane and silane reagents. The fundamental principles
that govern the reactivity of intermediates containing metal-boron bonds are
emphasized and how an understanding of the effects of the ligands on this
reactivity led us to broaden the scope of main group reagents that react under
mild conditions to generate synthetically useful organosilanes is described.
Complexes containing a covalent bond between a transition metal and a three
coordinate boron atom (boryl complexes) are unusually reactive toward the
cleavage of typically unreactive C-H bonds. Moreover, this C-H bond cleavage
leads to the formation of free, functionalized product by rapid coupling of the
hydrocarbyl and boryl ligands. The initial observation of the borylation of
arenes and alkanes in stoichiometric processes led to catalytic systems for the
borylation of arenes and alkanes with diboron compounds (diborane(4) reagents)
and boranes. In particular, complexes based on the Cp*Rh (in which Cp is the
cyclopentadienyl anion) fragment catalyze the borylation of alkanes, arenes,
amines, ethers, ketals, and haloalkanes. Although less reactive toward alkyl C-H
bonds than the Cp*Rh systems, catalysts generated from the combination of
bipyridines and iridium(I)-olefin complexes have proven to be the most reactive
catalysts for the borylation of arenes. The reactions catalyzed by these
complexes form arylboronates from arenes with site-selectivity for C-H bond
cleavage that depends on the steric accessibility of the C-H bonds. These
complexes also catalyze the borylation of heteroarenes, and the selectivity for
these substrates is more dependent on electronic effects than the borylation of
arenes. The products from the borylation of arenes and heteroarenes are suitable
for a wide range of subsequent conversions to phenols, arylamines, aryl ethers,
aryl nitriles, aryl halides, arylboronic acids, and aryl trifluoroborates.
Studies of the electronic properties of the ancillary ligand on the rate of the
reaction show that the flat structure and the strong electron-donating property
of the bipyridine ligands, along with the strong electron-donating property of
the boryl group and the presence of a p-orbital on the metal-bound atom, lead to
the increased reactivity of the iridium catalysts. Based on this hypothesis, we
studied catalysts containing substituted phenanthroline ligands for a series of
additional transformations, including the silylation of C-H bonds. A sequence
involving the silylation of benzylic alcohols, followed by the dehydrogenative
silylation of aromatic C-H bonds, leads to an overall directed silylation of the
C-H bond ortho to hydroxyl functionality.
PMID- 22075139
TI - Management of a pterygopalatine fossa hydatid cyst.
AB - Hydatid cyst disease is a rare parasitic infestation caused by larvae of
Echinococcus granulosus. Although larval stage of this parasite can thrive in any
part of the body, especially lungs and liver; head and neck involvement is rare.
Pterygopalatine fossa involvement is reported in two cases in the literature. In
this report we represent a case with solitary, secondary pterygopalatine fossa
hydatid cyst extending in to the maxillary sinus, orbita, sphenoid sinus and
skull base.
PMID- 22075138
TI - Two cases of peritonsillar abscess complicated by von Willebrand disease.
AB - Von Willebrand disease (vWD) is a common hereditary bleeding disorder resulting
from a quantitative and/or qualitative deficiency of von Willebrand factor (vWF).
We report two cases of peritonsillar abscess complicated by vWD. A 46-year-old
Japanese man was intravenously administered factor VIII clotting antigen (500U*3
days)and platelet transfusion (10U), when before puncture was performed. After
puncture, his symptoms promptly improved with the administration of the
antibiotic doripenem (DRPM, 1.5g/day). He left our facility one week later and
had no recurrence of symptoms. A 24-year-old Japanese woman was intravenously
administered factor VIII clotting antigen (4500U*3 days) and desmopressin (DDAVP)
before undergoing a puncture. Her symptoms promptly improved with DRPM treatment
(1.5g/day). The patient left our facility one week later. However, the
peritonsillar abscess recurred in three weeks. Afterwards, tonsillectomy was
enforced three months later. Intravenous factor VIII clotting antigen (4500U*2
days) and platelet transfusion (10U*1 day) had been used before tonsillectomy. We
therefore suggest that a peritonsillar abscess in patients with vWD can be safely
treated by factor VIII clotting antigen and DDAVP at the appropriate disease
stage and by performing paracentesis for the acute phase or tonsillectomy for the
chronic phase.
PMID- 22075140
TI - Multifocal papillary thyroid carcinoma associated with primary amyloid goiter.
AB - Amyloid goiter is a rare condition characterized by a diffuse enlargement of the
thyroid gland due to amyloid deposition. Extremely uncommon is the development of
differentiated carcinomas within this type of lesion. We describe to our
knowledge the sixth case of a papillary thyroid carcinoma within an amyloid
goiter, arising in a 45-year old woman with chronic renal failure due to primary
amyloidosis. This is the first case of a multifocal papillary carcinoma within an
amyloid goiter which produces compressive symptoms. The patient suffered a total
thyroidectomy with the histological diagnosis of amyloid goiter with a multifocal
papillary carcinoma of follicular variant. The Congo red stain confirmed the
diagnosis of amyloid goiter. Two years and a half after the surgery the patient
is free of recurrence. Amyloid goiter can hide a differentiated carcinoma. To
diagnose and early treat this pathology, the knowledge of the possible
association between an amyloid goiter and a differentiated carcinoma is needed.
PMID- 22075141
TI - Meiotic pairing as a polo match.
AB - In C. elegans, meiotic chromosome pairing is initiated by association of
chromosomal sites known as pairing centers (PCs) with the nuclear periphery. The
Dernburg and Zetka laboratories have shown that recruitment of Polo kinases to
PCs at the nuclear envelope is essential to promote PC complex aggregation,
pairing, and synapsis.
PMID- 22075142
TI - Speed reading for genes: bookmarks set the pace.
AB - During mitosis, most transcription ceases. Mitotic gene bookmarking marks genes
for reactivation to ensure reestablishment of transcription states and cell-cycle
progression. In a recent issue of Nature Cell Biology, Zhao et al. (2011)
investigate how gene bookmarking leads to accelerated kinetics of transcriptional
reactivation after mitosis.
PMID- 22075143
TI - Autophagic factors cut to the bone.
AB - Autophagy is an intracellular membrane-trafficking pathway for the delivery of
proteins and organelles to lysosomes for degradation and recycling. DeSelm and
coworkers (2011) now describe an essential role for autophagic proteins in the
trafficking and fusion of lysosomes at the site of bone resorption: the
osteoclast ruffled border.
PMID- 22075144
TI - Phosphatidylinositol synthase and diacylglycerol platforms bust a move.
AB - Kim et al. (2011) challenge the dogma that phosphatidylinositol synthesis is
restricted to the endoplasmic reticulum (ER) by showing that a mobile membrane
compartment transports phosphatidylinositol synthase from the ER to numerous
cellular compartments, including the plasma membrane. These findings
significantly impact our view of phosphoinositide signaling in the cell.
PMID- 22075145
TI - A highly dynamic ER-derived phosphatidylinositol-synthesizing organelle supplies
phosphoinositides to cellular membranes.
AB - Polyphosphoinositides are lipid signaling molecules generated from
phosphatidylinositol (PtdIns) with critical roles in vesicular trafficking and
signaling. It is poorly understood where PtdIns is located within cells and how
it moves around between membranes. Here we identify a hitherto-unrecognized
highly mobile membrane compartment as the site of PtdIns synthesis and a likely
source of PtdIns of all membranes. We show that the PtdIns-synthesizing enzyme
PIS associates with a rapidly moving compartment of ER origin that makes ample
contacts with other membranes. In contrast, CDP-diacylglycerol synthases that
provide PIS with its substrate reside in the tubular ER. Expression of a PtdIns
specific bacterial PLC generates diacylglycerol also in rapidly moving
cytoplasmic objects. We propose a model in which PtdIns is synthesized in a
highly mobile lipid distribution platform and is delivered to other membranes
during multiple contacts by yet-to-be-defined lipid transfer mechanisms.
PMID- 22075146
TI - Dual role of BKI1 and 14-3-3 s in brassinosteroid signaling to link receptor with
transcription factors.
AB - The plasma membrane-localized plant steroid hormone receptor, BRASSINOSTEROID
INSENSITIVE 1 (BRI1), is quiescent in the absence of steroids, largely due to a
negative regulator, BRI1 KINASE INHIBITOR 1 (BKI1). Here, we report that the
steroid-induced, plasma membrane-dissociated and phosphorylated BKI1 also plays
positive roles in BR signaling by interacting with a subset of 14-3-3 proteins.
The cytosolic fraction of BKI1 carboxyl terminal region enhances BR signaling.
Mutations of two serine residues in this region lead to reduced phosphorylation
by the BRI1 kinase and constitutive plasma membrane localization. The 14-3-3
proteins can interact with the phosphorylated BKI1 through a motif that contains
the two phosphorylation sites to release inhibition of BRI1 by BKI1. Meanwhile,
the cytosolic BKI1 antagonizes the 14-3-3 s and enhances accumulation of BRI1 EMS
SUPPRESSOR 1 (BES1)/BRASSINAZOLE RESISTANT 1 (BZR1) in the nucleus to regulate BR
responses.
PMID- 22075148
TI - The sterile 20-like kinase Tao-1 controls tissue growth by regulating the
Salvador-Warts-Hippo pathway.
AB - The Salvador-Warts-Hippo (SWH) pathway is a complex signaling network that
controls both developmental and regenerative tissue growth. Using a genetic
screen in Drosophila melanogaster, we identified the sterile 20-like kinase, Tao
1, as an SWH pathway member. Tao-1 controls various biological phenomena,
including microtubule dynamics, animal behavior, and brain development. Here we
describe a role for Tao-1 as a regulator of epithelial tissue growth that
modulates activity of the core SWH pathway kinase cassette. Tao-1 functions
together with Hippo to activate Warts-mediated repression of Yorkie. Tao-1's
ability to control SWH pathway activity is evolutionarily conserved because human
TAO1 can suppress activity of the Yorkie ortholog, YAP. Human TAO1 controls SWH
pathway activity by phosphorylating, and activating, the Hippo ortholog, MST2.
Given that SWH pathway activity is subverted in many human cancers, our findings
identify human TAO kinases as potential tumor suppressor genes.
PMID- 22075147
TI - Tao-1 phosphorylates Hippo/MST kinases to regulate the Hippo-Salvador-Warts tumor
suppressor pathway.
AB - Recent studies have shown that the Hippo-Salvador-Warts (HSW) pathway restrains
tissue growth by phosphorylating and inactivating the oncoprotein Yorkie. How
growth-suppressive signals are transduced upstream of Hippo remains unclear. We
show that the Sterile 20 family kinase, Tao-1, directly phosphorylates T195 in
the Hippo activation loop and that, like other HSW pathway genes, Tao-1 functions
to restrict cell proliferation in developing imaginal epithelia. This
relationship appears to be evolutionarily conserved, because mammalian Tao-1
similarly affects MST kinases. In S2 cells, Tao-1 mediates the effects of the
upstream HSW components Merlin and Expanded, consistent with the idea that Tao-1
functions in tissues to regulate Hippo phosphorylation. These results demonstrate
that one family of Ste20 kinases can activate another and identify Tao-1 as a
component of the regulatory network controlling HSW pathway signaling, and
therefore tissue growth, during development.
PMID- 22075149
TI - Anterior visceral endoderm directs ventral morphogenesis and placement of head
and heart via BMP2 expression.
AB - In amniotes, ventral folding morphogenesis achieves gut internalization, linear
heart tube formation, ventral body wall closure, and encasement of the fetus in
extraembryonic membranes. Impairment of ventral morphogenesis results in human
birth defects involving body wall, gut, and heart malformations and in mouse
misplacement of head and heart. Absence of knowledge about genetic pathways and
cell populations directing ventral folding in mammals has precluded systematic
study of cellular mechanisms driving this vital morphogenetic process. We report
tissue-specific mouse mutant analyses identifying the bone morphogenetic protein
(BMP) pathway as a key regulator of ventral morphogenesis. BMP2 expressed in
anterior visceral endoderm (AVE) signals to epiblast derivatives during
gastrulation to orchestrate initial stages of ventral morphogenesis, including
foregut development and positioning of head and heart. These findings identify
unanticipated functions for the AVE in organizing the gastrulating embryo and
indicate that visceral endoderm-expressed BMP2 coordinates morphogenetic cell
behaviors in multiple epiblast lineages.
PMID- 22075150
TI - Kinetochore-dependent microtubule rescue ensures their efficient and sustained
interactions in early mitosis.
AB - How kinetochores regulate microtubule dynamics to ensure proper kinetochore
microtubule interactions is unknown. Here, we studied this during early mitosis
in Saccharomyces cerevisiae. When a microtubule shrinks and its plus end reaches
a kinetochore bound to its lateral surface, the microtubule end attempts to
tether the kinetochore. This process often fails and, responding to this failure,
microtubule rescue (conversion from shrinkage to growth) occurs, preventing
kinetochore detachment from the microtubule end. This rescue is promoted by Stu2
transfer (ortholog of vertebrate XMAP215/ch-TOG) from the kinetochore to the
microtubule end. Meanwhile, microtubule rescue distal to the kinetochore is also
promoted by Stu2, which is transported by a kinesin-8 motor Kip3 along the
microtubule from the kinetochore. Microtubule extension following rescue
facilitates interaction with other widely scattered kinetochores, diminishing
long delays in collecting the complete set of kinetochores by microtubules. Thus,
kinetochore-dependent microtubule rescue ensures efficient and sustained
kinetochore-microtubule interactions in early mitosis.
PMID- 22075153
TI - Comments regarding 'Infrainguinal bypass for peripheral arterial occlusive
disease: when arms save legs'.
PMID- 22075154
TI - Circulating lipoprotein-associated phospholipase A2 in high-grade carotid
stenosis: a new biomarker for predicting unstable plaque.
AB - OBJECTIVE: To test plasma levels of lipoprotein-associated phospholipase A2 (Lp
PLA2) in patients with high-grade carotid stenosis according to plaque histology.
METHODS: This cross-sectional single-centre study included patients with >=70%
North American Symptomatic Carotid Endarterectomy Trial (NASCET) carotid
stenosis, who were treated surgically. Serum Lp-PLA2 and high-sensitivity C
reactive protein (hs-CRP) were determined on the day of surgery.
Histopathological analysis classified carotid plaque as stable or unstable,
according to AHA classification. RESULTS: Of the 42 patients (mean age 70.4 +/-
10.5 years; 67% men), neurological symptoms were present in 16 (38%). Unstable
plaques were found in 23 (55%). Median plasma level of Lp-PLA2 was significantly
higher in patients with unstable plaque compared to those with stable plaque
(222.4 (174.9-437.5) interquartile range (IQR) 63.5 vs. 211.1 (174.9-270.6) IQR
37.2 ng ml(-1); p = 0.02). Moreover, median Lp-PLA2 level were higher in
asymptomatic patients with unstable plaque (226.8 ng ml(-1) (174.9-437.5) IQR
76.8) vs. stable plaque (206.9 ng ml(-1) (174.9-270.6) IQR 33.7; p = 0.16).
Logistic regression showed that only the neurological symptoms (OR = 30.9 (3.7
244.6); p < 0.001) and the plasma Lp-PLA2 level (OR = 1.7 (1.1-12.3); p = 0.03)
were independently associated with unstable carotid plaque as defined by
histology. CONCLUSIONS: This study showed that circulating Lp-PLA2 was increased
in patients with high-grade carotid stenosis and unstable plaque. Lp-PLA2 may be
a relevant biomarker to guide for invasive therapy in asymptomatic patients with
carotid artery disease.
PMID- 22075155
TI - Anti-adhesive functions of CD43 expressed on colon carcinoma cells through the
modulation of integrins.
AB - CD43 has conflicting roles in both pro- and anti-adhesive function in cell-to
cell adhesion in hematopoietic cells. We examined the role of CD43 glycoprotein
in a colorectal carcinoma cell line. We expressed human CD43 antigen on HT-29
cells, a colon adenocarcinoma cell line, and compared the adhesion to the
extracellular matrix with that of mock-transduced cells in vitro. CD43 expression
inhibited the adhesion to extracellular matrix, such as collagen type IV and
laminin. As the expression of beta1 integrin was downregulated in CD43-expressing
HT-29 cells, the anti-adhesive effect of CD43 might be implicated in its
expression. Our findings suggest that the anti-adhesive function of CD43 in colon
carcinoma cells plays a role in the tumorigenesis and metastasis of colorectal
carcinoma cells.
PMID- 22075156
TI - Active biomonitoring in Greek coastal waters: application of the integrated
biomarker response index in relation to contaminant levels in caged mussels.
AB - An integrated approach using biomarkers and contaminant levels in mussels Mytilus
galloprovincialis L. was employed to assess chemical contamination in Greek
coastal waters within the framework of the MYTIMED program. Biomarkers
(metallothioneins, glutathione S-transferase, catalase, acetylcholinesterase and
RNA:DNA ratio) have been previously described in mussels caged at 14 sites in
coastal areas influenced by different types of anthropogenic activities. This
study applied a biomarker index, the Integrated Biomarker Response (IBR) to
summarize biomarker responses and relate stress levels to concentrations of
organochlorine compounds (PCBs, DDTs), polycyclic aromatic hydrocarbons (PAHs),
and metals (Cu, Ni, Fe, Zn) measured in the mussel tissues. The IBR index
indicated environmental stress at sites near cities and industries and was
overall related to organic contaminants, but also elevated metal concentrations
at certain sites. Slightly increased IBR values at few sites away from known
pollution sources were not accompanied with increased contaminant levels
suggesting stress possibly caused by natural factors. Results confirmed the
usefulness of integration of biological effects measurements and chemical
analysis for the assessment of chemical contamination in coastal waters.
PMID- 22075157
TI - A short-term study on the interaction of bacteria, fungi and endosulfan in soil
microcosm.
AB - Endosulfan is one of the few organic chlorine insecticides still in use today in
many developing countries. It has medium toxicity for fish and aquatic
invertebrates. In this study, we added different concentrations of endosulfan to
a series of soil samples collected from Baihua Park in Jinan, Shandong Province,
China. Interactions of exogenous endosulfan, bacteria and fungi were analyzed by
monitoring the changes in microbe-specific phospholipid fatty acids (PLFA),
residual endosulfan and its metabolites which include; endosulfan sulfate,
endosulfan lactone and endosulfan diol during a 9 days incubation period. Our
results showed that endosulfan reduced fungi biomass by 47% on average after 9
days, while bacteria biomass increased 76% on average. In addition, we found that
endosulfan degraded 8.62% in natural soil (NE), 5.51% in strepolin soil (SSE) and
2.47% in sterile soil (SE). Further analysis of the endosulfan metabolites in NE
and SSE, revealed that the amount of endosulfan sulfate (ES) significantly
increased and that of endosulfan lactone (EL) slightly decreased in both samples
after 9 days. However, that of endosulfan diol (ED) increased in NE and decreased
in SSE. After collective analysis our data demonstrated that fungi and bacteria
responded differently to exogeous endosulfan, in a way that could promote the
formation of endosulfan diol during endosulfan degradation.
PMID- 22075158
TI - Raman spectroscopy: feasibility of in vivo survey of stratum corneum lipids,
effect of natural aging.
AB - The main function of the stratum corneum (SC) is for protection against external
aggression. This is described as the barrier function. It mainly depends on the
presence of a lipid matrix composed of ceramides, free fatty acids, cholesterol
and its derivatives in the intercellular spaces. Previous studies have reported
the application of Raman spectroscopy to reveal the organization of SC lipids and
the state of their barrier functions. Several spectral features are directly
informative about the lateral packing and the conformational order. In this work,
in vivo Raman spectroscopy is used to asses the state of the SC lipid content and
thus its barrier function, directly on the skin. To study the effect of natural
aging on the organization of these lipids, spectra were collected from the
internal side of the forearms of twenty volunteers aged from 22 to 64.
Multivariate data processing enabled separation of the in vivo spectra according
to the volunteers' ages. Spectral signatures show small variations, indicating a
slight change in the lateral packing of SC lipids with aging of the skin.
PMID- 22075159
TI - Overcoming erlotinib resistance in EGFR mutation-positive non-small cell lung
cancer cells by targeting survivin.
AB - Loss of PTEN was recently shown to contribute to resistance to epidermal growth
factor receptor (EGFR) tyrosine kinase inhibitors (TKI) in EGFR mutation-positive
non-small cell lung cancer (NSCLC) through activation of the protein kinase AKT.
We previously showed that downregulation of the expression of the antiapoptotic
protein survivin by EGFR-TKIs contributes to EGFR-TKI-induced apoptosis in EGFR
mutation-positive NSCLC cells. We have now investigated the role of survivin
expression in EGFR-TKI resistance induced by PTEN loss. The EGFR-TKI erlotinib
did not affect survivin expression or induce apoptosis in EGFR mutation-positive
NSCLC cells with PTEN loss. Downregulation of survivin either by transfection
with a specific short interfering RNA or by exposure to the small-molecule
survivin suppressor YM155 reversed erlotinib resistance in such cells in vitro.
Furthermore, combination therapy with YM155 and erlotinib inhibited the growth of
tumors formed by EGFR mutation-positive, PTEN-deficient NSCLC cells in nude mice
to a greater extent than did treatment with either drug alone. These results thus
indicate that persistent activation of signaling by the AKT-survivin pathway
induced by PTEN loss underlies a mechanism of resistance to erlotinib-induced
apoptosis in EGFR mutation-positive NSCLC. They further suggest that the
targeting of survivin has the potential to overcome EGFR-TKI resistance in EGFR
mutation-positive NSCLC.
PMID- 22075160
TI - A monoclonal antibody against human Notch1 ligand-binding domain depletes
subpopulation of putative breast cancer stem-like cells.
AB - Overexpression of Notch receptors and ligands has been associated with various
cancers and developmental disorders, making Notch a potential therapeutic target.
Here, we report characterization of Notch1 monoclonal antibodies (mAb) with
therapeutic potential. The mAbs generated against epidermal growth factor (EGF)
repeats 11 to 15 inhibited binding of Jagged1 and Delta-like4 and consequently,
signaling in a dose-dependent manner, the antibodies against EGF repeats 11 to 12
being more effective than those against repeats 13 to 15. These data emphasize
the role of EGF repeats 11 to 12 in ligand binding. One of the mAbs, 602.101,
which specifically recognizes Notch1, inhibited ligand-dependent expression of
downstream target genes of Notch such as HES-1, HES-5, and HEY-L in the breast
cancer cell line MDA-MB-231. The mAb also decreased cell proliferation and
induced apoptotic cell death. Furthermore, exposure to this antibody reduced
CD44(Hi)/CD24(Low) subpopulation in MDA-MB-231 cells, suggesting a decrease in
the cancer stem-like cell subpopulation. This was confirmed by showing that
exposure to the antibody decreased the primary, secondary, and tertiary
mammosphere formation efficiency of the cells. Interestingly, effect of the
antibody on the putative stem-like cells appeared to be irreversible, because the
mammosphere-forming efficiency could not be salvaged even after antibody removal
during the secondary sphere formation. The antibody also modulated expression of
genes associated with stemness and epithelial-mesenchymal transition. Thus,
targeting individual Notch receptors by specific mAbs is a potential therapeutic
strategy to reduce the potential breast cancer stem-like cell subpopulation.
PMID- 22075161
TI - New definition for periprosthetic joint infection.
AB - Diagnosis of periprosthetic joint infection (PJI) remains a real challenge to the
orthopedic community. Currently, there is no single standard definition for PJI.
This communication presents the diagnostic criteria that have been proposed by a
workgroup convened by the Musculoskeletal Infection Society. The diagnostic
criteria were developed after the evaluation of available evidence. The role of
every diagnostic test was examined, and the literature was reviewed in detail to
determine the threshold for each test. It is hoped that the proposed definition
for PJI will be adopted universally, bringing standardization into a field that
has suffered extensive variability and heterogeneity.
PMID- 22075162
TI - Does a high-flexion design affect early outcome of medial unicondylar knee
arthroplasty? Clinical comparison at 2 years.
AB - Recently, implant companies have sought to target a more active segment of the
population with high-flex implants. Our aim was to compare a successful medial
UKA implant with its newer high-flex version. Sixty-one patients (nonflex, 33;
high-flex [HF], 28) were prospectively followed after medial UKA with a minimum
of 2-year follow-up. Patients were evaluated using Short Form 12, Western Ontario
and McMaster Osteoarthritis (WOMAC), Knee Society Scores, and range of motion
(ROM). The HF group exhibited significantly higher WOMAC Physical Function scores
at 3-month follow-up and higher WOMAC Pain and SF-12 Mental Component scores at 2
year follow-up; all other comparisons were not statistically different, including
ROM. The HF cohort had significantly higher improvements in Knee Society Function
and Knee score at 1- and 2-year follow-up, respectively; all other comparisons
yielded no significant differences in mean improvement from baseline, including
ROM or survivorship.
PMID- 22075163
TI - Challenges in providing family-centered support to families in palliative care.
AB - BACKGROUND: Supporting the family-as-a-whole presents challenges in palliative
care, although family meetings are increasingly used in routine practice. The
Family Focused Grief Therapy (FFGT) Model guides clinicians in using a range of
intervention strategies. AIM: To examine the therapists' techniques used in
assessing 'at risk' families in palliative care to better illuminate what helps
and what remains challenging. METHOD: Recorded sessions 1 and 2 were coded using
the FFGT fidelity coding measure, with its glossary of definitions. Inter-rater
reliability between three coders was satisfactory at 88%. Frequencies of strategy
utilization were computed, with extraction of examples of both successful and
problematic approaches. SETTING/PARTICIPANTS: From within a larger study of
family therapy during palliative care at a comprehensive cancer center, the first
two sessions (n = 144) delivered to 74 families (299 individuals) by 32
therapists were coded and analyzed. RESULTS: Therapists readily explored the
story of illness and families' ways of coping (97%) and assessed communication
and cohesiveness in the majority. Exploration of relational patterns occurred in
89% of sessions, use of a genogram in 80%, understanding members' roles in 65%
and family values and beliefs in 62%. Less use was made of summaries (39%),
family mottos (34%), exploration of family conflict (35%) and the formalization
of a comprehensive family treatment plan (20%). CONCLUSIONS: Challenges exist in
therapy with difficult families. Therapy in the home brings special issues.
Therapists can apply most of the interventions prescribed by the FFGT model.
PMID- 22075164
TI - Qualitative evaluation of a problem-solving intervention for informal hospice
caregivers.
AB - BACKGROUND: Informal hospice caregivers may experience compromised well-being as
a result of significant stress. Although quite limited, problem-solving
interventions with this population have garnered empirical support for improved
caregiver well-being. AIM: Researchers sought to answer the following question:
which specific intervention processes impacted informal hospice caregivers who
participated in a problem-solving intervention? DESIGN: Researchers conducted a
thematic analysis of open-ended exit interviews with informal hospice caregivers
who had participated in a structured problem-solving intervention.
SETTING/PARTICIPANTS: Participants were friends and family members who provided
unpaid care for a home hospice patient receiving services from one of two hospice
agencies located in the Pacific Northwest region of the United States. RESULTS:
During their participation in the problem-solving intervention, caregivers
actively reflected on caregiving, structured problem-solving efforts, partnered
with interventionists, resolved problems, and gained confidence and control.
CONCLUSIONS: The study findings provide much needed depth to the field's
understanding of problem-solving interventions for informal hospice caregivers
and can be used to enhance existing support services.
PMID- 22075165
TI - Nociceptive stimuli enhance anesthetic-induced neuroapoptosis in the rat
developing brain.
AB - Anesthetic-induced neurodegeneration in the developing brain has been well
documented. However, the experiments carried out so far do not include surgical
conditions. This proof of concept study was designed to investigate the impact of
nociceptive stimuli on anesthetic induced neuroapoptosis in the rat developing
brain. Separate cohorts of 7-day-old Sprague-Dawley rat pups were randomly
assigned to six groups: Naive (room air); Anesthesia alone (70% nitrous oxide and
0.75% isoflurane for 6 h); Formalin injection alone (subcutaneous injection with
10 MUL 5% formalin into the left hind paw); Anesthesia+formalin injection;
Surgical incision (to the left hind paw) alone; Anesthesia+surgical incision.
Apoptosis (Caspase-3) and neuronal activation (c-Fos) in the brain and spinal
cord section, and cortical TNF-alpha and IL-1beta were measured with in situ
immunostaining and western blot respectively. Cognition was tested using Trace
Fear conditioning 40 days after the insult. Prolonged anesthesia caused
widespread apoptosis in the central nervous system compared to naive animals.
Nociceptive stimulation with formalin (F) or surgical incision (S) increased the
injury in the brain cortex (F: 60% or S: 40% increase) and spinal cord (F: 80%
vs. S: 50% increase) respectively. Both nociceptive stimuli further augmented
cognitive impairment induced by the anesthetics when assessed 40 days later. The
activated pain pathway and the increased expression of the pro-inflammatory
cytokine, IL-1beta, in the cortex may be responsible for the enhanced
neuroapoptosis. Nociceptive stimulation and prolonged anesthesia produced
significantly more apoptosis than prolonged anesthesia alone when administered to
neonates during the synaptogenic period.
PMID- 22075166
TI - The influence of the fungal pathogen Mycocentrospora acerina on the proteome and
polyacetylenes and 6-methoxymellein in organic and conventionally cultivated
carrots (Daucus carota) during post harvest storage.
AB - Many carrots are discarded during post harvest cold storage due to development of
fungal infections, caused by, e.g., Mycocentrospora acerina (liquorice rot). We
compared the susceptibility of carrots grown under conventional and organic
agricultural practices. In one year, organically cultivated carrots showed 3* to
7* more symptoms than conventionally cultivated, when studying naturally
occurring disease at 4 and 6 months, respectively. On the other hand, we have
developed a bioassay for infection studies of M. acerina on carrots and observed
that organic roots were more susceptible after one month of storage than
conventional ones, but no differences were apparent after four or six months
storage. Levels of polyacetylenes (falcarinol, falcarindiol and falcarindiol-3
acetate) did not change, whereas the isocoumarin phytoalexin (6-methoxymellein)
accumulated in infected tissue as well as in healthy tissue opposite the
infection. The proteomes of carrot and M. acerina were characterized, the
intensity of 33 plant protein spots was significantly changed in infected roots
including up regulation of defence and stress response proteins but also a
decrease of proteins involved in energy metabolism. This combined metabolic and
proteomic study indicates that roots respond to fungal infection through altered
metabolism: simultaneous induction of 6-methoxymellein and synthesis of defence
related proteins.
PMID- 22075167
TI - QUICK identification and SPR validation of signal transducers and activators of
transcription 3 (Stat3) interacting proteins.
AB - Signal transducers and activators of transcription 3 (Stat3) has been reported to
be involved in the pathogenesis of various human diseases and is constitutively
active in human multiple myeloma (MM) U266 cells. The Stat3-regulated mechanisms
involved in these processes, however, are not fully defined. To further
understand the regulation of Stat3 activity, we performed a systematic proteomic
analysis of Stat3 interacting proteins in U266 cells. This analysis, termed
quantitative immunoprecipitation combined with knockdown (QUICK), combines RNAi,
stable isotope labeling with amino acids in cell culture (SILAC),
immunoprecipitation, and quantitative MS. As a result, quantitative mass
spectrometry analysis allowed us to distinguish specific Stat3 interacting
proteins from background proteins and led to the identification of a total of 38
proteins. Three Stat3 interacting proteins - 14-3-3zeta, PRKCB and Hsp90 - were
further confirmed by reciprocal co-immunoprecipitations and surface plasmon
resonance (SPR) analysis. Our results therefore not only uncover a number of
Stat3 interacting proteins that possess a variety of cellular functions, but also
provide new insight into the mechanisms that regulate Stat3 activity and function
in MM cells.
PMID- 22075168
TI - Fibrinogen alpha chain O-glycopeptides as possible markers of urinary tract
infection.
AB - Urinary tract infection (UTI) is the most common bacterial infection leading to
substantial morbidity and considerable health care expenditures across all ages.
Here we present an exploratory UPLC-MS study of human urine in the context of
febrile, complicated urinary tract infection aimed to reveal and identify
possible markers of a host response on infection. A UPLC-MS based workflow,
taking advantage of Ultra High Resolution (UHR) Qq-ToF-MS, and multivariate data
handling were applied to a carefully selected group of 39 subjects with culture
confirmed febrile Escherichia coli UTI. Using a combination of unsupervised and
supervised multivariate modeling we have pinpointed a number of peptides specific
for UTI. An unequivocal structural identification of these peptides, as O
glycosylated fragments of the human fibrinogen alpha 1 chain, required MS2 and
MS3 experiments on two different MS platforms: ESI-UHR-Qq-ToF and ESI-ion trap, a
blast search and, finally, confirmation was achieved by matching experimental
tandem mass spectra with those of custom synthesized candidate-peptides. In
conclusion, exploiting non-targeted UPLC-MS based approach for the investigation
of UTI related changes in urine, we have identified and structurally
characterized unique O-glycopeptides, which are, to our knowledge, the first
demonstration of O-glycosylation of human fibrinogen alpha 1-chain.
PMID- 22075169
TI - Success of HPV vaccination is now a matter of coverage.
PMID- 22075172
TI - Commentary on Palmyra atoll.
PMID- 22075173
TI - Computational toxicology using the OpenTox application programming interface and
Bioclipse.
AB - BACKGROUND: Toxicity is a complex phenomenon involving the potential adverse
effect on a range of biological functions. Predicting toxicity involves using a
combination of experimental data (endpoints) and computational methods to
generate a set of predictive models. Such models rely strongly on being able to
integrate information from many sources. The required integration of biological
and chemical information sources requires, however, a common language to express
our knowledge ontologically, and interoperating services to build reliable
predictive toxicology applications. FINDINGS: This article describes progress in
extending the integrative bio- and cheminformatics platform Bioclipse to
interoperate with OpenTox, a semantic web framework which supports open data
exchange and toxicology model building. The Bioclipse workbench environment
enables functionality from OpenTox web services and easy access to OpenTox
resources for evaluating toxicity properties of query molecules. Relevant cases
and interfaces based on ten neurotoxins are described to demonstrate the
capabilities provided to the user. The integration takes advantage of semantic
web technologies, thereby providing an open and simplifying communication
standard. Additionally, the use of ontologies ensures proper interoperation and
reliable integration of toxicity information from both experimental and
computational sources. CONCLUSIONS: A novel computational toxicity assessment
platform was generated from integration of two open science platforms related to
toxicology: Bioclipse, that combines a rich scriptable and graphical workbench
environment for integration of diverse sets of information sources, and OpenTox,
a platform for interoperable toxicology data and computational services. The
combination provides improved reliability and operability for handling large data
sets by the use of the Open Standards from the OpenTox Application Programming
Interface. This enables simultaneous access to a variety of distributed
predictive toxicology databases, and algorithm and model resources, taking
advantage of the Bioclipse workbench handling the technical layers.
PMID- 22075174
TI - [Chromosomal translocations in soft tissue sarcomas: from molecular biology to
clinical application].
AB - Recent advances in the knowledge of the molecular biology of paediatric sarcomas,
especially the characterisation of chromosomal translocations associated
specifically with particular types of cancer, have established bases for the
introduction of new diagnostic tools. This article reviews the main chromosomal
translocations associated with paediatric tumours, and summarises their molecular
characteristics regarding their oncogenic capabilities, possible usefulness as a
differential diagnostic tools and possible correlation with clinical parameters.
PMID- 22075175
TI - Designing advanced alkaline polymer electrolytes for fuel cell applications.
AB - Although the polymer electrolyte fuel cell (PEFC) is a superior power source for
electric vehicles, the high cost of this technology has served as the primary
barrier to the large-scale commercialization. Over the last decade, researchers
have pursued lower-cost next-generation materials for fuel cells, and alkaline
polymer electrolytes (APEs) have emerged as an enabling material for platinum
free fuel cells. To fulfill the requirements of fuel cell applications, the APE
must be as conductive and stable as its acidic counterpart, such as Nafion. This
benchmark has proved challenging for APEs because the conductivity of OH(-) is
intrinsically lower than that of H(+), and the stability of the cationic
functional group in APEs, typically quaternary ammonia (-NR(3)(+)), is usually
lower than that of the sulfonic functional group (-SO(3)(-)) in acidic polymer
electrolytes. To improve the ionic conductivity, APEs are often designed to be
of high ion-exchange capacity (IEC). This modification has caused unfavorable
changes in the materials: these high IEC APEs absorb excessive amounts of water,
leading to significant swelling and a decline in mechanical strength of the
membrane. Cross-linking the polymer chains does not completely solve the problem
because stable ionomer solutions would not be available for PEFC assembly. In
this Account, we report our recent progress in the development of advanced APEs,
which are highly resistant to swelling and show conductivities comparable with
Nafion at typical temperatures for fuel-cell operation. We have proposed two
strategies for improving the performance of APEs: self-cross-linking and self
aggregating designs. The self-cross-linking design builds on conventional cross
linking methods and works for APEs with high IEC. The self-aggregating design
improves the effective mobility of OH(-) and boosts the ionic conductivity of
APEs with low IEC. For APEs with high IEC, cross-linking is necessary to
restrict the swelling of the membrane. In our self-cross-linking design, a short
range cross-linker, tertiary amino groups, is grafted onto the quaternary ammonia
polysulfone (QAPS) so that the cross-linking process can only occur during
membrane casting. Thus, we obtain both the stable ionomer solution and the cross
linked membrane. The self-cross-linked QAPS (xQAPS) possesses a tight-binding
structure and is highly resistant to swelling: even at 80 degrees C, the
membrane swells by less than 3%. For APEs with low IEC, the key is to design
efficient OH(-) conducting channels. In our self-aggregating design, long alkyl
side-chains are attached to the QAPS. Based on both the transmission electron
microscopy (TEM) observations and the molecular dynamics (MD) simulations, these
added hydrophobic groups effectively drive the microscopic phase separation of
the hydrophilic and hydrophobic domains and produce enlarged and aggregated ionic
channels. The ionic conductivity of the self-aggregated QAPS (aQAPS) is three
fold higher than that of the conventional QAPS and is comparable to that of
Nafion at elevated temperatures (e.g., greater than 0.1 S/cm at 80 degrees C).
PMID- 22075170
TI - Cross-protective efficacy of HPV-16/18 AS04-adjuvanted vaccine against cervical
infection and precancer caused by non-vaccine oncogenic HPV types: 4-year end-of
study analysis of the randomised, double-blind PATRICIA trial.
AB - BACKGROUND: We evaluated the efficacy of the human papillomavirus HPV-16/18 AS04
adjuvanted vaccine against non-vaccine oncogenic HPV types in the end-of-study
analysis after 4 years of follow-up in PATRICIA (PApilloma TRIal against Cancer
In young Adults). METHODS: Healthy women aged 15-25 years with no more than six
lifetime sexual partners were included in PATRICIA irrespective of their baseline
HPV DNA status, HPV-16 or HPV-18 serostatus, or cytology. Women were randomly
assigned (1:1) to HPV-16/18 vaccine or a control hepatitis A vaccine, via an
internet-based central randomisation system using a minimisation algorithm to
account for age ranges and study sites. The study was double-blind. The primary
endpoint of PATRICIA has been reported previously; the present analysis evaluates
cross-protective vaccine efficacy against non-vaccine oncogenic HPV types in the
end-of-study analysis. Analyses were done for three cohorts: the according-to
protocol cohort for efficacy (ATP-E; vaccine n=8067, control n=8047), total
vaccinated HPV-naive cohort (TVC-naive; no evidence of infection with 14
oncogenic HPV types at baseline, approximating young adolescents before sexual
debut; vaccine n=5824, control n=5820), and the total vaccinated cohort (TVC; all
women who received at least one vaccine dose, approximating catch-up populations
that include sexually active women; vaccine n=9319, control=9325). Vaccine
efficacy was evaluated against 6-month persistent infection, cervical
intraepithelial neoplasia grade 2 or greater (CIN2+) associated with 12 non
vaccine HPV types (individually or as composite endpoints), and CIN3+ associated
with the composite of 12 non-vaccine HPV types. This study is registered with
ClinicalTrials.gov, number NCT00122681. FINDINGS: Consistent vaccine efficacy
against persistent infection and CIN2+ (with or without HPV-16/18 co-infection)
was seen across cohorts for HPV-33, HPV-31, HPV-45, and HPV-51. In the most
conservative analysis of vaccine efficacy against CIN2+, where all cases co
infected with HPV-16/18 were removed, vaccine efficacy was noted for HPV-33 in
all cohorts, and for HPV-31 in the ATP-E and TVC-naive. Vaccine efficacy against
CIN2+ associated with the composite of 12 non-vaccine HPV types (31, 33, 35, 39,
45, 51, 52, 56, 58, 59, 66, and 68), with or without HPV-16/18 co-infection, was
46.8% (95% CI 30.7-59.4) in the ATP-E, 56.2% (37.2-69.9) in the TVC-naive, and
34.2% (20.4-45.8) in the TVC. Corresponding values for CIN3+ were 73.8% (48.3
87.9), 91.4% (65.0-99.0), and 47.5% (22.8-64.8). INTERPRETATION: Data from the
end-of-study analysis of PATRICIA show cross-protective efficacy of the HPV-16/18
vaccine against four oncogenic non-vaccine HPV types-HPV-33, HPV-31, HPV-45, and
HPV-51-in different trial cohorts representing diverse groups of women. FUNDING:
GlaxoSmithKline Biologicals.
PMID- 22075171
TI - Overall efficacy of HPV-16/18 AS04-adjuvanted vaccine against grade 3 or greater
cervical intraepithelial neoplasia: 4-year end-of-study analysis of the
randomised, double-blind PATRICIA trial.
AB - BACKGROUND: Cervical intraepithelial neoplasia grade 2 or greater (CIN2+) is the
surrogate endpoint used in licensure trials of human papillomavirus (HPV)
vaccines. Vaccine efficacy against CIN3+, the immediate precursor to invasive
cervical cancer, is more difficult to measure because of its lower incidence, but
provides the most stringent evidence of potential cancer prevention. We report
vaccine efficacy against CIN3+ and adenocarcinoma in situ (AIS) in the end-of
study analysis of PATRICIA (PApilloma TRIal against Cancer In young Adults).
METHODS: Healthy women aged 15-25 years with no more than six lifetime sexual
partners were included in PATRICIA, irrespective of their baseline HPV DNA
status, HPV-16 or HPV-18 serostatus, or cytology. Women were randomly assigned
(1:1) to receive an HPV-16/18 AS04-adjuvanted vaccine or a control hepatitis A
vaccine via an internet-based central randomisation system using a minimisation
algorithm to account for age ranges and study sites. The patients and study
investigators were masked to allocated vaccine. The primary endpoint of PATRICIA
has been reported previously. In the present end-of-study analysis, we focus on
CIN3+ and AIS in the populations of most clinical interest, the total vaccinated
cohort (TVC) and the TVC-naive. The TVC comprised all women who received at least
one vaccine dose, approximating catch-up populations and including sexually
active women (vaccine n=9319; control=9325). The TVC-naive comprised women with
no evidence of oncogenic HPV infection at baseline, approximating early
adolescent HPV exposure (vaccine n=5824; control=5820). This study is registered
with ClinicalTrials.gov, number NCT00122681. FINDINGS: Vaccine efficacy against
CIN3+ associated with HPV-16/18 was 100% (95% CI 85.5-100) in the TVC-naive and
45.7% (22.9-62.2) in the TVC. Vaccine efficacy against all CIN3+ (irrespective of
HPV type in the lesion and including lesions with no HPV DNA detected) was 93.2%
(78.9-98.7) in the TVC-naive and 45.6% (28.8-58.7) in the TVC. In the TVC-naive,
vaccine efficacy against all CIN3+ was higher than 90% in all age groups. In the
TVC, vaccine efficacy against all CIN3+ and CIN3+ associated with HPV-16/18 was
highest in the 15-17 year age group and progressively decreased in the 18-20 year
and 21-25 year age groups. Vaccine efficacy against all AIS was 100% (31.0-100)
and 76.9% (16.0-95.8) in the TVC-naive and TVC, respectively. Serious adverse
events occurred in 835 (9.0%) and 829 (8.9%) women in the vaccine and control
groups, respectively; only ten events (0.1%) and five events (0.1%),
respectively, were considered to be related to vaccination. INTERPRETATION:
PATRICIA end-of-study results show excellent vaccine efficacy against CIN3+ and
AIS irrespective of HPV DNA in the lesion. Population-based vaccination that
incorporates the HPV-16/18 vaccine and high coverage of early adolescents might
have the potential to substantially reduce the incidence of cervical cancer.
FUNDING: GlaxoSmithKline Biologicals.
PMID- 22075177
TI - Lichenoid skin lesions.
PMID- 22075179
TI - Glutamatergic mechanisms in the dyskinesias induced by pharmacological dopamine
replacement and deep brain stimulation for the treatment of Parkinson's disease.
AB - Dyskinesias represent a major complication of dopamine replacement therapy in
Parkinson's disease (PD) and have prompted a search for alternative treatments.
The most radical advances in this field have been provided by surgical
manipulations of the deep basal ganglia nuclei, and particularly by deep brain
stimulation (DBS) of the subthalamic nucleus (STN). Although being very
effective, high-frequency stimulation (HFS) of the STN is a poorly understood
treatment. Besides its anti-akinetic activity, it can be pro-dyskinetic above a
certain stimulation intensity. Accumulating evidence indicates that dyskinesias
induced by STN-HFS and dopamine replacement therapy are linked to dysregulation
of glutamate transmission in the basal ganglia. In rat models of PD, both types
of dyskinesia are associated with increased concentrations of extracellular
glutamate and altered expression of glutamate transporters in the substantia
nigra pars reticulata and the striatum. Furthermore, a vast and ever growing
literature has revealed changes in the expression, phosphorylation state, and/or
subcellular distribution of specific subtypes of glutamate receptors in these
dyskinetic conditions. Both types of dyskinesias are linked to an increased
phosphorylation of NR2B-containing NMDA receptors in critical basal ganglia
circuits. We conclude that disruption of glutamate homeostasis and activation of
perisynaptic and extra-synaptic glutamate receptors are an important
pathophysiological component of these treatment-induced dyskinesias in PD. These
findings lay the ground for therapeutic development initiatives targeting
dysfunctional components of glutamate transmission in the basal ganglia.
PMID- 22075180
TI - Platelet-leukocyte interactions in thrombosis.
AB - Activated platelets may adhere to leukocytes and form circulating mixed
aggregates. The latter are considered a reliable marker of a prothrombotic state
and are associated with several cardiovascular conditions. The molecular
mechanisms responsible of this cellular interaction include a central role of
platelet P-selectin and of P-selectin glycoprotein ligand-1 (PSGL-1), its counter
receptor on leukocytes in a signaling cascade, resulting in the activation of the
beta-2 integrin Mac-1 and in the firm adhesion between the two cell types. The
interaction of P-selectin with PSGL-1 also induces upregulation of leukocyte
tissue factor, biosynthesis of several cytokines and other inflammatory
reactions, thereby contributing to the thrombotic progression. In this review the
main determinants of mixed aggregate formation, the heritability component, the
major pathological conditions associated with higher levels of mixed aggregates
in the circulation will be discussed. Besides current anti-platelet or
antithrombotic drugs, natural compounds, such as the polyphenols present in
vegetable foods and red wine, have been tested for their inhibitory effect on
mixed aggregate formation. The promising results shown by studies in vitro and in
experimental animal models, remain to be carefully investigated in humans.
Platelet-leukocyte aggregates provide a novel link between inflammation and
thrombosis, two central processes in atherogenesis. A better understanding of the
role of platelet-leukocyte interactions in athero-thrombosis will be instrumental
for the progress of prevention and treatment of ischaemic cardiovascular disease.
PMID- 22075181
TI - Parkinson's disease and pathological oscillatory activity: is the beta band the
bad guy? - New lessons learned from low-frequency deep brain stimulation.
AB - A number of studies have identified pathological neural oscillations in
Parkinson's disease and it is widely agreed that these excessive synchronizations
are linked to the motor symptoms of Parkinson's disease (PD). However, it is
still under debate if there exists a single frequency having a critical negative
influence on PD symptoms and what this frequency might be. To provide
experimental evidence for a causal link between beta oscillations and
bradykinesia, C. C. Chen and coauthors stimulated patients with Parkinson's
disease with different low frequencies while the patients were performing a grip
force task [C. C. Chen, W. Y. Lin, H.L. Chan, Y.T. Hsu, P.H. Tu, S.T. Lee, S.M.
Chiou, C.H. Tsai, C.S. Lu, P. Brown: Stimulation of the subthalamic region at 20
Hz slows the development of grip force in Parkinson's disease, Exp. Neurology,
2011]. In their study the authors could demonstrate a 15% slowing in the grip
force task during 20 Hz stimulation, but not during stimulation with other
frequencies. The finding of 20 Hz being the driving pathological frequency
contrasts with previous studies using e.g. finger tapping, where 5 and 10 Hz had
the largest influence. Thus, this study provides evidence that there is no single
pathological oscillation frequency that is responsible for all Parkinsonian
symptoms. Instead, the results indicate that the modulation of very specific
frequencies leads to changes in specific performance parameters evaluated in
particular tasks. In this respect, this study also provides more physiological
evidence on the involvement of the STN in dynamic force production. Taken
together, the study adds a further piece to the puzzle of understanding the
pathophysiology of Parkinson's disease and the mechanisms of deep brain
stimulation.
PMID- 22075182
TI - The systems biology of neurofibromatosis type 1--critical roles for microRNA.
AB - Neurofibromatosis type 1 (NF1) is one of the most common inherited neurological
disorders with a wide range of clinical manifestations. The causative gene for
NF1 encodes a multi-domain protein, neurofibromin, which interacts with RAS
through its RAS-GAP domain. Dysfunction of neurofibromin results in abnormal RAS
activation in the cells which has been thought to be the main process in the
initiation and progression of NF1. Based on this hypothesis, inhibitors for
various RAS mediated signaling pathways are in different stages of clinical
trials to treat NF1 or NF1-associated symptoms. While the molecular genetics of
NF1 has made significant progress in recent years, the underlying etiology and
progression of NF1 are yet to be fully understood. Besides review and
summarization of the latest results of genetic, transcriptomic and microRNA
studies associated with NF1, we conducted limited post-hoc analysis to illustrate
the importance of using integrated systems biology approach to study complex
diseases like NF1.
PMID- 22075183
TI - Letter to the editor.
PMID- 22075185
TI - Challenges of supplementary treatment for neurodegenerative diseases.
PMID- 22075184
TI - A perfusion-metabolic mismatch in Sturge-Weber syndrome: a multimodality imaging
study.
AB - OBJECTIVE: We combined perfusion weighted imaging (PWI) with 2-deoxy
2[(18)F]fluoro-D-glucose (FDG) positron emission tomography (PET) to study the
relationship between regional metabolic and perfusion abnormalities and their
clinical correlates in children with Sturge-Weber syndrome (SWS). METHODS:
Fifteen children (age: 0.9-10 years) with unilateral SWS underwent high
resolution PWI and FDG PET prospectively. Regional (lobar) asymmetry indices
(AIs) of subcortical white matter (WM) cerebral blood flow (CBF) were correlated
with corresponding cortical FDG uptake asymmetries, extent of leptomeningeal
vascular malformation and clinical seizure variables. RESULTS: Abnormal cortical
glucose metabolism and/or subcortical WM CBF were seen in all lobes affected by
vascular malformation and extended to lobes not affected by abnormal pial vessels
in 6 patients. Lower CBF was associated with lower cortical glucose metabolism in
the temporal, parietal and occipital lobes (p<=0.02). While decreased perfusion
was associated with hypometabolism in most cases, increased regional CBF (found
in 6 patients) was commonly associated with relatively mild or no hypometabolism.
Ten of 24 cerebral lobes with normal glucose metabolism in the affected
hemisphere showed abnormal perfusion. High seizure frequency was associated with
severe parieto-occipital hypoperfusion (p<=0.03), while long duration of epilepsy
was related to frontal lobe hypometabolism (p=0.015). CONCLUSIONS: Regional
perfusion and cortical metabolic abnormalities can extend beyond lobes affected
by leptomeningeal vascular malformations and are related to epilepsy in SWS.
Despite a general correlation between perfusion and metabolism, increased WM
perfusion with preserved cortical metabolism in overlying cortex is a common
pattern of a perfusion/metabolic mismatch. This may represent a disease stage
where cortical function is preserved while increased WM perfusion provides
collateral drainage of cortex via the deep vein system.
PMID- 22075186
TI - Transcriptional analysis of human papillomavirus type 16 in histological sections
of cervical dysplasia by in situ hybridisation.
AB - BACKGROUND: The HPV-16 virus is well described as a causative agent in cervical
cancer. AIMS: To individually analyse the transcription profile of the HPV-16
viral genes in patient biopsies of varying grades of cervical dysplasia by a
chromogenic in situ hybridisation technique. METHODS: 19 formalin fixed, paraffin
embedded (FFPE) biopsies of cervical dysplasia were analysed by a chromogenic in
situ hybridisation protocol using novel long single stranded digoxigenin labelled
DNA probes targeted to the individual HPV-16 gene RNAs. RESULTS: A transcription
pattern for all the HPV-16 genes that is always conserved to the upper
intermediate and superficial layers of the cervical epithelium and is independent
of the level of dysplasia is described. E1 and E6 transcripts were found to
express with a uniquely nuclear localisation; all other transcripts had both
nuclear and cytoplasmic localisation. E5 oncogene transcripts were abundant in
all cases, being equal to or greater than E7. Deep investigation of the E2 RNA
transcript showed a potential alternative transcript with a possible novel start
codon. CONCLUSIONS: This data represents new information on HPV-16 viral
transcription events that bring into question some of the current beliefs on the
mechanism of HPV-16 infection in the progression to cervical cancer. Results
support high expression of the E5 and E7 oncogenes in cervical dysplasias
infected by HPV-16 in contrast to the low levels identified for the E6 oncogene
and a possible alternative transcript for the E2 gene. The diagnostic utility of
the detection of HPV-16 RNA transcripts is becoming more apparent and a renewed
look at their in situ localisation in cervical biopsies could be beneficial.
PMID- 22075187
TI - Validity of internal expression of the major histocompatibility complex class I
in the diagnosis of inflammatory myopathies.
AB - OBJECTIVE: The inflammatory myopathies (IMs) are a group of disorders
characterised by weakness and inflammation of the skeletal muscles. Muscle biopsy
is the most crucial test to confirm the clinical diagnosis, but also the most
common cause of misdiagnosis. There are currently no markers specific or
sensitive enough to distinguish IMs from other diseases with similar clinical and
morphological features, and an international multidisciplinary effort is under
way to develop new classification criteria for IMs. METHODS: Standards for
Reporting of Diagnostic Accuracy recommendations to validate a diagnostic test
based on the quantification of internal major histocompatibility complex class I
(MHC-I) positive fibres were adopted. MHC-I immunostained specimens from 64
patients were scored by two independent blinded investigators, and the percentage
of positive fibres was determined. Agreement between investigators was evaluated
with the k-weighted statistic. The receiver operating characteristic curve, area
under the curve, sensitivity, specificity, and positive and negative predictive
values of each percentage range of positive fibres versus the diagnosis of IM
were calculated. RESULTS: The main difference between IM and non-inflammatory
samples was the number of internal MHC-I positive fibres. The k-weighted value
was 0.89 for a percentage of MHC-I positive fibres above 50%; the positive
predictive value was 100%, and the negative predictive value was 94%.
CONCLUSIONS: This is the first study on the validity of a quantitative analysis
of internal MHC-I positive fibres for an IM diagnosis performed according to
Standards for Reporting of Diagnostic Accuracy recommendations. The interobserver
agreement was almost perfect, thus making the method reproducible. Applying an
MHC-I cut-off above 50% is an optimal marker for polymyositis (PM) and
dermatomyositis (DM) diagnosis.
PMID- 22075188
TI - Pathology of primary and metastatic mucinous ovarian neoplasms.
AB - Recent years have seen a dramatic change in the pathological approach to ovarian
mucinous neoplasms. A substantial proportion of tumours previously considered to
be ovarian primaries actually represent secondary ovarian involvement by tumours
elsewhere in the body. Two major categories of tumour have completely disappeared
from the diagnostic spectrum: ovarian 'borderline' mucinous tumour associated
with pseudomyxoma peritonei, and widely disseminated mucinous carcinomas. The
emergent picture of true ovarian primary carcinoma of pure mucinous morphology is
that this is a rare malignancy that is low grade and low stage at presentation in
the vast majority of cases, with a very low likelihood of aggressive clinical
behaviour. A large volume of literature has appeared concerning the pathological
distinction of primary from metastatic ovarian mucinous neoplasms in view of the
dramatically different prognosis and treacherously similar morphology.
Clinicopathological parameters useful in the distinction of primary from
metastatic mucinous ovarian carcinomas are reviewed. Major features favouring
metastases are bilaterality, size <10 cm, surface involvement, extensive intra
abdominal spread and an extensive infiltrative pattern with desmoplasia. Two
morphological patterns essentially exclude ovarian origin: colloid and signet
ring carcinomas. Features favouring primary ovarian origin are unilaterality,
large size >12 cm, smooth external surface and association with other ovarian
pathology. An admixture of benign, borderline and malignant patterns in the same
tumour favour primary origin, but can be misleading as a 'maturation' pattern in
metastases can result in the same appearance.
PMID- 22075189
TI - [Role of angioplasty in the treatment of renal artery stenosis].
AB - Atherosclerotic renal artery stenosis is frequent and is associated with a high
incidence of morbidity and mortality, with a strong correlation with coronary
artery disease, (Kalra et al., 2005; Cheung et al., 2002; Guo et al., 2007 [1
3]). The atherosclerotic renal artery stenosis is an independent predictive
factor of death (Conlon et al., 1998 [4]). The treatment of this lesion does not
have strong evidence. A lot of studies in this area suggest the angioplasty is
superior in a big majority between surgery, and angioplasty with stent is
superior between balloon angioplasty, but some studies fail to prove the
superiority of angioplasty versus medical treatment. These studies have sadly a
lot of mistakes and nowadays we don't know what is the treatment for our patients
in a lot of cases. The angioplasty is indicated when there is a failure of
antihypertensive medications for control of blood pressure, when it is associated
with a renal insufficiency quickly progressive or when there is a lesion on each
renal artery. Other studies must be organized for prove the superiority of
angioplasty when there is a real stenosis, maybe with the use of fractional flow
reserve.
PMID- 22075190
TI - [Successes and complications of angioplasty in chronic occlusive coronary
disease].
AB - In the hands of experienced operators, the rate of success of percutaneous
coronary intervention for chronic total occlusion lesion is about 85%. Major
cardiac events rate is about 2%. A length of the occluded site more than 20mm,
severe calcifications, major tortuosity, blunt stump and a previous attempt by
anterograde approach are predictors of procedural failure, previous CABG, distal
vessel disease, occlusion duration have been involved too. Operator's experience
is one of the major success component, especially his ability to perform
retrograde approach. According to EuroCTO club recommendations, performing a
minimum number of case to maintain competency seems to be very important.
PMID- 22075191
TI - [Mortality of myocardial infarction].
AB - Coronary disease is a major cause of death and disability. From 1975 to 2000,
coronary mortality was reduced by half. Better treatments and reduction of risk
factors are the main causes. This phenomenon is observed in most developed
countries, but mortality from coronary heart disease continues to increase in
developing countries. In-hospital mortality of ST elevation myocardial infarction
(STEMI) is in the range of 7 to 10% in registries. In infarction without ST
segment elevation (NSTEMI), in-hospital mortality is around 5%. More recent
studies found a similar in-hospital mortality for STEMI and NSTEMI. Because of
patient selection and monitoring, mortality in clinical trials is much lower.
After adjustment for the extent of coronary disease, age, risk factors, history
of myocardial infarction, the excess mortality observed in women is fading. Many
clinical, biological and laboratory parameters are associated with mortality in
myocardial infarction. They refer to the immediate risk of death (ventricular
rhythm disturbances, shock...), the extent of infarction (number of leads with ST
elevation on the ECG, release of biomarkers, ejection fraction...), the presence
of heart failure, the failure of reperfusion and the patient's baseline risk
(age, renal function...). Risk scores, and more specifically the GRACE risk
score, synthesize these different markers to predict the risk of death in a given
patient. However, their use for the treatment of myocardial only concerns NSTEMI.
Only a limited number of mechanical or pharmacological interventions reduces
mortality of heart attack. The main benefits are observed with reperfusion by
thrombolysis or primary angioplasty in STEMI, aspirin, heparin, beta-blockers,
angiotensin converting enzyme inhibitors. Some medications such as bivalirudin
and fondaparinux reduce mortality by decreasing the incidence of hemorrhagic
complications. The guidelines classify interventions according to their benefit
and especially their ability to reduce mortality. Organized care systems that
improve implementation of guidelines also reduce mortality. Finally, some new
therapeutic approaches such as post-conditioning and new therapeutic classes
offer encouraging prospects for further reducing the mortality of myocardial
infarction.
PMID- 22075192
TI - Guidewire biliary cannulation does not reduce post-ERCP pancreatitis compared
with the contrast injection technique in low-risk and high-risk patients.
AB - BACKGROUND: Guidewire (GW) cannulation can reduce the risk of post-ERCP
pancreatitis (PEP) by avoiding the opacification of the main pancreatic duct.
OBJECTIVE: To compare the effects of conventional contrast ERCP and GW
cannulation of the common bile duct on the rate of PEP in low- and high-risk
patients. DESIGN: Prospective, comparative-intervention single-center study.
SETTING: Tertiary referral center. PATIENTS: Patients with biliary disease with
an intact papilla were prospectively examined by ERCP. INTERVENTIONS: Biliary
cannulation using a sphincterotome with contrast injection (ConI) or a
hydrophilic GW without contrast injection. MAIN OUTCOME MEASUREMENTS:
Pancreatitis rate in the GW group and the contrast injection (ConI) group.
RESULTS: PEP occurred in 60 of 1249 patients (4.8%), 35 of 678 (5.2%) in the GW
group and 25 of 571 (4.4%) in the ConI group (not significant). The overall rate
of PEP was significantly higher in high-risk patients (12.2%) than in low-risk
patients (3.5%) (P < .001), but was similar for the 2 techniques within each of
these 2 groups. In patients with unintended main pancreatic duct (MPD)
cannulation or opacification, the rate of PEP was not significantly different
with the GW (15.2%) and ConI (8.4%) techniques but was associated with a
significantly higher rate of pancreatitis (11.9%) than in patients in whom the
contrast medium or GW did not enter the MPD (3.5%) (P < .001). Multivariate
analysis indicated that more than 10 papillary cannulation attempts, MPD
cannulation or opacification, suspected sphincter of Oddi dysfunction, and precut
methods were significant risk factors independently associated with PEP.
LIMITATIONS: Lack of randomization. CONCLUSIONS: For selective cannulation of the
CBD, the risk of inducing PEP is similar with the ConI and GW techniques in high
risk and low-risk patients. Any manipulation of the MPD must be considered a high
risk factor for PEP, such as multiple attempts on the papilla or use of the
precut method.
PMID- 22075193
TI - A kinematic method for footstrike pattern detection in barefoot and shod runners.
AB - Footstrike patterns during running can be classified discretely into a rearfoot
strike, midfoot strike and forefoot strike by visual observation. However, the
footstrike pattern can also be classified on a continuum, ranging from 0% to 100%
(extreme rearfoot to extreme forefoot) using the strike index, a measure
requiring force plate data. When force data are not available, an alternative
method to quantify the strike pattern must be used. The purpose of this paper was
to quantify the continuum of foot strike patterns using an easily attainable
kinematic measure, and compare it to the strike index measure. Force and
kinematic data from twenty subjects were collected as they ran across an embedded
force plate. Strike index and the footstrike angle were identified for the four
running conditions of rearfoot strike, midfoot strike and forefoot strike, as
well as barefoot. The footstrike angle was calculated as the angle of the foot
with respect to the ground in the sagittal plane. Results indicated that the
footstrike angle was significantly correlated with strike index. The linear
regression model suggested that strike index can be accurately estimated, in both
barefoot and shod conditions, in the absence of force data.
PMID- 22075194
TI - Rheumatic manifestations of cancer.
AB - Taken together, the wide range of rheumatic and musculoskeletal conditions that
can appear in association with cancer emphasizes that rheumatic disease is a
major component of the spectrum of paraneoplastic manifestations. Although the
pathogenetic mechanisms by which neoplasia causes these manifestations are only
partially understood in select cases, it appears that many result from immune
mediated effects stimulated by tumor antigens of endocrine factors produced by
tumors. The broad overlap in signs and symptoms of occult malignancy and systemic
rheumatic disease, as well as the occurrence of distinct localized and systemic
musculoskeletal and rheumatic syndromes in the presence of cancer, emphasizes the
importance of considering and investigating the possibility of occult malignancy
in the evaluation of patients with these symptoms. This is particularly important
in older patients, those with atypical rheumatic disease, and those who do not
respond appropriately to conventional immunosuppressive therapy.
PMID- 22075196
TI - Rheumatic manifestations of primary and metastatic bone tumors and paraneoplastic
bone disease.
AB - Bone tumors can show a wide range of nonspecific rheumatic manifestations. The
presence of unexplained or atypical chronic bone pain, an enlarging bone mass,
neurovascular compression syndromes, or pathologic fractures should alert us to
the possibility of a bone tumor causing these symptoms. These patients must
undergo a complete physical examination; adequate imaging; and, if needed, a
biopsy to confirm their diagnosis and offer them an opportune treatment. In
addition, bone tumors and other malignancies can present remote clinical
manifestations and unusual laboratory findings (eg, HOA, hypophosphatemia,
hyperphosphaturia, and hypercalcemia) that may be the first and early
manifestation of an occult cancer. These findings should motivate a cancer
screening according to age, sex, and personal history. Cancer therapies also have
a big impact on bone health, increasing the risk of osteoporosis, osteomalacia,
and/or osteonecrosis. Rheumatologists should be aware of possible long-term
adverse events of cancer treatment to avoid future complications.
PMID- 22075195
TI - Serologic laboratory findings in malignancy.
AB - Autoantibodies are extremely promising diagnostic and prognostic biomarkers of
cancer, and have the potential to promote early diagnosis and to make a large
impact by improving patient outcome and decreasing mortality. Moreover,
autoantibodies may be useful reagents in the identification of subjects at risk
for cancer, bearing premalignant tissue changes. Great efforts are being made in
many laboratories to validate diagnostic panels of autoantibodies with high
sensitivity and specificity that could be useful in a clinical setting. It is
likely that prospective studies of sufficiently large cohorts of patients and
controls using high-throughput technology may allow the identification of
biomarkers with diagnostic significance, and perhaps of discrete antigen
phenotypes with clinical significance. The identification of TAAs may also be
essential for the development of anticancer vaccines, because autoantibodies
found in cancer sera target molecules involved in signal transduction, cell-cycle
regulation, cell proliferation, and apoptosis, playing important roles in
carcinogenesis. On this basis, molecular studies of antigenantibody systems in
cancer promise to yield valuable information on the carcinogenic process. TAAs
identified by serum antibodies in cancer sera can be natural immunogenic
molecules, useful as targets for cancer immunotherapy. An important problem
encountered in the practice of medicine is the identification of healthy
individuals in the general population who unknowingly are at high risk of
developing cancer. For the rheumatologist, a related problem is the
identification of those patients with rheumatic diseases who are at high risk for
developing a malignant process. These problems encountered in the fields of
cancer and the rheumatic diseases can in the future be helped by new diagnostic
instruments based on antibodies. The need for promoting the early diagnosis of
cancer is a recognized major public health problem in need of significant
research support for the validation of multiple promising but inconclusive
studies, with the intention of producing diagnostic panels of autoantibodies in
various types of cancers. Cancer developing in patients with rheumatic diseases
is also an important problem requiring prospective longterm follow-up studies of
patients with rheumatic diseases, particularly because some of the new biologic
therapies seem to increase the cancer risk. It is possible that a panel of
autoantibodies common to patients with cancer and the rheumatic diseases may
prove to be of value in the identification of those patients with ADs at high
risk for neoplasms.
PMID- 22075197
TI - Neoplastic and paraneoplastic synovitis.
AB - Arthritis is a common finding in patients who have cancer. In this population, it
is crucial to rule out septic arthritis and metastatic synovitis. Culture,
crystallography, (table see text) and cytology of synovial fluid are useful
initial diagnostics tools. If all are negative, histopathology of synovial tissue
should be considered. Crystal synovitis is another frequent cause of arthritis in
patients who have cancer, but it can also coexist with other conditions such as
septic arthritis. Independent rheumatic disorders, drug-induced arthritis, and
paraneoplastic syndromes should be considered after the exclusion of sepsis and
metastatic disease. The diagnosis of a paraneoplastic syndrome is easier when the
malignancy is evident or typical findings such as HOA or palmar fasciitis are
present. However, these paraneoplastic phenomena can occur before the cancer
diagnosis, and it is important to be aware of the association of these conditions
with an underlying tumor. Rheumatic disorders with atypical clinical presentation
in older patients, poor response to usual treatment, systemic features such as
weight loss, and clinical findings compatible with well recognized paraneoplastic
syndromes should alert clinicians to the possible coexistence of an occult
malignancy.
PMID- 22075198
TI - Neoplastic/paraneoplastic dermatitis, fasciitis, and panniculitis.
AB - The skin changes outlined in this article can be important clues to an underlying
malignancy. Paraneoplastic dermatoses are skin disorders associated with an
underlying neoplasm and whose course parallels that of the neoplasm. Recognizing
these skin presentations leads to early diagnosis and management of the
underlying malignancy. Effective treatment of the associated neoplasm often leads
to improvement of the cutaneous manifestations and should be the primary focus of
each patient's management.
PMID- 22075199
TI - Neoplastic and paraneoplastic vasculitis, vasculopathy, and hypercoagulability.
AB - It is essential to be aware of both neoplastic and paraneoplastic vasculitides,
vasculopathy, and hypercoagulability, considering the importance of an accurate
diagnosis and timely treatment of the underlying malignancy. Characteristics such
as the type of vasculitis, age, gender, atypical presentation, and lack of
response to common therapies should prompt investigation for an occult
malignancy, whereas vasculitis such as GPA require due malignancy vigilance given
a significantly increased risk of malignancy at the time of diagnosis and in the
following years. Vasculopathies are rarer than vasculitides, but are associated
with specific malignancies and, in the context of such malignancies, should be
kept in mind. Hypercoagulability is a well-documented neoplastic phenomenon with
an increased risk of thrombosis in the setting of positive aPLs. Most neoplastic
and paraneoplastic vascular syndromes require no specific treatment outside of
treatment of the underlying malignancy. The two key exceptions are PACNS, because
of its poor prognosis, and erythromelalgia, in which aspirin is an effective
agent.
PMID- 22075200
TI - Paraneoplastic myalgias and myositis.
AB - There are several key observations on paraneoplastic myopathies: The risk of
cancer associated with DM is very high, whereas risk of cancer associated with PM
is mildly increased Most cancers develop within one year of the onset of
myositis, although the risk remains high up to 5 years after diagnosis. The most
common cancers associated with DM are adenocarcinoma, including lung, ovary,
cervical, stomach, pancreas, colorectal and lymphoma, whereas PM is associated
with a high risk of lymphoma. The clinical course of myopathy is closely linked
with the course of cancer. Certain clinical features are associated with CAM
including severe treatment resistant skin manifestations, severe muscle weakness,
respiratory muscle weakness, and dysphagia, while some clinical features are
protective such as arthritis, Raynaud, and ILD. Screening should be based on age,
gender, ethnicity, and the geographic area of the patient; however, certain high
risk patients may require more extensive screening including tumor markers and
thoracoabdominal-pelvic CT scans. Certain autoantibodies including anti-p155 and
the absence of more common autoantibodies are associated with a higher risk of
CAM, while the presence of antisynthetase autoantibodies lowers the risk for CAM.
Although the pathogenesis of CAM is unclear, a plausible hypothesis is that
immune responses generated against antigens commonly targeted in myositis are
related to antitumor responses in affected individuals.
PMID- 22075201
TI - Neoplasm mimics of rheumatologic presentations: sialadenitis, ocular masquerade
syndromes, retroperitoneal fibrosis, and regional pain syndromes.
AB - IgG4-RSD should be suspected in any patient presenting with lacrimal or salivary
gland enlargement, particularly if male and manifesting mild glandular
dysfunction. A serum IgG4 level, if increased, may be helpful, although a gland
biopsy staining for IgG4-positive plasma cells is the definitive test. Primary
low-grade B cell lymphomas of the glandular tissue, specifically MALT lymphoma
and other glandular malignancy, should be considered, particularly in patients
with asymmetric glandular enlargement. Patients with idiopathic uveitis should
have a thorough evaluation to exclude malignancy, in particular PIOL and melanoma
in adults, and diffuse retinoblastoma and ALL in children. RF remains a
diagnostic challenge and atypical features such as outward displacement of the
retroperitoneal structures should raise the suspicion for a malignant
infiltrative process. CRPS rarely may be the first presentation of an occult
malignancy and requires a thorough review of age-appropriate cancer screening.
Carpal tunnel syndrome, if bilateral or associated with other systemic features,
should prompt a search for amyloidosis.
PMID- 22075202
TI - Paraneoplastic and cancer treatment-related rheumatic disorders.
PMID- 22075204
TI - Alexithymia in relation to parental alcoholism, everyday frontal lobe functioning
and alcohol consumption in a non-clinical sample.
AB - BACKGROUND: Recent studies have indicated that 45-67% of those in treatment for
alcohol use disorders suffer from alexithymia, a multifaceted personality trait
characterized by difficulties identifying and describing emotions and an
externally oriented cognitive style. The high reported prevalence rates of
alexithymia among those with alcohol dependence led to speculation that
alexithymia is a personality dimension that may predispose to risky or
problematic alcohol use. METHODS: This notion was examined in 314 adult
volunteers (54% female) aged 18-45 years (M=27.6 years), all of whom reported at
least occasional alcohol consumption, who completed online surveys assessing
alexithymia (Toronto Alexithymia Scale, or TAS-20), parental alcoholism (Children
of Alcoholics Screening Test, or CAST), everyday signs of frontal lobe
dysfunction (Frontal Systems Behavior Scale, or FrSBe) and risky alcohol use
(Alcohol Use Disorders Identification Test, or AUDIT). RESULTS: TAS-20 scores
were positively correlated with the index of parental alcoholism CAST, index of
frontal lobe dysfunction FrSBe and measure of alcohol-related problems AUDIT. Chi
square test showed an association between TAS-20-defined alexithymia and being
the offspring of an alcoholic parent as defined by CAST. Regression analysis
showed that frontal lobe dysfunction (FrSBe) mediated the relationship between
alexithymia (TAS-20 total score) and risky alcohol use (AUDIT). CONCLUSIONS: The
findings suggest that alexithymia is related to deficiencies in frontal lobe
functioning that may reflect a heritable predisposition to alcohol problems.
PMID- 22075206
TI - Intracellular metabolic pathways control immune tolerance.
AB - Disorders such as obesity and type 2 diabetes have been linked to immune
dysfunction, raising the possibility that metabolic alterations can be induced by
or be a consequence of alterations in immunological tolerance. Here, we describe
how intracellular metabolic signalling pathways can 'sense' host
energy/nutritional status, and in response, modulate regulatory T (Treg) cell
function. In particular, we focus on mammalian target of rapamycin (mTOR)
signalling, and how stimuli such as nutrients and leptin activate mTOR in an
oscillatory manner to determine Treg cell proliferation status. We propose that
metabolic changes such as nutritional deprivation or overload could dictate the
characteristics of the Treg cell compartment and subsequent downstream immune
reactions.
PMID- 22075205
TI - Regulation of endocannabinoid release by G proteins: a paracrine mechanism of G
protein-coupled receptor action.
AB - In the past years, the relationship between the endocannabinoid system (ECS) and
other hormonal and neuromodulatory systems has been intensively studied. G
protein-coupled receptors (GPCRs) can stimulate endocannabinoid (eCB) production
via activation of G(q/11) proteins and, in some cases, G(s) proteins. In this
review, we summarize the pathways through which GPCR activation can trigger eCB
release, as well as the best known examples of this process throughout the body
tissues. Angiotensin II-induced activation of AT(1) receptors, similar to other
G(q/11)-coupled receptors, can lead to the formation of 2-arachidonoylglycerol (2
AG), an important eCB. The importance of eCB formation in angiotensin II action
is supported by the finding that the hypertensive effect of angiotensin II,
injected directly into the hypothalamic paraventricular nucleus of anaesthetized
rats, can be abolished by AM251, an inverse agonist of CB(1) cannabinoid
receptors (CB(1)Rs). We conclude that activation of the ECS should be considered
as a general consequence of the stimulation of G(q/11)-coupled receptors, and may
mediate some of the physiological effects of GPCRs.
PMID- 22075207
TI - E4BP4: an unexpected player in the immune response.
AB - Until recently, the basic leucine zipper transcription factor E4BP4 (also known
as NFIL3) was of little interest to immunologists, being best known for its role
in regulating circadian rhythm in chick pineal gland. However, characterisation
of E4bp4(-/-) mice, independently generated in four different laboratories, has
revealed roles for E4BP4 in diverse haematopoietic lineages. E4BP4 is essential
for the development of NK cells and CD8alpha(+) conventional dendritic cells, and
is also involved in macrophage activation, polarisation of CD4(+) T cell
responses and B cell class switching to IgE. Here, we discuss the role of E4BP4
as a regulator of the immune response and highlight future questions for the
field.
PMID- 22075209
TI - Determinants of relative skeletal maturity in South African children.
AB - The variation of skeletal maturity about chronological age is a sensitive
indicator of population health. Age appropriate or advanced skeletal maturity is
a reflection of adequate environmental and social conditions, whereas delayed
maturation suggests inadequate conditions for optimal development. There remains
a paucity of data, however, to indicate which specific biological and
environmental factors are associated with advancement or delay in skeletal
maturity. The present study utilises longitudinal data from the South African
Birth to Twenty (Bt20) study to indentify predictors of relative skeletal
maturity (RSM) in early adolescence. A total of 244 black South African children
(n=131 male) were included in this analysis. Skeletal maturity at age 9/10 years
was assessed using the Tanner and Whitehouse III RUS technique. Longitudinal data
on growth, socio-economic position and pubertal development were entered into sex
specific multivariable general linear regression models with relative skeletal
maturity (skeletal age-chronological age) as the outcome. At 9/10 years of age
males showed an average of 0.66 years delay in skeletal maturation relative to
chronological age. Females showed an average of 1.00 year delay relative to
chronological age. In males, being taller at 2 years (p<0.01) and heavier at 2
years (p<0.01) predicted less delay in RSM at age 9/10 years, independent of
current size and body composition. In females, both height at 2 years and
conditional weight at 2 years predicted less delay in RSM at 9/10 years (p<0.05)
but this effect was mediated by current body composition. Having greater lean
mass at 9/10 years was associated with less delayed RSM in females (p<0.01) as
was pubertal status at the time of skeletal maturity assessment (p<0.01). This
study identifies several predictors of skeletal maturation at 9/10 years,
indicating a role for early life exposures in determining the rate of skeletal
maturation during childhood independently of current stature.
PMID- 22075208
TI - Sost downregulation and local Wnt signaling are required for the osteogenic
response to mechanical loading.
AB - Sclerostin, the Wnt signaling antagonist encoded by the Sost gene, is secreted by
osteocytes and inhibits bone formation by osteoblasts. Mechanical stimulation
reduces sclerostin expression, suggesting that osteocytes might coordinate the
osteogenic response to mechanical force by locally unleashing Wnt signaling. To
investigate whether sclerostin downregulation is a pre-requisite for load-induced
bone formation, we conducted experiments in transgenic mice (TG) engineered to
maintain high levels of SOST expression during mechanical loading. This was
accomplished by introducing a human SOST transgene driven by the 8 kb fragment of
the DMP1 promoter that also provided osteocyte specificity of the transgene.
Right ulnae were subjected to in vivo cyclic axial loading at equivalent strains
for 1 min/day at 2 Hz; left ulnae served as internal controls. Endogenous murine
Sost mRNA expression measured 24 h after 1 loading bout was decreased by about
50% in TG and wild type (WT) littermates. In contrast, human SOST, only expressed
in TG mice, remained high after loading. Mice were loaded on 3 consecutive days
and bone formation was quantified 16 days after initiation of loading. Periosteal
bone formation in control ulnae was similar in WT and TG mice. Loading induced
the expected strain-dependent increase in bone formation in WT mice, resulting
from increases in both mineralizing surface (MS/BS) and mineral apposition rate
(MAR). In contrast, load-induced bone formation was reduced by 70-85% in TG mice,
due to lower MS/BS and complete inhibition of MAR. Moreover, Wnt target gene
expression induced by loading in WT mice was absent in TG mice. Thus,
downregulation of Sost/sclerostin in osteocytes is an obligatory step in the
mechanotransduction cascade that activates Wnt signaling and directs osteogenesis
to where bone is structurally needed.
PMID- 22075210
TI - Receptor activator of NF-kappaB ligand-dependent expression of caveolin-1 in
osteoclast precursors, and high dependency of osteoclastogenesis on exogenous
lipoprotein.
AB - Although extensive studies have done much to clarify the molecular mechanisms of
osteoclastogenesis during the last ten years, there may still be unknown
molecules associated with osteoclast differentiation. Thus, we used fluorescent
differential display to screen for genes whose expression is induced by receptor
activator of NF-kappaB ligand (RANKL), a crucial molecule for osteoclast
formation. We identified caveolin-1 (Cav-1) as a RANKL-induced gene. Cav-1 is a
major structural protein of caveolae and lipid rafts, cholesterol-enriched
microdomains in the plasma membrane (PM). The RANKL-induced Cav-1 was immediately
conveyed to lipid rafts. Conversely, expression of flotillin-1 (Flot-1), another
scaffolding protein of lipid rafts, was reduced during osteoclastogenesis,
indicating conversion of Flot-1-predominant rafts into Cav-1-enriched rafts.
However, in vitro osteoclastogenesis of precursor cells from Cav-1-null mice was
comparable to that of wild-type mice, while Cav-2 expression in the knockout
osteoclasts was maintained. Conversely, Cav-2 gene silencing in Cav-1-null
osteoclast precursors using siRNA for Cav-2 increased osteoclast formation,
suggesting that the Cav-1/Cav-2 complex may act as a negative regulator for
osteoclastogenesis. On the other hand, destruction of lipid rafts by removal of
cholesterol from the PM by methyl-beta-cyclodextrin (MCD) treatment caused
disordered signal transductions for osteoclastogenesis, such as hyperactivation
of Erk1/2 and insensitivity of Akt to RANKL stimulus. The abnormal signaling was
reproduced by deleting exogenous lipoproteins from the culture medium, which also
resulted in reduced osteoclast formation. In addition, the deletion caused
delayed expression of nuclear factor of activated T cells c1 (NFATc1), and
depressed its activation in the cytosol and inhibited its translocation into
nuclei. Simultaneously, the deletion reduced the level of FcRgamma, a trigger
protein for initiating the calcium signaling needed to activate NFATc1, and
decreased Cav-1 in lipid rafts. These findings indicate that the molecular
mechanisms of osteoclastogenesis are highly dependent on extracellular
lipoprotein and the integrity of lipid rafts, and suggest possible involvement of
cholesterol.
PMID- 22075211
TI - Variability of protein level and phosphorylation status caused by biopsy protocol
design in human skeletal muscle analyses.
AB - BACKGROUND: Bergstrom needle biopsy is widely used to sample skeletal muscle in
order to study cell signaling directly in human tissue. Consequences of the
biopsy protocol design on muscle protein quantity and quality remain unclear. The
aim of the present study was to assess the impact of different events surrounding
biopsy protocol on the stability of the Western blot signal of eukaryotic
translation initiation factor 4E binding protein 1 (4E-BP1), Akt, glycogen
synthase kinase-3beta (GSK-3beta), muscle RING finger protein 1 (MuRF1) and p70
S6 kinase (p70 S6K). Six healthy subjects underwent four biopsies of the vastus
lateralis, distributed into two distinct visits spaced by 48 hrs. At visit 1, a
basal biopsy in the right leg was performed in the morning (R1) followed by a
second in the left leg in the afternoon (AF). At visit 2, a second basal biopsy
(R2) was collected from the right leg. Low intensity mobilization (3 * 20 right
leg extensions) was performed and a final biopsy (Mob) was collected using the
same incision site as R2. RESULTS: Akt and p70 S6K phosphorylation levels were
increased by 83% when AF biopsy was compared to R1. Mob condition induced
important phosphorylation of p70 S6K when compared to R2. Comparison of R1 and R2
biopsies revealed a relative stability of the signal for both total and
phosphorylated proteins. CONCLUSIONS: This study highlights the importance to
standardize muscle biopsy protocols in order to minimize the method-induced
variation when analyzing Western blot signals.
PMID- 22075212
TI - Diagnostic epitope variability within Taenia solium 8 kDa antigen family:
implications for cysticercosis immunodetection.
AB - To study diagnostic epitopes within the Taenia solium 8 kDa antigen family, six
overlapping synthetic peptides from an 8 kDa family member (Ts8B2) were
synthesized and evaluated by ELISA and MABA with sera from patients with
neurocysticercosis (NCC), from infected pigs and from rabbits immunized with
recombinant Ts8B2 protein. The pre-immune rabbit sera and the Ts8B2 recombinant
protein served as negative and positive controls, respectively. A similar
analysis was done with the already described antigenic peptides from another
member of the 8 kDa family, highly similar to Ts8B2, the CyDA antigen.
Surprisingly, neither the Ts8B2 peptides nor the CyDA peptides were recognized by
infected human and porcine sera. However, the entire Ts8B2 recombinant, as well
as amino and carboxy-terminal halves were recognized by the positive serum
samples. The observed lack of recognition of linear Ts8B2 peptides suggests that
the principal serological response to the Ts8B2 family is focused on
conformational epitopes in contrast to the previously observed antigenicity of
the CyDA peptides. This differential antigenicity of 8 kDa family peptides could
be related with parasite antigenic variability. The fact that rabbits
experimentally immunized with Ts8B2 did make anti-peptide antibodies to peptides
Ts8B2-6 and CyDA-6, located in the carboxy-terminal region demonstrated that the
Ts8B2 peptides are not intrinsically non-immunogenic.
PMID- 22075213
TI - Immunohistochemical expression of matrix metalloproteinases in the rabbit corneal
epithelium upon UVA and UVB irradiation.
AB - Matrix metalloproteinases (MMPs) are proteolytic enzymes involved in tissue
remodeling and wound healing. These enzymes degrade and also synthesize
components of the extracellular matrix. Overexpression of MMPs results in
excessive extracellular matrix degradation and tissue destruction. In the cornea,
destructive processes may lead to scarring and loss of vision. In this study MMPs
(types 1, 2, 7, 8, 9 and 14) were examined immunohistochemically in the normal
rabbit corneal epithelium and in epithelium irradiated in vivo with similar doses
of UVB or UVA radiation (UVB rays 312 nm, UVA rays 365 nm, daily dose 1.01
J/cm(2) for four days). Results show that MMPs studied revealed low expression in
the normal corneal epithelium, whereas after repeated UVB irradiation the
expression of MMPs was significantly increased in the corneal epithelium, in
ascending order: MMP-2, MMP-9, MMP-1, and MMP-7 with MMP-8. In contrast, compared
to normal corneas, repeated UVA radiation did not significantly change the
expression of MMPs in the irradiated corneal epithelium. MMP-14 was expressed at
very low levels in all studied corneas, whereas no significant changes were
detected upon UV exposure. In conclusion, UV radiation of shorter wavelength
(UVB) induced an increase in expression of all MMPs except MMP-14. It is
suggested that overexpression of MMPs in the corneal epithelium contributes to
the damaging effect of UVB radiation to the cornea.
PMID- 22075214
TI - Secular trends in adult sleep duration: a systematic review.
AB - OBJECTIVES: Little evidence exists to support the common assertion that adult
sleep duration has declined. We investigated secular trends in sleep duration
over the past 40 years through a systematic review. METHODS: Systematic search of
5 electronic databases was conducted to identify repeat cross-sectional studies
of sleep duration in community-dwelling adults using comparable sampling frames
and measures over time. We also attempted to access unpublished or semi-published
data sources in the form of government reports, theses and conference
proceedings. No studies were excluded based on language or publication date. The
search identified 278 potential reports, from which twelve relevant studies were
identified for review. RESULTS: The 12 studies described data from 15 countries
from the 1960s until the 2000s. Self-reported average sleep duration of adults
had increased in 7 countries: Bulgaria, Poland, Canada, France, Britain, Korea
and the Netherlands (range: 0.1-1.7 min per night each year) and had decreased in
6 countries: Japan, Russia, Finland, Germany, Belgium and Austria (range: 0.1-0.6
min per night each year). Inconsistent results were found for the United States
and Sweden. CONCLUSIONS: There has not been a consistent decrease in the self
reported sleep duration of adults from the 1960s to 2000s. However, it is unclear
whether the proportions of very short and very long sleepers have increased over
the same period, which may be of greater relevance for public health.
PMID- 22075215
TI - When gender matters: restless legs syndrome. Report of the "RLS and woman"
workshop endorsed by the European RLS Study Group.
AB - Sleep is an essential human behavior that shows prominent gender differences.
Disturbed sleep, in particular, is much more prevalent in females than males.
Restless legs syndrome (RLS) as one cause of disturbed sleep was observed to be
somewhat more common among women than men in Ekbom's 1945 seminal series of
clinical cases with the disease. He, however, reported this gender difference
mainly for those with more severe symptoms. Since then numerous studies have
reported that women are affected by RLS about twice as often as males for mild as
well as moderate to severe RLS. The present review focuses on RLS in females from
the perspectives of both epidemiology and pathophysiology. RLS will generally
become worse or might appear for the first time during pregnancy. Parity
increases the risk of RLS later in life suggesting that pregnancy is a specific
behavioral risk factor for developing RLS. Some evidence suggests that
dysfunction in iron metabolism and high estrogen levels might contribute to RLS
during pregnancy. But, menopause does not lower the incidence of RLS nor does
hormone replacement therapy lead to an increase, suggesting a quite complex
uncertain role of hormones in the pathophysiology of RLS. Therefore, further,
preferably longitudinal studies are needed to unravel the factors causing RLS in
women. These studies should include genetic, clinical and polysomnographic
variables, as well as hormonal measures and variables assessing iron metabolism.
PMID- 22075216
TI - Understanding couples' attitudes on prenatal HIV testing in the Democratic
Republic of Congo.
AB - BACKGROUND: The prevalence of HIV-serodiscordant couples is increasing. In these
couples, one member is infected with HIV and the other one is not, so HIV testing
for both members of the couple remains one of the significant challenges in the
prevention of sexual transmission of HIV within the couple. The aim of this study
was to analyze couples' attitudes toward HIV testing after prenatal HIV testing
offered to pregnant women and analyze the males' behavior with regard to their
own HIV testing. METHODS: One hundred and forty-three pregnant women and their
partners were interviewed in the Lubumbashi health district in the Democratic
Republic of the Congo. The usual descriptive statistics were applied. RESULTS:
Seventy-one percent of the couples declared that they accepted the HIV testing
proposed to the woman and approximately 64% of the couples said they had
discussed the HIV testing proposed in prenatal healthcare clinics. However, this
dialogue seemed to have a poor impact on the HIV testing of the male partner: no
male partner was tested in spite of the high proportion of men encouraged to do
so. Nearly 30% and 50% of the couples disagreed on systematic condom use and the
need for the husband's permission to undergo HIV testing, respectively. Twenty
nine percent of the couples did not wish to have the male sexual partner present
at the prenatal consultation. CONCLUSION: The majority of men considered that
their wife's HIV testing gives them information about their own HIV status and
this makes HIV testing difficult for both members of the couple. Better
management of the couple in HIV screening and prevention programs therefore seems
necessary.
PMID- 22075217
TI - Impact of video-assisted thoracoscopic surgery on benign resections for solitary
pulmonary nodules.
AB - BACKGROUND: Differentiating benign from malignant pulmonary lesions is an
important part of surgical decision making. We reviewed our experience of
resecting suspicious pulmonary nodules to test the hypothesis that the increased
use of video-assisted thoracic surgery (VATS) has increased the resection rate of
benign lesions. METHODS: A retrospective analysis was carried out on 3,217
patients who underwent resection for focal pulmonary lesions between 1995 and
2009. Resection method, computed tomography (CT) results, positron emission
tomography (PET) results, and operative and pathology reports were reviewed.
RESULTS: Pulmonary resection was by thoracotomy/median sternotomy in 2,632 of
3,217 (82%) patients and by VATS in 585 of 3,217 (18%). Resections performed by
VATS increased from 129 of 2,150 (6%) between 1995 and 2005 to 453 of 1,067
(42.4%) between 2006 and 2009. From 2006 to 2009, 31.4% of lobectomies and 63.9%
of wedge resections were performed by VATS. Benign lesions were found in 350 of
3,217 (10.8%) patients. Between 1995 and 2005 our resection rate of benign
lesions was 192 of 2,150 (8.9%). From 2006 to 2009, it increased to 158 of 1,067
(14.8%), of which 85 of 456 (20.8%) were VATS and 63 of 611(10.3%) were open
procedures. The benign lesion resection rate was 91 of 237 (38.3%) for VATS
wedges, 49 of 134 (36.6%) for open wedges, 4 of 219 (1.8%) for VATS lobectomies,
and 14 of 477 (2.9%) for open lobectomies. 257 of 456 (52.0%) of the VATS
resections were wedges compared with 134 of 611 (21.9%) of the open procedures.
CONCLUSIONS: There has been an increase in pulmonary resections performed by
VATS. This is associated with an increase in benign lesion resections. The benign
lesion resection rate for VATS was twice that of the open procedure rate. However
the benign lesion resection rates for wedge resections and lobectomies were not
significantly different in regard to approach. VATS has led to an increase in our
overall benign lesion resection rate, which can be explained by the increased
number of VATS wedge resections that are being performed.
PMID- 22075218
TI - Comparison of mechanical properties of human ascending aorta and aortic sinuses.
AB - BACKGROUND: Computational finite element models of the aortic root have
previously used material properties of the ascending aorta to describe both
aortic sinuses and ascending aorta. We have previously demonstrated significant
material property differences between ascending aorta and sinuses in pigs.
However, it is unknown whether these regional material property differences exist
in humans. The main objective of this study was to investigate biomechanics of
fresh human ascending aorta and aortic sinuses and compare nonlinear material
properties of these regions. METHODS: Fresh human aortic root specimens obtained
from the California Transplant Donor Network (Oakland, CA) were subjected to
displacement-controlled equibiaxial stretch testing within 24 hours of harvest.
Stress-strain data recorded were used to derive strain energy functions for each
region. Tissue behavior was quantified by tissue stiffness and a direct
comparison was made between different regions of aortic root at physiologic
stress levels. RESULTS: All regions demonstrated a nonlinear response to strain
during stretch testing in both circumferential and longitudinal directions. No
significant difference in tissue stiffness was found between anterior and
posterior regions of the ascending aorta or among the three sinuses in both
directions. However, our results demonstrated that human ascending aorta is
significantly more compliant than aortic sinuses in both circumferential and
longitudinal directions within the physiologic stress range. CONCLUSIONS:
Significant material and structural differences were observed between human
ascending aorta and aortic sinuses. Regionally specific material properties
should be employed in computational models used to assess treatments of
structural aortic root disease.
PMID- 22075219
TI - Selective left subclavian ligation in total aortic arch replacement.
AB - BACKGROUND: The left subclavian artery (LSA) is usually difficult to manipulate
in total aortic arch replacement procedures if it is displaced by huge false
lumens in the ascending aorta or right hemiarch. We summarize our experience of
selectively ligating the deeply located LSA in total aortic arch replacement and
stented "elephant trunk" implantation procedures for Stanford type A aortic
dissection. METHODS: Data of 29 patients with deep LSA undergoing total arch
replacement and stented "elephant trunk" implantation from January 2008 to June
2010 were reviewed. The LSA was ligated because of the difficult exposure (21
males, 8 females, age 19 to 55). Collateral circulation of the circle of Willis
and bilateral vertebral arteries were assessed thoroughly by preoperative imaging
and intraoperative observations. If collateral circulation was sufficient, LSA
was ligated; if insufficient, an additional bypass graft was created from the
ascending aorta to the left axillary artery. RESULTS: Twenty-eight patients
survived the operation with 1 early death. Postoperative blood pressures were
lower in the left arm than in the right (78+/-17.3 vs 126+/-3.7 mm Hg, p<0.01),
but oxygen saturation, skin temperature, and strength of the left hand were
normal. The surviving patients have been followed for 16.6+/-9.0 months (6 to 36)
and none had symptoms of LSA steal syndrome or arm ischemia. CONCLUSIONS:
Ligation of the LSA after strict evaluation of collateral circulation could be
safe for type A dissection patients if the exposure is insufficient, and this
method can simplify the operation significantly.
PMID- 22075220
TI - Amplitude-integrated electroencephalography and brain injury in infants
undergoing Norwood-type operations.
AB - BACKGROUND: Perioperative brain injury is common in infants undergoing cardiac
surgery. Amplitude-integrated electroencephalography (aEEG) provides real-time
neurologic monitoring and can identify seizures and abnormalities of background
cerebral activity. We aimed to determine the incidence of perioperative
electrical seizures, and to establish the background pattern of aEEG, in neonates
undergoing Norwood-type palliations for complex congenital heart disease in
relation to outcome at 2 years. METHODS: Thirty-nine full-term neonates
undergoing Norwood-type operations underwent aEEG monitoring before and during
surgery and for 72 hours postoperatively. The perfusion strategy included full
flow moderately hypothermic cardiopulmonary bypass with antegrade cerebral
perfusion. Amplitude-integrated electroencephalography tracings were reviewed for
seizure activity and background pattern. Survivors underwent neurodevelopmental
outcome assessment using the Bayley Scales of Infant Development (3rd edition) at
2 years of age. RESULTS: Thirteen (33%) infants had electrical seizures,
including 9 with intraoperative seizures and 7 with postoperative seizures.
Seizures were associated with significantly increased mortality, but not with
neurodevelopmental impairment in survivors. Delay in recovery of the aEEG
background beyond 48 hours was also associated with increased mortality and worse
motor development. CONCLUSIONS: Perioperative seizures were common in this
cohort. Intraoperative seizures predominantly affected the left hemisphere during
antegrade cerebral perfusion. Delayed recovery in aEEG background was associated
with increased risk of early mortality and worse motor development. Ongoing
monitoring is essential to determine the longer-term significance of these
findings.
PMID- 22075221
TI - Evaluation of hypophosphatemia: lessons from patients with genetic disorders.
AB - Phosphate is a key component of several physiologic pathways, such as skeletal
development, bone mineralization, membrane composition, nucleotide structure,
maintenance of plasma pH, and cellular signaling. The kidneys have a key role in
phosphate homeostasis, with 3 hormones having important roles in renal phosphate
handling: parathyroid hormone, fibroblast growth factor 23 (FGF-23), and 1,25
dihydroxyvitamin D. Independent of the genetic diseases affecting the FGF-23
pathway (such as hypophosphatemic rickets), hypophosphatemia is a frequent
condition encountered in daily practice, and untreated critical hypophosphatemia
can induce hemolysis, rhabdomyolysis, respiratory failure, cardiac dysfunction,
and neurologic impairment. Rapid correction thus is necessary to avoid severe
complications. The aims of this teaching case are to summarize the causes and
biological evaluation of hypophosphatemia and provide an overview of our current
understanding of phosphate metabolism.
PMID- 22075222
TI - Elevated prepronociceptin, nociceptin/orphanin FQ and nocistatin concentrations
in rat chronic constriction nerve injury and diabetic neuropathic pain models.
AB - Nociceptin/orphanin FQ (N/OFQ) and nocistatin are derived from the same precursor
peptide, prepronociceptin. N/OFQ and nocistatin have been postulated to
participate in pain modulation. In this study, we investigated whether the
prepronociceptin, N/OFQ and nocistatin concentrations in the brain and spinal
cord would be altered in chronic constriction injury and diabetic rat neuropathic
pain models. Total brain and spinal cord lysates as well as serum from rats that
had undergone chronic constriction injury and streptozocin-induced diabetic
neuropathy were used to determine the concentrations of three peptides using
competitive radioimmunoassay. We found that N/OFQ and prepronociceptin
concentrations were significantly raised in both rat neuropathic pain models.
Nocistatin was raised in the brains of post traumatic neuropathy pain rats.
Overall, our data have demonstrated for the first time that prepronociceptin,
N/OFQ and nocistatin concentrations are significantly altered at different
tissues of two rat neuropathy pain models.
PMID- 22075223
TI - Unperceivable noise to active light touch effects on fast postural sway.
AB - Human postural sway during quiet standing is reduced when a fingertip lightly
touches a stable surface. The tactile feedback information from the fingertip has
been considered responsible for this effect of light touch. Studies have shown
that a noise-like minute stimulation to the sensory system can improve the
system's weak signal detection. In the present study, we investigated whether a
noise-like unperceivable vibration on the fingertip enhances its tactile
sensation and facilitates the effect of light touch during quiet standing.
Thirteen volunteers maintained quiet standing while lightly touching a touch
surface with the index fingertip. Based on each subject's vibrotactile threshold
(VT), a noise-like vibration was applied to the touch surface at amplitudes under
(0.5VT) or at VT (1.0VT), in addition to the normal light touch condition (no
vibration, 0VT). The results showed that the mean velocities of the foot center
of pressure (CoP) in both the anteroposterior (AP) and mediolateral (ML)
directions were significantly reduced at 0.5VT compared to 0VT and 1.0VT
(P<0.05), while there was no significant difference between 1.0VT and 0VT
(P>0.05). Frequency analysis of CoP revealed that the power of high-frequency
fluctuation (1-10Hz) was significantly reduced at 0.5VT (P<0.05), whereas no
significant change was observed in that of low-frequency sway (below 1Hz)
(P>0.05). These results indicate that an unperceivable noise-like vibration can
facilitate the effect of light touch on postural stability, by further reducing
fast postural sway.
PMID- 22075224
TI - In vitro protection of auditory hair cells by salicylate from the gentamicin
induced but not neomycin-induced cell loss.
AB - Salicylate has been shown to protect animals and people from the gentamicin
induced hearing loss. The objective of our study was to determine if salicylate
is otoprotective in vitro. In this fashion, we wanted to validate the use of
explant culture system for future studies on the ototoxicity prevention. In
addition, we wanted to find out if salicylate protects from the ototoxicity of
other aminoglycosides. As a model, we used the membranous cochlear tissues
containing the organ of Corti, spiral limbus and spiral ganglion neurons
dissected from the cochleas of p3-p5 Wistar pups. The explants were divided into
apical, medial and basal parts and cultured in presence or absence of 100MUM
gentamicin, 100MUM neomycin and 5mM salicylate. Following the tissue fixation and
staining with phalloidin-TRITC, the number of inner and outer hair cells (IHCs,
OHCs) was scored under the fluorescent microscope. Presence of 5mM salicylate in
explants cultures exposed to 100MUM gentamicin significantly reduced the loss of
IHCs and OHCs, as compared to explants exposed to gentamicin alone. In contrast,
neomycin-induced auditory hair cell loss remained unaffected by the presence of
salicylate. Our results corroborate earlier in vivo findings and validate the use
of cochlear explants for future studies on ototoxicity and its prevention.
Moreover, the inability of salicylate to prevent neomycin-induced ototoxicity
implies possible differences between the mechanisms of auditory hair cell loss
induced by gentamicin and neomycin.
PMID- 22075225
TI - Neuronostatin induces hyperalgesia in formalin test in mice.
AB - Neuronostatin, a newly identified peptide encoded by the somatostatin (SST) gene,
was proved to produce significant antinociceptive effect in mouse tail immersion
test. However, the effect of neuronostatin on tonic pain was still not clear. The
aim of this study was to investigate the effect of neuronostatin in the formalin
test and its possible mechanism. We found that intracerebroventricular (i.c.v.)
administration of neuronostatin (1, 3, 6, 12nmol/mouse) increased licking in a
dose-related manner during the late phase, but did not affect the early phase of
formalin test in mice. In addition, the hyperalgesic effect during the late phase
was completely reversed by melanocortin 3/4 receptor antagonist SHU9119
(50pmol/mouse) or opioid receptor antagonist naloxone (5nmol/mouse), but not
GABAA receptor antagonist bicuculline (1086pmol/mouse). These data suggested that
the hyperalgesic response induced by neuronostatin was dependent upon the central
melanocortin system and endogenous opioid system. In conclusion, these results
indicated that neuronostatin may be a new neuropeptide with important role in the
modulation of acute and tonic pain.
PMID- 22075226
TI - Membrane protein structural bioinformatics.
AB - Despite the increasing number of recently solved membrane protein structures,
coverage of membrane protein fold space remains relatively sparse. This
necessitates the use of computational strategies to investigate membrane protein
structure, allowing us to further our understanding of how membrane proteins
carry out their diverse range of functions, while aiding the development of novel
predictive tools with which to probe uncharacterised folds. Analysis of known
structures, the application of machine learning techniques, molecular dynamics
simulations and protein structure prediction have enabled significant advances to
be made in the field of membrane protein research. In this communication, the key
bioinformatic methods that allow the characterisation of membrane proteins are
reviewed, the tools available for the structural analysis of membrane proteins
are presented and the contribution these tools have made to expanding our
understanding of membrane protein structure, function and stability is discussed.
PMID- 22075227
TI - Transition to seizure: from "macro"- to "micro"-mysteries.
AB - One of the most terrifying aspects of epilepsy is the sudden and apparently
unpredictable transition of the brain into the pathological state of an epileptic
seizure. The pathophysiology of the transition to seizure still remains
mysterious. Herein we review some of the key concepts and relevant literatures
dealing with this enigmatic transitioning of brain states. At the "MACRO" level,
electroencephalographic (EEG) recordings at time display preictal phenomena
followed by pathological high-frequency oscillations at the seizure onset.
Numerous seizure prediction algorithms predicated on identifying changes prior to
seizure onset have met with little success, underscoring our lack of
understanding of the dynamics of transition to seizure, amongst other inherent
limitation. We then discuss the concept of synchronized hyperexcited oscillatory
networks underlying seizure generation. We consider these networks as weakly
coupled oscillators, a concept which forms the basis of some relevant
mathematical modeling of seizure transitions. Next, the underlying "MICRO"
processes involved in seizure generation are discussed. The depolarization of the
GABA(A) chloride reversal potential is a major concept, facilitating
epileptogenesis, particularly in immature brain. Also the balance of inhibitory
and excitatory local neuronal networks plays an important role in the process of
transitioning to seizure. Gap junctional communication, including that which
occurs between glia, as well as ephaptic interactions are increasingly recognized
as critical for seizure generation. In brief, this review examines the evidence
regarding the characterization of the transition to seizure at both the "MACRO"
and "MICRO" levels, trying to characterize this mysterious yet critical problem
of the brain state transitioning into a seizure.
PMID- 22075228
TI - [Cyclic vomiting in association with chronic cannabis abuse].
PMID- 22075229
TI - [Acinetobacter baumannii. An opportunistic pathogen offside?].
PMID- 22075230
TI - [Noninvasive mechanical ventilation in the exacerbation of respiratory diseases].
AB - Noninvasive ventilation (NIV) utilization has experienced an exponential growth
in the last 25 years immediately after the introduction of the positive pressure
and the nasal mask. Patients with acute, chronic and acute on chronic respiratory
failure are candidates to be treated by this therapeutic modality. Its
utilization inside the hospital is very heterogeneous being indicated for diverse
patients by different levels of complexity and severity levels. We have
scientific evidence of the maximum level for certain problems such as COPD
exacerbations with respiratory acidosis, acute pulmonary edema, or patients with
solid and hematologic transplantation, although its utilization has been
generalized to many other clinical scenes using minor levels of evidence. NIV is
also used successfully in patients of advanced age, patients with do not intubate
orders or even patients with severe comorbidities. Finally, NIV could be used as
a palliative tool. The continuous technological evolution and the need of
formation of the professionals demands organizational changes in the hospitals
and the necessity to define specific areas for most severe patients, such as
respiratory intermediate care units.
PMID- 22075231
TI - [Biomarkers and suspicion of infection in emergency departments].
PMID- 22075232
TI - Pregnancy outcomes following use of escitalopram: a prospective comparative
cohort study.
AB - Escitalopram is a serotonin reuptake inhibitor prescribed for depression and
anxiety. There is a paucity of information regarding safety in pregnancy. The
objective of this study was to determine whether escitalopram is associated with
an increased risk for major malformations or other adverse outcomes following use
in pregnancy. The authors analyzed pregnancy outcomes in women exposed to
escitalopram (n = 212) versus other antidepressants (n = 212) versus
nonteratogenic exposures (n = 212) and compared the outcomes. Among the
escitalopram exposures were 172 (81%) live births, 32 (15%) spontaneous
abortions, 6 (2.8%) therapeutic abortions, 3 stillbirths (1.7%), and 3 major
malformations (1.7%). The only significant differences among groups was the rate
of low birth weight (<2500 g) and overall mean birth weight (P = .225). However,
spontaneous abortion rates were higher in both antidepressant groups (15% and
16%) compared with controls (8.5%; P = .066). There were lower rates of live
births (P = .006), lower overall birth weight (P < .001), and increased rates of
low birth weight (<2500 g; P = .009) with escitalopram. Spontaneous abortion
rates were nearly double in both antidepressant groups (15% and 16%) compared
with controls (8.5%) but not significant (P = .066). Escitalopram does not appear
to be associated with an increased risk for major malformations but appears to
increase the risk for low birth weight, which was correlated with the increase in
infants weighing <2500 g. In addition, the higher rates of spontaneous abortions
in both antidepressant groups confirmed previous findings.
PMID- 22075233
TI - New cholesterol esterase inhibitors based on rhodanine and thiazolidinedione
scaffolds.
AB - We present a new class of inhibitors of pancreatic cholesterol esterase (CEase)
based on 'priviledged' 5-benzylidenerhodanine and 5-benzylidene-2,4
thiazolidinedione structural scaffolds. The lead structures (5
benzylidenerhodanine 4a and 5-benzylidene-2,4-thiazolidinedione 4b) were
identified in an in-house screening and these inhibited CEase with some
selectivity over another serine hydrolase, acetylcholinesterase (AChE) (4a, CEase
IC(50)=1.76 MUM vs AChE IC(50)=5.14 MUM and 4b, CEase IC(50)=5.89 MUM vs AChE
IC(50) >100 MUM). A small library of analogs (5a-10a) containing a core amino
acid in place of the glycerol group of the lead structures, was prepared to
explore other potential binding interaction with CEase. These analogs inhibited
CEase with IC(50) values ranging from 1.44 to 85 MUM, with the majority
exhibiting some selectivity for CEase versus AChE. The most potent compound of
the library (10a) had 17-fold selectivity over AChE. We also report molecular
docking (with CEase) and detailed kinetic analysis on the amino acid analogs to
further understand the associated structure-activity relationships.
PMID- 22075234
TI - Synthesis and binding assays of novel 3,3-dimethylpiperidine derivatives with
various lipophilicities as sigma1 receptor ligands.
AB - Starting from two carbocyclic analogs, a series of 3,3-dimethylpiperidine
derivatives was prepared and tested in radioligand binding assays at sigma(1) and
sigma(2) receptors, and at Delta(8)-Delta(7) sterol isomerase (SI) site. The
novel compounds mostly bear heterocyclic rings or bicyclic nucleus of differing
lipophilicities. Compounds 18a and 19a,b demonstrated the highest sigma(1)
affinity (K(i)=0.14-0.38 nM) with a good selectivity versus sigma(2) binding.
Among them, 18a had the lowest ClogD value (3.01) and only 19b was selective
versus SI too. Generally, it was observed that more planar and hydrophilic
heteronuclei conferred a decrease in affinity for both sigma receptor subtypes.
PMID- 22075235
TI - Molecular drug-organiser: synthesis, characterization and biological evaluation
of penicillin V and/or nalidixic acid calixarene-based podands.
AB - Two well-known antibiotic heterocycles, the 'quinolone' nalidixic acid and the
beta-lactam penicillin V, active at different levels of the bacterial growth
process, have been attached via an ether-ester junction to the p-tert
butylcalix[4]arene lower rim, in alternate position. The resulting hydrophobic
molecular drug-organisers were fully characterized, and evaluated over two Gram
negative and three Gram positive reference strains, using disk diffusion assays
with disks impregnated with solution of title compound in pure DMSO. An
interesting activity was observed over Staphylococcus aureus ATCC 25923 with the
dis-symmetrical podand incorporating one penicillin and one nalidixic ester
moieties.
PMID- 22075237
TI - Cerebellar dysfunction may play an important role in vascular dementia.
AB - The cerebellum has traditionally been seen as a brain area limited to the
coordination of voluntary movement, gait, posture, speech, and motor functions.
There are increasing evidence, however, proving that the cerebellum is implicated
in processes associated with the control of cognition, behavior, and psychiatric
illness. Furthermore, the fact that the cerebellum is reciprocally connected to a
broad range of limbic structures including the amygdale and hippocampus, as well
as the cerebral cortex including the prefrontal areas, provides a strong
neuroanatomical argument in favor of cerebellar involvement in cognition
regulation. Studies have already found the fact that after stroke, the cerebellum
suffered from reduction in metabolism and blood flow in the cerebellar hemisphere
contralateral to a destructive cerebral lesion. The notion of crossed cerebellar
diaschisis (CCD) may contribute to the explanation of the phenomenon.
Consequently, theoretically, stroke in any part of the brain including frontal
lobe and hippocampus, will affect cerebellar function and the later then results
in vascular dementia (VD). More recently, a few clinical trials found that
electrical stimulation of fastigial nucleus (FNS) in cerebellum could improve
symptom of VD, though the relationship between cerebellum and VD is unclear.
Taken together, there seems to be sufficient empirical ground to assume that the
cerebellum plays a role in the regulation of VD. The hypotheses of cerebellar
role in VD, which will be discussed in this paper, if confirmed, may lead to the
formulation of new pathogenesis and new therapeutic approaches to VD.
PMID- 22075236
TI - A novel regimen of alternate day clopidogrel would provide a cost-effective
strategy to prevent very late stent thrombosis.
AB - BACKGROUND/OBJECTIVES: ACC/AHA/SCAI recommendations include dual anti-platelet
therapy (aspirin and clopidogrel) for 12 months after drug-eluting stent
percutaneous coronary intervention (DES PCI). Numerous case reports have emerged
of "very late stent thrombosis" (VLST) (>1 year post-DES-PCI) even 1-5 years
after DES-PCI manifesting with myocardial infarction and death when clopidogrel
therapy was interrupted or stopped. HYPOTHESIS: We hypothesize that a novel
regimen of alternate day clopidogrel would provide a cost-effective strategy to
prevent VLST taking into account the known facts about clopidogrel
pharmacodynamics, stent endothelialization and stent thrombosis. We hypothesized
that the degree of anti-platelet effect required to prevent VLST decreases with
time as the stent endothelializes-that is the "therapeutic threshold" required to
prevent VLST decreases with time. The anti-platelet effect of clopidogrel lasts
for 5-7 days. Typically, stent thrombosis on interruption of clopidogrel (with
bare metal stents within first 30 days) occurs after 3-4 days signifying recovery
of enough platelet function to produce stent thrombosis--recovery of platelet
inhibition beyond the therapeutic threshold. Since the therapeutic threshold
required to prevent VLST in DES after 1 year is much lower, this degree of
platelet inhibition can be conceivably achieved with just administering
clopidogrel on alternate days. EMPIRICAL DATA: We studied efficacy and safety of
regimen of daily aspirin 81 mg and alternate-day clopidogrel 75 mg beyond 12
months after PCI with DES for prevention of VLST by following 347 patients for
occurrence of death, myocardial infarction (MI), VLST, target vessel
revascularization (TVR) and bleeding. There were no occurrence of major bleeding,
VLST events or death. CONCLUSIONS: Long term dual anti-platelet therapy with
aspirin 81 mg daily and clopidogrel 75 mg every other day beyond 12 months after
PCI with DES may be a safe and efficacious cost-saving strategy to prevent VLST.
PMID- 22075238
TI - Local Jekyll and global Hyde: the dual identity of face identification.
AB - The main concern in face-processing research is to understand the processes
underlying the identification of faces. In the study reported here, we addressed
this issue by examining whether local or global information supports face
identification. We developed a new methodology called "iHybrid." This technique
combines two famous identities in a gaze-contingent paradigm, which
simultaneously provides local, foveated information from one face and global,
complementary information from a second face. Behavioral face-identification
performance and eye-tracking data showed that the visual system identified faces
on the basis of either local or global information depending on the location of
the observer's first fixation. In some cases, a given observer even identified
the same face using local information on one trial and global information on
another trial. A validation in natural viewing conditions confirmed our findings.
These results clearly demonstrate that face identification is not rooted in a
single, or even preferred, information-gathering strategy.
PMID- 22075239
TI - It's not all about me: motivating hand hygiene among health care professionals by
focusing on patients.
AB - Diseases often spread in hospitals because health care professionals fail to wash
their hands. Research suggests that to increase health and safety behaviors, it
is important to highlight the personal consequences for the actor. However,
because people (and health care professionals in particular) tend to be
overconfident about personal immunity, the most effective messages about hand
hygiene may be those that highlight its consequences for other people. In two
field experiments in a hospital, we compared the effectiveness of signs about
hand hygiene that emphasized personal safety ("Hand hygiene prevents you from
catching diseases") or patient safety ("Hand hygiene prevents patients from
catching diseases"). We assessed hand hygiene by measuring the amount of soap and
hand-sanitizing gel used from dispensers (Experiment 1) and conducting covert,
independent observations of health care professionals' hand-hygiene behaviors
(Experiment 2). Results showed that changing a single word in messages motivated
meaningful changes in behavior: The hand hygiene of health care professionals
increased significantly when they were reminded of the implications for patients
but not when they were reminded of the implications for themselves.
PMID- 22075240
TI - Editorial. Polish blood transfusion service.
PMID- 22075241
TI - Endometrial cancer survivors' assessment of the benefits of exercise.
AB - OBJECTIVE: The majority of women who have had endometrial cancer remain at risk
for obesity related diseases. The social cognitive theory was used to explore
their beliefs about exercise to aid in the development of effective
interventions. METHODS: Women who had been treated for Stage I endometrial cancer
were asked about their level of exercise to determine if they had been exercising
regularly for more than 6 months (exercisers vs non-exercisers). They were asked
to rate the likelihood that exercise would result in various health outcomes
(expectations) and to rate the importance of these outcomes (expectancies).
Scores for how likely exercise would result in an outcome of importance were
calculated. Height and weight were obtained from nurses for calculation of BMI.
Statistics were conducted using SPSS v 15. RESULTS: There were 106 valid
questionnaires (86% participation rate); 41% were exercisers. Mean BMI was
significantly lower in exercisers (31.6 +/- 1.2 vs. 37.3 +/- 1.2, p=0.001); a
significantly greater proportion reported not having diabetes, heart disease or
hypertension (69.8% vs. 49.2%, p=0.035). Exercisers were significantly more
likely to report that feeling better physically and emotionally versus reducing
the risk of diseases were likely and important outcomes of exercise (18.2 +/- 0.8
vs 15.0 +/- 1.0, p=0.002). CONCLUSIONS: Exercisers identified outcomes of
exercise that are more immediate and subjective as being important and likely
outcomes of exercise. Focusing on these aspects of exercise (feeling better
physically and emotionally) may aid in the development of effective interventions
for non-exercisers.
PMID- 22075243
TI - Utility of capsule endoscopy for evaluating anthelmintic efficacy in fully
conscious dogs.
AB - The current accepted standard for evaluating the efficacy of gastrointestinal
anthelmintic drugs is necropsy of infected animals followed by a comparison of
worm counts between treated and non-treated groups. In this study capsule
endoscopy, a minimally invasive method of imaging the small intestine of humans,
is evaluated as a possible alternative to necropsy for the purposes of worm
quantification in dogs. Eighteen Beagle dogs were included in this study. These
dogs were part of a separate trial intended to determine the efficacy of various
candidate parasiticides against Ancylostoma caninum via the necropsy standard.
Dogs were inoculated with A. caninum L3s 4 weeks prior to treatment with one of
the candidate compounds; a control group (n=8) received no treatment. Capsule
endoscopy was performed 6-14 days post-treatment, followed by necropsy the
following day. Seventeen dogs had complete examinations, i.e. the capsule
traversed the small intestine and reached the colon within the battery life of
the capsule. A strong correlation (r(s)=0.87, P<0.0001) was observed between the
worm counts acquired by capsule endoscopy and necropsy. There was no clear
relationship between the ability of the capsule endoscope to detect hookworms and
either visibility of the intestinal lumen or small intestinal transit time.
Generation of a virtual spatial record of hookworm location from the capsule
endoscopy data revealed a temporal trend, with the majority of worms present in
the proximal small intestine in the morning versus the central to distal small
intestine in the afternoon. Worm distribution as determined by capsule endoscopy
closely resembled post-mortem findings. In conclusion, capsule endoscopy shows
promise as an alternative to necropsy for the enumeration of A. caninum in the
canine small intestine, although further work is required to improve completion
rates and optimise intestinal examination.
PMID- 22075242
TI - Molecular cloning and preliminary function study of iron responsive element
binding protein 1 gene from cypermethrin-resistant Culex pipiens pallens.
AB - BACKGROUND: Insecticide resistance jeopardizes the control of mosquito
populations and mosquito-borne disease control, which creates a major public
health concern. Two-dimensional electrophoresis identified one protein segment
with high sequence homology to part of Aedes aegypti iron-responsive element
binding protein (IRE-BP). METHOD: RT-PCR and RACE (rapid amplification of cDNA
end) were used to clone a cDNA encoding full length IRE-BP 1. Real-time
quantitative RT-PCR was used to evaluate the transcriptional level changes in the
Cr-IRE strain Aedes aegypti compared to the susceptible strain of Cx. pipiens
pallens. The expression profile of the gene was established in the mosquito life
cycle. Methyl tritiated thymidine (3H-TdR) was used to observe the cypermethrin
resistance changes in C6/36 cells containing the stably transfected IRE-BP 1 gene
of Cx. pipiens pallens. RESULTS: The complete sequence of iron responsive element
binding protein 1 (IRE-BP 1) has been cloned from the cypermethrin-resistant
strain of Culex pipiens pallens (Cr-IRE strain). Quantitative RT-PCR analysis
indicated that the IRE-BP 1 transcription level was 6.7 times higher in the Cr
IRE strain than in the susceptible strain of 4th instar larvae. The IRE-BP 1
expression was also found to be consistently higher throughout the life cycle of
the Cr-IRE strain. A protein of predicted size 109.4 kDa has been detected by
Western blotting in IRE-BP 1-transfected mosquito C6/36 cells. These IRE-BP 1
transfected cells also showed enhanced cypermethrin resistance compared to null
transfected or plasmid vector-transfected cells as determined by 3H-TdR
incorporation. CONCLUSION: IRE-BP 1 is expressed at higher levels in the Cr-IRE
strain, and may confer some insecticide resistance in Cx. pipiens pallens.
PMID- 22075244
TI - Effect of ionizing radiation induced damage of endothelial progenitor cells in
vascular regeneration.
AB - OBJECTIVE: A number of studies have revealed that stress signaling and subsequent
stress responses in stem/progenitor cells are responsible for attenuated
regeneration or degenerative disease. Because ionizing radiation (IR), which
sensitizes diverse types of stem cells, reportedly induces cardio-circulatory
diseases, we hypothesized that IR-induced vascular abnormalities are associated
with defects in endothelial progenitor cells (EPCs) that are responsible for
vascular homeostasis. METHODS AND RESULTS: We used an irradiated mouse model to
mimic the IR effect on vasculogenesis. Mouse EPCs isolated from irradiated mice
and human EPCs exposed to IR were used for functional analysis and gene
expression study. Under IR exposure, EPCs were depleted, and their function for
vasculogenesis in vitro and in vivo was significantly reduced. In such IR
mediated stress responses, upregulating p21Cip1 and downregulating vascular
endothelial growth factor (VEGF) were mediated by p53 transcriptional activity.
CONCLUSIONS: The results of the present study suggest that suppression of p53
would be clinically applicable to (1) minimize the functional defects in EPCs in
order to prevent the onset of vascular diseases caused by radiation therapy or
radiation exposure and also to (2) provide novel insight into the mechanisms of
IR-induced vascular damage and a possible strategy to minimize vascular damage by
IR.
PMID- 22075245
TI - Urokinase receptor associates with myocardin to control vascular smooth muscle
cells phenotype in vascular disease.
AB - OBJECTIVE: The urokinase-type plasminogen activator (uPA) and its specific
receptor (uPAR) are a potent multifunctional system involved in vascular
remodeling. The goal of the study was to unravel the mechanisms of uPA/uPAR
directed vascular smooth muscle cell (VSMC) differentiation. METHODS AND RESULTS:
Using cultured human primary VSMCs, we identified a new molecular mechanism
controlling phenotypic modulation in vitro and in vivo. We found that the
urokinase-type plasminogen activator receptor (uPAR) acts together with the
transcriptional coactivator myocardin to regulate the VSMC phenotype. uPAR, a
glycosylphosphatidylinositol-anchored cell-surface receptor family member,
undergoes ligand-induced internalization and nuclear transport in VSMCs. Platelet
derived growth factor receptor beta and SUMOylated RanGAP1 mediate this
trafficking. Nuclear uPAR associates with myocardin, which is then recruited from
the promoters of serum response factor target genes and undergoes proteasomal
degradation. This chain of events initiates the synthetic VSMC phenotype. Using
mouse carotid artery ligation model, we show that this mechanism contributes to
adverse vascular remodeling after injury in vivo. We then cultured cells on a
microstructured biomaterial and found that substrate topography induced uPAR
mediated VSMC differentiation. CONCLUSIONS: These findings reveal the
transcriptional activity of uPAR, controlling the differentiation of VSMCs in a
vascular disease model. They also suggest a new role for uPAR as a therapeutic
target and as a marker for VSMC phenotyping on prosthetic biomaterials.
PMID- 22075246
TI - Dynamic synchrotron imaging of diabetic rat coronary microcirculation in vivo.
AB - OBJECTIVE: In diabetes, long-term micro- and macrovascular damage often underlies
the functional decline in the cardiovascular system. However, it remains unclear
whether early-stage diabetes is associated with in vivo functional impairment in
the coronary microvasculature. Synchrotron imaging allows us to detect and
quantify regional differences in resistance microvessel caliber in vivo, even
under conditions of high heart rate. METHODS AND RESULTS: Synchrotron cine
angiograms of the coronary vasculature were recorded using anesthetized Sprague
Dawley rats 3 weeks after treatment with vehicle or streptozotocin (diabetic). In
the early diabetic state, in the presence of nitric oxide and prostacyclin,
vessel diameters were smaller (P<0.01) and endothelium-dependent vessel
recruitment was already depressed (P<0.05). Endothelium-dependent and
independent vasodilatory responses in individual coronary vessels were not
different in vivo. Inhibition of NO and PGI(2) production in diabetes uncovered
early localized impairment in dilation. Diabetic animals displayed focal stenoses
and segmental constrictions during nitric oxide synthase/cyclooxygenase blockade,
which persisted during acetylcholine infusion (P<0.05), and a strong trend toward
loss of visible microvessels. CONCLUSIONS: Synchrotron imaging provides a novel
method to investigate coronary microvascular function in vivo at all levels of
the arterial tree. Furthermore, we have shown that early-stage diabetes is
associated with localized coronary microvascular endothelial dysfunction.
PMID- 22075247
TI - Objectively assessed physical activity, sedentary time, and coronary artery
calcification in healthy older adults.
AB - OBJECTIVE: Physical activity is related to lower risk of cardiovascular disease,
but data relating to coronary lesions have been conflicting. These
inconsistencies may in part be due to unreliable assessment of physical activity
and limitations imposed by self-reported data. The purpose of this study was to
determine the relationship between objectively measured physical activity and
coronary artery calcium (CAC). METHODS AND RESULTS: Participants were 443 healthy
men and women (mean age=66+/-6 years), without history or objective signs of
coronary heart disease, drawn from the Whitehall II epidemiological cohort.
Physical activity was objectively measured using accelerometers worn during
waking hours for 7 consecutive days (average daily wear time=889+/-68
minutes/day). CAC was measured in each participant using electron beam computed
tomography and was quantified according to the Agatston scoring system. On
average, 54.4% of the sample recorded at least 30 minutes/day of moderate to
vigorous physical activity (MVPA). There was no association between MVPA and
presence of detectable CAC. For the participants with detectable CAC (n=283) a
weak inverse relationship between MVPA (minutes/day) and log Agatston score was
observed (B=-0.008, 95% CI: -0.16 to 0.00, P=0.05), although the association was
no longer present after adjustments for age, sex, and conventional risk factors.
No associations were seen for light activity or sedentary time. CONCLUSIONS: Our
results confirm no association between objectively assessed physical activity and
CAC. Because CAC measures cannot identify more vulnerable lesions, additional
studies are required to examine whether physical activity can promote plaque
stability.
PMID- 22075248
TI - Transsignaling of interleukin-6 crucially contributes to atherosclerosis in mice.
AB - OBJECTIVE: Transsignaling of interleukin (IL)-6 is a central pathway in the
pathogenesis of disorders associated with chronic inflammation, such as Crohn
disease, rheumatoid arthritis, and inflammatory colon cancer. Notably, IL-6 also
represents an independent risk factor for coronary artery disease (CAD) in humans
and is crucially involved in vascular inflammatory processes. METHODS AND
RESULTS: In the present study, we showed that treatment with a fusion protein of
the natural IL-6 transsignaling inhibitor soluble glycoprotein 130 (sgp130) and
IgG1-Fc (sgp130Fc) dramatically reduced atherosclerosis in hypercholesterolemic
Ldlr(-/-) mice without affecting weight gain and serum lipid levels. Moreover,
sgp130Fc treatment even led to a significant regression of advanced
atherosclerosis. Mechanistically, endothelial activation and intimal smooth
muscle cell infiltration were decreased in sgp130Fc-treated mice, resulting in a
marked reduction of monocyte recruitment and subsequent atherosclerotic plaque
progression. Of note, patients with CAD exhibited significantly lower plasma
levels of endogenous sgp130, suggesting that a compromised counterbalancing of IL
6 transsignaling may contribute to atherogenesis in humans. CONCLUSIONS: These
data clarify, for the first time, the critical involvement of, in particular, the
transsignaling of IL-6 in CAD and warrant further investigation of sgp130Fc as a
novel therapeutic for the treatment of CAD and related diseases.
PMID- 22075249
TI - Clinical and genetic association of serum ceruloplasmin with cardiovascular risk.
AB - OBJECTIVE: Ceruloplasmin (Cp) is an acute-phase reactant that is increased in
inflammatory diseases and in acute coronary syndromes. Cp has recently been shown
to possess nitric oxide (NO) oxidase catalytic activity, but its impact on long
term cardiovascular outcomes in stable cardiac patients has not been explored.
METHODS AND RESULTS: We examined serum Cp levels and their relationship with
incident major adverse cardiovascular events (MACE; death, myocardial infarction
[MI], stroke) over 3-year follow-up in 4177 patients undergoing elective coronary
angiography. We also carried out a genome-wide association study to identify the
genetic determinants of serum Cp levels and evaluate their relationship to
prevalent and incident cardiovascular risk. In our cohort (age 63+/-11 years, 66%
male, 32% history of MI, 31% diabetes mellitus), mean Cp level was 24+/-6 mg/dL.
Serum Cp level was associated with greater risk of MI at 3 years (hazard ratio
[quartile 4 versus 1] 2.35, 95% confidence interval [CI] 1.79-3.09, P<0.001).
After adjustment for traditional risk factors, high-sensitivity C-reactive
protein, and creatinine clearance, Cp remained independently predictive of MACE
(hazard ratio 1.55, 95% CI 1.10-2.17, P=0.012). A 2-stage genome-wide association
study identified a locus on chromosome 3 over the CP gene that was significantly
associated with Cp levels (lead single-nucleotide polymorphism rs13072552;
P=1.90*10(-11)). However, this variant, which leads to modestly increased serum
Cp levels (~1.5-2 mg/dL per minor allele copy), was not associated with coronary
artery disease or future risk of MACE. CONCLUSIONS: In stable cardiac patients,
serum Cp provides independent risk prediction of long-term adverse cardiac
events. Genetic variants at the CP locus that modestly affect serum Cp levels are
not associated with prevalent or incident risk of coronary artery disease in this
study population.
PMID- 22075250
TI - Rap1-Rac1 circuits potentiate platelet activation.
AB - OBJECTIVE: The goal of this study was to investigate the potential crosstalk
between Rap1 and Rac1, 2 small GTPases central to platelet activation,
particularly downstream of the collagen receptor GPVI. METHODS AND RESULTS: We
compared the activation response of platelets with impaired Rap signaling (double
knock-out; deficient in both the guanine nucleotide exchange factor, CalDAG-GEFI,
and the Gi-coupled receptor for ADP, P2Y12), to that of wild-type platelets
treated with a small-molecule Rac inhibitor, EHT 1864 (wild-type /EHT). We found
that Rac1 is sequentially activated downstream of Rap1 on stimulation via GPVI.
In return, Rac1 provides important feedback for both CalDAG-GEFI- and P2Y12
dependent activation of Rap1. When analyzing platelet responses controlled by
Rac1, we observed (1) impaired lamellipodia formation, clot retraction, and
granule release in both double knock-out and EHT 1864-treated wild-type
platelets; and (2) reduced calcium store release in EHT 1864-treated wild-type
but not double knock-out platelets. Consistent with the latter finding, we
identified 2 pools of Rac1, one activated immediately downstream of GPVI and 1
activated downstream of Rap1. CONCLUSIONS: We demonstrate important crosstalk
between Rap1 and Rac1 downstream of GPVI. Whereas Rap1 signaling directly
controls sustained Rac1 activation, Rac1 affects CalDAG-GEFI- and P2Y12-dependent
Rap1 activation via its role in calcium mobilization and granule/ADP release,
respectively.
PMID- 22075251
TI - Relationships between recent intraplaque hemorrhage and stroke risk factors in
patients with carotid stenosis: the HIRISC study.
AB - OBJECTIVE: Intraplaque hemorrhage (IPH) is an emerging marker of plaque
instability. However, little is known about the relationships between IPH and
traditional risk factors and whether these relationships differ between
symptomatic and asymptomatic disease. METHODS AND RESULTS: Two hundred thirty
four patients with symptomatic (n=114) or asymptomatic (n=120) carotid stenosis
underwent high-resolution plaque magnetic resonance imaging. Seventy-five
patients had recent IPH (symptomatic, 33%; asymptomatic, 31%). In symptomatic
stenosis, recent IPH was independently associated with degree of stenosis (odds
ratio [OR]=4.21, 1.61-10.98 for North American Symptomatic Carotid Endarterectomy
Trial >35%; OR=2.92, 1.18-7.24 for European Carotid Surgery Trial >60%),
qualifying event (OR=4.13; 1.11-15.32 for stroke or hemispheric transient
ischemic attack >=1 hour versus transient ischemic attack <1 hour or ocular
symptoms), time from ischemic event (OR=6.65, 1.56-28.35 for <=2 weeks; OR=2.24,
0.87-5.81 for 2-12 weeks versus >12 weeks; P for trend=0.03). In asymptomatic
stenosis, IPH was only associated with stenosis severity >70% by ECST (OR=6.65;
1.95-22.73) but not by the NASCET method. CONCLUSIONS: Our findings support the
potential link between recent IPH and risk of ipsilateral stroke in symptomatic
disease but also imply that prognostic studies should adjust for known stroke
risk factors in multivariate analyses. In asymptomatic stenosis, the potential
predictive value of recent IPH is less likely to be confounded by stroke risk
factors.
PMID- 22075252
TI - Inhibitor of differentiation-3 mediates high fat diet-induced visceral fat
expansion.
AB - OBJECTIVE: Inhibitor of differentiation-3 (Id3) has been implicated in promoting
angiogenesis, a key determinant of high-fat diet (HFD)-induced visceral
adiposity. Yet the role of Id3 in HFD-induced angiogenesis and visceral adipose
expansion is unknown. METHODS AND RESULTS: Id3(-/-) mice demonstrated a
significant attenuation of HFD-induced visceral fat depot expansion compared to
wild type littermate controls. Importantly, unlike other Id proteins, loss of Id3
did not affect adipose depot size in young mice fed chow diet or differentiation
of adipocytes in vitro or in vivo. Contrast enhanced ultrasound revealed a
significant attenuation of visceral fat microvascular blood volume in HFD-fed
mice null for Id3 compared to wild type controls. HFD induced Id3 and VEGFA
expression in the visceral stromal vascular fraction and Id3(-/-) mice had
significantly lower levels of VEGFA protein in visceral adipose tissue compared
to wild type. Furthermore, HFD-induced VEGFA expression in visceral adipose
tissue was completely abolished by loss of Id3. Consistent with this effect, Id3
abolished E12-mediated repression of VEGFA promoter activity. CONCLUSIONS:
Results identify Id3 as an important regulator of HFD-induced visceral adipose
VEGFA expression, microvascular blood volume, and depot expansion. Inhibition of
Id3 may have potential as a therapeutic strategy to limit visceral adiposity.
PMID- 22075253
TI - Competing risk of atherosclerotic risk factors for arterial and venous thrombosis
in a general population: the Tromso study.
AB - OBJECTIVE: To investigate and compare the impact of traditional atherosclerotic
risk factors for the risk of arterial and venous thrombosis, taking into account
competing risks. METHODS AND RESULTS: In 1994-1995, 26,185 subjects were screened
in the Tromso study. Information on traditional atherosclerotic risk factors was
obtained by physical examination, blood samples, and questionnaires. Subjects
were followed to the first incident event of myocardial infarction (MI) or venous
thromboembolism (VTE), or December 31, 2005. During a median of 10.8 years of
follow-up, there were 1279 cases of incident MI and 341 VTE events. Advancing age
and high body mass index were both associated with MI and VTE. Hazard ratio per
decade of age was 2.34 (95% CI: 2.25-2.43) for MI and 1.87 (1.74-2.01) for VTE,
and 3 kg/m(2) increase in body mass index was associated with 1.16 (1.11-1.21)
and 1.20 (1.12-1.29) increased risk of MI and VTE, respectively. Blood pressure,
high levels of triglycerides and total cholesterol, low HDL cholesterol, self
reported diabetes, and smoking were all associated with increased risk of MI but
not associated with VTE. CONCLUSIONS: Our findings imply that traditional
atherosclerotic risk factors, such as smoking, hypertension, dyslipidemia, and
diabetes mellitus are not shared by arterial and venous thrombosis.
PMID- 22075254
TI - Sodium channel Nav1.6 is up-regulated in the dorsal root ganglia in a mouse model
of type 2 diabetes.
AB - Neuropathic pain is one of the most common chronic complications of diabetes, of
which the underlying mechanisms are unclear. Expression changes of voltage-gated
sodium channels in dorsal root ganglia (DRG) are involved in the production of
ectopic spontaneous activity. In the present study, we examined the changes of
DRG Nav1.6 expression in a mouse model of type 2 diabetes (db/db mice). Db/db
mice developed significant and persistent mechanical allodynia from postnatal 2
months compared to the heterozygous littermates (db/+) and C57 mice.
Immunofluorescent staining showed that Nav1.6 was highly expressed in the normal
DRG (approximately 31.3+/-5.2% of total DRG neurons), especially in the large
diameter neurons. In postnatal 5 months in db/db mice, percentage of Nav1.6
positive cells (62.9+/-5.5%) was significantly higher than that in C57 and db/+
mice. Western blot showed that from 2 to 5 months, Nav1.6 was increased by 1.67+/
0.16, 2.12+/-0.23, 1.89+/-0.32, and 2.01+/-0.35 folds of C57 mice, which were
significantly higher than that of the C57 and db/+ mice. Real-time PCR showed
that in postnatal 1 month of db/db mice, mRNA level of Nav1.6 was increased by
1.72+/-0.22 fold, which was significantly higher than that of C57 and db/+ mice.
Nav1.6 mRNA was increased thereafter and maintained at high levels throughout the
observed period. Our results provide direct evidence that type 2 diabetes induces
significant and persistent increase of Nav1.6 expression in the DRG, which may
participate in the diabetic neuropathic pain.
PMID- 22075255
TI - Sphingomonas paucimobilis bacteremia related to intravenous human immunoglobulin
injections.
PMID- 22075257
TI - Quality of life is reduced in obese dogs but improves after successful weight
loss.
AB - Obesity is thought to affect quality of life, but limited objective data exist to
support this supposition. The current study aim was to use a questionnaire to
determine health-related quality of life (HRQOL) both before and after weight
loss, in obese client-owned dogs. Fifty obese dogs were included, and represented
a variety of breeds and genders. Prior to weight loss, owners were asked to
complete a validated standardised questionnaire to determine HRQOL. Thirty of the
dogs successfully completed their weight loss programme and reached target, and
owners then completed a follow-up questionnaire. The completed questionnaire
responses were transformed to scores corresponding to each of four factors
(vitality, emotional disturbance, anxiety and pain), and scored on a scale of 0
6. Changes in the scores were used to explore the sensitivity of the
questionnaire, and scores were correlated with responses to direct questions
about quality of life and pain, as well as weight loss. Dogs that failed to
complete their weight loss programme had lower vitality and higher emotional
disturbance scores than those successfully losing weight (P=0.03 for both). In
the 30 dogs that completed, weight loss led to an increased vitality score
(P<0.001), and decreased scores for both emotional disturbance (P<0.001) and pain
(P<0.001). However, there was no change in anxiety (P=0.09). The change in
vitality score was positively associated with percentage weight loss (r(P)=0.43,
P=0.02) and percentage body fat loss (r(P)=0.39, P=0.03). These results indicate
demonstrable improvement in HRQOL for obese dogs that successfully lose weight.
PMID- 22075256
TI - Haemostatic abnormalities in cats with naturally occurring liver diseases.
AB - Alterations in the haemostatic system were characterized in cats with different
naturally occurring liver diseases. The study looked at 44 healthy cats and 45
cats with different liver diseases confirmed histologically or cytologically
(neoplasia, n=9; inflammation, n=12; hepatic lipidosis, n=13; other degenerative
liver diseases, n=11). The following parameters were evaluated: platelet count;
prothrombin time; activated partial thromboplastin time; thrombin time; factor
(F) II, FV, FVII, FX, and FXIII activities; fibrinogen concentration; activities
of antithrombin, protein C, plasminogen, and alpha(2)-plasmin inhibitor, and D
dimer concentration. In cats with liver diseases, 44/45 (98%) had one or more
abnormalities of the coagulation parameters measured. In cats with inflammatory
liver diseases, increased D-dimer concentrations and decreased FXIII activity
were the most consistent abnormalities and were found in 83% and 75% of cats,
respectively. The most common abnormality in cats with neoplastic liver disease
was FXIII deficiency (78%). The most consistent abnormalities in cats with
hepatic lipidosis were increased FV activity and D-dimer concentration with 54%
of cats having values above the reference range for both parameters. Cats with
miscellaneous degenerative liver disease most frequently showed FXIII deficiency
(64%). The results of this study show that alterations of single haemostatic
components are a frequent finding in cats with liver disease. Activation of
haemostasis with subsequent consumptive coagulopathy (rather than decreased
synthesis) seems to be responsible for these alterations. Increased blood levels
of different haemostatic components in cats with inflammatory lesions may be
related to an acute phase reaction.
PMID- 22075258
TI - Effects of handle orientation and between-handle distance on bi-manual isometric
push strength.
AB - Hand-handle interface is seldom considered in contemporary upper limb
biomechanical analyses of pushing and pulling strength. A laboratory study was
designed to examine if handle rotation in the frontal plane (0 degrees
horizontal, 45 degrees , and 90 degrees -vertical), anterior tilt (0 degrees
parallel to the frontal plane, and 15 degrees ), and distance between two handles
(31 and 48.6 cm) affect pushing strength and subjective rating of handle
preference. A special testing station was constructed to elicit upper limb push
exertions that involved minimal contribution of the torso and legs. Within the
station, four load cells were used to measure the horizontal (forward pushing)
and vertical components of the pushing forces. Thirty-one participants performed
seated bi-manual pushing strength tests. Comparing to the reference handle
configuration (horizontal, straight, and a 31-cm between-handle distance), the 45
degrees -rotated and tilted handles with a 31-cm between-handle distance allowed
6.7% more pushing output, while the horizontal and tilted handles with a 31-cm
between-handle distance resulted in 2.8% less. Subjective preference was
correlated with normalized pushing strength (r=0.89). Tilted handles, at 45
degrees -rotated and vertical positions received highest subjective ratings of
preference among all handle configurations. Men exerted greater pushing strength
with the 48.6-cm handle distance while women's capacity was greatest with the 31
cm distance. The results demonstrated that handle rotation and tilt angles
affected pushing strength and should be taken into consideration when evaluating
or designing pushing tasks.
PMID- 22075259
TI - Residential mobility within England and urban-rural inequalities in mortality.
AB - This study is situated within the international literature on geographic health
inequalities between urban and rural areas. Using data from the Office for
National Statistics Longitudinal Study (ONS LS), this paper assesses the role of
residential mobility within England between 1981 and 2001 in explaining
geographic inequalities in all-cause mortality between urban and rural Local
Authority Districts at the end of the period (deaths occurring between 2001 and
2005). First, the pattern of directly age-standardised death rates (2001-2005) in
urban and rural areas of residence in 2001 is examined and compared with the
pattern that would have been seen if the observed death/survival of individuals
had occurred in their original place of residence in 1981, or in 1991. Secondly,
logistic regression is applied to examine whether individuals' residential
mobility between urban and rural areas predict the risk of mortality, adjusting
for people's socio-demographic characteristics. Findings show that, for this
sample, residential mobility 1981-2001 accounts for about 30% of the urban-rural
inequalities in mortality observed at the end of the period. LS members who were
residentially mobile between urban and rural areas were relatively healthier than
long-term urban residents, with better mortality outcomes among rural in
migrants. In age-stratified analysis, LS members of working age (20-64 years)
moving out of rural areas, and LS members of retirement age (65 years and older)
moving into rural areas, were shown to be healthier. Processes of selective
migration in and out of rural areas in England are complex and may partly explain
urban-rural health inequalities. In terms of varying mortality risk, findings
also highlight the possible marginalisation and disadvantage of sub-groups of the
rural population.
PMID- 22075260
TI - Focus. An emerging conceptual scaffold for NASH.
PMID- 22075262
TI - Entecavir in the treatment of chronic hepatitis B in kidney transplantation.
PMID- 22075264
TI - In-situ Iberian pig carcass classification using a micro-electro-mechanical
system (MEMS)-based near infrared (NIR) spectrometer.
AB - Iberian pig (IP) products are gourmet foods highly appreciated at international
markets, reaching high prices, because of its exquisite flavors. At present,
there aren't practical and affordable analytical methods which can authenticate
every single piece put on the market. This paper reports on the performance of a
handheld micro-electro-mechanical system (MEMS)-based spectrometer (1600-2400nm)
for authentication-classification of individual IP carcasses into different
commercial categories. Performance (accuracy and instrumental design) of the
instrument was compared with that of high-resolution NIRS monochromators (400
2500nm). A total of 300 carcasses of IPs raised under different feeding regimes
("Acorn", "Recebo" and "Feed") were analyzed in three modes (intact fat in the
carcass, skin-free subcutaneous fat samples and melted fat samples). The best
classification results for the MEMS instrument were: 93.9% "Acorn" carcasses
correctly classified, 96.4% "Feed" and 60.6% "Recebo", respectively. Evaluation
of model performance confirmed the suitability of the handheld device for
individual, fast, non-destructive, low-cost analysis of IP carcasses on the
slaughterhouse line.
PMID- 22075263
TI - Commentary to "Intermediate-long term follow-up indicates low risk of recurrence
after double HIT endoscopic treatment for primary vesicoureteral reflux (VUR)".
PMID- 22075265
TI - Bayesian meta-analysis of the effect of fasting, transport and lairage times on
four attributes of pork meat quality.
AB - Technological meat quality is a significant economic factor in pork production,
and numerous publications have shown that it is strongly influenced both by
genetic status and by rearing and slaughter conditions. The quality of meat is
often described by meat pH at different times postmortem, as well as by color and
drip loss. A meta-analysis based on a database built from 27 studies
corresponding to a total of 6526 animals classified was carried out. The purpose
of this meta-analysis was to study the effect of fasting, lairage and transport
durations on four main attributes of the technological pork meat quality. A
Bayesian hierarchical meta-regression approach was adopted. The results of our
meta-analysis showed that fasting time had a significant effect on pH measured
24h post-mortem (pHu) and drip loss (DL) measured in longissimus muscle. While,
lairage affected only the pHu in semimembranosus muscle. Interestingly, we found
that DL was the lone attribute that was affected by transport time and its
interaction with fasting time.
PMID- 22075266
TI - Dissociable roles for lateral orbitofrontal cortex and lateral prefrontal cortex
during preference driven reversal learning.
AB - One of the archetypal task manipulations known to depend on frontal-lobe function
is reversal learning, where a dominant response must be overridden due to changes
in the contingencies relating stimuli, responses, and environmental feedback.
Previous studies have indicated that the lateral prefrontal cortex (LPFC), the
lateral orbitofrontal cortex (LOFC), the anterior cingulate cortex (ACC), and the
caudate nucleus (CN) all contribute to reversal learning. However, the exact
contributions that they make during this cognitively complex task remain poorly
defined. Here, using functional magnetic resonance imaging, we examine which of
the cognitive processes that contribute to the performance of a reversal best
predicts the pattern of activation within distinct sub-regions of the frontal
lobes. We demonstrate that during reversal learning the LOFC is particularly
sensitive to the implementation of the reversal, whereas the LPFC is recruited
more generally during attentional control. By contrast, the ACC and CN respond
when new searches are initiated regardless of whether the previous response is
available, whilst medial orbitofrontal cortex (MOFC) activity is correlated with
the positive affect of feedback. These results accord well with the hypothesis
that distinct components of adaptable behaviour are supported by anatomically
distinct components of the executive system.
PMID- 22075268
TI - Modest reversal of metabolic syndrome manifestations with vitamin D status
correction: a 12-month prospective study.
AB - Numerous cross-sectional studies have noted significant negative associations
between circulating levels of 25-hydroxyvitamin D and cardiometabolic risk
factors, highlighting potential extraskeletal functions of this sterol hormone.
Prospective studies, however, have been limited; and hence, no cause-and-effect
relations can be inferred. This study aims to determine whether vitamin D status
correction can reverse already established manifestations of the metabolic
syndrome (MetS). A total of 59 adult nondiabetic, overweight, and obese Saudis
(31 male, 28 female) were prospectively enrolled in this 1-year interventional
study. Anthropometry and biochemical evaluation were performed, including
determination of serum 25-hydroxyvitamin D, calcium, and phosphorous
concentrations, as well as fasting blood glucose and lipid profile. Subjects were
advised to regularly expose themselves to sunlight and increase intake of vitamin
D-rich foods. All measurements were repeated 6 and 12 months later. At the
initial baseline visit, the prevalence of both low high-density lipoprotein
cholesterol and hypertension was significantly increased among patients with 25
vitamin D deficiency (P < .05), even after adjusting for sex and body mass index.
Overall prevalence of MetS patients by the modified National Health and Nutrition
Examination Survey Adult Treatment Panel III definition decreased from 25.2% to
13.0%; and this was largely due to a parallel decrease in the prevalence of low
high-density lipoprotein cholesterol, triglycerides, and hypertension.
Optimization of vitamin D status through sun exposure and increased intake of a
vitamin D-rich diet can lead to an improved cardiometabolic profile, offering a
promising nonpharmacologic approach in the prevention of MetS manifestations.
PMID- 22075267
TI - Replication and meta-analysis of the gene-environment interaction between body
mass index and the interleukin-6 promoter polymorphism with higher insulin
resistance.
AB - Insulin resistance (IR) is a complex disorder caused by an interplay of both
genetic and environmental factors. Recent studies identified a significant
interaction between body mass index (BMI) and the rs1800795 polymorphism of the
interleukin-6 gene that influences both IR and onset of type 2 diabetes mellitus,
with obese individuals homozygous for the C allele demonstrating the highest
level of IR and greatest risk for type 2 diabetes mellitus. Replication of a gene
environment interaction is important to confirm the validity of the initial
finding and extend the generalizability of the results to other populations.
Thus, the objective of this study was to replicate this gene-environment
interaction on IR in a hypertensive population and perform a meta-analysis with
prior published results. The replication analysis was performed using white
individuals with hypertension from the Hypertensive Pathotype cohort (N = 311),
genotyped for rs1800795. Phenotype studies were conducted after participants
consumed 2 diets--high sodium (200 mmol/d) and low sodium (10 mmol/d)--for 7 days
each. Measurements for plasma glucose, insulin, and interleukin-6 were obtained
after 8 hours of fasting. Insulin resistance was characterized by the homeostatic
model assessment (HOMA-IR). In Hypertensive Pathotype, BMI was a significant
effect modifier of the relationship between rs1800795 and HOMA-IR; higher BMI was
associated with higher HOMA-IR among homozygote CC individuals when compared with
major allele G carriers (P = .003). Furthermore, the meta-analysis in 1028
individuals confirmed the result, demonstrating the same significant interaction
between rs1800795 and BMI on HOMA-IR (P = 1.05 * 10(-6)). This rare replication
of a gene-environment interaction extends the generalizability of the results to
hypertension while highlighting this polymorphism as a marker of IR in obese
individuals.
PMID- 22075269
TI - Resveratrol: is selectivity opening the key to therapeutic effects?
PMID- 22075271
TI - Association between thyroid hormone levels, the number of circulating
osteoprogenitor cells, and bone mineral density in euthyroid postmenopausal
women.
AB - In postmenopausal women, an association between reduced bone mineral density
(BMD) and increased number of circulating osteoprogenitor cells (COPs) has been
found. Although an increased thyroid function is associated with BMD, thyroid
hormones stimulate osteoblast function in vitro. We investigated whether thyroid
hormones within the reference range were correlated with the number of COPs and
stimulate mineralization in vitro. The number of COPs, defined as CD34+/alkaline
phosphatase (AP)+ or CD34+/osteocalcin (OCN)+ cells, was quantified by
fluorescence-activated cell sorting (FACS) analysis in 150 euthyroid
postmenopausal women. Participants underwent measurement of serum free thyroxine
(FT4), thyroid-stimulating hormone levels, and femur BMD. CD34+ cells were
isolated from healthy volunteers irrespective of AP or OCN expression, and the
effect of triiodothyronine (0.5-10 pmol/L)) on their ability to form mineralized
nodules in vitro was studied. The number of COPs was highest among women with
high-normal FT4 levels (>1.09 ng/dL). The FT4 levels were correlated positively
with circulating log-CD34+/AP+ (r = 0.32, P < .001) and log-CD34/OCN+ cells (r =
0.36, P < .001) and inversely with total femur BMD (r = -0.17, P = .036) but not
with femoral neck BMD. In a multivariate analysis, the FT4 levels were positively
correlated with the number of COPs, independent of age and BMD. The ability of
CD34+ cells to form mineralized nodules increased after exposure from low up to
high-normal triiodothyronine concentrations (P for trend = .003). Among euthyroid
postmenopausal women, high-normal FT4 levels are correlated with an increased
number of circulating immature osteoprogenitor cells and a very mild BMD
reduction. Exposure of CD34+ cells to physiological triiodothyronine
concentrations stimulates mineralization in vitro.
PMID- 22075272
TI - The association between triglyceride to high-density-lipoprotein cholesterol
ratio and insulin resistance in a multiethnic primary prevention cohort.
AB - The objective was to explore the clinical utility of triglyceride (TG) to high
density lipoprotein cholesterol (HDL-C) ratio in predicting insulin resistance
(IR) in 4 ethnic groups and the relationship between IR and TG/HDL-C in
comparison to that with other lipid measures. Apparently healthy Aboriginals,
Chinese, Europeans, and South Asians (N = 784) were assessed for
sociodemographics, lifestyle, anthropometry, lipids, glucose, and insulin. The
homeostasis model assessment of IR was used as a measure of IR. Compared with
other lipid parameters, TG/HDL-C was the highest correlate of the homeostasis
model assessment of IR (age and sex adjusted) in Aboriginals (r = 0.499, P <
.001), Chinese (r = 0.432, P < .001), Europeans (r = 0.597, P < .001), and South
Asians (0.372, P < .001). For a 1-unit increase in TG/HDL-C, the odds of being
insulin resistant increased about 4 times (odds ratio [OR], 3.95; 95% confidence
interval [CI], 1.86-8.42; P < .001) in Aboriginals, 3.4 times in Chinese (OR,
3.44; 95% CI, 1.79-6.62; P < .001), 1.9 times in Europeans (OR, 1.94; 95% CI,
1.00-3.75; P = .049), and 1.8 times in South Asians (OR, 1.77; 95% CI, 0.91-3.45;
P = .094) (age, sex, smoking, physical activity, body mass index, and waist
circumference adjusted). Receiver operating characteristic curve analyses
revealed areas under the curve (95% CI) of 0.777 (0.707-0.847) in Aboriginals,
0.723 (0.647-0.798) in Chinese, 0.752 (0.675-0.828) in Europeans, and 0.676
(0.590-0.762) in South Asians. Optimal cutoffs (sensitivity, specificity) of
TG/HDL-C for identifying individuals with IR were 0.9 (93.0%, 51.9%), 1.1 (71.7%,
61.5%), 1.1 (73.5%, 70.9%), and 1.8 (52.0%, 77.9%) in Aboriginal, Chinese,
European, and South Asian individuals, respectively. The TG/HDL-C ratio may be a
good marker to identify insulin-resistant individuals of Aboriginal, Chinese, and
European, but not South Asian, origin.
PMID- 22075270
TI - The relationship between vitamin D and the renin-angiotensin system in the
pathophysiology of hypertension, kidney disease, and diabetes.
AB - Vitamin D has been implicated in the pathophysiology of extraskeletal conditions
such as hypertension, kidney disease, and diabetes via its ability to negatively
regulate the renin-angiotensin system (RAS). This article reviews the evidence
supporting a link between vitamin D and the RAS in these conditions, with
specific emphasis on translational observations and their limitations. A
literature review of animal and human studies evaluating the role of vitamin D in
hypertension, kidney disease, and diabetes was performed. Excess activity of the
RAS has been implicated in the pathogenesis of hypertension, chronic kidney
disease, decreased insulin secretion, and insulin resistance. Animal studies
provide strong support for 1,25-dihydroxyvitamin D(3)-mediated downregulation of
renin expression and RAS activity via its interaction with the vitamin D
receptor. Furthermore, the activity of vitamin D metabolites in animals is
associated with reductions in blood pressure, proteinuria and renal injury, and
with improved beta-cell function. Many observational, and a few interventional,
studies in humans have supported these findings; however, there is a lack of well
designed prospective human interventional studies to definitively assess clinical
outcomes. There is a need for more well-designed prospective interventional
studies to validate this hypothesis in human clinical outcomes.
PMID- 22075273
TI - Short-term walnut consumption increases circulating total adiponectin and
apolipoprotein A concentrations, but does not affect markers of inflammation or
vascular injury in obese humans with the metabolic syndrome: data from a double
blinded, randomized, placebo-controlled study.
AB - Long-term consumption of walnuts is associated with lower cardiovascular disease
risk in epidemiological studies, possibly through improvements in lipid profile
and endothelial function. It remains to be elucidated how soon after initiation
of walnut consumption beneficial effects on lipid profile and biomarkers of
inflammation or vascular injury can be observed. Fifteen obese subjects (9 men
and 6 women; age, 58 +/- 2.5 years; body mass index, 36.6 +/- 1.7 kg/m(2)) with
the metabolic syndrome participated as inpatients in a randomized, double
blinded, placebo-controlled crossover study involving short-term placebo or
walnut-enriched diet (48 g/d for 4 days). Apolipoproteins and markers of
inflammation and vascular injury were measured before and after consumption of
the experimental diets. Consumption of walnuts was associated with a
statistically significant increase in serum apolipoprotein A concentrations (P =
.03), but did not affect circulating levels of fetuin A, resistin, C-reactive
protein, serum amyloid A, soluble intercellular adhesion molecules 1 and 3,
soluble vascular cell adhesion protein 1, interleukins 6 and 8, tumor necrosis
factor alpha, E-selectin, P-selectin, and thrombomodulin. Four days of walnut
consumption (48 g/d) leads to mild increases in apolipoprotein A concentrations,
changes that may precede and lead to the beneficial effects of walnuts on lipid
profile in obese subjects with the metabolic syndrome.
PMID- 22075274
TI - Systematic validation of specific phenotypic markers for in vitro polarized human
macrophages.
AB - BACKGROUND: Polarization of macrophages by specific micro-environmental
conditions impacts upon their function following subsequent activation. This
study aimed to systematically validate robust phenotypic markers for in vitro
polarized human macrophages in order to facilitate the study of macrophage
subsets in vivo. METHODS: Human peripheral blood monocytes were polarized in
vitro with IFN-gamma, IL-4, or IL-10. Similar experiments were performed with
TNF, IL-13, dexamethasone, M-CSF and GM-CSF as polarizing stimuli. Phenotypic
markers were assessed by flow cytometry and qPCR. RESULTS: IFN-gamma polarized
macrophages (MPhi(IFN-gamma)) specifically enhanced membrane expression of CD80
and CD64, IL-4 polarized macrophages (MPhi(IL-4)) mainly upregulated CD200R and
CD206, and downregulated CD14 levels, and IL-10 polarized macrophages (MPhi(IL
10)) selectively induced CD163, CD16, and CD32. The expression profiles of the
most specific markers were confirmed by qPCR, dose-response experiments, and the
use of alternative polarizing factors for each macrophage subset (TNF, IL-13, and
dexamethasone, respectively). GM-CSF polarized macrophages (MPhi(GM-CSF))
upregulated CD80 but not CD64 expression, showing a partial phenotypic similarity
with MPhi(IFN-gamma), and also upregulated the expression of the alternative
activation marker CD206. M-CSF polarized macrophages (MPhi(M-CSF)) not only
expressed increased levels of CD163 and CD16, resembling MPhi(IL-10,) but also
displayed high levels of CD64. The phenotype of MPhi(M-CSF) could be further
modulated by additional polarization with IFN-gamma, IL-4, or IL-10, whereas
MPhi(GM-CSF) showed less phenotypic plasticity. CONCLUSION: This study validated
CD80 as the most robust phenotypic marker for human MPhi(IFN-gamma), whereas
CD200R was upregulated and CD14 was specifically downregulated on MPhi(IL-4).
CD163 and CD16 were found to be specific markers for MPhi(IL-10). The GM-CSF/M
CSF differentiation model showed only a partial phenotypic similarity with the
IFN-gamma/IL-4/IL-10 induced polarization.
PMID- 22075276
TI - Heart failure and non-ST-segment elevation myocardial infarction: a review for a
widespread situation.
AB - Up to 15% of patients with NSTEMI present at admission with heart failure.
Scientific evidence for its management is limited but much progress has been made
during the last years. Our purpose was to review the last data concerning heart
failure in NSTEMI and perform an update on the subject, with the following
findings as main highlights. As Killip classes III and IV, Killip class II onset
in the context of NSTEMI has also proven bad prognosis significance. Beta-blocker
therapy has proven benefit to patients with Killip class II in observational
studies and small trials. Angiotensin-converting enzyme inhibitor therapy shows
stronger evidence of benefit in patients with heart failure than in patients
without it. Eplerenone is indicated for patients with left ventricular
dysfunction and heart failure or diabetes mellitus. Implantable cardioverter
defibrillators improve survival in patients with severe ventricular dysfunction
after a myocardial infarction. Cardiac resynchronization therapy indications must
be carefully assessed due to the high rate of implants that do not fulfill
guidelines indications. In conclusion, heart failure during a NSTEMI is a common
and meaningful situation which warrants careful management and further
investigation to reach stronger evidence for clinical recommendations.
PMID- 22075277
TI - Antisense therapy in the treatment of hypercholesterolemia.
AB - Cardiovascular disease, the leading causes of death worldwide, is a "preventable"
pathology, so that accessible and affordable interventions should be established
to target the leading risk factors, including hypercholesterolemia. Although
statin based therapy is commonplace in primary and secondary prevention, several
economical, clinical and safety issues have been raised, so that there is ongoing
research into new, safer and more effective agents to be used alone or in
combination with existing cardiovascular drugs. Antisense oligonucleotides (ASOs)
are a class of short, single-stranded synthetic analogs of nucleic acids that
bind to a target mRNA, preventing its translation and thereby inhibiting protein
synthesis. Apolipoprotein B-100 (apoB-100) is the major protein moiety of the
atherogenic lipoproteins LDL and Lp(a), thus representing the ideal target for
antisense therapy. Two anti-apoB100 (i.e., ISIS 301012 and ISIS 147764) and one
anti-apolipoprotein(a) (i.e., ASO 144367) have already been developed and tested
in some animal and human trials, providing promising results in terms of
significant reduction of both LDL and Lp(a). Nevertheless, some safety issues -
especially injection-site reactions and potential hepatotoxicity - have also
emerged, thereby slowing down the large clinical diffusion of these agents. The
present article provides an update on clinical data regarding antisense therapy
targeting human apolipoproteins, highlighting the benefits and the potential
risks of this innovative therapeutic approach for hypercholesterolemia and
hyperlipoproteinemia(a).
PMID- 22075275
TI - Methodology for isolation, identification and characterization of microvesicles
in peripheral blood.
AB - RATIONALE: Analyses of circulating cell membrane-derived microvesicles (MV) have
come under scrutiny as potential diagnostic and prognostic biomarkers of disease.
However, methods to isolate, label and quantify MV have been neither systematized
nor validated. OBJECTIVE: To determine how pre-analytical, analytical and post
analytical factors affect plasma MV counts, markers for cell of origin and
expression of procoagulant surface phosphatidylserine. METHODS AND RESULTS:
Peripheral venous blood samples were collected from healthy volunteers and
patients with cardiovascular disease and/or diabetes. Effects of blood sample
collection, anticoagulant and sample processing to platelet free plasma (PFP),
and MV isolation, staining and storage (freeze-thaw) and cytometer design were
evaluated with replicate samples from these populations. The key finding is that
use of citrate or EDTA anticoagulants decreases or eliminates microvesicles from
plasma by inducing adhesion of the microvesicles to platelets or other formed
elements. Protease inhibitor anticoagulants, including heparin, preserve MV
counts. A centrifugation protocol was developed in which recovery of isolated MV
was high with resolution down to the equivalent light scatter of 0.2 MUm latex
beads. Each procedure was systematically evaluated for its impact on the MV
counts and characteristics. CONCLUSION: This study provides a systematic
methodology for MV isolation, identification and quantification, essential for
development of MV as diagnostic and prognostic biomarkers of disease.
PMID- 22075278
TI - An overview on cognitive aspects implicated in medical decisions.
AB - Cognitive theories on decision making show that individuals often do not decide
in a full and rationale way, but instead use cognitive strategies that allow them
to overcome the limitations imposed by their limited rationality and the
difficulties derived from uncertainty. The first part of the paper will discuss
the role of heuristics and biases in medical decision making. This is an
interesting field of research since medical decisions must be fast and are often
complicated by rapid changes in the patient's clinical condition, uncertain
prognosis and unexpected or uncontrollable treatment effects. In such contexts
individuals are forced to rely on heuristics to assist them in taking decisions
which can sometimes produce cognitive biases. The second part of the paper will
be dedicated to discussing ways in which the patients' decisions can be improved.
The role of the shared decision making approach will be discussed as well as the
role of decision aids. Based on personal information coming from the physical and
psychological characteristics and needs of the patient, decision aids give
information about specific options and outcomes related to the patient's disease.
Provided with a set of well-defined alternatives, patients are assisted in taking
their preferred decisions, especially when there is more than one medically
reasonable opinion available. Moreover, decision aids facilitate and support the
shared decision-making, a process by which patients and physicians discuss and
evaluate the alternatives for a particular medical decision together.
PMID- 22075279
TI - Extra-articular manifestations of ankylosing spondylitis: prevalence,
characteristics and therapeutic implications.
AB - Ankylosing spondylitis (AS) is the most frequent and most severe subtype of
spondyloarthritis and can be an outcome of any of the other spondyloarthritis
subtypes. It primarily affects the axial joints, most notably the sacroiliac
joints. Other sites of involvement include the spine, peripheral joints, and
entheses (capsules, ligaments, and tendons). Inflammatory enthesopathy
progressing to ossification and ankylosis is the pathologic basis for the
disease. Extra-articular manifestations vary widely in terms of both frequency
and severity. The most common extra-articular manifestations are represented by
uveitis, bowel disease, heart, lung, skin, bone and kidney involvement. This
review focuses on prevalence and clinical characteristics of the most common
extra-articular manifestations in AS, and discuss the diagnosis and therapeutic
difficulties that rheumatologists faces when dealing with such manifestations.
The advantages of treatment with non-steroidal anti-inflammatory drugs (NSAIDs),
especially if continuous use is envisaged, should be weighted against possible
gastrointestinal and cardiovascular disadvantages. In the presence of history of
gastrointestinal complaints or a high cardiovascular risk, NSAIDs should be used
with caution. TNF inhibition has demonstrated effectiveness in the treatment of
AS symptoms and all currently available anti-TNF agents appear to have similar
efficacy. However, the efficacy of anti-TNF agents varies in the presence of
extra-articular manifestations. Etanercept appears to have very little effect on
inflammatory bowel disease and limited efficacy on the course of uveitis probably
inferior to the monoclonal antibodies infliximab and adalimumab.
PMID- 22075280
TI - Clinical approach to severe Clostridium difficile infection: update for the
hospital practitioner.
AB - The rising incidence of Clostridium difficile (C. difficile) infection or CDI is
now a problem of pandemic proportions. The NAP1 hypervirulent strain of C.
difficile is responsible for a majority of recent epidemics and the widespread
use of fluoroquinolone antibiotics may have facilitated the selective
proliferation of this strain. The NAP1 strain also is more likely to cause severe
and fulminant colitis characterized by marked leukocytosis, renal failure,
hemodynamic instability, and toxic megacolon. No single test suffices to diagnose
severe CDI, instead; the clinician must rely on a combination of clinical acumen,
laboratory testing, and radiologic and endoscopic modalities. Although oral
vancomycin and metronidazole are considered standard therapies in the medical
management of CDI, recently it has been demonstrated that vancomycin is the more
effective antibiotic in cases of severe disease. Moreover, early surgical
consultation is necessary in patients who do not respond to medical therapy or
who demonstrate rising white blood cell counts or hemodynamic instability
indicative of fulminant colitis. Subtotal colectomy with end ileostomy is the
procedure of choice for fulminant colitis. When applied to select patients in a
judicious and timely fashion, surgery can be a life-saving intervention. In
addition to these therapeutic approaches, several investigational treatments
including novel antibiotics, fecal bacteriotherapy and immunotherapy have shown
promise in the care of patients with severe CDI.
PMID- 22075281
TI - Combining patient administration and laboratory computer systems - a proposal to
measure and improve the quality of care.
AB - Several approaches to measuring the quality of hospital care have been suggested.
We propose the simple and objective approach of using the health related data of
the patient administration systems and the laboratory results that have been
collected and stored electronically in hospitals for years. Imaginative
manipulation of this data can give new insights into the quality of patient care.
PMID- 22075282
TI - Should we routinely treat patients with autoimmune/rheumatic diseases and chronic
hepatitis B virus infection starting biologic therapies with antiviral agents?
Yes.
AB - It is well established that hepatitis B virus (HBV) reactivation is common among
patients with various hematological or neoplastic diseases who receive
chemotherapeutic agents without appropriate antiviral prophylaxis and is
associated with significant morbidity and mortality. A number of recent studies
have indicated that treatment with anti-tumor necrosis factor (TNF) agents in
patients with autoimmune/rheumatic diseases carries a similar risk. Furthermore,
appropriate pre-emptive treatment with oral antivirals appears to significantly
reduce that risk and should be routinely implemented in clinical practice.
Similar data are available for B-cell depleting agents like rituximab from the
hematology literature, indicating the need for a similar approach in patients
with autoimmune diseases receiving such agents.
PMID- 22075283
TI - Should we routinely treat patients with autoimmune/rheumatic diseases and chronic
hepatitis B virus infection starting biologic therapies with antiviral agents?
NO.
AB - Hepatitis B virus (HBV) infection affects a large part of the world population.
Different virological HBV categories have been identified and managing strategies
for immunosuppressed patients with serological signs of current or past HBV
infection has been proposed. Those strategies developed to manage patients in the
haematology setting are based on strong evidence. Instead, management of such
patients in the rheumatologic setting, especially those treated with biologic
response modifiers, is mainly based on data derived by case reports and expert
opinions. More data are needed to better manage these patients in case of signs
of current or past HBV infection.
PMID- 22075284
TI - Treatment of hepatitis C virus infection in patients on maintenance hemodialysis:
a single United Arab Emirates center experience.
AB - BACKGROUND: Hepatitis C is prevalent among hemodialysis patients. In patients
with normal kidney function, treatment with pegylated interferon and ribavirin
can lead to eradication of HCV (hepatitis C virus). But the treatment is more
problematic in patients with impaired kidney function, in part due to the altered
pharmacokinetics of these medications. Despite recent guidelines, the optimal
strategy in this group of patients is not well defined. METHOD: In a
retrospective study, we reviewed all patients with chronic hepatitis C on
hemodialysis treated at Sheikh Khalifa Medical City, in the United Arab Emirates
between 2003 and 2009. The aim of our study was to determine the rate of
sustained viral response (SVR) and to establish the safety and rate of dropouts
in the different treatment regimens used (patients treated with peginterferon
only and patients treated with peginterferon and low dose ribavirin). RESULTS: 22
patients were treated during this period. 5 patients received monotherapy with a
reduced dose of peginterferon alfa 2b s.c. once weekly while 17 patients were
treated with a combination of reduced dose of peginterferon alfa 2a or 2b s.c.
once weekly and a low dose ribavirin (200mg/day). A SVR was achieved in 73%
(16/22 patients) of the total patient population and in 76% (13/17 patients) in
the sub-group of patients treated with a combination therapy. The tolerability
was high. No patients had to discontinue their treatment. The use of ESA
(erythropoietin stimulating agents) and G-CSF was common in the combination
therapy (94% and 53% respectively). CONCLUSION: In our study of patients on
hemodialysis with chronic hepatitis C, the use of peginterferon or a combination
of peginterferon with a low daily dose of ribavirin achieved a high rate of SVR
and the rate of dropout was low after pursuing an aggressive management of side
effects.
PMID- 22075285
TI - The use of terlipressin in cirrhotic patients with refractory ascites and normal
renal function: a multicentric study.
AB - Ascites is a common complication of liver cirrhosis, occurring in more than 50
60% of the patients within 10 years of the diagnosis. In 5-10% of patients,
ascites cannot be mobilized, or its early recurrence cannot be prevented by
medical treatment. This condition is known as "refractory ascites". The use of
terlipressin in cirrhotic patients with refractory ascites and normal renal
function has not been evaluated. This prospective study was aimed at evaluating
whether terlipressin in addition to standard therapy (diuretics plus albumin)
might improve the outcome of refractory ascites in cirrhotic patients without
HRS. PATIENTS: 26 cirrhotic patients with refractory ascites were prospectively
enrolled in this study. All the patients had tense (grade 3) ascites, and 10/26
showed also massive peripheral edema. Patients received maximum diuretic
treatment plus albumin and terlipressin. RESULTS: Complete response was seen in
16/26 patients. The higher response to therapy was seen during the 2nd week of
treatment. 6 patients showed a decrease of at least two points in the ascites
score. No differences in clinical response to treatment were seen according to
the etiology of the disease. CONCLUSIONS: In conclusion, our study shows a
synergistic effect of terlipressin vs treatment with albumin plus diuretics in
patients with refractory ascites. One could speculate that albumin might enhance
the vasoconstrictive response to terlipressin, thus contributing to
counterbalance the negative effects of systemic vasodilation, which characterizes
the hyperdynamic circulation of cirrhotic patients.
PMID- 22075286
TI - Does previous hypertension affect outcome in acute heart failure?
AB - BACKGROUND: The effect of previous long-term hypertension on mortality in acute
heart failure (HF), regardless of blood pressure values, has not been well
studied. METHODS: Acute Heart Failure Database (AHEAD) - Czech HF registry
enrolled 4153 consecutive patients with acute HF. We excluded severe forms
(cardiogenic shock, pulmonary oedema, right HF) and analysed 2421 patients with
known presence or absence of previous hypertension. Demographic, clinical and
laboratory profile, treatment and mortality rates were assessed and predictors of
outcome were identified. RESULTS: Patients with previous hypertension (71.5%)
were older, more of female gender, with worse pre-hospitalisation NYHA class,
increased incidence of co-morbidities and higher left ventricular ejection
fraction (LVEF). Although in-hospital mortality was similar in both cohorts
(2.6%), survival at 1, 2 and 3-year was worse in the hypertensive group (75.6%,
65.9% and 58.7% vs. 80.7%, 74.2% and 69.8%; P<0.001). Nevertheless, hypertension
was not associated with mortality in multivariate analysis and stronger
predictors of outcome were identified (P<0.05): new-onset acute HF [hazard ratio
(HR) 0.62] and increased body mass index (HR 0.68) proved to have a protective
role. Advanced age (HR 1.86), diabetes (HR 1.45), lower LVEF (HR 1.28) and
admission blood pressure (HR 1.54), elevated serum creatinine (HR 1.63),
hyponatremia (HR 1.77) and anaemia (HR 1.40) were associated with worse survival.
CONCLUSION: Antecedent hypertension is frequent in patients with acute HF and
contributes to organ and vascular impairment. However its presence has no
independent influence on short- and medium-term mortality, which is influenced by
other related co-morbidities.
PMID- 22075287
TI - Association between clusters of diseases and polypharmacy in hospitalized elderly
patients: results from the REPOSI study.
AB - BACKGROUND: Although the association between multimorbidity and polypharmacy has
been clearly documented, no study has analyzed whether or not specific
combinations of diseases influence the prescription of polypharmacy in older
persons. We assessed which clusters of diseases are associated with polypharmacy
in acute-care elderly in-patients. METHODS: This cross-sectional study was held
in 38 Italian internal medicine and geriatric wards participating in the Registro
Politerapie SIMI (REPOSI) study during 2008. The study sample included 1155 in
patients aged 65 years or older. Clusters of diseases, defined as two or more co
occurring specific chronic diseases, were identified using the odds ratio (OR)
for the associations between pairs of diseases followed by cluster analysis.
Polypharmacy was defined as the prescription of five or more different
medications at hospital discharge. Logistic regression models were run to analyze
the association between clusters of diseases and polypharmacy. RESULTS: Among
clusters of diseases, the highest mean number of drugs (>8) was found in patients
affected by heart failure (HF) plus chronic obstructive pulmonary disease (COPD),
HF plus chronic renal failure (CRF), COPD plus coronary heart disease (CHD),
diabetes mellitus plus CRF, and diabetes mellitus plus CHD plus cerebrovascular
disease (CVD). The strongest association between clusters of diseases and
polypharmacy was found for diabetes mellitus plus CHD plus CVD, diabetes plus
CHD, and HF plus atrial fibrillation (AF). CONCLUSIONS: The observed knowledge of
the relationship among co-occurring diseases and polypharmacy should help to
identify and monitor older in-patients at risk of polypharmacy.
PMID- 22075288
TI - Relation of functional characteristics and serum alpha-1-antitrypsin (AAT)
concentration in patients with PiMM phenotype and chronic obstructive pulmonary
disease (COPD).
AB - INTRODUCTION: The relation of AAT phenotype and COPD still raises lots of
controversy. In this study we aimed to investigate relation lung function
characteristics, AAT serum level and COPD in smoking and non smoking population.
PATIENTS AND METHODS: This was a prospective non-randomized study in which we
evaluated 45 patients with severe (stage IV) COPD. In all patients we determined
AAT phenotype, serum AAT levels and lung function tests. We correlated findings
in relation to the smoking status. RESULTS: All patients were MM type
homozygotes. Serum AAT concentrations were within the reference values, amounting
to 1.66g/l in smokers and 1.80g/l in nonsmokers. There was no significant
correlation between serum AAT concentrations and lung function parameters. We
have observed the higher mean values of ITGV, RV, TLC and RV/TLC in smokers and a
statistically significant difference only in ITGV. CONCLUSION: All of the
investigated patients with severe COPD were MM type homozygotes with normal
plasma level of AAT. There was no significant correlation between the phenotype
and severity of COPD. We did not find significant relation of plasma AAT level
and lung function impairment.
PMID- 22075289
TI - Risk factors for pulmonary hypertension in patients with beta thalassemia
intermedia.
AB - BACKGROUND: Pulmonary hypertension (PHT) is a common yet poorly understood
complication of beta thalassemia intermedia (TI). METHODS: We herein evaluated
risk factors for PHT in TI, through comparing 64 TI patients with evidence of PHT
by symptomatology and echocardiography (Group I) to age- and sex-matched TI
patients without PHT (Group II). Retrieved data included demographics, laboratory
parameters, clinical characteristics, and received treatments that may influence
PHT development; and reflected the period prior to PHT occurrence in Group I.
RESULTS: The mean age of Group I patients at development of PHT was 37.3+/
10.6years; with 44% being males. Among studied parameters, Group I patients were
more likely to be splenectomized (4.9-times), transfusion-naive (3.5-times);
hydroxyurea-naive (2.6-times), or iron chelation-naive (2.3-times); and have
nucleated red blood cell count >=300*10(6)/l (2.59-times) or a previous history
of thromboembolic events (3.69-times). CONCLUSION: TI patients who eventually
develop PHT may be identified early on by being splenectomized, having high
nucleated red blood cell counts and a previous history of thromboembolism.
Prospective clinical trials that evaluate the efficacy, safety, and cost
effectiveness of transfusion, iron chelation, and hydroxyurea therapy in
preventing PHT in TI are invited.
PMID- 22075290
TI - Molecular assay to detect nosocomial fungal infections in intensive care units.
AB - SUMMARY: The aims of this study were to determine the incidence of fungal
infections in hospital intensive care units and to evaluate a molecular method to
detect these infections. MATERIAL AND METHODS: The participants in this study
were patients admitted to any of the 10 intensive care units at Nemazi Hospital
(Shiraz, southern Iran) between March 2009 and January 2010. Oral and rectal
swabs, urine, and sputum samples from patients were checked for fungal
colonization. If a nosocomial fungal infection was suspected, clinical samples
were examined for fungal infection by culture, direct microscopic examination and
real-time PCR. Blood samples were cultured by bedside inoculation onto BACTEC
medium. Susceptibility of the isolates to antifungal agents was also determined.
RESULTS: Of 870 patients, 550 (63.2%) had Candida colonization in different body
sites and 17 (1.9%) had fungal infections. The mortality rate in patients with
fungal infections was 58.8% (10 cases). The etiologic agents were Candida
albicans, Candida glabrata, Aspergillus flavus, Aspergillus fumigatus and
Aspergillus spp. Three C. albicans were found to be resistant to amphotericin B
and itraconazole, and one A. fumigatus and two A. flavus were resistant to
amphotericin B, ketoconazole and itraconazole. One A. fumigatus was additionally
resistant to caspofungin. CONCLUSIONS: Considering the incidence of fungal
infections and their high mortality rate, early detection, prompt diagnosis and
treatment are critical. Molecular assays can serve as a diagnostic tool to manage
patients admitted to the intensive care unit. Antifungal susceptibility testing
in different geographical regions can support the choice of prophylaxis and
treatment for these patients.
PMID- 22075291
TI - Calcium channel antagonist exposures reported to the Poisons Information Center
Erfurt.
AB - BACKGROUND: We investigated the toxicity profile of the three main groups of
calcium channel antagonists (CCA) and compared mixed CCA exposures (CCA plus
another drug) with mono CCA exposures. METHODS: All CCA exposures reported to the
PIC Erfurt from 2000 to 2009 were analyzed retrospectively. RESULTS: In total,
727 (230 mono and 497 mixed) CCA exposures were registered. Although CCA
exposures increased almost twofold from 56 in 2000 to 108 in 2009 their relative
frequency to all exposures remained constant. The five CCAs most frequently
involved in exposures were the five most frequently prescribed ones in Germany
over the same period. In mono and mixed CCA exposures, none or minor symptoms
were most often seen with dihydropyridines (mono: 84.7%; mixed: 68.0%) followed
by diltiazem (mono: 71.4%; mixed: 62.5%) and verapamil (mono: 57.1%; mixed:
50.0%). Highest rates of moderate (mono: 8.6%: mixed: 20.2%) and severe symptoms
(mono: 18.6%; mixed: 23.7%) were observed after verapamil ingestions. Death most
frequently occurred with diltiazem (mono: 28.6%; mixed: 12.5%). Rates of moderate
symptoms were higher in mixed (13.3%) than in mono CCA exposures (4.8%). No
distinct differences were seen regarding the relative frequency of none or minor
symptoms, severe symptoms, and death between mono and mixed CCA exposures.
CONCLUSION: Exposures to verapamil more often resulted in moderate and severe
symptoms than with dihydropyridines. Death mainly occurred with diltiazem.
Moderate symptoms were more frequent in mixed than in mono CCA exposures. The
frequency of CCAs involved in exposure was related to their prescription.
PMID- 22075292
TI - Mucosal healing for predicting clinical outcome in patients with ulcerative
colitis using thiopurines in monotherapy.
AB - BACKGROUND: Mucosal healing (MH) has emerged as a desirable treatment goal for
patients with ulcerative colitis (UC). Currently little is known about the
efficacy of using thiopurine immunosuppressants in monotherapy to achieve and
maintain long-term MH in UC. This study analyzes the efficacy and the clinical
impact of MH in patients with UC responded to thiopurine immunosuppressants in
the long term. METHODS: An open, observational, cohort study in 20 patients with
UC had been in clinical remission in monotherapy with thiopurine
immunosuppressants for at least 1 year. MH was assessed by endoscopy. The
patients according to the Mayo Endoscopic Score (0 vs 1 and 2), were followed
until the end of the study or patient relapse. (according to Truelove and Witts
criteria). RESULTS: Mean treatment time was 5.4 years. Twelve (60%) patients
presented a Mayo Endoscopic Score of 0. A total of 18 patients were followed up
for a median of 27.1 months. After endoscopy, 4 patients (22.2%) presented
relapse, with a mean time of 27.5 months for a score >=1 (95% CI; 18.2-36.8)
versus 54.3 months for a score=0 (95% CI 47.2-61.3) (p=0.032). CONCLUSIONS: This
study shows the efficacy of thiopurine immunosuppressants in achieving mucosal
healing in patients who respond to thiopurine immunosuppressants in the long
term. We also observe the presence of endoscopy activity is not a rare event in
this group of patients and is a predictor of early relapse.
PMID- 22075293
TI - Hyperleptinaemia positively correlated with metabolic syndrome in hemodialysis
patients.
AB - OBJECTIVE: To evaluate the relationship between metabolic syndrome (MetS) and the
fasting serum leptin concentration in hemodialysis (HD) patients. PATIENTS AND
METHODS: Fasting blood samples were obtained from 101 HD patients. MetS and its
components were defined using the diagnostic criteria of the International
Diabetes Federation. RESULTS: Forty-eight patients (47.5%) had MetS. Serum leptin
concentrations were positively correlated with MetS (p<0.001). Serum leptin
levels correlated with increasing numbers of MetS criteria in HD patients
(p=0.001). Univariate linear regression analysis showed that the pre-HD body
weight (p<0.001), waist circumference (p<0.001), body mass index (p=0.001),
triglycerides (p=0.003), insulin level (p=0.043), and homeostasis model
assessment of insulin resistance (p=0.003) positively correlated with serum
leptin levels in HD patients and high-density lipoprotein-cholesterol (p=0.016)
negatively correlated with serum leptin levels in HD patients. Multivariate
forward stepwise linear regression analysis of the significant variables revealed
that pre-HD body weight (R(2)=0.175; p<0.001) was the independent predictor of
the fasting serum leptin concentration. CONCLUSION: Fasting serum leptin levels
positively correlated with MetS and the pre-HD body weight could influence serum
leptin in HD patients.
PMID- 22075294
TI - Biochemical and ultrasound parameters may help predict the need for therapeutic
endoscopic retrograde cholangiopancreatography (ERCP) in patients with a firm
clinical and biochemical suspicion for choledocholithiasis.
AB - BACKGROUND: Prediction of the need for therapeutic endoscopic retrograde
cholangiopancreatography (ERCP) in patients with suspected choledocholithiasis
(CDL) remains a challenging task. AIMS: We aimed to evaluate the predictive value
of biochemical and ultrasound parameters and to create a corresponding model for
prediction of the need for therapeutic ERCP. METHODS: 203 consecutive patients
referred to our center due to a firm clinical and/or biochemical suspicion for
CDL. All patients underwent ERCP. Biochemical and ultrasound variables were
analyzed. RESULTS: The sample was divided into testing group (103; 50.7%) and
validation group (100; 49.3%) which did not differ in their baseline
characteristics. Elevated gamma glutamil transaminase (GGT), common bile duct
(CBD) diameter and presence of hyperechoic structures in CBD were found to be
significant predictors for presence of CBD stones on ERCP (p<0.05) in the testing
group. We used these variables to construct a predictive model for the presence
of CBD stones on ERCP. The model was tested on a second, validation group of
patients using ROC analysis with the area under the ROC curve of 0.81 (%95
CI=0.75-0.86; p<0.001). We identified a threshold (0.86) above which, patients
had a high probability (93.1%) for the need for interventional ERCP. CONCLUSION:
Our predictive model may help predict the need for therapeutic ERCP in patients
with a suspicion for choledocholithiasis.
PMID- 22075295
TI - Hoover's sign is a predictor of airflow obstruction severity and is not related
to hyperinflation in chronic obstructive pulmonary disease.
AB - BACKGROUND: Several phenotypes are described in COPD. OBJECTIVES: To assess if
COPD patients with Hoover's sign (HS) belong to a particular phenotype. METHODS:
All consecutive COPD patients with varying degree of airflow obstruction that
came for lung function testing in one university hospital were prospectively
assessed, using clinical and magnetometer detection of HS, body mass index (BMI),
St. George's Respiratory Questionnaire for health-related quality of life, six
minute-walk test (6MWT) with inspiratory capacity (IC) measurements and
expiratory flow limitation (EFL) detection. Previous exacerbations were also
reported. RESULTS: 82 patients were studied. Magnetometers confirmed HS in 56 of
them, of which 79% (44/56) were detected by clinical assessment. HS (+) patients
were older (64 +/- 10 vs 59 +/- 10 years, p=0.03), had a higher BMI (26 +/- 5 vs
23 +/- 4, p=0.04), a lower FEV1 (53% +/- 18% vs 63% +/- 18% pred, p=0.02) and a
higher IC decrease at the end of 6MWT, (-19 +/- 2 vs -7 +/- 4% pred, p=0.003). A
larger proportion of HS (+) patients also reported severe exacerbations during
the past 2 years (39% vs 12% p=0.01). There was no statistical evidence that HS
was related to hyperinflation and/or EFL. CONCLUSION: The very simple clinical HS
allows identifying a particular population of COPD patients of older age and
higher BMI with a more severe airflow obstruction, increased dynamic
hyperinflation during exercise and higher exacerbation frequency. These
characteristics were not linked to hyperinflation or EFL.
PMID- 22075296
TI - Acute Respiratory Distress Syndrome as a presenting manifestation in young
patients infected with H1N1 influenza virus.
AB - INTRODUCTION: The new strain of influenza A (H1N1) 2009, often referred to
colloquially as "swine flu", which was first detected in April 2009, raised to a
pandemic of which the impact was not completely predictable. As reported,
numerous cases with severe respiratory failure were also seen among young
previously healthy people. PATIENTS: In the present study, we report eight cases
of influenza A (H1N1) 2009 admitted to our medical intensive care with severe
respiratory failure between November and December 2009 and in January 2011. All
patients were older than 30 but younger than 50 years, had clinical and
radiological evidence of an Acute Respiratory Distress Syndrome (ARDS) and needed
invasive ventilatory support. RESULTS: Six of the eight patients had no relevant
underlying disease; one had a pre-existing idiopathic lung fibrosis and another
had a chronic obstructive pulmonary disease (COPD), an abuse of alcohol and an
adiposities grade 3. Four patients needed an extracorporeal membrane oxygenation
(ECMO) due to severe respiratory failure with global respiratory insufficiency
that could not be treated by conservative ventilatory support. The one patient
with a pre-existing lung fibrosis died shortly after lung transplantation despite
use of an extracorporeal membrane oxygenation. One other patient died due to a
subarachnoidal bleeding under the anticoagulatory regime during ECMO therapy. The
adipose COPD-patient died due to septic shock with multiple organ failure without
possibility for ECMO support. CONCLUSIONS: The clinical course of severe cases of
influenza A (H1N1) 2009-infection is markedly different from the disease pattern
seen during epidemics of seasonal influenza. Most of the patients admitted to our
intensive care unit due to influenza A (H1N1) 2009 associated ARDS were
previously healthy young people.
PMID- 22075297
TI - Neurosarcoidosis: report of 30 cases and a literature survey.
AB - INTRODUCTION: Neurosarcoidosis accounts for approximately 5% of cases of
sarcoidosis. OBJECTIVE: To determine the frequency of Neurosarcoidosis in our
setting and analyze the clinical-radiological findings and evolution of 30
patients consecutively diagnosed. METHODS: The medical records of patients with a
diagnosis of Neurosarcoidosis were reviewed, and data regarding the clinical
features, ancillary tests performed, treatment, and outcome were recorded. We
revised the literature to summarize and discuss the previous clinical series of
Neurosarcoidosis. RESULTS: It accounted for 6.7% of all cases of sarcoidosis.
Seven patients had definite diagnosis and 23 had probable diagnosis. The mean age
at onset of Neurosarcoidosis was 48.3 years and 66.7% of patients were women.
Neurologic clinical features were the first manifestation of Neurosarcoidosis in
70% of cases. Cranial neuropathy was present in 17 patients and 14 of them had
facial palsy. The central nervous system was affected in 10 patients and the
peripheral nervous system in 5. Chest disease, the most common extraneurologic
manifestation, was present in 20 patients. All patients were treated with
corticosteroids, and all those with central nervous system involvement had poor
outcome. CONCLUSION: Neurosarcoidosis requires a high degree of suspicion to
establish the diagnosis. Central nervous system involvement is associated with a
poor prognosis.
PMID- 22075298
TI - Low testosterone level in middle-aged male patients with coronary artery disease.
AB - BACKGROUND: Endogenous testosterone has been shown to provide a protective role
in the development of cardiovascular diseases in men. This study investigated the
changes of testosterone level and its relationship to the severity of coronary
artery stenosis in middle-aged men with coronary artery disease (CAD). METHODS:
Serum testosterone concentration was measured in 87 middle-aged men patients with
CAD including stable angina pectoris (SAP), unstable angina pectoris (USAP) and
acute myocardial infarction (AMI). All patients underwent coronary angiography
and the severity of coronary stenosis was estimated by the Gensini coronary
score. The patients with the severity of coronary artery stenosis of less than
50% served as control group. RESULTS: The levels of testosterone in SAP group
(488.2 +/- 96.8ng/dl), USAP group (411.6 +/- 128.6ng/dl) and AMI group (365.3 +/-
116.6ng/dl) were significantly lower than that in control group (562.8 +/-
110.2ng/dl) (all p<0.05). When compared with another group among SAP, USAP and
AMI groups, the level of testosterone in the AMI group was the lowest, the USAP
group was the median while the SAP group was the highest (all p<0.05). There was
a significant correlation between angiographic Gensini score and testosterone
level (n=87, r=-0.513, p<0.05). Multiple regression analysis found that
testosterone and BMI were independent predictors for CAD (testosterone: odds
ratio 0.311, 95% confidence interval 0.174-0.512; BMI: odds ratio 1.905, 95%
confidence interval 1.116-2.973). CONCLUSION: The present study showed that
middle-aged male patients with CAD present a lower level of serum testosterone
and the testosterone level was negatively correlated with the severity of
coronary artery stenosis.
PMID- 22075300
TI - When routine is wrong? The experience of chest radiography.
PMID- 22075299
TI - Bile acid malabsorption investigated by selenium-75-homocholic acid taurine
((75)SeHCAT) scans: causes and treatment responses to cholestyramine in 298
patients with chronic watery diarrhoea.
AB - BACKGROUND: The liver produces and secretes bile acids into the small intestine.
In the small intestine, most of the bile acids are absorbed in the distal ileum
with portal vein transportation back to the liver and resecretion (enterohepatic
recycling). Increased spillover of bile acids from the small intestine into the
colon (bile acid malabsorption) may affect the secretion of colonic water and
electrolytes and result in watery diarrhoea. The aim of this study was to
investigate the frequency of bile acid malabsorption and treatment responses to
cholestyramine with (75)SeHCAT scanning among patients suffering from chronic
watery diarrhoea. METHODS: This was a retrospective study that included all
patients who received a (75)SeHCAT scan over a five-year period (2004-2009).
RESULTS: In total, 298 patients (198 females, 100 men) with a median age of 42
years (range 16-82 years) were investigated. Bile acid malabsorption ((75)SeHCAT
retention<15% after seven days) was identified in 201 patients (68%, 95%
confidence interval (CI): 62%-73%). Bile acid malabsorption due to ileal
dysfunction (Type I) was found in 77 patients, idiopathic bile acid malabsorption
(Type II) was found in 68 patients and 56 patients with other conditions had bile
acid malabsorption (Type III). Of the 150 patients who were able to take
cholestyramine continuously, 108 patients (71%, CI: 63%-78%) reported a positive
effect on their bowel habits. CONCLUSIONS: Bile acid malabsorption is a frequent
problem in patients with chronic watery diarrhoea. Treatment with bile acid
binders was effective regardless of type and severity.
PMID- 22075301
TI - The routine chest X-ray is still needed.
PMID- 22075302
TI - The health risks of acute exercise should also matter to internal medicine.
PMID- 22075303
TI - Chronic physical exercise: beneficial effects overcome risks when correctly
prescribed.
PMID- 22075304
TI - Helicobacter pylori infection and the risk for cardiovascular disease.
PMID- 22075306
TI - A diagnostic study: confidence intervals must be given, and likelihood ratios
calculated.
PMID- 22075308
TI - Glucose levels at admission and mortality in admitted medical patients: a not so
strict association.
PMID- 22075309
TI - Prognostic value of B-type natriuretic peptide in patients with left bundle
branch block admitted for acute heart failure.
PMID- 22075310
TI - Favourable outcome of life-threatening infectious-related haemophagocytic
syndrome after combination treatment with corticosteroids and intravenous
immunoglobulin infusions.
PMID- 22075311
TI - Capillaroscopy as a screening test for clinical antiphospholipid syndrome.
PMID- 22075312
TI - Difficulties in identifying Wernicke-delirium.
PMID- 22075313
TI - Subcutaneous sarcoidosis: a predictor of systemic disease?
PMID- 22075314
TI - Survival after 7 years of follow-up at ninety. The NonaSantfeliu study.
PMID- 22075315
TI - The mentor-mentee relationship in academic medicine.
PMID- 22075317
TI - Monosensitization and polysensitization in allergic rhinitis.
AB - BACKGROUND: Polysensitization is common in patients with allergic rhinitis (AR)
and may affect clinical feature. However, there are patients who remain
monosensitized. OBJECTIVE: This cross-sectional study aimed at evaluating a large
cohort of AR patients to define the percentage and the features of mono- and poly
sensitized subjects. METHODS: This observational cross-sectional study included a
large group of AR patients: 2415 subjects (1958 males, mean age 24.6 +/- 5 years)
were consecutively evaluated. Symptom severity, type and number of
sensitizations, and AR duration were considered. RESULTS: 621 patients (25.7%)
were monosensitized: 377 to Parietaria, 194 to house dust mites, 19 to birch, 17
to grasses, 12 to molds, 2 to olive, and 1 to cypress. There was no difference
between mono- and polysensitized patients concerning the duration of rhinitis (6
+/- 2.14 years vs 6 +/- 3.7). Severity of symptoms was higher in polysensitized
patients than in monosensitized (p<0.05); in addition, there was a difference
among monosensitized patients: Parietaria-allergy induces the most severe
symptoms. CONCLUSION: This study conducted in a large AR population might suggest
that monosensitized and polysensitized AR patients could constitute two different
categories. In addition, the specific type of allergy may condition the clinical
feature.
PMID- 22075316
TI - Successful exclusion of blood-borne viral disease in blood donors.
AB - BACKGROUND: All blood transfusion services have various rules to insure that
blood products are kept safe for transfusion. Donor selection is crucial in
providing safe blood. We propose in our study to determine the influence of donor
selection on blood safety. METHODS: In this case-control study, donors who were
referred to the Qazvin Blood Transfusion Organization during 2007-2009 were
selected. Based on a special questionnaire, all blood donors were examined by
physicians and every donor was categorized as one of two types of rejected donors
(high risk and low risk) or as accepted donors. Data were analyzed by SPSS
version 13.0, using chi-square and Fisher's exact tests, where P<0.05 was
considered significant. RESULTS: Single donors, the self-employed, the unemployed
and students were banned from donation due to high-risk criteria (P<0.0001,
0.003). Female donors were banned from donation due to low-risk criteria.
Hepatitis B was more prevalent among cases rejected for donation due to high-risk
criteria than among healthy controls (P=0.014); but not so for hepatitis C
(P=0.058). Hepatitis B was not significantly more prevalent among those cases
rejected for donation due to low-risk criteria, than among healthy cases.
CONCLUSION: Those having a history of unsafe sexual conduct and intravenous drug
abusers and their spouses are at risk for hepatitis C. Cases rejected for
donation due to low-risk criteria were banned from donation to maintain the
donors' health, while other cases with low-risk criteria were banned to guarantee
the blood recipients' health.
PMID- 22075318
TI - Impaired carbon monoxide diffusing capacity as a marker of limited systemic
sclerosis.
AB - BACKGROUND: As impairment of diffusing capacity for carbon monoxide (DLCO) likely
reflects underlying pulmonary vasculopathy in limited systemic sclerosis (lSSc),
we examined whether DLCO could help to distinguish secondary from idiopathic
Raynaud's phenomenon (iRP). METHODS: We compared pulmonary function test (PFT)
results in 145 lSSc patients and 24 age- and sex-matched iRP patients. RP
duration at time of PFT was similar in the two groups. RESULTS: DLCO values were
low (<80% of predicted) in 106 (73%) of the 145 lSSc patients, and in 69 (71%) of
the 97 patients with early lSSc. Interstitial lung disease (ILD) was found in 10%
of lSSc patients. DLCO was significantly lower in lSSc than in iRP (72+/-15%
versus 89+/-9%, p<0.0001). When evaluated, alveolar capillary membrane
conductance (Dm) was markedly lower in lSSc patients without ILD than in iRP
patients (45+/-12% versus 71+/-2.5%, p=0.003), although capillary blood volume
was not different. DLCO was low in 3 iRP patients (12.5%). The sensitivity and
specificity of low DLCO values for early lSSc diagnosis in patients with
Raynaud's phenomenon were 71% and 87.5%, respectively. Sensitivity was similar to
that of anti-centromere-antibodies (75%) and nailfold capillary abnormalities
(81%). A DLCO cutoff of <70% had a sensitivity and specificity of 41% and 100%,
respectively. In multivariable analysis, age and low DLCO were the only
independent predictors of death; the hazard ratio for DLCO <=50% was 7.9 (95% CI
2.3-26, p=0.0007). CONCLUSION: Isolated DLCO impairment is significantly more
frequent in patients with lSSc than in patients with idiopathic iRP. DLCO
measurement could be a useful diagnostic tool for lSSc.
PMID- 22075319
TI - Removal of retrievable vena cava filters in routine practice: a multicenter
study.
AB - Vena cava filters (VCFs) are used to prevent pulmonary embolism when
anticoagulation is contraindicated or in the event of progression of thrombosis
despite adequate anticoagulation. Retrievable VCFs provide a potential advantage
over permanent VCFs, but the appropriateness of their use and the frequency with
which they are removed is not well established. OBJECTIVES: Document the
indications for insertion of retrievable VCFs, filter removal in hospital
practice. METHODS: Observational study conducted in three academic medical
centers. Consecutive patients undergoing retrievable VCF insertion were
identified. Clinical data was extracted from the patients' charts and follow up
data were obtained from treating physicians after discharge. RESULTS: 300
patients were studied. The indication for filter insertion was acute bleeding
(46.1%) or surgery (24.2%) in patients with acute thrombosis, prevention of
venous thromboembolism in trauma (13.3%), potential bleeding in patients with
deep vein thrombosis (9.1%) thromboembolism while on adequate anticoagulation
(5.7%) and other (1.3%). 21 (7%) filters were removed. An unsuccessful attempt at
retrieval was undertaken in a further 9 (3%) patients. CONCLUSIONS: The use of
retrievable VCFs was appropriate, with the possible exception of their
prophylactic use in major trauma. The majority of VCFs were not removed, for
reasons that are not apparent.
PMID- 22075320
TI - Renin-angiotensin system inhibitors reduce the progression of
mesangioproliferative glomerulonephritis: 10 year follow-up.
AB - BACKGROUND: Proteinuria is a common presentation of mesangioproliferative
glomerulonephritis (MsPGN). No studies are available on the long-term effect of
treatment by renin-angiotensin system (RAS) inhibitors on renal outcome in MsPGN
patients. This study prospectively evaluates the effects of RAS inhibitors on
renal outcome in patients with low risk MsPGN followed up for 10 years using
historical patients with similar features at the time of presentation as
untreated controls. METHODS: ENDPOINTS: decrease of basal proteinuria>20% and
loss>20% of basal glomerular filtrate rate (GFR) at the end of first year of
observation. The patients were re-evaluated bimonthly during the first year and
every 6 months thereafter. RESULTS: Twenty-five patients fulfilled the selection
criteria. After one year follow-up 19 patients reached the endpoint of
proteinuria and no patient reached the endpoint of GFR. No significant change in
blood pressure levels (BP) and GFR was registered, by contrast daily proteinuria
decreased significantly (p<0.001), falling by 29% at sixth month and 47% at the
end of the follow-up. The historical control group consisted of 15 untreated
patients seen between 1987 and 1992. The two-way analysis of variance for
repeated measures showed greater values of GFR (p<0.001) and lower levels of
daily proteinuria (p<0.001) in treated patients as compared to untreated
controls. CONCLUSIONS: This 10-year follow-up study indicates that the early
treatment with RAS inhibitors at low doses favourably influences the long-term
renal outcome in proteinuric patients with MsPGN. Limitations were the small
sample size and lack of randomization.
PMID- 22075322
TI - Tuberculous cerebral vasculitis: retrospective study of 10 cases.
AB - BACKGROUND: Tuberculous cerebral vasculitis is a complication of tuberculous
meningitis. This study was undertaken to determine the epidemiological
characteristics, context, diagnostic means and outcomes under treatment of
tuberculous cerebral vasculitides. METHODS: All consecutive patients diagnosed
with tuberculous cerebral vasculitis were identified from the databases of three
Internal Medicine, one Neurology and one Infectious Disease Departments in three
suburban Parisian hospitals. RESULTS: We describe 10 cases: five men and five
women (median age 33.5 [range: 27-55] years). Two were infected with the human
immunodeficiency virus. Nine patients had tuberculous meningitis, eight with
extraneurological involvement. The following manifestations led to the diagnosis:
motor deficit, acute confusional state, headaches, involvement, coma and/or
seizures. The cerebral vasculitis revealed tuberculosis in three patients, but
tuberculosis was already known when vasculitis was diagnosed for the seven
others. The cerebral computed-tomography scan showed cerebral infarctions in five
patients, hydrocephalus and tuberculomas in four, while magnetic resonance
imaging detected infarctions and leptomeningitis in nine patients,
pachymeningitis in one, hydrocephalus and tuberculomas in seven. Therapy combined
antituberculous agents with oral corticosteroids for all patients, preceded by a
methylprednisolone pulse for five patients. Outcome was favorable for nine
patients. CONCLUSION: We described the non-negligible frequency of tuberculous
cerebral vasculitides, their clinical manifestations and their potential
severity, and the diagnostic and monitoring contributions of magnetic resonance
imaging and magnetic resonance angiography.
PMID- 22075321
TI - The predictive value of mean platelet volume in differential diagnosis of non
functional pancreatic neuroendocrine tumors from pancreatic adenocarcinomas.
AB - OBJECTIVE: The aim of the present study is to evaluate in a retrospective manner
the diagnostic value of mean platelet volume (MPV) in pancreatic adenocarcinomas
and pancreatic neuroendocrine tumors (PNETs). PATIENTS AND METHODS: A total of 92
patients, who were admitted for pancreatic adenocarcinoma (n=76) and PNET (n=16)
between March 2007 and December 2009, were analyzed retrospectively for
demographics and clinical information. RESULTS: Thirty-nine patients (51.3%) had
a resectable, whereas 37 patients (48.7%) had an unresectable pancreatic
adenocarcinoma. Nine patients (56.3%) had a non-functional PNET, 6 patients
(37.5%) had an insulinoma, and the remaining one patient had a gastrinoma. The
mean age was 59.3+/-10.5 for pancreatic adenocarcinomas and 45.1+/-10.6 for
PNETs. The mean age at diagnosis was significantly higher in patients with
pancreatic adenocarcinomas than the patients with PNET (p<0.001). Preoperative
mean hemoglobin levels were significantly lower in patients with pancreatic
adenocarcinoma than those with PNET (12.4+/-1.8g/dl vs 13.7+/-2.2g/dl),
(p<0.013). The preoperative median MPV levels were significantly lower in
patients with PNET 7.8fL (7.2-9.4) than in patients with pancreatic
adenocarcinomas 8.6fL (6.6-13.5), (p<0.014). In subgroup analysis, a significant
difference in MPV levels was mainly caused by the difference between pancreatic
adenocarcinomas and non-functional PNETs (p=0.017). The cut-off value of MPV
level for detection of PNETs was calculated as<=7.8fL using ROC analysis
[Sensitivity: 66.7%, specificity: 75.9%, AUC: 0.734 (0.587-0.880) p=0.022]. In
logistic regression analysis, independent predictive factors for determining
PNETs in the differential diagnosis of pancreatic adenocarcinomas were calculated
as age (OR=0.068, 95% CI: 0.012-0.398), Ca 19-9 (OR=0.039, 95% CI: 0.006-0.263),
MPV (OR=0.595, 95% CI: 0.243-1.458), and hemoglobin (OR=1.317, 95% CI: 0.831
2.086). CONCLUSION: Age, Ca 19-9, MPV, and hemoglobin levels have diagnostic
value for distinguishing PNETs from pancreatic adenocarcinomas.
PMID- 22075323
TI - A case of an extremely large accessory bone with unusual sutures and foramina
parietalia permagna in multiple premature craniosynostoses.
AB - BACKGROUND: Within the entity of craniofacial malformations premature
craniosynostoses represent the majority of cases. They originate from
disturbances in the ossification process, resulting in an osseous closure of
cranial sutures ahead of time. Depending on severity and number of the affected
sutures, a local or general growth inhibition of the skull follows. In the rare
instance of accessory bones along these affected sutures, they may interfere with
diagnostics and therapy. PATIENT: This clinical report describes the case of a
seven-month-old male infant with multiple craniosynostoses, an extraordinary
large accessory median calvarial bone, two foramina parietalia permagna and a
submucosal cleft palate. Chromosomal and genetic analysis did not reveal
potential mutations. RESULTS: The osseous abnormalities were diagnosed and
displayed by three-dimensional computed tomography. The sequential surgical
treatment consisted of occipital remodelling at seven months of age and
frontoorbital advancement at fourteen months. CONCLUSION: This rare anatomical
variation of the cranial bones - superimposed by multiple premature
craniosynostoses - demonstrates the necessity of accurately conducted
preoperative diagnostics for appropriate surgical planning. Knowledge, and
precise medical examination of potential anatomical variations facilitate the
planning and secure performance of surgery as well as its outcome.
PMID- 22075324
TI - A longitudinal study on the osteoarthritic change of the temporomandibular joint
based on 1-year follow-up computed tomography.
AB - This study aims to report the results of a 1-year computed tomographic (CT)
follow up of 54 temporomandibular joints (TMJs) affected by osteoarthritis (OA)
and to provide longitudinal information on changes in OA and its relationship
with clinical signs and symptoms. TMJ OA was diagnosed by history, clinical and
CT examinations. The second CT examination was done after about 1 year of
conservative treatment. Joints were divided into three groups labeled as no
change, improved CT, and worsened CT groups depending on the longitudinal bony
change of OA observed in the CT. The sex distribution, mean age, joint noise,
subjective pain, joint tenderness, masticatory muscle tenderness, and improvement
of subjective symptoms were not different between the groups at the first and
second examination, while maximum (p < 0.01) and painless mouth opening range (p
< 0.001) at the first examination were both smaller in the worsened CT group.
Although the clinical signs and symptoms of OA were improved in 40 joints, 74.1%,
at 7.2 +/- 4.6 months, the amount if improvement did not differ between the
groups. The extent of the destructive change of the condyle was highest in the
improved CT group at the first (p < 0.001) and in the worsened CT group at the
second examination (p < 0.001). These results imply that the prognosis of OA
changes may be independent of the clinical signs and symptoms. More specific
longitudinal information as regards OA changes of the TMJ based on a larger
sample and a longer follow-up period would be likely to provide a better
understanding of TMJ OA.
PMID- 22075325
TI - Preoperative three-dimensional reconstruction in vascularized fibular flap
transfer.
AB - OBJECTIVE: The objective of this study was to investigate the added value of
preoperative computerized tomographic angiography (CTA) and three-dimensional
reconstruction of the lower limb in vascularized fibular flap transfer. METHODS:
Eighteen patients who underwent mandibular or maxillary reconstruction with a
vascularized fibular flap were studied retrospectively by image analysis. The
original DICOM data of preoperative CTA were analysed and applied to the
reconstruction of the lower limb using SimPlant Pro software (version 11.04).
RESULTS: The course of the peroneal artery in 17 patients was the same except for
one patient. The peroneal artery originates from the posterior tibial artery. The
original external diameters of the peroneal artery were 2.99 +/- 0.64 mm. The
perpendicular lengths from fibular head to the origin of the peroneal artery and
to the fibular perforator vessel were 42.88 +/- 8.84 mm and 174.55 +/- 25.62 mm,
respectively. CONCLUSION: The course of peroneal artery was relatively
invariable, its original external diameter was thick. Preoperative CTA and three
dimensional reconstruction of the lower limb, which are noninvasive, accurate and
direct-viewing methods, play an important, preoperative role in vascularized
fibular flap transfer for lower limb vascular assessment.
PMID- 22075326
TI - Maxillary alveolar ridge reconstruction with monocortical fresh-frozen bone
blocks: a clinical, histological and histomorphometric study.
AB - BACKGROUND: This investigation is a clinical and histological assessment of fresh
frozen bone use in the reconstruction of maxillary alveolar ridges. The study
evaluates the effectiveness of this material as a bone filler prior the placement
of dental implants. PATIENTS AND METHODS: Sixteen patients with atrophic
maxillary ridges underwent maxillary reconstruction with fresh-frozen tibial
human block grafts prior to implant placement. Sampling procedures were carried
out 4, 6 and 9 months later when a bone core was removed from the grafts for
histological and histomorphometric analysis. RESULTS: Eighteen blocks were
placed, and each patient received either 1 or 2 blocks. During the sampling
procedures, all of the grafts were found to be firm in consistency, well
incorporated, and vascularized. A total of 34 implants were placed into the
grafts with a minimum of 40-Newton-cm torque in all cases. The follow-up period
ranged from 18 to 30 months. No implants were lost. The histological analysis
revealed vital bone with mature and compact osseous tissue surrounded by marrow
spaces. CONCLUSION: Bone allografts can be used successfully as graft material
for the treatment of maxillary ridge defects. This type of bone graft can be used
safely in the areas of implant placement as a suitable alternative to autogenous
grafts.
PMID- 22075327
TI - De novo acute megakaryoblastic leukemia with p210 BCR/ABL and t(1;16)
translocation but not t(9;22) Ph chromosome.
AB - Acute megakaryoblastic leukemia (AMKL) is a type of acute myeloid leukemia (AML),
in which majority of the blasts are megakaryoblastic. De novo AMKL in adulthood
is rare, and carries very poor prognosis. We here report a 45-year-old woman with
de novo AMKL with BCR/ABL rearrangement and der(16)t(1;16)(q21;q23) translocation
but negative for t(9;22) Ph chromosome. Upon induction chemotherapy consisting of
homoharringtonine, cytarabine and daunorubicin, the patient achieved partial
hematological remission. The patient was then switched to imatinib plus one cycle
of CAG regimen (low-dose cytarabine and aclarubicin in combination with
granulocyte colony-stimulating factor), and achieved complete remission (CR). The
disease recurred after 40 days and the patient eventually died of infection. To
the best of our knowledge, this is the first report of de novo AMKL with p210
BCR/ABL and der(16)t(1;16)(q21;q23) translocation but not t(9;22) Ph chromosome.
PMID- 22075328
TI - The importance of physicians' risk perception in osteoporosis treatment decision
making.
AB - Recent physicians' guidelines for postmenopausal osteoporosis emphasize the use
of fracture-risk scores. To determine whether adherence to the guidelines has
potential to improve targeting of care, we examined survey results from a random
sample of US primary care physicians prior to the new guidelines. Survey measures
included physicians' knowledge, attitudes, and perceived barriers to care.
Physicians' estimates of 5-yr and lifetime absolute hip fracture risks and their
treatment decisions were examined for patient vignettes depicting postmenopausal
women of varying ages, weights, and bone mineral density (BMD) results. The 360
US physician respondents were accurate in their estimates of lifetime fracture
risk for all 4 vignettes, but overestimated 5-yr hip fracture risk by a factor of
10 or more; 36-45% of the physicians recommended treatment for patients whose
history and BMD placed them below guideline-recommended treatment thresholds, and
5-yr risk estimates were strongly associated with prescription treatment
decisions for 2 of 3 such vignettes (both p<0.01). Other potential barriers to
care include medication costs and adverse effects. Our findings suggest efforts
to improve targeting of osteoporosis care are appropriately addressing
physicians' risk estimation. Evidence from other conditions suggests these
efforts must be intensive and available at the point of care.
PMID- 22075329
TI - Personal and parental nativity as risk factors for food sensitization.
AB - BACKGROUND: Immigrants to developed countries have low rates of aeroallergen
sensitization and asthma, but less is known about both food allergy and the role
of parental immigration status. OBJECTIVE: We sought to evaluate the relationship
between personal and parental nativity and the risk of food sensitization.
METHODS: Three thousand five hundred fifty subjects less than 21 years old from
the Nation Health and Examination Survey 2005-2006 were included. Odds ratios
(ORs) were generated by using logistic regression, which adjusted for
race/ethnicity, sex, age, and household income and accounted for the complex
survey design. Nativity was classified as US-born or foreign-born, and the age of
immigration was estimated. Head-of-household nativity was used as a proxy for
parental nativity. Food sensitization was defined as at least 1 specific IgE
level of 0.35 kU/L or greater to milk, egg, or peanut. Aeroallergen-specific
sensitizations and the presence of asthma, allergic rhinitis, or eczema were also
assessed. RESULTS: Compared with those born outside the United States (US), US
born children and adolescents had higher odds of sensitization to any food (OR,
2.05; 95% CI, 1.49-2.83; P < .001). Among the foreign-born group, those who
arrived before 2 years of age had higher odds of food sensitization than those
who arrived later (OR, 2.68; 95% CI, 1.19-6.08; P = .02). Within the US-born
group, in contrast, children of immigrants were at the highest risk (OR, 1.53;
95% CI, 1.05-2.24; P = .02). CONCLUSION: Although foreign-born children and
adolescents are at lower risk of food sensitization compared with those born in
the US, among those born in the US, the children of immigrants are at the highest
risk.
PMID- 22075331
TI - Postoperative regional distribution of pulmonary ventilation and perfusion in
infants with congenital diaphragmatic hernia.
AB - BACKGROUND/PURPOSE: Advances in management of patients with congenital
diaphragmatic hernia (CDH) have improved mortality rates but with a risk of
increased pulmonary morbidity. The prognosis for CDH survivors remains difficult
to predict owing to the lack of adequate methods. We used single photon emission
computed tomography (SPECT) to measure the regional distribution of ventilation
and perfusion in CDH infants to quantify the degree of lung function impairment
and relate it to neonatal clinical disease severity. METHODS: Single photon
emission computed tomography was performed in 12 CDH infants at the mean age of
six months. Ventilation and perfusion were traced with 5 MBq Technegas and
technetium-labelled albumin macro-aggregates, respectively. Neonatal clinical
data collected during the patient's stay in the pediatric intensive care unit was
correlated with the SPECT data. RESULTS: Single photon emission computed
tomography revealed varying degrees of ventilation-perfusion abnormalities which
correlated with the presence of pulmonary artery hypertension, days on ventilator
and days on extracorporeal membrane oxygenation. CONCLUSIONS: The grade of
clinical disease severity in infants following CDH repair is closely related to
the ventilation-perfusion abnormality as seen using SPECT. The persistence of
pulmonary artery hypertension into the postoperative neonatal period appears to
be an important pathophysiological factor related to ventilation-perfusion
abnormalities. Single photon emission computed tomography provides valuable
clinical information for patient follow-up.
PMID- 22075330
TI - A genome-wide association study of plasma total IgE concentrations in the
Framingham Heart Study.
AB - BACKGROUND: Atopy and plasma IgE concentration are genetically complex traits,
and the specific genetic risk factors that lead to IgE dysregulation and clinical
atopy are an area of active investigation. OBJECTIVE: We sought to ascertain the
genetic risk factors that lead to IgE dysregulation. METHODS: A genome-wide
association study (GWAS) was performed in 6819 participants from the Framingham
Heart Study (FHS). Seventy of the top single nucleotide polymorphisms (SNPs) were
selected based on P values and linkage disequilibrium among neighboring SNPs and
evaluated in a meta-analysis with 5 independent populations from the Cooperative
Health Research in the Region of Augsburg cohort, the British 1958 Birth Cohort,
and the Childhood Asthma Management Program cohort. RESULTS: Thirteen SNPs
located in the region of 3 genes, FCER1A, signal transducer and activator of
transcription 6 (STAT6), and IL13, were found to have genome-wide significance in
the FHS cohort GWAS. The most significant SNPs from the 3 regions were rs2251746
(FCER1A, P = 2.11 * 10(-12)), rs1059513 (STAT6, P = 2.87 * 10(-8)), and rs1295686
(IL13, P = 3.55 * 10(-8)). Four additional gene regions, HLA-G, HLA-DQA2, HLA-A,
and Duffy blood group, chemokine receptor (DARC), reached genome-wide statistical
significance in a meta-analysis combining the FHS and replication cohorts,
although the DARC association did not appear independent of SNPs in the nearby
FCER1A gene. CONCLUSION: This GWAS of the FHS cohort has identified genetic loci
in HLA genes that might have a role in the pathogenesis of IgE dysregulation and
atopy. It also confirmed the association of the known susceptibility loci FCER1A,
STAT6, and IL13 for the dysregulation of total IgE.
PMID- 22075332
TI - Is complete resection of the aganglionic bowel in extensive total aganglionosis
up to the middle ileum always necessary?
AB - BACKGROUND/PURPOSE: Total colonic aganglionosis is an unusual form of
Hirschsprung disease, reflected by the small number of published case studies.
The goal of this study was to analyze our cases of the last 22 years and report
on our experience with 3 cases of extended total aganglionosis proximal to the
middle ileum in which remaining aganglionic bowel was left in situ. MATERIALS AND
METHODS: In a period of 22 years (from January 1988 to April 2010), we operated
on 15 children with total aganglionosis. These children were among 163 children
with Hirschsprung disease. In 3 patients with extended aganglionosis proximal to
the middle ileum, remaining aganglionic bowel was left in situ. Data were
collected from the medical records, including each patient's demographics,
medical history, primary treatment, complications, and follow-up. RESULTS: Eleven
of the 15 children received an ileostomy as first treatment, and 4 children
underwent a primary Duhamel procedure. Early postoperative complications included
an abscess after an open procedure in a patient with trisomy 21 syndrome and an
incorrect leveling resection that required an ileostomy. There were 2 deaths at 6
and 16 months postoperatively. The mortality rate was 13.3%. Of the 15 patients,
7 (46%) presented with at least 1 episode of postoperative enterocolitis, and
only 2 of these patients had more than 3 episodes. We treated 3 patients with
extended aganglionosis up to midileum (20%) in which the proximal resection level
was in the aganglionic segment of ileum at the level of the ileostomy. The
ileostomies were shown to be functioning satisfactorily before the pull through,
so going more proximal to the ileostomy might have meant that the patient would
present short bowel problems. These 3 patients had good bowel function
postoperatively. CONCLUSION: In principle, resection of the aganglionic bowel is
mandatory to relieve obstruction and subsequent complications. There are
situations, however, where the complications of a short bowel begin to outweigh
the benefits of resection. In these cases, leaving remaining aganglionic bowel in
situ may be an advantageous option for the patients with total aganglionosis
extending to the midileum whose ileostomy functions well.
PMID- 22075333
TI - Neonatal acute appendicitis: a proposed algorithm for timely diagnosis.
AB - BACKGROUND: Neonatal appendicitis (NA) is a rare disease with a high mortality.
The diagnosis has never been reported preoperatively and is notoriously difficult
to make. METHODS: Charts since 1995 were retrospectively reviewed for discharge
or death diagnoses of appendicitis in neonates younger than 28 days. We report 3
cases of NA seen at our institution during this period. RESULTS: All 3 infants
were previously well, born at term, and presented with signs consistent with
abdominal sepsis. The first 2 diagnoses were not made until autopsy. The third
case survived after having an urgent computed tomographic scan, exploratory
laparotomy, and appendectomy. DISCUSSION: The literature summarizing common
presenting features of NA is reviewed. We present an algorithm to guide the
workup of these neonates to facilitate earlier diagnosis and potentially improve
outcomes.
PMID- 22075334
TI - Strategy for management of retroperitoneal tumors with caval tumor thrombus.
AB - The surgical management of retroperitoneal tumors extending into the inferior
vena cava (IVC) can be challenging. Although Wilms' tumor is the most common
retroperitoneal tumor extending into the IVC, one must approach these tumors
systematically as other diagnoses are possible. We present 4 consecutive cases of
retroperitoneal tumors with IVC extension as a basis for a management strategy in
approaching these patients. Despite similar presentations, these cases illustrate
the nuances in surgical management and need for multidisciplinary care with the
pediatric oncologists, pediatric surgeons, and pediatric cardiac surgeons.
PMID- 22075335
TI - Vaginal tumors in childhood: the experience of St. Jude Children's Research
Hospital.
AB - BACKGROUND/PURPOSE: The aim of this study was to retrospectively analyze the
clinical presentation, histology, treatment, and outcomes of children with
vaginal tumors who were treated at a single institution. METHODS: A retrospective
review of medical records and pathologic materials of all children with vaginal
tumors treated at St Jude Children's Research Hospital between 1970 and 2009 was
conducted. RESULTS: Eighteen patients (median age, 3.7 years; range, 0.1-15
years) were identified. Three different histologies were found: rhabdomyosarcoma
(RMS; n = 13), germ cell tumor (n = 3), and clear cell adenocarcinoma (n = 2).
Bleeding or blood-tinged discharge was the most common clinical presentation
(66%), followed by a protruding mass (39%). Vaginal and uterine salvage was 44.4%
(8 of 18 patients). Thirteen patients (72.2%) remain disease-free, with a median
follow-up of 23.2 years (range, 2-39 years). Four patients (22.2%) died of
disease progression (1 RMS, 2 germ cell tumor, and 1 clear cell adenocarcinoma),
and 1 patient with RMS died of colon cancer 12 years after the primary diagnosis
had been made. CONCLUSIONS: Vaginal tumors are extremely rare in the pediatric
population. Early recognition of symptoms like bleeding and a protruding vaginal
mass may prevent morbidity and mortality. Our findings confirm the good prognosis
of vaginal RMS.
PMID- 22075336
TI - Abdominal inflammatory myofibroblastic tumor a clinicopathologic study with
reappraisal of biologic behavior.
AB - BACKGROUND AND PURPOSE: Inflammatory myofibroblastic tumor (IMT) is a
proliferative lesion of controversial nosology and uncertain prognosis. In an
attempt to acquire further understanding of pathogenesis and biologic behavior,
we surveyed abdominal IMTs managed over the last 12 years at a single
institution. METHODS: Intra-abdominal IMTs treated between 1995 and 2007 were
reviewed concerning demographic, clinical, and pathologic features as well as
therapeutic management and outcome. All specimens were reevaluated by histologic
examination and immunohistochemistry. RESULTS: There were 7 patients (4 males;
age range, 28 days to 14 years). Five lesions were located in alimentary tract: 1
gastric presenting with bleeding, 1 hepatic presenting with a thoracic wall mass,
1 pancreatic and 2 colonic presenting with obstructive symptoms. One splenic IMT
was found incidentally. The remaining case arose from the adrenal gland and
presented with a palpable mass. The gastric and adrenal IMTs had evidence of a
previous or concomitant infectious setting. Five lesions were excised. The
pancreatic IMT underwent a drainage procedure followed by steroid administration,
and the hepatic lesion received antibiotics. Histopathology revealed
characteristic findings of IMT. Expression of anaplastic lymphoma kinase was
negative in all cases. At a median follow-up of 6 years (range, 3-15), all
children were asymptomatic with no recurrences. The hepatic and pancreatic IMT
displayed complete and near total regression, respectively. CONCLUSION: A benign
behavior of abdominal IMTs was observed even in patients not undergoing surgical
excision. Although IMT remains a surgical disease, a conservative approach may be
reasonable in select cases.
PMID- 22075337
TI - Percutaneous sclerotherapy in neonatal and infant head and neck lymphatic
malformations: a single center experience.
AB - PURPOSE: To evaluate the clinical outcomes of percutaneous sclerotherapy for
congenital head and neck lymphatic malformations in our institution. MATERIALS
AND METHODS: Over a 7-year period, 17 children (10 M, 7 F) mean age 5.8 months (5
days to 13 months) underwent 49 sclerotherapy procedures for congenital head and
neck malformations. The imaging and clinical records were reviewed for each
patient. Ten of 17 had macrocystic disease; 7 of 17 had microcystic disease.
Imaging response was categorized by volume reductions of 0% to 25%, 25% to 50%,
50% to 75%, or 75% to 100%. A concentration of 10 mg/mL doxycycline was used
routinely via catheter in 3 instillations with a dose range of 50 to 500 mg per
session as per our standard protocol in 17 of 17 patients. In more recent
patients, systemic doxycycline levels were obtained after instillations.
Additional treatments included direct injection doxycycline (10/17), instillation
of absolute ethanol (7/17) or sodium tetradecyl sulfate (4/17), or a combination
of these methods. RESULTS: Imaging improvement of >= 76% was noted in 11 of 17.
Of these, 8 of 11 had macrocystic disease. Four of 17 had 51% to 75% resolution,
of which 3/4 were mixed. Two of 17 children had 25% to 50% resolution with a
mixed lesion. Seven of 49 peri-procedural complications: hemolytic anemia in 2
infants, hypoglycemic and metabolic acidosis in 3 neonates aged 7 to 10 days,
transient hypotension during absolute alcohol instillation in 1 neonate, and self
limiting skin excoriation secondary to peri-catheter leakage of doxycycline in
one neonate. Neonates prone to these systemic complications had doxycycline doses
of greater than 250 mg and resulted in serum levels of >5 MUg/mL but as high as
21 MUg/mL. Delayed neural complications occurred in 7 of 49 procedures, Horner's
syndromes in 4 of 49 procedures, transient left lip weakness in 1 of 49
procedures, right facial nerve palsy in 1 of 49 procedures, and transient left
hemidiaphragm paralysis in 1/49 procedures. CONCLUSION: Our experience with
catheter directed doxycycline sclerotherapy provides excellent results for large
macrocystic head and neck lymphatic malformations. Microcystic and mixed lesions
continue to provide a therapeutic challenge.
PMID- 22075338
TI - Total oxidant status and oxidative stress are increased in infants with
necrotizing enterocolitis.
AB - BACKGROUND: Oxidative stress has been implicated in the pathogenesis of
necrotizing enterocolitis (NEC). In this study, we compared the global
oxidant/antioxidant status by measuring total antioxidant capacity (TAC), total
oxidant status (TOS), and oxidative stress index (OSI) in preterm infants with
NEC and with control preterms. METHODS: Forty-one preterm neonates with NEC
(stage 1 [group 1; n = 23] and stages 2 and 3 [group 2; n = 18]) and age-matched
36 healthy preterm controls (group 3) were included in this study. Blood samples
were obtained both at the time of NEC diagnosis and 72 hours after for the
evaluation of TAC and TOS. Serum levels of TAC, TOS, and OSI in patients with NEC
were compared with controls. RESULTS: Demographic characteristics were comparable
in all 3 groups. Preterm neonates in group 2 (with stages 2 and 3 NEC) had the
highest TOS levels and OSI (P < .001 vs both groups 1 and 3). There was no
difference in TAC levels among the groups (P = .26). CONCLUSIONS: Our findings
demonstrated that although TAC levels were similar in all 3 groups, oxidant
stress mechanisms were activated in preterm neonates with definite NEC (stages 2
and 3 NEC). Premature neonates with increased levels of TOS and OSI were
associated with severity of NEC.
PMID- 22075339
TI - Melatonin ameliorates necrotizing enterocolitis in a neonatal rat model.
AB - INTRODUCTION: We designed the present study to evaluate the efficacy of melatonin
(M) on the severity of necrotizing enterocolitis (NEC) in a neonatal rat model.
MATERIALS AND METHODS: Immediately after birth, pups were weighed and randomized
into 3 groups: NEC, NEC + M, and control. Necrotizing enterocolitis was induced
by enteral formula feeding and exposure to hypoxia after cold stress at 4 degrees
C and oxygen. The NEC + M group received 10 mg/kg M daily for 3 days after the
first day of the NEC procedure. The pups were killed on the fourth day, and their
intestinal tissues were harvested for biochemical and histopathologic analysis.
Blood samples were also obtained from the pups. RESULTS: The mortality rate and
weight loss were highest in the NEC group. Malondialdehyde and protein carbonyl
content were significantly increased, whereas superoxide dismutase and
glutathione peroxidase were decreased in the NEC-treated pups. Melatonin
prevented these changes, with these values being similar to control levels in the
NEC + M group. Nitrate plus nitrite levels and serum tumor necrosis factor alpha
and interleukin-1beta were increased in the NEC group, and histopathologic injury
score in the NEC group was significantly higher than that in the NEC + M group.
CONCLUSION: Melatonin significantly reduced the severity of NEC in our study.
PMID- 22075340
TI - Predictors of postnatal outcome in neonates with gastroschisis.
AB - BACKGROUND/PURPOSE: The optimal management of neonates with gastroschisis is
unclear, and there is a significant morbidity. We performed a review of neonates
with gastroschisis treated at our center of pediatric surgery over the last 21
years to determine predictive factors of outcome. METHODS: Single-center
retrospective analysis of 79 neonates with gastroschisis (1989-2009) was done.
Length of hospital stay (LOS), days of parenteral nutrition (PN), and survival
were outcome measures. Univariate and multiple regression analyses were used.
RESULTS: Overall survival was 92%, and primary closure was achieved in 80%.
Median LOS was 25 days, and median duration on PN, 17 days. Intestinal atresia,
closed gastroschisis, secondary closure, and sepsis were the primary variables
associated with poor outcome independent of other variables, but prematurity also
affected outcome. Route of delivery and associated malformations were not related
to poorer outcome. Necrotizing enterocolitis did not occur in any of our
patients. CONCLUSION: Outcome in our patients was favorable as measured by
survival, LOS, and days on PN. Primary predictors of poor outcome were factors
related to short bowel syndrome and secondary closure, indicating a need to
further improve treatment of short bowel syndrome.
PMID- 22075341
TI - Liver herniation in gastroschisis: incidence and prognosis.
AB - PURPOSE: Liver herniation is a rare occurrence in gastroschisis. We sought to
determine the incidence and prognosis of liver herniation in patients with
gastroschisis. METHODS: From December 1995 to March 2010, 117 patients with
gastroschisis received care by our division. Operative reports were reviewed to
identify patients with liver herniation. Logistic regression was used to
determine the impact of liver herniation on survival, taking into account
gestational age and birth weight. RESULTS: The incidence of liver herniation was
6%. Survival rates were 43% with liver herniation and 97% without liver
herniation. Liver herniation was associated with a significantly higher rate of
mortality, taking into account estimated gestational age and birth weight (P <
.001). Patients who had liver herniation documented by prenatal ultrasound had
significant liver herniation at birth and died postnatally. Patients with liver
herniation who died required large silos and were noted to have comorbidities
including lower birth weight, pulmonary hypoplasia, and sepsis. Biologic patches
were necessary for closure in patients with greater extent of liver herniation.
CONCLUSIONS: Liver herniation was found in 6% of patients with gastroschisis and
was associated with a high rate of mortality. Liver herniation appears to be a
risk factor for poor outcome in gastroschisis. Documentation of liver herniation
may be helpful in prenatal consultation for gastroschisis.
PMID- 22075342
TI - The effect of surgical training and hospital characteristics on patient outcomes
after pediatric surgery: a systematic review.
AB - BACKGROUND/PURPOSE: A systematic review aimed to compare patient outcomes after
(1) appendicectomy and (2) pyloromyotomy performed by different surgical
specialties, surgeons with different annual volumes, and in different hospital
types, to inform the debate surrounding children's surgery provision. METHODS:
Embase, Medline, Cochrane Library, and Health Management Information Consortium
were searched from January 1990 to February 2010 to identify relevant articles.
Further literature was sought by contacting experts, citation searching, and hand
searching appropriate journals. RESULTS: Seventeen relevant articles were
identified. These showed that (1) rates of wrongly diagnosed appendicitis were
higher among general surgeons, but there were little differences in other
outcomes and (2) outcomes after pyloromyotomy were superior in patients treated
by specialist surgeons. Surgical specialty was a better predictor of morbidity
than hospital type, and surgeons with higher operative volumes had better
results. CONCLUSIONS: Existing evidence is largely observational and potentially
subject to selection bias, but general pediatric surgery outcomes were clearly
dependent on operative volumes. Published evidence suggests that (1) pediatric
appendicectomy should not be centralized because children can be managed
effectively by general surgeons; (2) pyloromyotomy need not be centralized but
should be carried out in children's units by appropriately trained surgeons who
expect to see more than 4 cases per year.
PMID- 22075343
TI - Effect of phototherapy on growth factor levels in neonatal rat skin.
AB - AIM: Neonates undergoing surgery may receive phototherapy (PT) for the treatment
of hyperbilirubinemia. Although the effects of PT on neonatal structures are well
documented, the effect of PT on wound healing has not been previously evaluated.
An experimental study was performed to evaluate the effect of PT on growth factor
levels responsible for wound healing in neonatal rat skin. MATERIALS AND METHODS:
Eighteen Wistar newborn rats (7 +/- 2 g) were included in the study. Rats were
randomized into 3 groups: control (CG), PT, and sham (SG) (n = 6). Both groups
had 1-cm median dorsal skin incision. In CG, 1 * 1 cm of dorsal skin was sampled
including the incised skin. The PT group received 5 banks of blue light (wave
density, 30-40 MUw/cm(2) per nanometer; exposure distance, 45 cm). Phototherapy
was started 24 hours after birth and exposed during light period (mean duration,
21 hours to 15 minutes +/- 2 hour to 1.5 minutes). Sham group consisted of
animals that received a bank of white light with same exposure distance and a
total duration of 26 hours to 18 minutes +/- 3 hours to 9.1 minutes. After
exposure, 1 * 1 cm dorsal skin samples were obtained from both PT and SG groups,
including the median incision. The effect of PT was evaluated with the
expressions of vascular endothelial growth factor (VEGF), its receptor (VEGF
receptor), and transforming growth factor beta (TGF-beta) in endothelial vessels
and fibroblasts of neonatal skin samples. RESULTS: There was no significant
difference between groups in VEGF receptor and transforming growth factor beta
expressions. The VEGF levels in endothelial vessels were significantly decreased
in PT and SG when compared with CG (P < .05). CONCLUSION: Vascular endothelial
growth factor is a mediator of angiogenesis and may decrease in neonatal rat skin
after light exposure. It can be suggested that decreased levels of VEGF after PT
application may alter angiogenesis and also may adversely affect the healing
features of neonatal skin.
PMID- 22075344
TI - The use of digital photos and video images taken by a parent in the diagnosis of
anal swelling and anal protrusions in children with normal physical examination.
AB - PURPOSE: The purpose of this study is to demonstrate that digital photos and
video images taken by a parent can provide a definite diagnosis and makes some
diagnostic procedures (eg, air contrast enema, sigmoidoscopy) unnecessary for
anal swelling and anal protrusions in children with normal physical examination.
METHODS: In a 41-month period (September 2007-January 2011), 23 parents brought
their children to the clinic, stating that they saw a swelling in the anal region
and/or that something protruded from the anus while their children were
defecating. If the visual inspection of the anal region and digital rectal
examination of the patients were normal, parents were asked to take photos or
record videos when the lesion occurred to make a diagnosis and avoid the need for
air contrast enema and/or sigmoidoscopy. The parents were also asked either to
send these images to the surgeon via e-mail or bring an image or the camera to
the surgeon's office. The patients age, sex, symptoms, medical history, results
of their first physical examination, photos and video records, and the diagnosis
and treatment were recorded. All of these information were retrospectively
reviewed. RESULTS: The photos provided by 20 parents and the video records from 3
parents were assessed, and the diagnoses of all patients were confirmed. Of these
23 patients, a definitive diagnosis of rectal prolapse in 8, hemorrhoids in 10,
rectal polyps in 3, and sentinel skin tag in 2 was made. In addition, the
photographic and video evidence gave the clinicians an idea of the degree of
rectal prolapse in patients for whom this was a problem. Three patients diagnosed
with a rectal polyp underwent polyp excision. One patient with rectal prolapse
who was unresponsive to medical treatment underwent laparoscopic posterior
rectopexy, and all other patients received medical treatment. CONCLUSION: When a
swelling or protruding anal lesion in a child is discovered by parents and visual
inspection of the anal region and digital rectal examination is normal, parents
should be encouraged to take photos or videos of the anal region before
performing air contrast enema and/or sigmoidoscopy. These photos and videos can
provide a definitive diagnosis and prevent unnecessary diagnostic procedures.
PMID- 22075345
TI - Influence of anesthesia on the results of intraoperative diagnostic
electromyostimulation in patients with anorectal malformation.
AB - BACKGROUND AND PURPOSE: Stimulation electromyography (sEMG) is useful in
identifying the sphincter muscle (M) in patients with anorectal malformations
(ARMs). This study evaluates the effect of anesthetic agents and M relaxants on
sEMG findings. MATERIALS AND METHODS: Seventeen infants (10 boys and 7 girls)
with a mean age of 6.3 months and mean body weight of 6.7 kg were included in a
prospective randomized study. Anesthesia was induced by sevoflurane and opioids,
and an epidural catheter was inserted caudally. Stimulation electromyography of
levator ani M using 14 mA current was used, and latency and amplitude of the
evoked compound M action potential (CMAP) were recorded. Patients were randomized
into 2 groups. Group A received a local anesthetic epidurally, and sEMG was
performed. Administration of the M relaxant and measurement of M response
followed. In group B drug administration, sEMG and response measurement were
performed after administration of M relaxant. RESULTS: Baseline CMAP was recorded
in all patients. Average latency was 4.1 milliseconds, and average amplitude was
0.43 mV. In group A, the average latency was 4.0 milliseconds, and average
amplitude was 0.65 mV. After administration of the M relaxant, the CMAP
disappeared. In group B, no CMAP was observed immediately after administration of
the M relaxant. CONCLUSION: Administration of the inhalational anesthetic,
opioids, and local anesthetic did not influence the M response of M fibers in the
levator ani M on sEMG and enables its localization during ARM reconstruction.
Nondepolarizing M relaxation completely abolished the response. If M relaxant is
necessary, cisatracurium is used. The most suitable method of anesthesia for ARM
surgery appears to be inhalational anesthesia supplemented by opioids and
epidural analgesia.
PMID- 22075346
TI - Parental attitudes regarding analgesic use for children: differences in ethnicity
and language.
AB - PURPOSE: The aim of this study was to identify the impact of ethnicity and
language on parental attitudes regarding analgesic use to treat children's pain.
METHODS: A total of 206 parents of children undergoing outpatient surgery were
recruited to complete the Medication Attitudes Questionnaire, a measure of
parental beliefs about using analgesic medications to treat children's pain.
Parents were grouped into one of 3 categories according to ethnicity and primary
language spoken: English-speaking white, English-speaking Hispanic, and Spanish
speaking Hispanic. Group differences in pain medication attitudes were examined.
RESULTS: After controlling for socioeconomic status, English-speaking Hispanic
parents endorsed higher levels of misconceptions about pain medication use,
including a tendency to avoid analgesic use for children, compared with English
speaking white and Spanish-speaking Hispanic parents. CONCLUSIONS: This study
highlights parental characteristics, including ethnicity and language, which may
place children at higher risk for undertreatment of acute pain based on
misconceptions about analgesic use for children. Specifically, English-speaking
Hispanic parents may be most likely to undertreat children's pain at home. Future
studies are needed to identify the most appropriate means of providing education
to counter parental misconceptions and support optimal pain management of
children's pain in the home setting.
PMID- 22075347
TI - The use of laparoscopy in ventriculoperitoneal shunt revisions.
AB - INTRODUCTION: Ventriculoperitoneal shunts (VPSs) are routinely placed in children
with hydrocephalus. However, they often encounter problems, and revisions are
frequent. We sought to evaluate our institutional experience with laparoscopic
assisted VPS revisions. METHODS: With institutional review board approval, a
retrospective chart review of 17 consecutive patients who underwent 19
laparoscopic-assisted VPS revisions was conducted. Data extracted included
patient demographics, indications for laparoscopic-assisted revision,
complications, and shunt outcomes. RESULTS: The median age at revision was 12
years (0.4-20 years). Ten children (58.8%) had 2 or more previous VPS revisions.
Indications for laparoscopic revision included adhesive obstruction, broken shunt
retrieval, cerebrospinal fluid pseudocyst, diagnostic laparoscopy, and conversion
from ventriculoatrial shunt to VPS. Three patients required repeat VPS revision
for distal shunt failure, whereas 2 patients required repeat VPS revision for
proximal dysfunction. Failures occurred 5 to 258 days after laparoscopic-assisted
revision. Median follow-up was 21 weeks (interquartile range, 6-57 weeks). No
patients developed abdominal infections postoperatively. CONCLUSION: Laparoscopy
is useful in select patients with distal VPS failure. Patients with multiple
previous revisions, prior abdominal surgery, previous intraperitoneal infections,
broken devices, or cerebrospinal fluid pseudocysts may benefit from this
approach. Further prospective studies with long-term follow-up are needed to
determine which patients benefit most from the laparoscopic-assisted approach.
PMID- 22075348
TI - Fifty-three-year experience with pediatric umbilical hernia repairs.
AB - PURPOSE: The aim of this study was to evaluate the long-term surgical and patient
reported outcomes of pediatric umbilical hernia (UH) repairs. METHODS: A
retrospective review of all children (<18 years old) who underwent UH repair at
Mayo Clinic-Rochester in the last half century was done. Follow-up was obtained
by mailed survey. RESULTS: From 1956 to 2009, 489 children (boys, 251; girls,
238) underwent a primary UH repair. The mean age was 3.9 years (range, 0.01-17.8
years). Complicated UHs that required emergent repair (n = 34, or 7%) included
recurrent incarceration (22), enteric fistula (7), strangulation (4), and
evisceration (1). Mean UH size was 1.3 cm (range, 0.2-7.0 cm), varying by
operative indication (1.0 cm emergent vs 1.5 cm elective repairs, P = .008) and
decade of repair (2.2 cm, 1950s-60s vs 1.3 cm, 1990s-2000s; P = .001).
Postoperative morbidity (2%) consisted of superficial wound infection (7),
hematoma (3), and seroma (1). With a 66% survey response rate and mean follow-up
of 13.0 years (range, 0-53.8 years), 8 (2%) patients experienced a recurrence.
Most patients reported satisfaction (90%) with the cosmetic appearance of their
umbilicus and are pain free (96%). CONCLUSION: Pediatric UH repairs have low
morbidity and recurrence rates. Most patients are satisfied and pain free.
Importantly, complicated UHs were more likely to be associated with smaller
defects; therefore, parental counseling for signs of incarceration is recommended
even in small defects.
PMID- 22075349
TI - Neonatal testicular torsion: a survey of current practice amongst paediatric
surgeons and urologists in the United Kingdom and Ireland.
AB - PURPOSE: Management of neonatal testicular torsion (NTT) is controversial, with
varied opinion regarding the merit(s) and role of "emergent" testicular
exploration and/or contralateral orchidopexy of the healthy testis. METHODS: A
survey of consultant paediatric surgeons and urologists working in the United
Kingdom and Ireland was conducted to ascertain views to guide best practice.
RESULTS: A total of 148 questionnaires were mailed, of which 110 were returned
(74% response rate). Of these, 60 (54.5%) surgeons considered NTT secondary to
torsion of the spermatic cord and 8 (7.2%) thought primary vascular infarction of
the testis responsible. Twelve (10.9%) use Doppler ultrasound to guide management
and exclude tumour. Eighty-two surgeons (74.5%) explore the scrotum, and 59
(71.9%) perform ipsilateral orchidectomy and contralateral orchidopexy of the
"healthy" testis. Few surgeons undertake emergent exploration. Only 11 (10%)
surgeons have ever found a viable testis. Seven (6.4%) cases of synchronous NTT
were reported. Twenty-four (21.8%) surgeons do not perform contralateral
orchidopexy with concerns of damaging a healthy testis. Orchidopexy is favoured
by 89 surgeons, with 46 (52%) using nonabsorbable suture fixation and 28 (31.4%)
creating a sutureless extradartos pouch. In boys later found to have a "solitary
scrotal testis" and a contralateral testicular remnant, 38 (36.5%) of 104 would
always "pex" the testis to avert anorchia. CONCLUSIONS: Surgeons' opinions with
NTT in the United Kingdom and Ireland remain diverse. Strong argument can be made
for scrotal exploration with/without contralateral orchidopexy. Parents should be
counselled on the merits of varied strategies to gain better understanding of the
long-term outcomes for their male child.
PMID- 22075350
TI - The incidence and surgical management of paratubal cysts in a pediatric and
adolescent population.
AB - PURPOSE: The aim of this study is to determine the incidence of paratubal cysts
(PTCs) in a pediatric and adolescent population. METHODS: An institutional review
board-approved retrospective chart review was performed between the years of 1998
and 2008 at a single children's hospital. Inclusion criteria were met if
pathology reports demonstrated diagnosis of PTC, hydrosalpinx, or hydatid of
morgagni. RESULTS: One hundred ten patients had confirmed diagnoses of hydatid of
morgagni, hydrosalpinx, or PTC. The incidence of PTCs was 7.3%. Mean age of PTC
diagnosis was 13.7 +/- 2.82 years, with 12.6% diagnosed premenarchal. Mean age
menarche was 11.7 +/- 1.34 years among pubertal females. The age of menarche and
diagnosis of PTC correlated (Pearson, 0.54) (P < .0001). There was a small
correlation between body mass index and size of PTC (r = 0.36; P <= .001).
CONCLUSION: The incidence of PTCs was 7.3% in this pediatric and adolescent
population. In addition, this is the first study to confirm presence of PTCs in
prepubertal females. Surgeon should be aware of these benign cysts because they
are frequently a source of abdominal pain in young girls. As nonphysiologic
cysts, these will not resolve spontaneously and may increase in size and/or be at
risk for adnexal torsion. Ultimately, surgical management is required for
definitive resolution.
PMID- 22075351
TI - Paratubal cysts, obesity, and hyperandrogenism.
AB - OBJECTIVE: The aim of this study was to determine if an association exists
between adolescents with polycystic ovarian syndrome (PCOS) or hyperandrogenism
(HA), obesity, and paratubal cysts (PTCs). DESIGN: An institutional review board
approved retrospective chart review was performed between the years of 1998 and
2008 at a single children's hospital. Inclusion criteria were met if pathology
reports demonstrated diagnosis of PTC. Age of menarche, body mass index, surgical
procedure, and findings were correlated with the presence of HA. RESULTS: The
incidence of PTCs was 7.3% among 1524 females presenting with adnexal masses. One
hundred three patients had a confirmed pathology diagnosis of PTC and underwent
surgery for suspected torsion or persistent adnexal mass on imaging studies.
Forty-five percent of surgical cases had ovarian or adnexal torsion. Mean age of
PTC diagnosis for the entire cohort was 13.7 +/- 2.82 years. Mean age of menarche
was 11.7 +/- 1.34 years among pubertal females. Four patients were premenarchal
at the time of PTC diagnosis. Forty percent of pubertal females had a diagnosis
of PCOS. Pubertal adolescents with features of HA had a higher body mass index
(31.3 vs 26.2 kg/m(2), P = .003) and had a trend toward larger cysts (7.6 vs 6
cm, P = .235). Overall, a significant association existed between obesity and PTC
(P = .007), although no definite association could be made about HA or PCOS.
CONCLUSION: This study demonstrated an association between PTCs and obesity. In
addition, HA appears to be associated with a trend toward large PTCs, although
results were not significant.
PMID- 22075352
TI - Surgical management of facial nerve paralysis in the pediatric population.
AB - BACKGROUND: In the pediatric patient population, both the pathology and the
surgical managements of seventh cranial nerve palsy are complicated by the small
size of the patients. Adding to the technical difficulty is the relative
infrequency of the diagnosis, thus making it harder to become proficient in the
management of the condition. The magnitude of the functional and aesthetic
deficits these children manifest is significantly troubling to both the patient
and the parents, which makes immediate attention, treatment, and functional
restoration essential. METHODS: A literature search using PubMed
(http://www.pubmed.org) was undertaken to identify the current state of surgical
management of pediatric facial paralysis. RESULTS: Although a multitude of
techniques have been used, the ideal reconstructive procedure that addresses all
of the functional and cosmetic needs of these children has yet to be described.
Certainly, future research and innovative thinking will yield progressively
better techniques that may, one day, emulate the native facial musculature with
remarkable precision. CONCLUSION: The necessity for surgical intervention in
children with facial nerve paralysis differs depending on many factors including
the acute/chronic nature of the defect as well as the extent of functional and
cosmetic damage. In this article, we review the surgical procedures that have
been used to treat pediatric facial nerve paralysis and provide therapeutic
facial reanimation.
PMID- 22075353
TI - Congenital-infantile fibrosarcoma masquerading as sacrococcygeal teratoma.
AB - Infantile fibrosarcoma is rare and represents less than 1% of all childhood
cancers. Commonly, it arises in the limbs followed by the trunk and head and
neck. We report a rare case of infantile fibrosarcoma masquerading as
sacrococcygeal teratoma in a newborn. The literature on the subject is also
reviewed.
PMID- 22075354
TI - The first male with thoracoschisis: case report and review of the literature.
AB - A male infant presented at birth with intestine and liver herniated through a
defect 3 cm below the left nipple on the anterior thoracic wall. Riedel lobe,
attached to the left liver lobe, and the transverse colon were seen protruding
through the defect at the region of the left eighth intercostal space at surgery.
A fibrous band extending from the lower defect border to the bladder was present.
The hernia content was reduced inside the abdomen and the fibrous band, and
Riedel lobe and necrotic-appearing omentum were excised. Thoracoschisis is a very
rare congenital anomaly with only 4 cases reported. This is the first isolated
thoracoschisis case without an accompanying diaphragmatic hernia.
PMID- 22075355
TI - Diagnosis and management of congenital rectourethral fistula in a child with long
tubular duplication of the colon and Klippel-Feil syndrome.
AB - We describe a unique association of congenital rectourethral fistula with long
tubular duplication of the colon in a boy with Klippel-Feil syndrome and Sprengel
deformity. He presented with a rectourethral fistula after surgical repair of a
tubular duplication of the terminal ileum, colon, and proximal rectum.
Preoperative identification of the fistula was challenging and was only achieved
after cystoscopy with injection of methylene blue under pressure through Foley
catheters placed into the anus and distal stoma of a colostomy. Surgical repair
was performed through the posterior sagittal approach. The patient is doing well
after 4 years of follow-up.
PMID- 22075356
TI - Congenital ectopic fistula of a minor salivary gland.
AB - A rare case of congenital salivary gland fistula is reported. A 3-year-old girl
presented with clear discharge from a dimple on the left cheek. An ectopic
salivary gland fistula was unexpectedly diagnosed during operation. This is the
first case of congenital salivary fistula draining from a minor salivary gland to
a cutaneous pit. We completely excised the lesion, and the patient remains
complication free.
PMID- 22075357
TI - Left pulmonary artery sling with right lung aplasia.
AB - We report 2 cases of right pulmonary aplasia with left pulmonary artery sling
responsible for severe respiratory symptoms. Repositioning of the left pulmonary
artery without tracheal surgery was successful in both patients. The
postoperative course was simple, and the outcome was favorable at last follow-up
(after 2 years and 3 months, respectively). Computed tomography provided an
accurate diagnostic evaluation that helped to choose the best surgical technique.
PMID- 22075358
TI - Retrograde intrarenal surgery in infants and preschool-age children.
AB - OBJECTIVES: The objectives of this study are to present our experience with
retrograde intrarenal surgery for management of renal calculi in children less
than 7 years old and to determine its safety and efficacy in this age group.
METHODS: Patient demographics, stone location and size, use of ureteral access
sheath, stone-free status, complication rates, and follow-up were evaluated.
RESULTS: A total of 16 patients (9 boys and 7 girls; mean age, 4.2 years)
underwent 17 procedures. The mean stone size was 11.5 mm (8-17 mm). Flexible
ureteroscopy and laser lithotripsy were performed in all cases regardless of
stone location. Dilation of the ureteral orifice was required in 5 cases (29.4%),
and ureteral access sheaths were placed in 3 patients (17.6%). With a mean follow
up of 10.3 months, 88% of the children were stone free. The success rate for
stones less than 10 mm was 100% and 81.8% for stones 10 mm or more (P < .05).
There were no major complications, but there was 1 case of perforation and
extravasation at the ureterovesical junction after balloon dilation that was
managed with stent placement. CONCLUSIONS: Retrograde intrarenal surgery is a
safe and effective method for the treatment of intrarenal calculi, and it
achieves reasonable results with minimal complications in children less than 7
years old.
PMID- 22075359
TI - Single-port laparoscopic Heller myotomy and Dor fundoplication: initial
experience with a new approach for the treatment of pediatric achalasia.
AB - PURPOSE: The aim of this report was to evaluate the safety and feasibility of
single-port laparoscopic Heller myotomy and Dor fundoplication (SPLHD) as
treatment of pediatric esophageal achalasia. METHODS: A 9-year-old boy with a
significant history of achalasia underwent SPLHD. The single-port was inserted
using an umbilical incision. The falciform ligament and left liver lobe were
raised using an elevating suture, providing good visualization of the operative
field at the cardia. The Heller myotomy was planned to be 4 cm long, extending 1
cm onto the gastric wall. RESULTS: The SPLHD was successfully accomplished
without the need for any skin incisions or additional ports. Oral intake was
resumed on the first postoperative day, and the length of hospital stay was 8
days. The patient had complete resolution of dysphagia and regurgitation. No
complications were noted, and the patient had an excellent cosmetic result.
CONCLUSIONS: The SPLHD is a safe and feasible procedure for symptomatic pediatric
achalasia when performed by a surgeon experienced in laparoscopic and esophageal
surgery.
PMID- 22075360
TI - Knowledge of ancient Hindu surgeons on Hirschsprung disease: evidence from
Sushruta Samhita of circa 1200-600 BC.
AB - BACKGROUND: Congenital megacolon is eponymously named after Harold Hirschsprung,
who accurately described the clinical features in 1886. Recent research revealed
that this condition is perhaps well known for centuries before him. AIM: This
article is intended to examine if ancient Hindu surgeons knew about congenital
megacolon. METHODS AND MATERIALS: Sushruta Samhita is an ancient tome of
Ayurvedic surgery compiled by Sushruta (circa 1200-600 bc). Passages of interest
were identified by browsing the authentic English translation of the compendium.
Accuracy of translation was verified by comparing to the original Sanskrit verses
with the help of a Sanskrit scholar. RESULTS: A condition called Baddha
Gudodaram, described in the Samhita, closely resembles Hirschsprung disease.
There are indications that ancient Indians even deciphered the etiology as
defective vayu alias vata (nerves). Although the ailment was considered
incurable, a palliative operation has been discussed. Descriptive details of the
operation match with that of sigmoid colostomy. CONCLUSION: Evidence from
Sushruta Samhita indicates that Hindu surgeons of prehistoric India probably had
considerable knowledge about Hirschsprung disease. Further research,
corroborating other sources of evidence, is required to confirm this claim.
PMID- 22075361
TI - Brave new world or the unfortunate natural history of "lethal" disease: when to
push the envelope?
PMID- 22075362
TI - Costs of congenital diaphragmatic hernia repair in the United States
extracorporeal membrane oxygenation foots the bill.
PMID- 22075364
TI - Laparoscopic cystectomy for splenic hydatid cyst: a case report.
AB - Even in countries where it is considered endemic, splenic hydatid cyst is a very
rare disease in childhood. Partial or total splenectomy has generally been the
treatment of choice for this condition. This is the first report of laparoscopic
cystectomy as treatment of isolated splenic hydatid cyst in childhood. A 10-year
old girl with isolated splenic hydatid cyst was successfully treated by
laparoscopic cystectomy and splenic preservation. The authors have demonstrated
that laparoscopic cystectomy for an isolated splenic hydatid cyst is technically
feasible, safe, and is associated with a shorter hospital stay and good cosmetic
appearance.
PMID- 22075365
TI - Giant ureteral polyps causing proximal ureter obstruction: emphasis on the
surgical method and ureteroscopy.
AB - We describe here the case of a 13-year-old boy who had right flank pain for 3
months. An intravenous pyelogram showed filling defects in the right upper part
of the ureter as well as hydronephrosis. Because the diseased part of the ureter
was about 5 cm in length and we wished to avoid short ureter after surgery, we
performed a minimal segmental resection of the ureter including the entire stalk.
Perioperative ureteroscopic examination showed another polyp 3 cm below the
primary polyp, which was also removed. End-to-end ureteral anastomosis was
performed without mobilization of the kidney. Frozen and formal microscopic
examination showed that these polyps were fibroepithelial.
PMID- 22075366
TI - An alternative approach for management of the congenital giant inguinal hernia in
neonates.
AB - Whereas inguinal hernias are commonly encountered in the neonatal population,
giant hernias containing the entire small bowel and with complete inguinal floor
disruption are significantly less common. These cases present clinical and
technical challenges with the obliteration of normal anatomy and an attenuation
of the expected abdominal domain. There is a scant literature available
describing these hernias or their management in neonates. In this case report, we
describe a neonate who presented with a giant unilateral inguinal scrotal hernia
complicated by in utero perforation. This was managed by open herniorrhaphy,
orchidopexy, and diagnostic laparoscopy.
PMID- 22075367
TI - Pneumatosis intestinalis after laparoscopic appendectomy: case report and review
of the literature.
AB - Pneumatosis intestinalis (PI) is the presence of intraluminal gas within the wall
of the intestine. As a marker for bowel injury owing to mucosal injury, PI may
herald a severe underlying disease process in patients without a significant
medical history. In other cases, PI is a benign process, and expectant management
is appropriate. Here, we present the first reported case of pneumatosis
associated with postoperative abscess after appendectomy and its successful
management. Then, we describe the pathophysiology of pneumatosis and review the
literature regarding its origin and management.
PMID- 22075368
TI - Application of high-dose rate (60)Co remote after-loading system for local
recurrent neuroblastoma.
AB - The local control of neuroblastoma is a very important treatment consideration.
We describe a patient who received high-dose rate 60Co remote after loading
system treatment for local control of recurrent neuroblastoma and discuss the
efficacy of high-dose rate 60Co remote after loading system treatment.
PMID- 22075369
TI - Isolated congenital megacystis without intestinal obstruction: a mild variant of
chronic intestinal pseudoobstruction syndrome?
AB - Megacystis is frequently involved with chronic intestinal pseudoobstruction
syndrome; however, isolated megacystis without intestinal obstruction is
extremely rare. We present the case of a female patient with isolated congenital
megacystis without severe intestinal obstruction. In this case, barium enema did
not reveal any significant findings; however, histologic evaluation of her rectum
showed hypoganglionosis of the submucous and myenteric plexuses. These findings
indicate that this case may be a mild variant of chronic intestinal
pseudoobstruction syndrome. The presence of megacystis should alert the physician
to the possibility of chronic intestinal pseudoobstruction syndrome.
PMID- 22075370
TI - A case of undifferentiated embryonic liver sarcoma mimicking cystic hydatid
disease in an endemic region of the world.
AB - Undifferentiated embryonic liver sarcoma (UELS) is a rare highly malignant
neoplasm that predominantly occurs in children between 5 and 10 years of age. The
typical radiologic appearance on ultrasound and computed tomography of UELS shows
a large septated mass having combined cystic and solid components. These
radiographic features, however, are not specific to UELS and are shared by other
more common and benign diseases of the liver. For example, cystic hydatid disease
(CHD), caused by larvae of the Echinococcus tapeworm, is the most common
indication for hepatic operations in children residing in endemic regions of the
world. Undifferentiated embryonic liver sarcoma and CHD are 2 diseases that share
overlapping presenting features of patient age, symptoms, and radiologic
appearance. Surgeons who operate in Echinococcal endemic regions must be aware
that not all hepatic cystic masses are necessarily CHD and may be other more rare
malignant diseases such as UELS.
PMID- 22075371
TI - Learning interspecific communicative responses in Pampas foxes (Lycalopex
gymnocercus).
AB - Domestic dogs show remarkable communicative abilities in their interaction with
people. These skills maybe explained by the interaction between the domestication
process and learning experiences during ontogeny. Studies carried out on other
species of canids, which have not been domesticated are relevant to this topic.
The purpose of this article is to study the effect of instrumental learning on
captive Pampas foxes' (Lycalopex gymnocercus) communicative responses to humans.
Seven foxes were tested in a conflict situation involving food within sight but
out of their reach. In these situations dogs typically gaze at the human face to
ask for food. In Study 1, there was an increase in gaze duration as a consequence
of reinforcement and a decrease during extinction, when animals did not receive
any more food. In Study 2, all of the four foxes tested successfully followed
proximal pointing gesture to find hidden food. When a distal pointing cue was
given, three out of four followed it in the first session and one in the second
session. These results are consistent with those previously found in dogs, and
suggest that learning experiences allow the development of communicative skills,
even in not domesticated canid species.
PMID- 22075372
TI - Bilateral effects of 6 weeks' unilateral acupuncture and electroacupuncture on
ankle dorsiflexors muscle strength: a pilot study.
AB - OBJECTIVES: To determine the effect of unilateral manual acupuncture at selected
acupoints on ankle dorsiflexion strength of both limbs, and compare the effect
with that of electroacupuncture at the same acupoints and sham points. DESIGN:
Randomized controlled trial. SETTING: Rehabilitation laboratory of a university.
PARTICIPANTS: Young men (N=43) were randomly allocated into 4 groups: control;
manual acupuncture and electroacupuncture on 2 acupoints (ST-36 and ST-39); and
electroacupuncture on 2 nonacupoints. These points were located on the tibialis
anterior muscle. INTERVENTIONS: The participants in the experimental groups
received 15 to 30 minutes of acupuncture or electroacupuncture on the right leg
in each session, 3 sessions per week for 6 weeks. MAIN OUTCOME MEASURES: The
maximal strength in isometric ankle dorsiflexion of both legs was assessed before
and after the experimental period. RESULTS: Repeated-measures analysis of
variance identified significant and similar strength gains (range, 35%-64% in the
right leg and 32%-49% in the left leg; P<.01) in all acupuncture groups, but not
in the control group (-2% to 2%, P>.05). CONCLUSIONS: Unilateral manual
acupuncture and electroacupuncture at the acupoints can improve muscle strength
in both limbs, and electroacupuncture at the nonacupoints as used in this study
can also induce similar strength gains.
PMID- 22075373
TI - Thermal stability of vitamin C: thermogravimetric analysis and use of total ion
monitoring chromatograms.
AB - The thermal decomposition kinetics and shelf life of vitamin C in nitrogen or air
were studied by using thermogravimetric analysis (TGA) and evolved-gas analysis
lithium-ion attachment mass spectrometry (EGA-Li+IAMS). Arrhenius parameters
obtained via TGA were reported for thermal decomposition. For vitamin C in a
nitrogen atmosphere, the activation energy (E(a)) was 25.1 kcal/mol and the pre
exponential factor (A) was 2.5 * 1011 min-1. The kinetic parameters estimated via
TGA agreed with values estimated from a pyrogram when the weight loss observed by
TGA was shown to be due to gas evolution as a result of decomposition of the
compound. Thermal stability was expressed by calculating the time for 10% of the
vitamin C to decompose at 25 degrees C (t(90%,25 degrees C)). The t(90%,25
degrees C) for vitamin C obtained via TGA or EGA-Li+IAMS was higher in nitrogen
(2.0 and 2.0 years, respectively) than in air (1.3 and 1.6 years, respectively).
This indicates that the type of atmosphere influences vitamin C stability.
PMID- 22075374
TI - Development and application of a validated gradient elution HPLC method for
simultaneous determination of 5-fluorouracil and paclitaxel in dissolution
samples of 5-fluorouracil/paclitaxel-co-eluting stents.
AB - The combined use of 5-fluorouracil and paclitaxel is common in clinical trials.
However, there are few methods for simultaneous determination of 5-fluorouracil
and paclitaxel; most reported approaches can only quantitate either 5
fluorouracil or paclitaxel. This paper proposes a new gradient elution HPLC
method for simultaneous determination of 5-fluorouracil and paclitaxel using a
photodiode array detector, C18 column (250 mm * 4.6 mm, 5 MUm) with methanol and
0.5% H3PO4 aqueous solution as the mobile phase components. The injection volume
was 50 MUl and the column temperature was maintained at 30 degrees C. The method
was validated according to USP Category I requirements. The validation
characteristics included system suitability, linearity, analytical range, LOD,
LOQ, accuracy, precision, specificity, stability, ruggedness and robustness. The
calibration curves exhibited linear concentration ranges of 0.2-40 MUg/ml for 5
fluorouracil and 1.5-150 MUg/ml for paclitaxel with correlation coefficients
larger than 0.99990. The lower limits of quantitation were 2 ng/ml for 5
fluorouracil and 0.75 MUg/ml for paclitaxel, respectively. The intra and inter
day precision and accuracy were found to be well within acceptable limits (i.e.,
5%). The results demonstrate that this method is reliable, reproducible and
suitable for simultaneous quantitation of the two drugs in the release media of 5
fluorouracil/paclitaxel-co-eluting stents.
PMID- 22075375
TI - Therapeutic effect of Yunnan Baiyao on rheumatoid arthritis was partially due to
regulating arachidonic acid metabolism in osteoblasts.
AB - In order to explore the potential therapeutic effect of Yunnan Baiyao (YNB) on
rheumatoid arthritis (RA), rat models were constructed and orally administrated
with YNB or methotrexate (MTX) in parallel. Clinical physical, histological and
biochemical parameters showed trivial therapeutic difference between YNB and MTX
applications. Urine and serum metabonomics results indicated that many endogenous
metabolites differentially changed among the rats receiving diverse therapeutic
interventions. Among them, the fluctuation of arachidonic acid (AA) was thought
to make sense. Thus, its relevant metabolites were subjected to quantitation by
using osteoblasts treated by YNB in vitro. It was found that YNB extract of 20
MUg/mL could greatly activate the synthesis of intracellular prostaglandin E2 and
thromboxane B2 in osteoblasts. Excretion of prostaglandin D2 could be suppressed
but not the thromboxane B2. This study proved the efficacy of YNB on curing RA
and its potential mechanism through modulating AA metabolism in osteoblasts to
some extent.
PMID- 22075376
TI - A validated Ultra High Pressure Liquid Chromatographic method for the
characterisation of confiscated illegal slimming products containing anorexics.
AB - A fully validated UHPLC-DAD method for the identification and quantification of
pharmaceutical preparations, containing molecules frequently found in illegal
slimming products (sibutramine, modafinil, ephedrine, nor-ephedrine, metformin,
theophyllin, caffeine, diethylpropion and orlistat) was developed. The proposed
method uses a Vision HT C18-B column (2 mm * 100 mm, 1.5 MUm) with a gradient
using an ammonium acetate buffer pH 5.0 as aqueous phase and acetonitrile as
organic modifier. The obtained method was fully validated based on its
measurement uncertainty (accuracy profile). Calibration lines for all components
were linear within the studied ranges. The relative bias and the relative
standard deviations for all components were respectively smaller than 3.0% and
1.5%, the beta-expectation tolerance limits did not exceed the acceptance limits
of 10% and the relative expanded uncertainties were smaller than 3% for all of
the considered components. A UHPLC-DAD method was obtained for the identification
and quantification of these kind of pharmaceutical preparations, which will
significantly reduce analysis times and workload for the laboratories charged
with the quality control of these preparations and which can, if necessary, be
coupled to a MS-detector for a more thorough characterisation.
PMID- 22075377
TI - Relative roles of Neogene vicariance and Quaternary climate change on the
historical diversification of bunchgrass lizards (Sceloporus scalaris group) in
Mexico.
AB - Neogene vicariance during the Miocene and Pliocene and Quaternary climate change
have synergistically driven diversification in Mexican highland taxa. We
investigated the impacts of these processes on genetic diversification in the
widely distributed bunchgrass lizards in the Sceloporus scalaris group. We
searched for correlations between timing in diversification and timing of (1) a
period of marked volcanism across the Trans-Mexican Volcanic Belt in central
Mexico 3-7.5million years ago (Ma) and (2) a transition to larger glacial
interglacial cycles during the mid-Pleistocene. From our phylogenetic analyses of
mitochondrial DNA we identified two major clades that contained 13 strongly
supported lineages. One clade contained lineages from the two northern sierras of
Mexico, and the other clade included lineages associated with the Trans-Mexican
Volcanic Belt and Central Mexican Plateau. Results provided support for Neogene
divergences within the S. scalaris group in response to uplift of the Trans
Mexican Volcanic Belt, a pattern observed in several co-distributed taxa, and
suggested that Quaternary climate change likely had little effect on
diversification between lineages. Uplift of the Trans-Mexican Volcanic Belt
during specific time periods appears to have strongly impacted diversification in
Mexican highland taxa.
PMID- 22075378
TI - Lipocalin 2 in cancer: when good immunity goes bad.
AB - The innate immune molecule Lipocalin 2 (LCN2) was initially shown to combat
bacterial infection by binding bacterial siderophores, hence impairing microbial
iron sequestration. In recent years, it has become apparent that LCN2 is over
expressed in cancers of diverse histological origin and that it facilitates
tumorigenesis by promoting survival, growth, and metastasis. Herein, we discuss
emerging evidence that substantiates two functional roles for LCN2 in cancer:
promotion of the epithelial-to-mesenchymal transition (EMT) that facilitates an
invasive phenotype and metastasis, and sequestration of iron that results in cell
survival and tumorigenesis. Further, we present evidence that upregulated LCN2
expression in solid tumors is induced by hypoxia and pro-inflammation,
microenvironmental noxae that converge to cause an endoplasmic reticulum (ER)
stress response. Taken together, it appears that tumor cells exploit the
beneficial innate immune function of LCN2 to support uncontrolled growth. This
duplicity in function highlights LCN2 and its upstream driver, the ER stress
response, as key targets for cancer therapy.
PMID- 22075379
TI - Understanding the role of cytokines in Glioblastoma Multiforme pathogenesis.
AB - Cytokines play a significant role in cancer diagnosis, prognosis and therapy. The
immune system's failure to recognize the malignant tumor cells and mount an
effective response may be the result of tumor-associated cytokine deregulation.
Glioblastoma Multiforme (GBM) has a characteristic cytokine expression pattern,
and abnormalities in cytokine expression have been implicated in gliomagenesis.
Within the heterogeneous GBM microenvironment, the tumor cells, normal brain
cells, immune cells, and stem cells interact with each other through the complex
cytokine network. This review summarizes the current understanding of the
functions of key cytokines on GBM, and highlights potential therapeutic
applications targeting these cytokines.
PMID- 22075380
TI - Synergistic antitumor effect of adenovirus-mediated hING4 gene therapy and (125)I
radiation therapy on pancreatic cancer.
AB - Pancreatic cancer has a poor prognosis, even with surgery. ING4 is a member of
the inhibitor of growth (ING) tumor suppressor family that has potent inhibitory
effects on a variety of tumors; meanwhile, radiotherapy is a common adjunctive
therapy for pancreatic cancer. Prior to this study, the effectiveness of a
combination of ING4 gene-therapy and radiotherapy against pancreatic cancer had
been unknown. In this study, we demonstrated that either ING4 or (125)I
radiotherapy treatment could induce Panc-1 pancreatic cancer cell growth
suppression and apoptosis in vitro. Furthermore, both treatments inhibited tumor
growth and angiogenesis of Panc-1 pancreatic cancer subcutaneously xenografted in
vivo. Moreover, the combination therapy had a synergistic effect.
PMID- 22075381
TI - Changes in actin and E-cadherin expression induced by 5-aminolevulinic acid
photodynamic therapy in normal and Ras-transfected human mammary cell lines.
AB - Photodynamic therapy (PDT) is an anticancer treatment based on light-induced
destruction of photosensitised malignant cells. It has been reported that PDT
strongly affects cell-cell and cell-substrate adhesion through the reorganization
of some cytoskeletal and adhesion proteins. The aim of the present work was to
study the changes induced by PDT employing aminolevulinic acid (ALA), on the
cytoskeleton actin network and E-cadherin expression. We employed the normal
mammary HB4a cell line and its tumor counterpart transfected with the oncogene H
Ras, which has been shown to be resistant to PDT. Ras insertion induces per se
disorganization of both F-actin and E-cadherin distribution. ALA-PDT induces on
HB4a cells a dramatic disorganization of actin stress fibers, resembling normal
Ras-transfected cells. After 48h some features of disorganization remain present.
In HB4a-Ras cells, F-actin exhibits signals of photodamage, but distribution is
recovered 24h after treatment. On the other hand, PDT did not impact on E
cadherin distribution, other than a transient disorganization, which was
recovered at 24h. Moreover, E-cadherin disorganization did not favoured cell-cell
detachment after PDT of HB4a-Ras cells. Actin but not E-cadherin constitutes in
this model an important target of PDT. The fact that some features of
microfilament disorganization remain present in HB4a surviving cells but not in
Ras-transfected cells, suggests that cytoskeletal structures such as F-actin may
be involved in the mechanisms of resistance to PDT.
PMID- 22075382
TI - Response of pigmented Serratia marcescens to the illumination.
AB - Variations in the illumination conditions (light/darkness) affected both the
biosynthesis of prodigiosin and energy metabolism of the pigmented strain ATCC
9986 Serratia marcescens growing aerobical in the batch culture were shown. In
the process incubation the transition of the pigmented culture from illumination
within (24 h, 48 h) in the dark conditions increased the prodigiosin synthesis by
2.0, 2.5 times, respectively. At the same time, the illumination did not
influence the prodigiosin biosynthesis in the stationary growth phase. In the
initial period of prodigiosin synthesis the rate of oxygen consumption was higher
than later when the pigment synthesis gradually decreased. The respiration
activity of colorless strain 24-5 is not independent from the lighting
conditions. The regulation of energetic pathways in the light and in darkness has
been revealed. Prodigiosin is associated with the hydrophobic protein and it is
represented pigment protein complex by diameter of particles less 100 kDa.
Fluorescence spectrum of prodigiosin and it the absorption spectra of derivatives
of high orders D(IV) and D(VIII) were described.
PMID- 22075383
TI - [A trick to improve the technique of the IntraVascular Stent (IVaS): "Clip
Stent"].
AB - The infra-millimetre vessels are difficult to suture, because the placement of
forceps in the lumen is delicate and threads often cross the walls. The technique
of the IntraVascular Stent (IVaS), developed to remedy it, did not make the proof
of its superiority. The purpose of this study was to analyze the results of a
variant, the Clip Stent. Our series included two groups of 10 rats. In group I,
the artery of the tail was anastomosed by threads of nylon 10/0. In group II, the
artery was anastomosed according to the technique of Clip Stent including three
stages: introduction of a monothread of polypropylene 6/0, anastomosis by threads
of nylon 10/0, ablation of the Clip Stent and the closure of possible leaks. The
assessment consisted in measuring the time of anastomosis, in counting the number
of separate threads and leaks, and in testing the permeability. The time of
anastomosis was longer 12 minutes in the group II. The number of points by
anastomosis was 6.5 in the group I and of 5.5 in the group II. The permeability
was 90% in two groups. The Clip Stent is faster than the IVaS. It is useless to
realize vascular threads of the lumen before the introduction of the stent. Once
the stent in position, it cannot traumatize the intima and its migration is
impossible. Contrary to the IVaS, the Clip Stent allows to realize the last
threads stent in position, by releasing the tourniquet. The ablation is safe. Its
superiority to the conventional methods remains to demonstrate by improving its
introduction in the lumen.
PMID- 22075384
TI - Differential mTOR and ERK pathway utilization by effector CD4 T cells suggests
combinatorial drug therapy of arthritis.
AB - The signaling pathways utilized by naive and experienced effector CD4 T cells
during activation and proliferation were evaluated. While inhibition of either
mTOR or MAPK alone was able to inhibit naive T cell proliferation, both mTOR and
MAPK (ERK) pathway inhibition was required to efficiently block experienced,
effector CD4 T cell proliferation. This was demonstrated both in vitro, and in
vivo by treating mice with collagen-induced arthritis using mTOR and/or ERK
inhibitors. The combination of mTOR and ERK inhibition prevented or treated
disease more efficiently than either agent alone. These data illustrate the
different requirements of naive and experienced effector CD4 T cells in the use
of the mTOR and MAPK pathways in proliferation, and suggest that therapies
targeting both the mTOR and MAPK pathways may be more effective than targeting
either pathway alone in the treatment of CD4 T cell-mediated autoimmunity.
PMID- 22075385
TI - Uterine and ovarian carcinosarcomas overexpressing Trop-2 are sensitive to hRS7,
a humanized anti-Trop-2 antibody.
AB - BACKGROUND: We evaluated the expression of human trophoblastic cell-surface
marker (Trop-2) and the potential of hRS7 - a humanized monoclonal anti-Trop-2
antibody - as a therapeutic strategy against treatment-refractory human uterine
(UMMT) and ovarian (OMMT) carcinosarcoma cell lines. MATERIALS AND METHODS: Trop
2 expression was evaluated by immunohistochemistry (IHC) in paraffin-embedded
tumor tissues, by real-time polymerase-chain-reaction (RT-PCR) and flow-cytometry
in cell lines. Sensitivity to hRS7 antibody-dependent cellular cytotoxicity
(ADCC) and complement-dependent cytotoxicity was tested using 5-hour chromium
release assays against UMMT and OMMT cells. RESULTS: Trop-2 expression was
elevated in 9 of 26 (35%) UMMT and 8 of 14 (57%) OMMT tissues tested by IHC.
Positivity for Trop-2 mRNA by RT-PCR and surface expression by flow cytometry
were detected in 2 of 4 cell lines, with high positivity noted in OMMT-ARK-2.
OMMT-ARK-2 was highly sensitive to hRS7 ADCC (range: 34.7-41.0%; P < 0.001) with
negligible cytotoxicity seen in the absence of hRS7 or in the presence of control
antibody (range: 1.1-2.5%). Human IgG did not significantly inhibit ADCC while
human complement increased, hRS7-mediated-cytotoxicity against OMMT-ARK-2.
CONCLUSION: Trop-2 is overexpressed in a proportion of UMMT and OMMT, and hRS7
may represent a novel, potentially highly effective treatment option for patients
with treatment-refractory carcinosarcomas overexpressing Trop-2.
PMID- 22075386
TI - Comments on "Hinfinity synchronization of uncertain fractional order chaotic
systems: adaptive fuzzy approach" [ISA Trans 50 (2011) 548-556].
AB - In this note, we demonstrate that the stability analysis in the main theorem of
the paper [Lin T-C, Kuo C-H. H(infinity) synchronization of uncertain fractional
order chaotic systems: adaptive fuzzy approach. ISA Trans 2011;50:548-56] is
wrong.
PMID- 22075387
TI - A portable hardware-in-the-loop (HIL) device for automotive diagnostic control
systems.
AB - In-vehicle driving tests for evaluating the performance and diagnostic
functionalities of engine control systems are often time consuming, expensive,
and not reproducible. Using a hardware-in-the-loop (HIL) simulation approach, new
control strategies and diagnostic functions on a controller area network (CAN)
line can be easily tested in real time, in order to reduce the effort and the
cost of the testing phase. Nowadays, spark ignition engines are controlled by an
electronic control unit (ECU) with a large number of embedded sensors and
actuators. In order to meet the rising demand of lower emissions and fuel
consumption, an increasing number of control functions are added into such a
unit. This work aims at presenting a portable electronic environment system,
suited for HIL simulations, in order to test the engine control software and the
diagnostic functionality on a CAN line, respectively, through non-regression and
diagnostic tests. The performances of the proposed electronic device, called a
micro hardware-in-the-loop system, are presented through the testing of the
engine management system software of a 1.6 l Fiat gasoline engine with variable
valve actuation for the ECU development version.
PMID- 22075388
TI - The ameliorating effect of dantrolene on the morphology of urinary bladder in
spinal cord injured rats.
AB - In animal models of spinal cord injury (SCI), the urinary bladder can undergo
significant structural and physiological alterations. Dantrolene has been shown
to be neuroprotective by reducing neuronal apoptosis after SCI. Furthermore, in
addition to its anti-inflammatory and antioxidant properties, it appears to have
a beneficial action on voiding, once this drug acts on the external urethral
sphincter relaxation. In the present study, we investigated the effects of
dantrolene on urinary bladder injury that follows experimental SCI. Forty-six
male Wistar rats were laminectomized at T13, and a compressive trauma was
performed to induce SCI. After euthanasia, the urinary bladder was removed for
gross and histological evaluation. Traumatized animals showed urinary retention
with severe hemorrhagic cystitis. Injured animals treated with dantrolene had
less bladder hemorrhage and inflammatory infiltrate than those treated with
placebo (p<0.05). Our results demonstrate that dantrolene may protect against
urinary bladder lesions that follow SCI. Treating spinal cord-injured patients
with this agent may be a promising additional therapeutic strategy to alleviate
the accompanying inflammatory process. The results of the current study show that
dantrolene has protective effects on spinal cord contusion-induced urinary
bladder injury. The impaired integrity of bladder morphology was ameliorated by
dantrolene treatment.
PMID- 22075389
TI - Heterologous expression and characterization of a sigma glutathione S-transferase
involved in carbaryl detoxification from oriental migratory locust, Locusta
migratoria manilensis (Meyen).
AB - Glutathione S-transferases (GSTs) play a major role in detoxification of
xenobiotics and resistance to insecticides in insects. In the present study, a
sigma-class GST gene (LmGSTs3) was identified from the locust, Locusta migratoria
manilensis. Its full-length cDNA sequence is 828 bp containing an open reading
frame (ORF) of 612 bp that encodes 204 amino acid residues. The predicted protein
molecular mass and pI are 23.4 kDa and 7.62, respectively. Recombinant LmGSTs3
was heterologously expressed in Escherichia coli as a soluble fusion protein. Its
optimal activity was observed at pH 8.0. Incubation for 30 min at temperatures
below 40 degrees C scarcely affected activity. The LmGSTs3 at pH values between
4.0 and 11.0 retained more than 80% of its original activity. Ethacrynic acid and
cibacron blue were very effective inhibitors of LmGSTs3 with I50-values 1.7 and
3.7 MUM, respectively. In response to heavy metal (CuSO4, CdCl2) exposure there
was a concentration-dependent and time-dependent decrease in activity. The nymph
mortalities after carbaryl treatment increased 38.7% after LmGSTs3 were silenced.
These results suggest that LmGSTs3 may be involved in carbaryl detoxification in
L. migratoria manilensis.
PMID- 22075390
TI - Re-examination of the roles of environmental factors in the control of body-color
polyphenism in solitarious nymphs of the desert locust Schistocerca gregaria with
special reference to substrate color and humidity.
AB - This study re-examines the effects of environmental factors including substrate
color, humidity, food quality, light intensity and temperature on the green-brown
polyphenism, black patterning and background body color of solitarious (isolated
reared) nymphs of Schistocerca gregaria. All individuals reared in yellow-green
or yellow containers became green morphs, whereas those reared in white, ivory
colored, blue, grey, brown, zinc-colored and black containers produced brown
morphs in similar proportions. The intensity of black patterns was negatively
correlated with the brightness of the substrate color of the containers.
Humidity, which previous studies claimed controls green-brown polyphenism in this
species, exerted no significant influence on either the green-brown polyphenism
or the black patterning. Food quality also had little effect on body color. High
temperature tended to inhibit darkening. The background body color on the thorax
was greatly influenced by the substrate color of rearing containers and a close
correlation was found between these two variables, indicating that, in contrast
to what has been suggested by others, this species exhibits homochromy to match
the body color to the substrate color of its habitat. Similar responses were
observed in another strain, although some quantitative differences occurred
between the two strains examined. Based on these results, a new model explaining
the control of body-color polyphenism in this locust is proposed and the
ecological significance of black patterns in solitarious nymphs is discussed.
PMID- 22075391
TI - Ethical considerations associated with clinical use of next-generation sequencing
in children.
PMID- 22075400
TI - Comparative analyses of the complete mitochondrial genomes of Ascaris
lumbricoides and Ascaris suum from humans and pigs.
AB - Ascaris lumbricoides and Ascaris suum are parasitic nematodes living in the small
intestine of humans and pigs, and can cause the disease ascariasis. For long,
there has been controversy as to whether the two ascaridoid taxa represent the
same species due to their significant resemblances in morphology. However, the
complete mitochondrial (mt) genome data have been lacking for A. lumbricoides in
spite of human and animal health significance and socio-economic impact globally
of these parasites. In the present study, we sequenced the complete mt genomes of
A. lumbricoides and A. suum (China isolate), which was 14,303 bp and 14,311 bp in
size, respectively. The identity of the mt genomes was 98.1% between A.
lumbricoides and A. suum (China isolate), and 98.5% between A. suum (China
isolate) and A. suum (USA isolate). Both genomes are circular, and consist of 36
genes, including 12 genes for proteins, 2 genes for rRNA and 22 genes for tRNA,
which are consistent with that of all other species of ascaridoid studied to
date. All genes are transcribed in the same direction and have a nucleotide
composition high in A and T (71.7% for A. lumbricoides and 71.8% for A. suum).
The AT bias had a significant effect on both the codon usage pattern and amino
acid composition of proteins. Phylogenetic analyses of A. lumbricoides and A.
suum using concatenated amino acid sequences of 12 protein-coding genes, with
three different computational algorithms (Bayesian analysis, maximum likelihood
and maximum parsimony) all clustered in a clade with high statistical support,
indicating that A. lumbricoides and A. suum was very closely related. These mt
genome data and the results provide some additional genetic evidence that A.
lumbricoides and A. suum may represent the same species. The mt genome data
presented in this study are also useful novel markers for studying the molecular
epidemiology and population genetics of Ascaris.
PMID- 22075401
TI - Neurohormonal and cytokine fluctuations following transcatheter closure for an
atrial septal defect.
AB - INTRODUCTION: Inflammation and neurohormonal activation are considered to be
involved in the development of earlier and/or later complications in congenital
heart disease patients, even after a successful repair of the lesion. It is not
yet clarified what is the role of the therapeutic interventions in the occurrence
of such a response and how it could be associated with possible postoperative
complications. AIM: We sought to assess the inflammatory and neurohormonal
response to transcatheter closure of secundum type atrial septal defects (ASD)
over a six-month follow-up period. We also evaluated the association between the
respective markers and catheterization data as well as echocardiographic
measurements. METHODS: Plasma concentrations of interleukin-6 (IL-6), tumor
necrosis factor-alpha (TNF-alpha), interleukin-10 (IL-10), N-terminal-proatrial
natriuretic peptide (NT-proANP) and N-terminal-probrain natriuretic peptide (NT
proBNP) were assessed and echocardiographic measurements were performed in twenty
eight patients with atrial septal defect prior to, and at the first, second and
sixth months post transcatheter closure. Thirty-three age-matched healthy
volunteers were also enrolled. RESULTS: IL-6 plasma levels, although higher
preoperatively, [physical logarithm (ln) IL-6: 3.37+/-0.66 vs 2.92+/-0.44 pg/ml,
p=0.015], reached control levels postoperatively, at the end of the third month,
whereas TNF-alpha and IL-10 were not influenced by the procedure. NT-proANP
levels were elevated preoperatively compared to the control group (ln NT-proANP
3.78+/-0.572 vs 3.48+/-0.30, p=0.031), with a further significant increase during
the 1st month (ln NT-proANP 3.78+/-0.572 vs 4.2+/-0.42, p=0.006), following the
pattern of the left atrial volume enlargement, and remained high even 6 months
after the procedure .On the other hand, the initially normal concentrations of NT
proBNP, after a transient significant increase during the first month
postoperatively (ln NT-proBNP 3.56+/-0.94 vs 4.58+/-0.91, p<0.0001) returned to
the controls' levels at the end of the third month. Preoperative concentrations
of NT-proANP positively correlated with NT-proBNP concentrations and pulmonary to
systemic flow ratio (Qp/Qs). CONCLUSIONS: Transcatheter closure could improve, on
a mid- term basis, the inflammatory process but natriuretic peptides' secretion
continues in parallel with left atrial volume increase. Further follow up is
required to determine the long-term progress of the inflammatory and
neurohormonal response to the procedure.
PMID- 22075402
TI - [Scientific studies are required to validate the indications for long-term oxygen
therapy at high altitudes].
PMID- 22075403
TI - Two types of presentation of pulmonary inflammatory pseudotumors.
AB - Inflammatory pseudotumors are a relatively uncommon pathology of uncertain
etiology, generally considered to be reactive in origin. They may be observed in
different locations as single or multiple masses. One of the possible forms of
presentation is intrapulmonary. Despite its low frequency, this pathology should
be considered in the differential diagnosis of lung nodules, even though the
histologic results and the imaging tests can become confusing. In addition,
pulmonary inflammatory pseudotumors present a low malignancy with good response
to surgical treatment as well as to pharmacological therapy, although to a lesser
degree. We present a bibliographic review of this pathology based on two cases
observed in our hospital. Both patients debuted with non-specific respiratory
symptoms and lung nodules on imaging studies that were suspicious for neoplastic
processes. After an exhaustive study, the diagnosis of pulmonary inflammatory
pseudotumor was reached, with excellent responses to the treatment used in each
case.
PMID- 22075404
TI - Lung affectation in an adult patient with Niemann-Pick disease, type B.
AB - We have carried out a review of the literature after the presentation of a case
of Niemann-Pick (N-P) disease type B reporting the radiological findings with
special emphasis on lung affectation. The patient is 40 years old and was
diagnosed at the age of 6 with possible N-P disease suspected due to the
presentation of hepatosplenomegaly and reticular pattern on chest radiography,
with initially normal lung function tests. Said diagnosis was confirmed by
measuring the activity of acid sphingomyelinase (ASM) enzyme in skin fibroblast
cultures and by demonstrating the presence of mutations in the ASM gene. In cases
of storage disease, lung affectation should always be considered, and the most
reliable radiological technique is high-resolution computed tomography. Although
the "crazy-paving" pattern is not the most specific for this pathology, N-P
disease should be included in the differential diagnosis.
PMID- 22075406
TI - Pulmonary edema following scorpion envenomation: mechanisms, clinical
manifestations, diagnosis and treatment.
AB - Scorpion envenomation is common in tropical and subtropical regions. Cardio
respiratory manifestations, mainly cardiogenic shock and pulmonary edema, are the
leading causes of death after scorpion envenomation. The mechanism of pulmonary
edema remains unclear and contradictory conclusions were published. However, most
publications confirm that pulmonary edema has been attributed to acute left
ventricular failure. Cardiac failure can result from massive release of
catecholamines, myocardial damage induced by the venom or myocardial ischemia.
Factors usually associated with the diagnosis of pulmonary edema were young age,
tachypnea, agitation, sweating, or the presence of high plasma protein
concentrations. Treatment of scorpion envenomation has two components: antivenom
administration and supportive care. The latter mainly targets hemodynamic
impairment and cardiogenic pulmonary edema. In Latin America, and India, the use
of Prazosin is recommended for treatment of pulmonary edema because pulmonary
edema is associated with arterial hypertension. However, in North Africa,
scorpion leads to cardiac failure with systolic dysfunction with normal vascular
resistance and dobutamine was recommended. Dobutamine infusion should be used as
soon as we have enough evidence suggesting the presence of pulmonary edema, since
it has been demonstrated that scorpion envenomation can result in pulmonary edema
secondary to acute left ventricular failure. In severe cases, mechanical
ventilation can be required.
PMID- 22075407
TI - Myocardial infarction-stroke association.
PMID- 22075408
TI - Differential impact of cytochrome 2C9 allelic variants on clopidogrel-mediated
platelet inhibition determined by five different platelet function tests.
AB - BACKGROUND: The antiplatelet effect of clopidogrel is subject to considerable
inter-individual variations. In vitro high on-treatment residual platelet
reactivity (HRPR) has been linked to cytochrome P450 (CYP) 2C19*2 carriage, and
both were significantly associated with the occurrence of adverse events after
coronary stenting. It has been shown that besides CYP2C19, CYP2C9 is involved in
the hepatic biotransformation of clopidogrel to its active metabolite.
Consequently, CYP2C9 polymorphisms may also affect the extent of clopidogrel
mediated platelet inhibition. We therefore studied the influence of CYP2C9
allelic variants on clopidogrel-mediated platelet inhibition as assessed by 5
platelet function tests. METHODS: On-clopidogrel residual platelet reactivity was
assessed by light transmission aggregometry (LTA), the VerifyNow P2Y12 assay, the
VASP assay, multiple electrode aggregometry (MEA), and the Impact-R in 288
patients after angioplasty and stenting for cardiovascular disease. Allelic
variants CYP2C9*2 and *3 were determined using a RealTime PCR assay. RESULTS: A
significantly higher on-treatment platelet reactivity was found for patients with
loss-of-function (LOF) status (wt/*3, *2/*2, *3/*3) compared to normal-function
genotype (wt/wt, wt/*2) using the VerifyNow assay (P=0.01). An in trend increase
was seen with LTA (P=0.06) while results did not differ for the VASP assay, MEA
or the Impact-R. Further, in univariate and multivariable logistic regression
analysis the LOF genotype was associated with HRPR determined by the VerifyNow
P2Y12 assay (P=0.02) but not by any other assay. CONCLUSION: Results from the
VerifyNow P2Y12 assay are significantly influenced by CYP2C9 LOF variants leading
to decreased clopidogrel-mediated platelet inhibition and an increased rate of
HRPR.
PMID- 22075409
TI - Hydatid cyst of the cardiac interventricular septum.
PMID- 22075410
TI - Six-month follow-up evaluation for everolimus-eluting stents by intracoronary
optical coherence tomography: comparison with paclitaxel-eluting stents.
AB - BACKGROUND: Although several clinical trials have shown the superior efficacy and
safety of second-generation everolimus-eluting stents (EES) in comparison with
first-generation paclitaxel-eluting stents (PES), the differences in the vascular
healing process between EES and PES in a human coronary artery during an early
stage are unknown. METHODS: A prospective optical coherence tomography (OCT)
observation was performed for 25 EES in 21 patients and 27 PES in 21 patients at
6 months after implantation. Cross-sections within single-stent segments were
analyzed at intervals of 1mm. The neointimal (NI) thickness on each strut was
measured. Uncovered struts (NI thickness=0 MUm), malapposed struts, NI area (%),
uncovered strut ratio >0.3 (UCSR; number of uncovered struts/number of total
struts) per cross-section, and in-stent thrombus were evaluated. RESULTS: A total
of 5198 EES struts in 514 cross-sections and 4243 PES struts in 469 cross
sections were identified. NI thickness and its area were smaller for EES than PES
(80.0 +/- 84.8 MUm vs. 117.9 +/- 140.0 MUm and 19.1 +/- 8.9% vs. 23.7 +/- 11.5%,
respectively; P<0.001). The frequencies of uncovered struts and malapposed struts
were lower in EES compared to PES (2.3% vs. 5.2% and 2.1% vs. 5.7%, respectively;
P<0.001). Patients who had cross-sections of UCSR >0.3 and thrombi were
identified less frequently in EES than in PES group (5% vs. 57%; P<0.001, and 19%
vs. 48%; P=0.05, respectively). CONCLUSIONS: Six-month OCT examination showed a
favorable vessel healing response after the implantation of EES, demonstrating
less in-stent late loss as well as fewer uncovered struts and better stent
apposition to the vessel wall in comparison with PES.
PMID- 22075411
TI - Freedom poverty: a new tool to identify the multiple disadvantages affecting
those with CVD.
AB - BACKGROUND: It is recognised that CVD affects an individual's financial
situation, placing them in income poverty. However, recent developments in
poverty measurement practice recognises other forms of disadvantage other than
low income, such as poor health and insufficient education also affect living
standards. METHODS: Using the Freedom Poverty Measure, the multiple forms of
disadvantage experienced by those with no health condition, heart disease, other
diseases of the circulatory system, and all other health conditions was assessed
using data on the adult Australian population contained in the 2003 Survey of
Disability, Ageing and Carers. RESULTS: 24% of those with heart disease and 23%
of those with other diseases of the circulatory system were in freedom poverty,
suffering from multiple forms of disadvantage. Those with heart disease and those
with other diseases of the circulatory system were around three times more likely
to be in freedom poverty (OR 3.02, 95% CI: 2.29-3.99, p<.0001; OR 2.78, 95% CI:
1.94-3.98, p<.0001) than those with no health condition. CONCLUSIONS: Recognising
the multiple forms of disadvantage suffered by those with CVD provides a clearer
picture of their living standards than just looking at their income alone and the
high proportion of individuals with CVD that are suffering from multiple forms of
disadvantage should make them a target for policy makers wishing to improve
living standards.
PMID- 22075412
TI - A randomized comparison of sirolimus- vs. paclitaxel-eluting stents for treatment
of bifurcation lesions by single stent and kissing balloon: results of the SINGLE
KISS trial.
AB - BACKGROUND: In the treatment of bifurcation lesions, routine stenting of both
branches has thus far failed to demonstrate a clear clinical advantage over a
provisional one-stent strategy. On the other hand, large scale data evaluating
different stent types for clinical outcomes after one-stent treatment with final
kissing inflation (FKI) of bifurcation lesions is also limited. This prospective
study evaluated the clinical and angiographic outcomes of paclitaxel-eluting
stents (PES) vs. sirolimus-eluting stents (SES) in single crossover main branch
stenting followed by FKI in patients with bifurcation lesions. METHODS: We
randomized 800 patients with single bifurcation lesions to PES (n=400) and SES
(n=400) groups. RESULTS: Crossover rates to the two-stent strategy were low in
both groups (PES 1.5%, SES 2.8%; p=0.23). At 1 year, there was no significant
difference in the primary endpoint of this study, target lesion revascularization
rate (PES 3.8%, SES 3.2%, hazard ratio 0.83; 95% confidence interval 0.39 to
1.76; p=0.62). Stent thrombosis occurred in only 1 case in the SES group after
282 days. At 9 months, a total of 593 patients underwent quantitative coronary
measurement. The main branch restenosis rate in the PES group was significantly
higher than that of the SES group (PES 12.2%, SES 5.5%; p=0.004), however both
groups exhibited similar high side branch restenosis rates (PES 17.2%, SES 19.3%;
p=0.6). CONCLUSIONS: In patients with bifurcation lesions, a single stent
strategy using PES and SES with FKI indicated similar 1 year clinical outcomes
and safety profiles.
PMID- 22075413
TI - Variability and treatment of high on-prasugrel platelet reactivity in patients
with initial high on-clopidogrel platelet reactivity.
PMID- 22075414
TI - Doppler peak-plateau morphology in pulmonary regurgitation flow with respiratory
changes of its profile revealing hemodynamic features of restrictive
cardiomyopathy.
PMID- 22075415
TI - Unraveling the EXCEL: promises and challenges of the next trial of left main
percutaneous coronary intervention.
AB - The Evaluation of Xience Prime or Xience V versus Coronary Artery Bypass Surgery
for Effectiveness of Left Main Revascularization (EXCEL) trial is a multicenter,
ongoing trial conducted in patients with left main disease and SYNTAX score <= 32
to establish the presumptive advantage of percutaneous coronary intervention
(PCI) versus bypass surgery in patients with less complex coronary artery disease
than those enrolled in the Synergy between PCI with Taxus and Cardiac Surgery
(SYNTAX) trial. In this article, we aimed at critically discussing key features
and issues relevant to design and clinical interpretation of this new
contemporary trial of left main PCI.
PMID- 22075416
TI - Congenital absence of the right coronary artery with superdominant left
circumflex coronary artery.
PMID- 22075417
TI - Does mindfulness meditation shift the cardiac autonomic nervous system to a
highly orderly operational state?
PMID- 22075418
TI - Impact of diabetes mellitus on plaque vulnerability and clinical outcome in
patients with acute myocardial infarction with plaque rupture.
PMID- 22075419
TI - N-Terminal-proBrain natriuretic peptide measurement at presentation to identify
patients with recent onset of atrial fibrillation.
PMID- 22075420
TI - Persistent coronary arterial inflammation in a patient long after the onset of
Kawasaki disease.
PMID- 22075421
TI - Hypertensive crisis: comparison between diabetics and non-diabetics.
PMID- 22075422
TI - Biomechanical analysis of the influence of friction in jaw joint disorders.
AB - OBJECTIVE: Increased friction due to impaired lubrication in the jaw joint has
been considered as one of the possible causes for internal joint disorders. A
very common internal disorder in the jaw joint is an anteriorly dislocated
articular disc. This is generally considered to contribute to the onset of
arthritic injuries. Increase of friction as caused by impairment of lubrication
is suspected to be a possible cause for such a disorder. METHOD: The influence of
friction was addressed by analysis of its effects on tensions and deformations of
the cartilaginous structures in the jaw joint using computational biomechanical
analysis. Jaw open-close movements were simulated while in one or two
compartments of the right joint friction was applied in the articular contact.
The left joint was treated as the healthy control. RESULTS: The simulations
predicted that friction primarily causes increased shear stress in the articular
cartilage layers, but hardly in the articular disc. CONCLUSIONS: This suggests
that impaired lubrication may facilitate deterioration of the cartilage
subchondral bone unit of the articular surfaces. The results further suggest that
increased friction is not a plausible cause for turning a normally functioning
articular disc into an anteriorly dislocated one.
PMID- 22075423
TI - Boring algae.
PMID- 22075424
TI - Copper: an essential metal in biology.
PMID- 22075425
TI - Changing expectations about speed alters perceived motion direction.
PMID- 22075426
TI - Animal behavior: stay close for comfort.
AB - Mate guarding - a male staying near a female for a while after mating - has
traditionally been interpreted in the context of sexual conflict. New
observations of wild field crickets suggest instead that guarding males provide
protection from predators, enhancing female fitness.
PMID- 22075427
TI - Neuroscience: how is three-dimensional space encoded in the brain?
AB - A recent study in the rat has shown that hippocampal place cells and entorhinal
grid cells exhibit vertically-elongated firing fields, indicating that the rat's
brain may encode the animal's elevation less accurately than its horizontal
position.
PMID- 22075428
TI - Face recognition: vision and emotions beyond the bubble.
AB - A new study of how neurons in the human amygdala represent faces and their
component features argues for a holistic representation.
PMID- 22075430
TI - Neurostimulation: a new way to influence cortical excitability?
AB - Recent work in humans suggests that strong static magnets can modulate cortical
excitability for a limited period of time. Can this provide an alternative to
current neurostimulation approaches?
PMID- 22075429
TI - Cell-cell junctions: alpha-catenin and E-cadherin help fence in Yap1.
AB - Metazoan cells translate adhesive events with neighbors into anti-proliferative
signals in the nucleus. The cadherin-catenin adhesion complex has long been
suspected of playing a key role in this process, and three recent papers suggest
that it does so by modulating subcellular localization of the Hippo pathway
component Yap1.
PMID- 22075431
TI - Circadian pacemakers: how clock properties relate to their cellular properties.
AB - Circadian (~24 hour) pacemaking mechanisms exist within single cells. Which
cellular properties contrive to produce a precise clockworks, and which cell
properties are downstream of it? The literature is conflicted as to whether
membrane excitability contributes to the mechanism. Now, a new conditional
genetic strategy argues excitability is largely dispensable.
PMID- 22075432
TI - Fungal sex: meiosis machinery in ancient symbiotic fungi.
AB - Arbuscular mycorrhizal fungi are important symbionts that enhance plant growth.
They were thought to have been asexual for hundreds of millions of years. A new
study reveals that the fungi actually possess highly conserved genetic machinery
for completion of meiosis.
PMID- 22075434
TI - Trans-areola single-site endoscopic parathyroidectomy: report of one case.
AB - This study presents a case report of parathyroid adenoma, which was managed by
trans-areola single-site endoscopic parathyroidectomy. Two incisions were made
along the right areola margin. The single subcutaneous narrow tunnel from the
areola to neck was bluntly dissected in the right anterior chest. The authors
successfully removed the adenoma through this channel. The intraoperative quick
parathyroid hormone was decreased to a great extent. The operative time for the
whole procedure was 110 minutes. The patient experienced transient postoperative
hypocalcemia without recurrent laryngeal nerve palsy. She was very satisfied with
the cosmetic results.
PMID- 22075433
TI - Spindle checkpoint silencing: PP1 tips the balance.
AB - The spindle checkpoint is a mitotic surveillance mechanism that delays anaphase
until all sister chromatids are correctly attached to microtubules from opposite
poles. Recent studies reveal that protein kinase Aurora B is a key regulator of
spindle checkpoint activation whereas protein phosphatase PP1 antagonizes Aurora
B and induces checkpoint silencing. Chromosome biorientation stretches the
kinetochores and spatially separates centromeric Aurora B from its kinetochore
substrates, comprising several PP1-interacting proteins (PIPs). The ensuing
dephosphorylation of these PIPs creates docking sites for the bulk recruitment of
PP1 to the kinetochores. We propose that this tension-induced targeting of PP1
triggers checkpoint silencing by the dephosphorylation of kinetochore and
checkpoint components, including Aurora B substrates. In addition, PP1 also
directly inactivates a kinetochore-associated pool of Aurora B and silences
checkpoint signaling by opposing the centromeric targeting of Aurora B.
PMID- 22075435
TI - From conception to application of a tele-operated assistance robot for middle ear
surgery.
AB - The authors' goal was to design and evaluate a robot dedicated to middle ear
surgery. Specifications for dimensions, forces, and kinematics were collected,
based on the otosclerosis procedure. The robot structure has a compact geometry
with 3 linear and 3 rotatory motors. It is remotely piloted via a robot-surgeon
interface under operative microscope. Ability to reach anatomical targets, to
perform stapedectomy, and to place prosthesis in a model of stapedotomy was
evaluated by 6 surgeons. Multiple anatomical targets in the middle ear could be
successfully reached without damaging surrounding structures. The robot could be
used under operative microscope with minimal visual field impairment or jointly
with a 4-mm endoscope through the external auditory canal to perform stapedectomy
in temporal bone specimens. Prosthesis could be inserted in the stapedotomy
model. The assistance robot is the first prototype with 6 degrees of freedom, a
kinematic structure, and dimensions optimized for tele-operated middle ear
surgery.
PMID- 22075436
TI - A noncontact laser-guided system for endoscopic computer-assisted sinus surgery.
AB - The limited size of the nose leads to frequent instrument changes in navigated
endonasal sinus surgery. Tracked instruments provide limited accuracy, and the
pointer gives no navigation information during tissue removal. To overcome
information loss, laser triangulation was integrated into navigation information.
Accuracy and reliability of the laser-assisted distance-measuring system were
evaluated within the distance of 0 and 20 mm. System accuracy of the laser
endoscope was compared with a standard pointer using registration via bone screws
and surface matching. Accuracy of the laser was 0.12 mm +/- 0.12 mm with a
reliability of 0.2 mm. The system accuracy of the laser endoscope was 0.59 mm +/-
0.16 mm using bone screw registration and 0.64 mm +/- 0.22 mm using surface
matching. Additionally, laser endoscope is more accurate compared with the
pointer using bone screw registration. Overall, navigation information was
successfully integrated into an endoscope by laser triangulation with encouraging
results.
PMID- 22075437
TI - Surface deformation analysis of end-to-end stapled intestinal anastomosis.
AB - BACKGROUND: Stapling devices for creating anastomosis in internal organs are
commonly used during surgery. Despite the obvious advantages of shortened
procedure duration and fewer complications to manual suturing, staple-line
leakage during intestinal anastomosis likely relates to the interaction between
the staples and the tissue and to the tissue mechanical properties. The authors
studied the deformation pattern close to the anastomosis to learn more about the
mechanism involved in leakage. METHODS: End-to-end anastomosis in pig small
intestine was done using 21-mm circular staplers. Distension with pressure up to
100 cm H2O was done on the anastomosed segment. Surface markers were tracked
using a microscope and a CCD camera. Circumferential and longitudinal strains
were computed. RESULTS: The staples restricted the deformation both in
circumferential and longitudinal directions and induced a heterogeneous strain
distribution. Circumferential strains were bigger between the staples (range 0.5
1) than inside the staples (range 0-0.3). The longitudinal strain ranged from 0
to slightly negative between the staples, indicating longitudinal compression.
The negative strains turned into positive strains with increasing distance from
the anastomosis. Further away from the anastomosis the longitudinal strain was in
the range 0.3 to 0.5. CONCLUSION: The surface strain field was heterogeneously
close to the stapled anastomosis. The longitudinal compression between staples in
the longitudinal direction during inflation may have a beneficial effect
preventing leakage, a phenomenon that needs further studies. The method may be
useful in the design and validation of new staplers.
PMID- 22075438
TI - STARR with PPH-01 and CCS30 contour Transtar for obstructed defecation syndrome.
AB - INTRODUCTION: The stapled transanal rectal resection (STARR) procedure is safe
and effective. OBJECTIVE OF THE STUDY: To compare STARR performed with PPH-01
(STARR) and CCS 30 (Transtar). MATERIALS AND METHODS: Sixty-four patients
underwent STARR for obstructed defecation syndrome (32 STARR and 32 Transtar) and
were observed from January 2007 to June 2009. Patients were studied by visit with
questionnaires, colonoscopy or barium enema, defecography, and anorectal
manometry. Postoperatively they were assessed through visit and questionnaires.
RESULTS: All patients improved symptoms without statistical differences. The
obstructed defecation syndrome score changed from 13 to 1.8 at 6 months and to 1
at 1 year in the STARR group (P < .05), and the score changed from 15 to 2 at 6
months and to 1 at 1 year in the Transtar group (P < .05). There were no
intraoperative complications in the STARR group, but there were 2 dehiscences of
suture in the Transtar group. There were no differences with regard to
complications. CONCLUSION: Transtar is a more complex technique with more severe
complications. A major resection is not always more effective.
PMID- 22075439
TI - Risk factors and clinical presentation of cats with feline idiopathic cystitis.
AB - Feline idiopathic cystitis (FIC) is the most common cause of feline lower urinary
tract disease (FLUTD). This retrospective, case-controlled study evaluated
possible risk factors associated with FIC and compared different clinical
presentations in 64 cats with FIC. Several risk factors known to be involved in
FLUTD were identified as playing a role in FIC. Of the stressful situations
considered, most did not occur with increased frequency in cats with FIC compared
to controls, except for a house move. The presence of pyuria, haematuria and an
increased urine protein:creatinine ratio were significantly higher in obstructed
males compared with non-obstructed males. An obstruction was significantly more
likely in cats with struvite crystalluria compared with cats without struvite
crystalluria. These findings suggest that urethral plugs might be an important
cause or contributing factor of obstruction in FIC. Episodes of FIC seem to occur
mainly in susceptible cats in combination with a deficient environment.
PMID- 22075440
TI - Nuclear survivin expression is a positive prognostic factor in taxane-platinum
treated ovarian cancer patients.
AB - BACKGROUND: Survivin is an inhibitor of apoptosis and a regulator of mitotic
progression. TP53 protein is a negative transcriptional regulator of survivin.
The aim of our study was to evaluate the clinical significance of survivin
expression in advanced stages ovarian cancer with respect to the TP53 status.
METHODS: Survivin and TP53 expression was evaluated immunohistochemically in 435
archival samples of ovarian carcinomas (244 patients were treated with
platinum/cyclophosphamide-PC/PAC; 191-with taxane-platinum (TP) agents).
Univariate and multivariate statistical analyses were performed in patients
groups divided according to the administered chemotherapeutic regimen, and in
subgroups with and without TP53 accumulation (TP53+ and TP53-, respectively).
RESULTS: Nuclear and cytoplasmic survivin expression was observed in 92% and 74%
of the carcinomas, respectively. In patients treated with TP, high nuclear
survivin expression decreased the risk of disease recurrence and death, and
increased the probability of high platinum sensitivity (p < 0.01), but only in
the TP53(+) group, and not in the TP53(-) group. CONCLUSIONS: It appears that
TP53 status determines the clinical importance of nuclear survivin expression in
taxane-platinum treated ovarian cancer patients.
PMID- 22075441
TI - Visual inspection methods for cervical cancer prevention.
AB - The need for simple, cost-effective screening approaches for cervical cancer
prevention in low-resource countries has led to the evaluation of visual
screening with 3-5% acetic acid. The low reproducibility and wide variation in
accuracy reflect the subjective nature of the test. Pooled sensitivity,
specificity, positive and negative predictive values were 80%, 92%, 10% and 99%,
respectively, for detecting cervical intraepithelial neoplasia grade 2 or worse
lesions. Realistic sensitivity of a quality- assured single visual inspection
with acetic acid is around 50%. A single round of visual inspection with acetic
acid screening has been associated with a 25-35% reduction in cervical cancer
incidence and the frequency of cervical intraepithelial neoplasia grade 2 or
worse lesions in randomised-controlled trials. Despite all its limitations,
implementing visual inspection with acetic acid screening in low-resource
countries may provide a pragmatic approach to building up human resources and
infrastructure that may facilitate the highly anticipated low-cost, rapid human
papilloma virus testing in the near future.
PMID- 22075442
TI - UK guidance document: treatment of metastatic breast cancer.
AB - Although there have been major improvements in the management of breast cancer,
with a rapidly falling death rate despite an increasing incidence of the disease,
metastatic breast cancer remains common and the cause of death in nearly 12 000
women annually in the UK. Numerous treatment options are available that either
target the tumour or reduce the complications of the disease. Clinical decision
making depends on knowledge of the extent and biology of the disease and
available drug options, an understanding of the functional status, and also the
wishes and expectations of the individual patient. In addition, the organisation
of services and support of the patient are essential components of high-quality
care. The National Institute for Health and Clinical Excellence (NICE) has
produced guidelines for the treatment of advanced breast cancer, which in some
areas have perhaps failed to appreciate the complexity of patient management.
This guidance document aims to provide succinct practical advice on the treatment
of metastatic breast cancer, highlight some limitations of the NICE guidelines,
and provide suggestions for management where available data are limited.
PMID- 22075443
TI - Treatment of glioblastoma multiforme - the Oxford Cancer Centre experience.
PMID- 22075444
TI - Concurrent chemotherapy and intensity-modulated radiation therapy for anal
carcinoma--clinical outcomes in a large National Cancer Institute-designated
integrated cancer centre network.
AB - AIMS: To report the clinical outcomes of patients with anal carcinoma treated
with intensity-modulated radiation therapy (IMRT) and concurrent chemotherapy in
a large integrated academic-community cancer centre network. MATERIALS AND
METHODS: Seventy-eight patients were treated with IMRT for anal carcinoma at 13
community cancer centres. IMRT planning for all centres was carried out at one
central location. Sixty-five patients (83%) were T1-T2, 64% were N0, 9% were M1;
five patients were HIV positive. All but one patient received concurrent
chemotherapy. The median dose to the pelvis including inguinal nodes was 45 Gy.
The primary site and involved nodes were boosted to a median dose of 55.8 Gy. All
acute and late toxicities were scored according to the Common Terminology
Criteria for Adverse Events, version 3.0. RESULTS: The median follow-up for the
entire cohort was 16 months (range 0-72 months). Acute grade >=3 toxicity
included 27.7% gastrointestinal and 29.0% dermatological. Acute grade 4
haematological toxicity occurred in 12.9% of patients. Sixty-four (88.9%)
patients experienced a complete response. The 2 year colostomy-free survival,
overall survival, freedom from local failure and freedom from distant failure
rates were 81.2, 86.9, 83.6 and 81.8%, respectively. CONCLUSIONS: Early results
seem to confirm that IMRT used concurrently with chemotherapy for treatment of
anal carcinoma is effective and well tolerated. This complex treatment can be
safely and effectively carried out in a large integrated healthcare network.
PMID- 22075446
TI - The evolution of cefotaximase activity in the TEM beta-lactamase.
AB - The development of a molecular-level understanding of drug resistance through
beta-lactamase is critical not only in designing newer-generation antibacterial
agents but also in providing insight into the evolutionary mechanisms of enzymes
in general. In the present study, we have evaluated the effect of four drug
resistance mutations (A42G, E104K, G238S, and M182T) on the cefotaximase activity
of the TEM-1 beta-lactamase. Using computational methods, including docking and
molecular mechanics calculations, we have been able to correctly identify the
relative order of catalytic activities associated with these four single point
mutants. Further analyses suggest that the changes in catalytic efficiency for
mutant enzymes are correlated to structural changes within the binding site.
Based on the energetic and structural analyses of the wild-type and mutant
enzymes, structural rearrangement is suggested as a mechanism of evolution of
drug resistance through TEM beta-lactamase. The present study not only provides
molecular-level insight into the effect of four drug resistance mutations on the
structure and function of the TEM beta-lactamase but also establishes a
foundation for a future molecular-level analysis of complete evolutionary
trajectory for this class of enzymes.
PMID- 22075445
TI - Structural analyses of covalent enzyme-substrate analog complexes reveal
strengths and limitations of de novo enzyme design.
AB - We report the cocrystal structures of a computationally designed and
experimentally optimized retro-aldol enzyme with covalently bound substrate
analogs. The structure with a covalently bound mechanism-based inhibitor is
similar to, but not identical with, the design model, with an RMSD of 1.4 A over
active-site residues and equivalent substrate atoms. As in the design model, the
binding pocket orients the substrate through hydrophobic interactions with the
naphthyl moiety such that the oxygen atoms analogous to the carbinolamine and
beta-hydroxyl oxygens are positioned near a network of bound waters. However,
there are differences between the design model and the structure: the orientation
of the naphthyl group and the conformation of the catalytic lysine are slightly
different; the bound water network appears to be more extensive; and the bound
substrate analog exhibits more conformational heterogeneity than typical native
enzyme-inhibitor complexes. Alanine scanning of the active-site residues shows
that both the catalytic lysine and the residues around the binding pocket for the
substrate naphthyl group make critical contributions to catalysis. Mutating the
set of water-coordinating residues also significantly reduces catalytic activity.
The crystal structure of the enzyme with a smaller substrate analog that lacks
naphthyl ring shows the catalytic lysine to be more flexible than in the naphthyl
substrate complex; increased preorganization of the active site would likely
improve catalysis. The covalently bound complex structures and mutagenesis data
highlight the strengths and weaknesses of the de novo enzyme design strategy.
PMID- 22075447
TI - Increasing compliance with protective eyewear to reduce ocular injuries in stone
quarry workers in Tamil Nadu, India: a pragmatic, cluster randomised trial of a
single education session versus an enhanced education package delivered over six
months.
AB - OBJECTIVE: To evaluate the efficacy of standard education versus enhanced
education in increasing compliance with protective eyewear to prevent ocular
injuries in stone-quarry workers. DESIGN: Pragmatic, allocation concealed,
participant and outcome assessor blinded, cluster randomised trial. SETTING: Six
stone-quarries around Vellore, Tamil Nadu, South India. PARTICIPANTS: 204
consenting adult stone quarry workers. INTERVENTIONS: Protective eyewear plus
enhanced education (one education session, plus 11 sessions of group education,
individual discussions, and educational plays over six months) versus protective
eyewear plus standard education (one education session and 5 follow up visits).
OUTCOMES: The primary outcomes were observer-rated compliance with protective
eyewear and reduction in incidence of ocular injuries (slit-lamp examination by
an observer blinded to allocation status) at three and six months. Analysis was
by intention to treat. RESULTS: Quarries and participants were similar at
enrolment. All quarries; 92/103 (90%) of workers in three quarries given enhanced
intervention, and 91/101 workers (89%) in three quarries given standard
education, completed six months follow up. Compared to standard education,
enhanced education significantly increased compliance with protective eyewear by
16% (95% CI 3-28%) at three months (OR 2.1; 95% CI 1.2-3.8); and by 25% (95% CI
11-35%) at six months (OR 2.7; 95% CI 1.5-4.8). Protective eyewear and enhanced
education reduced the incidence of eye injuries at three months by 16% (95% CI 7
24%); and standard education by 13% (95% CI 4-22%), compared to the three months
before interventions. The cumulative reduction over baseline in eye injuries at
the six months was greater with enhanced education (12% decrease; 95% CI 3-21%)
than with standard education (7% decrease; 95% CI 17% decrease to 3% increase).
However, this incidence did not differ significantly between intervention arms at
three months (OR 0.7% 95% CI 0.3-2.1); and at six months (OR 0.8; 95% CI 0.4
1.5). CONCLUSION: Provision of appropriate protective eyewear reduces the
incidence of eye injuries in stone-quarry workers. Periodic educational and
motivational sessions with individuals and groups facilitates sustained use of
protective eyewear.
PMID- 22075448
TI - Combined posterior and medial plate fixation of complex proximal ulna fractures.
PMID- 22075449
TI - Treatment of locally advanced pancreatic cancer: the role of radiation therapy.
AB - Pancreatic cancer remains associated with an extremely poor prognosis. Surgical
resection can be curative, but the majority of patients present with locally
advanced or metastatic disease. Treatment for patients with locally advanced
disease is controversial. Therapeutic options include systemic therapy alone,
concurrent chemoradiation, or induction chemotherapy followed by chemoradiation.
We review the evidence to date regarding the treatment of locally advanced
pancreatic cancer (LAPC), as well as evolving strategies including the emerging
role of targeted therapies. We propose that if radiation is used for patients
with LAPC, it should be delivered with concurrent chemotherapy and following a
period of induction chemotherapy.
PMID- 22075450
TI - Polymer drug-eluting stents: is the future biodegradable?
PMID- 22075451
TI - Long-term clinical outcomes of biodegradable polymer biolimus-eluting stents
versus durable polymer sirolimus-eluting stents in patients with coronary artery
disease (LEADERS): 4 year follow-up of a randomised non-inferiority trial.
AB - BACKGROUND: The effectiveness of durable polymer drug-eluting stents comes at the
expense of delayed arterial healing and subsequent late adverse events such as
stent thrombosis (ST). We report the 4 year follow-up of an assessment of
biodegradable polymer-based drug-eluting stents, which aim to improve safety by
avoiding the persistent inflammatory stimulus of durable polymers. METHODS: We
did a multicentre, assessor-masked, non-inferiority trial. Between Nov 27, 2006,
and May 18, 2007, patients aged 18 years or older with coronary artery disease
were randomly allocated with a computer-generated sequence to receive either
biodegradable polymer biolimus-eluting stents (BES) or durable polymer sirolimus
eluting stents (SES; 1:1 ratio). The primary endpoint was a composite of cardiac
death, myocardial infarction, or clinically-indicated target vessel
revascularisation (TVR); patients were followed-up for 4 years. Analysis was by
intention to treat. This trial is registered with ClinicalTrials.gov, number
NCT00389220. FINDINGS: 1707 patients with 2472 lesions were randomly allocated to
receive either biodegradable polymer BES (857 patients, 1257 lesions) or durable
polymer SES (850 patients, 1215 lesions). At 4 years, biodegradable polymer BES
were non-inferior to durable polymer SES for the primary endpoint: 160 (18.7%)
patients versus 192 (22.6%) patients (rate ratios [RR] 0.81, 95% CI 0.66-1.00, p
for non-inferiority <0.0001, p for superiority=0.050). The RR of definite ST was
0.62 (0.35-1.08, p=0.09), which was largely attributable to a lower risk of very
late definite ST between years 1 and 4 in the BES group than in the SES group (RR
0.20, 95% CI 0.06-0.67, p=0.004). Conversely, the RR of definite ST during the
first year was 0.99 (0.51-1.95; p=0.98) and the test for interaction between RR
of definite ST and time was positive (p(interaction)=0.017). We recorded an
interaction with time for events associated with ST but not for other events. For
primary endpoint events associated with ST, the RR was 0.86 (0.41-1.80) during
the first year and 0.17 (0.04-0.78) during subsequent years
(p(interaction)=0.049). INTERPRETATION: Biodegradable polymer BES are non
inferior to durable polymer SES and, by reducing the risk of cardiac events
associated with very late ST, might improve long-term clinical outcomes for up to
4 years compared with durable polymer SES. FUNDING: Biosensors Europe SA,
Switzerland.
PMID- 22075452
TI - Acute inhibition of the Na(+)/Ca(2+) exchanger reduces proarrhythmia in an
experimental model of chronic heart failure.
AB - BACKGROUND: Molecular remodeling in heart failure includes slowing of
repolarization, leading to proarrhythmia. OBJECTIVE: To evaluate the effects of
Na(+)/Ca(2+) exchanger (NCX) inhibition on repolarization as a novel
antiarrhythmic concept in chronic heart failure (CHF). METHODS AND RESULTS: CHF
was induced by rapid ventricular pacing in rabbits. Left ventricular function was
assessed by echocardiography. Monophasic action potentials (MAPs) showed a
prolongation of repolarization in CHF after atrioventricular block and
stimulation at different cycle lengths. Sotalol (100 MUM, n = 13) or veratridine
(0.5 MUM; n = 15) resulted in a further significant increase in the MAP duration.
CHF was associated with an increased dispersion of repolarization, as compared
with sotalol-treated (+22 +/- 7 ms; P < .05) and veratridine-treated (+20 +/- 6
ms; P < .05) sham hearts. In the presence of a low potassium concentration,
sotalol and veratridine reproducibly induced early afterdepolarizations (EADs)
and polymorphic ventricular tachyarrhythmias (VTs). SEA0400 (1 MUM), a
pharmacological inhibitor of NCX, significantly shortened the MAP duration (P <
.01) and reduced dispersion (P < .05). It suppressed EAD in 6 of 13 sotalol
treated failing hearts and in 9 of 10 veratridine-treated failing hearts, leading
to a reduction in VT (60% in sotalol-treated failing hearts and 83% in
veratridine-treated failing hearts). Simulations using a mathematical model
showed a reduction in the action potential duration and the number of EADs by the
NCX block in all subgroups. CONCLUSIONS: In an experimental model of CHF, the
acute inhibition of NCX (1) reduces the MAP duration, (2) decreases dispersion of
repolarization, and (3) suppresses EAD and VT. Our observations indicate for the
first time that pharmacological NCX inhibition increases repolarization reserve
and protects against VTs in heart failure.
PMID- 22075453
TI - Transcriptional profiling of human skin fibroblast cell line Hs27 induced by
herbal formula Astragali Radix and Rehmanniae Radix.
AB - ETHNOPHARMACOLOGICAL RELEVANCE: The herbs Astragali Radix (AR) and Rehmanniae
Radix (RR) have long been used in traditional Chinese Medicine and serve as the
principal herbs in treating diabetic foot ulcer. AIM OF THE STUDY: Chinese herbal
formulus comprising Astragali Radix (AR) and Rehmanniae Radix (RR) have been
shown to improve the healing of diabetic foot ulcer through enhancing the
viability of primary fibroblasts in diabetic patients suffering insulin
resistance. Our previous study demonstrated that the herbal formula NF3
comprising of AR and RR in the ratio of 2:1 was effective in promoting wound
healing in diabetic rats, and in vitro data indicated that the wound healing
effects of NF3 might be due to the regulation and coordination of inflammation,
angiogenesis and tissue regeneration. However, the underlying molecular mechanism
has not been well investigated. In this study, we investigated the cellular and
molecular effects of the herbal formula NF3 on human skin fibroblast cells.
MATERIALS AND METHODS: Human skin fibroblast cells Hs27 were treated with NF3
ranging from 0 to 8 mg/ml for 24h, and the cells without NF3 treatment were used
as control. Cell proliferation assay and cell cycle analysis were performed.
Transcriptional profiles of Hs27 cells upon NF3 treatment were acquired by using
a human cDNA microarray containing 10,000 genes, and the signaling pathways
differentially regulated by NF3 were identified and analyzed. RESULTS: NF3
promoted Hs27 cell proliferation and cell cycle progression. Microarray analysis
revealed that 116 genes were differentially expressed upon NF3 treatment.
Functional analysis of the genes indicated that NF3 mainly activated Wnt and
angiogenesis related pathways, which are directly related to cell proliferation,
angiogenesis, extracellular matrix (ECM) formation and inflammation during the
process of wound healing. CONCLUSION: This study provides insight into the
molecular mechanism of how the herbal formula Astragali Radix and Rehmanniae
Radix may serve as potential therapeutics for wound healing.
PMID- 22075454
TI - Catechol alkenyls from Semecarpus anacardium: acetylcholinesterase inhibition and
binding mode predictions.
AB - ETHNOPHARMACOLOGICAL RELEVANCE: The fruits of Semecarpus anacardium L. f.
(Anacardiaceae) are used in Ayurvedic medicine and also in Iranian Traditional
Medicine for various indications, among those for retarding and treatment of
dementia. AIM OF THE STUDY: The severity of Alzheimer's disease obviously
correlates with a cholinergic deficit. In a screening for acetylcholinesterase
(AChE) inhibitory activity, an extract from the fruit resin of Semecarpus
anacardium was among the most active ones. Thus, the aim of this study was to
isolate the active compounds and to investigate them in detail. Their binding
mode to the active site of AChE was investigated by in silico docking
experiments. MATERIALS AND METHODS: From a dichloromethane extract in an activity
guided fractionation the active compounds were isolated under use of different
chromatographic techniques. Their structures were unambiguously identified by one
and two-dimensional (1)H and (13)C NMR spectroscopy and mass spectrometry and
their cholinesterase inhibitory activities were determined by a microplate assay.
In order to compare the 3D active sites of AChE from Torpedo californica (TcAChE)
and from Electrophorus electricus (EeAChE), three files from the Protein Data
Bank (PDB) were used and for docking experiments, GOLD 3.1 software was employed.
The concentrations of active compounds in the extract and the fruits were
determined by HPLC analysis. RESULTS: The active compounds were determined as
1',2'-dihydroxy-3'-pentadec-8-enylbenzene (A) and 1',2'-dihydroxy-3'-pentadeca
8,11-dienylbenzene (B). Their IC(50) values in an in vitro assay on AChE
inhibition were determined as 12 and 34 MUg/mL, respectively, while they were not
active in the inhibition of butyrylcholinesterase (BChE). In silico docking
experiments showed a similar bioactivity for compounds A and B. The concentration
of compounds A and B in the fruits was 1.85% and 1.88%, respectively. CONCLUSION:
In the search for the active principle of the fruit resin of Semecarpus
anacardium, compounds A and B were identified as two selective inhibitors for
AChE versus BChE.
PMID- 22075455
TI - In vitro antimicrobial synergism within plant extract combinations from three
South African medicinal bulbs.
AB - ETHNOPHARMACOLOGICAL RELEVANCE: Tulbaghia violacea, Hypoxis hemerocallidea and
Merwilla plumbea are used in South African traditional medicine for the treatment
of some infectious diseases and other ailments. AIM OF THE STUDY: The study aimed
at investigating the antimicrobial efficacies of independent and various within
plant extract combinations of three medicinal bulbs to understand the possible
pharmacological interactions. MATERIALS AND METHODS: Bulb and leaf extracts of
the three medicinal plants, independently and in combinations, were comparatively
assessed for antimicrobial activity against two Gram-positive and two Gram
negative bacteria and Candida albicans using the microdilution method. The
fractional inhibitory concentration indices (FIC) for two extract combinations
were determined. RESULTS: At least one extract combination in each plant sample
demonstrated good antimicrobial activity against all the test organisms. The
efficacies of the various extract combinations in each plant sample varied, with
the strongest synergistic effect exhibited by the proportional extract yield
combination of PE and DCM extracts in Merwilla plumbea bulb sample against
Staphylococcus aureus (FIC index of 0.1). Most extract combinations demonstrated
either a synergistic, additive or indifferent interaction effect against the test
bacteria with only a few exhibiting antagonistic effects. CONCLUSION: The
observed antimicrobial efficacy and synergistic interactions indicate the
beneficial aspects of combination chemotherapy of medicinal plant extracts in the
treatment of infectious diseases.
PMID- 22075456
TI - Diuretic, anti-inflammatory, and analgesic activities of the ethanol extract from
Cynoglossum lanceolatum.
AB - ETHNOPHARMACOLOGICAL RELEVANCE: Cynoglossum lanceolatum Forsk. (Boraginaceae) has
been used in folk medicine in china to treat acute nephritis, periodontitis,
acute submandibular lymphadenitis, snake bite, etc. However, there have been no
scientific reports in the modern literature on the diuretic, anti-inflammatory
and analgesic effects of this plant. The objective of this study is to evaluate
the above activities of the Cynoglossum lanceolatum extract (CLE) in animals.
MATERIALS AND METHODS: The diuretic effect of CLE was assessed in rats and
rabbits. The anti-inflammatory activity was evaluated using fresh egg white
induced paw edema in rats, carrageenan-elicited paw edema in adrenalectomized
rats, and dimethylbenzene-induced inflammation in mice. The analgesic action was
estimated in mice using the acetic acid-induced writhing test and the hot-plate
test. In addition, the acute oral toxicity of CLE was studied in mice. RESULTS:
CLE strikingly and dose-dependently increased urine output of rats and rabbits,
suppressed fresh egg white-induced paw edema in rats and carrageenan-elicited paw
edema in adrenalectomized rats, reduced dimethylbenzene-induced ear edema in
mice, inhibited the writhing response in mice, but did not increased reaction
time of mice in the hot-plate test. No death of mice was observed when orally
administered CLE up to 12g/kg. CONCLUSIONS: These findings propose that CLE has
evident diuretic, anti-inflammatory, and non-central analgesic activities.
Furthermore the anti-inflammatory action does not rely on endogenetic
glucocorticoids regulated by hypothalamo-pituitary-adrenal axis. On the other
hand, CLE also shows a favorable safety.
PMID- 22075457
TI - Potency testing of veterinary vaccines: the way from in vivo to in vitro.
AB - Current quality control of inactivated animal vaccines still focuses on the
potency of final products in a batch-wise manner. Animal welfare concerns as well
as scientific considerations have led to the '3Rs-concept' that comprises the
refinement of animal procedures, the reduction of animal numbers, and the
replacement of animal models. Although the 3Rs-concept has been widely accepted
as a fundamental principle, the number of approved alternatives for in vivo tests
is still limited. To promote further progress, the international scientific
workshop 'Potency Testing of Veterinary Vaccines: The Way from in vivo to in
vitro' was held at the Paul-Ehrlich-Institut in Langen, Germany, on 01-03
December 2010. More than 130 participants from industry, academia and regulatory
authorities discussed the current state of the 3Rs-concept, examples of its
successful implementation as well as still existing hurdles. Special emphasis was
laid on the 'consistency approach' that aims to ensure relevant quality
attributes of vaccine batches by in vitro analyses during production rather than
by in vivo potency tests on the final product. This report provides an overview
of the insights gained, including the recommendations produced at the end of the
workshop.
PMID- 22075458
TI - [Obesity and homeostatic inflammation].
PMID- 22075459
TI - [Role of chronic inflammation in the pathogenesis of atherosclerosis].
PMID- 22075460
TI - [Role of 12/15 lipoxygenase-induced inflammation in heart failure].
PMID- 22075461
TI - [Atrial fibrillation and inflammation].
PMID- 22075462
TI - [Mechanosensing of blood flow in vascular endothelial cells].
PMID- 22075463
TI - [Clinical development of new drugs and pharmacology].
PMID- 22075464
TI - [Good clinical practice (GCP) in clinical trials].
PMID- 22075465
TI - [Anti-tumor mechanism and clinical efficacy of Eribulin (Halaven(r)), a new
microtubulin inhibitor approved for treatment of metastatic breast cancer].
PMID- 22075466
TI - Application of classic utilities to published pediatric cost-utility studies.
AB - OBJECTIVE: Economic analyses, such as cost-utility analyses (CUAs), are dependent
on the quality of the data used. Our objective was to test how health utility
values (measurements of patient preference) assessed by recommended methods
(classic utilities) would impact the conclusions in published pediatric CUAs.
METHODS: Classic utilities for pediatric health states were obtained by
recommended utility assessment methods, time trade-off, and standard gamble in
4016 parent interviews. To test the impact of these utilities on published
studies, we obtained a sample of published pediatric CUAs by searching Medline,
EMBASE, EconLit, Health Technology Assessment Database, Cochrane Database on
Systematic Reviews, Database of Abstracts of Reviews of Effects, and the Cost
Effective Analysis (CEA) Registry at Tufts Medical Center, using search terms for
cost-utility analysis. Articles were included when results were presented as cost
per quality adjusted life-years (QALYs), the interventions were for children <18
years of age and included at least one of the following health states: attention
deficit hyperactivity disorder, asthma, gastroenteritis, hearing loss, mental
retardation, otitis media, seizure disorder, or vision loss. Studies that did not
include these or equivalent health states were excluded. For each CUA, we
determined utilities (values for patient preference), the utility assessment
method used, and presence of one-way sensitivity analyses (SAs) on utilities.
When one-way SAs were conducted, we determined if using our classic utilities
would change the result of the CUA. When an SA was not presented, we determined
if using our classic utilities would tend to support or not support the published
conclusions. RESULTS: We evaluated 39 articles. Eighteen articles presented
results of one-way SAs on utilities. Seven articles presented SAs over a range
that included our classic utilities. In 4 of the 7, using classic utilities would
change the conclusion of the study. For the 32 articles where no one-way SA were
presented (n = 21), or where the classic utilities fell outside the range tested
(n =11), a change to classic utility would tend against the study conclusion in
12 articles (31%). CONCLUSIONS: More than a third of published CUA studies could
change if pediatric utilities obtained by recommended, classic methods were used.
One-way SAs on utilities are often not presented, making comparison between
studies challenging.
PMID- 22075467
TI - Well-child care practice redesign for low-income children: the perspectives of
health plans, medical groups, and state agencies.
AB - OBJECTIVE: The aim of this study was to examine the views of key stakeholders in
health care payer organizations on the use of practice redesign strategies to
improve the delivery of well-child care (WCC) to low-income children aged 0 to 3
years. METHODS: We conducted semistructured interviews with 18 key stakeholders
(eg, chief medical officers, medical directors) in 11 California health plans and
2 medical group organizations serving low-income children, as well as the 2 state
agencies that administer the 2 largest low-income insurance programs for
California children. Discussions were recorded, transcribed, and analyzed using
the constant comparative method of qualitative analysis. RESULTS: Participants
reported that nonphysicians were underutilized as WCC providers, and group visits
and Internet services were likely a more effective way to provide anticipatory
guidance and behavioral/developmental services. Participants described barriers
to redesign, including the start-up costs required to implement redesign as well
as a lack of financial incentives to support innovation in WCC delivery.
Participants suggested solutions to these barriers, including using pay-for
performance programs to reward practices that expanded WCC services, and
providing practices with start-up grants to implement pilot redesign projects
that would eventually become self-sustaining. State-level barriers included poor
Medicaid reimbursement rates and disincentives to innovation created by current
Healthcare Effectiveness Data and Information Set measures. CONCLUSIONS: All
stakeholders will ultimately be needed to support WCC redesign; however,
California payers may need to provide logistic, design, and financial support to
practices, whereas state agencies may need to reshape the incentives to reward
innovation around child preventive health and developmental services.
PMID- 22075468
TI - 2011 ACCF/AHA guideline for the diagnosis and treatment of hypertrophic
cardiomyopathy: executive summary: a report of the American College of Cardiology
Foundation/American Heart Association Task Force on Practice Guidelines.
PMID- 22075469
TI - 2011 ACCF/AHA Guideline for the Diagnosis and Treatment of Hypertrophic
Cardiomyopathy: a report of the American College of Cardiology
Foundation/American Heart Association Task Force on Practice Guidelines.
Developed in collaboration with the American Association for Thoracic Surgery,
American Society of Echocardiography, American Society of Nuclear Cardiology,
Heart Failure Society of America, Heart Rhythm Society, Society for
Cardiovascular Angiography and Interventions, and Society of Thoracic Surgeons.
PMID- 22075470
TI - Acute macrophysiological effects of pericardiectomy: chronic effects of
pericardiectomy/pericardiotomy uninvestigated - is pericardiectomy benign?
PMID- 22075471
TI - Expression of NADPH oxidase by trophoblast cells: potential implications for the
postimplanting mouse embryo.
AB - Cytochemical localization of hydrogen peroxide-generating sites suggests NADPH
(nicotinamide adenine dinucleotide 3-phosphate [reduced form]) oxidase expression
at the maternal-fetal interface. To explore this possibility, we have
characterized the expression and activity of the NADPH oxidase complex in
trophoblast cells during the postimplantation period. Implantation sites and
ectoplacental cones (EPCs) from 7.5-gestational day embryos from CD1 mice were
used as a source for expression analyses of NADPH oxidase catalytic and
regulatory subunits. EPCs grown in primary culture were used to investigate the
production of superoxide anion through dihydroxyethidium oxidation in confocal
microscopy and immunohistochemical assays. NADPH subunits Cybb (gp91phox), Cyba
(p22phox), Ncf4 (p40phox), Ncf1 (p47phox), Ncf2 (p67phox), and Rac1 were
expressed by trophoblast cells. The fundamental subunits of membrane CYBB and
cytosolic NCF2 were markedly upregulated after phorbol-12-myristate-13-acetate
(PMA) treatment, as detected by quantitative real-time PCR, Western blotting, and
immunohistochemistry. Fluorescence microscopy imaging showed colocalization of
cytosolic and plasma membrane NADPH oxidase subunits mainly after PMA treatment,
suggesting assembly of the complex after enzyme activation. Cultured EPCs
produced superoxide in a NADPH-dependent manner, associating the NADPH oxidase
mediated superoxide production with postimplantation trophoblast physiology.
NADPH-oxidase cDNA subunit sequencing showed a high degree of homology between
the trophoblast and neutrophil isoforms of the oxidase, emphasizing a putative
role for reactive oxygen species production in phagocytic activity and innate
immune responses.
PMID- 22075472
TI - Hemodynamic, vascular, and reproductive impact of FMS-like tyrosine kinase 1
(FLT1) blockade on the uteroplacental circulation during normal mouse pregnancy.
AB - To investigate the role of FMS-like tyrosine kinase 1 (FLT1, also known as
VEGFR1) signaling during pregnancy, mice were injected with anti-FLT1
neutralizing antibody (Ab) beginning on Gestational Day 8 or 12 and every other
day thereafter until Day 18; vehicle-only injected mice served as controls.
Uterine artery blood flow was measured with ultrasound on Days 13 and 18, and
morphometric measurements of the uterine arcade were carried out on Day 19 to
provide a measure of gestational vascular remodeling; reproductive performance
was evaluated by determining litter size, resorption rates, and pup and placental
weights. Ab injections beginning on Day 8 or Day 12 resulted in significant
reductions of uterine artery peak systolic and diastolic flows at Days 13 and 18.
In addition, normal reproductive function was compromised, as evidenced by a
significant reduction in average number of viable pups along with enhanced
resorption rates. Reproductive performance was also significantly compromised in
this group, although less severely. There was no evidence of a reduction in main
uterine artery diameters, though arterial distensibility was reduced, and the
diameter of the main uterine vein was significantly smaller in the Ab-injected
mice. Significant reductions in main uterine artery and segmental artery length
were also noted. Placental and pup weights were similar in all the groups. FLT1
inhibition during murine pregnancy impaired blood flow to the fetal-placental
unit, compromised several indices of vascular remodeling, reduced fecundity, and
increased fetal reabsorptions. The effects of FLT1 inhibition are most pronounced
when targeted during early pregnancy.
PMID- 22075473
TI - Loss of calcium in human spermatozoa via EPPIN, the semenogelin receptor.
AB - The development of a new male contraceptive requires a transition from animal
model to human and an understanding of the mechanisms involved in the target's
inhibition of human spermatozoan fertility. We now report that semenogelin
(SEMG1) and anti-EPPIN antibodies to a defined target site of 21 amino acids on
the C terminal of EPPIN cause the loss of intracellular calcium, as measured by
Fluo-4. The loss of intracellular calcium explains our previous observations of
an initial loss of progressive motility and eventually the complete loss of
motility when spermatozoa are treated with SEMG1 or anti-EPPIN antibodies.
Thimerosal can rescue the effects of SEMG1 on motility, implying that internal
stores of calcium are not depleted. Additionally, SEMG1 treatment of spermatozoa
decreases the intracellular pH, and motility can be rescued by ammonium chloride.
The results of this study demonstrate that EPPIN controls sperm motility in the
ejaculate by binding SEMG1, resulting in the loss of calcium, most likely through
a disturbance of internal pH and an inhibition of uptake mechanisms. However, the
exact steps through which the EPPIN-SEMG1 complex exerts its effect on internal
calcium levels are unknown. Anti-EPPIN antibodies can substitute for SEMG1, and,
therefore, small-molecular weight compounds that mimic anti-EPPIN binding should
be able to substitute for SEMG1, providing the basis for a nonantibody,
nonhormonal male contraceptive.
PMID- 22075474
TI - Differential gene expression profile in bovine blastocysts resulting from
hyperglycemia exposure during early cleavage stages.
AB - To understand the compromised survival of embryos derived from assisted
reproductive techniques, transcriptome survey of early embryonic development has
shown the impact of in vitro culture environment on gene expression in bovine or
other living species. However, how the differentially expressed genes translate
into developmentally compromised embryos is unresolved. We therefore aimed to
characterize transcriptomic markers expressed by bovine blastocysts cultured in
conditions that are known to impair embryo development. As increasing glucose
concentrations has been shown to be stressful for early cleavage stages of
mammalian embryos and to decrease subsequent blastocyst survival, in vitro
matured/fertilized bovine zygotes were cultured in control (0.2 mM) or high
glucose (5 mM) conditions until the 8- to 16-cell stage, and then transferred to
control media until they reached the blastocyst stage. The concentration of 5 mM
glucose was chosen as a stress treatment because there was a significant effect
on blastocyst rate without the treatment's being lethal as with 10 mM. Microarray
analysis revealed gene expression differences unrelated to embryo sex or
hatching. Overrepresented processes among differentially expressed genes in
treated blastocysts were extracellular matrix signalling, calcium signaling, and
energy metabolism. On a pathophysiological level, higher glucose treatment
impacts pathways associated with diabetes and tumorigenesis through genes
controlling the Warburg effect, i.e., emphasis on use of anaerobic glycolysis
rather than oxidative phosphorylation. These results allowed us to conclude that
disruption of in vitro preattachment development is concomitant with gene
expression modifications involved in metabolic control.
PMID- 22075475
TI - Perivascular human endometrial mesenchymal stem cells express pathways relevant
to self-renewal, lineage specification, and functional phenotype.
AB - Human endometrium regenerates on a cyclic basis from candidate stem/progenitors
whose genetic programs are yet to be determined. A subpopulation of endometrial
stromal cells, displaying key properties of mesenchymal stem cells (MSCs), has
been characterized. The endometrial MSC (eMSC) is likely the precursor of the
endometrial stromal fibroblast. The goal of this study was to determine the
transcriptome and signaling pathways in the eMSC to understand its functional
phenotype. Endometrial stromal cells from oocyte donors (n = 20) and patients
undergoing benign gynecologic surgery (n = 7) were fluorescence-activated cell
sorted into MCAM (CD146)(+)/PDGFRB(+) (eMSC), MCAM (CD146)(-)/PDGFRB(+)
(fibroblast), and MCAM (CD146)(+)/PDGFRB(-) (endothelial) populations. The eMSC
population contained clonogenic cells with a mesenchymal phenotype
differentiating into adipocytes when cultured in adipogenic medium. Gene
expression profiling using Affymetrix Human Gene 1.0 ST arrays revealed 762 and
1518 significantly differentially expressed genes in eMSCs vs. stromal
fibroblasts and eMSCs vs. endothelial cells, respectively. By principal component
and hierarchical clustering analyses, eMSCs clustered with fibroblasts and
distinctly from endothelial cells. Endometrial MSCs expressed pericyte markers
and were localized by immunofluorescence to the perivascular space of endometrial
small vessels. Endometrial MSCs also expressed genes involved in
angiogenesis/vasculogenesis, steroid hormone/hypoxia responses, inflammation,
immunomodulation, cell communication, and proteolysis/inhibition, and exhibited
increased Notch, TGFB, IGF, Hedgehog, and G-protein-coupled receptor signaling
pathways, characteristic of adult tissue MSC self-renewal and multipotency.
Overall, the data support the eMSC as a clonogenic, multipotent pericyte that
displays pathways of self-renewal and lineage specification, the potential to
respond to conditions during endometrial desquamation and regeneration, and a
genetic program predictive of its differentiated lineage, the stromal fibroblast.
PMID- 22075476
TI - Metastasis-associated protein 3 (MTA3) regulates G2/M progression in
proliferating mouse granulosa cells.
AB - Metastasis-associated protein 3 (MTA3) is a constituent of the Mi-2/nucleosome
remodeling and deacetylase (NuRD) protein complex that regulates gene expression
by altering chromatin structure and can facilitate cohesin loading onto DNA. The
biological function of MTA3 within the NuRD complex is unknown. Herein, we show
that MTA3 was expressed highly in granulosa cell nuclei of all ovarian follicle
stages and at lower levels in corpora lutea. We tested the hypothesis that MTA3
NuRD complex function is required for granulosa cell proliferation. In the ovary,
MTA3 interacted with NuRD proteins CHD4 and HDAC1 and the core cohesin complex
protein RAD21. In cultured mouse primary granulosa cells, depletion of endogenous
MTA3 using RNA interference slowed cell proliferation; this effect was rescued by
coexpression of exogenous MTA3. Slowing of cell proliferation correlated with a
significant decrease in cyclin B1 and cyclin B2 expression. Granulosa cell
populations lacking MTA3 contained a significantly higher percentage of cells in
G2/M phase and a lower percentage in S phase compared with control cells.
Furthermore, MTA3 depletion slowed entry into M phase as indicated by reduced
phosphorylation of histone H3 at serine 10. These findings provide the first
evidence to date that MTA3 interacts with NuRD and cohesin complex proteins in
the ovary in vivo and regulates G2/M progression in proliferating granulosa
cells.
PMID- 22075477
TI - Initiating meiosis: the case for retinoic acid.
AB - The requirement for vitamin A in reproduction and development was first
determined from studies of nutritional deficiencies. Subsequent research has
shown that embryonic development and both male and female reproduction are
modulated by retinoic acid (RA), the active form of vitamin A. Because RA is
active in multiple developmental systems, its synthesis, transport, and
degradation are tightly regulated in different tissues. A growing body of
evidence implicates RA as a requirement for the initiation of meiosis in both
male and female mammals, resulting in a mechanistic model involving the interplay
of RA, RA synthesis enzymes, RA receptors, and degradative cytochrome P450
enzymes in this system. Recently, that model has been challenged, prompting a
review of the established paradigm. While it remains possible that additional
molecules may be involved in regulating entry into meiosis, the weight of
evidence supporting a key role for RA is incontrovertible.
PMID- 22075478
TI - Residual sleep-disordered breathing during autotitrating continuous positive
airway pressure therapy.
AB - Obstructive sleep apnoea (OSA) is often treated with autotitrating continuous
positive airway pressure (autoCPAP) devices. Clinical and bench tests of these
devices have suggested performance limitations. These studies do not indicate
whether this is a failure to detect or a failure to respond to airway
obstruction. In this randomised, crossover trial, 34 patients with moderate-to
severe OSA underwent polysomnography on two laboratory visits. The autoCPAP
device was randomly set to a fixed subtherapeutic pressure (detection assessment)
or autotitrating mode (response assessment). Airflow was measured both from the
autoCPAP (autoCPAP flow) and directly from the nasal mask, and recorded on
polysomnography. Apnoea/hypopnoea indices (AHIs) measured at the two sites and
from the autoCPAP download report were compared. Regarding detection, the AHI
measured from the nasal mask showed good agreement with the autoCPAP flow AHI,
but agreement was lower with the autoCPAP report AHI. In autotitrating mode,
there was significant misclassification of those with and without OSA (AHI >= 10
events . h(-1)) on the autoCPAP report. Regarding response, residual OSA (AHI >=
10 events . h(-1)) was still evident in 24% of patients during autotitration. In
some patients, autoCPAP fails to detect and/or respond to sleep apnoea.
Clinicians should consider limitations of each device and use caution when using
autoCPAP report statistics to verify effective treatment.
PMID- 22075479
TI - Do adjunct tuberculosis tests, when combined with Xpert MTB/RIF, improve accuracy
and the cost of diagnosis in a resource-poor setting?
AB - Information regarding the utility of adjunct diagnostic tests in combination with
Xpert MTB/RIF (Cepheid, Sunnyvale, CA, USA) is limited. We hypothesised adjunct
tests could enhance accuracy and/or reduce the cost of tuberculosis (TB)
diagnosis prior to MTB/RIF testing, and rule-in or rule-out TB in MTB/RIF
negative individuals. We assessed the accuracy and/or laboratory-associated cost
of diagnosis of smear microscopy, chest radiography (CXR) and interferon-gamma
release assays (IGRAs; T-SPOT-TB (Oxford Immunotec, Oxford, UK) and QuantiFERON
TB Gold In-Tube (Cellestis, Chadstone, Australia)) combined with MTB/RIF for TB
in 480 patients in South Africa. When conducted prior to MTB/RIF: 1) smear
microscopy followed by MTB/RIF (if smear negative) had the lowest cost of
diagnosis of any strategy investigated; 2) a combination of smear microscopy, CXR
(if smear negative) and MTB/RIF (if imaging compatible with active TB) did not
further reduce the cost per TB case diagnosed; and 3) a normal CXR ruled out TB
in 18% of patients (57 out of 324; negative predictive value (NPV) 100%). When
downstream adjunct tests were applied to MTB/RIF-negative individuals, radiology
ruled out TB in 24% (56 out of 234; NPV 100%), smear microscopy ruled in TB in
21% (seven out of 24) of culture-positive individuals and IGRAs were not useful
in either context. In resource-poor settings, smear microscopy combined with
MTB/RIF had the highest accuracy and lowest cost of diagnosis compared to either
technique alone. In MTB/RIF-negative individuals, CXR has poor rule-in value but
can reliably rule out TB in approximately one in four cases. These data inform
upon the programmatic utility of MTB/RIF in high-burden settings.
PMID- 22075480
TI - Temporal patterns of occupational asbestos exposure and risk of pleural
mesothelioma.
AB - Asbestos is the primary cause of pleural mesothelioma (PM). The objective of this
study was to elucidate the importance of different temporal patterns of
occupational asbestos exposure on the risk of PM using case-control data in male
subjects. Cases were selected from a French case-control study conducted in 1987
1993 and the French National Mesothelioma Surveillance Program in 1998-2006.
Population controls were frequency matched to cases by year of birth.
Occupational asbestos exposure was evaluated with a job-exposure matrix. The dose
response relationships were estimated using restricted cubic spline functions in
logistic regression models. A total of 2,466 ever-asbestos-exposed males (1,041
cases and 1,425 controls) were used. After adjustment for intensity and total
duration of occupational asbestos exposure, the risk of PM was lower for subjects
first exposed after the age of 20 yrs and continued to increase until 30 yrs
after cessation of exposure. The effect of total duration of exposure decreased
when age at first exposure and time since last exposure increased. These results,
based on a large population-based case-control study, underline the need to take
into account the temporal pattern of exposure on risk assessment.
PMID- 22075481
TI - Bronchoscopic thermal vapour ablation therapy in the management of heterogeneous
emphysema.
AB - The need for a less invasive procedure than surgical lung volume reduction that
can produce consistent improvements with reduced morbidity remains a medical goal
in patients with emphysema. We sought to determine the effect of bronchoscopic
thermal vapour ablation (BTVA) on lung volumes and outcomes in patients with
emphysema. 44 patients with upper lobe-predominant emphysema were treated
unilaterally with BTVA. Entry criteria included: age 40-75 yrs, forced expiratory
volume in 1 s (FEV(1)) 15-45% predicted, previous pulmonary rehabilitation and a
heterogeneity index (tissue/air ratio of lower lobe/upper lobe) from high
resolution computed tomography (HRCT) >= 1.2. Changes in FEV(1), St George's
Respiratory Questionnaire (SGRQ), 6-min walk distance (6 MWD), modified Medical
Research Council (mMRC) dyspnoea score, and hyperinflation were measured at
baseline, and 3 and 6 months post-BTVA. At 6 months, mean +/- SE FEV(1) improved
by 141 +/- 26 mL (p<0.001) and residual volume was reduced by 406 +/- 113 mL
(p<0.0001). SGRQ total score improved by 14.0 +/- 2.4 points (p<0.001), with 73%
improving by >= 4 points. Improvements were observed in 6 MWD (46.5 +/- 10.6 m)
and mMRC dyspnoea score (0.9 +/- 0.2) (p<0.001 for both). Lower respiratory
events (n=11) were the most common adverse event and occurred most often during
the initial 30 days. BTVA therapy results in clinically relevant improvements in
lung function, quality of life and exercise tolerance in upper lobe predominant
emphysema.
PMID- 22075482
TI - Metabolic syndrome, insulin resistance and sleepiness in real-life obstructive
sleep apnoea.
AB - The metabolic syndrome shows a variable prevalence in obstructive sleep apnoea
(OSA), and its association with insulin resistance or excessive daytime
sleepiness in OSA is unclear. This study assessed the following in consecutive
patients with newly diagnosed OSA: 1) the prevalence of metabolic syndrome; and
2) its association with insulin resistance and daytime sleepiness. Metabolic
syndrome (National Cholesterol Education Program Adult Treatment Panel (NCEP-ATP)
III criteria), insulin resistance (Homeostatic Model Assessment (HOMA) index, n =
288) and daytime sleepiness (Epworth Sleepiness Scale) were assessed in 529 OSA
patients. The prevalence of metabolic syndrome was 51.2%, which increased with
OSA severity. Each metabolic syndrome component correlated with apnoea/hypopnoea
index, but only blood pressure retained significance after correction for
confounders. Both obesity and OSA contributed to metabolic abnormalities, with
different sex-related patterns, since diagnosis of metabolic syndrome was
significantly associated with neck circumference, age, body mass index and lowest
arterial oxygen saturation in males, and with age and arousal index in females.
The number of metabolic syndrome components increased with HOMA index (p<0.001).
Prevalence of sleepiness was the same in patients with and without metabolic
syndrome. The metabolic syndrome occurs in about half of "real-life" OSA
patients, irrespective of daytime sleepiness, and is a reliable marker of insulin
resistance.
PMID- 22075483
TI - Clonality of multifocal nonsmall cell lung cancer: implications for staging and
therapy.
AB - Nonsmall cell lung cancers (NSCLCs) display a variety of morphological and
molecular features. Accurate subtyping of NSCLC has been shown to predict patient
survival as well as response rates and toxicities of specific drugs. Assessment
of multifocal lung tumours and the distinction of synchronous primary tumours
from intrapulmonary metastases represent an important problem as this decision
significantly influences tumour staging and subsequent treatment strategies. In
order to provide a basis for evidence-based treatment decisions in these
patients, we analysed the clonal relationship of multifocal NSCLC with
indistinguishable histomorphology in a series of 78 patients by allelotyping
(using polymorphic short tandem repeat markers) as well as KRAS and epidermal
growth factor receptor (EGFR) mutation testing. Our data demonstrate a common
clonal origin indicative of intrapulmonary metastases in almost two-thirds (~62%)
of the cases, while ~36% of multifocal NSCLC displayed unique molecular profiles
suggesting separate primary tumours. Divergent KRAS and/or EGFR mutations were
observed in ~8% of all cases. With the increased availability of EGFR-targeted
therapy options, nonresectable, multifocal NSCLC with diverging KRAS and/or EGFR
mutations are likely to show different treatment responses, underlining the need
to separately analyse multifocal tumours. Obviously, this also holds true for
further, novel molecular predictors of targeted therapies.
PMID- 22075484
TI - beta2-adrenergic receptor polymorphisms, asthma and COPD: two large population
based studies.
AB - The beta(2)-adrenergic receptor (ADRB2) is an important regulator of airway
smooth muscle tone. We tested the hypothesis that three functional polymorphisms
in the ADRB2 gene (Thr164Ile, Gly16Arg and Gln27Glu) are associated with reduced
lung function, asthma or chronic obstructive pulmonary disease (COPD). We first
genotyped 8,971 individuals from the Copenhagen City Heart Study for all three
polymorphisms. To validate our findings, we genotyped an additional 53,777
individuals from the Copenhagen General Population Study for the Thr164Ile
polymorphism. We identified 60,910 Thr164Ile noncarriers, 1,822 heterozygotes and
16 homozygotes. In the Copenhagen City Heart Study, the Thr164Ile genotype was
associated with reduced forced expiratory volume in 1 s (FEV(1)) % predicted
(trend p = 0.01) and FEV(1)/forced vital capacity (FVC) (p = 0.001): Thr164Ile
heterozygotes had 3% and 2% reduced FEV(1) % pred and FEV(1)/FVC, respectively,
compared with noncarriers. The odds ratio for COPD in Thr164Ile heterozygotes was
1.46 (95% CI 1.05-2.02). In the Copenhagen General Population Study, the Thr164
genotype associated with reduced FEV(1) % pred (p = 0.04) and FEV(1)/FVC (p <
0.001): Thr164Ile homozygotes and heterozygotes had 7% and 1% reduced FEV(1) %
pred and 6% and 1% reduced FEV(1)/FVC, respectively, compared with noncarriers.
The odds ratios for COPD in Thr164Ile homozygotes and heterozygotes were 4.53
(95% CI 1.54-13.3) and 1.07 (95% CI 0.92-1.25), respectively. Our results suggest
that ADRB2 Thr164Ile is associated with reduced lung function and increased risk
of COPD in the general population.
PMID- 22075485
TI - Pre-natal exposure to dichlorodiphenyldichloroethylene and infant lower
respiratory tract infections and wheeze.
AB - The aim of our study was to examine whether pre-natal exposure to
dichlorodiphenyldichloroethylene (DDE) increases the risk of lower respiratory
tract infections (LRTIs) and wheeze in infants. The study is based on a birth
cohort of 1,455 mother-child pairs. Maternal serum concentrations of DDE,
polychlorinated biphenyls (PCBs) and hexachlorobenzene (HCB) were measured during
pregnancy. Parental reports on LRTI and wheeze were obtained when children were
12-14 months old. 35.4% of children developed at least one LRTI episode and 33.6%
at least one wheezing episode during their first 12-14 months of life. Median
DDE, PCBs and HCB concentrations were 116.3, 113.7 and 46.4 ng . g(-1) lipid,
respectively. DDE concentrations were associated with LRTI risk (relative risk
(RR) per 10% increase 1.11, 95% CI 1.00-1.22), also after adjustment for PCBs and
HCB. In all quartiles of DDE exposure, the risk of LRTI was increased compared
with the lowest quartile, but the increase was statistically significant only in
the third quartile (RR 1.33, 95% CI 1.08-1.62). No association was observed for
PCBs and HCB. Results were similar for wheeze. This study suggests that pre-natal
DDE exposure is associated with a higher risk of LRTI and wheeze in infants
independently of exposure to other organochlorine compounds.
PMID- 22075486
TI - Stage IV sarcoidosis: comparison of survival with the general population and
causes of death.
AB - The objectives of this study were to compare the survival of sarcoid patients
with pulmonary fibrosis with that of the general population and to determine the
causes of death and the incidence of evolutive complications. This retrospective
cohort included 142 sarcoid patients in radiographic stage IV (74 males; mean +/-
SD age 48.1 +/- 12 yrs). Their survival was compared with that of the general
French population, matched for the year and age at diagnosis of stage IV disease,
sex and length of follow-up. Expected survival probabilities were calculated year
by-year on the basis of probabilities provided by official demographic data for
France. Survival curves were based on the Kaplan-Meier method and compared using
the log-rank test. During the follow-up period (7.1 +/- 4.8 yrs), pulmonary
hypertension (PH) was observed in 29.7% of cases and aspergilloma in 11.3%. Long
term oxygen therapy was required in 12%. Survival was 84.1% at 10 yrs, which was
worse than for the general population (p = 0.013). 16 (11.3%) patients died from
the following causes: refractory PH (n = 5), chronic respiratory insufficiency (n
= 4), acute respiratory insufficiency (n = 2), haemoptysis due to aspergilloma (n
= 1), heart sarcoidosis (n = 1), nocardiosis (n = 1) and unknown causes (n = 2).
Survival is significantly decreased in stage IV patients. 75% of fatalities are
directly attributable to respiratory causes.
PMID- 22075487
TI - Non-CPAP therapies in obstructive sleep apnoea: mandibular advancement device
therapy.
AB - Mandibular advancement devices (MADs) represent the main non-continuous positive
airway pressure (non-CPAP) therapy for patients with obstructive sleep apnoea
(OSA). The aim of the European Respiratory Society Task Force was to review the
evidence in favour of MAD therapy. Effects of tongue-retaining devices are not
included in this report. Custom-made MADs reduce apnoea/hypopnoea index (AHI) and
daytime sleepiness compared with placebo devices. CPAP more effectively
diminishes AHI, while increasing data suggest fairly similar outcomes in relation
to symptoms and cardiovascular health from these treatments. Patients often
prefer MADs to CPAP. Milder cases and patients with a proven increase in upper
airway size as a result of mandibular advancement are most likely to experience
treatment success with MADs. A custom-made device titrated from an initial 50% of
maximum mandibular advancement has been recommended. More research is needed to
define the patients who will benefit from MAD treatment compared with CPAP, in
terms of the effects on sleep-disordered breathing and on other diseases related
to OSA. In conclusion, MADs are recommended for patients with mild to moderate
OSA (Recommendation Level A) and for those who do not tolerate CPAP. The
treatment must be followed up and the device adjusted or exchanged in relation to
the outcome.
PMID- 22075488
TI - Telemedicine enhances quality of forced spirometry in primary care.
AB - Forced spirometry is pivotal for diagnosis and management of respiratory
diseases, but its use in primary care is suboptimal. The aim of the present study
was to assess a web-based application aiming at fostering high-quality spirometry
in primary care. This was a randomised controlled trial with 12 intervention
primary care units (PCi) and six control units (PCc) studied over 12 months. All
34 naive nurses (PCi and PCc) received identical training. The PCi units had
access to educational material and remote expert support. Quality of spirometry
and usability of the web application were assessed. We included 4,581 patients
(3,383 PCi and 1,198 PCc). At baseline, quality was similar (PCi 71% and PCc 67%
high-quality tests). During the study, PCi showed higher percentage (71.5%) of
high-quality tests than PCc (59.5%) (p<0.0001). PCi had 73% more chance of high
quality performance than PCc. The web application was better for assessing
quality of testing than the automatic feedback provided by the spirometer.
Healthcare professionals' satisfaction and usability were high. The web-based
remote support for primary care by specialists generated a sustained positive
impact on quality of testing. The study expands the potential of primary care for
diagnosis and management of patients with pulmonary diseases.
PMID- 22075489
TI - Prognostic power of proadrenomedullin in community-acquired pneumonia is
independent of aetiology.
AB - Biomarkers are useful in community-acquired pneumonia (CAP). Recently,
midregional (MR) proadrenomedullin (proADM) has been shown to be of potential
prognostic use. We sought to determine whether this prognostic role depends on
the cause of CAP. We conducted a prospective cohort study of immunocompetent
patients with CAP. Pneumonia Severity Index (PSI) and CURB-65 score (confusion
(abbreviated mental test score of <= 8), urea >= 7 mol . L(-1), respiratory rate
>= 30 breaths . min(-1), blood pressure <90 mmHg systolic or <60 mmHg diastolic,
and age >= 65 yrs), blood C-reactive protein, procalcitonin, MR-proADM, and
microbiological studies were systematically performed. Patients were grouped as
bacterial, viral/atypical and mixed CAP, and were followed up at 30, 90 and 180
days, and 1 yr. We recruited 228 CAP patients. Identification of at least one
pathogen was achieved in 155 (68%) patients. MR-proADM levels closely correlated
with increasing severity scores, and showed an important predictive power for
complications and short- and long-term mortality (1 yr). Its addition to PSI and
CURB-65 significantly improved their prognostic accuracy. A MR-proADM cut-off of
0.646 nmol . L(-1) identified 92% of patients scored as PSI classes IV and V as
high risk. MR-proADM outcome prediction power was not affected by different
aetiologies. MR-proADM has high short- and long-term prognostic accuracy, and
increases the accuracy of clinical scores. The prognostic value of MR-proADM is
not modified by different possible CAP aetiologies.
PMID- 22075490
TI - Chemoradiotherapy alone as the standard treatment of epidermoid esophageal
carcinoma.
AB - OBJECTIVES: Surgery has traditionally been the treatment of choice for patients
with potentially resectable esophageal carcinoma. Recently, however, definitive
chemoradiotherapy (CRT) has been suggested as a preferred treatment modality. In
this study, CRT results with or without surgery are compared. METHODS: A total of
986 patients of esophageal carcinoma were categorized into two groups depending
on the treatment: surgery (675 patients) or CRT (311 patients). These two groups
were compared based on age, sex, weight loss, tumor location and length, tumor
shape, grade, stage, delay in receiving treatment, event-free survival (EFS) and
overall survival (OS). RESULTS: Patients in the CRT arm were significantly older
(p = 0.01), had a more significant adenocarcinoma histology (p = 0.015), upper
esophageal carcinoma (p = 0.0001) and more advanced stages of the disease (p =
0.0001). The median EFS for the surgery group was 24.5 months [95% confidence
interval (CI), 17-32] versus 22.5 months (95% CI, 16.7-28.2) for the CRT arm (p =
0.56). The mean OS was 59.25 months (95% CI, 53.8-64.7) for the surgery group
compared to 58.77 months (95% CI, 50.9-66.6) for the CRT group (p = 0.78).
CONCLUSION: Definitive CRT is effective enough so as to consider omitting surgery
and could be used as a standard treatment for patients with esophageal carcinoma.
PMID- 22075491
TI - [Quo vadis, particle therapy?].
PMID- 22075492
TI - gamma-Glutamylcysteine inhibits oxidative stress in human endothelial cells.
AB - AIMS: gamma-Glutamylcysteine (GGC) is a dipeptide and substrate for synthesis of
the antioxidant glutathione (GSH), whose health promoting properties include
reducing risks of oxidative stress-related injuries and diseases. The objective
of this study was to investigate the efficacy of GGC on GSH synthesis and
oxidative stress in human endothelial cells. MAIN METHODS: We assessed oxidative
stress, GSH, GSH synthetase (GSS) expression, and transcription factor DNA
binding levels in human umbilical vein endothelial cells (HUVEC). KEY FINDINGS:
We found significantly higher levels of PPARgamma DNA binding and lower levels of
GSH, GSS protein, NF-kappaB p65 DNA binding, thiobarbituric acid reactive
substances (TBARS), and 8-epi-PGF(2alpha) in a concentration-dependent manner,
compared with the control. GSH and GSS protein levels showed a negative
correlation with PPARgamma DNA binding levels and positive correlation trends
with NF-kappaB p65 DNA binding, TBARS, and 8-epi-PGF(2alpha) levels. A putative
binding site for NF-kappaB was found at 4 227 bases upstream from the
transcription start site of GSS gene, but none for PPARs. These findings suggest
the involvement of NF-kappaB in regulation of GSS expression. Subsequent GSH
synthesis might be affected by the suppression of GSS expression in tested
conditions. SIGNIFICANCE: Besides its substrate role in GSH synthesis, GGC may
play a role in protection against oxidative stress by serving as an antioxidant
and modulating the expression of protein(s) related to antioxidant defense. Thus,
we speculate that GGC may serve as a novel intra- and intercellular therapeutic
dipeptide for oxidative stress-related injuries and diseases.
PMID- 22075493
TI - Effect of Pyeongwee-San (KMP6) on 2,4-dinitrofluorobenzene-induced atopic
dermatitis-like skin lesions in NC/Nga mice.
AB - AIMS: Recently, some studies reported that digestive tract disease is closely
associated with atopic dermatitis (AD). Pyeongwee-San (KMP6) is a Korean
medicine, which has come onto the drugstore for the treatment of digestive tract
disease. The aim of the present study was to examine whether KMP6 could suppress
2,4-dinitrofluorobenzene (DNFB)-induced AD-like skin lesions in NC/Nga mice. MAIN
METHODS: Mice were sensitized with DNFB by applying to shaved dorsal skin. At
that time, the drugs or saline were orally administrated to DNFB-applied mice.
KEY FINDINGS: The administration of KMP6 or glycyrrhizic acid (GL), a major
component of KMP6, inhibited the scratching number in DNFB-induced AD model. The
mRNA expressions of interleukin (IL)-4, interferon (IFN)-gamma, tumor necrosis
factor (TNF)-alpha, and CCR3 were upregulated by DNFB sensitization, but the
upregulated mRNA expressions were significantly reduced by the administration of
KMP6 or GL. In addition, the levels of IgE, histamine, and IL-4 were
significantly reduced by the administration of KMP6 or GL in serum of DNFB
induced AD model. However, the level of IFN-gamma in serum was significantly
increased by KMP6 or GL. KMP6 or GL also significantly inhibited the numbers of
inflammatory cells, mast cells, and protein level of IL-4 in lesions of DNFB
induced AD model. Finally, KMP6 or GL significantly decreased the productions of
IL-4, IFN-gamma, and TNF-alpha in anti-CD3 plus anti-CD28 antibody-stimulated
splenocytes. SIGNIFICANCE: KMP6 showed anti-atopic potential in this setting;
hence we suggest it as a potential prospect for anti-atopic agent besides being
just a medicine for the stomach and bowels.
PMID- 22075494
TI - 3-Bromo-7-nitroindazole attenuates brain ischemic injury in diabetic stroke via
inhibition of endoplasmic reticulum stress pathway involving CHOP.
AB - AIMS: The role of nitric oxide (NO) and endoplasmic reticulum (ER) stress has
been implicated in the pathogenesis of cerebral ischemic/reperfusion (I/R) injury
and diabetes. The aim of the study was to investigate the neuroprotective
potential of 3-bromo-7-nitroindazole (3-BNI), a potent and selective neuronal
nitric oxide synthase (nNOS) inhibitor against ER stress and focal cerebral I/R
injury associated with comorbid type 2 diabetes in-vivo. MAIN METHODS: Type 2
diabetes was induced by feeding high-fat diet and streptozotocin (35 mg/kg)
treatment in rats. Focal cerebral ischemia was induced by 2h middle cerebral
artery occlusion (MCAO) followed by 22 h of reperfusion. Immunohistochemistry and
western blotting methods were employed for the detection and expression of ER
stress/apoptosis markers [78 kDa glucose regulated protein (GRP78) and
CCAAT/enhancer binding protein homologous protein (CHOP)]. TUNEL assay for DNA
fragmentation was also performed. KEY FINDINGS: The diabetic rats subjected to
cerebral I/R had prominent neurological damage and functional deficits compared
with sham-operated rats. Massive DNA fragmentation was observed in ischemic
penumbral region of diabetic brains. Concomitantly, the enhanced immunoreactivity
and expression of ER stress/apoptosis markers were noticed. 3-BNI (30 mg/kg,
i.p.) treatment significantly inhibited the cerebral infarct, edema volume and
improved functional recovery of neurological deficits. The neuroprotection was
further evident by lesser DNA fragmentation with a concomitant reduction of GRP78
and CHOP. SIGNIFICANCE: The study demonstrates the neuroprotective potential of 3
BNI in diabetic stroke model which may be partly due to inhibition of ER stress
pathway involving CHOP.
PMID- 22075495
TI - The effect of isosteviol on hyperglycemia and dyslipidemia induced by
lipotoxicity in rats fed with high-fat emulsion.
AB - AIMS: The aim of present study was to investigate the effects of isosteviol on
hyperglycemia and hyperlipidemia in rats fed with high-fat emulsion (HFE). MAIN
METHODS: Hyperglycemia and hyperlipidemia in rats was induced by daily ingestion
of HFE for 14 days. Isosteviol (0.2, 1.0, or 5.0mg/kg/day) was orally
administered for 7 days, with rosiglitazone maleate (5.0mg/kg/day) used as the
positive control. The levels of fasting serum glucose (FSG), fasting serum
insulin (FSI), total cholesterol (TC), triglyceride (TG), high density
lipoprotein (HDL), and low density lipoprotein (LDL) in serum were assayed.
Intravenous glucose tolerance test (IVGTT) was performed with serum glucose and
insulin levels monitored. The effect of the supplement of palmitate in HFE on the
activity of isosteviol was investigated. Ultrastructural changes in islet beta
cells and peroxisome proliferator-activated receptor alpha (PPARalpha) mRNA
expression profile were determined. KEY FINDINGS: FSG, FSI, TC and LDL levels and
insulin resistance index (IRI) were decreased and HDL level was increased by all
doses of isosteviol. During IVGTT, serum glucose levels were decreased by
isosteviol and no significant differences were observed in insulin release
between isosteviol-treated and control groups. The effects of isosteviol were
attenuated by palmitate. Damage to pancreatic islet cells was partially
attenuated, and expression profile of hepatic PPARalpha mRNA was enhanced by
isosteviol. SIGNIFICANCE: Antihyperglycemic effects of isosteviol could enhance
utilization of glucose in the periphery and reduce beta-cell damage induced by
dyslipidemia. Modulating-lipidemic effects of isosteviol might be related to the
potential enhancement of liver PPARalpha mRNA expression.
PMID- 22075496
TI - 2011--another successful year for the diabetes educator.
PMID- 22075497
TI - A year of achievement ushers in a new era for diabetes care.
PMID- 22075500
TI - The educator's guide to diabetes resources, 2011.
PMID- 22075501
TI - Exploring the cost and clinical outcomes of integrating the registered nurse
certified diabetes educator into the patient-centered medical home.
AB - PURPOSE: The purpose of this study was to implement and evaluate a care delivery
model integrating the registered nurse-certified diabetes educator into the
patient-centered medical home to assist in achieving positive clinical and cost
outcomes in diabetes care. METHODS: A 1-group pretest-posttest research design
was used. Patients were recruited from 2 patient-centered medical home
designated/nominated primary care offices. Inclusion criteria were as follows:
diagnosis of type 1 or type 2 diabetes, aged 18 to 80 years, A1C >= 8%, English
speaking, and no diabetes education within 6 months. There were 34 participants
(men, n = 22; women, n = 12) with a mean age of 53.24. The intervention
incorporated an assessment, 4 patient-centered monthly group sessions, and 4
individual follow-up sessions. Study measures included program surveys,
participation and satisfaction rates, Healthcare Effectiveness Data and
Information Set attainment rates, and the following physiologic measures obtained
from the medical record: A1C, fasting blood glucose, LDL, urine microalbumin,
blood pressure, retinal eye exam, and body mass index. Cost-effectiveness
measures included program costs, performance incentives, revenue, provider time
saved, and patient health care utilization. RESULTS: Paired-samples t tests
identified significant improvements in A1C, fasting blood glucose, and LDL.
Patients and providers were highly satisfied with the program. Cost-benefit
analysis revealed a net pretax program benefit. CONCLUSIONS: Results of the study
indicated that integrating the registered nurse-certified diabetes educator in
the patient-centered medical home improves clinical outcomes and is cost
effective. Diabetes education and support are integral components of diabetes
management.
PMID- 22075502
TI - Algorithmic and consultative integration of transfusion medicine and coagulation:
a personalized medicine approach with reduced blood component utilization.
AB - BACKGROUND: Therapy customized for the individual patient defines personalized
medicine. Current transfusion therapy is performed primarily using general
guidelines such as keeping the platelet count at >100,000/MUL, the INR at <= 1.7
and fibrinogen at >100mg/dL for patients undergoing surgery. OBJECTIVE: The
purpose of this report is to provide an algorithmic and consultative approach for
the delivery of personalized and targeted blood component, blood derivative, and
recombinant therapies in order to minimize unnecessary exposure to such therapies
and to deliver an optimal risk-benefit ratio for a particular patient. METHODS:
The initiative involved a step-wise process that included: 1. establishing
"triggers" to alert and permit the clinical pathologist to intervene in the
utilization of blood components for a given patient in the context of the blood
bank inventory; 2. developing algorithms for the assessment of the patient's
procoagulant/anticoagulant status so that appropriate blood component,
derivative, and/or recombinant therapies could be instituted while minimizing the
risk of thrombophilia; 3. a real time assessment and interpretation of the
coagulation data so that dialogue between the pathologist and the patient's
clinical team could be effected 24 hours a day, 7 days a week; and 4. monitoring
the outcome of these efforts by comparing blood component utilization prior to or
during development, early implementation and following full implementation of the
program. RESULTS: "Triggers" (i.e., administration of six units of fresh frozen
plasma [FFP] or ten units of cryoprecipitate or two single donor [apheresis]
platelets in a 24-hour period) were approved. A diagnostic and therapeutic
algorithm was constructed, with multidisciplinary input to assist in defining the
coagulopathy contributing to the patient's microvascular bleeding in the adult
cardiac surgery/cardiac intensive care unit (CICU) and the adult intensive care
unit (AICU). Monitoring of utilization, prior to or during development, early
implementation and following full implementation of this initiative, revealed a
decline in the number of units of FFP, cryoprecipitate and single donor
(apheresis) platelets administered. CONCLUSION: We report on the successful
development of a model - based on the algorithmic and consultative integration of
transfusion medicine and coagulation - that customizes blood component,
derivative, and recombinant therapies appropriate for an individual patient's
need, resulting in targeted transfusion therapy and associated with reduced blood
component utilization.
PMID- 22075503
TI - Expression of E-cadherin and beta-catenin in two cholangiocarcinoma cell lines
(OZ and HuCCT1) with different degree of invasiveness of the primary tumor.
AB - BACKGROUND: Cholangiocarcinoma (CC) is the most frequent malignant epithelial
tumor of the biliary system. CC has received increasing interest due to its
different etiologic factors, invasiveness, and the difficulty of diagnosis at an
early stage. The pathogenesis of CC has not been clearly defined, but
cohesiveness of tumor cells seems to be a critical factor. Calcium-dependent
adherence proteins or cadherins are a family of proteins essential for connecting
the plasma membrane of adjacent cells. Linkage of cadherins with the cytoskeleton
occurs through another class of proteins, called catenins. E-cadherin forms a
mutually exclusive complex or unit with beta-catenin. Loss of E-cadherin -beta
catenin adhesion represents an important step in the progression of many
epithelial malignancies. Cell lines arising from CC are not often investigated
and may show a differential expression of cell adhesion molecules, particularly E
cadherin - beta-catenin. We hypothesized that a moderately invasive cell line of
CC may co-localize both molecules in cytoplasm and cytoplasmic membrane,
indicating a greater "tightness" of the tumor cells, while a metastasizing cell
line may show isolated cytoplasmic membrane localization, indicating tumor cells
probably more keen to reach the blood stream and give metastases. Thus, our aim
was to investigate the expression and localization of E-cadherin and beta-catenin
in two CC cell lines, including a rapidly metastasizing cell line and a
moderately invasive cell line, correlating to a different degree of invasiveness
of the primary tumor. MATERIALS AND METHODS: OZ and HuCCT1 cells represent
homogeneous, functional human biliary epithelial tumor cell lines that were
originally isolated in Japan. Following cell line growth we extracted total
proteins. Western blot analysis, immunofluorescence and confocal laser microscopy
were used to identify the protein expression and their cyto-localization and co
localization. RESULTS: Both CC cell lines expressed E-cadherin and beta-catenin,
but they showed remarkably different localization patterns. In HuCCT1, both E
cadherin and beta-catenin were localized in the cytoplasm, while in OZ these
proteins were localized in the cytoplasmic membrane only. This was attributed to
a different degree of invasiveness of the primitive CC from which the cell lines
were characterized, OZ being a metastasizing cell line, HuCCT1 being a moderately
invasive cell line. CONCLUSION: To the best of our knowledge, this is the first
time that E-cadherin and beta-catenin have been studied in detail in these two
cell lines. These data seem to be very promising in terms of adding insight into
the cell biology of CC and initiating investigations that aim to identify
cytoskeletal dynamics and ultimately provide guidelines for developing new
therapeutic strategies.
PMID- 22075504
TI - Relationships of Fetal-Type Erythropoiesis versus Nitric Oxide Production and
Glycated Hemoglobin Levels in Diabetics.
AB - This study investigated the potential contribution of nitric oxide (NOx)
production to enhanced fetal hemoglobin (HbF) synthesis in patients with
diabetes. Glycated hemoglobin (HbA1c), HbF, high sensitivity C-reactive protein
(hsCRP), plasma glucose levels, and serum NOx concentrations were measured in 350
diabetics and 125 healthy subjects. There were no significant correlations
between HbF and HbA1c levels, nor between HbF and plasma glucose levels. However,
serum NOx concentrations in patients with HbF >1.0% (76.2 +/- 32.4 MUmol/L) were
significantly higher than those with HbF <= 1.0% (47.3 +/- 29.8 MUmol/L, p
<0.05). Inversely, patients with moderately increased serum NOx levels >98.1
MUmol/L (75th percentile of patients) exhibited significantly higher HbF levels
than those with decreased serum NOx levels <34.2 MUmol/L (25th percentile of
patients) (1.16 +/- 0.41 vs. 0.62 +/- 0.28%, p <0.05). After excluding the
subjects with high NOx levels, elevated HbF concentrations returned to a level
not significantly different from the control value. Serum NOx concentrations were
significantly correlated with HbF (r = 0.32, p <0.05) and hsCRP levels (r = 0.35,
p <0.05) in diabetic patients. In conclusion, long-term glycemic control does not
contribute to fetal-type erythropoiesis, but increased NOx production seems to
play an important role in the enhanced HbF synthesis of diabetics.
PMID- 22075505
TI - The effect of CYP2C9, VKORC1 genotypes and old age on warfarin pharmacologic
sensitivity in korean patients with thromboembolic disease.
AB - The therapeutic dose of warfarin is dependent upon intrinsic patient
characteristics that are highly variable. We assessed the effects of CYP2C9,
VKORC1 1173 C/T polymorphisms, and old age on warfarin dosing and sensitivity by
measuring plasma S-/R-warfarin levels in Korean patients. INR and the plasma S-/R
warfarin concentrations were determined in 58 patients who had the VKORC1 1173C/T
CYP2C9 genotypes, were on a long-term anticoagulation regimen with warfarin, and
took a daily dose of warfarin. The pharmacokinetic sensitivity of warfarin was
significantly higher in the CYP2C9 *1/*3 genotypes than in the CYP2C9 *1/*1
genotypes [ratio of S-warfarin concentration/dose, 0.53 vs. 0.21; p=0.01].
Pharmacodynamic sensitivity in older patients (>= 75 years) with the CYP2C9 *1/*1
and VKORC1 1173 TT genotypes was significantly higher as compared to younger
patients (<75 years) [Ratio of INR/S-warfarin concentration, 4.88 vs. 3.41; p =
0.026]. The CYP2C9*3 allele and old age (>= 75 years) with the VKORC1 1173 T
allele were also associated with increased risk of over-anticoagulation. The
increase of over-anticoagulation risk and warfarin sensitivity is related to the
CYP2C9*3 allele and old age with the VKORC1 1173 T allele in Korean patients with
thromboembolic disease. These findings suggest that a lower initial and
maintenance dose should be considered for the patients with CYP2C9 *3 allele and
advanced age in this patient population. However, due to the limited number of
patients in the study population, our finding needs to be confirmed by a larger,
well-controlled study.
PMID- 22075506
TI - Anti-oxidative effect of apocynin on insulin resistance in high-fat diet mice.
AB - The present study examines the effects of apocynin on oxidative stress and
antioxidant enzymes in high-fat diet (HFD) induced obese mice. After 12 weeks on
HFD, the C57BL/6J mice that clearly exhibited insulin resistance received
apocynin (2.4g/L) in their drinking water for five weeks. The results show that
apocynin treatment significantly ameliorated hyperglycemia, hyperinsulinemia and
dyslipidemia in HFD mice. Furthermore, the intraperitoneal glucose tolerance test
(IPGTT) and homeostasis model assessment of insulin resistance (HOMA-IR) indicate
significant improvement of insulin sensitivity in HFD mice after apocynin
treatment. Compared to the HFD control mice, serum malondialdehyde (MDA) was
significantly lower and serum superoxide dismutase (SOD) was significantly higher
in apocynin treated HFD mice, indicating that apocynin suppressed systemic
oxidative stress in the treated group. In the liver, apocynin significantly
reduced the level of MDA. Accordingly, apocynin treatment strengthened the
antioxidative defense system with an increased activity of SOD, glutathione
peroxidase (GSHpx) and content of reduced glutathione (GSH). We also found that
hepatic catalase (CAT) activity significantly decreased after apocynin treatment
which may indicate that apocynin reduces hydrogen peroxide and oxidative stress
in the liver. These results suggest that apocynin may ameliorate insulin
resistance by reducing systemic and hepatic oxidative stress in HFD fed mice.
PMID- 22075507
TI - Inhibition of the p38 mitogen-activated protein kinase (MAPK) pathway attenuates
cerebral vasospasm following experimental subarachnoid hemorrhage in rabbits.
AB - The p38 mitogen-activated protein kinase (MAPK) plays an important role in
apoptosis and is also involved in the development of cerebral vasospasm after
subarachnoid hemorrhage (SAH). Here, we sought to examine whether inhibition of
p38 MAPK could attenuate cerebral vasospasm and investigate the underlying
mechanisms in a rabbit SAH model. SAH was established in rabbits (n=12/group)
using the double-hemorrhage method. We observed apparent vasospasm in the basilar
arteries of rabbits with SAH, which was significantly attenuated by SB203580, a
selective p38MAPK inhibitor. Immunoblotting assays showed enhanced
phosphorylation of p38 MAPK and ATF2 and increased caspase-3 cleavage following
SAH, which were, however, markedly suppressed by SB203580. TUNEL staining further
revealed significant apoptosis in the basilar arteries of rabbits with SAH, which
was scantly present in rabbits treated with SB203580. Our results demonstrated
that p38 MAPK was activated in cerebral vasospasm and associated with increased
apoptosis in the basilar arteries and p38 MAPK inhibition suppressed apoptosis,
suggesting that p38 MAPK could be a novel therapeutic target for cerebral
vasospasm.
PMID- 22075508
TI - Comparative analysis of simulated candidemia using two different blood culture
systems and the rapid identification of Candida albicans.
AB - The goal of this study was to determine the time to detection of Candida species
isolates using the two most commonly used automated blood culture systems, and to
evaluate rapid, widely available methods for the presumptive identification of C.
albicans. Candidemia models of eight commonly detected Candida species were
prepared using ATCC standards. The times to detection were evaluated using the
BACTEC 9240 (Becton Dickinson) and BacT/Alert 3D (bioMerieux) automated blood
culture systems. The presence of pseudohyphae clusters was examined by Gram
staining and wet preparation. Germ tube tests were performed directly from blood
culture bottles. All samples were cultured on blood agar plates and
macroscopically examined for the presence of an irregular margin (spiking). Most
Candida species (6/8) except C. glabrata and C. krusei grew more rapidly in
aerobic than in anaerobic conditions. Clusters of pseudohyphae were observed in
cultures of C. albicans and C. tropicalis. All culture bottles positive for C.
albicans were positive by the germ tube test and macroscopically showed
'spiking.' Aerobic and anaerobic blood culture systems can effectively detect
candidemia. Furthermore, the direct germ tube test may be the most useful
available morphological presumptive identification method for C. albicans.
PMID- 22075509
TI - Angiocentric glioma with high proliferative index: case report and review of the
literature.
AB - With less than 50 cases previously reported in literature, angiocentric glioma
(AG) is an uncommon, slow-growing tumor of the CNS that typically exhibits a low
proliferative rate and is generally surgically curable. We report the case of a 3
year-old child who presented with seizures and was found to have a non-enhancing
parietal lobe lesion with overlying calvarial remodeling. Following complete
resection of the tumor, histopathological examination revealed bipolar spindle
cells centered on cortical blood vessels, forming pseudorosettes with an
ependymomatous appearance. Tumor cells were glial fibrillary acidic protein
(GFAP) positive, yet failed to label with synaptophysin. Scattered tumor cells
had a "dot-like" cytoplasmic staining with the antibody against epithelial
membrane antigen (EMA). Collectively, these features favored a diagnosis of AG.
Interestingly, the monoclonal antibody against Ki-67 (MIB-1) labeling rate
averaged approximately 10.0%. The child continues to be tumor- and seizure-free
ten months postoperatively. Long-term follow up is required to determine if the
high proliferative rate observed in this AG translates into altered clinical
behavior and/or a worse prognosis.
PMID- 22075510
TI - Intravascular large B-cell lymphoma presenting as cholecystitis and pancytopenia:
case report with literature review.
AB - Intravascular large B-cell lymphoma (IVLBCL) is a rare variant of extranodal
diffuse large Bcell lymphoma with only a few more than 300 cases reported. It is
characterized as lymphoma cells confined to the lumina of small vessels, so
patients usually do not present with masses or lymphadenopathy. Clinical
presentations of these patients are non-specific and the pathologic changes may
be subtle, which often leads to delayed diagnoses and, in many instances, a
postmortem diagnosis. IVLBCL can essentially involve the vessels of any organ,
but it is quite rare for the gallbladder to serve as the initial presenting site;
there are only four such cases reported in the English literature. Furthermore,
IVLBCL of the gallbladder with peripheral blood involvement is even less common.
We report a recent case of IVLBCL presenting as acute cholecystitis and
pancytopenia. The patient underwent a simple cholecystectomy. Examination of the
gallbladder showed clusters of large lymphoma cells within lumina of small
vessels in the gallbladder wall. These cells were positive for CD5/CD20 and
negative for CD3, CD10, and TdT. Based on these findings, a diagnosis of IVLBCL
was made. Coincidently, circulating lymphoma cells were identified in the
peripheral blood and confirmed by flow cytometric analysis (positive for
CD19/CD20/CD5, without light chain expression). The patient was started on
chemotherapy but subsequently died of chemotherapy related multi-organ failure 10
days after the initial diagnosis.
PMID- 22075511
TI - T-cell acute lymphoblastic leukemia associated with complex karyotype and SET
NUP214 rearrangement: a case study and review of the literature.
AB - SET-NUP214 rearrangements have been rarely reported in T-cell acute lymphoblastic
leukemia (T-ALL), acute undifferentiated leukemia, and acute myeloid leukemia,
and most documented cases have been associated with normal karyotypes in
conventional cytogenetic analyses. Here, we describe a novel case of T-ALL
associated with a mediastinal mass and a SET-NUP214 rearrangement, which was
masked by a complex karyotype at the time of initial diagnosis. Using multiplex
reverse transcriptase-polymerase chain reaction analysis, we detected a cryptic
SET-NUP214 rearrangement in our patient. As only 11 cases (including the present
study) of T-ALL with SET-NUP214 rearrangement have been reported, the clinical
features and treatment outcomes have not been fully determined. Further studies
are necessary to evaluate the incidence of SET-NUP214 rearrangement in T-ALL
patients and the treatment responses as well as prognosis of these patients.
PMID- 22075512
TI - A novel homozygous missense ADAMTS13 mutation Y658C in a patient with recurrent
thrombotic thrombocytopenic purpura.
AB - Thrombotic thrombocytopenic purpura (TTP) is a devastating systemic disorder that
is characterized by microangiopathic hemolytic anemia, thrombocytopenia,
neurological dysfunction, and renal failure. In the hereditary form of TTP,
severe deficiency of ADAMTS13, a plasma metalloprotease that cleaves von
Willebrand factor, is associated with the development of this disorder. A 34-year
old woman was diagnosed with TTP due to severely reduced ADAMTS13 activity;
clinical manifestations resolved only by repeated total plasma exchanges or
transfusion. Homozygous and heterozygous Y658C (c.1973A>G) alleles were detected
in the patient and her child with severe and mild ADAMTS13 deficiencies,
respectively. Herein, we report a novel missense mutation Y658C (c.1973A>G) on
exon 17 of ADAMTS13 and discuss its clinical implications.
PMID- 22075513
TI - Primary Plasma Cell Leukemia Associated with t(6;14)(p21;q32) and IGH
Rearrangement: A Case Study and Review of the Literature.
AB - Because plasma cell leukemia (PCL) is a rare and distinct variant among plasma
cell dyscrasias, recent clinical and cytogenetic studies have been performed in
different ethnic groups to define the characteristics of these PCL patients. As
far as we know, IGH rearrangements involving t(11;14) and (14;16) are
significantly more frequent in PCL than in myeloma patients. However, PCL cases
associated with t(6;14)(p21;q32) or IGH-CCND3 rearrangement are extremely rare in
the literature; only one PCL case with t(6;14) has been documented. A 61-year-old
female was admitted due to fatigue, weight loss, and exertional dyspnea.
Plasmacytoid cells were counted up to 76% at a peripheral blood film, but bone
marrow aspiration failed because of dry-tapping. Flow cytometric analysis showed
positive for CD138 and cytoplasmic kappa light chain. Chromosome analysis
revealed t(6;14)(p21;q32), which was confirmed by an IGH split-out probe in FISH
analysis. Immunofixation electrophoresis also presented monoclonal bands
identified as IgG and kappa light chain. Finally, she was diagnosed as primary
PCL associated with t(6;14) and IGH rearrangement. Although considerable advances
have been made in the understanding of the biology and molecular pathogenesis of
PCL, further clinical, laboratory, and genetic studies of PCL associated with
such a rare IGH rearrangement would be necessary in the future. To the best of
our knowledge, this is the first report of PCL associated with t(6;14) as a sole
chromosomal abnormality.
PMID- 22075514
TI - A novel a subtype allele that demonstrates allelic competition.
AB - A 68-year-old man with an ABO discrepancy discovered during a routine check up
and 3 members of his family were referred for ABO analysis. A novel allele
(A(w)14; 699 C>A, H233Q) was found by sequence analysis of exons 6&7 and in those
with the A(w)14 allele, complete ABO analysis was performed. The propositus and
his son had an A(w) phenotype with the A(w)14/O01 genotype. His daughter had an
A(w)14/B101 genotype with a normal B phenotype. Three-dimensional molecular
modelling was used to predict the effect of the H233Q amino acid change on the
resulting A glycosyltransferase. The A(w)14 produced a weak A phenotype, and its
ability to produce A antigens was further reduced when it was co-inherited with a
B101 enzyme.
PMID- 22075515
TI - BizarreParosteal Osteochondromatous Proliferation (Nora's lesion) with
translocation t(1;17)(q32;q21): a case report and role of cytogenetic studies on
diagnosis.
AB - Bizarre Parosteal Osteochondromatous Proliferation (BPOP) is a benign tumor-like
lesion that has recently been reported to have an association with a specific
translocation t(1:17)(q32;q21)[1]. Like other reactive periosteal lesions, BPOP
can be diagnostically challenging, with the ever-present possibility of a
potentially devastating erroneous diagnosis of malignancy. These lesions are
often clinically, radiologically and histopathologically ambiguous, with rapid
but circumscribed, non-infiltrative growth patterns, and histological atypia, but
without overt features of malignancy. However, recent published reports have
better characterized radiological [2] as well as histological features that aid
in making an accurate diagnosis. In spite of all these advances, one of the
biggest challenges in making the correct diagnosis still remains the inexperience
of the practicing pathologist with this lesion, simply due to its rarity. We
present a case of Nora's lesion in the distal ulna of an 8 year-old girl, in
which, besides the histological features, we were able to demonstrate the
translocation t(1:17)(q32;q21). Thus, we would like to emphasize the utility of
cytogenetic studies in the correct and rapid diagnosis of clinically and
radiologically ambiguous periosteal-based lesion.
PMID- 22075516
TI - Peri-appendiceal inflammation in an 8-year-old child with chronic ulcerative
colitis.
AB - The peri-appendiceal red patch (PARP) or appendiceal orifice inflammation (AOI)
is a known complication of non-pancolonic ulcerative colitis (UC), but it is not
well documented in the pediatric pathology and gastroenterology literature. Here
we describe the youngest patient with this manifestation to date and provide a
brief review on PARP associated UC.
PMID- 22075518
TI - Brain. Editorial.
PMID- 22075520
TI - The proliferative capacity of the subventricular zone is maintained in the
parkinsonian brain.
AB - There are many indications that neurogenesis is impaired in Parkinson's disease,
which might be due to a lack of dopamine in the subventricular zone. An
impairment in neurogenesis may have negative consequences for the development of
new therapeutic approaches in Parkinson's disease, as neural stem cells are a
potential source for endogenous repair. In this study, we examined the
subventricular zone of 10 patients with Parkinson's disease and 10 age- and sex
matched controls for proliferation and neural stem cell numbers. We also included
five cases with incidental Lewy body disease, which showed Parkinson's disease
pathology but no clinical symptoms and thus did not receive dopaminergic
treatment. We quantified the neural stem cell number and proliferative capacity
in the subventricular zone of these three donor groups. We found subventricular
neural stem cells in each donor, with a high variation in number. We did not
observe significant differences in neural stem cell number or in proliferation
between the groups. Additionally, we were able to culture neural stem cells from
post-mortem brain of several patients with Parkinson's disease, confirming the
presence of viable neural stem cells in these brains. We have also examined the
subventricular zone of a chronic, 1-methyl-4-phenyl-1,2,3,6-tetrahydropyridine
induced Parkinson's disease mouse model, and again found no effect of
dopaminergic denervation on precursor proliferation. Lastly, we investigated the
proliferation capacity of two different human neural stem cell lines in response
to dopamine. Both cell lines did not respond with a change in proliferation to
treatment with dopamine agonists and an antagonist. In summary, the adult neural
stem cell pool in the subventricular zone was not clearly affected in the human
parkinsonian brain or a Parkinson's disease mouse model. Furthermore, we did not
find evidence that dopamine has a direct effect on human neural stem cell
proliferation in vitro. Thus, we conclude that the number of adult neural stem
cells is probably not diminished in the parkinsonian brain and that dopamine
depletion most likely has no effect on human neural stem cells.
PMID- 22075519
TI - Can loss of muscle spindle afferents explain the ataxic gait in Riley-Day
syndrome?
AB - The Riley-Day syndrome is the most common of the hereditary sensory and autonomic
neuropathies (Type III). Among the well-recognized clinical features are reduced
pain and temperature sensation, absent deep tendon reflexes and a progressively
ataxic gait. To explain the latter we tested the hypothesis that muscle spindles,
or their afferents, are absent in hereditary sensory and autonomic neuropathy III
by attempting to record from muscle spindle afferents from a nerve supplying the
leg in 10 patients. For comparison we also recorded muscle spindles from 15
healthy subjects and from two patients with hereditary sensory and autonomic
neuropathy IV, who have profound sensory disturbances but no ataxia. Tungsten
microelectrodes were inserted percutaneously into fascicles of the common
peroneal nerve at the fibular head. Intraneural stimulation within muscle
fascicles evoked twitches at normal stimulus currents (10-30 uA), and deep pain
(which often referred) at high intensities (1 mA). Microneurographic recordings
from muscle fascicles revealed a complete absence of spontaneously active muscle
spindles in patients with hereditary sensory and autonomic neuropathy III;
moreover, responses to passive muscle stretch could not be observed. Conversely,
muscle spindles appeared normal in patients with hereditary sensory and autonomic
neuropathy IV, with mean firing rates of spontaneously active endings being
similar to those recorded from healthy controls. Intraneural stimulation within
cutaneous fascicles evoked paraesthesiae in the fascicular innervation territory
at normal stimulus intensities, but cutaneous pain was never reported during high
intensity stimulation in any of the patients. Microneurographic recordings from
cutaneous fascicles revealed the presence of normal large-diameter cutaneous
mechanoreceptors in hereditary sensory and autonomic neuropathy III. Our results
suggest that the complete absence of functional muscle spindles in these patients
explains their loss of deep tendon reflexes. Moreover, we suggest that their
ataxic gait is sensory in origin, due to the loss of functional muscle spindles
and hence a compromised sensorimotor control of locomotion.
PMID- 22075521
TI - Longitudinal evolution of compensatory changes in striatal dopamine processing in
Parkinson's disease.
AB - Parkinson's disease is a relentlessly progressive neurodegenerative disease.
Breakdown of compensatory mechanisms influencing putaminal dopamine processing
could contribute to the progressive motor symptoms. We studied a cohort of 78
subjects (at baseline) with sporadic Parkinson's disease and 35 healthy controls
with multi-tracer positron emission tomography scans to investigate the evolution
of adaptive mechanisms influencing striatal dopamine processing in Parkinson's
disease progression. Presynaptic dopaminergic integrity was assessed with three
radioligands: (i) [(11)C](+/-)dihydrotetrabenazine, to estimate the density of
vesicular monoamine transporter type 2; (ii) [(11)C]d-threo-methylphenidate, to
label the dopamine transporter; and (iii) 6-[(18)F]fluoro-L-DOPA, to assess the
activity of aromatic amino acid decarboxylase and storage of 6-[(18)F]
fluorodopamine in synaptic vesicles. The subjects with Parkinson's disease and
the healthy controls underwent positron emission tomography scans at the initial
visit and after 4 and 8 years of follow-up. Non-linear multivariate regression
analyses with random effects were utilized to model the longitudinal changes in
tracer values in the putamen standardized relative to normal controls. We found
evidence for possible upregulation of dopamine synthesis and downregulation of
dopamine transporter in the more severely affected putamen in the early stage of
Parkinson's disease. The standardized 6-[(18)F]fluoro-L-DOPA and [(11)C]d-threo
methylphenidate values tended to approach [(11)C](+/-)dihydrotetrabenazine values
in the putamen in later stages of disease (i.e. for [(11)C](+/
)dihydrotetrabenazine values <25% of normal), when the rates of decline in the
positron emission tomography measurements were similar for all the markers. Our
data suggest that compensatory mechanisms decline as Parkinson's disease
progresses. This breakdown of compensatory strategies in the putamen could
contribute to the progression of motor symptoms in advanced disease.
PMID- 22075522
TI - Resolution of spatial and temporal visual attention in infants with fragile X
syndrome.
AB - Fragile X syndrome is the most common cause of inherited intellectual impairment
and the most common single-gene cause of autism. Individuals with fragile X
syndrome present with a neurobehavioural phenotype that includes selective
deficits in spatiotemporal visual perception associated with neural processing in
frontal-parietal networks of the brain. The goal of the current study was to
examine whether reduced resolution of spatial and/or temporal visual attention
may underlie perceptual deficits related to fragile X syndrome. Eye tracking was
used to psychophysically measure the limits of spatial and temporal attention in
infants with fragile X syndrome and age-matched neurotypically developing
infants. Results from these experiments revealed that infants with fragile X
syndrome experience drastically reduced resolution of temporal attention in a
genetic dose-sensitive manner, but have a spatial resolution of attention that is
not impaired. Coarse temporal attention could have significant knock-on effects
for the development of perceptual, cognitive and motor abilities in individuals
with the disorder.
PMID- 22075523
TI - Arterial stiffness, pressure and flow pulsatility and brain structure and
function: the Age, Gene/Environment Susceptibility--Reykjavik study.
AB - Aortic stiffness increases with age and vascular risk factor exposure and is
associated with increased risk for structural and functional abnormalities in the
brain. High ambient flow and low impedance are thought to sensitize the cerebral
microcirculation to harmful effects of excessive pressure and flow pulsatility.
However, haemodynamic mechanisms contributing to structural brain lesions and
cognitive impairment in the presence of high aortic stiffness remain unclear. We
hypothesized that disproportionate stiffening of the proximal aorta as compared
with the carotid arteries reduces wave reflection at this important interface and
thereby facilitates transmission of excessive pulsatile energy into the cerebral
microcirculation, leading to microvascular damage and impaired function. To
assess this hypothesis, we evaluated carotid pressure and flow, carotid-femoral
pulse wave velocity, brain magnetic resonance images and cognitive scores in
participants in the community-based Age, Gene/Environment Susceptibility-
Reykjavik study who had no history of stroke, transient ischaemic attack or
dementia (n = 668, 378 females, 69-93 years of age). Aortic characteristic
impedance was assessed in a random subset (n = 422) and the reflection
coefficient at the aorta-carotid interface was computed. Carotid flow pulsatility
index was negatively related to the aorta-carotid reflection coefficient (R =
0.66, P<0.001). Carotid pulse pressure, pulsatility index and carotid-femoral
pulse wave velocity were each associated with increased risk for silent
subcortical infarcts (hazard ratios of 1.62-1.71 per standard deviation,
P<0.002). Carotid-femoral pulse wave velocity was associated with higher white
matter hyperintensity volume (0.108 +/- 0.045 SD/SD, P = 0.018). Pulsatility
index was associated with lower whole brain (-0.127 +/- 0.037 SD/SD, P<0.001),
grey matter (-0.079 +/- 0.038 SD/SD, P = 0.038) and white matter (-0.128 +/-
0.039 SD/SD, P<0.001) volumes. Carotid-femoral pulse wave velocity (-0.095 +/-
0.043 SD/SD, P = 0.028) and carotid pulse pressure (-0.114 +/- 0.045 SD/SD, P =
0.013) were associated with lower memory scores. Pulsatility index was associated
with lower memory scores (-0.165 +/- 0.039 SD/SD, P<0.001), slower processing
speed (-0.118 +/- 0.033 SD/SD, P<0.001) and worse performance on tests assessing
executive function (-0.155 +/- 0.041 SD/SD, P<0.001). When magnetic resonance
imaging measures (grey and white matter volumes, white matter hyperintensity
volumes and prevalent subcortical infarcts) were included in cognitive models,
haemodynamic associations were attenuated or no longer significant, consistent
with the hypothesis that increased aortic stiffness and excessive flow
pulsatility damage the microcirculation, leading to quantifiable tissue damage
and reduced cognitive performance. Marked stiffening of the aorta is associated
with reduced wave reflection at the interface between carotid and aorta,
transmission of excessive flow pulsatility into the brain, microvascular
structural brain damage and lower scores in various cognitive domains.
PMID- 22075524
TI - Perfusion computer tomography: imaging and clinical validation in acute ischaemic
stroke.
AB - Computed tomography perfusion imaging in acute stroke requires further
validation. We aimed to establish the optimal computed tomography perfusion
parameters defining the infarct core and critically hypoperfused tissue. Sub-6-h
computed tomography perfusion and 24-h magnetic resonance imaging were analysed
from 314 consecutive patients with ischaemic stroke. Diffusion-weighted imaging
lesion volume at 24 h was used to define the extent of critically hypoperfused
tissue (in patients without reperfusion between acute and 24-h time points), and
infarct core (in patients with major reperfusion at 24 h). Pixel-based analysis
of co-registered computed tomography perfusion and diffusion-weighted imaging was
then used to define the optimum computed tomography perfusion thresholds for
critically hypoperfused at-risk tissue and infarct core. These optimized acute
computed tomography perfusion threshold-based lesion volumes were then compared
with 24-h diffusion-weighted imaging infarct volume, as well as 24-h and 90-day
clinical outcomes for validation. Relative delay time >2 s was the most accurate
computed tomography perfusion threshold in predicting the extent of critically
hypoperfused tissue with both receiver operating curve analysis (area under curve
0.86), and the volumetric validation (mean difference between computed tomography
perfusion and 24-h diffusion-weighted imaging lesions = 2 cm(2), 95% confidence
interval 0.5-3.2 cm(2)). Cerebral blood flow <40% (of contralateral) within the
relative delay time >2 s perfusion lesion was the most accurate computed
tomography perfusion threshold at defining infarct core with both receiver
operating characteristic analysis (area under curve = 0.85) and the volumetric
validation. Using these thresholds, the extent of computed tomography perfusion
mismatch tissue (the volume of 'at-risk' tissue between the critically
hypoperfused and core thresholds) salvaged from infarction correlated with
clinical improvement at 24 h (R(2) = 0.59, P = 0.04) and 90 days (R(2) = 0.42, P
= 0.02). Patients with larger baseline computed tomography perfusion infarct core
volume (>25 ml) also had poorer recovery at Day 90 (P = 0.039). Computed
tomography perfusion can accurately identify critically hypoperfused tissue that
progresses to infarction without early reperfusion, and the computed tomography
perfusion cerebral blood flow infarct core closely predicts the final volume of
infarcted tissue in patients who do reperfuse. The computed tomography perfusion
infarct core and at-risk measures identified are also strong predictors of
clinical outcome.
PMID- 22075525
TI - Inhibition of A. carbonarius growth and reduction of ochratoxin A by bacteria and
yeast composites of technological importance in culture media and beverages.
AB - Five composites of yeast and six of bacterial isolates from fermented products
were studied, in order to assess their ability to inhibit Aspergillus carbonarius
growth and reduce OTA concentration in culture media and beverages. The
antagonistic effect of the above composites against A. carbonarius growth was
studied in synthetic grape medium of pH 3.5 and a(w) 0.98, 0.95, 0.92 after
incubation at 25 degrees C. Different combinations of initial inocula of bacteria
or yeast composites and fungi were used (10(2)cfu/mL vs 10(5)spores/mL;
10(5)cfu/mL vs 10(2)spores/mL; and 10(5)cfu/mL vs 10(5)spores/mL). Regarding the
OTA reduction experiment, 10(3) and 10(7)cfu/mL of the bacteria and yeast
composites were inoculated in liquid media of different pH (3.0, 4.0, 5.0, and
6.1 or 6.5) and initial OTA concentration (50 and 100MUg/L) and incubated at 30
degrees C. Moreover, grape juice, red wine, and beer were supplemented with
100MUg/L of OTA and inoculated with composites of 16 yeasts (16YM) and 29
bacterial (29BM) strains (10(7)cfu/mL) to estimate the kinetics of OTA reduction
at 25 degrees C for 5days. Fungal inhibition and OTA reduction were calculated in
comparison to control samples. None of the bacterial composites inhibited A.
carbonarius growth. The high inoculum of yeast composites (10(5) cfu/mL) showed
more efficient fungal inhibition compared to cell density of 10(2) cfu/mL. All
yeast composites showed higher OTA reduction (up to 65%) compared to bacteria (2
25%), at all studied assays. The maximum OTA reduction was obtained at pH 3.0 by
almost all yeast composites. For all studied beverages the decrease in OTA
concentration was higher by yeasts (16YM) compared to bacteria (29BM). The
highest OTA reduction was observed in grape juice (ca 32%) followed by wine (ca
22%), and beer (ca 12%). The present findings may assist in the control of A.
carbonarius growth and OTA production in fermented foodstuffs by the use of
proper strains of technological importance.
PMID- 22075526
TI - Competencies for the physician medical geneticist in the 21st century.
PMID- 22075528
TI - Quality of life in adult survivors of pediatric kidney transplantation.
AB - BACKGROUND: There are few studies assessing long-term adult outcome and health
related quality of life (HRQOL) in former pediatric high-risk kidney transplant
(TX) recipients. METHODS: Twenty-one patients were assessed at mean age of 21.1
years. Mean age at first TX was 2.4 years. Brain arterial border zone infarcts
had been documented in 54% of the children. HRQOL was assessed with the general
15-dimensional (15D) instrument generating an index on a 0 and 1 scale (1 for
best). The results were compared with the corresponding childhood 17-dimensional
instrument and an adult control group from the general population. Psychosocial
adjustment was assessed with the ASEBA Adult Self Report (ASR) and compared with
the childhood Child Behavior Checklist assessments. RESULTS: Half of the patients
(52%) had a secondary level general or vocational education. The educational
outcome was evenly distributed (compulsory vs. secondary) regardless of previous
childhood brain ischemia. The ASR Total Problems score was in the normal range
for all patients. Four patients had scores in the pathological range for
Externalizing or Internalizing Problems. There was a correlation between the
childhood Child Behavior Checklist problem scores and the adult ASR scores for
Internalizing and Total Problems but not for Externalizing Problems. Their mean
15D HRQOL index was 0.94 and lower than for the control group (0.97, P=0.04).
There was a strong correlation between the childhood 17-dimensional and the adult
15D HRQOL index (r=0.63, P=0.003). CONCLUSION: The long-term outcome is fair in
former high-risk pediatric TX patients with neurological comorbidity. Childhood
psychosocial adjustment and HRQOL may predict the outcome in adults.
PMID- 22075529
TI - Neuropsychological functioning in patients with alcohol-related liver disease
before and after liver transplantation.
AB - BACKGROUND: Cognitive dysfunction is common in both end-stage liver disease and
chronic alcohol misuse. The impact of orthotopic liver transplantation (OLT) on
neuropsychological function is poorly documented. This prospective study examined
changes in cognitive function pre- and post-OLT in patients with alcohol-related
liver disease (ALD). METHODS: Comprehensive neuropsychological assessment was
conducted with 92 abstinent patients with ALD scheduled for OLT. Forty-two
patients were available for reassessment 12 months post-OLT. RESULTS:
Posttransplantation, cognitive performance on all measures fell within normal
limits. Greatest improvement occurred in visuomotor speed, complex visual
attention processes, and the ability to solve visually presented problems.
Performance on memory assessment tasks also improved posttransplantation.
Applying a more robust assessment of change (Reliable Change Index),
approximately half improved reliably on overall cognitive function. One quarter
improved in memory performance. With the exception of the Full-Scale Intelligence
Quotient scales, discriminant analysis was unable to successfully predict which
patients reliably improved. CONCLUSIONS: Overall improvement in cognitive
function occurs after liver transplantation in ALD. It was not possible to
identify which patient characteristics were associated with reliable change.
PMID- 22075527
TI - Clinical utility of family history for cancer screening and referral in primary
care: a report from the Family Healthware Impact Trial.
AB - PURPOSE: To assess the effectiveness of computerized familial risk assessment and
tailored messages for identifying individuals for targeted cancer prevention
strategies and motivating behavior change. METHODS: We conducted a randomized
clinical trial in primary care patients aged 35-65 years using Family Healthware,
a self-administered, internet-based tool that collects family history for six
common diseases including breast cancer, colon cancer, and ovarian cancer,
stratifies risk into three tiers, and provides tailored prevention messages.
Cancer screening adherence and consultation were measured at baseline and 6-month
follow-up. RESULTS: Of 3283 participants, 34% were at strong or moderate risk of
at least one of the cancers. Family Healthware identified additional participants
for whom earlier screening (colon cancer, 4.4%; breast cancer, women ages: 35-39
years, 9%) or genetic assessment (colon cancer, 2.5%; breast cancer, 10%; and
ovarian cancer, 4%) may be indicated. Fewer than half were already adherent with
risk-based screening. Screening adherence improved for all risk categories with
no difference between intervention and control groups. Consultation with
specialists did not differ between groups. CONCLUSION: Family Healthware
identified patients for intensified cancer prevention. Engagement of clinicians
and patients, integration with clinical decision support, and inclusion of
nonfamilial risk factors may be necessary to achieve the full potential of
computerized risk assessment.
PMID- 22075530
TI - A possible explanation for anemia in patients treated with mycophenolic acid.
AB - BACKGROUND: Clinical studies suggest that the immunosuppressant mycophenolate
mofetil is associated with anemia. However, the mechanism for this is not known.
Here, we studied the effect of mycophenolic acid (MPA), the active metabolite of
mycophenolate mofetil, on erythropoiesis in vitro. METHODS: Both UT-7 cells and
primary murine bone marrow cells were studied. Cells were initially treated with
erythropoietin and MPA and proliferation and caspase-3 assays were performed. The
effect of guanosine-5'-triphosphate, guanosine, and caspase inhibitors was also
investigated. RESULTS: MPA was found to decrease the proliferation of UT-7 cells
and erythropoiesis in murine bone marrow cells. This inhibition was associated
with an increase in caspase-3 activity in the UT-7 cells. Inhibition was reversed
in UT-7 cells and in murine bone marrow by guanosine, but not by caspase
inhibitors. The apoptosis induced by MPA was also reversed by guanosine. UT-7
cells treated with MPA showed a decreased inosine-5'-monophosphate dehydrogenase
activity. CONCLUSION: These results suggest that MPA inhibits inosine-5'
monophosphate dehydrogenase activity in erythroid cells and that this is a likely
mechanism of action of anemia in MPA-treated patients.
PMID- 22075531
TI - Amelioratory effects of testosterone propionate supplement on behavioral,
biochemical and morphological parameters in aged rats.
AB - Testosterone has been shown to affect motor behavior and nigrostriatal
dopaminergic (NSDA) system in young and adult male rats. However, it is not known
whether exogenous testosterone intervention to aged male rats can ameliorate age
related motor impairment. Thus, in the present study, the open field motor
behavior and adhesive tape removal motor performance as well as the expression of
tyrosine hydroxylase (TH) and dopamine transporter (DAT) of NSDA system were
examined in aged male rats following chronic subcutaneous injections of
testosterone propionate (TP). Aged rats showed significantly reduced open field
motor behavior and adhesive tape removal motor performance compared to adult
rats. Chronic TP supplement significantly ameliorated the age-related motor
deficits. The expression of TH and DAT of NSDA system was significantly enhanced
in TP-treated aged rats revealed by RT-PCR, Western blot and immunohistochemistry
analysis respectively. The results imply that chronic TP treatment may favorably
improve the declined motor behavior and motor performance with aging.
Testosterone propionate supplement that facilitated NSDA system may influence the
maintenance of motor behavior and performance in aged rats.
PMID- 22075532
TI - Effect of fermented Panax ginseng extract (GINST) on oxidative stress and
antioxidant activities in major organs of aged rats.
AB - The intracellular levels of oxidant and antioxidant balances are gradually
distorted during the aging process. An age associated elevation of oxidative
stress occurring throughout the lifetime is hypothesized to be the major cause of
aging. The present study was undertaken to evaluate the putative antioxidant
activity of the fermented Panax ginseng extract (GINST) on lipid peroxidation and
antioxidant status of major organs of aged rats compared to young rats. Increased
levels of aspartate aminotransferase (AST), alanine aminotransferase (ALT), urea
and creatinine were observed in the serum of aged rats. Increased levels of
malondialdehyde (MDA) and significantly lowered activities of superoxide
dismutase (SOD), catalase (CAT), glutathione peroxidase (GPx), glutathione
reductase (GR) and glutathione-S-transferase (GST) were observed in the liver,
kidneys, heart and lungs of aged rats, when compared with those in young rats.
Quantitative analysis of the non-enzymatic antioxidants such as reduced
glutathione (GSH), ascorbic acid and alpha-tocopherol levels showed significantly
lower values in the liver, kidneys, heart and lungs of aged rats. On the other
hand, administration of the fermented Panax ginseng extract (GINST) to aged rats
resulted in increased activities of SOD, CAT, GPx, GR and GST as well as
elevation in GSH, ascorbic acid and alpha-tocopherol levels. Besides, the level
of MDA, AST, ALT, urea and creatinine were reduced on administration of GINST to
aged rats. These results suggested that treatment of GINST can improve the
antioxidant status during aging, thereby minimizing the oxidative stress and
occurrence of age-related disorders associated with free radicals.
PMID- 22075533
TI - Behavioral effects of estradiol therapy in ovariectomized rats depend on the age
when the treatment is initiated.
AB - Clinical studies suggest that aging may affect the neural outcome of estrogen
therapy in postmenopausal women. In this study we have assessed whether age
influences the behavioral outcome of estradiol therapy in rats. Animals were
ovariectomized at 2 or 20 months of age. Immediately after ovariectomy animals
were treated for 10 weeks with estradiol valerate or vehicle. Estradiol therapy
decreased body weight in both young and older rats compared to animals injected
with vehicle. In contrast, estradiol treatment improved object recognition memory
and decreased anxiety-like behavior in the circular open field of older but not
young rats and decreased depressive-like behavior of young but not older animals.
Thus, our findings indicate that age affects the outcome of estradiol therapy in
the brain.
PMID- 22075534
TI - Superparamagnetic Fe3O4 nanoparticles-carbon nitride nanotube hybrids for highly
efficient peroxidase mimetic catalysts.
AB - We report a facile route to synthesize size tunable Fe(3)O(4) nanoparticles (NPs)
carbon nitride nanotube (CNNT) hybrids. These hybrids showing the water-soluble
property are proven to exhibit ultra high peroxidase mimetic activity compared to
those of pure NPs, where a colorless peroxidase substrate 3,3,5,5
tetramethylbenzidine changes by H(2)O(2) to its blue colored oxidized state.
PMID- 22075535
TI - Lung cancer and indoor radon exposure in the north of Portugal--an ecological
study.
AB - BACKGROUND: Indoor radon exposure is a well documented environmental factor as a
leading cause of lung cancer. OBJECTIVES: The aim of this study was to assess the
risk of lung cancer and estimate the number of deaths due to indoor radon
exposure in the north of Portugal, between 1995 and 2004. METHODS: The sixth
Biological Effects of Ionizing Radiation Committee (BEIR VI) preferred models
were applied to estimate the risk of developing lung cancer induced by indoor
radon exposure, by age and level of exposure, and calculated the number of lung
cancer deaths attributable to this exposure. Lung cancer mortality data were
granted by the North Regional Health Administration and indoor radon
concentrations resulted from a national survey conducted by the Portuguese
Environmental Agency. The smoking habit was accounted with two methods. A
submultiplicative interaction between smoking and indoor radon exposure was
considered. RESULTS: Depending on the model applied and the method used to
account for the smoking habit, the estimated number of lung cancer deaths
attributed to indoor radon exposure, in northern Portugal, ranges from 1565 to
2406, for the period between 1995 and 2004. This indicates that of the 8514 lung
cancer deaths observed, from 18 to 28% could be associated with indoor radon
exposure. CONCLUSIONS: This was the first study realized in Portugal on the
impact of indoor radon exposure in lung cancer mortality. The application of the
BEIR VI models led to a high number of lung cancer deaths due to indoor radon
exposure.
PMID- 22075536
TI - Evaluating the long-term effect of FOBT in colorectal cancer screening.
AB - BACKGROUND: Cancer screening has been effective in detecting tumors early before
symptoms appear. However, the effectiveness of the regular fecal occult blood
test (FOBT) in colorectal cancer in the long term has not been quantified.
METHODS: We applied the statistical method developed by Wu and Rosner using data
from the Minnesota Colon Cancer Control Study (MCCCS). All initially asymptomatic
participants were classified into four mutually exclusive groups: true-early
detection, no-early-detection, over-diagnosis, and symptom-free life; human
lifetime was treated as a random variable and is subject to competing risks. All
participants in the screening program will eventually fall into one of the four
outcomes above. Predictive inferences on the percentages of the four outcomes for
both genders were made using the Minnesota study data. RESULTS: Depending on
gender, screening frequency and age at the initial screening, for all
participants the probability of "symptom-free-life" varies between 95.3% and
96.6%; the probability of "true-early-detection" is 1.9-3.8%; the probability of
no-early-detection is 0.3-2.0%; the probability of over-diagnosis is 0.16-0.3%.
Among those with colorectal cancer detected by regular FOBT, the probability of
over-diagnosis is lower than expected and is between 6% and 9%, with 95% CI
(2.5%, 21.3%) for females and (1.9%, 44.7%) for males. The probability of true
early-detection increases as screening interval decreases. The probability of no
early-detection decreases as screening interval decreases. CONCLUSION: The
probability of over-diagnosis among the screen-detected cases is not as high as
previously thought. We hope this outcome can provide valuable information on the
effectiveness of the FOBT in colorectal cancer detection in the long term.
PMID- 22075537
TI - Woman with persistent cough.
PMID- 22075538
TI - Patients with CD36 deficiency are associated with enhanced atherosclerotic
cardiovascular diseases.
AB - AIM: The clustering of dyslipidemia, impaired glucose tolerance and hypertension
increases the morbidity and mortality from cardiovascular events. A class B
scavenger receptor, CD36, is a receptor for oxidized LDL and a transporter of
long-chain fatty acids. Because of the impaired uptake of oxidized LDL in CD36
deficient macrophages and from the results of CD36 knockout mice, CD36 deficiency
(CD36-D) was supposed to be associated with reduced risks for coronary artery
disease (CAD); however, CD36-D patients are often accompanied by a clustering of
coronary risk factors. The current study aimed to investigate the morbidity and
severity of cardiovascular diseases in CD36-D patients. METHODS: By screening for
CD36 antigen on platelets and monocytes using FACS or the absent myocardial
accumulation of 123I-BMIPP by scintigraphy, 40 patients with type I CD36-D were
collected, the morbidity of CAD and their features of atherosclerotic
cardiovascular diseases were observed. Screening for CD36-D in both CAD patients
(n = 319) and healthy subjects (n = 1,239) were underwent. RESULTS: The morbidity
of CAD was significantly higher in CD36-D patients than in the general
population; 50% of patients (20 out of 40) had CAD identified by BMIPP
scintigraphy and 37.5% (3 out of 8) by FACS screening, respectively. Three
representative CD36-D cases demonstrated severe CAD and atherosclerosis. The
frequency of CD36-D was three times higher in CAD patients than in healthy
subjects (0.9% vs 0.3%, p < 0.0001). CONCLUSION: The morbidity of CAD is
significantly higher in CD36-D patients suffering from severe atherosclerosis,
implying that the status of CD36-D might be atherogenic.
PMID- 22075539
TI - No association of diabetic duration or insulin use with the prognosis of critical
limb ischemia after endovascular therapy.
AB - AIM: To examine whether diabetic duration and insulin use are independently
associated with the prognosis of critical limb ischemia (CLI) after endovascular
therapy. METHODS: We recruited 312 Japanese patients who underwent endovascular
therapy for CLI. The outcome measures were major amputation and mortality. Cox
proportional hazards regression analyses were performed. RESULTS: The prevalence
of diabetes mellitus (DM) was 69%, and 47% of the DM population were treated with
insulin. DM patients with insulin use had hemoglobin A1c (HbA1c) of 7.5 +/- 1.3%
and diabetic duration of 21 +/- 11 years, whereas those without insulin use had
HbA1c of 6.6 +/- 1.4% and diabetic duration of 19 +/- 11 years. Follow-up period
was 93 +/- 72 weeks; 55 patients underwent major amputations and 102 died.
Diabetic duration and insulin use had significant associations with major
amputation in each univariate model, with an unadjusted hazard ratio (HR) and 95%
confidence interval (CI) of 1.019 [1.000, 1.039] in one-year increments and 2.321
[1.368, 3.938], respectively. In the multivariate model, however, diabetic
duration and insulin use were not significantly associated with limb prognosis,
as HbA1c level was, with an adjusted HR [95% CI] of 1.332 [1.114, 1.593] in 1%
increment. Mortality had no statistical association with any of these DM-related
variables. CONCLUSION: Diabetic duration and insulin use were not independent
risk factors for the prognosis of CLI after endovascular therapy.
PMID- 22075540
TI - Exposure to particulate matter and long-term risk of cardiovascular mortality in
Japan: NIPPON DATA80.
AB - AIM: It remains uncertain whether chronic exposure to particulate air pollution
is associated with increased mortality in Japan because Japan has a different
distribution pattern of cardiovascular disease and its risk factors compared to
Western countries. We investigated the association between long-term exposure to
particulate matter (PM) and cardiovascular mortality risk using a representative
Japanese cohort. METHODS: A total of 7,250 participants aged 30 years and older
from 232 districts throughout Japan were followed from 1980 to 2004. We linked
the averaged annual concentrations of PM from 1985 to 2004 to each cohort
participant who resided in the district at the time of the baseline survey. Study
participants were divided into quintiles of average PM concentration. We applied
the Cox proportional hazard model adjusting for sex, age, body mass index, blood
pressure, total cholesterol, blood glucose, smoking categories, drinking
categories, and the municipality population size. RESULTS: During follow-up,
there were 1,716 deaths from all causes; 571 from cardiovascular disease, 116
from coronary heart disease, and 250 from stroke. Hazard ratios were not
different among the quintiles and those for trend per 10 ug/m3 increase in annual
PM concentration were 0.98 (95% confidence interval, 0.92-1.04) for all-cause
mortality and 0.90 (95% confidence interval, 0.81-1.00) for cardiovascular
mortality. CONCLUSION: Long-term exposure to PM was not associated with increased
cardiovascular mortality risk in this population-based cohort in Japan.
PMID- 22075541
TI - Proton pump inhibitors versus histamine-2-receptor antagonists for the management
of iatrogenic gastric ulcer after endoscopic mucosal resection or endoscopic
submucosal dissection: a meta-analysis of randomized trials.
AB - BACKGROUND/AIMS: Both proton pump inhibitor (PPI) and histamine-2-receptor
antagonist (H(2)RA) are considered to be effective for the treatment of
iatrogenic gastric ulcer after endoscopic mucosal resection (EMR) or endoscopic
submucosal dissection (ESD). We aimed to systematically evaluate the evidence
comparing PPI and H(2)RA for iatrogenic ulcer. METHODS: Data from PubMed,
Cochrane Library and Google Scholar were searched to identify eligible randomized
trials. Outcome measures were delayed bleeding, epigastric pain and ulcer
healing. RESULTS: Six full-text studies were identified including a total of 522
patients. Pooled data suggested a significantly lower bleeding rate in the PPI
group than in the H(2)RA group (odds ratio (OR) 0.49, 95% confidence interval
(CI) 0.25-0.95). Subgroup analysis showed PPI was more effective in the
prevention of bleeding than H(2)RA for ESD-induced ulcer (OR 0.41, 95% CI 0.20
0.85) and 8-week duration of medication (OR 0.36, 95% CI 0.17-0.76). There were
no differences in the incidence of epigastric pain (OR 0.90, 95% CI 0.53-1.51)
and ulcer healing rate after endoscopic therapies between both groups.
CONCLUSION: This meta-analysis shows PPI is superior to H(2)RA for the prevention
of delayed bleeding without different effectiveness in the reduction of
epigastric pain and in the promotion of ulcer healing after EMR or ESD.
PMID- 22075542
TI - Retinoic acid induces two osteocalcin isoforms and inhibits markers of osteoclast
activity in Atlantic cod (Gadus morhua) ex vivo cultured craniofacial tissues.
AB - Nutritional status including vitamin A could explain some of the developmental
deformities observed in cultivated teleosts, including Atlantic cod (Gadus
morhua). In the present study we aimed to investigate the transcriptional effect
of retinoic acid (RA) on bone related genes using Atlantic cod craniofacial
explants tissue cultures. Two different osteoblast specific osteocalcin/bone gla
protein isoforms were discovered in cod. Transcription of both isoforms was up
regulated following RA treatment of 65 dph cod lower jaw explants. In contrast,
transcripts coding for genes related to bone resorption and osteoclast activity,
matrix metalloproteinase 9 and cathepsin K were down-regulated following RA
treatment. This could be linked to the decreased transcriptional ratio between
receptor activator of nuclear factor kappa-B ligand rankl and osteoprotegerin
observed in the same tissue samples. RA treatment of juvenile explants had no
effect on runt-related transcription factor 2 and osterix mRNA levels. However,
osterix was significantly down-regulated in 25 dph cod head explants following RA
treatment. In situ hybridizations revealed differential spatial distribution of
the two isoforms and the predominant expression of cathepsin K in bone
surrounding tissues. The present study indicates that RA causes a shift in the
balance between osteoclast activity and osteoblast activity in favor of the
latter.
PMID- 22075543
TI - Clinical factors associated with malignancy and HIV status in patients with
ocular surface squamous neoplasia at Kilimanjaro Christian Medical Centre,
Tanzania.
AB - AIMS: To describe the clinical characteristics of ocular surface squamous
neoplasia (OSSN) in a sub-Saharan referral hospital setting according to
histopathological diagnosis and HIV status. METHODS: All patients were enrolled
who presented consecutively to the Kilimanjaro Christian Medical College eye
department with lesions suspected to be OSSN from September 2005 to May 2007 and
from February 2008 to September 2008. Clinical characteristics were documented on
a standardised form, excision biopsies were performed and histopathological
diagnosis was obtained on all cases. Data were analysed to look for associations
among various factors. RESULTS: 150 patients were enrolled. Histopathological
study showed OSSN in 88% of cases. Of these, 128 (85.6%) were under the age of 50
years and 60% were HIV positive. The median CD4 cell count was 71 cells/MUl among
HIV-positive cases. Independent of size, the lesions of patients who were HIV
positive were more likely to be higher grade malignancy than those who were HIV
negative. CONCLUSION: In a sub-Saharan setting, OSSN occurs in persons who are
younger than in industrialised countries and is often associated with HIV
positivity. CD4 cell counts indicate that a majority of HIV-positive patients
with OSSN are significantly immunosuppressed at presentation. Higher grade
malignancy in this group could indicate a more aggressive course.
PMID- 22075545
TI - Atrial fibrillation (chronic).
AB - INTRODUCTION: Atrial fibrillation is a supraventricular tachyarrhythmia
characterised by the presence of fast and uncoordinated atrial activation leading
to reduced atrial mechanical function. Risk factors for atrial fibrillation
include increasing age, male sex, co-existing cardiac and thyroid disease,
pyrexial illness, electrolyte imbalance, cancer, and co-existing infection.
METHODS AND OUTCOMES: We conducted a systematic review and aimed to answer the
following clinical questions: What are the effects of oral medical treatments to
control heart rate in people with chronic (defined as longer than 1 week for this
review) non-valvular atrial fibrillation? What is the effect of different
treatment strategies (rate versus rhythm) for people with persistent non-valvular
atrial fibrillation? We searched: Medline, Embase, The Cochrane Library, and
other important databases up to June 2011 (Clinical Evidence reviews are updated
periodically; please check our website for the most up-to-date version of this
review). We included harms alerts from relevant organisations such as the US Food
and Drug Administration (FDA) and the UK Medicines and Healthcare products
Regulatory Agency (MHRA). RESULTS: We found 23 systematic reviews, RCTs, or
observational studies that met our inclusion criteria. We performed a GRADE
evaluation of the quality of evidence for interventions. CONCLUSIONS: In this
systematic review we present information relating to the effectiveness and safety
of the following interventions: beta-blockers (with or without digoxin), calcium
channel blockers (with or without digoxin), calcium channel blockers (rate
limiting), digoxin, and rate versus rhythm control strategies.
PMID- 22075544
TI - Kidney stones.
AB - INTRODUCTION: The age of peak incidence for stone disease is 20 to 40 years,
although stones are seen in all age groups. There is a male to female ratio of
3:2. METHODS AND OUTCOMES: We conducted a systematic review and aimed to answer
the following clinical questions: What are the effects of interventions for stone
removal in people with asymptomatic kidney stones? What are the effects of
interventions for the removal of symptomatic renal stones? What are the effects
of interventions to remove symptomatic ureteric stones? What are the effects of
interventions for the management of acute renal colic? We searched: Medline,
Embase, The Cochrane Library, and other important databases up to June 2011
(Clinical Evidence reviews are updated periodically; please check our website for
the most up-to-date version of this review). We included harms alerts from
relevant organisations such as the US Food and Drug Administration (FDA) and the
UK Medicines and Healthcare products Regulatory Agency (MHRA). RESULTS: We found
21 systematic reviews, RCTs, or observational studies that met our inclusion
criteria. We performed a GRADE evaluation of the quality of evidence for
interventions. CONCLUSIONS: In this systematic review, we present information
relating to the effectiveness and safety of the following interventions:
antispasmodic drugs, extracorporeal shockwave lithotripsy, intravenous fluids,
non-steroidal anti-inflammatory drugs (NSAIDs), opioids, oral fluids,
percutaneous nephrolithotomy, and ureteroscopy.
PMID- 22075546
TI - Silylation products of cyclic tri-aminal carbanions and their lithiation.
AB - The structure of 1,3,5-trimethyl-1,3,5-triaza-cyclohexane (TMTAC) was determined
by single crystal X-ray diffraction and compared with earlier gas-phase data. It
shows a preference for an aee-conformation in all phases. Lithiated TMTAC,
[(RLi)(2).(RH)] (1) (R = 2,4,6-trimethyl-2,4,6-triaza-cyclohex-1-yl), was reacted
with Et(3)SiCl, Ph(3)SiCl and PhMe(2)SiCl to afford the substituted silanes
Et(3)SiR (1), Ph(3)SiR (2) and PhMe(2)SiR (3) in moderate yields. They were
characterised by NMR spectroscopy ((1)H, (13)C, (29)Si). 1 reacts with
Me(2)SiCl(2) and Ph(2)SiCl(2) to give Me(2)SiR(2) (5) and Ph(2)SiR(2) (6) which
were characterised by NMR spectroscopy. 5 was also identified by crystal
structure determination. Analogous triple substitution could not be observed by
employing trichlorosilanes. Quantumchemical calculations explain this by sterical
overcrowding of the silicon atom. The reaction of 1 with SiCl(4) did not yield
fourfold substitution but a formal insertion product of SiCl(2) into a C-N bond
of the TMTAC ring (2,4,6-trimethyl-2,4,6-triaza-1,1-dichloro-1-sila-cycloheptane,
7) in very small quantities. It was identified by X-ray crystallography and shows
an intramolecular Si...N dative bond. The reactions of (3) and (5) with n-butyl
lithium afforded lithiation of the silicon bound methyl groups in both cases. The
products, 8 and 9, were characterised by NMR spectroscopy ((1)H, (13)C, (29)Si),
8 was also characterised by X-ray crystallography.
PMID- 22075547
TI - A proteomic analysis of differential cellular responses to the short-chain fatty
acids butyrate, valerate and propionate in colon epithelial cancer cells.
AB - The short chain fatty acids (SCFAs) are inhibitors of histone deacetylases
(HDACi); they are produced naturally in the colon by fermentation. They affect
cellular processes at a molecular and transcriptional level, the mechanisms of
which may involve large numbers of proteins and integrated pathways. Butyrate is
the most biologically potent of the SCFAs in colon epithelial cells, inhibiting
human colon carcinoma cell proliferation and inducing apoptosis in vitro. In
order to investigate the hypothesis that propionate and valerate possess unique
and independent actions from butyrate, we combined proteomic and cellomic
approaches for large-scale comparative analysis. Proteomic evaluation was
undertaken using an iTRAQ tandem mass-spectrometry workflow and high-throughput
High-content Analysis microscopy (HCA) was applied to generate cellomic
information on the cell cycle and the cytoskeletal structure. Our results show
that these SCFAs possess specific effects. Butyrate was shown to have more
pronounced effects on the keratins and intermediate filaments (IFs); while
valerate altered the beta-tubulin isotypes' expression and the microtubules
(MTs); propionate was involved in both mechanisms, displaying intermediate
effects. These data suggest distinct physiological roles for SCFAs in colon
epithelial function, offering new possibilities for cancer therapeutics.
PMID- 22075548
TI - The probability distribution of ranked gene trees on a species tree.
AB - The properties of random gene tree topologies have recently been studied under a
coalescent model that treats a species tree as a fixed parameter. Here we develop
the analogous theory for random ranked gene tree topologies, in which both the
topology and the sequence of coalescences for a random gene tree are considered.
We derive the probability distribution of ranked gene tree topologies conditional
on a fixed species tree. We then show that similar to the unranked case, ranked
gene trees that do not match either the ranking or the topology of the species
tree can have greater probability than the matching ranked gene tree.
PMID- 22075549
TI - Population pharmacokinetics and pharmacogenetics of tacrolimus in healthy Chinese
volunteers.
AB - AIM: The aim of this study was to establish population pharmacokinetic models of
tacrolimus in healthy Chinese volunteers. METHODS: A total of 956 tacrolimus
whole blood concentrations from 73 healthy volunteers were determined using
ultraperformance liquid chromatography mass spectrometry/mass spectrometry.
Population pharmacokinetic analyses were performed using NONMEM. The final
population pharmacokinetic models were validated with bootstrap and visual
predictive check. A number of covariates were analyzed, including CYP3A5 and
ABCB1 polymorphism, demographic characteristics and hematological and biological
indices. RESULTS: The structural model was a two-compartment model with first
order absorption, and a lag time was fitted to the data. The typical population
values of tacrolimus for the pharmacokinetic parameters of apparent clearance
(CL/F), apparent distribution volume of the central compartment (V(2)/F),
intercompartmental clearance (Q/F), apparent distribution volume of the
peripheral compartment (V(3)/F), absorption rate (ka) and lag time (ALAG) were
27.7 l/h, 37.5 liters, 34.4 l/h, 357 liters, 0.795 h(-1) and 0.226 h,
respectively. The interindividual variabilities of these parameters were 63.3,
62.0, 50.8, 52.3, 32.9 and 4.45%, respectively, and the intraindividual
variability of observed concentrations was 14.9%. The covariates that were
retained in the final models were CYP3A5 genotype on CL/F, and body surface area
and red blood count on V(3)/F. CONCLUSION: Population pharmacokinetic models of
tacrolimus were developed in healthy volunteers. These results could provide a
reference for individualized tacrolimus therapy in the clinical setting.
PMID- 22075550
TI - The health sector reforms and the efficiency of public hospitals in Turkey:
provincial markets.
AB - BACKGROUND: Turkey initiated the 'Health Transformation Programme' (HTP) in 2003
to align its health care system with the European Union and OECD countries. This
study investigates the impact of these reforms on the efficiency of public
hospitals. Our study would contribute to the existing literature with a
comprehensive analysis of the health system in a developing country. METHODS: We
employ the data envelopment approach and the Malmquist index to comparatively
examine before and after the reform years. Our analyses compare the performances
of public hospitals served in provincial markets. Inputs of number of beds,
number of primary care physician, and number of specialists, and how they are
used to produce outputs of inpatient discharges, outpatient visits and surgical
operations are investigated. Indeed, as the performance indicators dead rate,
hospital bed occupation rate and average length of stay are considered. RESULTS:
The HTP was generally successful in boosting productivity due to advancements in
technology and technical efficiency but in the socio-economically disadvantaged
provinces productivity gains have not been achieved. The average technical
efficiency gains took place because of the significantly improved scale
efficiencies, as the average pure technical efficiency slightly improved. Lastly,
the hospital performance indicators have not improved in the short run.
CONCLUSION: It appears that the expected benefits from the health reforms in
Turkey have been partially achieved in the short run.
PMID- 22075551
TI - Zoledronic acid modulates maturation of human monocyte-derived dendritic cells.
AB - Zoledronic acid (ZA) is a drug of the bisphosphonate class, which is widely used
for the treatment of both osteoporosis and skeletal metastasis. Besides its main
bone antiresorptive activity, ZA displays antitumor properties, by triggering the
expansion and activation of gammadelta T-cells, which exert an antitumor effect
through dendritic cells (DCs). Several studies have reported the interaction
between ZA and gammadelta T-cells, but the potential immunoregulatory activity of
this drug on DCs has scarcely been investigated. Therefore, in this paper, we
evaluated the effects of a therapeutic dose of ZA on the in vitro generation and
maturation of DCs derived from peripheral blood monocytes of healthy adult
donors. We demonstrate that ZA treatment did not affect DC differentiation, but
inhibited DC maturation on lipopolysaccharide activation, as shown by the
impaired expression of maturation surface markers and reduced ability to induce
allogeneic T-cell proliferation. Interestingly, IL-10 secretion by mature DCs was
significantly lower in ZA-treated cells than in controls. We conclude that ZA
exerts its immunological in vitro activity also by modulating the maturation of
DCs.
PMID- 22075552
TI - Diet-induced obesity suppresses sevoflurane preconditioning against myocardial
ischemia-reperfusion injury: role of AMP-activated protein kinase pathway.
AB - Obesity is a major risk factor for coronary artery disease, but its impact on
anesthetic-induced cardioprotective actions is unexplored. We tested whether
obesity inhibits anesthetic sevoflurane-induced preconditioning and whether this
effect is mediated via the AMP-activated protein kinase (AMPK) signaling pathway.
Sprague-Dawley rats were fed a high-fat (HF, 45% kcal as fat) or low-fat (LF, 10%
kcal as fat) diet for 12 weeks. HF-fed rats developed metabolic disturbances
including visceral obesity, hyperinsulinemia, hyperleptinemia and dyslipidemia.
HF- or LF-fed rats subjected to 25 min of myocardial ischemia followed by 120 min
of reperfusion were assigned to the following groups: control, sevoflurane
preconditioning, sevoflurane plus AMPK inhibitor ara-A or AMPK activator A769662
alone. Infarct size was similar between the two control groups. Sevoflurane
preconditioning significantly reduced infarct size in LF-fed rats but failed to
induce cardioprotection in HF-fed rats. Phosphorylation of AMPK and endothelial
nitric oxide synthase, as well as myocardial nitrite and nitrate, were also
increased by sevoflurane preconditioning in LF-fed rats but not in HF-fed rats.
Pretreatment with ara-A inhibited phosphorylation of AMPK and reversed
sevoflurane preconditioning-induced cardioprotection in LF-fed rats, whereas it
had no effects in HF-fed rats. In addition, sevoflurane preconditioning failed to
enhance reactive oxygen species (ROS) generation in the myocardium of HF-fed rats
compared with LF-fed rats. Direct activation of AMPK with A769662 equally
increased phosphorylation of AMPK and reduced infarct size in both LF- and HF-fed
rats. The results suggest that diet-induced obesity suppresses sevoflurane
preconditioning-induced cardioprotective action, probably due to a diminished
effect of sevoflurane preconditioning on activation of the ROS-mediated AMPK
signaling pathway.
PMID- 22075553
TI - Implantation of biomaterial as an efficient method to harvest mesenchymal stem
cells.
AB - Autologous mesenchymal stem cell (MSC) transplants have been used successfully to
treat a number of diseases, and patients undergoing cell transplantation must
have stem cells collected before transplantation. In this study, we developed a
new method to harvest MSCs. Biomaterials were implanted into the spatium
intermuscular of mice hind limbs, and a large number of migrating cells (MCs)
were isolated from the transplanted biomaterials. The adherent cells in MCs
showed the characteristics of MSCs. Further comparative study demonstrated that
the characteristics of MC-MSCs were similar to that of bone marrow (BM)-MSCs,
including morphology, phenotype, proliferation potential, multilineage
differentiation capacity and hematopoiesis-supportive function. The colony
forming unit-fibroblast frequency of the MCs was equivalent to approximately 20
fold of that of the BM. In addition, a BM transplantation experiment demonstrated
that MC-MSCs were derived from the peripheral blood. In conclusion, we
successfully establish an efficient method to harvest MSCs, and together with the
distinct advantages of this method, such as accessibility and possibility for
autologous cell therapy, we conclude that our efficient method may be a promising
alternative for clinical application.
PMID- 22075554
TI - Hsp40 regulates the amount of keratin proteins via ubiquitin-proteasome pathway
in cultured human cells.
AB - Keratins represent important structural components of intermediate filament
proteins. Their expression profiles are remarkably tissue-specific. Recent data
have shown that keratins associate with many proteins including heat shock
proteins (HSP). We recently identified cell-specific keratin and HSP expression.
We aimed to gain further insight into the regulation of keratins by specific
inhibition through knockdown of Hsp40 in human keratinocyte cells. Keratin-HSP
interaction in HaCaT cell lysate was evaluated by immunoprecipitation followed by
Western blotting. Immunofluorescence, was used to examine the co-localization of
keratins and Hsp40. Hsp40 depletion led to an increase in the levels of keratin
proteins (K5, K14, K10) and a decrease in keratin ubiquitination without
influencing keratin gene expression. Our results demonstrate direct or indirectly
association of Hsp40 and imply that expressed keratin proteins were regulated by
Hsp40 depending on the ubiquitin-proteasome pathway in HaCaT. Furthermore, the
K10 differentiation marker was increased by knockdown of Hsp40. The results
presented in this study indicate that Hsp40 is related to the differentiation
exchange of keratin pairs.
PMID- 22075555
TI - Genetically modified T cells targeting interleukin-11 receptor alpha-chain kill
human osteosarcoma cells and induce the regression of established osteosarcoma
lung metastases.
AB - The treatment of osteosarcoma pulmonary metastases remains a challenge. T cells
genetically modified to express a chimeric antigen receptor (CAR), which
recognizes a tumor-associated antigen, have shown activity against hematopoietic
malignancies in clinical trials, but this requires the identification of a
specific receptor on the tumor cell. In the current study, we found that
interleukin (IL)-11Ralpha was selectively expressed on 14 of 16 osteosarcoma
patients' lung metastases and four different human osteosarcoma cell lines,
indicating that IL-11Ralpha may be a novel target for CAR-specific T-cell
therapy. IL-11Ralpha expression was absent or low in normal organ tissues, with
the exception of the gastrointestinal tract. IL-11Ralpha-CAR-specific T cells
were obtained by non-viral gene transfer of Sleeping Beauty DNA plasmids and
selectively expanded ex vivo using artificial antigen-presenting cells derived
from IL-11Ralpha + K562 cells genetically modified to coexpress T-cell
costimulatory molecules. IL-11Ralpha-CAR(+) T cells killed all four osteosarcoma
cell lines in vitro; cytotoxicity correlated with the level of IL-11Ralpha
expression on the tumor cells. Intravenous injection of IL-11Ralpha-CAR(+) T
cells into mice resulted in the regression of osteosarcoma pulmonary metastases
with no organ toxicity. Together, the data suggest that IL-11Ralpha-CAR T cells
may represent a new therapy for patients with osteosarcoma pulmonary metastases.
PMID- 22075556
TI - AKT inhibition by triciribine alone or as combination therapy for growth control
of gastroenteropancreatic neuroendocrine tumors.
AB - Up-regulation of phosphatidylinositol-3-kinase (PI3K)-AKT signaling facilitates
tumor cell growth and inhibits cell demise. The AKT-pathway also plays an
important role in cytostatic therapy resistance and response to hypoxia and
angiogenesis. Using real-time cell proliferation assay we examined the potency of
triciribine in three distinct neuroendocrine gastrointestinal tumor cell lines.
Also we investigated triciribine's induction of apoptosis and effects on a broad
range of cancer-associated gene products. Furthermore, we characterized the role
of PTEN as a possible predictor of sensitivity to triciribine in GEP-NETs. We
also looked for additive anti-neoplastic effects of triciribine when combined
with conventional cytostatic drugs or other targeted drugs, affecting different
molecules of the PI3K-AKT-pathway and we assessed the potency of triciribine to
inhibit tumor growth in vivo, by using the chick chorioallantoic membrane assay.
Treatment of insulinoma (CM) or gut neuroendocrine tumor cells (STC-1) with
triciribine significantly reduced tumor cell growth by 59% and 65%, respectively.
By contrast, the highly expressing PTEN carcinoid cell line BON did not respond,
even at higher doses. Combinations of triciribine with classic cytostatic drugs
as well as drugs targeting other molecules of the PI3K-AKT-pathway led to
synergistic anti-proliferative effects. Additional in vivo-evaluations confirmed
the anti-neoplastic potency of triciribine. Thus, our data show that inhibition
the AKT-pathway potently reduces the growth of GEP-NET cells alone or in
combination therapies. AKT inhibition may provide a rationale for future
evaluations.
PMID- 22075557
TI - Role of hypoxia-inducible-1alpha in hepatocellular carcinoma cells using a Tet-on
inducible system to regulate its expression in vitro.
AB - Hypoxia-inducible-1alpha (HIF-1alpha) expression was intimately correlated with
apoptosis and proliferation of cancer cells. However, conclusions of different
studies on the effects of HIF-1alpha expression on cell apoptosis and cell
proliferation of hepatoma cells remain controversial. In view of the current
status, we reassess its roles and possible mechanism in hepatoma cells. In order
to acquire more convincing and reliable results, we used a Tet-on system to
stably and effectively regulate HIF-1alpha expression in the HepG2 cells in
vitro. In our study we not only confirmed some common conclusions of previous
studies, but also acquired some different and significant results that HIF-1alpha
facilitates cell proliferation and cell cycle through influencing the expression
of cyclin A and cyclin D, and suppresses cell apoptosis through inducing the
expression of survivin and Bcl-2. These results further enrich our knowledge on
the role of HIF-1alpha expression on cell apoptosis and cell proliferation of
hepatoma cells.
PMID- 22075558
TI - Seasonal changes in immunoreactivity of activin signaling component proteins in
wild ground squirrel testes.
AB - The seasonal spermatogenesis and localization of inhibin/activin subunits (alpha,
betaA, betaB) in the testes of wild ground squirrel has been previously
described; however, the expression pattern of activin receptors and cytoplasmic
signaling SMADs has not been detected in any seasonal breeders. The objective of
this study was to investigate the abundance and cellular localization of activin
signaling components in testes of the wild ground squirrel during the breeding
and nonbreeding seasons. The immunolocalizations of ActRIIB (activin type II
receptor B) and activin-related SMADs (phospho-SMAD2/3, SMAD4 and SMAD7) were
observed by immunohistochemistry. Total proteins were extracted from testicular
tissues in the breeding and nonbreeding seasons and were used for Western
blotting analysis for ActRIIB and SMADs. Immunoreactivities of activin signaling
components were greater in the testes of the breeding season, and then decreased
to a relatively low level in the nonbreeding season. ActRIIB and related SMADs
were widely spread in the active testes, while spermatogonia were the predominant
cellular sites of activin signal transduction during arrested spermatogenesis.
The dynamic regulation of activin type II receptor and SMADs indicated that the
activin signal pathway played an important paracrine role in seasonal
spermatogenesis of the wild ground squirrel. Furthermore, the distinct
localizations and immunoreactivity of ActRIIB and SMADs might suggest different
functions of activin in seasonal spermatogenesis.
PMID- 22075559
TI - Normal calves produced after transfer of embryos cultured in a chemically defined
medium supplemented with epidermal growth factor and insulin-like growth factor I
following ovum pick up and in vitro fertilization in Japanese black cows.
AB - The objective of this study was to examine whether high concentrations of
epidermal growth factor (EGF) and/or insulin-like growth factor I (IGF-I) would
have a beneficial effect on bovine embryo development in vitro and to obtain
normal calves by using an ovum pick up method and embryo culture in a chemically
defined medium. When compared with controls, EGF (100 or 200 ng/ml) or IGF-I (50
or 100 ng/ml) significantly increased the rate of embryos that developed into
blastocysts during an 8-day culture after the in vitro fertilization of oocytes
obtained from ovaries from a slaughterhouse. IGF-I induced a dose-dependent
increase in cell number in both the inner cell mass and the trophectoderm,
whereas EGF stimulated proliferation only in the inner cell mass. A combination
of EGF (100 ng/ml) and IGF-I (50 ng/ml) produced an additive effect, and embryos
developed into blastocysts at a comparatively high rate (27.9%) compared with
controls (12.0%). A similar rate of development was achieved using a combination
of EGF and IGF-I in the culture of embryos following ovum pick up by ultrasound
guided transvaginal follicular aspiration and in vitro fertilization, and 5
blastocysts that developed after the culture were transferred into uteri; two
embryos implanted, and normal calves were born. These results suggest that the
combined use of EGF and IGF-I makes bovine embryo culture in a chemically defined
medium a practical and useful procedure for producing blastocysts, and its
application to embryo culture following ovum pick up and in vitro fertilization
could be useful for producing normal calves.
PMID- 22075560
TI - The secretory pattern and source of immunoreactive prolactin in pregnant African
(Loxodonta africana) and Asian (Elephas maximus) elephants.
AB - The objective of the present study was to define the secretion of prolactin (PRL)
in pregnant African and Asian elephants. Levels of immunoreactive (ir-) PRL in
serum and placental homogenates were measured by a heterologous radioimmunoassay
(RIA) based on an ovine and human RIA system, and the localization of ir-PRL in
the placenta was detected by immunohistochemistry using anti-human PRL.
Circulating ir-PRL clearly showed a biphasic pattern during pregnancy in African
and Asian elephants. Serum levels of ir-PRL started to increase from the 4 - 6th
month of gestation and reached the first peak level around the 11-14th month. A
second peak of circulating ir-PRL levels was observed around the 18-20th month of
gestation followed by an abrupt decline after parturition. In contrast, in a case
of abortion of an African elephant, the second peak of ir-PRL was not observed,
and the levels remained low for about four months until parturition. The weight
of the fetus delivered at the 17th month of gestation was 23.5 kg, which was
quite small compared with normal fetuses in previous reports. Ir-PRL was detected
in placental homogenates, and immunolocalization was observed in trophoblasts in
both the African and Asian elephants, indicating that the placenta is the source
of ir-PRL during pregnancy in elephants. The present results clearly demonstrated
that circulating ir-PRL shows a biphasic pattern during normal pregnancy and that
the placenta appears to be an important source of circulating ir-PRL during
pregnancy in both African and Asian elephants.
PMID- 22075561
TI - Double Sigmoid colon perforation due to migration of a biliary stent.
AB - Migration of pancreatico-biliary stents is a rare event, usually benign, but
which can lead to severe complications such as digestive tube perforation. We
report the case of a patient with double sigmoid perforation due to distal
migration of a biliary stent placed to decompress a pancreatic head carcinoma.
PMID- 22075562
TI - Damage control laparotomy.
PMID- 22075563
TI - Probing the oligomeric state and interaction surfaces of Fukutin-I in
dilauroylphosphatidylcholine bilayers.
AB - Fukutin-I is localised to the endoplasmic reticulum or Golgi apparatus within the
cell, where it is believed to function as a glycosyltransferase. Its localisation
within the cell is thought to to be mediated by the interaction of its N-terminal
transmembrane domain with the lipid bilayers surrounding these compartments, each
of which possesses a distinctive lipid composition. However, it remains unclear
at the molecular level how the interaction between the transmembrane domains of
this protein and the surrounding lipid bilayer drives its retention within these
compartments. In this work, we employed chemical cross-linking and fluorescence
resonance energy transfer measurements in conjunction with multiscale molecular
dynamics simulations to determine the oligomeric state of the protein within
dilauroylphosphatidylcholine bilayers to identify interactions between the
transmembrane domains and to ascertain any role these interactions may play in
protein localisation. Our studies reveal that the N-terminal transmembrane domain
of Fukutin-I exists as dimer within dilauroylphosphatidylcholine bilayers and
that this interaction is driven by interactions between a characteristic TXXSS
motif. Furthermore residues close to the N-terminus that have previously been
shown to play a key role in the clustering of lipids are shown to also play a
major role in anchoring the protein in the membrane.
PMID- 22075564
TI - Double and triple in situ hybridization for coexpression studies: combined
fluorescent and chromogenic detection of neuropeptide Y (NPY) and serotonin
receptor subtype mRNAs expressed at different abundance levels.
AB - Multiple fluorescence in situ hybridization is the method of choice for studies
aimed at determining simultaneous production of signal transduction molecules and
neuromodulators in neurons. In our analyses of the monoamine receptor mRNA
expression of peptidergic neurons in the rat telencephalon, double tyramide
signal-amplified fluorescence in situ hybridization delivered satisfactory
results for coexpression analysis of neuropeptide Y (NPY) and serotonin receptor
2C (5-HT2C) mRNA, a receptor subtype expressed at high-to-moderate abundance in
the regions analyzed. However, expression of 5-HT1A mRNA, which is expressed at
comparatively low abundance in many telencephalic areas, could not be
unequivocally identified in NPY mRNA-reactive neurons due to high background and
poor signal-to-noise ratio in fluorescent receptor mRNA detections. Parallel
chromogenic in situ hybridization provided clear labeling for 5-HT1A mRNA and
additionally offered the possibility to monitor the chromogen deposition at
regular time intervals to determine the optimal signal-to-noise ratio. We first
developed a double labeling protocol combining fluorescence and chromogenic in
situ hybridization and subsequently expanded this variation to combine double
fluorescence and chromogenic in situ hybridization for triple labelings. With
this method, we documented expression of 5-HT2C and/or 5-HT1A in subpopulations
of telencephalic NPY-producing neurons. The method developed in the present study
appears suitable for conventional light and fluorescence microscopy, combines
advantages of fluorescence and chromogenic in situ hybridization protocols and
thus provides a reliable non-radioactive alternative to previously published
multiple labeling methods for coexpression analyses in which one mRNA species
requires highly sensitive detection.
PMID- 22075565
TI - Differential vascular expression and regulation of oncofetal tenascin-C and
fibronectin variants in renal cell carcinoma (RCC): implications for an
individualized angiogenesis-related targeted drug delivery.
AB - The study was aimed at determining the vascular expression of oncofetal
fibronectin (oncfFn) and tenascin-C (oncfTn-C) isoforms in renal cell carcinoma
(RCC) and its metastases which are well-known targets for antibody-based
pharmacodelivery. Furthermore, the influence of tumour cells on endothelial mRNA
expression of these molecules was investigated. Evaluation of vascular ED-A(+)
and ED-B(+) Fn as well as A1(+) and C(+) Tn-C was performed after
immunofluorescence double and triple staining using human recombinant antibodies
on clear cell, papillary and chromophobe primary RCC and metastases. The
influence of hypoxic RCC-conditioned medium on oncfFn and oncfTn-C mRNA
expression was examined in human umbilical vein endothelial cells (HUVEC) by real
time RT-PCR. There are RCC subtype specific expression profiles of vascular
oncfFn and oncfTn-C and corresponding patterns when comparing primary tumours and
metastases. Within one tumour, there are different vessel populations with regard
to the incorporation of oncfTn-C and oncfFn into the vessel wall. In vitro tumour
derived soluble mediators induce an up regulation of oncfTn-C and oncfFn mRNA in
HUVEC which can be blocked by Avastin((r)). Vascular expression of oncFn and
oncTn-C variants depends on RCC subtype and may reflect an individual tumour
stroma interaction or different stages of vessel development. Therefore, oncFn or
oncTn-C variants can be suggested as molecular targets for individualized
antibody based therapy strategies in RCC. Tumour-derived VEGF could be shown to
regulate target expression.
PMID- 22075566
TI - Identification of SAMT family proteins as substrates of MARCH11 in mouse
spermatids.
AB - MARCH11, a RING-finger transmembrane ubiquitin ligase, is predominantly expressed
in spermatids and localized to the trans-Golgi network (TGN) and multivesicular
bodies (MVBs). Because ubiquitination acts as a sorting signal of cargo proteins,
MARCH11 has been postulated to mediate selective protein sorting via the TGN-MVB
pathway. However, the physiological substrate of MARCH11 has not been identified.
In this study, we have identified and characterized SAMT1, a member of a novel 4
transmembrane protein family, which consists of four members. Samt1 mRNA and its
expression product were found to be specific to the testis and were first
detected in germ cells 25 days after birth in mice. Immunohistochemical analysis
further revealed that SAMT1 was specifically expressed in haploid spermatids
during the cap and acrosome phases. Confocal microscopic analysis showed that
SAMT1 co-localized with MARCH11 as well as with fucose-containing glycoproteins,
another TGN/MVB marker, and LAPM2, a late endosome/lysosome marker. Furthermore,
we found that MARCH11 could increase the ubiquitination of SAMT1 and enhance its
lysosomal delivery and degradation in an E3 ligase activity-dependent manner. In
addition, the C-terminal region of SAMT1 was indispensable for its ubiquitination
and proper localization. The other member proteins of the SAMT family also showed
similar expression profile, intracellular localization, and biochemical
properties, including ubiquitination by MARCH11. These results suggest that SAMT
family proteins are physiological substrates of MARCH11 and are delivered to
lysosomes through the TGN-MVB pathway by a ubiquitin-dependent sorting system in
mouse spermatids.
PMID- 22075567
TI - Eosinophilic esophagitis: from a sneaking suspicion to a clear diagnosis.
PMID- 22075568
TI - Metal to ligand charge transfer induced DNA photobinding in a Ru(II)-Pt(II)
supramolecule using red light in the therapeutic window: a new mechanism for DNA
modification.
AB - The Ru(II)-Pt(II) supramolecular complex, [(Ph(2)phen)(2)Ru(dpp)PtCl(2)](2+),
displays a new mechanism for DNA modification: photobinding through a (3)MLCT
excited state. Gel shift analysis, selective DNA precipitation, and DNA melting
point experiments support efficient covalent DNA binding following visible light
excitation.
PMID- 22075569
TI - Association of RIP2 gene polymorphisms and systemic lupus erythematosus in a
Chinese population.
AB - The aim of this study was to investigate the association of receptor interacting
protein 2 (RIP2) single-nucleotide polymorphisms (SNPs) with susceptibility to
systemic lupus erythematosus (SLE) in a Chinese population. A case-control study
was performed on the SNPs rs16900617 and rs16900627 in 590 Chinese SLE patients
and 660 healthy controls. These SNPs were typed by TaqMan allele discrimination
assays. We found a significant association of rs16900617 G allele [odds ratio
(OR) = 0.54, 95% confidence interval (CI) 0.41-0.72] and rs16900627 G allele (OR
= 1.28, 95% CI 1.04-1.58) with SLE. Significant differences in genotype frequency
distribution were also found in SLE and control individuals (rs16900617: AG
versus AA, OR = 0.59, 95% CI 0.44-0.81; GG versus AA, OR = 0.08, 95% CI 0.01
0.65; AG + GG versus AA, OR = 0.55, 95% CI 0.41-0.75; rs16900627: AG versus AA,
OR = 1.51, 95% CI 1.17-1.93; AG + GG versus AA, OR = 1.43, 95% CI 1.13-1.82).
Analysis of the haplotypes revealed that two haplotypes of AG and GA were also
significantly associated with SLE (OR = 1.37, 95% CI 1.11-1.70; OR = 0.60, 95% CI
0.45-0.79). Our findings suggest that the RIP2 gene polymorphisms may be
associated with susceptibility to SLE in the Chinese population.
PMID- 22075570
TI - Arbuscular mycorrhizal fungi in national parks, nature reserves and protected
areas worldwide: a strategic perspective for their in situ conservation.
AB - Soil fungi play a crucial role in producing fundamental ecosystem services such
as soil fertility, formation and maintenance, nutrient cycling and plant
community dynamics. However, they have received little attention in the field of
conservation biology. Arbuscular mycorrhizal fungi (AMF) are beneficial soil
symbionts fulfilling a key function in the complex networks of
belowground/aboveground biotic interactions as they live in association with the
roots of most (80%) land plant families and influence not only soil fertility but
also plant nutrition, diversity and productivity. The diversity of AMF
communities can decline due to habitat loss and anthropogenic disturbance,
especially in agro-ecosystems, and many valuable ecotypes could become extinct
before they are even discovered. Consequently, long-term strategies are urgently
needed to ensure their conservation in habitats where they naturally occur and
have evolved. Protected areas, where living organisms are under the care of
national and international authorities, represent an appropriate place for the in
situ conservation of AMF, providing them with adapted situations together with
established complex networks of interactions with different components within
each specific ecosystem. Here, we review data available about the main present
day threats to AMF and the current state of knowledge about their occurrence in
protected sites worldwide, providing a checklist of national parks and nature
reserves where they have been reported. The aim was to offer a strategic
perspective to increase awareness of the importance of conserving these
beneficial plant symbionts and of preserving their biodiversity in the years to
come.
PMID- 22075571
TI - Succinobucol's new coat--conjugation with steroids to alter its drug effect and
bioavailability.
AB - Synthesis, detailed structural characterization (X-ray, NMR, MS, IR, elemental
analysis), and studies of toxicity, antioxidant activity and bioavailability of
unique potent anti-atherosclerotic succinobucol-steroid conjugates are reported.
The conjugates consist of, on one side, the therapeutically important drug
succinobucol ([4-{2,6-di-tert-butyl-4-[(1-{[3-tert-butyl-4-hydroxy-5-(propan-2
yl)phenyl]sulfanyl}ethyl)sulfanyl]phenoxy}-4-oxo-butanoic acid]) possessing an
antioxidant and anti-inflammatory activity, and on the other side, plant
stanol/sterols (stigmastanol, beta-sitosterol and stigmasterol) possessing an
ability to lower the blood cholesterol level. A cholesterol-succinobucol prodrug
was also prepared in order to enhance the absorption of succinobucol through the
intestinal membrane into the organism and to target the drug into the place of
lipid metabolism-The enterohepatic circulation system. Their low toxicity
towards mice fibroblasts at maximal concentrations, their antioxidant activity,
comparable or even higher than that of ascorbic acid as determined by direct
quenching of the DPPH radical, and their potential for significantly altering
total and LDL cholesterol levels, suggest that these conjugates merit further
studies in the treatment of cardiovascular or other related diseases. A brief
discussion of succinobucol's ability to quench the radicals, supported with a
computational model of the electrostatic potential mapped on the electron
density surface of the drug, is also presented.
PMID- 22075572
TI - Indatraline: synthesis and effect on the motor activity of Wistar rats.
AB - A new approach for the synthesis of indatraline was developed using as the key
step an iodine(III)-mediated ring contraction of a 1,2-dihydronaphthalene
derivative. Behavioral tests were conducted to evaluate the effect of indatraline
and of its precursor indanamide on the motor activity of Wistar rats. Specific
indexes for ambulation, raising and stereotypy were computed one, two and three
hours after i.p. drug administration. Indatraline effects on motor activity
lasted for at least three hours. On the other hand, no significant differences in
motor activity were observed using indanamide. The results suggest that
indatraline has a long lasting effect on motor activity and add evidence in favor
of the potential use of that compound as a substitute in cocaine addiction.
PMID- 22075573
TI - Antioxidant activity and total phenols from the methanolic extract of Miconia
albicans (Sw.) Triana leaves.
AB - Miconia is one of the largest genus of the Melastomataceae, with approximately
1,000 species. Studies aiming to describe the diverse biological activities of
the Miconia species have shown promising results, such as analgesic,
antimicrobial and trypanocidal properties. M. albicans leaves were dried,
powdered and extracted to afford chloroformic and methanolic extracts. Total
phenolic contents in the methanolic extract were determined according to modified
Folin-Ciocalteu method. The antioxidant activity was measured using AAPH and DPPH
radical assays. Chemical analysis was performed with the n-butanol fraction of
the methanolic extract and the chloroformic extract, using different
chromatographic techniques (CC, HPLC). The structural elucidation of compounds
was performed using 500 MHz NMR and HPLC methods. The methanolic extract showed a
high level of total phenolic contents; the results with antioxidant assays showed
that the methanolic extract, the n-butanolic fraction and the isolated flavonoids
from M. albicans had a significant scavenging capacity against AAPH and DPPH.
Quercetin, quercetin-3-O-glucoside, rutin, 3-(E)-p-coumaroyl-alpha-amyrin was
isolated from the n-butanolic fraction and alpha-amyrin, epi-betulinic acid,
ursolic acid, epi-ursolic acid from the chloroformic extract. The results
presented in this study demonstrate that M. albicans is a promising species in
the search for biologically active compounds.
PMID- 22075575
TI - Brief report: the temporal stability of the Repeatable Battery for the Assessment
of Neuropsychological Status Effort Index in geriatric samples.
AB - The Effort Index (EI) of the Repeatable Battery for the Assessment of
Neuropsychological Status (RBANS) was developed to identify inadequate effort.
Although researchers have examined its validity, the reliability of the EI has
not been evaluated. The current study examined the temporal stability of the EI
across 1 year in two independent samples of older adults. One sample consisted of
445 cognitively intact older adults (mean age = 72.89; 59% having 12-15 years of
education) and the second sample consisted of 51 individuals diagnosed with
amnestic Mild Cognitive Impairment (mean age = 82.41; 41% having 12-15 years of
education). For both samples, the EI was found to have low stability (Spearman's
rho = .32-.36). When participants were divided into those whose EI stayed stable
or improved versus those whose EI worsened (i.e., declining effort) on retesting,
it was observed that individuals with lower baseline RBANS Total scores tended to
worsen on the EI across time. Overall, the findings suggest low temporal
stability of the EI in two geriatric samples. In particular, individuals with
poorer cognition at baseline could present with poorer effort across time. These
findings also suggest the need to further examine the temporal stability of other
effort measures.
PMID- 22075574
TI - Anti-inflammatory and free radial scavenging activities of the constituents
isolated from Machilus zuihoensis.
AB - A new biflavonol glycoside, quercetin-3-O-beta-D-glucopyranoside-(3'->O-3''')
quercetin-3-O-beta-D-galactopyranoside (9), together with eight known compounds
was isolated for the first time from the leaves of Machilus zuihoensis Hayata
(Lauraceae). The structure of compound 9 was elucidated by various types of
spectroscopic data analysis. Analysis of the biological activity assay found that
compound 9 showed significant superoxide anion scavenging activity (IC50 is 30.4
MUM) and markedly suppressed LPS-induced high mobility group box 1 (HMGB-1)
protein secretion in RAW264.7 cells. In addition, the HMGB-1 protein secretion
was also inhibited by quercitrin (3), ethyl caffeate (6), and ethyl 3-O
caffeoylquinate (7) treatment. In the LPS-stimulated inducible nitric oxide
synthase (iNOS) activation analysis, two known compounds, quercetin (1) and ethyl
caffeate (6), were found to markedly suppress nitric oxide (NO) production (IC50
value, 27.6 and 42.9 MUM, respectively) in RAW264.7 cells. Additionally, it was
determined that ethyl caffeate (6) down-regulated mRNA expressions of iNOS, IL
1beta, and IL-10 in the LPS-treatment of RAW264.7 cells via a suppressed NF-kB
pathway. These results suggested for the first time that the new compound 9 and
other constituents isolated from M. zuihoensis have potential anti-inflammatory
and superoxide anion scavenging effects. These constituents may be useful for
treating various inflammatory diseases.
PMID- 22075576
TI - Evaluation of embedded malingering indices in a non-litigating clinical sample
using control, clinical, and derived groups.
AB - Although recent findings have indicated that a portion of college students
presenting for psychoeducational evaluations fail validity measures, methods for
determining the validity of cognitive test results in psychoeducational
evaluations remain under-studied. In light of this, data are needed to evaluate
utility of validity indices in this population and to provide base rates for
students meeting research criteria for malingering and to report the relationship
between testing performance and the level of external incentive. The authors
utilized archival data from: (i) a university psychological clinic (n = 986) and
(ii) a university control sample (n = 182). Empirically supported embedded
validity indices were utilized to identify retrospectively suspected malingering
patients. Group performance, according to invalidity and the level of incentive
seeking, was evaluated through a series of multivariate mean comparisons. The
current study supports classifying patients according to the level of incentive
seeking when evaluating neurocognitive performance and feigned/exaggerated
deficits.
PMID- 22075577
TI - Using pathway modules as targets for assay development in xenobiotic screening.
AB - Toxicology and pharmaceutical research is increasingly making use of high
throughout-screening (HTS) methods to assess the effects of chemicals on
molecular pathways, cells and tissues. Whole-genome microarray analysis provides
broad information on the response of biological systems to chemical exposure, but
is not practical to use when thousands of chemicals need to be evaluated at
multiple doses and time points, as well as across different tissues, species and
life-stages. A useful alternative approach is to identify a focused set of genes
that can give a coarse picture of systems-level responses and that can be scaled
to the evaluation of thousands of chemicals and diverse biological contexts. We
demonstrate a computational approach to select in vitro expression assay targets
that are informative and broadly distributed in biological pathway space, using
the concept of pathway modularity. Canonical pathways are decomposed into
subnetworks (modules) of functionally-related genes based on rules such as co
regulated expression, protein-protein interactions, and coordinated physiological
activity. Pathway modules are constructed using these rules but are then
restricted by the bounds of canonical pathways. We demonstrate this approach
using a subset of genes associated with tumor development and cancer progression.
Target genes were identified for assay development, and then validated by using
independent, published microarray data. The result is a targeted set of genes
that are sensitive predictors of whether a chemical will perturb each pathway
module. These selected genes could then form the basis for a battery to test for
pathway-chemical interactions under many biological contexts using throughput
expression-based assays.
PMID- 22075578
TI - Molecular and ionic complexes of pyrrolidinofullerene bearing chelating 3-pyridyl
units.
AB - Molecular and ionic complexes of cis-2',5'-di(pyridin-3
yl)pyrrolidino[3',4':1,9](C(60)-I(h))[5,6]fullerene DP3FP with chlorobenzene
(C(6)H(5)Cl), manganese(II) tetraphenylporphyrin (Mn(II)TPP) and
tetrakis(dimethylamino)ethylene (TDAE) have been obtained for the first time. X
ray single crystal structure determination for the crystalline DP3FP.C(6)H(5)Cl
(1) solvate proved unambiguously its molecular structure with the cis-arrangement
of chelating 3-pyridyl groups. It has been demonstrated that DP3FP easily forms
self-assembled photoactive complexes with metallated porphyrins. For example, the
formation of a 1 : 1 complex between DP3FP and zinc (II) tetraphenylporphyrin
(Zn(II)TPP) in cyclohexane solution (2) was evidenced using absorption
spectroscopy. A successful X-ray single crystal structure determination was
performed for a self-assembled triad composed of a DP3FP molecule linked with two
Mn(II)TPP molecules in {DP3FP.(Mn(II)TPP)(2)}.(C(6)H(4)Cl(2))(3) (3). A strong
organic donor TDAE reduces DP3FP to the radical anion state thus forming an ionic
complex (TDAE(+)).(DP3FP(-)).(C(6)H(4)Cl(2))(1.6) (4). Optical, electronic and
magnetic properties of 4 were investigated in detail. The performed studies
strongly suggest that pyrrolidinofullerene DP3FP can be used as a building block
in the design of various organic materials with advanced optoelectronic and/or
magnetic properties.
PMID- 22075579
TI - Identification of non-diphtheriae corynebacterium by use of matrix-assisted laser
desorption ionization-time of flight mass spectrometry.
AB - We evaluated the Bruker Biotyper matrix-assisted laser desorption ionization-time
of flight (MALDI-TOF) mass spectrometry for identification of 92 clinical
isolates of Corynebacterium species in comparison to identification using rpoB or
16S rRNA gene sequencing. Eighty isolates (87%) yielded a score of >=1.700, and
all of these were correctly identified to the species level with the exception of
Corynebacterium aurimucosum being misidentified as the closely related
Corynebacterium minutissimum.
PMID- 22075581
TI - Necrotizing fasciitis and septic shock related to the uncommon gram-negative
pathogen Sphingobacterium multivorum.
AB - We report the first case of necrotizing fasciitis due to the uncommon Gram
negative pathogen Sphingobacterium multivorum in an immunocompromised patient,
who presented with septic shock. This case adds necrotizing fasciitis to the
spectrum of S. multivorum-related infections and highlights the emergence of Gram
negative bacteria in severe soft tissue infections.
PMID- 22075582
TI - Desulfovibrio desulfuricans bacteremia in an immunocompromised host with a liver
graft and ulcerative colitis.
AB - Desulfovibrio spp. are anaerobic, sulfate-reducing, nonfermenting, Gram-negative
bacteria found in the digestive tract of humans. Identification of these species
with conventional methods is difficult. The reported case of a Desulfovibrio
desulfuricans bacteremia occurring in an immunocompromised host with ulcerative
colitis confirms that this organism may be a possible opportunistic human
pathogen.
PMID- 22075580
TI - Delineation of Streptococcus dysgalactiae, its subspecies, and its clinical and
phylogenetic relationship to Streptococcus pyogenes.
AB - The taxonomic status and structure of Streptococcus dysgalactiae have been the
object of much confusion. Bacteria belonging to this species are usually referred
to as Lancefield group C or group G streptococci in clinical settings in spite of
the fact that these terms lack precision and prevent recognition of the exact
clinical relevance of these bacteria. The purpose of this study was to develop an
improved basis for delineation and identification of the individual species of
the pyogenic group of streptococci in the clinical microbiology laboratory, with
a special focus on S. dysgalactiae. We critically reexamined the genetic
relationships of the species S. dysgalactiae, Streptococcus pyogenes,
Streptococcus canis, and Streptococcus equi, which may share Lancefield group
antigens, by phylogenetic reconstruction based on multilocus sequence analysis
(MLSA) and 16S rRNA gene sequences and by emm typing combined with phenotypic
characterization. Analysis of concatenated sequences of seven genes previously
used for examination of viridans streptococci distinguished robust and coherent
clusters. S. dysgalactiae consists of two separate clusters consistent with the
two recognized subspecies dysgalactiae and equisimilis. Both taxa share alleles
with S. pyogenes in several housekeeping genes, which invalidates identification
based on single-locus sequencing. S. dysgalactiae, S. canis, and S. pyogenes
constitute a closely related branch within the genus Streptococcus indicative of
recent descent from a common ancestor, while S. equi is highly divergent from
other species of the pyogenic group streptococci. The results provide an improved
basis for identification of clinically important pyogenic group streptococci and
explain the overlapping spectrum of infections caused by the species associated
with humans.
PMID- 22075583
TI - Inaccuracy of the disk diffusion method compared with the agar dilution method
for susceptibility testing of Campylobacter spp.
AB - The agar dilution method has been standardized by the CLSI for the susceptibility
testing of Campylobacter species, and according to these standards, the disk
diffusion method should be used only in screening for macrolide and ciprofloxacin
resistance. Nevertheless, the disk diffusion test is currently widely used, since
it is easy to perform in clinical microbiology laboratories. In this study, the
disk diffusion method was compared to the agar dilution method by analyzing the
in vitro activities of seven antimicrobial agents against 174 Campylobacter
strains collected in Finland between 2003 and 2008. Recommendations of the CLSI
were followed using Mueller-Hinton agar plates with 5% of sheep blood. For each
strain, the disk diffusion tests were performed two to four times. Of the 33
erythromycin-resistant strains (MIC, >=16 MUg/ml), 24 (73%) constantly showed a 6
mm erythromycin inhibition zone (i.e., no inhibition), while for seven strains
the inhibition zone varied from 6 to 44 mm in repeated measurements. Among the
141 erythromycin-susceptible strains (MIC, <16 MUg/ml), erythromycin inhibition
zones varied between 6 and 61 mm. Of the 87 ciprofloxacin-resistant strains, 47
(54%) showed 6-mm inhibition zones, while 40 strains showed inhibition zones
between 6 and 60 mm. Significant differences between the repetitions were
observed in the disk diffusion for all antimicrobial agents and all strains
except for the macrolide-resistant strains regarding the macrolides. For 17 (10%)
strains, the variation in repeated measurements was substantial. These results
show that the disk diffusion method may not be a reliable tool for the
susceptibility testing of Campylobacter spp. Further studies are needed to assess
whether the disk diffusion test could be improved or whether all susceptibilities
of campylobacters should be tested using an MIC-based method.
PMID- 22075584
TI - Subtyping influenza A virus with monoclonal antibodies and an indirect
immunofluorescence assay.
AB - The recent association of certain influenza A virus subtypes with clinically
relevant phenotypes has led to the increasing importance of subtyping by clinical
virology laboratories. To provide clinical laboratories with a definitive
immunofluorescence assay for the subtyping of influenza A virus isolates, we
generated a panel of monoclonal antibodies (MAbs) against the major circulating
influenza A virus subtypes using multiple inactivated H1N1, H3N2, and 2009 H1N1
strains individually as immunogens. Eleven MAbs that target hemagglutinin (HA) of
H1N1 and H3N2 subtypes were selected. These MAbs were combined into three subtype
specific reagents, one each for pan-H1 (seasonal and 2009 strains), H3, and 2009
H1, for the subtyping of influenza A virus-positive specimens by indirect
immunofluorescence assay (IFA). Each subtype-specific reagent was tested on 21
prototype influenza A virus strains and confirmed to be specific for its intended
subtype. In addition, the subtyping reagents did not cross-react with any of 40
other viruses. The clinical performance of the subtyping reagents was evaluated
with 75 archived clinical samples collected between 2006 and 2009 using the D(3)
Ultra DFA influenza A virus identification reagent (Diagnostic Hybrids, Inc.,
Athens, OH) and the influenza A virus subtyping reagents by IFA simultaneously.
Sixty-four samples grew virus and were subtyped as follows: 30 as H3N2, 9 as
seasonal H1N1, and 25 as 2009 H1N1. RT-PCR was used to confirm the influenza A
virus subtyping of these samples, and there was 100% agreement with IFA. This
subtyping IFA provides clinical laboratories with a cost-effective diagnostic
tool for better management of influenza virus infection and surveillance of
influenza virus activity.
PMID- 22075585
TI - Use of a commercial PCR-based line blot method for identification of bacterial
pathogens and the mecA and van genes from BacTAlert blood culture bottles.
AB - In this study, the PCR-based DNA strip assay GenoType BC for the identification
of bacteria and the resistance genes mecA, vanA, vanB, vanC1, and vanC2/3
directly from positive BacTAlert blood culture bottles was evaluated in a
multicenter study. Of a total of 511 positive blood cultures, correct
identification percentages for Gram-negative bacteria, Gram-positive bacteria,
and the mecA gene were 96.1%, 89.9%, and 92.9%, respectively. Results were
available 4 h after growth detection.
PMID- 22075586
TI - Capnocytophaga lung abscess in a patient with metastatic neuroendocrine tumor.
AB - Capnocytophaga species are known commensals of the oral cavity of humans and
animals (mainly dogs and cats) and are a rare cause of respiratory tract
infections. We report a case of cavitary lung abscess caused by a Capnocytophaga
species in a patient with a metastatic neuroendocrine tumor.
PMID- 22075587
TI - Human Mycobacterium bovis infections in London and Southeast England.
AB - Variable-number tandem repeat (VNTR) and spoligotyping analyses were used to
assess transmission of Mycobacterium bovis between humans. VNTR was more
discriminatory than spoligotyping. Low case numbers, despite a substantial animal
reservoir, and resolution of all isolates provided no evidence of recent human-to
human transmission or recent significant infection from animals.
PMID- 22075588
TI - Polyclonal diffusion of beta-lactamase-producing Enterococcus faecium.
AB - We describe here the isolation of 8 beta-lactamase-producing multidrug-resistant
Enterococcus faecium isolates in 2010. All strains showed diverse pulsed-field
gel electrophoresis (PFGE) profiles, all belonging to the same clonal complex,
CC17. By PCR and hybridization experiments, the entire blaZ-blaI-blaR1 operon was
found. The beta-lactamase activity was demonstrated at a high inoculum and in the
presence of methicillin after overnight incubation.
PMID- 22075589
TI - Clostridium difficile mixed infection and reinfection.
AB - Isolates from consecutive Clostridium difficile infection (CDI) fecal samples
underwent multilocus sequence typing. Potential reinfections with different
genotypes were identified in 88/560 (16%) sample pairs taken 1 to 1,414 days
(median, 24; interquartile range [IQR], 1 to 52 days) apart; odds of reinfection
increased by 58% for every doubling of time between samples. Of 109 sample pairs
taken on the same day, 3 (3%) had different genotypes. Considering samples 0 to 7
days apart as the same CDI, 7% of cases had mixed infections with >1 genotype.
PMID- 22075590
TI - Highly specific protease-based approach for detection of porphyromonas gingivalis
in diagnosis of periodontitis.
AB - Porphyromonas gingivalis is associated with the development of periodontitis.
Here we describe the development of a highly specific protease-based diagnostic
method for the detection of P. gingivalis in gingival crevicular fluid. Screening
of a proteolytic peptide substrate library, including fluorogenic dipeptides that
contain d-amino acids, led to the discovery of five P. gingivalis-specific
substrates. Due to the presence of lysine and arginine residues in these
substrates, it was hypothesized that the cleavage was mediated by the gingipains,
a group of P. gingivalis-specific proteases. This hypothesis was confirmed by the
observation that P. gingivalis gingipain knockout strains demonstrated clearly
impaired substrate cleavage efficacy. Further, proteolytic activity on the
substrates was increased by the addition of the gingipain stimulators
dithiothreitol and l-cysteine and decreased by the inhibitors leupeptin and N
ethylmaleimide. Screening of saliva and gingival crevicular fluid of
periodontitis patients and healthy controls showed the potential of the
substrates to diagnose the presence of P. gingivalis proteases. By using paper
points, a sensitivity of approximately 10(5) CFU/ml was achieved. P. gingivalis
reactive substrates fully composed of l-amino acids and Bz-l-Arg-NHPhNO(2) showed
a relatively low specificity (44 to 85%). However, the five P. gingivalis
specific substrates that each contained a single d-amino acid showed high
specificity (96 to 100%). This observation underlines the importance of the
presence of d-amino acids in substrates used for the detection of bacterial
proteases. We envisage that these substrates may improve the specificity of the
current enzyme-based diagnosis of periodontitis associated with P. gingivalis.
PMID- 22075591
TI - Evaluation of Neisseria gonorrhoeae multiple-locus variable-number tandem-repeat
analysis, N. gonorrhoeae Multiantigen sequence typing, and full-length porB gene
sequence analysis for molecular epidemiological typing.
AB - The performance characteristics of Neisseria gonorrhoeae multilocus variable
number tandem-repeat analysis were evaluated, by comparison with N. gonorrhoeae
multiantigen sequence typing and full-length porB sequence typing. Assessment of
the relatedness of intra- and interpatient isolates showed that all three
genotyping techniques display a high resolution and typeability.
PMID- 22075592
TI - Comparison of the cobas Human Papillomavirus (HPV) test with the hybrid capture 2
and linear array HPV DNA tests.
AB - The cobas human papillomavirus (HPV) test (cobas) was recently approved by the
U.S. Food and Drug Administration (FDA) and identifies HPV16 and HPV18 separately
as well as detecting a pool of 11 HR-HPV genotypes (HPV31, -33, -35, -39, -45,
51, -52, -56, -58, -59, -68) and also HPV66. We compared cobas, Linear Array
(LA), and Hybrid Capture 2 (HC2) assays for detection of carcinogenic HPV DNA,
and cobas and LA for detection of HPV16 and HPV18 DNA, among the first 1,852
women enrolled in the HPV Persistence and Progression Cohort (PaP Cohort) study.
Specimens were tested by all 3 assays 1 year after an HC2-positive result. In
1,824 specimens with cobas results, cobas had an 85.9% agreement with HC2 and
91.0% agreement with LA for carcinogenic HPV detection. When results between
cobas and HC2 disagreed, cobas tended to call more women HPV positive (P < 0.01).
Categorizing cobas and LA results hierarchically according to cancer risk (HPV16,
HPV18, other carcinogenic HPV genotypes, or carcinogen negative), there was a 90%
agreement for all categories of HPV (n = 1,824). We found good agreement between
the two U.S. FDA-approved HPV tests, with discrepancies between the two assays
due to specific characteristics of the individual assays. Additional studies are
needed to compare HC2 and cobas for detecting and predicting CIN3 to understand
the clinical implications of the discrepant test results between the two tests.
PMID- 22075594
TI - A longitudinal case series description of meningitis due to Streptococcus
gallolyticus subsp. pasteurianus in infants.
AB - Streptococcus gallolyticus subsp. pasteurianus, previously known as Streptococcus
bovis biotype II.2, is known to cause multiple infectious complications,
including bacterial meningitis, in adults. Only sporadic individual case reports
have identified this pathogen as a cause of meningitis in infants. This study is
the first to longitudinally document S. gallolyticus subsp. pasteurianus as a
cause of meningitis in four epidemiologically unrelated infants less than 2 weeks
of age. The 16S rRNA gene sequences of all 4 isolates were identical, and further
were identical to 3 central nervous system (CNS) strains (two adults and one
child) reported in existing literature. S. gallolyticus subsp. pasteurianus is an
increasingly recognized cause of meningitis and bacteremia in the newborn period,
and it merits further study with respect to etiology of infection.
PMID- 22075595
TI - Clinical impact of a real-time PCR assay for rapid identification of
staphylococcal bacteremia.
AB - The purpose of this study was to evaluate the impact of real-time PCR reporting
both on timely identification of clustered Gram-positive cocci (GPC) in blood
cultures and on appropriate antibiotic treatment. This retrospective,
interventional cohort study evaluated inpatients with blood cultures positive for
GPC in the pre-PCR (15 January 2009 to 14 January 2010) and post-PCR (15 January
2010 to 14 January 2011) periods. Post-PCR implementation, laboratory services
completed batched PCR; results other than methicillin-resistant Staphylococcus
aureus (MRSA) were reported in the electronic medical record without additional
interventions. The assay's sensitivity and specificity, time to identification of
staphylococcal bacteremia, and clinically relevant outcomes, including time to
optimal antibiotic therapy, were evaluated. Demographic information was also
collected and analyzed. Sixty-eight and 58 patients with Staphylococcus aureus
bacteremia from the pre- and post-PCR periods, respectively, met inclusion
criteria. Similar numbers of consecutive patients with coagulase-negative
staphylococci were analyzed for comparison. The time to identification was
significantly reduced post-PCR implementation (mean, 13.2 h; 95% confidence
interval [95% CI], 10.5 to 15.9 h; P < 0.0001). However, the time to optimal
antibiotic therapy was not significantly reduced. We conclude that implementation
of a PCR assay demonstrated the potential to improve appropriate antibiotic use
based on clinically meaningful and statistically significant reductions in the
time to microbiologic identification. However, in order to realize this potential
benefit, processes must be optimized and additional interventions initiated to
facilitate providers' use of the PCR result.
PMID- 22075593
TI - Diagnostic accuracy of serum 1,3-beta-D-glucan for pneumocystis jiroveci
pneumonia, invasive candidiasis, and invasive aspergillosis: systematic review
and meta-analysis.
AB - Serum 1,3-beta-d-glucan (BG) assay may be helpful as a marker for the diagnosis
of Pneumocystis jiroveci pneumonia (PJP) and invasive fungal infection (IFI). We
conducted a systematic review to assess the diagnostic accuracy of this assay. We
searched MEDLINE, Web of Science, Cochrane Collaboration databases, Ichushi-Web,
reference lists of retrieved studies, and review articles. Our search included
studies of serum BG assay that used (i) positive cytological or direct
microscopic examination of sputum or bronchoalveolar lavage fluid for PJP and
(ii) European Organization for Research and Treatment of Cancer or similar
criteria for IFI as a reference standard and provided data to calculate
sensitivity and specificity. Only major fungal infections such as invasive
candidiasis and invasive aspergillosis were included in the IFI group. Twelve
studies for PJP and 31 studies for IFI were included from January 1966 to
November 2010. The pooled sensitivity, specificity, diagnostic odds ratio (DOR),
and area under the summary receiver operating characteristic curve (AUC-SROC) for
PJP were 96% (95% confidence interval [95% CI], 92% to 98%), 84% (95% CI, 83% to
86%), 102.3 (95% CI, 59.2 to 176.6) and 0.96 (95% CI, 0.94 to 0.99),
respectively. No heterogeneity was found. For IFI, the values were 80% (95% CI,
77% to 82%), 82% (95% CI, 81% to 83%), 25.7 (95% CI, 15.0 to 44.1), and 0.88 (95%
CI, 0.82 to 0.93). Heterogeneity was significant. The diagnostic accuracy of the
BG assay is high for PJP and moderate for IFI. Because the sensitivity for PJP is
particularly high, the BG assay can be used as a screening tool for PJP.
PMID- 22075596
TI - Simultaneous detection of six diarrhea-causing bacterial pathogens with an in
house PCR-luminex assay.
AB - Diarrhea can be caused by a range of pathogens, including several bacteria.
Conventional diagnostic methods, such as culture, biochemical tests, and enzyme
linked immunosorbent assay (ELISA), are laborious. We developed a 7-plex PCR
Luminex assay to simultaneously screen for several of the major diarrhea-causing
bacteria directly in fecal specimens, including pathogenic Aeromonas,
Campylobacter jejuni, Campylobacter coli, Salmonella, Shigella, enteroinvasive
Escherichia coli (EIEC), Vibrio, and Yersinia. We included an extrinsic control
to verify extraction and amplification. The assay was first validated with
reference strains or isolates and exhibited a limit of detection of 10(3) to
10(5) CFU/g of stool for each pathogen as well as quantitative detection up to
10(9) CFU/g. A total of 205 clinical fecal specimens from individuals with
diarrhea, previously cultured for enteric pathogens and tested for Campylobacter
by ELISA, were evaluated. Using these predicate methods as standards,
sensitivities and specificities of the PCR-Luminex assay were 89% and 94% for
Aeromonas, 89% and 93% for Campylobacter, 96% and 95% for Salmonella, 94% and 94%
for Shigella, 92% and 97% for Vibrio, and 100% and 100% for Yersinia,
respectively. All discrepant results were further examined by singleplex real
time PCR assays targeting different gene regions, which revealed 89% (55/62
results) concordance with the PCR-Luminex assay. The fluorescent signals obtained
with this approach exhibited a statistically significant correlation with the
cycle threshold (C(T)) values from the cognate real-time PCR assays (P < 0.05).
This multiplex PCR-Luminex assay enables sensitive, specific, and quantitative
detection of the major bacterial causes of gastroenteritis.
PMID- 22075598
TI - Evaluation of rapid antigen point-of-care tests for detection of Giardia and
Cryptosporidium species in human fecal specimens.
AB - In Bangladesh, a new parasite rapid antigen test was investigated demonstrating
accuracy and feasibility. For Giardia species, it had a sensitivity and
specificity of 94% and 100%, respectively. For Cryptosporidium species, it had a
sensitivity and specificity of 100% and 100%, respectively. These are higher than
or equal to the sensitivities and specificities of other tests on the market.
PMID- 22075597
TI - GenoType MTBDRsl for molecular detection of second-line-drug and ethambutol
resistance in Mycobacterium tuberculosis strains and clinical samples.
AB - The purpose of this study was to evaluate the GenoType MTBDRsl assay (Hain
Lifescience GmbH, Nehren, Germany) for its ability to detect resistance to
fluoroquinolones (FLQ), injectable second-line antibiotics [kanamycin (KM) and
capreomycin (CM)], and ethambutol (EMB) in Mycobacterium tuberculosis clinical
strains and directly in clinical samples. A total of 34 clinical strains were
characterized with the Bactec 460 TB system. Fifty-four clinical samples from 16
patients (5 were smear negative and 49 were smear positive) were also tested
directly. The corresponding isolates of the clinical specimens were also analyzed
with the Bactec 460TB. When there was a discrepancy between assays,
pyrosequencing was performed. The overall rates of concordance of the MTBDRsl and
the Bactec 460TB for the detection of FLQ, KM/CM, and EMB susceptibility in
clinical strains were 72.4% (21/29), 88.8% (24/27), and 67.6% (23/34), whereas
for clinical samples, rates were 86.5% (45/52), 92.3% (48/52), and 56% (28/50),
respectively. In conclusion, the GenoType MTBDRsl assay may be a useful tool for
making early decisions regarding KM/CM susceptibility and to a lesser extent
regarding FLQ and EMB susceptibility. The test is able to detect mutations in
both clinical strains and samples with a short turnaround time. However, for
correct management of patients with extensively drug-resistant tuberculosis,
results must be confirmed by a phenotypical method.
PMID- 22075599
TI - Identification of Escherichia coli genes associated with urinary tract
infections.
AB - Escherichia coli is the most common cause of urinary tract infections (UTIs). E.
coli genes epidemiologically associated with UTIs are potentially valuable in
developing strategies for treating and/or preventing such infections as well as
differentiating uropathogenic E. coli from nonuropathogenic E. coli. To identify
E. coli genes associated with UTIs in humans, we combined microarray-based and
PCR-based analyses to investigate different E. coli source groups derived from
feces of healthy humans and from patients with cystitis, pyelonephritis, or
urosepsis. The cjrABC-senB gene cluster, sivH, sisA, sisB, eco274, and fbpB, were
identified to be associated with UTIs. Of these, cjrABC-senB, sisA, sisB, and
fbpB are known to be involved in urovirulence in the mouse model of ascending
UTI. Our results provide evidence to support their roles as urovirulence factors
in human UTIs. In addition, the newly identified UTI-associated genes were mainly
found in members of phylogenetic groups B2 and/or D.
PMID- 22075600
TI - Antifungal susceptibility and phylogeny of opportunistic members of the order
mucorales.
AB - The in vitro susceptibilities of 66 molecularly identified strains of the
Mucorales to eight antifungals (amphotericin B, terbinafine, itraconazole,
posaconazole, voriconazole, caspofungin, micafungin, and 5-fluorocytosine) were
tested. Molecular phylogeny was reconstructed based on the nuclear ribosomal
large subunit to reveal taxon-specific susceptibility profiles. The impressive
phylogenetic diversity of the Mucorales was reflected in susceptibilities
differing at family, genus, and species levels. Amphotericin B was the most
active drug, though somewhat less against Rhizopus and Cunninghamella species.
Posaconazole was the second most effective antifungal agent but showed reduced
activity in Mucor and Cunninghamella strains, while voriconazole lacked in vitro
activity for most strains. Genera attributed to the Mucoraceae exhibited a wide
range of MICs for posaconazole, itraconazole, and terbinafine and included
resistant strains. Cunninghamella also comprised strains resistant to all azoles
tested but was fully susceptible to terbinafine. In contrast, the Lichtheimiaceae
completely lacked strains with reduced susceptibility for these antifungals.
Syncephalastrum species exhibited susceptibility profiles similar to those of the
Lichtheimiaceae. Mucor species were more resistant to azoles than Rhizopus
species. Species-specific responses were obtained for terbinafine where only
Rhizopus arrhizus and Mucor circinelloides were resistant. Complete or vast
resistance was observed for 5-fluorocytosine, caspofungin, and micafungin.
Intraspecific variability of in vitro susceptibility was found in all genera
tested but was especially high in Mucor and Rhizopus for azoles and terbinafine.
Accurate molecular identification of etiologic agents is compulsory to predict
therapy outcome. For species of critical genera such as Mucor and Rhizopus,
exhibiting high intraspecific variation, susceptibility testing before the onset
of therapy is recommended.
PMID- 22075601
TI - The beginning of the rpoB gene in addition to the rifampin resistance
determination region might be needed for identifying rifampin/rifabutin cross
resistance in multidrug-resistant Mycobacterium tuberculosis isolates from
Southern China.
AB - We aimed to study the distribution and contribution of mutations in the rpoB
whole gene in rifampin-resistant/rifabutin-resistant (RIF(r)/Rfb(r)) (or RIF/Rfb
cross-resistant) clinical Mycobacterium tuberculosis isolates. One standard M.
tuberculosis strain (H37Rv) and 392 other clinical M. tuberculosis isolates
mainly from Guangdong Province of China whose susceptibilities to rifampin (RIF),
rifabutin (Rfb), streptomycin (SM), ethambutol (EMB), and isoniazid (INH) were
previously determined were subjected to DNA sequencing of their rpoB whole genes.
H37Rv and the 30 drug-susceptible clinical isolates had no mutations in rpoB
whole genes. In 43 rifampin-resistant/rifabutin-susceptible (RIF(r)/Rfb(s))
isolates, the most frequent mutation codons were 516 (62.80%), 526 (14.0%), and
533 (6.98%), but codon 531 had no mutation. Twenty-one of the 43 isolates
(48.84%) had single mutations of H526L, H526S, D516V, D516Y, and D516F. In 319
RIF(r)/Rfb(r) isolates, the most frequent mutation codons were 531 (73.7%) and
526 (18.8%); the mutation frequency for codon 516 was 2.5%, and that for codon
533 was only 0.31%. A total of 82.8% (264/319) of them had single mutations of
S531L, S531W, H526D, H526Y, H526R, Q513K, Q513P, Q510H, V176F, P206(T)R,
Y314(T)C, and H323(T)Y (the superscript T indicates M. tuberculosis numbering;
the remaining codons use the E. coli numbering), among which V176F, P206(T)R,
Y314(T)C, and H323(T)Y were located in the beginning of rpoB, and all of them
were present in 1.9% (6/319) of RIF(r)/Rfb(r) isolates. The multiple mutations in
RIF(r)/Rfb(r) isolates and in RIF(r)/Rfb(s) isolates were also different from
each other either in mutation positions or in types of mutation combinations. In
conclusion, the mutations of rpoB in RIF-R/Rfb(s) and in RIF-R/Rfb-R isolates
differ significantly from each other not only in the most frequent mutation
codons (516, 531, and 533) but also in the most frequent single mutations (S531L,
H526L, D516V, D516Y, and D516F), and the beginning of rpoB may confer a RIF/Rfb
cross-resistance phenotype in M. tuberculosis. Molecular assays for identifying
RIF/Rfb cross-resistance in M. tuberculosis might be improved in terms of
accuracy by including this region, in addition to the rifampin resistance
determination region.
PMID- 22075602
TI - Estimates of HIV transmitted drug resistance can be inflated due to natural
sequence polymorphisms.
PMID- 22075603
TI - Should we switch to a 50-mg boosting dose of ritonavir for selected protease
inhibitors?
PMID- 22075604
TI - HIV counseling and testing in an urban reproductive primary health clinic in
South Africa: gender differences among clients.
PMID- 22075605
TI - Clinical significance of serum levels of secretory leukocyte protease inhibitor
in patients with systemic sclerosis.
AB - We aimed to investigate the clinical significance of serum levels of secretory
leukocyte protease inhibitor (SLPI), which is widely expressed in lung tissues
and serves as a useful marker reflecting the activity of various lung diseases,
in patients with systemic sclerosis (SSc). Serum SLPI levels were measured by a
specific enzyme-linked immunosorbent assay (ELISA) in 58 SSc patients and 16
healthy controls. Serum SLPI levels in diffuse cutaneous SSc and in limited
cutaneous SSc with interstitial lung disease (ILD) were significantly higher than
those in healthy controls (43.1 +/- 18.4 vs. 30.9 +/- 3.76 ng/ml, p < 0.05 and
39.8 +/- 10.3 vs. 30.9 +/- 3.76 ng/ml, p < 0.01, respectively). The incidences of
decreased percent diffusing capacity for carbon monoxide (%DLco) and decreased
percent vital capacity (%VC) were significantly greater in SSc patients with
elevated SLPI levels than in those with normal levels (73 vs. 31%, p < 0.01 and
24 vs. 4%, p < 0.05, respectively). Furthermore, serum SLPI levels were inversely
correlated with %DLco (r = -0.40, p < 0.01), while they were positively
correlated with surfactant protein D (r = 0.28, p < 0.05). Longitudinal study
revealed the association of serum SLPI levels with the disease activity of SSc
ILD. SLPI serves as a useful serum marker for evaluating SSc-ILD.
PMID- 22075606
TI - [Initial division of the left renal vein before dissection of left renal vein
occluded by intracaval tumor thrombus].
AB - Between November 2008 and March 2010, we performed initial division of the left
renal vein occluded by the tumor thrombus in six cases of left renal cancer at
Toranomon Hospital. The left renal vein was completely occluded by the tumor
thrombus in all cases. In order to ligate the left renal artery first behind the
dilated left renal vein, we must dissect the left kidney with arterial blood
flow. Massive bleeding from the numerous engorged collateral veins around the
left kidney is inevitable. Furthermore, access to the left renal artery is
difficult because of the large tumor. We therefore initially divided the left
renal vein without arterial blood flow followed by division of the left renal
artery. After nephrectomy by dissecting the tumor without blood flow we
extirpated the intracaval tumor thrombus. The median time of the operation was 7
hours 35 minutes and the median amount of blood loss was 2,869 ml. The tumor
stage was pT3b in four cases and pT3c in two cases. No complications were
observed during and after surgery except for one case of lymphocele and another
case of chylous ascites. The initial division of the left renal vein is
considered to be a useful surgical approach in left renal cancer with occluded
left renal vein, especially when the tumor is large.
PMID- 22075607
TI - [Docetaxel-based chemotherapy for hormone-refractory prostate cancer in Japanese
patients : experience in a single institute].
AB - Between April 2007 and November 2010, we treated 21 cases of hormone-refractory
prostate cancer with docetaxel-based chemotherapy. The administered dose of
docetaxel was from 40 to 75 mg/m2, and the treatments were repeated every 3 to 4
weeks. The patients were from 61 to 88 years old (median 78). Fourteen patients
were alive, and seven had died. According to the prostate specific antigen
response, the complete response rate was 30%, partial response was 10%, no change
was 25%, and progressive disease was 25%, respectively. Median time to
progression was 7.0 months (from 1 to 43 months), and median overall survival
time after chemotherapy was 11.5 months (from 3 to 44 months). One patient died
of adverse events. However, in most cases, hematological toxicities were
tolerable and manageable, although neutropenia of grade 3 to 4 was observed. On
the other hand, non-hematological toxicities that led to discontinuation of the
therapy were observed in a few cases. Docetaxel-based chemotherapy was feasible
and effective even for patients over 80 years old. In responding cases, it is
important to maintain the chemotherapy as long as possible, by modifying the
treatment procedures, while paying attention to the adverse events.
PMID- 22075608
TI - [Clinical outcome of refractory seminoma].
AB - We retrospectively reviewed 67 patients with pure seminoma who were treated in
our hospital between 1991 and 2009. Fifteen (22.4%) patients had metastatic or
recurrent disease and underwent chemotherapy. Induction chemotherapy provided
freedom from disease with no recurrence in 9 patients. On the other hand, 6
patients had chemotherapy-resistant seminoma (refractory group). We herein report
the clinical features of the refractory group. Although we could not determine
the risk factors for refractory disease, clinical stage III disease was resistant
to induction chemotherapy. The refractory group consisted of 2 patients with
recurrent disease after prophylactic radiation therapy for stage I disease, 1
with stage IIB and 3 with stage IIIC disease. In the refractory group, 3 patients
obtained freedom from disease after additional chemotherapy with salvage surgery.
However, 3 patients died from cancer in spite of multiple salvage treatments.
Salvage radiation therapy was performed for inoperable metastatic disease in 3
patients and might have contributed to disease control in 2 patients. Some
patients with pure seminoma can develop refractory disease, although the
prediction is difficult. Multimodality therapy including salvage radiation
possibly provides survival benefit.
PMID- 22075609
TI - [Laparoscopic resection of retroperitoneal schwannoma : report of three cases and
review of 22 cases in Japanese literature].
AB - Retroperitoneal schwannoma is a rare tumor. Only 19 cases have been reported to
be treated by laparoscopic surgery. We performed successful laparoscopic excision
of retroperitoneal schwannoma using the four-trocar in three patients who had a
left retroperitoneal mass. The patients were two women and one man. They were 62,
60 and 57 years old. The tumor was 70, 45 and 50 mm in greatest diameter and
operative time was 204, 243 and 254 min. respectively. The pathological diagnosis
of the tumor was schwannoma. There was no morbidity or mortality. Preoperative
diagnosis of schwannoma is very difficult. However schwannoma is a benign tumor
with a good prognosis. This laparoscopic excision for retroperitoneal schwannoma
is effective and rather safe.
PMID- 22075610
TI - [Small renal cell carcinoma presented with pleural metastasis and multiple bone
metastasis ; a case report].
AB - The patient is a 69-year-old male. His chief complaint was chest pain. Because
imaging studies suggested pleural mesothelioma associated with multiple bone
metastases, right pleural tumor resection was performed. Pathological diagnosis
was metastatic pleural tumor, and renal cell carcinoma (RCC) was suspected as its
origin. Dynamic computed tomography showed a small tumor in the right kidney. The
tumor was 15 mm in diameter and consistent with RCC. Laparoscopic radical
nephrectomy was performed for the right kidney. Pathological diagnosis was RCC,
clear cell carcinoma with sarcomatoid component, T1aN0M1, stage IV. Sorafenib
therapy was started 46 days after the operation as a systemic therapy, and stable
disease has been maintained. Generally, small RCC is assumed to have a good
prognosis. However, a small percentage of patients with small RCC have distant
metastasis at the time of diagnosis, and the prognosis is reported to be poor. We
report this case, and a review of the literature.
PMID- 22075611
TI - [Infected solitary renal cyst of the graft in a renal transplant recipient : a
case report].
AB - A 59-year-old woman with end-stage renal disease of diabetic nephropathy who had
been on maintenance hemodialisis for 4 years, underwent a living-unrelated renal
transplantation 6 years ago. She was admitted to our hospital, because of a low
grade fever and edema. Ultrasonography revealed the cyst with heterogeneity
structure in the upper pole of the transplanted kidney. Magnetic resonance
imaging showed a high-intensity cystic mass measuring 68*53 mm. As fever and
laboratory data did not improve sufficiently by the treatment with antibiotics,
echo-guided puncture and drainage were performed for the abnormal structure in
the upper pole of the transplanted kidney. In the culture of the purulent
aspirate drained from renal cyst, Escherichia coli was isolated. To our
knowledge, this is the first report of infected renal cyst of the graft in a
renal transplant recipient in the world.
PMID- 22075612
TI - [A case report of small intestine metastasis from renal cell carcinoma].
AB - A 71-year-old man underwent left nephrectomy for metastasis from renal cell
carcinoma (RCC) of the small intestine. In spite of post-operative therapy
(interferon-alpha or interleukin-2), multiple lung metastases and intestinal
hemorrhage by metastatic tumor of small intestine appeared 9 years after the
operation. To control the bleeding from the small intestine, the small intestine
was partially excised and the histopathological diagnosis was metastasis of RCC.
He died 10 months later because of disease progression. Metastasis of RCC to the
small intestine is rare. To our knowledge, this is the 40th case of small
intestinal metastasis from RCC reported in the literature.
PMID- 22075613
TI - [Bilateral upper tract urothelial carcinoma eight years after total cystectomy
and Indiana pouch urinary diversion for bladder cancer : a case report].
AB - A 46-year-old man underwent total cystectomy and Indiana pouch urinary diversion
for bladder cancer in 2001. Pathological examination revealed an urothelial
carcinoma of the bladder (pT2N0M0). He was referred to our hospital for bilateral
hydronephrosis in June 2009. Cytological examination of the urine was negative.
Percutaneous nephrostomy was performed, and we suspected bilateral ureteral
tumors from pyelo-ureterography. Percutaneous ureteroscopy revealed a papillary
tumor in the right ureter. Since there appeared to be a papillary tumor in the
left ureter, we decided to perform ureterectomy for bilateral ureteral tumors,
and to keep the bilateral nephrostomy tube for urinary diversion. Pathological
examination revealed urothelial carcinoma in bilateral ureters. There has been no
sign of recurrence at 17 months after the operation.
PMID- 22075614
TI - [Intravesical therapy of heparin and lidocaine for interstitial cystitis : a case
report].
AB - Interstitial cystitis (IC) is a chronic inflammatory condition of the urinary
bladder, and its treatment has many uncertainties. We report a case of IC treated
with intravesical instillation of heparin and alkalized lidocaine. A 64-year-old
woman presented with urinary frequency and urgency with suprapubic pain. She
underwent intravesical treatment with combined heparin and alkalinized lidocaine
for IC, since prior medical treatments (imipramine, solifenacin, suplatast
tosilate, and kampo extracts) and hydrodistention of bladder had little or no
effect on her symptoms. A 50 ml solution containing 20,000 units of heparin, 200
mg of lidocaine and 7% sodium bicarbonate was administered intravesically twice a
week for 12 months. The O'Leary-Sant IC symptom index score and IC problem index
score improved from 20 to 8 and from 16 to 8, respectively, and her bladder
capacity increased from 90 ml to 300 ml. Intravesical instillation of combined
heparin and lidocaine was useful in the treatment of IC.
PMID- 22075615
TI - [A case of solitary bone metastasis of testicular seminoma 6 years post
orchiectomy].
AB - A 40-year-old man visited our hospital in August, 2007 with the complaint of gait
disturbance. Six years ago he had had right high orchiectomy for treatment of
stage I seminoma at another hospital. The magnetic resonance imaging (MRI)
examination showed a small tumor and compression fracture in the eighth thoracic
vertebrae. Emergency spinal decompression with bone biopsy was done. The
pathological diagnosis of the bone was metastasis of seminoma. He was treated
with 3 courses of BEP (bleomycin, etoposide and cisplatin) therapy and external
beam radiotherapy (36 Gy) was performed. His symptom of gait disturbance
disappeared gradually, and was evaluated to be in complete remission. He has been
alive with no evidence of recurrence for 3 years.
PMID- 22075616
TI - [Leydig cell tumor of the testis : a case report].
AB - An 85-year-old male visited our hospital with a complaint of painless swelling of
the right testis. Right high orchiectomy was performed under the diagnosis of the
right testicular tumor. Histopathological diagnosis was Leydig cell tumor. We
reviewed 86 cases of this tumor previously reported in Japan. To our knowledge,
our patient is the oldest one treated in Japan.
PMID- 22075617
TI - [A case report of bilateral spermatocytic seminoma].
AB - Spermatocytic seminoma is a rare germ cell tumor which was first described by
Masson in 1946. We experienced a case of bilateral spermatocytic seminoma. A 56
year-old man presented with painless swelling of left scrotal contents. This
patient was diagnosed with bilateral testicular tumor after various image
examinations (ultrasonography/computerized tomography/magnetic resonance imaging)
and bilateral high orchidectomy was performed. Histological diagnosis was
bilateral spermatocytic seminoma, pT1. After the operation, this patient was
followed closely without adjuvant therapy. There has been no sign of recurrence
at five months after the operation.
PMID- 22075618
TI - [Successful testicular sperm extraction in an azoospermic man with postpubertal
mumps orchitis].
AB - A 46-year-old man who has a child from a previous marriage without artificial
reproductive technologies was referred to our hospital with a chief complaint of
infertility. He had suffered from bilateral orchitis after parotitis six years
ago. On physical examination, both testes were soft and 4 ml in size. Semen
analysis showed azoospermia and the serum follicle stimulating hormone value was
high (36.9 mIU/ml). Microdissection testicular sperm extraction was performed,
and motile sperm were successfully retrieved. The histological examination showed
increased thickness of the basement membrane and, peritubular fibrosis in most
seminiferous tubules, with few focal areas of normal spermatogenesis.
PMID- 22075619
TI - Role of a computer-generated three-dimensional laryngeal model in anatomy
teaching for advanced learners.
AB - OBJECTIVES: (1) To investigate the efficacy of a computer-generated three
dimensional laryngeal model for laryngeal anatomy teaching; (2) to explore the
relationship between students' spatial ability and acquisition of anatomical
knowledge; and (3) to assess participants' opinion of the computerised model.
SUBJECTS AND METHODS: Forty junior doctors were randomised to undertake laryngeal
anatomy study supplemented by either a three-dimensional computer model or two
dimensional images. Outcome measurements comprised a laryngeal anatomy test, the
modified Vandenberg and Kuse mental rotation test, and an opinion survey.
RESULTS: Mean scores +/- standard deviations for the anatomy test were 15.7 +/-
2.0 for the 'three dimensions' group and 15.5 +/- 2.3 for the 'standard' group (p
= 0.7222). Pearson's correlation between the rotation test scores and the scores
for the spatial ability questions in the anatomy test was 0.4791 (p = 0.086, n =
29). Opinion survey answers revealed significant differences in respondents'
perceptions of the clarity and 'user friendliness' of, and their preferences for,
the three-dimensional model as regards anatomical study. CONCLUSION: The three
dimensional computer model was equivalent to standard two-dimensional images, for
the purpose of laryngeal anatomy teaching. There was no association between
students' spatial ability and functional anatomy learning. However, students
preferred to use the three-dimensional model.
PMID- 22075620
TI - Correlation of PU.1 and signal regulatory protein alpha1 expression in PU.1
transgenic K562 cells.
AB - PU.1 is a key transcription factor for hematopoiesis and the reduction of this
protein expression plays important roles in various hematological malignancies.
To identify PU.1 downstream target genes, we recently reported dual microarray
analyses, using PU.1 knockdown K562 (K562PU.1KD) cells stably expressing short
inhibitory RNAs versus control cells and PU.1-overexpressing K562 (K562PU.1OE)
cells versus control cells. Several PU.1 candidate target genes, including cell
surface receptor, signal regulatory protein (SIRP) alpha1, were identified. In
this study, we revealed that the expression of SIRPalpha1 is positively
correlated with the expression of PU.1 in various K562PU.1KD and K562PU.1OE
cells, shown by real-time PCR and flow cytometry analyses. SIRPalpha1 is a
negative regulator of signaling and its reduced expression is considered to play
a role in the pathogenesis of hematological malignancies through the activation
of downstream signaling pathways. By comparing 3 different clones of K562PU.1KD
cells to their controls, we found constitutive phosphorylation of the
extracellular signal-regulated kinase (ERK), but not of Akt, in these cells.
Taken together, the down-regulation of PU.1 expression suppresses the expression
of SIRPalpha1, which may play a role in the aberrant activation of ERK in these
cells.
PMID- 22075621
TI - Stridor and lingual thyroglossal duct cyst in a newborn.
AB - OBJECTIVE: To describe the diagnosis and management of lingual thyroglossal duct
cyst in newborns. CASE REPORT: A one-month-old girl presenting with inspiratory
stridor and chest wall retraction was referred to our department for management
of stridor. Upper airway endoscopy and neck CT scan showed a round mass at the
base of the tongue causing upper airway obstruction. Computed tomography showed a
well-circumscribed, low-density lesion at the base of the tongue. Endoscopic
marsupialization of this lesion was performed under general anaesthesia.
Histological examination confirmed the diagnosis of lingual thyroglossal duct
cyst. No recurrence was observed 18 months after endoscopic treatment.
DISCUSSION/CONCLUSION: Lingual thyroglossal duct cyst with airway obstruction is
an uncommon aetiology of stridor in newborns with symptoms similar to those of
laryngomalacia. The diagnosis is based on laryngoscopy. Surgical endoscopic
removal is the treatment of choice. Recurrence after endoscopic treatment is
rare.
PMID- 22075622
TI - Human choriocarcinomas: placental growth factor-dependent preclinical tumor
models.
AB - Choriocarcinomas are a rare form of cancer that develops in the uterus from
tissue which would normally become the placenta. Choriocarcinomas are a
trophoblastic gestational disease and have been studied largely to investigate
conditions related to pregnancy such as preeclampsia. Choriocarcinomas are highly
angiogenic and produce high levels of placental growth factor (PlGF) to promote
the development of blood vessels. Upregulation of PlGF expression also occurs
during the development of other human malignancies such as breast cancer and
melanoma. Both tumor specimens and plasma samples have higher levels of PlGF than
normal tissues. Hence, PlGF has emerged as a valid target for anti-angiogenic
therapy. The cell lines BeWo, JAR and JEG-3, derived from human choriocarcinomas,
were investigated in vitro and in vivo for suitability as PlGF-dependent models.
BeWo, JAR and JEG-3 cells were characterized in culture and were implanted into
immunodeficient mice to generate subcutaneous tumors. The PlGF and VEGF
angiogenic profiles of the choriocarcinoma cells and tumors were investigated by
ELISA and by immunohistochemical methods. Double immunofluorescence methods were
applied to choriocarcinoma xenograft sections to characterize the cellular
components of the blood vessels. sFLT01, a fusion protein that neutralizes PlGF,
was assessed in cell culture experiments and xenograft studies. The novel results
presented here validate the importance of human choriocarcinoma cell lines and
xenografts in further exploring the role of PlGF in tumor angiogenesis, for
evaluating PlGF as an anti-angiogenic target, and for developing therapies that
may provide clinical benefit.
PMID- 22075624
TI - Evaluation of methods for the extraction of DNA from drinking water distribution
system biofilms.
AB - While drinking water biofilms have been characterized in various drinking water
distribution systems (DWDS), little is known about the impact of different DNA
extraction methods on the subsequent analysis of microbial communities in
drinking water biofilms. Since different DNA extraction methods have been shown
to affect the outcome of microbial community analysis in other environments, it
is necessary to select a DNA extraction method prior to the application of
molecular tools to characterize the complex microbial ecology of the DWDS. This
study compared the quantity and quality of DNA yields from selected DWDS bacteria
with different cell wall properties using five widely used DNA extraction
methods. These were further selected and evaluated for their efficiency and
reproducibility of DNA extraction from DWDS samples. Terminal restriction
fragment length analysis and the 454 pyrosequencing technique were used to
interpret the differences in microbial community structure and composition,
respectively, from extracted DNA. Such assessments serve as a concrete step
towards the determination of an optimal DNA extraction method for drinking water
biofilms, which can then provide a reliable comparison of the meta-analysis
results obtained in different laboratories.
PMID- 22075626
TI - Intestinal adenocarcinoma arising in urinary conduits.
AB - It is estimated that >10,000 patients who undergo cystectomy for bladder cancer
in the US each year receive a conventional ileal conduit and that >2,000 receive
a continent urinary diversion. Case reports of primary intestinal adenocarcinomas
in urinary conduits have been published recently, mainly in the urology
literature. An epidemic of such cancers in this small, high-risk population seems
to be emerging, particularly in conduits that utilize the colon. A case report
and literature review was carried out. We describe a patient with a new primary
adenocarcinoma arising in a colonic neobladder. We summarize prior literature
describing intestinal adenocarcinomas that developed in an intestinal segment
used for urinary diversion. Patients with urinary conduits of all types
(particularly those utilizing colon rather than ileum) are at high risk of
developing a second primary intestinal adenocarcinoma in the conduit. This
population is likely to benefit from surveillance measures aimed at detecting
such cancers. The primary form of therapy remains adequate surgical resection.
General surgeons should be aware of such patients as they may be involved in the
diagnosis of, and surgery for, the cancer in the conduit.
PMID- 22075625
TI - Comparison among amoA primers suited for quantification and diversity analyses of
ammonia-oxidizing bacteria in soil.
AB - Ammonia monooxygenase subunit A gene (amoA) is frequently used as a functional
gene marker for diversity analysis of ammonia-oxidizing bacteria (AOB). To select
a suitable amoA primer for real-time PCR and PCR-denaturing gradient gel
electrophoresis (DGGE), three reverse primers (degenerate primer amoA-2R; non
degenerate primers amoA-2R-GG and amoA-2IR) were examined. No significant
differences were observed among the three primers in terms of quantitative values
of amoA from environmental samples using real-time PCR. We found that PCR-DGGE
analysis with the amoA-2IR primer gave the best results in this studied soil.
These results indicate that amoA-2IR is a suitable primer for community analysis
of AOB in the environment.
PMID- 22075623
TI - Analysis of early bacterial communities on volcanic deposits on the island of
Miyake (Miyake-jima), Japan: a 6-year study at a fixed site.
AB - Microbial colonization on new terrestrial substrates represents the initiation of
new soil ecosystem formation. In this study, we analyzed early bacterial
communities growing on volcanic ash deposits derived from the 2000 Mount Oyama
eruption on the island of Miyake (Miyake-jima), Japan. A site was established in
an unvegetated area near the summit and investigated over a 6-year period from
2003 to 2009. Collected samples were acidic (pH 3.0-3.6), did not utilize any
organic substrates in ECO microplate assays (Biolog), and harbored around 106
cells (g dry weight)(-1) of autotrophic Fe(II) oxidizers by most-probable-number
(MPN) counts. Acidithiobacillus ferrooxidans, Acidithiobacillus ferrivorans, and
the Leptospirillum groups I, II and III were found to be abundant in the deposits
by clone library analysis of bacterial 16S rRNA genes. The numerical dominance of
Acidithiobacillus ferrooxidans was also supported by analysis of the gene coding
for the large subunit of the form I ribulose 1,5-bisphosphate
carboxylase/oxygenase (RubisCO). Comparing the 16S rRNA gene clone libraries from
samples differing in age, shifts in Fe(II)-oxidizing populations seemed to occur
with deposit aging. The detection of known 16S rRNA gene sequences from Fe(III)
reducing acidophiles promoted us to propose the acidity-driven iron cycle for the
early microbial ecosystem on the deposit.
PMID- 22075629
TI - Transapical access for thoracic endograft delivery.
AB - The purpose of this paper is to describe the technique of transapical deployment
of a thoracic endograft and to discuss the specifics of this access. The
technique of endograft deployment through a transapical access is demonstrated in
a patient with a symptomatic 14-cm aortic arch aneurysm. The 73-year-old patient,
with concomitant chronic obstructive airway disease and cardiovascular disease,
had been denied open surgery. Femoral artery access was deemed contraindicated
because of a more distal concomitant type III thoracoabdominal aneurysm,
borderline renal failure and heavily calcified iliac arteries. Bilateral iliac
subclavian debranching and thoracic endografting via a combined transapical and
left subclavian access successfully excluded the thoracic aortic aneurysm. The
patient died within 24 hours postoperatively due to a massive myocardial
infarction. In conclusion, transapical access for thoracic endograft delivery is
feasible. Combined with complex debranching procedures in a challenging
aneurysmal anatomy, it carries a high risk for periprocedural complications.
PMID- 22075627
TI - CXCR4/CXCL12 expression profile is associated with tumor microenvironment and
clinical outcome of liver metastases of colorectal cancer.
AB - Interaction between CXCR4 and CXCL12 plays a role in tumor progression. The
present study examined CXCR4, CXCL12 and CD133 expression in liver metastases of
colorectal cancer (CLM) and determined whether the expression profiles affect the
tumor microenvironment and thus progression, and whether they could serve as a
prognostic marker for survival. Liver metastases of colorectal cancer collected
from 92 patients were evaluated by CXCR4, CXCL12 and CD133 immunohistochemistry
and clinicopathological data were analyzed. The expression profile of CXCR4 was
determined in the colorectal cancer cell line, SW48. The expression of
cytoplasmic CXCR4 was higher in 36 (39%) patients than that indicated by CXCR4
staining intensity of hepatocytes. High levels of nuclear CXCR4 expression in 23
(25%) patients significantly correlated with CXCL12 expression in hepatocytes.
Nuclear CXCR4 expression was increased in the cancer cells after exposure to
CXCL12. Univariate and multivariate analyses demonstrated that the high levels of
nuclear CXCR4 and CXCL12 expression in hepatocytes were significantly better
prognostic factors for overall and hepatic disease-free survival in patients with
CLM. The expression of CXCR4 and CXCL12 in CLM may have an interactive effect
that could alter the tumor microenvironment. CXCR4 expression in metastatic liver
tumors together with the upregulation of CXCL12 in hepatocytes may help to
predict the clinical outcomes of patients with CLM after hepatectomy.
PMID- 22075630
TI - Development of production and purification processes of recombinant fragment of
pneumococcal surface protein A in Escherichia coli using different carbon sources
and chromatography sequences.
AB - Pneumococcal surface protein A (PspA) is essential for Streptococcus pneumoniae
virulence and its use either as a novel pneumococcal vaccine or as carrier in a
conjugate vaccine would improve the protection and the coverage of the vaccine.
Within this context, the development of scalable production and purification
processes of His-tagged recombinant fragment of PspA from clade 3 (rfPspA3) in
Escherichia coli BL21(DE3) was proposed. Fed-batch production was performed using
chemically defined medium with glucose or glycerol as carbon source. Although the
use of glycerol led to lower acetate production, the concentration of cells were
similar at the end of both fed-batches, reaching high cell density of E. coli (62
g dry cell weight/L), and the rfPspA3 production was higher with glucose (3.48
g/L) than with glycerol (2.97 g/L). A study of downstream process was also
carried out, including cell disruption and clarification steps. Normally, the
first chromatography step for purification of His-tagged proteins is metal
affinity. However, the purification design using anion exchange followed by metal
affinity gave better results for rfPspA3 than the opposite sequence. Performing
this new design of chromatography steps, rfPspA3 was obtained with 95.5% and
75.9% purity, respectively, from glucose and glycerol culture. Finally, after
cation exchange chromatography, rfPspA3 purity reached 96.5% and 90.6%,
respectively, from glucose and glycerol culture, and the protein was shown to
have the expected alpha-helix secondary structure.
PMID- 22075631
TI - Gas discharge plasmas are effective in inactivating Bacillus and Clostridium
spores.
AB - Bacterial spores are the most resistant form of life and have been a major threat
to public health and food safety. Nonthermal atmospheric gas discharge plasma is
a novel sterilization method that leaves no chemical residue. In our study, a
helium radio-frequency cold plasma jet was used to examine its sporicidal effect
on selected strains of Bacillus and Clostridium. The species tested included
Bacillus subtilis, Bacillus stearothermophilus, Clostridium sporogenes,
Clostridium perfringens, Clostridium difficile, and Clostridium botulinum type A
and type E. The plasmas were effective in inactivating selected Bacillus and
Clostridia spores with D values (decimal reduction time) ranging from 2 to 8 min.
Among all spores tested, C. botulinum type A and C. sporogenes were significantly
more resistant to plasma inactivation than other species. Observations by phase
contrast microscopy showed that B. subtilis spores were severely damaged by
plasmas and the majority of the treated spores were unable to initiate the
germination process. There was no detectable fragmentation of the DNA when the
spores were treated for up to 20 min. The release of dipicolinic acid was
observed almost immediately after the plasma treatment, indicating the spore
envelope damage could occur quickly resulting in dipicolinic acid release and the
reduction of spore resistance.
PMID- 22075632
TI - Luciferase and fluorescent protein as dual reporters analyzing the effect of n
dodecyltrimethylammonium bromide on the physiology of Pseudomonas putida.
AB - With the growing interest in using surfactants to improve microbial cell
performance for whole-cell biocatalysis and bioremediation, understanding the
interactions between surfactants and bacteria is of great importance. By using
cyanine fluorescent protein (CFP) and bacterial luciferase (LUX) as dual
bioreporters, the effects of n-dodecyltrimethylammonium bromide (DTAB) on the
whole cells and intracellular proteins in Pseudomonas putida cultures were
quantitatively and systematically studied. The dual reporter system was shown to
be a useful indicator to assess the effect of DTAB treatment on whole-cell
metabolic activity, membrane permeability, and cellular enzyme activity. CFP was
useful to assess the leakage of intracellular enzymes and the lysis of cells and
was able to reflect the activities of most cellular enzymes, while LUX reflected
the permeability of cell membranes and cellular metabolic activity. The validity
of CFP-LUX dual bioreporters was further confirmed by detecting changes in
extracellular proteins, membrane potential, oxygen consumption rate (OUR), and
intracellular catechol 2,3-dioxygenase (C23O) activity with the addition of DTAB.
The dual LUX-CFP bioreporter is a useful tool for analyzing the surfactant
bacterium interactions for biotechnological applications.
PMID- 22075633
TI - Development of a glutathione production process from proteinaceous biomass
resources using protease-displaying Saccharomyces cerevisiae.
AB - Glutathione is a valuable tri-peptide that is widely used in the pharmaceutical,
food, and cosmetic industries. Glutathione is produced industrially by
fermentation using Saccharomyces cerevisiae, and supplementation of fermentation
with several amino acids can increase intracellular GSH content. More recently,
however, focus has been given to protein as a resource for biofuel and fine
chemical production. We demonstrate that expression of a protease on the cell
surface of S. cerevisiae enables the direct use of keratin and soy protein as a
source of amino acids and that these substrates enhanced intracellular GSH
content. Furthermore, fermentation using soy protein also enhanced cell
concentration. GSH fermentation from keratin and to a greater extent from soy
protein using protease-displaying yeast yielded greater GSH productivity compared
to GSH fermentation with amino acid supplementation. This protease-displaying
yeast is potentially applicable to a variety of processes for the bio-production
of value-added chemicals from proteinaceous biomass resources.
PMID- 22075634
TI - Biodegradation of Leonardite by an alkali-producing bacterial community and
characterization of the degraded products.
AB - In this study, three bacterial communities were obtained from 12 Leonardite
samples with the aim of identifying a clean, effective, and economic technique
for the dissolution of Leonardite, a type of low-grade coal, in the production of
humic acid (HA). The biodegradation ability and characteristics of the degraded
products of the most effective bacterial community (MCSL-2), which degraded 50%
of the Leonardite within 21 days, were further investigated. Analyses of
elemental composition, (13)C NMR, and Fourier transform infrared revealed that
the contents of C, O, and aliphatic carbon were similar in biodegraded humic acid
(bHA) and chemically (alkali) extracted humic acid (cHA). However, the N and
carboxyl carbon contents of bHA was higher than that of cHA. Furthermore, a
positive correlation was identified between the degradation efficiency and the
increasing pH of the culture medium, while increases of manganese peroxidase and
esterase activities were also observed. These data demonstrated that both alkali
production and enzyme reactions were involved in Leonardite solubilization by
MCSL-2, although the former mechanism predominated. No fungus was observed by
microscopy. Only four bacterial phylotypes were recognized, and Bacillus
licheniformis-related bacteria were identified as the main group in MCSL-2 by
analysis of amplified 16S rRNA genes, thus demonstrating that Leonardite
degradation ability has a limited distribution in bacteria. Hormone-like
bioactivities of bHA were also detected. In this study, a bacterial community
capable of Leonardite degradation was identified and the products characterized.
These data implicate the use of such bacteria for the exploitation of Leonardite
as a biofertilizer.
PMID- 22075635
TI - Cloning, expression and characterization of a eukaryotic cycloalkanone
monooxygenase from Cylindrocarpon radicicola ATCC 11011.
AB - In this study, we have cloned and characterized a cycloalkanone monooxygenase
(CAMO) from the ascomycete Cylindrocarpon radicicola ATCC 11011 (identical to
Cylindrocarpon destructans DSM 837). The primary structure of this Baeyer
Villiger monooxygenase (BMVO) revealed 531 residues with around 45% sequence
identity to known cyclohexanone monooxygenases. The enzyme was functionally
overexpressed in Escherichia coli and investigated with respect to substrate
spectrum and kinetic parameters. Substrate specificity studies revealed that a
large variety of cycloaliphatic and bicycloaliphatic ketones are converted by
this CAMO. A high catalytic efficiency against cyclobutanone was observed and
seems to be a particular property of this BVMO. The thus produced butyrolactone
derivatives are valuable building blocks for the synthesis of a variety of
natural products and bioactive compounds. Furthermore, the enzyme revealed
activity against open-chain ketones such as cyclobutyl, cyclopentyl and
cyclohexyl methyl ketone which have not been reported to be accepted by typical
cyclohexanone monooxygenases. These results suggest that the BVMO from C.
radicicola indeed might be rather unique and since no BVMOs originating from
eukaryotic organisms have been produced recombinantly so far, this study provides
the first example for such an enzyme.
PMID- 22075636
TI - Extracellular production of cycloisomaltooligosaccharide glucanotransferase and
cyclodextran by a protease-deficient Bacillus subtilis host-vector system.
AB - A cycloisomaltooligosaccharide (CI; cyclodextran) production system was developed
using a Bacillus subtilis expression system for the cycloisomaltooligosaccharide
glucanotransferase (CITase) gene. The CITase gene of Bacillus circulans T-3040,
along with the alpha-amylase promoter (PamyQ) and amyQ signal sequence of
Bacillus amyloliquefaciens, was cloned into the Bacillus expression vector pUB110
and subsequently expressed in B. subtilis strain 168 and its alkaline (aprE) and
neutral (nprE) protease-deficient strains. The recombinant CITase produced by the
protease-deficient strains reached 1 U/mL in the culture supernatant within 48 h
of cultivation, which was approximately 7.5 times more than that produced by the
industrial CITase-producing strain B. circulans G22-10 derived from B. circulans
T-3040. When aprE- and nprE-deficient B. subtilis 168 harboring the CITase gene
was cultured with 10% dextran 40 for 48 h, 17% of the dextran in the culture was
converted to CIs (CI-7 to CI-12), which was approximately three times more than
that converted by B. circulans G22-10 under the same dextran concentration. The
B. subtilis host-vector system enabled us to produce CIs by direct fermentation
of dextran along with high CITase production, which was not possible in B.
circulans G22-10 due to growth inhibition by dextran at high concentrations and
limited production of CITase.
PMID- 22075637
TI - PmST3 from Pasteurella multocida encoded by Pm1174 gene is a monofunctional
alpha2-3-sialyltransferase.
AB - Pasteurella multocida (Pm) strain Pm70 has three putative sialyltransferase genes
including Pm0188, Pm0508, and Pm1174. A Pm0188 gene homolog in Pm strain P-1059
encodes a multifunctional alpha2-3-sialyltransferase, PmST1, that prefers
oligosaccharide acceptors. A Pm0508 gene homolog in the same strain encodes a
monofunctional sialyltransferase PmST2 that prefers glycolipid acceptors. Here,
we report that the third sialyltransferase from Pm (PmST3) encoded by gene Pm1174
in strain Pm70 is a monofunctional alpha2-3-sialyltransferase that can use both
oligosaccharides and glycolipids as efficient acceptors. Despite the existence of
both Pm0188 and Pm0508 gene homologs encoding PmST1 and PmST2, respectively, in
Pm strain P-1059, a Pm1174 gene homolog appears to be absent from Pm strains P
1059 and P-934. PmST3 was successfully obtained by cloning and expression using a
synthetic gene of Pm1174 with codons optimized for Escherichia coli expression
system as the DNA template for polymer chain reactions. Truncation of 35 amino
acid residues from the carboxyl terminus was shown to improve the expression of a
soluble and active enzyme in E. coli as a C-His(6)-tagged fusion protein. This
sialidase-free monofunctional alpha2-3-sialyltransferase is a useful tool for
synthesizing sialylated oligosaccharides and glycolipids.
PMID- 22075638
TI - Swim training does not protect mice from skeletal muscle oxidative damage
following a maximum exercise test.
AB - We investigated whether swim training protects skeletal muscle from oxidative
damage in response to a maximum progressive exercise. First, we investigated the
effect of swim training on the activities of the antioxidant enzymes, superoxide
dismutase (SOD), catalase (CAT) and glutathione peroxidase (GPx), in the
gastrocnemius muscle of C57Bl/6 mice, 48 h after the last training session. Mice
swam for 90 min, twice a day, for 5 weeks at 31 degrees C (+/- 1 degrees C). The
activities of SOD and CAT were increased in trained mice (P < 0.05) compared to
untrained group. However, no effect of training was observed in the activity of
GPx. In a second experiment, trained and untrained mice were submitted to a
maximum progressive swim test. Compared to control mice (untrained, not acutely
exercised), malondialdehyde (MDA) levels were increased in the skeletal muscle of
both trained and untrained mice after maximum swim. The activity of GPx was
increased in the skeletal muscle of both trained and untrained mice, while SOD
activity was increased only in trained mice after maximum swimming. CAT activity
was increased only in the untrained compared to the control group. Although the
trained mice showed increased activity of citrate synthase in skeletal muscle,
swim performance was not different compared to untrained mice. Our results show
an imbalance in the activities of SOD, CAT and GPx in response to swim training,
which could account for the oxidative damage observed in the skeletal muscle of
trained mice in response to maximum swim, resulting in the absence of improved
exercise performance.
PMID- 22075639
TI - Allometric scaling of 6-min walking distance by body mass as a standardized
measure of exercise capacity in healthy adults.
AB - Body mass (BM) is a confounding variable in human performance. We hypothesized
that adjusting 6-min walk distance (6MWD) for BM differences using allometric
scaling would allow meaningful individual and group comparisons. We aimed to use
allometric scaling of 6MWD to BM to provide an index for comparing walking
performance in middle-aged and older adults. One hundred and twenty subjects (40
87 years) participated. Anthropometry, spirometry, and two walk tests were
evaluated. We adjusted 6MWD to BM, gender, and age using an allometric procedure.
The allometric exponents were prospectively applied in a validation sample of 44
healthy subjects. Body mass presented significant negative correlation (p < 0.01)
with 6MWD . BM(-1) in middle-aged and older adults (r = -0.70 and -0.46,
respectively). The allometric exponent (b) for 6MWD was significantly higher for
older than middle-aged adults (0.35 +/- 0.20 vs. 0.11 +/- 0.08, respectively).
The resulting BM exponents were similar in male and female subjects (0.22 +/-
0.13 and 0.17 +/- 0.09, respectively). The correlation between 6MWD . BM(-b ) and
BM using the exponents (0.11 or 0.35) was not statistically different from zero
(r = 0.00) in the validation sample, suggesting that allometric analysis did not
penalize the subjects based on BM. Allometric scaling of 6MWD in middle-aged and
older adults may be useful for evaluating walking performance free of the
confounding effect of BM, even in the absence of a table of norms.
PMID- 22075640
TI - Metabolic and functional effects of beta-hydroxy-beta-methylbutyrate (HMB)
supplementation in skeletal muscle.
AB - Beta-hydroxy-beta-methylbutyrate (HMB) is a metabolite derived from leucine. The
anti-catabolic effect of HMB is well documented but its effect upon skeletal
muscle strength and fatigue is still uncertain. In the present study, male Wistar
rats were supplemented with HMB (320 mg/kg per day) for 4 weeks. Placebo group
received saline solution only. Muscle strength (twitch and tetanic force) and
resistance to acute muscle fatigue of the gastrocnemius muscle were evaluated by
direct electrical stimulation of the sciatic nerve. The content of ATP and
glycogen in red and white portions of gastrocnemius muscle were also evaluated.
The effect of HMB on citrate synthase (CS) activity was also investigated. Muscle
tetanic force was increased by HMB supplementation. No change was observed in
time to peak of contraction and relaxation time. Resistance to acute muscle
fatigue during intense contractile activity was also improved after HMB
supplementation. Glycogen content was increased in both white (by fivefold) and
red (by fourfold) portions of gastrocnemius muscle. HMB supplementation also
increased the ATP content in red (by twofold) and white (1.2-fold) portions of
gastrocnemius muscle. CS activity was increased by twofold in red portion of
gastrocnemius muscle. These results support the proposition that HMB
supplementation have marked change in oxidative metabolism improving muscle
strength generation and performance during intense contractions.
PMID- 22075641
TI - Experimental knee pain impairs postural stability during quiet stance but not
after perturbations.
AB - The objective of this study was to examine the effect of experimental knee
related pain on postural control. Twelve healthy subjects stood as quietly as
possible on a movable force platform (that measured the centre of pressure and
provided fast perturbations) before, during, and after experimental knee-related
pain. Lower limb electromyographic (EMG) activity and joint angles were measured.
Experimental pain was induced by injecting hypertonic saline into the
infrapatellar fat pad (unilateral and bilateral) and isotonic saline was used for
control sessions. Compared with the baseline and control sessions, unilateral and
bilateral knee-related pain during quiet standing evoked (1) an increased sway
displacement in the anterior-posterior direction (P < 0.05), (2) larger knee
flexion (P < 0.05), and (3) larger EMG changes. Bilateral pain also induced (1)
larger medial-lateral sway displacement and speed (P < 0.05) and (2) larger left
hip flexion (P < 0.05). During forward perturbations, subjects leaned forward
during both painful conditions when compared with baseline (P < 0.05). The
additional impairment by bilateral pain suggests that the non-painful limb in
unilateral pain conditions compensates for the impaired postural control. These
results show that knee-related pain impairs postural stability during quiet
standing, indicating the vulnerability of patients with knee pain to falls. This
measure could potentially help clinicians who seek to assess how pain responses
may contribute to patient's postural control and stability during quiet standing.
PMID- 22075642
TI - Is glucose-6-phosphate dehydrogenase deficiency a risk factor for hyperbaric
oxygen exposure?
AB - Divers and patients lacking glucose-6-phosphate dehydrogenase (G6PD) may face a
serious threat of central nervous system oxygen toxicity (CNS-OT) during exposure
to hyperbaric oxygen (HBO), due to the important part played by G6PD in cellular
redox balance. Our objective was to investigate G6PD deficiency as a risk factor
for CNS-OT. We exposed G6PD-deficient (G6PDdef) and wild type (WT) mice to HBO at
405 kPa. Latency to CNS-OT was measured by observing the animal and monitoring
the time to appearance of convulsions. Changes in glutathione peroxidase (GPx)
and catalase activity were measured in red blood cells, and levels of endothelial
and neuronal nitric oxide synthase (eNOS and nNOS) and 3-nitrotyrosine (NT) were
measured in extracts of whole brain tissue by Western blot analysis.
Unexpectedly, latency to CNS-OT was more than twice as long in G6PDdef mice
compared with WT (36.9 +/- 15.4 and 15.6 +/- 13.2 min, respectively, P < 0.005).
No significant differences were found in GPx and catalase activity or in protein
levels of eNOS. However, nNOS and NT levels were lower in G6PDdef mice compared
with WT (50.6%, P < 0.01 and 52.8%, P < 0.05, respectively). Our results suggest
that the enhanced resistance of G6PDdef mice to HBO is due in part to a reduction
in nNOS and NT levels in the brain. We conclude that G6PD deficiency at the level
of the animals in the present study may not be a risk factor for developing CSN
OT, but this remains to be verified for human subjects.
PMID- 22075643
TI - Validity, reliability and stability of the portable Cortex Metamax 3B gas
analysis system.
AB - This study investigated the performance of the portable Cortex Metamax 3B (MM3B)
automated gas analysis system during both simulated and human exercise using
adolescents. Repeated measures using a Gas Exchange System Validator (GESV)
across a range of simulated metabolic rates, showed the MM3B to be adequately
reliable (both percentage errors, and percentage technical error of measurements
<2%) for measuring expired ventilation (V (E)), oxygen consumption (VO(2)), and
carbon dioxide production (VCO(2)). Over a 3 h period, the MM3B was shown to be
acceptably stable in measuring gas fractions, as well as V (E), VO(2), and VCO(2)
generated by the GESV, especially at moderate and high metabolic rates (drifts
<2% and of minor physiological significance). Using eight healthy adolescents
during rest, moderate, and vigorous cycle ergometry, the validity of the MM3B was
tested against the primary criterion Douglas bag method (DBM) and a secondary
criterion machine known to be accurate, the Jaeger Oxycon Pro system. No
significant errors in V (E) were noted, yet the MM3B significantly overestimated
both VO(2) and VCO(2) by approximately 10-17% at moderate and vigorous exercise
as compared to the DBM and at all exercise levels compared to the Oxycon Pro. No
significant differences were seen in any metabolic variable between the two
criterion systems (DBM and Oxycon Pro). It is concluded the MM3B produces
acceptably stable and reliable results, but is not adequately valid during
moderate and vigorous exercise without some further correction of VO(2) and
VCO(2).
PMID- 22075644
TI - Does exercise duration affect Fatmax in overweight boys?
AB - To compare the assessment of Fat(max) using a single graded exercise test with 3
min stages against 30 min prolonged exercise bouts in overweight boys. Ten
overweight boys (8-12 years) attended the laboratory on seven separate occasions.
On the first visit, body anthropometrics and peak aerobic capacity ([Formula: see
text]O(2peak)) were assessed. Following this, each participant attended the
laboratory after an overnight fast for six morning cycling sessions. During the
first session, participants completed a continuous, submaximal graded exercise
protocol with seven 3 min stages (GRAD) at 35, 40, 45, 50, 55, 60 and 65%
[Formula: see text]O(2peak). The final five visits consisted of a 30 min bout of
prolonged exercise (PROL) performed in a counterbalanced order at 40, 45, 50, 55
and 60% [Formula: see text]O(2peak). There was no effect of exercise duration on
Fat(max) or the absolute rate of fat oxidation during PROL (p > 0.05). At the
group level, GRAD and PROL provided similar estimates of Fat(max) (GRAD: 53 +/-
10% [Formula: see text]O(2peak); PROL: 53 +/- 10% [Formula: see text]O(2peak); p
= 0.995); however, individual variation between the two protocols is shown by a
systematic bias and residual error of 0 +/- 11% [Formula: see text]O(2peak). Fat
oxidation rates remained stable across 30 min of steady-state exercise in
overweight boys. Furthermore, Fat(max) was similar at 3, 10, 20 and 30 min of
exercise, suggesting that for exercise lasting <= 30 min, exercise duration does
not affect Fat(max). However, Fat(max) determined with GRAD may need to be
interpreted with caution at the individual level given the variation in Fat(max)
between protocols.
PMID- 22075645
TI - The plant hormone abscisic acid increases in human plasma after hyperglycemia and
stimulates glucose consumption by adipocytes and myoblasts.
AB - The plant hormone abscisic acid (ABA) is released from glucose-challenged human
pancreatic beta cells and stimulates insulin secretion. We investigated whether
plasma ABA increased during oral and intravenous glucose tolerance tests (OGTTs
and IVGTTs) in healthy human subjects. In all subjects undergoing OGTTs (n=8),
plasma ABA increased over basal values (in a range from 2- to 9-fold). A positive
correlation was found between the ABA area under the curve (AUC) and the glucose
AUC. In 4 out of 6 IVGTTs, little or no increase of ABA levels was observed. In
the remaining subjects, the ABA increase was similar to that recorded during
OGTTs. GLP-1 stimulated ABA release from an insulinoma cell line and from human
islets, by ~10- and 2-fold in low and high glucose, respectively. Human adipose
tissue also released ABA in response to high glucose. Nanomolar ABA stimulated
glucose uptake, similarly to insulin, in rat L6 myoblasts and in murine 3T3-L1
cells differentiated to adipocytes, by increasing GLUT-4 translocation to the
plasma membrane. Demonstration that a glucose load in humans is followed by a
physiological rise of plasma ABA, which can enhance glucose uptake by adipose
tissues and muscle cells, identifies ABA as a new mammalian hormone involved in
glucose metabolism.
PMID- 22075648
TI - Methylphenidate treatment of adult male prison inmates with attention-deficit
hyperactivity disorder: randomised double-blind placebo-controlled trial with
open-label extension.
AB - BACKGROUND: Attention-deficit hyperactivity disorder (ADHD) is highly prevalent
in prison inmates, but pharmacological treatment has not yet been evaluated in
this group. AIMS: To evaluate osmotic-release oral system (OROS) methylphenidate
in adult male long-term prison inmates with ADHD. METHOD: Randomised, double
blind, placebo-controlled 5-week trial, followed by 47-week open-label extension
in 30 prison inmates with ADHD and comorbid disorders. Primary outcome was level
of ADHD symptoms after 5 weeks, evaluated by a masked assessor. Secondary
outcomes were self-reported ADHD symptoms, global severity and global functioning
throughout the 52-week trial, and post hoc treatment response and numbers needed
to treat (NNT) (trial registration: NCT00482313.) RESULTS: Treatment
significantly improved ADHD during the trial (P<0.001; Cohen's d = 2.17), with
reduced symptom severity and improved global functioning. The placebo response,
cardiovascular measures and adverse events were non-significant; the NNT was 1.1.
Attention-deficit hyperactivity disorder symptoms, global severity and global
functioning continued to improve during the open-label extension. CONCLUSIONS:
Osmotic-release oral system methylphenidate is an effective treatment for adult
male prison inmates with ADHD.
PMID- 22075646
TI - Opposing roles of RAGE and Myd88 signaling in extensive liver resection.
AB - In extensive liver resection secondary to primary or metastatic liver tumors, or
in living donor liver transplantation, strategies to quell deleterious
inflammatory responses and facilitate regeneration are essential. The receptor
for advanced glycation endproducts (RAGE) and myeloid differentiating factor 88
(Myd88) are implicated in the inflammatory response. To establish the
contributions of RAGE vs. Myd88 signaling in extensive liver resection, we probed
the effect of RAGE and/or Myd88, the latter primarily a key transducer of major
toll-like receptors and also implicated in interleukin-1 (Il1) signaling, in a
murine model of extensive (85%) hepatectomy. We report that, although Myd88 is
thoroughly essential for survival via regulation of NF-kappaB and TNF-alpha,
deletion of RAGE significantly improved survival compared to wild-type, Myd88
null, or RAGE-null/Myd88-null mice. RAGE opposes Myd88 signaling at multiple
levels: by suppression of p65 levels, thereby reducing activation of NF-kappaB
and consequent production of cyclin D1, and by suppression of Il6-mediated
phosphorylation of Stat3, thereby down-regulating Pim1 and suppressing the
hyperplastic response. Further, RAGE-dependent suppression of glyoxalase1, a
detoxification pathway for pre-AGEs, enhances AGE levels and suppresses Il6
action. We conclude that blockade of RAGE may rescue liver remnants from the
multiple signals that preclude adaptive proliferation triggered primarily by
Myd88 signaling pathways.
PMID- 22075647
TI - Soluble miniagrin enhances contractile function of engineered skeletal muscle.
AB - Neural agrin plays a pleiotropic role in skeletal muscle innervation and
maturation, but its specific effects on the contractile function of aneural
engineered muscle remain unknown. In this study, neonatal rat skeletal myoblasts
cultured within 3-dimensional engineered muscle tissue constructs were treated
with 10 nM soluble recombinant miniagrin and assessed using histological,
biochemical, and functional assays. Depending on the treatment duration and onset
time relative to the stage of myogenic differentiation, miniagrin was found to
induce up to 1.7-fold increase in twitch and tetanus force amplitude. This effect
was associated with the 2.3-fold up-regulation of dystrophin gene expression at 6
d after agrin removal and enhanced ACh receptor (AChR) cluster formation, but no
change in cell number, expression of muscle myosin, or important aspects of
intracellular Ca(2+) handling. In muscle constructs with endogenous ACh levels
suppressed by the application of alpha-NETA, miniagrin increased AChR clustering
and twitch force amplitude but failed to improve intracellular Ca(2+) handling
and increase tetanus-to-twitch ratio. Overall, our studies suggest that besides
its synaptogenic function that could promote integration of engineered muscle
constructs in vivo, neural agrin can directly promote the contractile function of
aneural engineered muscle via mechanisms distinct from those involving endogenous
ACh.
PMID- 22075649
TI - Preventing progression to first-episode psychosis in early initial prodromal
states.
AB - BACKGROUND: Young people with self-experienced cognitive thought and perception
deficits (basic symptoms) may present with an early initial prodromal state
(EIPS) of psychosis in which most of the disability and neurobiological deficits
of schizophrenia have not yet occurred. AIMS: To investigate the effects of an
integrated psychological intervention (IPI), combining individual cognitive
behavioural therapy, group skills training, cognitive remediation and multifamily
psychoeducation, on the prevention of psychosis in the EIPS. METHOD: A randomised
controlled, multicentre, parallel group trial of 12 months of IPI v. supportive
counselling (trial registration number: NCT00204087). Primary outcome was
progression to psychosis at 12- and 24-month follow-up. RESULTS: A total of 128
help-seeking out-patients in an EIPS were randomised. Integrated psychological
intervention was superior to supportive counselling in preventing progression to
psychosis at 12-month follow-up (3.2% v. 16.9%; P = 0.008) and at 24-month follow
up (6.3% v. 20.0%; P = 0.019). CONCLUSIONS: Integrated psychological intervention
appears effective in delaying the onset of psychosis over a 24-month time period
in people in an EIPS.
PMID- 22075650
TI - Features of subsyndromal and persistent delirium.
AB - BACKGROUND: Longitudinal studies of delirium phenomenology are lacking. AIMS: We
studied features that characterise subsyndromal delirium and persistent delirium
over time. METHOD: Twice-weekly evaluations of 100 adults with DSM-IV delirium
using the Delirium Rating Scale-Revised-98 (DRS-R98) and Cognitive Test for
Delirium (CTD). The generalised estimating equation method identified symptom
patterns distinguishing full syndromal from subsyndromal delirium and resolving
from persistent delirium. RESULTS: Participants (mean age 70.2 years (s.d. =
10.5)) underwent 323 assessments (range 2-9). Full syndromal delirium was
significantly more severe than subsyndromal delirium for DRS-R98 thought process
abnormalities, delusions, hallucinations, agitation, retardation, orientation,
attention, and short- and long-term memory items, and CTD attention, vigilance,
orientation and memory. Persistent full syndromal delirium had greater
disturbance of DRS-R98 thought process abnormalities, delusions, agitation,
orientation, attention, and short- and long-term memory items, and CTD attention,
vigilance and orientation. CONCLUSIONS: Full syndromal delirium differs from
subsyndromal delirium over time by greater severity of many cognitive and non
cognitive symptoms. Persistent delirium involves increasing prominence of
recognised core diagnostic features and cognitive impairment.
PMID- 22075651
TI - Brief psychodynamic interpersonal psychotherapy for patients with multisomatoform
disorder: randomised controlled trial.
AB - BACKGROUND: Multisomatoform disorder is characterised by severe and disabling
bodily symptoms, and pain is one of the most common and impairing of these.
Furthermore, these bodily symptoms cannot be explained by an underlying organic
disorder. Patients with multisomatoform disorder are commonly found at all levels
of healthcare and are typically difficult to treat for physicians as well as for
mental health specialists. AIMS: To test whether brief psychodynamic
interpersonal therapy (PIT) effectively improves the physical quality of life in
patients who have had multisomatoform disorder for at least 2 years. METHOD: We
recruited 211 patients (from six German academic outpatient centres) who met the
criteria for multisomatoform disorder for a randomised, controlled, 12-week,
parallelgroup trial from 1 July 2006 to 1 January 2009 (International Standard
Randomised Controlled Trial Number ISRCTN23215121). We randomly assigned the
patients to receive either 12 weekly sessions of PIT (n = 107) or three sessions
of enhanced medical care (EMC, n = 104). The physical component summary of the
Short Form Health Survey (SF-36) was the pre-specified primary outcome at a 9
month follow-up. RESULTS: Psychodynamic interpersonal therapy improved patients'
physical quality of life at follow-up better than EMC (mean improvement in SF-36
score: PIT 5.3, EMC 2.2), with a small to medium between-group effect size (d =
0.42, 95% CI 0.15-0.69, P = 0.001). We also observed a significant improvement in
somatisation but not in depression, health anxiety or healthcare utilisation.
CONCLUSIONS: This trial documents the long-term efficacy of brief PIT for
improving the physical quality of life in patients with multiple, difficult-to
treat, medically unexplained symptoms.
PMID- 22075652
TI - A Diels-Alder approach to the enantioselective construction of fluoromethylated
stereogenic carbon centers.
AB - Highly enantioselective Diels-Alder reactions of beta-fluoromethylacrylates were
carried out in the presence of a Lewis acid activated chiral oxazaborolidine
catalyst. These reactions yielded fluoromethylated cyclohexenes, including
trifluoromethyl-, difluoromethyl-, and monofluoromethyl cyclohexenes, as nearly
pure enantiomers. The resulting fluoromethyl cyclohexenes were converted into
potential synthetic intermediates for bioactive compounds.
PMID- 22075653
TI - Identification of clinical and laboratory markers for predicting eosinophilic
esophagitis in adults.
AB - BACKGROUND: The diagnosis of eosinophilic esophagitis (EoE) and differentiation
from gastroesophageal reflux disease (GERD) is potentially challenging and is
based upon clinical signs and endoscopic and histological features. In order to
alert the endoscopist to consider EoE in patients with esophageal symptoms before
performing esophagogastroduodenoscopy, we aimed to identify a set of clinical and
laboratory markers for predicting EoE. METHODS: The study included 43 patients
with either EoE (n = 23) or GERD (n = 20). The diagnosis of EoE was based on
International Consensus Criteria. Age, gender, weight loss, history of atopy,
dysphagia, history of food impaction, proton pump inhibitor (PPI) refractory
heartburn, odynophagia, peripheral eosinophilia, and serum IgE were analyzed.
Each symptom or sign was classified as '0' (absent, normal) or '1' (present,
elevated), individually analyzed and statistically evaluated among the two groups
of patients. Logistic regression analysis was carried out to identify a
clinically applicable marker constellation to differentiate EoE from GERD.
RESULTS: Univariate analysis identified 6 out of the 10 variables to be
significant between both groups. A stepwise procedure of logistic regression led
to a model in which 3 out of the initial 10 items were found to be relevant for
differentiating GERD and EoE. Derived from this model, an optimal differentiation
was achieved by using the following simplified equation: peripheral eosinophilia
+ history of food impaction + PPI refractory heartburn leading to a maximal value
of 3 (1 + 1 + 1). Based on a cut-off value of >=2, sensitivity and specificity
for diagnosing EoE were 91 and 100%, respectively. CONCLUSION: A defined set of
markers including two clinical features and one laboratory parameter is highly
predictive of EoE and thus allows physicians to distinguish EoE from GERD even
before upper gastrointestinal endoscopy is performed.
PMID- 22075654
TI - Insight into the structural characteristics of core-links and flat-aluminum
tridecamers: a density functional theory study.
AB - The structures of core-links Al(13) (C-Al(13)) and flat-Al(13) (F-Al(13))
complexes in aqueous solution have been investigated using density functional
theory (DFT) at the level of B3LYP/6-31G(d). The present work focuses on the
following three aspects: (1) C-Al(13)(9+) was optimized with the consideration of
solvent effect and the (27)Al NMR chemical shifts using Hartree Fock GIAO and
B3LYP GIAO methods were computed respectively; (2) the optimization of F
Al(13)(15+) was also performed and the (27)Al NMR chemical shifts were obtained
using the same methods as above; (3) the structural parameters of a series of
typical aluminum species (Al(3+), AlOH(2+), AlF(2+), Al(2)(4+), Al(6)(6+), K
Al(13)(7+), C-Al(13)(9+) and F-Al(13)(15+)) were compared.
PMID- 22075656
TI - Stenting of a gastroduodenal artery aneurysm: report of a case.
AB - We present a case of gastroduodenal artery stenting in a patient with recurrent
episodes of pancreatitis due to gastroduodenal artery aneurysm. Stenting was
performed under local anesthesia using a 6 * 38-mm primary covered Advanta V12
vascular stent graft. The procedure was successful and the patient is
asymptomatic 21 months later. Endovascular treatment of gastroduodenal artery
aneurysm should be considered a promising alternative to open surgery, due to
lower mortality and morbidity.
PMID- 22075655
TI - Chylothorax after neck dissection for thyroid carcinomas: report of three cases.
AB - Chylothorax is a rare complication of neck dissection. We report three cases of
chylothorax after neck dissections for thyroid carcinoma and attribute this
relatively high incidence to the assumption that most patients are asymptomatic.
Thus, conventional chest X-ray or ultrasonography in the early postoperative
period may be warranted to exclude asymptomatic chylothorax, especially if the
thoracic duct is injured and ligated during the operation. We suggest that for
chylothorax induced by ligation of the thoracic duct, which may be transient and
resolve quickly, short-term thoracic drainage is enough.
PMID- 22075657
TI - Innovative segmentectomy to remove the posterior segment of the lower lobe (S10)
of the lung.
AB - We describe our innovative technique for performing segmentectomy of the
posterior segment of the lower lobe of the lung, being segment number 10 (S10).
In segmentectomy of S10, it is difficult to identify A10 from the interlobar
fissure because the pulmonary artery to S10 (A10) branches from A(9+10) and runs
dorsally and deeply into the lung tissue. Moreover, to reach S10 from the
interlobar fissure, the lung tissue should be cut between S6 and S8, because S10
is not located beside the interlobar fissure. However, it is difficult to
identify the boundary between the S6 and S8 without a route marker. To solve
these difficulties, we divided S6 and S10 from each other at the beginning of the
procedure, which enabled A10 to be identified easily from the dorsal side.
Because S6 and S(8-10) should be divided in S10 segmentectomy at the end, the
division between S6 and S(8-10) at the beginning of procedure is not only
reasonable, but makes the procedure simple.
PMID- 22075658
TI - Choriocarcinoma of the sigmoid colon: report of a case.
AB - We report a rare case of primary choriocarcinoma of the colon. A 58-year-old
woman underwent Hartmann's procedure to remove a sigmoid colon tumor, and
pathological examination confirmed choriocarcinoma that had originated from the
colon. Radical surgery combined with chemotherapy gives the best chance of long
term survival.
PMID- 22075659
TI - Video-assisted surgery for gastric carcinoma arising in a gastric tube
reconstructed retrosternally.
AB - Advances in diagnostic and surgical techniques have improved the prognosis of
esophageal cancer, but there is growing concern about gastric tube cancer after
esophagectomy. Gastric carcinoma arising in tubes that were reconstructed
retrosternally is usually resected through a median sternotomy; however, this is
invasive and carries a risk of osteomyelitis after suture-line failure. We
performed video-assisted gastric tube resection, eliminating the need for
sternotomy by using a sternal lifting method, on a 71-year-old man who had
previously undergone esophagectomy and reconstruction retrosternally. The tumor
was a Borrmann type 1 advanced cancer located near the esophagogastric
anastomosis. Neck collar and upper abdominal incisions were made, and the sternum
was lifted using a Kent retractor to extend the retrosternal space. Under
videoscope assistance, we stripped the adhesions around the gastric tube
carefully and performed total gastric tube resection. For the reconstruction, the
ileocolon was lifted through the retrosternal space, and an ileoesophagostomy and
Roux-en-Y reconstruction were performed. Despite leakage from an esophago
ileoanastomosis on postoperative day 6, the patient recovered well without
mediastinitis or osteomyelitis of the sternum. Thus, our surgical procedure
provides a good surgical view, decreases surgical stress, and reduces the risk of
fatal postoperative complications.
PMID- 22075660
TI - Totally laparoscopic colectomy with intracorporeal anastomosis achieved using a
laparoscopic linear stapler: experience of a single institute.
AB - PURPOSE: Laparoscopic colonic surgery is now widely accepted. We assessed the
safety and effectiveness of using a total intracorporeal surgical strategy to
perform intracorporeal functional end-to-end anastomosis with an endoscopic
linear stapler to treat colon cancer. METHODS: Forty-three selected patients
underwent elective laparoscopic colon resection for carcinoma. A total
intracorporeal colon resection was performed in all patients, using a functional
end-to-end anastomosis with an endoscopic linear stapler. RESULTS: Good results
were achieved in all 43 patients, none of whom required conversion to open
surgery with extracorporeal anastomosis. There have been no intraoperative
complications related to this technique and no instances of postoperative
anastomotic leakage, intra-abdominal abscess, or wound infection. CONCLUSION:
Intracorporeal functional end-to-end anastomosis using a linear stapler can be
performed safely and easily for the resection of any part of the colon. We
consider it an effective modality for totally laparoscopic colon resection.
Favorable results have been achieved by this method, particularly for small
tumors, since natural-orifice transluminal endoscopic surgery remains a
challenging method to perform.
PMID- 22075661
TI - Adult transmesenteric hernia: report of two cases.
AB - Transmesenteric hernia is a rare cause of bowel obstruction in adults. We herein
describe two cases that occurred in adult women, ages 27 and 19. Both cases
presented with abdominal pain without muscular defense signs. Computed tomography
of both cases showed features of small bowel obstruction by an internal hernia. A
laparotomy showed mesenteric defects of the mesentery of the ileum in the former
case and the mesentery of the transverse colon in the latter case, with a
herniating ileum. The involved small bowel was viable in both cases, and the
bowel was pulled out of the mesenteric defect without resection. The mesenteric
defects were then successfully repaired.
PMID- 22075662
TI - Pancreatic injury after major hepatectomy: a study in a porcine model.
AB - PURPOSE: The aim of this study was to investigate the pathophysiology of
pancreatitis after major hepatectomy. METHODS: The study used ten female pigs.
Three served as sham animals (sham group) and were killed after laparotomy to
obtain normal tissue samples. Seven animals were subjected to major hepatectomy
(70-75%), using the Pringle maneuver for 150 min, after constructing a portacaval
side-to-side anastomosis (hepatectomy group). Duration of reperfusion was 24 h.
RESULTS: Pancreatic tissue sampled 24 h after reperfusion had increased necrosis
and edema in comparison to sham group and to tissue sampled at 12 h. Tissue
malondialdehyde (MDA) did not differ significantly between samples at 12 and 24 h
but was increased in the hepatectomy group in comparison to sham animals.
Percentage increase in portal MDA content during reperfusion was greater at 12 h
of reperfusion in comparison to the increase after 24 h. Portal pressure
increased significantly after 12 h of reperfusion. Serum amylase and C-peptide
increased during reperfusion in comparison to baseline levels. CONCLUSIONS: The
findings suggest that intraoperative portal congestion is not the only cause of
the development of pancreatitis after major hepatectomy. The oxidative markers
suggest that reactive oxygen species produced during vascular control may be
responsible as well.
PMID- 22075663
TI - Total mesorectal excision of initially unresectable locally advanced rectal
cancer infiltrating the pelvic wall after treatment with FOLFOX4 plus bevacizumab
and preoperative chemoradiation: report of a case.
AB - A 60-year-old man underwent sigmoid loop colostomy for obstructive rectal cancer.
Computed tomography (CT) showed a circumferential thickening of the lower rectal
wall caused by a tumor invading the posterior and side pelvic wall. As we
considered R0 resection too difficult, we gave the patient bevacizumab plus
FOLFOX4 (oxaliplatin, leucovorin, and 5-fluorouracil). After eight courses, CT
showed improvement in the rectal wall thickening but linear thickening of the
mesorectal fascia remained. We therefore gave the patient chemoradiotherapy
(CRT), and then 10 weeks later performed Hartmann's operation laparoscopically.
Microscopic examination revealed that the tumor had been almost replaced by
fibrous tissue, with only a few cancer cells left in the subserosa. The
circumferential resection margin was free of cancer cells. The patient is doing
well after 27 months of follow-up. This case suggests that systemic chemotherapy
with FOLFOX4 plus bevacizumab prior to conventional preoperative CRT is a
promising strategy for patients with initially unresectable locally advanced
rectal cancer.
PMID- 22075664
TI - Reevaluation of serum p53 antibody as a tumor marker in colorectal cancer
patients.
AB - PURPOSE: We reevaluated the serum p53 antibody (S-p53Ab) ELISA kit, which was
approved as a tumor marker of colon cancer in the Japanese Health Insurance
System in 2007. METHODS: S-p53Ab was measured as a tumor marker in 154 colorectal
cancer patients, and the results were categorized by clinical and pathological
variables. We then compared the positive frequency of S-p53Ab, carcinoembryonic
antigen (CEA), and carbohydrate 19-9 (CA19-9). RESULTS: S-p53Ab was positive in
33.1% of the colorectal cancer patients. The positive rate was significantly
higher in patients with lymph nodes metastasis (P = 0.025) and lymphatic invasion
(P = 0.023). In patients with stage I colorectal cancer, the positive rate of S
p53Ab (23.7%) was significantly higher than that of CEA (5.3%) or CA19-9 (7.9%).
CONCLUSION: The approved kit for S-p53Ab testing was found to be an effective
tumor marker of colorectal cancer. The positive rate of S-p53Ab was significantly
higher in patients with cancer involvement of the lymphoid tissues. The positive
rate of S-p53Ab was higher than that of CEA and CA19-9 in patients with stage I
colorectal cancer, suggesting that the S-p53Ab is a useful tumor marker for
patients with early-stage disease.
PMID- 22075665
TI - Ultrasound-guided microwave coagulation assists anatomical hepatic resection.
AB - PURPOSE: We describe a new technique of ultrasound (US)-guided microwave
coagulation (MC) of the Glissonean pedicle, performed before transection to
control the inflow and select the resection area. This report introduces our
procedure and evaluates the outcomes of patients treated using this technique.
METHODS: The Glissonean pedicles feeding the segment or cone unit were coagulated
by US-guided MC, after which transection was performed. We used this US-guided MC
technique to perform anatomical resections in 12 patients with hepatocellular
carcinoma (MC group). We compared the outcomes of this group with those of a
historical group of 10 patients who underwent conventional hepatectomy (control
group). The two groups were well matched for age, tumor size, location, and type
of hepatectomy. RESULTS: The mean operative times were similar, but the mean
blood loss was significantly lower the in MC group than in the control group.
Recurrence developed in four patients from the MC group, but local recurrence was
not observed. Bile leakage occurred in one patient from the MC group, but the
incidences of postoperative complications did not differ between the groups.
CONCLUSIONS: Our procedure allows anatomical resection to be performed safely and
easily, and helps prevent intrahepatic metastasis via portal flow during the
transection.
PMID- 22075666
TI - Preoperative evaluation of the depth of anal canal invasion in very low rectal
cancer by magnetic resonance imaging and surgical indications for
intersphincteric resection.
AB - PURPOSE: The present study was performed to evaluate the depth of anal canal
invasion (DACI), and determine whether magnetic resonance imaging (MRI)
assessment of the conjoined longitudinal muscle (CLM) can be used to identify the
surgical indication for intersphincteric resection. METHODS: Sixty-six patients
with T1 (n = 2), T2 (n = 20), T3 (n = 39), and T4 (n = 5) lower rectal cancer
were included. Depth of anal canal invasion was defined as extension of the tumor
to the anal canal. The outline of the CLM on MRI was assessed as clear, unclear,
or absent (indicating invasion). RESULTS: A comparison of overall T-stage and
DACI of 22 pT1-pT2 tumors revealed that none had a higher T-stage within the anal
canal, and 16 of 39 pT3 tumors had only pT0-pT2 invasion within the anal canal.
The CLM was clear in 30 cases of T0-T2 DACI, unclear in 5 cases of T0-T2 DACI,
and 3 cases of T3-T4 DACI, and showed invasion in 3 cases of T2 DACI and 25 cases
of T3-T4 DACI. The sensitivity, specificity, positive predictive value, and
negative predictive value of using a clear CLM outline for determining T0-T2 DACI
was 78.9, 91.9, 100, and 77.8%, respectively. CONCLUSIONS: Preoperative
evaluation of DACI was compatible with the surgical indications. A clear CLM
accurately indicates T0-T2 DACI.
PMID- 22075667
TI - Molecular, kinetic and thermodynamic characterization of Mycobacterium
tuberculosis orotate phosphoribosyltransferase.
AB - Tuberculosis (TB) is a chronic infectious disease caused mainly by Mycobacterium
tuberculosis. The worldwide emergence of drug-resistant strains, the increasing
number of infected patients among immune compromised populations, and the large
number of latent infected individuals that are reservoir to the disease have
underscored the urgent need of new strategies to treat TB. The nucleotide
metabolism pathways provide promising molecular targets for the development of
novel drugs against active TB and may, hopefully, also be effective against
latent forms of the pathogen. The orotate phosphoribosyltransferase (OPRT) enzyme
of the de novo pyrimidine synthesis pathway catalyzes the reversible
phosphoribosyl transfer from 5'-phospho-alpha-D-ribose 1'-diphosphate (PRPP) to
orotic acid (OA), forming pyrophosphate and orotidine 5'-monophosphate (OMP).
Here we describe cloning and characterization of pyrE-encoded protein of M.
tuberculosis H37Rv strain as a homodimeric functional OPRT enzyme. The M.
tuberculosis OPRT true kinetic constants for forward reaction and product
inhibition results suggest a Mono-Iso Ordered Bi-Bi kinetic mechanism, which has
not been previously described for this enzyme family. Absence of detection of
half reaction and isothermal titration calorimetry (ITC) data support the
proposed mechanism. ITC data also provided thermodynamic signatures of non
covalent interactions between substrate/product and M. tuberculosis OPRT. These
data provide a solid foundation on which to base target-based rational design of
anti-TB agents and should inform us how to better design inhibitors of M.
tuberculosis OPRT.
PMID- 22075668
TI - Sitagliptin and simvastatin (juvisync).
PMID- 22075669
TI - Tadalafil (Cialis) for signs and symptoms of benign prostatic hyperplasia.
PMID- 22075670
TI - Vassopressors and inotropes.
PMID- 22075671
TI - A-kinase anchoring proteins that regulate cardiac remodeling.
AB - In response to injury or stress, the adult heart undergoes maladaptive changes,
collectively defined as pathological cardiac remodeling. Here, we focus on the
role of A-kinase anchoring proteins (AKAPs) in 3 main areas associated with
cardiac remodeling and the progression of heart failure: excitation-contraction
coupling, sarcomeric regulation, and induction of pathological hypertrophy. AKAPs
are a diverse family of scaffold proteins that form multiprotein complexes,
integrating cAMP signaling with protein kinases, phosphatases, and other effector
proteins. Many AKAPs have been characterized in the heart, where they play a
critical role in modulating cardiac function.
PMID- 22075672
TI - Xanthogranulomatous osteomyelitis.
AB - Xanthogranulomatous osteomyelitis is a rare type of inflammatory process which is
characterized by composition of immune cell aggregation on histological studies.
Delayed-type hypersensitivity reaction of cell-mediated immunity may be
implicated in its pathogenesis. Gross and radiological examination can mimic
malignancy, and differentiation should be confirmed by histopathological
evaluation. We describe the case of a 14-year-old Afghan boy presenting with pain
in right shoulder and left leg with prior history of trauma. Fever, limitation in
right shoulder range of motion, and tenderness in right shoulder and left thigh
were detected following examination. Mild leukocytosis, elevated alkaline
phosphatase, and increased erythrocyte sedimentation rate with negative C
reactive protein (CRP) were revealed. X-ray imaging showed mixed density,
periosteal reaction, and cortical disruption. Computed tomography (CT) scan
revealed lesions involving medulla and cortex, periosteal reaction with soft
tissue component, and bone marrow infiltration in right humerus and left fibula.
On magnetic resonance imaging (MRI), signal abnormalities in medulla, metaphysis,
and diaphysis of the left fibula associated with cortical irregularity and
diffuse soft tissue hypersignal areas were demonstrated. Finally,
xanthogranulomatous osteomyelitis was confirmed by histological sample. The
clinical manifestations and radiographic and laboratory findings of this rare
condition are discussed.
PMID- 22075673
TI - Management of anterior cruciate ligament rupture in patients aged 40 years and
older.
AB - The aim of anterior cruciate ligament (ACL) reconstruction is essentially to
restore functional stability of the knee and to allow patients to return to their
desired work and activities. While in the young and active population, surgery is
often the best therapeutic option after an ACL tear, ACL reconstruction in middle
aged people is rather more controversial due to concerns about a higher
complication rate. The purpose of our article is to establish, through a
systematic review of the literature, useful decision-making criteria for the
management of anterior cruciate ligament rupture in patients aged 40 years and
older, guiding surgeons to the most appropriate therapeutic approach. Various
reports have shown excellent results of ACL reconstruction in patients over the
age of 40 in terms of subjective satisfaction, return to previous activity level,
and reduced complication and failure rates. Some even document excellent outcomes
in subjects of 50 years and older. Although there are limited high-level studies,
data reported in the literature suggest that ACL reconstruction can be successful
in appropriately selected, motivated older patients with symptomatic knee
instability who want to return to participating in highly demanding sport and
recreational activities. Deciding factors are based on occupation, sex, activity
level of the subject, amount of time spent performing such highly demanding
activities, and presence of associated knee lesions. Physiological age and
activity level are more important than chronological age as deciding factors when
considering ACL reconstruction.
PMID- 22075674
TI - Post-surgical tympanostomy tube follow up with audiology: experience at the
Freeman Hospital.
AB - BACKGROUND: Tympanostomy tube (grommet) insertion is a common procedure, with
little guidance in the current literature regarding post-operative surveillance.
Our institution implemented a protocol to follow up post-surgical grommet
patients via audiology at six weeks. METHODS: A retrospective audit of all
patients less than 16 years old who had undergone grommet insertion during a
three-month period. RESULTS: A total of 149 patients had grommets inserted.
Exclusion criteria left a cohort of 123 individuals; 82 (67 per cent) were
followed up by audiology. Of these, 13 (11 per cent) did not attend follow up,
and were discharged; 53 (43 per cent) were discharged from audiology with normal
thresholds; and 16 (13 per cent) were referred back to a consultant. Therefore,
the overall reduction in patients followed up by an otolaryngologist was 54 per
cent. CONCLUSION: We recommend a six-week follow up with audiology following
grommet insertion, allowing for referral back to ENT services in the event of
related complications.
PMID- 22075675
TI - Why we need nurse practitioner emergency specialty certification.
PMID- 22075676
TI - Diagnosing and treating mild traumatic brain injury in children.
AB - Review of recent evidence with translation to practice for the advanced practice
nurse role is presented using a case study format for "Mild Traumatic Brain
Injury in Children." This "short review" describes the state of the science
regarding diagnosis and treatment of mild traumatic brain injury in children,
demonstrating multiple challenges to synthesizing existing research into practice
guidelines. Implications of this lack of clear research evidence on advanced
practice nursing are discussed along with suggestions for using alternative
sources of evidence.
PMID- 22075677
TI - Differential diagnosis of acute heart failure: brain versus heart.
AB - Arrhythmias to transient cardiac failure have been reported in aneurysmal
subarachnoid hemorrhage. The cardiac dysfunction can mimic an acute myocardial
infarction, and in the presence of severe neurological compromise, careful
observation is needed to differentiate the etiology of cardiac failure. Accurate
history, rapid assessment, and interpretation of diagnostics are essential to
determine the accurate diagnosis and in identifying appropriate treatment.
PMID- 22075678
TI - Pharmacologic management during therapeutic hypothermia.
AB - Out-of-hospital cardiac arrest continues to be associated with high morbidity and
mortality as the mortality rate has been documented to be as high as 90% in
patients who experience the insult at home. For those who survive, more than 50%
will have some form of brain damage. Despite the devastation of this event,
therapeutic options for improving outcomes in this population are unfortunately
limited. However, therapeutic hypothermia has been evaluated in 2 landmark
randomized, controlled trials in patients who experienced an out-of-hospital
cardiac arrest with the results showing an improvement in both neurologic
outcomes and mortality. Providers must be familiar with the rationale behind the
therapy, the physiological effects of the cooling and rewarming processes, and
the pharmacologic management that aides in improved outcomes and minimizes
complications.
PMID- 22075680
TI - The case of the cutaneous quandary.
AB - Early diagnosis of an adverse cutaneous drug reaction (ACDR) is the key to
preventing a more severe and life-threatening drug reaction. ACDRs can range from
mild rashes to life-threatening events. ACDRs are classified as immunologic and
nonimmunologic etiologies. Drug hypersensitivity is an immune mediated response.
True hypersensitivity adverse drug reactions mimic many disease states and can
involve any organ system (S. ). A thorough history is critical when the patient
presents with systemic symptoms in addition to a dermatological response that
does not display common distributions and lesions. Medications previously
prescribed and taken by patients may produce a delayed immune mediated response
that can result in multiorgan syndrome, Stevens-Johnson syndrome, or toxic
epidermal necrolysis.
PMID- 22075681
TI - Occupational and demographic factors associated with violence in the emergency
department.
AB - Violence against health care workers is a serious and growing problem. The
objectives of this cross-sectional study were to (a) describe the frequency of
workplace violence (WPV) against emergency department (ED) workers; (b) identify
demographic and occupational characteristics related to WPV; and (c) identify
demographic and occupational characteristics related to feelings of safety and
level of confidence when dealing with WPV. Survey data were collected from 213
workers at 6 hospital EDs. Verbal and physical violence was prevalent in all 6
EDs. There were no statistically significant differences in the frequency of
violence for age, job title, patient population, and hospital location. Sexual
harassment was the only category of violence affected by gender with females
having a greater frequency. Feelings of safety were positively related to the
frequency of WPV. Females were significantly more likely to feel unsafe and have
less confidence in dealing with WPV. The study findings indicate that all ED
workers are at risk of violence, regardless of personal and occupational
characteristics. Feelings of safety are related to job satisfaction and turnover.
Violence has serious consequences for the employers, employees, and patients. It
is recommended that administration, managers, and employees collaborate to
develop and implement prevention strategies to reduce and manage the violence.
PMID- 22075682
TI - Therapeutic hypothermia initiated in the pre-hospital setting: a meta-analysis.
AB - After resuscitation of the cardiac arrest patient, reperfusion to the brain
begins a cascade of events that may lead to permanent brain damage. Cooling
suppresses the inflammatory response related to ischemia and metabolic demand,
improving oxygen supply to anoxic areas. Until recently, cooling was only
performed in the hospital setting. Recent studies have questioned whether
initiating the cooling process immediately after resuscitation is beneficial in
the pre-hospital setting. The primary purpose of this study was to examine the
feasibility and safety of pre-hospital hypothermia via data extraction from
randomized controlled trials and statistical meta-analysis. Studies included in
this analysis did show a significant statistical difference with the ability to
lower the body temperature when beginning pre-hospital cooling immediately,
making it feasible to start therapeutic hypothermia in the pre-hospital setting.
Further research is needed to determine neurological and discharge outcomes as
the studies were not powered to determine statistical significance.
PMID- 22075683
TI - Level 2 and level 3 patients in emergency severity index triage system:
comparison of characteristics and resource utilization.
AB - There is a lack of studies examining distinctions between patients assigned to
Level 2 (high risk) and Level 3 (lower risk) in the 5-level ESI triage system.
Describing patients assigned to Level 2 and Level 3 may identify unique
characteristics related to chief complaint, interventions, and resource needs. A
convenience sample of triage nurses was recruited from 2 emergency department
(ED) sites. If, at the completion of the patient-nurse triage interaction, the
nurse assigned the patient to either Level 2 or Level 3, additional clinical data
related to that patient were collected from the ED medical record. Eighteen
triage nurses participated in the study with 334 nurse-patient triage
interactions collected. Patients presenting with a chief complaint of nausea and
vomiting or having a medical history of renal insufficiency/failure were
significantly more often assigned to Level 2 than to Level 3 (p = 0.036 and p =
0.013, respectively). Patients assigned to Level 2 were more likely to utilize
cardiac monitoring, electrocardiogram, medications, and specialty consultation
than patients assigned to Level 3. It is critical that nurses in the triage
setting be aware of possible patient factors and resource needs that could
influence assignment to specific triage levels.
PMID- 22075684
TI - Identifying factors inhibiting or enhancing family presence during resuscitation
in the emergency department.
AB - The purpose of this qualitative study was to explore inhibitors and enhancing
factors surrounding the practice of allowing family presence in the emergency
room. Staff and physician interviews were transcribed and decoded for themes. A
visual model was built to depict the results. Inhibitors and enhancing factors
included the following drivers: staff emotions, personalizing the patient,
seeing/hearing everything, closure, emotional support of the family, and "if it
were me." The following staff needs were also identified as important issues that
needed to be addressed before practice could change further: staff education,
optimize environment for privacy, and implementation of a family liaison. The use
of qualitative research methods was effective in identifying organizational
barriers to transition of evidence into practice.
PMID- 22075685
TI - Characteristics of patients who return to the emergency department within 72
hours in one community hospital.
AB - This study identifies characteristics of patients who return to the emergency
department (ED) within 72 hr after an initial visit. An exploratory quantitative
descriptive study was conducted to identify characteristics of patients with
unscheduled 72 hr ED returns. The sample consisted of all patients with 72 hr ED
return visits for the month of January 2009 at the study facility. Data were
collected from electronic patient records utilizing the National Hospital
Ambulatory Medical Care Survey instrument modified to eliminate patient
identifiers. There were 169 individuals who had at least one 72 hr return visit
to the ED for a total of 393 initial and return ED visits. The most common
diagnoses were for gastrointestinal complaints. Over a third of the patients who
returned had chronic health conditions. There were more emergency department
return visits in individuals who lacked access to primary care.
PMID- 22075686
TI - "Here comes Santa Claus": what is the evidence?
AB - The purpose of this article is to examine the strength of evidence regarding our
holiday Santa Claus (SC) practices and the opportunities for new descriptive,
correlation, or experimental research on SC. Although existing evidence generally
supports SC, in the end we may conclude, "the most real things in the world are
those that neither children nor men can see" (Church, as cited in Newseum, n.d.).
PMID- 22075687
TI - Obesity epidemics: inevitable outcome of globalization or preventable public
health challenge?
PMID- 22075688
TI - On the benefit of magnetic magnesium nanocarrier in cardiovascular toxicity of
aluminum phosphide.
AB - The present study was designed to determine the effect of a new (25)Mg(2+)
carrying nanoparticle ((25)MgPMC16) on energy depletion, oxidative stress, and
electrocardiographic (ECG) parameters on heart tissue of the rats poisoned by
aluminum phosphide (AlP). (25)MgPMC16 at doses of 0.025, 0.05, and 0.1 median
lethal dose (LD50 = 896 mg/kg) was administered intravenously (iv) 30 min after a
single intragastric administration of AlP (0.25 LD50). Sodium bicarbonate
(Bicarb; 2 mEq/kg, iv) was used as the standard therapy. After anesthesia, the
animals were rapidly connected to an electronic cardiovascular monitoring device
for monitoring of ECG, blood pressure (BP), and heart rate (HR). Later lipid
peroxidation, antioxidant power, ATP/ADP ratio, and Mg concentration in the heart
were evaluated. Results indicated that after AlP administration, BP and HR
decreased while R-R duration increased. (25)MgPMC16 significantly increased the
BP and HR at all doses used. We found a considerable increase in antioxidant
power, Mg level in the plasma and the heart and a reduction in lipid peroxidation
and ADP/ATP ratio at various doses of (25)MgPMC16, but (25)MgPMC16-0.025 + Bicarb
was the most effective combination therapy. The results of this study support
that (25)MgPMC16 can increase heart energy by active transport of Mg inside the
cardiac cells.(25)MgPMC16 seems ameliorating AlP-induced toxicity and cardiac
failure necessitating further studies.
PMID- 22075689
TI - Application of low magnetic field on inulinase production by Geotrichum candidum
under solid state fermentation using leek as substrate.
AB - This study evaluates the application of low magnetic field (LMF) on inulinase
enzyme production by Geotrichum candidum under solid state fermentation (SSF)
using leek as potential carbon source. First, the fermentation conditions were
optimized using normal magnetic field grown microorganism. Among eight G.
candidum isolates, the most effective strain called G. candidum OC-7 was selected
to use in further experiments. In the second part of the study, SSF was carried
out under different LMFs (4 and 7 mT). The results showed that inulinase activity
was strongly affected by LMF application. The highest enzyme activity was
obtained as 535.2 U/g of dry substrate (gds) by 7 mT magnetic field grown G.
candidum OC-7. On the contrary, the control had only 412.1 U/gds. Consequently,
the use of leek presents a great potential as an alternative carbon source for
inulinase production and magnetic field treatment could effectively be used in
order to enhance the enzyme production.
PMID- 22075690
TI - Antiapoptotic and proliferative activity of curcumin on ovarian follicles in mice
exposed to whole body ionizing radiation.
AB - The aim of this study was to evaluate the antiapoptotic and proliferative
activity of curcumin (Cur) on the ovarian follicles in mice exposed to whole body
ionizing radiation (Rd). The mice were exposed to 8.3 gray whole body Rd, and Cur
groups were given as a daily dose of 100 mg/kg of Cur for 10 days (10 days before
Rd). The ovaries were collected 3 and 12 h after irradiation. To date, no such
studies have been performed on antiapoptotic and proliferative activity of Cur on
the ovarian follicles in mice exposed to whole body Rd. Analysis of mice ovary
after exposure to Rd by terminal-deoxynucleotidyl-transferase-mediated dUTP nick
end labeling showed that there were apoptotic cells both in the follicular wall
and the antrum, and that the number of follicles showing early atresic features
was high 3 h after Rd. On the other hand, analysis of mice ovary 12 h after
exposure to Rd showed that the number of follicles containing apoptotic cells
with advanced atresic features was significantly higher when compared to the 3-h
Rd exposure group. The proliferating cell nuclear antigen -positive granulosa
cells were decreased in association with follicular atresia. The groups given
treatment were observed to have some benefit from Cur against the damage caused
by Rd. The results of this study demonstrate that Cur prevents follicular atresia
in Rd-induced apoptosis in ovarian follicles.
PMID- 22075691
TI - Thin-filament length correlates with fiber type in human skeletal muscle.
AB - Force production in skeletal muscle is proportional to the amount of overlap
between the thin and thick filaments, which, in turn, depends on their lengths.
Both thin- and thick-filament lengths are precisely regulated and uniform within
a myofibril. While thick-filament lengths are essentially constant across muscles
and species (~1.65 MUm), thin-filament lengths are highly variable both across
species and across muscles of a single species. Here, we used a high-resolution
immunofluorescence and image analysis technique (distributed deconvolution) to
directly test the hypothesis that thin-filament lengths vary across human
muscles. Using deltoid and pectoralis major muscle biopsies, we identified thin
filament lengths that ranged from 1.19 +/- 0.08 to 1.37 +/- 0.04 MUm, based on
tropomodulin localization with respect to the Z-line. Tropomodulin localized from
0.28 to 0.47 MUm further from the Z-line than the NH(2)-terminus of nebulin in
the various biopsies, indicating that human thin filaments have nebulin-free,
pointed-end extensions that comprise up to 34% of total thin-filament length.
Furthermore, thin-filament length was negatively correlated with the percentage
of type 2X myosin heavy chain within the biopsy and shorter in type 2X myosin
heavy chain-positive fibers, establishing the existence of a relationship between
thin-filament lengths and fiber types in human muscle. Together, these data
challenge the widely held assumption that human thin-filament lengths are
constant. Our results also have broad relevance to musculoskeletal modeling,
surgical reattachment of muscles, and orthopedic rehabilitation.
PMID- 22075692
TI - Triiodothyronine induces UCP-1 expression and mitochondrial biogenesis in human
adipocytes.
AB - Uncoupling protein (UCP)-1 expressed in brown adipose tissue plays an important
role in thermogenesis. Recent data suggest that brown-like adipocytes in white
adipose tissue (WAT) and skeletal muscle play a crucial role in the regulation of
body weight. Understanding of the mechanism underlying the increase in UCP-1
expression level in these organs should, therefore, provide an approach to
managing obesity. The thyroid hormone (TH) has profound effects on mitochondrial
biogenesis and promotes the mRNA expression of UCP in skeletal muscle and brown
adipose tissue. However, the action of TH on the induction of brown-like
adipocytes in WAT has not been elucidated. Thus we investigate whether TH could
regulate UCP-1 expression in WAT using multipotent cells isolated from human
adipose tissue. In this study, triiodothyronine (T(3)) treatment induced UCP-1
expression and mitochondrial biogenesis, accompanied by the induction of the
CCAAT/enhancer binding protein, peroxisome proliferator-activated receptor-gamma
coactivator-1alpha, and nuclear respiratory factor-1 in differentiated human
multipotent adipose-derived stem cells. The effects of T(3) on UCP-1 induction
were dependent on TH receptor-beta. Moreover, T(3) treatment increased oxygen
consumption rate. These findings indicate that T(3) is an active modulator, which
induces energy utilization in white adipocytes through the regulation of UCP-1
expression and mitochondrial biogenesis. Our findings provide evidence that T(3)
serves as a bipotential mediator of mitochondrial biogenesis.
PMID- 22075693
TI - ANOs 3-7 in the anoctamin/Tmem16 Cl- channel family are intracellular proteins.
AB - Ca(2+)-activated Cl(-) channels (CaCCs) participate in numerous physiological
functions such as neuronal excitability, sensory transduction, and
transepithelial fluid transport. Recently, it was shown that heterologously
expressed anoctamins ANO1 and ANO2 generate currents that resemble native CaCCs.
The anoctamin family (also called Tmem16) consists of 10 members, but it is not
known whether all members of the family are CaCCs. Expression of ANOs 3-7 in
HEK293 cells did not generate Cl(-) currents activated by intracellular Ca(2+),
as determined by whole cell patch clamp electrophysiology. With the use of
confocal imaging, only ANO1 and ANO2 traffic to the plasma membrane when
expressed heterologously. Furthermore, endogenously expressed ANO7 in the human
prostate is predominantly intracellular. We took a chimeric approach to identify
regions critical for channel trafficking and function. However, none of the
chimeras of ANO1 and ANO5/7 that we made trafficked to the plasma membrane. Our
results suggest that intracellular anoctamins may be endoplasmic reticulum
proteins, although it remains unknown whether these family members are CaCCs.
Determining the role of anoctamin family members in ion transport will be
critical to understanding their functions in physiology and disease.
PMID- 22075694
TI - Lithium interactions with Na+-coupled inorganic phosphate cotransporters:
insights into the mechanism of sequential cation binding.
AB - Type IIa/b Na(+)-coupled inorganic phosphate cotransporters (NaPi-IIa/b) are
considered to be exclusively Na(+) dependent. Here we show that Li(+) can
substitute for Na(+) as a driving cation. We expressed NaPi-IIa/b in Xenopus
laevis oocytes and performed two-electrode voltage-clamp electrophysiology and
uptake assays to investigate the effect of external Li(+) on their kinetics.
Replacement of 50% external Na(+) with Li(+) reduced the maximum transport rate
and the rate-limiting plateau of the P(i)-induced current began at less
hyperpolarizing potentials. Simultaneous electrophysiology and (22)Na uptake on
single oocytes revealed that Li(+) ions can substitute for at least one of the
three Na(+) ions necessary for cotransport. Presteady-state assays indicated that
Li(+) ions alone interact with the empty carrier; however, the total charge
displaced was 70% of that with Na(+) alone, or when 50% of the Na(+) was replaced
by Li(+). If Na(+) and Li(+) were both present, the midpoint potential of the
steady-state charge distribution was shifted towards depolarizing potentials. The
charge movement in the presence of Li(+) alone reflected the interaction of one
Li(+) ion, in contrast to 2 Na(+) ions when only Na was present. We propose an
ordered binding scheme for cotransport in which Li(+) competes with Na(+) to
occupy the putative first cation interaction site, followed by the cooperative
binding of one Na(+) ion, one divalent P(i) anion, and a third Na(+) ion to
complete the carrier loading. With Li(+) bound, the kinetics of subsequent
partial reactions were significantly altered. Kinetic simulations of this scheme
support our experimental data.
PMID- 22075697
TI - Circadian variation of the response of T cells to antigen.
AB - Circadian clocks regulate many important aspects of physiology, and their
disturbance leads to various medical conditions. Circadian variations have been
found in immune system variables, including daily rhythms in circulating WBC
numbers and serum concentration of cytokines. However, control of immune
functional responses by the circadian clock has remained relatively unexplored.
In this study, we show that mouse lymph nodes exhibit rhythmic clock gene
expression. T cells from lymph nodes collected over 24 h show a circadian
variation in proliferation after stimulation via the TCR, which is blunted in
Clock gene mutant mice. The tyrosine kinase ZAP70, which is just downstream of
the TCR in the T cell activation pathway and crucial for T cell function,
exhibits rhythmic protein expression. Lastly, mice immunized with OVA peptide
loaded dendritic cells in the day show a stronger specific T cell response than
mice immunized at night. These data reveal circadian control of the Ag-specific
immune response and a novel regulatory mode of T cell proliferation, and may
provide clues for more efficient vaccination strategies.
PMID- 22075696
TI - Contact-dependent T cell activation and T cell stopping require talin1.
AB - T cell-APC contact initiates T cell activation and is maintained by the integrin
LFA-1. Talin1, an LFA-1 regulator, localizes to the immune synapse (IS) with
unknown roles in T cell activation. In this study, we show that talin1-deficient
T cells have defects in contact-dependent T cell stopping and proliferation.
Although talin1-deficient T cells did not form stable interactions with APCs,
transient contacts were sufficient to induce signaling. In contrast to prior
models, LFA-1 polarized to T cell-APC contacts in talin1-deficient T cells, but
vinculin and F-actin polarization at the IS was impaired. These results indicate
that T cell proliferation requires sustained, talin1-mediated T cell-APC
interactions and that talin1 is necessary for F-actin polarization and the
stability of the IS.
PMID- 22075695
TI - Altered neurotransmitter release machinery in mice deficient for the
deubiquitinating enzyme Usp14.
AB - Homozygous ataxic mice (ax(J)) express reduced levels of the deubiquitinating
enzyme Usp14. They develop severe tremors by 2-3 wk of age, followed by hindlimb
paralysis, and death by 6-8 wk. While changes in the ubiquitin proteasome system
often result in the accumulation of ubiquitin protein aggregates and neuronal
loss, these pathological markers are not observed in the ax(J) mice. Instead,
defects in neurotransmission were observed in both the central and peripheral
nervous systems of ax(J) mice. We have now identified several new alterations in
peripheral neurotransmission in the ax(J) mice. Using the two-microelectrode
voltage clamp technique on diaphragm muscles of ax(J) mice, we observed that
under normal neurotransmitter release conditions ax(J) mice lacked paired-pulse
facilitation and exhibited a frequency-dependent increase in rundown of the end
plate current at high-frequency stimulation (HFS). Combined electrophysiology and
styryl dye staining revealed a significant reduction in quantal content during
the initial and plateau portions of the HFS train. In addition, uptake of styryl
dyes (FM dye) during HFS demonstrated that the size of the readily releasable
vesicle pool was significantly reduced. Destaining rates for styryl dyes
suggested that ax(J) neuromuscular junctions are unable to mobilize a sufficient
number of vesicles during times of intense activity. These results imply that
ax(J) nerve terminals are unable to recruit a sufficient number of vesicles to
keep pace with physiological rates of transmitter release. Therefore,
ubiquitination of synaptic proteins appears to play an important role in the
normal operation of the neurotransmitter release machinery and in regulating the
size of pools of synaptic vesicles.
PMID- 22075698
TI - CARMA1 is necessary for optimal T cell responses in a murine model of allergic
asthma.
AB - CARMA1 is a lymphocyte-specific scaffold protein necessary for T cell activation.
Deletion of CARMA1 prevents the development of allergic airway inflammation in a
mouse model of asthma due to a defect in naive T cell activation. However, it is
unknown if CARMA1 is important for effector and memory T cell responses after the
initial establishment of inflammation, findings that would be more relevant to
asthma therapies targeted to CARMA1. In the current study, we sought to elucidate
the role of CARMA1 in T cells that have been previously activated. Using mice in
which floxed CARMA1 exons can be selectively deleted in T cells by OX40-driven
Cre recombinase (OX40(+/Cre)CARMA1(F/F)), we report that CD4(+) T cells from
these mice have impaired T cell reactivation responses and NF-kappaB signaling in
vitro. Furthermore, in an in vivo recall model of allergic airway inflammation
that is dependent on memory T cell function, OX40(+/Cre)CARMA1(F/F) mice have
attenuated eosinophilic airway inflammation, T cell activation, and Th2 cytokine
production. Using MHC class II tetramers, we demonstrate that the development and
maintenance of Ag-specific memory T cells is not affected in
OX40(+/Cre)CARMA1(F/F) mice. In addition, adoptive transfer of Th2-polarized
OX40(+/Cre)CARMA1(F/F) Ag-specific CD4(+) T cells into wild-type mice induces
markedly less airway inflammation in response to Ag challenge than transfer of
wild-type Th2 cells. These data demonstrate a novel role for CARMA1 in effector
and memory T cell responses and suggest that therapeutic strategies targeting
CARMA1 could help treat chronic inflammatory disorders such as asthma.
PMID- 22075700
TI - A novel bacterial resistance mechanism against human group IIA-secreted
phospholipase A2: role of Streptococcus pyogenes sortase A.
AB - Human group IIA-secreted phospholipase A(2) (sPLA(2)-IIA) is a bactericidal
molecule important for the innate immune defense against Gram-positive bacteria.
In this study, we analyzed its role in the host defense against Streptococcus
pyogenes, a major human pathogen, and demonstrated that this bacterium has
evolved a previously unidentified mechanism to resist killing by sPLA(2)-IIA.
Analysis of a set of clinical isolates demonstrated that an ~500-fold higher
concentration of sPLA(2)-IIA was required to kill S. pyogenes compared with
strains of the group B Streptococcus, which previously were shown to be sensitive
to sPLA(2)-IIA, indicating that S. pyogenes exhibits a high degree of resistance
to sPLA(2)-IIA. We found that an S. pyogenes mutant lacking sortase A, a
transpeptidase responsible for anchoring LPXTG proteins to the cell wall in Gram
positive bacteria, was significantly more sensitive (~30-fold) to sPLA(2)-IIA
compared with the parental strain, indicating that one or more LPXTG surface
proteins protect S. pyogenes against sPLA(2)-IIA. Importantly, using transgenic
mice expressing human sPLA(2)-IIA, we showed that the sortase A-mediated sPLA(2)
IIA resistance mechanism in S. pyogenes also occurs in vivo. Moreover, in this
mouse model, we also showed that human sPLA(2)-IIA is important for the defense
against lethal S. pyogenes infection. Thus, we demonstrated a novel mechanism by
which a pathogenic bacterium can evade the bactericidal action of sPLA(2)-IIA and
we showed that sPLA(2)-IIA contributes to the host defense against S. pyogenes
infection.
PMID- 22075699
TI - Aging is associated with an increase in T cells and inflammatory macrophages in
visceral adipose tissue.
AB - Age-related adiposity has been linked to chronic inflammatory diseases in late
life. To date, the studies on adipose tissue leukocytes and aging have not taken
into account the heterogeneity of adipose tissue macrophages (ATMs), nor have
they examined how age impacts other leukocytes such as T cells in fat. Therefore,
we have performed a detailed examination of ATM subtypes in young and old mice
using state of the art techniques. Our results demonstrate qualitative changes in
ATMs with aging that generate a decrease in resident type 2 (M2) ATMs. The
profile of ATMs in old fat shifts toward a proinflammatory environment with
increased numbers of CD206(-)CD11c(-) (double-negative) ATMs. The mechanism of
this aging-induced shift in the phenotypic profile of ATMs was found to be
related to a decrease in peroxisome proliferator-activated receptor-gamma
expression in ATMs and alterations in chemokine/chemokine receptor expression
profiles. Furthermore, we have revealed a profound and unexpected expansion of
adipose tissue T cells in visceral fat with aging that includes a significant
induction of regulatory T cells in fat. Our findings demonstrate a unique
inflammatory cell signature in the physiologic context of aging adipose tissue
that differs from those induced in setting of diet-induced obesity.
PMID- 22075701
TI - SOCS3 deletion in B cells alters cytokine responses and germinal center output.
AB - B cell behavior is fine-tuned by internal regulatory mechanisms and external cues
such as cytokines and chemokines. Suppressor of cytokine signaling 3 (SOCS3) is a
key regulator of STAT3-dependent cytokine responses in many cell types and has
been reported to inhibit CXCL12-induced retention of immature B cells in the bone
marrow. Using mice with SOCS3 exclusively deleted in the B cell lineage
(Socs3(Delta/Delta)mb1cre(+)), we analyzed the role of SOCS3 in the response of
these cells to CXCL12 and the STAT3-inducing cytokines IL-6 and IL-21. Our
findings refute a B cell-intrinsic role for SOCS3 in B cell development, because
SOCS3 deletion in the B lineage did not affect B cell populations in naive mice.
SOCS3 was strongly induced in B cells stimulated with IL-21 and in plasma cells
exposed to IL-6. Its deletion permitted excessive and prolonged STAT3 signaling
following IL-6 stimulation of plasma cells and, in a T cell-dependent
immunization model, reduced the number of germinal center B cells formed and
altered the production of Ag-specific IgM and IgE. These data demonstrate a novel
regulatory signal transduction circuit in plasma cells, providing, to our
knowledge, the first evidence of how these long-lived, sessile cells respond to
the external signals that mediate their longevity.
PMID- 22075703
TI - Electron-spin motion: a new tool to study ferromagnetic films and surfaces.
AB - When electrons are interacting with a ferromagnetic material, their spin
polarization vector is expected to move. This spin motion, comprising an
azimuthal precession and a polar rotation about the magnetization direction of
the ferromagnet, has been studied in spin-polarized electron scattering
experiments both in transmission and reflection geometry. In this review we show
that electron-spin motion can be considered as a new tool to study ferromagnetic
films and surfaces and we discuss its application to a number of different
problems: (a) the transmission of spin-polarized electrons across ferromagnetic
films, (b) the influence of spin-dependent gaps in the electronic band structure
on the spin motion in reflection geometry, (c) interference experiments with spin
polarized electrons and (d) the influence of lattice relaxations in ferromagnetic
films on the spin motion.
PMID- 22075702
TI - Th-1 lymphocytes induce dendritic cell tumor killing activity by an IFN-gamma
dependent mechanism.
AB - Dendritic cells (DCs) encompass a heterogeneous population of cells capable of
orchestrating innate and adaptive immune responses. The ability of DCs to act as
professional APCs has been the foundation for the development and use of these
cells as vaccines in cancer immunotherapy. DCs are also endowed with the
nonconventional property of directly killing tumor cells. The current study
investigates the regulation of murine DC cytotoxic function by T lymphocytes. We
provide evidence that CD4(+) Th-1, but not Th-2, Th-17 cells, or regulatory T
cells, are capable of inducing DC cytotoxic function. IFN-gamma was identified as
the major factor responsible for Th-1-induced DC tumoricidal activity. Tumor cell
killing mediated by Th-1-activated killer DCs was dependent on inducible NO
synthase expression and NO production. Importantly, Th-1-activated killer DCs
were capable of presenting the acquired Ags from the killed tumor cells to T
lymphocytes in vitro or in vivo. These observations offer new possibilities for
the application of killer DCs in cancer immunotherapy.
PMID- 22075704
TI - The induction of rat spermatogonial stem cells into neuronal-like cells and
behavioral recovery following transplantation in a rat Parkinson's disease model.
AB - Parkinson's disease (PD) is a widespread age-associated neurodegenerative
disorder. Current treatment is symptomatic rather than curative. However, stem
cell replacement therapies may have the potential to offer curative treatment. In
this study, we demonstrate that rat CD49f+ spermatogonial stem cells (rSSCs) can
be induced to become functional dopaminergic neuron-like cells in vitro.
Furthermore, when rSSCs were transplanted into 6-hydroxydopamine (6-OHDA)-treated
PD rats, the results indicated that rSSCs expressed multiple neuron cell markers
and were ameliorative to behavioral recovery in PD rats after induction both in
vitro and in vivo. In addition, rSSCs demonstrated increased activity in the
regeneration of dopaminergic neuron-like cells, increased migration distances and
were associated with improvement in animal behavior in the PD rat model.
Therefore, rSSCs could be a source of dopaminergic neuron-like cells with
potential benefit in cell replacement therapy for PD.
PMID- 22075705
TI - Role of endothelin receptor signalling in squamous cell carcinoma.
AB - Endothelin plays important roles in various physiological functions including
vascular constriction. Recent studies reported that the endothelin receptors ETA
and ETB are highly expressed in lung and skin tumor tissues. In contrast, there
are few reports on endothelin signalling in the proliferation of head and neck
cancer. We found that both ETA and ETB endothelin receptors were overexpressed in
tumor cells of tongue cancer samples by immunohistochemistry. ETA and ETB were
expressed in cultured lingual and esophageal squamous cell carcinoma (SCCs) cell
lines. When both cultured cell lines were treated with an ETA selective
antagonist (BQ123) or an ETB selective antagonist (BQ788), inhibition of cell
growth was observed. Similar results were observed when SCCs were treated with
specific siRNA for the suppression of ETA or ETB. Furthermore, inhibition of the
mitogen-activated protein (MAP) kinase pathway by the treatments with ET receptor
antagonists and siRNA was also observed. These results indicate that endothelin
signalling may, in part, play important roles in cell growth in SCCs through the
MAP kinase pathway.
PMID- 22075707
TI - Antimicrobial susceptibility of Escherichia coli isolated from feces of wild
cranes migrating to Kagoshima, Japan.
AB - Susceptibility to 13 antimicrobial agents was examined for 138 Escherichia coli
isolates obtained from 192 fecal samples of wild cranes that migrated for
wintering to the Izumi plain, Kagoshima prefecture in Japan. The numbers of
isolates that were resistant to the antimicrobials used in this study are as
follows: oxytetracycline (OTC), 22 isolates; minocycline, 7 isolates; ampicillin
(ABPC), 4 isolates; nalidixic acid, 4 isolates; enrofloxacin, 2 isolates;
kanamycin, one isolate. Multidrug resistant isolates exhibiting 2-4 drug
resistances were obtained. All of the OTC-resistant isolates carried either the
tet (A) or tet(B) gene. The bla(TEM) gene was found in all of the ABPC-resistant
isolates.
PMID- 22075706
TI - Studies on calcium release and H2O2 level produced by the elicitor induced plant
cell by fluorescence probing.
AB - Using fluorescence probing technology, we studied the mechanism and
interrelations of calcium release and H(2)O(2) production in situ in living
tissues of tobacco and cotton plants which were induced by pathogen elicitor,
salicylic acid (SA) and pectinase respectively. Results showed that (1) pathogen
elicitors could induced H(2)O(2) response in epidermis cells regardless of
environmental calcium, but in mesophyll protoplast, H(2)O(2) response could only
be induced at calcium condition. Similarly, SA and pectinase induced H(2)O(2)
response could only be observed at calcium condition; (2) pathogen elicitors
could induce calcium response in both epidermis cells and protoplasts regardless
of environmental calcium, while calcium response couldn't be induced at non
calcium condition by SA and pectinase; (3) H(2)O(2) response and calcium response
in protoplast were faster than that in the whole cell. These results indicated
that pathogen elicitors can induce the release of cell wall calcium and the cell
wall calcium release is independent to pectinase. And it is concluded that free
calcium influx is necessary for the oxidative burst and cell wall calcium has an
irreplaceable role in defense signal transduction.
PMID- 22075708
TI - Comparative studies on the distribution and population of immunocompetent cells
in bovine hemal node, lymph node and spleen.
AB - The distribution and population of immunocompetent cells in bovine hemal node,
mesenteric lymph node and spleen were analyzed comparatively by
immunohistochemistry and flow cytometry. Many CD8(+) cells, CD172a(+) cells and
gammadelta T cells were found in the lymphatic cord along the sinus of the hemal
node and the splenic red pulp. A few CD8(+) cells and gammadelta T cells were
distributed diffusely in the paracortex and medullary cord of the mesenteric
lymph node. Many germinal centers were recognized in the lymphatic regions such
as the cortex and white pulp of these lymphoid organs. The populations of CD8(+)
cells and gammadelta T cells in the hemal node and the spleen were higher than
those of the mesenteric lymph node. In addition, the populations of CD21(+) cells
and MHC class II(+) cells in the hemal node and the mesenteric lymph node were
higher than those of the spleen. The results suggest that the hemal node has an
important role in both cellular and humoral immunity as well as the lymph node
and the spleen in cattle.
PMID- 22075709
TI - Situation of serum antibodies against Newcastle disease virus in slaughter-age
ostriches after vaccination campaign in Japan.
AB - A total of 516 slaughter-age ostrich sera were collected in Japan during 2006
2009. Sixty-one of five hundred and sixteen were positive by virus neutralization
(VN) test and the titer of most positive samples was low level. Within the 61
positive sera, 35 sera were collected from unvaccinated ostriches. This result
implies that these ostriches might have been infected naturally with low-virulent
Newcastle disease virus (NDV). Within the 455 negative samples, 125 samples were
from vaccinated ostriches. Since ostrich farmers use live attenuated vaccines, it
is reasonable that the titer decreased to below detection level by 1 or 1.5 year
old. The above data indicate that NDV has infiltrated into ostrich farms in
Japan, and that the efficacy of ostrich ND vaccination is often time-limited.
PMID- 22075710
TI - The 2010 foot-and-mouth disease epidemic in Japan.
AB - Foot-and-mouth disease (FMD) occurred recently for the first time in a decade in
Japan. The index case was detected on a beef-breeding farm in Miyazaki
Prefecture, Southern Japan, on April 20, 2010. After confirmation of this first
case, control measures such as stamping out, movement restriction and
disinfection were implemented. However, these strategies proved insufficient to
prevent the spread of FMD and emergency vaccination was adopted. Up until the
last outbreak on July 4, 2010, a total of 292 outbreaks had been confirmed, with
about 290,000 animals having been culled. The epidemic occurred in an area with a
high density of cattle and pigs, making disease control difficult. Invasion of
the disease into a high-density area aided its rapid spread and led to
difficulties in locating suitable burial sites. Epidemiological investigations
indicated that the disease was introduced into Japan approximately one month
before detection. This delay in initial detection is considered to have allowed
an increased number of outbreaks in the early stage of the epidemic.
Nevertheless, the epidemic was contained within a localized area in Miyazaki
Prefecture and was eradicated within three months because of intensive control
efforts including emergency vaccination. Although this epidemic devastated the
livestock industry in Japan, many lessons can be learnt for the future prevention
and control of infectious diseases in animals.
PMID- 22075711
TI - Dose-response effects of an aqueous formulation of calcitriol and evaluation of
renal function in nonpregnant cows.
AB - Dose responses of plasma calcitriol, calcium (Ca), bone metabolic markers and
glomerular filtration rate (GFR) were evaluated in four nonpregnant Holstein cows
treated subcutaneously with an aqueous formulation of calcitriol at four doses in
a 4 * 4 Latin-square design. Calcitriol, Ca, and markers of bone metabolism were
analyzed in plasma samples. GFR was measured in predose and day 5 samples. Plasma
calcitriol and Ca concentrations increased dose-dependently. The calcitriol dose
was positively correlated with the area under the concentration-time curve of
plasma calcitriol. Bone formation markers tended to increase from day 3 onward
for all doses. No significant changes in GFR were noted. Thus, exogenous
calcitriol administered between 0.0625 and 0.5 ug/kg body weight elicited dose
dependent increases in both plasma calcitriol and Ca and elevated bone formation
markers without affecting renal function in nonpregnant cows.
PMID- 22075712
TI - Downregulation of miR-221/222 sensitizes glioma cells to temozolomide by
regulating apoptosis independently of p53 status.
AB - A previous study showed that miR-221/222 can regulate cell apoptosis. p53 is a
well known tumor suppressor which can influence the chemosensitivity of glioma
cells. However, the effect of miR-221/222 in gliomas with different p53 status is
unknown. Here, we demostrate that knockdown of miR-221/222 increases apoptosis in
human gliomas of different p53 types (U251 cells, p53 mutant-type; LN308 cells,
p53 null-type; and U87 cells, p53 wild-type). Furthermore, the effect of miR
221/22 caused no change of p53 expression in the glioma cells studied. In
addition, when a specific siRNA against p53 was employed in U87 cells, no
attenuation of apoptosis was found after knockdown of miR-221/222. Importantly,
we found that As-miR-221/222-treated cells increased expression of Bax,
cytochrome c, Apaf-1 and cleaved-caspase-3. Our results showed that low
expression of miR-221/222 sensitized glioma cells to temozolomide (TMZ); in
addition, ectopic expression of PUMA by pcDNA-PUMA had a similar effect. Taken
together, our study indicates that downregulated miR-221/222 can sensitize glioma
cells to TMZ by regulating apoptosis independently of p53 status.
PMID- 22075714
TI - Growing fatty mass in the back: diagnosis of a multiple symmetric lipomatosis
(Madelung's disease) in association with chronic alcoholism.
PMID- 22075716
TI - Age-related changes in pre- and postmenopausal women investigated with 18F
fluoride PET--a preliminary study.
AB - OBJECTIVE: To evaluate the relationship between age and regional skeletal uptake
at sites consisting of either predominantly trabecular or cortical bone using
(18)F-fluoride positron emission tomography (PET) in pre- and postmenopausal
women. MATERIALS AND METHODS: Thirty-two women (40.6 +/- 12.3 years; age range 25
72 years) were assigned to one of two groups: group 1 comprised 22 premenopausal
women (33 +/- 6.5 years; age range 25-48 years) and group 2 comprised 10
postmenopausal women (56 +/- 6.7 years; age range 49-72 years). The maximum
standardized uptake value (SUVmax) was determined from the lumbar spine and the
humeral shaft. Student's t-test for each unpaired dataset was used to evaluate
statistical differences between the two groups. The SUVmax values for the humeral
shaft and the lumbar spine were compared with aging. RESULTS: The SUVmax (mean +/
SD) was 1.2 +/- 0.5 in the humeral shaft and 4.7 +/- 1.0 in the lumbar spine.
The SUVmax in the humeral shaft correlated significantly with advancing age (r =
0.67, P < 0.01). The SUVmax in the lumbar spine declined significantly with
advancing age (r = -0.50, P < 0.01). The humeral shaft of women in group 1
exhibited a significantly lower SUVmax compared to that in group 2 (1.1 +/- 0.4
versus 1.6 +/- 0.6; P < 0.05). On the other hand, the lumbar spine of women in
group 1 exhibited a significantly higher SUVmax compared to that in group 2 (5.1
+/- 0.7 versus 4.0 +/- 1.1; P < 0.05). The mean SUVmax in the lumbar spine was
2.5 times greater than that in the humeral shaft in group 2. CONCLUSION:
Semiquantitative analysis with (18)F-fluoride PET might be a useful tool for
analyzing age-related changes in pre- and postmenopausal women.
PMID- 22075718
TI - Inhibition of HERG1 K+ channel protein expression decreases cell proliferation of
human small cell lung cancer cells.
AB - HERG (human ether-a-go-go-related gene) K(+) currents fulfill important ionic
functions in cardiac and other excitable cells. In addition, HERG channels
influence cell growth and migration in various types of tumor cells. The
mechanisms underlying these functions are still not resolved. Here, we
investigated the role of HERG channels for cell growth in a cell line (SW2)
derived from small cell lung cancer (SCLC), a malignant variant of lung cancer.
The two HERG1 isoforms (HERG1a, HERG1b) as well as HERG2 and HERG3 are expressed
in SW2 cells. Inhibition of HERG currents by acute or sustained application of E
4031, a specific ERG channel blocker, depolarized SW2 cells by 10-15 mV. This
result indicated that HERG K(+) conductance contributes considerably to the
maintenance of the resting potential of about -45 mV. Blockage of HERG channels
by E-4031 for up to 72 h did not affect cell proliferation. In contrast, siRNA
induced inhibition of HERG1 protein expression decreased cell proliferation by
about 50%. Reduction of HERG1 protein expression was confirmed by Western blots.
HERG current was almost absent in SW2 cells transfected with siRNA against HERG1.
Qualitatively similar results were obtained in three other SCLC cell lines (OH1,
OH3, H82), suggesting that the HERG1 channel protein is involved in SCLC cell
growth, whereas the ion-conducting function of HERG1 seems not to be important
for cell growth.
PMID- 22075719
TI - A 5-year follow-up study of Alfredson's heel-drop exercise programme in chronic
midportion Achilles tendinopathy.
AB - BACKGROUND: Eccentric exercises have the most evidence in conservative treatment
of midportion Achilles tendinopathy. Although short-term studies show significant
improvement, little is known of the long-term (>3 years) results. AIM: To
evaluate the 5-year outcome of patients with chronic midportion Achilles
tendinopathy treated with the classical Alfredson's heel-drop exercise programme.
STUDY DESIGN: Part of a 5-year follow-up of a previously conducted randomised
controlled trial. Methods 58 patients (70 tendons) were approached 5 years after
the start of the heel-drop exercise programme according to Alfredson. At baseline
and at 5-year follow-up, the validated Victorian Institute of Sports Assessment
Achilles (VISA-A) questionnaire score, pain status, alternative treatments
received and ultrasonographic neovascularisation score were recorded. RESULTS: In
46 patients (58 tendons), the VISA-A score significantly increased from 49.2 at
baseline to 83.6 after 5 years (p<0.001) and from the 1-year to 5-year follow-up
from 75.0 to 83.4 (p<0.01). 39.7% of the patients were completely pain-free at
follow-up and 48.3% had received one or more alternative treatments. The sagittal
tendon thickness decreased from 8.05 mm (SD 2.1) at baseline to 7.50 mm (SD 1.6)
at the 5-year follow-up (p=0.051). CONCLUSION: At 5-year follow-up, a significant
increase of VISA-A score can be expected. After the 3-month Alfredson's heel-drop
exercise programme, almost half of the patients had received other therapies.
Although improvement of symptoms can be expected at long term, mild pain may
remain.
PMID- 22075720
TI - Detecting gustatory-olfactory flavor mixtures: models of probability summation.
AB - Odorants and flavorants typically contain many components. It is generally easier
to detect multicomponent stimuli than to detect a single component, through
either neural integration or probability summation (PS) (or both). PS assumes
that the sensory effects of 2 (or more) stimulus components (e.g., gustatory and
olfactory components of a flavorant) are detected in statistically independent
channels, that each channel makes a separate decision whether a component is
detected, and that the behavioral response depends solely on the separate
decisions. Models of PS traditionally assume high thresholds for detecting each
component, noise being irrelevant. The core assumptions may be adapted, however,
to signal-detection theory, where noise limits detection. The present article
derives predictions of high-threshold and signal-detection models of independent
decision PS in detecting gustatory-olfactory flavorants, comparing predictions in
yes/no and 2-alternative forced-choice tasks using blocked and intermixed
stimulus designs. The models also extend to measures of response times to
suprathreshold flavorants. Predictions derived from high-threshold and signal
detection models differ markedly. Available empirical evidence on gustatory
olfactory flavor detection suggests that neither the high-threshold nor the
signal-detection versions of PS can readily account for the results, which likely
reflect neural integration in the flavor system.
PMID- 22075721
TI - Highlight: the degenerating Y chromosome: under the pressures of sex and
selection.
PMID- 22075722
TI - Detection of pristine gas two billion years after the Big Bang.
AB - In the current cosmological model, only the three lightest elements were created
in the first few minutes after the Big Bang; all other elements were produced
later in stars. To date, however, heavy elements have been observed in all
astrophysical environments. We report the detection of two gas clouds with no
discernible elements heavier than hydrogen. These systems exhibit the lowest
heavy-element abundance in the early universe, and thus are potential fuel for
the most metal-poor halo stars. The detection of deuterium in one system at the
level predicted by primordial nucleosynthesis provides a direct confirmation of
the standard cosmological model. The composition of these clouds further implies
that the transport of heavy elements from galaxies to their surroundings is
highly inhomogeneous.
PMID- 22075723
TI - Protostellar feedback halts the growth of the first stars in the universe.
AB - The first stars fundamentally transformed the early universe by emitting the
first light and by producing the first heavy elements. These effects were
predetermined by the mass distribution of the first stars, which is thought to
have been fixed by a complex interplay of gas accretion and protostellar
radiation. We performed radiation-hydrodynamics simulations that followed the
growth of a primordial protostar through to the early stages as a star with
thermonuclear burning. The circumstellar accretion disk was evaporated by
ultraviolet radiation from the star when its mass was 43 times that of the Sun.
Such massive primordial stars, in contrast to the often-postulated extremely
massive stars, may help explain the fact that there are no signatures of the pair
instability supernovae in abundance patterns of metal-poor stars in our galaxy.
PMID- 22075724
TI - Inhibitory plasticity balances excitation and inhibition in sensory pathways and
memory networks.
AB - Cortical neurons receive balanced excitatory and inhibitory synaptic currents.
Such a balance could be established and maintained in an experience-dependent
manner by synaptic plasticity at inhibitory synapses. We show that this mechanism
provides an explanation for the sparse firing patterns observed in response to
natural stimuli and fits well with a recently observed interaction of excitatory
and inhibitory receptive field plasticity. The introduction of inhibitory
plasticity in suitable recurrent networks provides a homeostatic mechanism that
leads to asynchronous irregular network states. Further, it can accommodate
synaptic memories with activity patterns that become indiscernible from the
background state but can be reactivated by external stimuli. Our results suggest
an essential role of inhibitory plasticity in the formation and maintenance of
functional cortical circuitry.
PMID- 22075725
TI - Interconversion between intestinal stem cell populations in distinct niches.
AB - Intestinal epithelial stem cell identity and location have been the subject of
substantial research. Cells in the +4 niche are slow-cycling and label-retaining,
whereas a different stem cell niche located at the crypt base is occupied by
crypt base columnar (CBC) cells. CBCs are distinct from +4 cells, and the
relationship between them is unknown, though both give rise to all intestinal
epithelial lineages. We demonstrate that Hopx, an atypical homeobox protein, is a
specific marker of +4 cells. Hopx-expressing cells give rise to CBCs and all
mature intestinal epithelial lineages. Conversely, CBCs can give rise to +4 Hopx
positive cells. These findings demonstrate a bidirectional lineage relationship
between active and quiescent stem cells in their niches.
PMID- 22075726
TI - Hemoglobins S and C interfere with actin remodeling in Plasmodium falciparum
infected erythrocytes.
AB - The hemoglobins S and C protect carriers from severe Plasmodium falciparum
malaria. Here, we found that these hemoglobinopathies affected the trafficking
system that directs parasite-encoded proteins to the surface of infected
erythrocytes. Cryoelectron tomography revealed that the parasite generated a host
derived actin cytoskeleton within the cytoplasm of wild-type red blood cells that
connected the Maurer's clefts with the host cell membrane and to which transport
vesicles were attached. The actin cytoskeleton and the Maurer's clefts were
aberrant in erythrocytes containing hemoglobin S or C. Hemoglobin oxidation
products, enriched in hemoglobin S and C erythrocytes, inhibited actin
polymerization in vitro and may account for the protective role in malaria.
PMID- 22075727
TI - Highly diastereoselective and enantioselective Michael addition of 5H-oxazol-4
ones to alpha,beta-unsaturated ketones catalyzed by a new bifunctional
organocatalyst with broad substrate scope and applicability.
AB - A new thiourea-tertiary amine bifunctional catalyst derived from L-tert-leucine
was developed and provides excellent stereocontrol in a novel and direct Michael
addition of 5H-oxazol-4-ones to alpha,beta-unsaturated ketones with much broad
substrate scope. The conjugate addition products with chiral vicinal quaternary
and tertiary stereocenters can be easily transformed to structurally interesting
compounds or building blocks.
PMID- 22075728
TI - Characteristics of spinal cord stroke in clinical neurology.
AB - Spinal cord stroke accounts for about 0.3% of all strokes in our department.
Thirty-two patients (15 males, 17 females; mean age 63.3 years) treated in the
period 1995-2010 were included. Patients underwent thorough investigation
including the use of different stroke scales (National Institute of Health Stroke
Scale, Barthel Index and modified Rankin Scale). Twenty-eight patients had
infarctions, 3 had hemorrhages, and 1 had arterio-venous fistula. Twenty-eight
spinal cord strokes were spontaneous, 2 were secondary to aorta aneurysms, and 2
post surgery. Biphasic ictus was seen in 17% of all spontaneous infarctions.
Younger age, male gender, hypertension, diabetes mellitus, and higher blood
glucose on admission regardless of diabetes mellitus, were risk factors
associated with more severe spinal cord stroke. Treatment and prevention of these
risk factors should be essential in spinal cord stroke. We recommend a clinical
classification into upper (cervical) and lower (thoracic or medullary conus)
spinal cord strokes. Patients with upper strokes in this study had more severe
strokes initially, but they had a better prognosis. Therefore it is important to
identify this patient group.Acute sensory spinal cord deficit symptoms, common
initial symptoms in biphasic spinal cord strokes, should be considered as
possible spinal cord stroke, especially when preceded by radiating pain between
the shoulders.
PMID- 22075729
TI - Preparation and formation mechanism of a n-butylammonium/MnO2 layered hybrid via
a one-pot synthesis under moderate conditions.
AB - The preparation of organic/inorganic layered hybrids has relied on multistep
processing. Thus, shortening the synthetic procedure is important for possible
future applications, but only a few studies report one-pot syntheses. In this
work, we established a simple one-pot solution process to synthesize layered
alkyl ammonium/MnO(2) hybrids, by stirring MnCl(2) and alkyl amine/H(2)O(2)
aqueous solutions at 40 degrees C; the reaction concept is a chemical oxidation
of Mn(II) ions in the presence of alkyl amine in aqueous solution. Furthermore,
the formation mechanism of the layered n-butylammonium/MnO(2) hybrid was examined
by following the structural and optical changes during the reaction, revealing
that the one-pot reaction includes 3 steps; formation of beta-MnOOH, topotactic
oxidation of beta-Mn(III)OOH to form the protonated layered manganese oxide
H(x)Mn(III, IV)O(2).yH(2)O, and ion-exchange of interlayer H(+) (or H(3)O(+))
with n-butylammonium to form layered n-butylammonium/MnO(2).
PMID- 22075730
TI - Ventral compression in adult patients with Chiari 1 malformation sans basilar
invagination: cause and management.
AB - BACKGROUND: A small subset of patients with adult Chiari I malformation without
basilar invagination (BI) and instability show ventral cervicomedullary
distortion/compression and have symptoms pertaining to that. The cause of this
ventral compression remains speculative. Additionally, it is unclear if these
patients would require ventral decompression with posterior fusion or only
posterior decompression would suffice. METHODS: Sixteen adult patients with
Chiari I malformation with significant ventral cervicomedullary compression, in
the absence of BI, were included in the study. Atlantoaxial dislocation (AAD) was
excluded in these patients by flexion-extension craniovertebral junction X-rays
and computed tomography (CT). Their clinical profile, especially symptoms
pertaining to cervicomedullary compression, i.e. dysphagia, dysarthria and
spasticity, were graded. The ventral cervicomedullary compression (VCMC) was
quantified using pBC2 (maximum perpendicular distance to the basion-infero
posterior point of the C2 body) on sagittal magnetic resonance imaging (MRI) and
only those patients with pBC2 >=9 mm were included. Furthermore, retroversion of
dens and retro odonotid tissue thickness was calculated in each patient. Fifteen
patients underwent posterior decompression alone and one refused surgery. Follow
up was done every 3 months. Repeat MRI was done at 1 year following surgery to
look for pBC2. RESULTS: The mean pBC2 was 11 +/- 0.2 mm. Retroversion of dens was
responsible for VCMC in three patients and periodontoid crown in 13. There was no
correlation between the tonsillar descent, age and the pBC2. All patients
improved in symptoms of cervicomedullary compression following surgery. One
patient worsened 6 months after initial improvement. The pBC2 did not change, as
seen on follow-up MRI done in five patients. CONCLUSIONS: VCMC in adult patients
with Chiari I malformation in the absence of BI and/or AAD is due to periodontoid
tissue (crown) or retroverted dens. Though a long-term study is required, it
appears that all patients with Chiari I malformation, irrespective of the VCMC,
can be given a chance with posterior decompression alone. Transoral decompression
with posterior fusion may be required in a small subset of patients who fail to
improve or worsen following posterior decompression only.
PMID- 22075732
TI - The subdiaphragmatic cistern: historic and radioanatomic findings.
AB - BACKGROUND: In the past, sporadic demonstrations of the existence of a
subarachnoid subdiaphragmatic cistern have been published. The aim of this study
was to evaluate the anatomical characteristics of the subdiaphragmatic cistern of
the pituitary gland. METHODS: After a complete review of the literature published
on the topic, we report anatomical observations of the subdiaphragmatic cistern
and its relationship to the pituitary gland and to the chiasmatic cistern. Ten
cadaveric heads were studied using different techniques and surgical methods
(plastination, plastic casts of the subarachnoid spaces, microscopic and
transsphenoidal endoscopic approaches). Moreover, 3-T magnetic resonance images
of ten healthy volunteers were analyzed to investigate the presence and
anatomical variability of the subdiaphragmatic cistern. RESULTS: By means of our
qualitative radioanatomic study, we found that the roof of the subdiaphragmatic
cistern is formed by the diaphragma sellae, the floor by the superior face of the
pituitary gland, the lateral walls by the arachnoidea extending laterally through
the medial walls of the cavernous sinus, and the medial walls by the infundibular
stem. The subdiaphragmatic cistern communicates by means of the ostium of the
diaphragm with the chiasmatic cistern. CONCLUSION: We confirmed the existence of
the subdiaphragmatic cistern. The overused term "suprasellar cistern" refers more
to a complex of cisterns, formed by the subdiaphragmatic cistern, below the
diaphragma sella, and by the chiasmatic cistern, above it, in direct
communication with the lamina terminalis and carotid cisterns.
PMID- 22075733
TI - Are routine methods good enough to stain senile plaques and neurofibrillary
tangles in different brain regions of demented patients?
AB - INTRODUCTION: Numerous clinical cases have been reported showing the clinical
picture of dementia but not meeting the neuropathological criteria for
Alzheimer's dementia (AD). Different methods used to stain senile plaques (SPs)
and neurofibrillary tangles (NFTs) might account for this discrepancy. SUBJECTS
AND METHODS: Here, brains of 11 patients with dementia were examined.
Cryosections and paraffin sections from 6 different brain regions (frontal
medial, temporal medial and occipital gyrus, hippocampus, superior parietal lobe
and cerebellum) of all cases were stained with Bielschowsky, Campbell, Gallyas
and Congo red stains each. RESULTS: The study shows that the Bielschowsky silver
stain is insufficient for detecting SPs and NFTs, whereas two other methods
proved to be more accurate. SPs were found in similar frequency in all brain
regions examined (exception: cerebellum). The highest amount was shown with
Campbell silver stain in paraffin sections. In Congo red only 25 percent of these
SPs were stained, which is probably due to a great number of them not containing
any amyloid. NFTs were found almost exclusively in the hippocampus. The highest
number was detected with Gallyas silver stain in cryosections. CONCLUSION: These
results may suggest that Campbell stain for SPs and Gallyas stain for NFTs should
be the methods routinely used.
PMID- 22075734
TI - Cardiovascular risk factors as potential markers for mild cognitive impairment
and Alzheimer's disease.
AB - BACKGROUND: Mild cognitive impairment (MCI) is an early stage of cognitive
decline that has a significant risk of converting to dementia. Cardiovascular
pathology appears to have a major impact in cognitive decline, and it is clear
that early identification and correction of cardiovascular morbidity could have a
major impact on cognitive functioning. SUBJECTS AND METHODS: Our study was
conducted in order to identify some cardiovascular risk factors among patients
with cognitive decline (MCI or Alzheimer disease-AD) and to find if there is any
correlation with the degree of cognitive decline. We evaluated the body mass
index, total cholesterol, hypertension, history of smoking, alcohol consumption
and diabetes mellitus in patients with MCI and AD, compared with an age-matched
control group. RESULTS: Regarding the body mass index, we observed a progressive
decrease in patients with MCI and AD, in comparison with the control group.
Similar aspects were also observed in the case of cholesterol levels, only that
post hoc analysis revealed no significantly statistical differences between MCI
and AD groups. The systolic blood pressure was increased in the patients with MCI
and AD. Also, as in the case of cholesterol levels, post hoc analysis revealed no
significantly statistical differences between MCI and AD groups. Pearson's
correlation showed significant connections between the cardiovascular risk
factors and the results of the cognitive evaluation. CONCLUSIONS: Our results
constitute additional evidence that cardiovascular risk factors are involved in
cognitive regression. This finding could have an important impact on the
management of dementia.
PMID- 22075735
TI - The MMPI-2 neurotic triad subscales and depression levels after pharmacological
treatment in patients with depressive disorders - clinical study.
AB - BACKGROUND: Affective disorders provide for one third of the main causes of
psychiatric inpatient care, both in male and female subjects. An early diagnosis
of the disease with precise identification of the character of its particular
symptoms are key important factors for the efficacy of treatment. The goal of the
study was an identification of possible associations between scores of the
neurotic triad in the MMPI-2 test (hypochondria - Hs, depression - D, hysteria -
Hy), evaluated at initial hospitalization period with remission degree assessed
by the Hamilton Depression Rating Scale (HDRS), following eight weeks of
treatment with SSRI. SUBJECTS AND METHODS: A group of 50 subjects took part in
the study. The MMPI-2 test and HDRS were used in the study. The HDRS was
performed at the therapy onset and reapplied after 8 weeks of its continuation.
The MMPI-2 test was applied at the beginning of treatment. RESULTS: Higher scores
in Hs (p=0.007), D (p=0.021) and Hy scales (p=0.001) are associated with the
higher degree of depression, measured by the HDRS at the therapy onset. The
highest performance in Hs scale (p=0.003) and Hy scale (p=0.001) evaluated on
admission, was associated with the highest depression level after pharmacological
treatment. CONCLUSION: The higher the degree of hypochondria and hysteria
symptoms, measured by the MMPI-2 test at the onset of therapy in patients with
depressive disorders, the higher severity of depression is being found after 8
weeks of therapy with SSRI agents, measured by the HDRS scale.
PMID- 22075736
TI - Quantitative electroencephalography in schizophrenia and depression.
AB - BACKGROUND: Standard (qualitative) electroencephalography (EEG) is routinely used
in the diagnostic evaluation of psychiatric patients. Quantitative EEG (qEEG)
findings differ between patients with schizophrenia, patients with depression,
but results are not consistent. The aim of our study was to determine the
differences in qEEG parameters between patients with schizophrenia, patients with
depression, and healthy subjects. SUBJECTS AND METHODS: The study included 30
patients with schizophrenia, 33 patients with depression, and 30 healthy
subjects. All study participants underwent standard EEG. Artifact-free 100-second
epochs were selected from the recorded material and analyzed with Fast Fourier
Transformation (FFT) analysis. RESULTS: The results are presented as absolute
spectral power values (MUV2) of delta, theta, alpha, and beta components of the
EEG spectrum. EEGs were recorded from 12 locations including Fp1, Fp2, F3, F4,
F7, F8, T3, T4, P3, P4, O1, and O2. In comparison with healthy subjects, patients
with schizophrenia showed increased delta, theta, and beta activity and decreased
alpha activity. Similar results were obtained in patients with depression, but in
fewer regions. In patients with schizophrenia, delta power over Fp1, Fp2, F4, and
F8 regions was increased in comparison with those in patients with depression.
Interhemispheric asymmetry was found in patients with schizophrenia and healthy
subjects, but not in patients with depression. CONCLUSION: The finding that
patients with schizophrenia differed from patients with depression in delta power
values could be potentially used in differential diagnosis between schizophrenia
and depression. The role of qEEG in clinical differentiation between these two
mental disorders may be especially important in cases of negative-symptom
schizophrenia.
PMID- 22075737
TI - Serum Brain-derived neurotrophic factor (BDNF): the severity and symptomatic
dimensions of depression.
AB - INTRODUCTION: The aim of this study was to compare the concentration of serum
Brain-derived neurotrophic factor (BDNF) in patients suffering from major
depressive disorder (MDD) considering the severity of MDD episode defined by the
Hamilton rating scale for depression (HAMD-17). The other aim was to research the
connection between serum BDNF and the symptomatic dimensions of MDD. SUBJECTS AND
METHODS: The study includes 139 participants with major depressive disorder
(MDD). Diagnosis of MDD was set by DSM-IV-TR criteria. The severity of MDD was
estimated with HAM-D-17 in the manner that mild episode was diagnosed if the
score on HAMD-17 was up to 18, moderately severe 18-25 and severe over 25.
Concentration of BDNF was determined by the ELISA method. RESULTS: This research
could not find a difference in BDNF concentration considering the severity of the
depressive disorder in groups suffering from mild, moderately severe and severe
episodes of MDD (F=1.816; p=0.169). Factor analysis of HAMD-17 extracted four
dimensions of depressive symptoms. None of the symptomatic dimensions was
significantly related to BDNF concentration. CONCLUSION: Results of this study
indicate that serum BDNF levels are not related to the severity of depression and
its specific symptomatic dimensions. These findings support the idea of a complex
relationship between BDNF concentration at the periphery and in the CNS.
PMID- 22075738
TI - Depressive symptoms in patients with hepatitis C treated with pegylated
interferon alpha therapy: a 24-week prospective study.
AB - OBJECTIVE: To prospectively evaluate depressive symptoms and risk factors for
depression in patients with chronic hepatitis C (CHC) treated with pegylated
interferon alpha therapy combined with oral ribavirin (PEG-IFN-alpha+RBV) and to
analyze self-rating scale for depression in comparison to observer-based scale in
the given population. SUBJECTS AND METHODS: The Hamilton Depression Rating Scale
and Zung Self Rating Depression Scale were used to screen for depressive symptoms
in 74 subjects with CHC before PEG- IFN-alpha (mean dose 152.6+/-25.6 mcg), and
in the follow-up visits (4, 12 and 24 week). RESULTS: Incidence of depressive
symptoms in patients (mean age 39.9+/-13.4 years; equal sex distribution p=0.225)
treated by PEG- IFN-alpha was the highest on 12th week of the treatment, when
more than a 20% of our sample had moderate/severe symptoms of depression, and
about 30% had minor depressive symptoms. For the screening of depression during
PEG- IFN-alpha self-assessment scale was equally reliable as observer-based
assesment of depressive symptoms. Common clinical parameters- subject related
risk factors (age (p=0.955 ), sex (p=0.008), lifetime psychiatric disorder
(p=0.656)), illness related risk factors (duration of CHC (p=0.267 ), i.v drug
aplication as way of transmission (p=0.292)) and therapy-related risk factors
(recommended duration of PEG-IFN-alpha (p=0.993) and dose of PEG-IFN-alpha
(p=0.841)) were not signifcantly associated with depressive symptoms on PEG-IFN
alpha. CONCLUSIONS: Liason-consultation services should collaborate with
hepatologists in creating screening programmes, supplemented by objective
criteria and guidelines, for early recognition and treatment of interferon
induced depression.
PMID- 22075739
TI - The effects of beverage type on suicide rate in Russia.
AB - BACKGROUND: Research evidence has suggested that the consumption of different
types of alcoholic beverage may have a differential effect on suicide rate. The
aim of this study was to examine the relation between the consumption of
different beverage types and suicide rates in Russia. SUBJECTS AND METHODS: Age
standardized sex- and age-specific suicide rate for the period 1980-2005 and data
on beverage-specific alcohol sale were obtained from the Russian State
Statistical Committee. Time-series analytical modeling techniques (ARIMA) were
used to examine the relationship between the sale of different alcoholic
beverages and suicide rates. RESULTS: Vodka consumption as measured by sale was
significantly associated with both male and female suicide rate. The consumption
of beer and wine were not associated with suicide rate. The estimates of the age
specific models for men were positive (except for the 75+ age group) and ranging
from 0.069 (60-74 age group) to 0.123 (30-44 age group). The estimates for women
were positive for the 15-29 age group (0.08), 30-44 age group (0.096) and 45-59
age group (0.057). CONCLUSIONS: These findings suggest that public health efforts
should focus on both reducing overall consumption and changing beverage
preference away from distilled spirits in order to reduce suicide rate in Russia.
PMID- 22075740
TI - First generation antipsychotics switch with Risperidone in the treatment of
chronic schizophrenic patients.
AB - BACKGROUND: Schizophrenia is a severe chronic psychiatric disorder for which
treatment compliance is important in the prevention of relapse. Second generation
antipsychotics (SGA), such as Risperidone, have been found to be more effective
in the treatment of such patients than the high potency first generation
antipsychotics (FGA). This is an open study where the same group of patients was
first treated with FGA and then were switched to Risperidone, in controlled
hospital conditions, after a wash- out period. The aim of the study was to
examine whether patients with schizophrenia who were judged to be stable on long
term treatment with FGA would further benefit from a switch to an atypical
antipsychotic drug. SUBJECTS AND METHODS: Eighty hospitalized patients suffering
from Schizophrenia or Schizoaffective disorder (male 54, female 26) were first
treated with Haloperidol (N=60) or Fluphenazine (N=20), and then were switched to
Risperidone. Their clinical state was monitored using the PANSS scale for
Schizophrenia, measuring the Total PANSS score. The KLAWANS scale for assessment
of extrapyramidal syndrome (EPS) was also used. Administration and dosage of
Trihexiphenidil (THF) was recorded. The study lasted for 8 weeks, with 4
screenings (Visit 0-baseline- FGA, Visits 1-3 Risperidone on Day 14, 28 and 56,
respectively). RESULTS: The average age was 38. Patients usually suffered the
paranoid form of Schizophrenia (55%). The duration of illness was more than 5
years (38.8%). During the eight- week trial on Risperidone, using the PANSS total
scores, we observed clinical improvement where the therapy switch had caused an
initial worsening (p<0.05). Also, the compared baseline (FGA) and last visit
showed a low, but statistically significant benefit in favor of Risperidone
(t=5.45, df=79, p<0.005). Intensity of EPS measured by KLAWANS scores
significantly decreased during time (F=4.115; p=0.016; Partial Eta Square=0.058).
Average Trihexiphenidil doses followed Risperidone in a dose dependent manner
(r=0.748, r=0.661, respectively, p<0.01) with the consequent decrease of patients
needing THF corrective therapy (68.8% at the baseline toward 22.5% on last
visit). CONCLUSION: Switch to Risperidone medication provided significant
additional improvement in symptom severity, extrapyramidal side effects and need
for anticholinergic medication. This suggests that one might expect better
compliance in future treatment in this population of chronic schizophrenic
patients.
PMID- 22075741
TI - Burnout syndrome among physicians - the role of personality dimensions and coping
strategies.
AB - BACKGROUND: Burnout syndrome (BS) and stress-related disorders are frequent among
medical specialists, but it has been suggested that some health workers are more
prone to the BS than others. This study assessed the intensity of the BS among 3
groups of physicians: psychiatrists, general practitioners and surgeons and
examined correlation both between the intensity of BS and physicians' personality
dimensions as well as between the level of BS and stress coping strategies.
SUBJECTS AND METHODS: The sample consisted of 160 physicians (70 general
practitioners, 50 psychiatrists, 40 surgeons). The assessment was carried out by
the Maslach Burnout Inventory, The Temperament and Character Inventory and Manual
for the Ways of Coping Questionnaire. RESULTS: Dimension of emotional exhaustion
was the most prominent in general practitioners (F=5.546, df1=2, df2=156,
p<0.01), while dimension of depersonalization was highest in surgeons (F=15.314,
df1=2, df2=156, p<0.01), as well as lack of personal accomplishment (F=16.079,
df1=2, df2=156, p<0.01). We found that the Harm Avoidance has lead to development
of BS while Self-directedness and Cooperativeness were prominent in physicians
with low level of BS. The escape-avoidance was in correlation with high
depersonalization and lack of personal accomplishment while self-control was
prominent in physicians with lower BS. CONCLUSION: The BS affects personal well
being and professional performance. It is important to identify individuals with
a tendency towards its development, in order to undertake preventive measures
such as stress management and improvement of the stress coping strategies.
PMID- 22075742
TI - Teaching health care professionals about suicide safety planning.
AB - The suicide safety plan is a plan of action created by the clinician and patient
that essentially charts the course of what the patient should do if he/she begins
to experience suicidal urges. It is important for clinicians to learn how to
implement a safety plan so that they can offer this service to their patients and
teach their colleagues and associates about suicide safety planning. The safety
plan is a great tool employed to help patients with suicidal urges, but trainees
clinicians and clinician associates alike - should fully understand that it is
not a form of treatment. However, since an effective treatment for suicidality
does not exist, practitioners should definitely use suicide safety planning.
Although more resources are now being provided to individuals with suicidal
behavior, more research needs to be done to develop new, effective methods of
treatment and prevention of suicidal behavior.
PMID- 22075743
TI - Infantile masturbation - exclusion of severe diagnosis does not exclude parental
distress - case report.
PMID- 22075744
TI - [Depression: diagnosis, treatment and course].
AB - Depressions are very common mental diseases. The diagnosis is made by
psychopathology criteria and the course of the disorder. There is a growing body
to the knowledge about etiology and treatment of depression - neuro-imaging,
neuroplasticity, CREB and BDNF are all modified by antidepressants treatment
and/or psychotherapy. As differential diagnoses, depressive syndromes on the
basis of organic diseases but also burn-out syndromes may play a role, different
therapeutic efforts are necessary. The treatment of depression consists of
psychopharmacological and psychotherapeutic strategies, combinations of both are
useful. Many psychopharmacological substances with differing mechanisms of action
are available - combinations of antidepressants with complementing mechanisms are
possible. The course of depressions is mainly influenced by "working therapies".
Comorbid somatic diseases and their successful treatment are of relevance for the
long term course.
PMID- 22075745
TI - Depression: a diagnosis aptly used?
AB - Depression is a very common mental disorder which often results in relevant
negative consequences ranging from impaired quality of life to an increased
suicide rate. Unfortunately, non-psychiatric physicians frequently under-diagnose
and under-treat depression. Nevertheless, sometime the diagnosis "depression" is
used for mentally well and other mental disorders (i.e. sometimes depression is
over-diagnosed). Screening tools were suggested to improve the recognition of
mental disorders in everyday clinical work. Studies have shown that the criterion
validity of usual screening questionnaires such as the Geriatric Depression Scale
(GDS) is sufficient, while very short questionnaires consisting of one or two
questions must not be used because of high misclassification rates. A meta
analysis of randomized trials of screening for depression indicate that screening
for depression is probably effective when it is coupled with additional
activities such as educational programs for primary care physicians.
PMID- 22075746
TI - [The relationship between depression, anxiety and heart disease - a psychosomatic
challenge].
AB - BACKGROUND: Depressive and cardiological disorders present a major comorbidity.
Their manifold interrelations may be best analysed within a biopsychosocial model
of disease. METHODS: A systematic research was done on empirical studies
published during the last 15 years and dealing with epidemiological,
etiopathogenetic and therapeutic dimensions of the comorbidity of depression,
anxiety and heart disease. RESULTS: From an epidemiological perspective recurrent
depressions are associated with a significantly increased risk of coronary heart
disease. Depressive disorders play a major role in triggering critical cardiac
events, e.g. myocardial infarction. The prevalence rates of depressive disorders
in various cardiological conditions are significantly higher than the frequencies
that can be expected in healthy general population. Depression shows a negative
impact on the somatic morbidity and mortality during the further course of
illness. Anxiety and posttraumatic stress disorders seem to be interrelated with
cardiological conditions in quite a similar way, probably contributing even more
negatively to critical and lethal cardiological events than depression. From an
etiopathogenetic perspective some clusters of depressive symptoms seem to be
linked to cardiotoxicity more closely than other, vital exhaustion, anhedonia,
and hopelessness probably mediating a special risk. In any case, postmyocardial
infarct depression that proves treatment-resistent indicates a negative prognosis
of the prevailing cardiological condition. On a level of psychological and
psychosocial constructs type-A personality, anger/hostility, type-D personality,
and alexithymia have been explored regarding its proper pathogenetic role.
Psychological and psychopathological variables have to be set into a context of
psychosocial stressors on the one hand, and have to be simultaneously analysed
with various underlying psycho- and neurobiological variables on the other. Above
all, HPA- and sympathicomedullary dysfunctions, reduced heart rate variability,
altered functions of thrombocytes, and increased proinflammatory processes have
to be recognized as significantly contributing to the pathophysiology both of
depression and of heart condition. Neurobiological aspects of anxiety and
posttraumatic stress disorders must be interlinked with these underpinnings of
depression. Differential effects on critical cardiological events must be
supposed. From a therapeutic perspective several RCTs demonstrate that SSRIs may
safely and efficiently treat depressive disorders in cardiological conditions,
and may even improve the general somatic prognosis. Cognitive-behavioural
psychotherapies have been empirically validated in treating depression and
anxiety with cardiological patients. So far, however, a differential indication
of psychopharmacological versus psychotherapeutic approaches has not been proved
yet. CONCLUSIONS: Depression and anxiety disorders in patients with heart disease
paradigmatically define a psychosomatic-somatopsychic challenge to any health
delivery system. A psychosomatic perspective may best be practised within a
Consultation-Liaison psychiatric service that cooperates continuously and closely
with cardiological departments and experts.
PMID- 22075747
TI - [Anterior approach liver resection with the liver hanging maneuver. Technique and
indications].
AB - The anterior approach liver resection has advantages compared to conventional
liver resection. Mobilization during conventional liver resection may cause local
pressure on the tumor which could lead to tumor cell dissemination or even to
tumor rupture. Furthermore, hemodynamic parameters tend to deteriorate during
mobilization due to compression or twisting of the inferior vena cava. In
addition, the left liver lobe often is compressed which can lead to tissue damage
of the residual parenchyma. The risk of these complications can be reduced by the
anterior approach technique which is facilitated by the so-called liver hanging
maneuver. Appropriate indications for this technique are large tumors of the
right liver lobe, tumors with infiltration of the right hepatic vein and
infiltration of the vena cava from the right side, tumors with infiltration of
the diaphragm and tumors of the right lobe after previous resections of the right
lobe.
PMID- 22075748
TI - RNA interference in Caenorhabditis elegans: uptake, mechanism, and regulation.
AB - RNA interference (RNAi) is a powerful research tool that has enabled molecular
insights into gene activity, pathway analysis, partial loss-of-function
phenotypes, and large-scale genomic discovery of gene function. While RNAi works
extremely well in the non-parasitic nematode C. elegans, it is also especially
useful in organisms that lack facile genetic analysis. Extensive genetic analysis
of the mechanisms, delivery and regulation of RNAi in C. elegans has provided
mechanistic and phenomenological insights into why RNAi is so effective in this
species. These insights are useful for the testing and development of RNAi in
other nematodes, including parasitic nematodes where more effective RNAi would be
extremely useful. Here, we review the current advances in C. elegans for RNA
delivery methods, regulation of cell autonomous and systemic RNAi phenomena, and
implications of enhanced RNAi mutants. These discussions, with a focus on
mechanism and cross-species application, provide new perspectives for optimizing
RNAi in other species.
PMID- 22075750
TI - Qiliqiangxin inhibits the development of cardiac hypertrophy, remodeling, and
dysfunction during 4 weeks of pressure overload in mice.
AB - Qiliqiangxin (QL), a traditional Chinese medicine, has been used in the treatment
of chronic heart failure. However, whether QL can benefit cardiac remodeling in
the hypertensive state is unknown. We here examined the effects of QL on the
development of cardiac hypertrophy through comparing those of losartan in C57BL/6
mice underlying transverse aorta constriction for 4 weeks. QL and losartan were
administrated at 0.6 mg and 13.4 mg.kg.d, respectively. Cardiac hypertrophy,
function, and remodeling were evaluated by echocardiography, catheterization,
histology, and examination of specific gene expression and ERK phosphorylation.
Cardiac apoptosis, autophagy, tumor necrosis factor alpha/insulin-like growth
factor-1, and angiotensin II type 1 receptor expression and especially the
proliferation of cardiomyocytes and phosphorylation of ErbB receptors were
examined in vivo to elucidate the mechanisms. Transverse aorta constriction for 2
weeks resulted in a significant cardiac hypertrophy, which was significantly
suppressed by either QL or losartan treatment. At 4 weeks after transverse aorta
constriction, although the development of cardiac dysfunction and remodeling and
the increases in apoptosis, autophagy, tumor necrosis factor alpha/insulin-like
growth factor-1, and angiotensin II type 1 receptor expression were abrogated
comparably between QL and losartan treatments, QL, but not losartan, enhanced
proliferation of cardiomyocytes, which was paralleled with dowregulation of
CCAAT/enhancer-binding protein beta, upregulation of CBP/p300-interacting
transactivator with ED-rich carboxy-terminal domain 4, and increases in ErbB2 and
ErbB4 phosphorylation. Furthermore, inhibition of either ErbB2 or CBP/p300
interacting transactivator with ED-rich carboxy-terminal domain 4 abolished the
cardiac protective effects of QL. Thus, QL inhibits myocardial inflammation and
cardiomyocyte death and promotes cardiomyocyte proliferation, leading to an
ameliorated cardiac remodeling and function in a mouse model of pressure
overload. The possible mechanisms may involve inhibition of angiotensin II type 1
receptor and activation of ErbB receptors.
PMID- 22075749
TI - Combined aliskiren and amlodipine reduce albuminuria via reduction in renal
inflammation in diabetic rats.
AB - We hypothesized that compared with hydrochlorothiazide (HCTZ), the renin
inhibitor aliskiren (ALISK) or amlodipine (AMLO) and their combination reduce
albuminuria via reduction in renal inflammation, independent of blood pressure
(BP) changes. We studied normal and streptozotocin-induced diabetic (DM) Sprague
Dawley rats treated for 6 weeks with vehicle, ALISK, HCTZ, or AMLO individually
and combined and evaluated the effects of treatments on BP, urine albumin to
creatinine ratio, renal interstitial fluid levels of angiotensin II, tumor
necrosis factor alpha (TNF-alpha), and interleukin 6 (IL-6) and renal expression
of TNF-alpha, IL-6, transforming growth factor beta 1, and nuclear factor kappa
B. There were no differences in BP between treatments. Only ALISK and its
combinations reduced renal interstitial fluid angiotensin II. Urine albumin to
creatinine ratio increased in DM rats and decreased with ALISK alone or combined
with HCTZ or AMLO. HCTZ or AMLO individually and combined did not influence urine
albumin to creatinine ratio. Renal interstitial fluid TNF-alpha and IL-6, and the
renal expression of TNF-alpha, IL-6, transforming growth factor beta 1, and
nuclear factor kappa B were increased in DM rats. These renal inflammatory
markers were reduced only with ALISK or AMLO individually or combined with other
treatments. We conclude that ALISK alone and combined with HCTZ or AMLO reduced
albuminuria in diabetes via reduction in renal inflammation, independent of BP
changes.
PMID- 22075751
TI - The effect of CYP7A1 polymorphisms on lipid responses to fenofibrate.
AB - INTRODUCTION: CYP7A1 encodes cholesterol 7alpha-hydroxylase, an enzyme crucial to
cholesterol homeostasis. Its transcriptional activity is downregulated by
fenofibrate. The goal of this study was to determine the effect of CYP7A1
polymorphisms on lipid changes in response to fenofibrate. METHODS: We examined
the associations of 3 tagging single nuclear polymorphisms (i6782C>T, m204T>G,
3U12536A>C) at CYP7A1 with triglyceride (TG) and high-density lipoprotein
cholesterol (HDL)-C responses to a 3-week treatment with 160 mg/d of fenofibrate
in 864 US white participants from the Genetics of Lipid Lowering Drugs and Diet
Network study. RESULTS: The m204T>G variant was significantly associated with TG
and HDL-C responses with fenofibrate. Individuals homozygous for the common T
allele of m204T>G single nuclear polymorphism displayed both the greater
reduction of TG (-32% for TT, -28% for GT, -25% for GG, P = 0.004) and an
increase of HDL-C response compared with noncarriers (4.1% for TT, 3.4% for GT,
1.2% for GG, P = 0.01). Conversely, individuals homozygous for the minor allele
of i6782C>T showed a greater increase in the HDL-C response compared with
noncarriers (2.8% CC, 4.5% for CT, 5.8% for TT, P = 0.02), albeit no significant
effect on TG response. CONCLUSIONS: Our data suggest that common variants at the
CYP7A1 locus modulate the TG-lowering and HDL-C-raising effects of fenofibrate,
and contribute to the interindividual variation of the drug responses.
PMID- 22075752
TI - Heart rate reduction induced by the if current inhibitor ivabradine improves
diastolic function and attenuates cardiac tissue hypoxia.
AB - AIMS: Enhanced heart rate (HR) is a compensatory mechanism in chronic heart
failure (CHF), preserving cardiac output, but at the cost of increased left
ventricular (LV) oxygen consumption and impaired diastolic function. The HR
reduction (HRR) induced by the If current inhibitor ivabradine prevents LV
systolic dysfunction in CHF, but whether HRR improves LV diastolic function is
unknown. METHODS: LV diastolic function and remodeling were assessed in rats with
CHF after coronary ligation after long-term (90 days, starting 7 days after
ligation) and delayed short-term (4 days, starting 93 days after ligation)
ivabradine treatment (10 mg.kg.d). RESULTS: Long- and short-term HRR reduced LV
end-diastolic pressure, LV relaxation, and LV end-diastolic pressure-volume
relation. Simultaneously, LV hypoxia-inducible factor-1alpha expression was
reduced. Long-term and, to a more marked extent, short-term HRR increased
endothelial cell proliferation, associated after long-term HRR with the
prevention of CHF-related LV capillary rarefaction. Long-term and, to a lesser
extent, short-term HRR increased endothelial nitric oxide synthase expression,
associated after long-term HRR with improved nitric oxide-dependent coronary
vasodilatation. CONCLUSIONS: Long-term HRR induced by ivabradine improves
diastolic LV function probably involving attenuated hypoxia, reduced remodeling,
and/or preserved nitric oxide bioavailability, resulting from processes triggered
early after HRR initiation: angiogenesis and/or preservation of endothelial
nitric oxide synthase expression.
PMID- 22075753
TI - Antimicrobial efficacy of denture adhesives on some oral malodor-related
microbes.
AB - The objective of the study was to determine the antimicrobial efficacy of three
denture adhesives toward Streptococcus oralis, mutans, Prevotella oralis and
Fusobacterium nucleatum. Adhesives used were Corega Ultra((r)), Fixodent Pro
Original((r)) and Biotene((r)) Denture Grip. For Streptococcus oralis and
Streptococcus mutans, four tubes of Trypticase Soy Broth 10 mL and 1 g denture of
adhesive were used. In addition four tubes of Trypticase Soy Broth 10 mL without
any denture adhesive was employed as control. For Prevotella oralis and
Fusobacterium nucleatum, four tubes of thioglycolate 10 mL and 1 g denture
adhesive were used for each one, while four tubes of thioglycolate 10 mL without
adhesive served as control. All samples were incubated for 48 h at 37 degrees C.
After 48 h, the number of colonies was counted and the mean was extracted as
cfu/mL. The results were evaluated with ANOVA on ranked data and Tukey's post hoc
test at alpha = 0.05. Streptococcus oralis, mutans, Prevotella oralis and
Fusobacterium nucleatum showed decreased number of colonies for each denture
adhesive compared to the control. Under the conditions of this in vitro study,
all the tested denture adhesives showed antimicrobial efficacy. However, in
contrast to the hypothesis, there were differences among them. Corega Ultra((r))
and Biotene((r)) Denture Grip were more effective for all the tested oral malodor
related microbes than Fixodent Pro Original((r)).
PMID- 22075754
TI - Evaluation of fit of cement-retained implant-supported 3-unit structures
fabricated with direct metal laser sintering and vacuum casting techniques.
AB - This study evaluated the vertical discrepancy of implant-fixed 3-unit structures.
Frameworks were constructed with laser-sintered Co-Cr, and vacuum-cast Co-Cr, Ni
Cr-Ti, and Pd-Au. Samples of each alloy group were randomly luted in standard
fashion using resin-modified glass-ionomer, self-adhesive, and acrylic/urethane
based cements (n = 12 each). Discrepancies were SEM analyzed. Three-way ANOVA and
Student-Newman-Keuls tests were run (P < 0.05). Laser-sintered structures
achieved the best fit per cement tested. Within each alloy group, resin-modified
glass-ionomer and acrylic/urethane-based cements produced comparably lower
discrepancies than the self-adhesive agent. The abutment position did not yield
significant differences. All misfit values could be considered clinically
acceptable.
PMID- 22075755
TI - Histological and immunohistochemical features of gingival enlargement in a
patient with AML.
AB - Here, we discuss the pathophysiology of leukemia-associated gingival enlargement
based on a case of acute myelomonocytic leukemia (AML-M4) with typical gingival
enlargement. Uniquely, this patient was well enough to allow full periodontal
examination and incisional gingival biopsy to be performed both before and after
chemotherapy. The patient was a 39-year-old Japanese woman with AML-M4 showing
gingival enlargement. Histological and immunohistochemical features of gingiva
and bacterial counts in the periodontal pockets were examined before and after
chemotherapy. The results were as follows: (1) infiltration of myelomonocytic
blasts in enlarged gingiva; (2) resolution of gingival enlargement with complete
remission of AML by anticancer chemotherapy; and (3) the numbers of bacteria in
the periodontal pockets were not high and were not altered before or after
chemotherapy. In patients with AML-M4, remarkable mucosal enlargement is not
generally observed in the body except in the gingiva. We hypothesized that
antigens derived from periodontal bacteria, even if they are not present in large
numbers, could act as chemoattractants for myelomonocytic leukemic cells.
PMID- 22075756
TI - Defining the role of laboratory genetic counselor.
AB - An increasing number of genetic counselors are moving into non-clinical roles,
where their primary duties do not involve direct patient contact. According to
the National Society of Genetic Counselors Professional Status Survey in 2010,
23% of counselors working in non-clinical roles identified laboratory or genetic
testing as their primary area of work. Using a survey, we identified 43 genetic
counselors who work predominately in laboratory settings. The two primary tasks
performed by participants, include acting as a customer liaison (95%) and calling
out test results (88%). Nineteen participants (44.2%) also reported spending a
considerable amount of time signing reports. The most prevalent areas of job
satisfaction were support from laboratory directors (76.8%), autonomy (76.7%),
interactions with clinicians (69.7%) and interaction with other genetics
counselors (67.5%). This is the first study specifically looking at the roles of
laboratory genetic counselors, which is an expanding area of genetic counseling.
PMID- 22075757
TI - Nuclear estrogen receptor-mediated Notch signaling and GPR30-mediated PI3K/AKT
signaling in the regulation of endometrial cancer cell proliferation.
AB - To elucidate the mechanisms of nuclear estrogen receptor (ER)-mediated and G
protein-coupled receptor 30 (GPR30)-mediated signaling in the regulation of
proliferation in ER-positive and ER-negative endometrial cancer cells, two human
endometrial carcinoma cell lines, Ishikawa (ER-positive) and KLE (ER-negative),
were used. PCR and Western blot analyses were used to determine the effects of
estrogen stimulation on the activation of Notch and GPR30-PI3K/AKT signaling.
Cell growth was investigated using MTT assays. Overexpression of ER in ER
negative cells was achieved by plasmid transfection and was used to investigate
the effects on cellular growth and Notch signaling. GPR30-mediated signaling was
evaluated using siRNA interference. Estrogen stimulated cell proliferation in
both cell lines, it activated Notch signaling in ER-positive Ishikawa cells, but
not in ER-negative KLE cells. Blockade of this signaling by a Notch inhibitor
resulted in partial arrest of estrogen-induced cell proliferation in Ishikawa
cells. Overexpression of ER in KLE cells restored estrogen-enhanced Notch
signaling and further promoted cell growth. GPR30, as a new G-protein-coupled
estrogen receptor, was detected in both cell lines, but was stronger in ER
negative KLE cells. Depletion of GPR30 in KLE cells abolished estrogen-induced
PI3K/AKT signaling activation and resulted in inhibition of cell proliferation.
Conclusively, regulation of proliferation in nuclear ER-positive endometrial
cancer cells is mediated by both ER-Notch signaling and GPR30-PI3K/AKT signaling,
whereas only the latter pathway is involved in the regulation of growth in
nuclear ER-negative endometrial cancer cells.
PMID- 22075758
TI - Ripe fruit of Rubus coreanus inhibits mast cell-mediated allergic inflammation.
AB - In this study, we investigated the effect of a water extract of the ripe fruits
of Rubus coreanus Miq. (Rosaceae) (RFRC) on mast cell-mediated allergic
inflammation and studied the possible mechanism of action. Mast cell-mediated
allergic disease is involved in many diseases such as anaphylaxis, rhinitis,
asthma and atopic dermatitis. RFRC dose-dependently inhibited compound 48/80
induced systemic anaphylaxis and serum histamine release in mice. RFRC reduced
the immunoglobulin E (IgE)-mediated local allergic reaction, passive cutaneous
anaphylaxis. RFRC attenuated histamine release from rat peritoneal mast cells and
human mast cells by the reduction of intracellular calcium. RFRC decreased the
phorbol 12-myristate 13-acetate (PMA) and the calcium ionophore A23187 (PMACI)
stimulated expression and secretion of pro-inflammatory cytokines in human mast
cells. The inhibitory effect of RFRC on cytokine production was nuclear factor
(NF)-kappaB- and mitogen-activated protein kinase (MAPK)-dependent. In addition,
RFRC suppressed the activation of caspase-1. Our findings provide evidence that
RFRC inhibits mast cell-derived allergic inflammatory reactions, and for the
involvement of calcium, NF-kappaB, MAPKs and caspase-1 in these effects.
Furthermore, in vivo and in vitro anti-allergic inflammatory effects of RFRC
provide affirmative proof of a possible therapeutic application of this agent in
allergic inflammatory diseases.
PMID- 22075759
TI - Subaru studies of the cosmic dawn.
AB - An overview on the current status of the census of the early Universe population
is given. Observational surveys of high redshift objects provide direct
opportunities to study the early epoch of the Universe. The target population
included are Lyman Alpha Emitters (LAE), Lyman Break Galaxies (LBG),
gravitationally lensed galaxies, quasars and gamma-ray bursts (GRB). The basic
properties of these objects and the methods used to study them are reviewed. The
present paper highlights the fact that the Subaru Telescope group made
significant contributions in this field of science to elucidate the epoch of the
cosmic dawn and to improve the understanding of how and when infant galaxies
evolve into mature ones.
PMID- 22075760
TI - Molecular bases of thermophily in hyperthermophiles.
AB - I reflect on some of our studies on the hyperthermophilic archaeon, Thermococcus
kodakarensis KOD1 and its enzymes. The strain can grow at temperatures up to 100
degrees C, and also represents one of the simplest forms of life. As expected,
all enzymes, DNA, RNA, cytoplasmic membrane, and cytoplasmic solute displayed
remarkable thermostability, and we have determined some of the basic principles
that govern this feature. To our delight, many of the enzymes exhibited unique
biochemical properties and novel structures not found in mesophilic proteins.
Here, I will focus on some enzymes whose three-dimensional structures are
characteristic of thermostable enzymes. I will also add some examples on the
stabilization of DNA, RNA, cytoplasmic membrane, and cytoplasmic solute.
PMID- 22075761
TI - Flexible antibodies with nonprotein hinges.
AB - There is a significant need for antibodies that can bind targets with greater
affinity. Here we describe a novel strategy employing chemical semisynthesis to
produce symmetroadhesins: antibody-like molecules having nonprotein hinge regions
that are more flexible and extendible and are capable of two-handed binding.
Native chemical ligation was carried out under mild, non-denaturing conditions to
join a ligand binding domain (Abeta peptide) to an IgG1 Fc dimer via discrete
oxyethylene oligomers of various lengths. Two-handed Abeta-Fc fusion proteins
were obtained in quantitative yield and shown by surface plasmon resonance to
bind an anti-Abeta antibody with a K(D) at least two orders of magnitude greater
than the cognate Abeta peptide. MALDI-TOF MS analysis confirmed the
protein/nonprotein/protein structure of the two-handed molecules, demonstrating
its power to characterize complex protein-nonprotein hybrids by virtue of
desorption/ionization mediated by peptide sequences contained therein. We
anticipate many applications for symmetroadhesins that combine the target
specificity of antibodies with the novel physical, chemical and biological
properties of nonprotein hinges.
PMID- 22075762
TI - Serum IL10, IL12 and circulating CD4+CD25high T regulatory cells in relation to
long-term clinical outcome in head and neck squamous cell carcinoma patients.
AB - IL10, but not IL12 or T regulatory cells in the circulation of newly presenting,
pre-treatment head and neck squamous cell carcinoma (HNSCC) patients, has been
shown previously to be related to survival over a mean follow-up period of 15
months. Here, we followed the same patients for a longer period to determine
whether these associations change. Pre- and post-treatment serum IL10/IL12 and
circulating T regs were measured using ELISA and flow cytometry respectively and
were correlated with survival after a 33 month average follow-up in a cohort of
newly presenting HNSCC patients (n=107), with cancers of the hypopharynx (n=16),
larynx (n=36), oral cavity (n=21), oropharynx (n=25), sinonasal (n=4) or unknown
origin (n=5). Although the mean survival time of patients with detectable levels
of IL10 pre-treatment was lower (40.6 months) than that of those without
detectable levels of IL10 (45.6 months), the difference was no longer
significant, in contrast to earlier follow-up data. In conclusion, although serum
levels of IL10 may be a prognostic indicator for HNSCC patients over the short
term, they become less significant as follow-up time increases.
PMID- 22075763
TI - Representation of grasp postures and anticipatory motor planning in children.
AB - In this study, we investigated anticipatory motor planning and the development of
cognitive representation of grasp postures in children aged 7, 8, and 9 years.
Overall, 9-year-old children were more likely to plan their movements to end in
comfortable postures, and have distinct representational structures of certain
grasp postures, compared to the 7- and 8-year old children. Additionally, the
sensitivity toward comfortable end-states (end-state comfort) was related to the
mental representation of certain grasp postures. Children with grasp comfort
related and functionally well-structured representations were more likely to have
satisfied end-state comfort in both the simple and the advanced planning
condition. In contrast, end-state comfort satisfaction for the advanced planning
condition was much lower for children whose cognitive representations were not
structured by grasp comfort. The results of the present study support the notion
that cognitive action representation plays an important role in the planning and
control of grasp postures.
PMID- 22075764
TI - Bidirectional semantic interference between action and speech.
AB - Research on embodied cognition assumes that language processing involves modal
simulations that recruit the same neural systems that are usually used for action
execution. If this is true, one should find evidence for bidirectional crosstalk
between action and language. Using a direct matching paradigm, this study tested
if action-languages interactions are bidirectional (Experiments 1 and 2), and
whether the effect of crosstalk between action perception and language production
is due to facilitation or interference (Experiment 3). Replicating previous
findings, we found evidence for crosstalk when manual actions had to be performed
simultaneously to action-word perception (Experiment 1) and also when language
had to be produced during simultaneous perception of hand actions (Experiment 2).
These findings suggest a clear bidirectional relationship between action and
language. The latter crosstalk effect was due to interference between action and
language (Experiment 3). By extending previous research of embodied cognition,
the present findings provide novel evidence suggesting that bidirectional
functional relations between action and language are based on similar conceptual
semantic representations.
PMID- 22075765
TI - Monte Carlo simulation of surface segregation phenomena in extended and
nanoparticle surfaces of Pt-Pd alloys.
AB - The surface segregation phenomena in the extended and nanoparticle surfaces of Pt
Pd alloys have been studied using the Monte Carlo (MC) simulation method and the
modified embedded-atom method (MEAM) potentials developed for Pt-Pd alloys. The
MEAM potentials were fitted to reproduce the experimental values of the lattice
parameters, cohesive energies and surface energies of pure Pt and Pd metals, as
well as the density functional theory calculation results of the lattice
parameters and heat of formation of L1(2) Pt(3)Pd, L1(0) PtPd and L1(2) PtPd(3)
crystal. Using the MC method and the developed MEAM potentials, we calculated the
Pt concentrations in the outermost three layers of the equilibrium (111), (100)
and (110) extended surfaces as well as the outermost surfaces of the equilibrium
cubo-octahedral nanoparticles of Pt-Pd alloys. Our simulation results showed that
the Pd atoms would segregate into the outermost layers of the extended surfaces
and the Pt concentration would increase monotonically from the extended surfaces
into the bulk. The equilibrium Pt-Pd nanoparticles were found to have Pd-enriched
shells and Pt-enriched cores. In the shell of the Pt-Pd nanoparticles, the Pd
atoms were predicted to preferably segregate to the (100) facets rather than the
(111) facets.
PMID- 22075766
TI - Epstein-barr virus coinfection in cerebrospinal fluid is associated with
increased mortality in Malawian adults with bacterial meningitis.
AB - Mortality from adult bacterial meningitis exceeds 50% in sub-Saharan Africa. We
postulated that-particularly in individuals infected with human immunodeficiency
virus (HIV)-herpes simplex virus, varicella zoster virus, Epstein-Barr virus
(EBV), and cytomegalovirus (CMV) in the cerebrospinal fluid (CSF) contribute to
poor outcome. CSF from 149 Malawian adults with bacterial meningitis and 39
controls were analyzed using polymerase chain reaction. EBV was detected in 79 of
149 bacterial meningitis patients. Mortality (54%) was associated with higher CSF
EBV load when adjusted for HIV (P = .01). CMV was detected in 11 of 115 HIV
infected patients, 8 of whom died. The mechanisms by which EBV and CMV contribute
to poor outcome require further investigation.
PMID- 22075767
TI - Rare (<1%) histological subtypes of renal cell carcinoma: an update.
AB - Recent advances in genetics and pathology have allowed description of several new
histological subtypes of renal cell carcinoma (RCC) as well as better
characterization of other rare subtypes. We herein present a comprehensive review
of taxonomy, epidemiology, pathology, imaging findings, and natural history of a
wide spectrum of rare subtypes of RCCs that individually constitute <1% of all
the RCCs.
PMID- 22075768
TI - One step synthesis of C-dots by microwave mediated caramelization of
poly(ethylene glycol).
AB - A rapid, simple and one step microwave mediated method for synthesizing C-dots
using poly(ethylene glycol) (PEG) as a precursor and passivating agent is
reported. The C-dots possessed low cytotoxicity, were amenable to separation by
electrophoresis, photostable and entered cancer cells, making them suitable
candidates for bioimaging and biolabelling.
PMID- 22075769
TI - Homeless but connected: the role of heterogeneous social network ties and social
networking technology in the mental health outcomes of street-living adolescents.
AB - Although social integration tends to have positive effects on the mental health
of housed adolescents, the role of homeless adolescents' social networks is more
ambiguous. Social network data were collected from 136 homeless adolescents in
Hollywood, California to examine how network ties are associated with symptoms of
anxiety and depression. Face-to-face relationships with street-based peers were a
risk factor for both anxiety and depression, while contacting home-based friends
through social networking technology was found to be protective for depression.
Community-based and public agencies serving homeless adolescents should consider
facilitating the maintenance of these protective relationships by providing
internet access.
PMID- 22075771
TI - Cervical cord atrophy caused by vertebral artery dolichoectasia.
PMID- 22075770
TI - Causal Beliefs and Effects upon Mental Illness Identification Among Chinese
Immigrant Relatives of Individuals with Psychosis.
AB - Identifying factors that facilitate treatment for psychotic disorders among
Chinese-immigrants is crucial due to delayed treatment use. Identifying causal
beliefs held by relatives that might predict identification of 'mental illness'
as opposed to other 'indigenous labels' may promote more effective mental health
service use. We examine what effects beliefs of 'physical causes' and other non
biomedical causal beliefs ('general social causes', and 'indigenous Chinese
beliefs' or culture-specific epistemologies of illness) might have on mental
illness identification. Forty-nine relatives of Chinese-immigrant consumers with
psychosis were sampled. Higher endorsement of 'physical causes' was associated
with mental illness labeling. However among the non-biomedical causal beliefs,
'general social causes' demonstrated no relationship with mental illness
identification, while endorsement of 'indigenous Chinese beliefs' showed a
negative relationship. Effective treatment- and community-based psychoeducation,
in addition to emphasizing biomedical models, might integrate indigenous Chinese
epistemologies of illness to facilitate rapid identification of psychotic
disorders and promote treatment use.
PMID- 22075772
TI - Using ecological frameworks to advance a field of research, practice, and policy
on aging-in-place initiatives.
AB - Initiatives to promote aging in place have emerged rapidly in the United States
across various health care settings (e.g., acute care hospitals, skilled nursing
facilities) and broader community settings (e.g., public social service
agencies). Moreover, recent federal policies include a growing number of
provisions for local efforts to promote aging in place. Despite emerging bodies
of research that have described singular initiatives in their own right, there
has been very little scholarship that forges conceptual linkages across this
increasingly vast domain of research, practice, and policy. Integrative theory
development is critical to ensure that aging-in-place initiatives do not become
fragmented from each other. This article uses insights from ecological frameworks
specifically Urie Bronfenbrenner's bioecological systems theory and M. Powell
Lawton's general ecological model of aging-to conceptualize a range of programs
as aging-in-place initiatives and for describing their similarities and
differences, particularly in terms of the features through which they intend to
promote aging in place. Theoretically derived dimensions along which to
characterize aging-in-place initiatives include environment-focused aspects
(e.g., the types of social systems and structures that the initiatives target for
change) and person-focused aspects (e.g., the extent to which the initiatives
target particular subgroups of older adults). The article concludes with a
discussion on how these theoretically derived dimensions can be used to advance
and integrate research, practice, and policy to systematically develop and expand
aging-in-place initiatives.
PMID- 22075773
TI - Knowledge of and attitudes toward nonpharmacological interventions for treatment
of behavior symptoms associated with dementia: a comparison of physicians,
psychologists, and nurse practitioners.
AB - PURPOSE OF THE STUDY: Behavior problems are common in nursing homes. Current
guidelines recommend nonpharmacological interventions (NPHIs) as first-line
treatment, but pharmacological regimens (PIs) continue to be used. Given
differences in background and training of those who treat behavior problems in
residents, we compared attitudes of physicians (MDs), psychologists (PhDs), and
nurse practitioners (NPs) concerning PI and NPHI usage as well as knowledge of
NPHIs. DESIGN AND METHODS: One hundred and eight MDs, 36 PhDs, and 89 NPs
responded to a web-based questionnaire that captured level of agreement with
statements concerning treatment of behavior symptoms and familiarity with NPHIs.
RESULTS: NPs were the most favorable toward NPHIs. MDs were significantly more
favorable to the use of PIs than were PhDs, with attitudes of NPs falling in
between. All felt that NPHI usage should increase and that NPHIs should be
implemented before using PIs but also believed that PIs work well for behavior
problems. MDs had significantly lower knowledge of NPHIs than PhDs or NPs.
Overall, NPHI knowledge was similar for PhDs and NPs, although they differed on
their use of specific interventions. IMPLICATIONS: As levels of knowledge and
familiarity with NPHIs differed among providers, it is conceivable that all might
benefit from training and experience with a wider range of NPHIs. Future studies
might evaluate the impact of a uniform understanding of NPHI on communication and
teamwork in nursing homes and examine ways to enhance a multidisciplinary
approach that would allow for the tailoring and individualization that is
required of successful interventions.
PMID- 22075774
TI - Synovial lesions in experimental canine Lyme borreliosis.
AB - Borrelia burgdorferi is the causative agent of Lyme disease, which is mainly
characterized by lameness in dogs. More than 95% of naturally infected dogs are
asymptomatic or subclinical; however, in experimental studies, histologic
synovial lesions are consistently observed in asymptomatic dogs inoculated with
B. burdgorferi. This study investigates the ability of a synovial histopathologic
scoring system, clinicopathologic data, and polymerase chain reaction (PCR)
testing to differentiate between B. burgdorferi-infected and uninfected dogs.
Eighteen 18-week-old beagles were subject to challenge with B. burgdorferi
infected wild-caught ticks (Ixodes scapularis), and 4 uninfected dogs served as
controls. Infection was confirmed by serology (ELISA) and PCR amplification of B.
burgdorferi-specific DNA of skin biopsies taken at the tick attachment site. A
synovial scoring system from human medicine was adapted and implemented on
postmortem synovial samples to discriminate infected and noninfected animals.
Application of this system to elbows and stifles with a cumulative joint score
cutoff > 4 showed a sensitivity of 88.2% and a specificity of 100%, with a
positive likelihood ratio of infinity and a negative likelihood ratio of 0.12.
Complete blood count, serum biochemistry, urinalysis, urine protein:creatinine,
urine PCR, synovial and lymph node cytology, and synovial PCR were evaluated but
were not reliable indicators of clinical disease.
PMID- 22075776
TI - A novel herpesvirus in 3 species of pheasants: mountain peacock pheasant
(Polyplectron inopinatum), Malayan peacock pheasant (Polyplectron malacense), and
Congo peafowl (Afropavo congensis).
AB - The mountain peacock pheasant (Polyplectron inopinatum), the Malayan peacock
pheasant (Polyplectron malacense), and the Congo peafowl (Afropavo congensis) are
all listed as vulnerable to extinction under the International Union for
Conservation of Nature Red List of Threatened Species. Here the authors report
fatal infection with a novel herpesvirus in all 3 species of birds. DNA was
extracted from the livers of birds with hepatocellular necrosis and intranuclear
eosinophilic inclusions consistent with herpesvirus infection. Based on
degenerate herpesvirus primers and polymerase chain reaction, 220- and 519-base
pair products of the herpes DNA polymerase and DNA terminase genes, respectively,
were amplified. Sequence analysis revealed that all birds were likely infected
with the same virus. At the nucleotide level, the pheasant herpesvirus had 92%
identity with gallid herpesvirus 3 and 77.7% identity with gallid herpesvirus 2.
At the amino acid level, the herpes virus had 93.8% identity with gallid
herpesvirus 3 and 89.4% identity with gallid herpesvirus 2. These findings
indicate that the closest relative to this novel herpesvirus is gallid
herpesvirus 3, a nonpathogenic virus used widely in a vaccine against Marek's
disease. In situ hybridization using probes specific to the peacock pheasant
herpesvirus DNA polymerase revealed strong intranuclear staining in the necrotic
liver lesions of an infected Malayan peacock pheasant but no staining in normal
liver from an uninfected bird. The phasianid herpesvirus reported here is a novel
member of the genus Mardivirus of the subfamily Alphaherpesvirinae and is
distinct from other galliform herpesviruses.
PMID- 22075777
TI - Glucagon-like peptide-1 modulates neurally evoked mucosal chloride secretion in
guinea pig small intestine in vitro.
AB - Glucagon-like peptide-1 (GLP-1) acts at the G protein-coupled receptor, GLP-1R,
to stimulate secretion of insulin and to inhibit secretion of glucagon and
gastric acid. Involvement in mucosal secretory physiology has received negligible
attention. We aimed to study involvement of GLP-1 in mucosal chloride secretion
in the small intestine. Ussing chamber methods, in concert with transmural
electrical field stimulation (EFS), were used to study actions on neurogenic
chloride secretion. ELISA was used to study GLP-1R effects on neural release of
acetylcholine (ACh). Intramural localization of GLP-1R was assessed with
immunohistochemistry. Application of GLP-1 to serosal or mucosal sides of flat
sheet preparations in Ussing chambers did not change baseline short-circuit
current (I(sc)), which served as a marker for chloride secretion. Transmural EFS
evoked neurally mediated biphasic increases in I(sc) that had an initial spike
like rising phase followed by a sustained plateau-like phase. Blockade of the EFS
evoked responses by tetrodotoxin indicated that the responses were neurally
mediated. Application of GLP-1 reduced the EFS-evoked biphasic responses in a
concentration-dependent manner. The GLP-1 receptor antagonist exendin-(9-39)
suppressed this action of GLP-1. The GLP-1 inhibitory action on EFS-evoked
responses persisted in the presence of nicotinic or vasoactive intestinal peptide
receptor antagonists but not in the presence of a muscarinic receptor antagonist.
GLP-1 significantly reduced EFS-evoked ACh release. In the submucosal plexus, GLP
1R immunoreactivity (IR) was expressed by choline acetyltransferase-IR neurons,
neuropeptide Y-IR neurons, somatostatin-IR neurons, and vasoactive intestinal
peptide-IR neurons. Our results suggest that GLP-1R is expressed in guinea pig
submucosal neurons and that its activation leads to a decrease in neurally evoked
chloride secretion by suppressing release of ACh at neuroepithelial junctions in
the enteric neural networks that control secretomotor functions.
PMID- 22075778
TI - Lipids promote survival, proliferation, and maintenance of differentiation of rat
liver sinusoidal endothelial cells in vitro.
AB - Primary rat liver sinusoidal endothelial cells (LSEC) are difficult to maintain
in a differentiated state in culture for scientific studies or technological
applications. Relatively little is known about molecular regulatory processes
that affect LSEC differentiation because of this inability to maintain cellular
viability and proper phenotypic characteristics for extended times in vitro,
given that LSEC typically undergo death and detachment around 48-72 h even when
treated with VEGF. We demonstrate that particular lipid supplements added to
serum-free, VEGF-containing medium increase primary rat liver LSEC viability and
maintain differentiation. Addition of a defined lipid combination, or even oleic
acid (OA) alone, promotes LSEC survival beyond 72 h and proliferation to
confluency. Moreover, assessment of LSEC cultures for endocytic function, CD32b
surface expression, and exhibition of fenestrae showed that these differentiation
characteristics were maintained when lipids were included in the medium. With
respect to the underlying regulatory pathways, we found lipid supplement-enhanced
phosphatidylinositol 3-kinase and MAPK signaling to be critical for ensuring LSEC
function in a temporally dependent manner. Inhibition of Akt activity before 72 h
prevents growth of SEC, whereas MEK inhibition past 72 h prevents survival and
proliferation. Our findings indicate that OA and lipids modulate Akt/PKB
signaling early in culture to mediate survival, followed by a switch to a
dependence on ERK signaling pathways to maintain viability and induce
proliferation after 72 h. We conclude that free fatty acids can support
maintenance of liver LSEC cultures in vitro; key regulatory pathways involved
include early Akt signaling followed by ERK signaling.
PMID- 22075779
TI - Epidermal growth factor/TNF-alpha transactivation modulates epithelial cell
proliferation and apoptosis in a mouse model of parenteral nutrition.
AB - Epidermal growth factor (EGF) and tumor necrosis factor-alpha (TNF-alpha)
signaling are critical for effective proliferative and apoptotic actions;
however, little is known about the codependency of these signaling pathways in
the intestinal epithelium. Because total parenteral nutrition (TPN) is associated
with loss of intestinal epithelial cell (IEC) proliferation and increased
apoptosis, we utilized a mouse model to explore these transactivation pathways in
small bowel epithelium. Mice underwent intravenous cannulation and were given
enteral nutrition or TPN for 7 days. Outcomes included IEC proliferation,
apoptosis, and survival. To address transactivation or dependence of EGF and TNF
on IEC physiology, TNF-alpha receptor knockout (KO) mice, TNFR1-KO, R2-KO, or
R1R2-double KO, were used. Exogenous EGF and pharmacological blockade of ErbB1
were performed in other groups to examine the relevance of the ErB1 pathway. TPN
increased IEC TNFR1 and decreased EGF and ErbB1 abundance. Loss of IEC
proliferation was prevented by exogenous EGF or blockade of TNFR1. However, EGF
action was prevented without effective TNFR2 signaling. Also, blockade of TNFR1
could not prevent loss of IEC proliferation without effective ErbB1 signaling.
TPN increased IEC apoptosis and was due to increased TNFR1 signaling. Exogenous
EGF or blockade of TNFR1 could prevent increased apoptosis, and both pathways
were dependent on effective ErbB1 signaling. Exogenous EGF prevented increased
apoptosis in mice lacking TNFR2 signaling. TPN mice had significantly decreased
survival vs. controls, and this was associated with the TNFR1 signaling pathway.
We concluded that these findings identify critical mechanisms that contribute to
TPN-associated mucosal atrophy via altered TNF-alpha/EGF signaling. It emphasizes
the importance of both TNFR1 and TNFR2 pathways, as well as the strong
interdependence on an intact EGF/ErbB1 pathway.
PMID- 22075780
TI - Ni(II) and Pd(II) pyridinyloxazolidine-compounds: synthesis, X-ray
characterisation and catalytic activities in the aza-Michael reaction.
AB - The 3-phenyl-2-(pyridin-2-yl)oxazolidine ligand (ppo) was synthesised and its
coordination behaviour regarding Ni(II) and Pd(II) centres was studied. The
reaction with K(2)PdCl(4) affords [Pd(N,N'-ppo)Cl(2)] (1), in which ppo binds to
palladium via the pyridyl nitrogen and the oxazolyl nitrogen atoms. On the
contrary, reaction with NiCl(2).6H(2)O produces [Ni(N,O-ppo)(2)Cl(2)] (2), in
which two ppo ligands are coordinated via the pyridyl nitrogen and the oxygen
atom of the oxazolidine ring. The X-ray diffraction analysis of the complexes
confirms a square planar geometry for Pd(II) in 1 and an octahedral configuration
around Ni(II) in 2, which, to the best of our knowledge, represents the first
reported example of a structurally characterised nickel-oxazolidine compound. In
addition, both complexes prove to be active catalysts under mild conditions in
the aza-Michael reaction of (E)-4-phenylbut-3-en-2-one (benzalacetone) with
aliphatic amines.
PMID- 22075781
TI - Mechanisms underlying and medical management of L-Dopa-associated motor
complications.
PMID- 22075782
TI - Colonizing success of saprotrophic and ectomycorrhizal basidiomycetes on islands.
AB - The biodiversity of saprotrophic and ectomycorrhizal basidiomycetous macrofungi
growing on seven islands in central Japan were compared to examine colonizing
success within the context of island biogeography theory. Two hypotheses were
tested: that the number of the fungal species depends on island area and that the
slope of the species-area curve for saprotrophic and ectomycorrhizal macrofungi
differ in response to differences in their nutritional requirements. Data for the
number of species that were identified based on sporocarps closely fit the
conventional species-area curve. The slopes of the species-area curve for
saprotrophic fungi (0.316) and ectomycorrhizal fungi (0.469) were similar to
those reported for insects and birds, and plants on other archipelagos,
respectively. In addition species-area curve data showed that ectomycorrhizal
fungi colonized only islands > 630 m(2). While the species composition of
saprotrophic fungi found on any pair of islands was positively correlated to the
ratio of the areas of the island pair being compared (smaller/larger), no such
relationship was observed for ectomycorrhizal fungi. Conversely similar
ectomycorrhizal fungi, mostly those belonging to the genera Amanita, Inocybe,
Boletellus and Russula, were found on pairs of islands with similar vegetation in
the same geographic region. These results suggested that the colonizing success
by ectomycorrhizal fungi is limited by host plant diversity, which is lower on
smaller islands, instead of restricted immigration resulting from limited spore
dispersal ability.
PMID- 22075783
TI - Aquapeziza: a new genus from freshwater and its morphological and phylogenetic
relationships to Pezizaceae.
AB - An investigation of freshwater fungi on submerged wood in southwestern China led
to the discovery of a new discomycete species from a small stream in Yunnan
Province. The taxon is characterized morphologically by its combined characters
of epigenous, white ascomata, ovoid, amyloid asci and multi-guttulate, single
celled, smooth, globose ascospores. Because the taxon cannot be accommodated in
any known genus based on morphological characters and molecular data (28S and ITS
rDNA sequences) a new genus and species Aquapeziza globispora is proposed to
accommodate it. The new genus is circumscribed and a description and
illustrations of the new species are provided. Relationships of Aquapeziza in
Pezizaceae are inferred from 28S and ITS rDNA sequence analyses.
PMID- 22075784
TI - Development of a specific polymerase chain reaction assay for the detection of
Basidiobolus.
AB - The etiology of chronic diarrhea is complex in humans and animals. It is always
necessary to evaluate a list of differential diagnosis, including bacteria,
protozoa and fungi. Basidiobolomycosis is a fungal disease reported sporadically
worldwide, mainly caused by B. ranarum, a frequent organism found in soil or in
the intestine and skin of lizards and frogs. It is an opportunistic pathogen that
causes infections characterized by granulomatous lesions in the subcutaneous
tissues as well as in the intestinal wall in humans and animals. In this work we
have developed a PCR technique to differentiate Basidiobolus from other causes of
intestinal disease in dogs and humans. To test the specificity of the PCR assay
we included closely related organisms, common intestinal microbiota and
pathogenic organisms, such as Aspergillus, Candida, Cryptosporidium, Escherichia,
Giardia, Mucor, Proteus, Rhizopus and Salmonella. Pythium insidiosum, which cause
clinically similar disease in dogs but require a different treatment. Only
Basidiobolus was positive to the PCR assay.
PMID- 22075785
TI - The species of Puccinia on Piptocarpha and Vanillosmopsis in the Neotropics.
AB - Nine species of Puccinia are known on Piptocarpha and one on closely related
Vanillosmopsis. All are mostly from Brazil. Six of the nine Puccinia species on
Piptocarpha are proposed as new: Puccinia bipolaris, P. calida, P. douradae, P.
macumba, P. manuelensis and P. pipta. Three species are reduced to synonyms of P.
piptocarphae: P. leptoderma, P. jahnii, and P. pycnothelis. P. vanillosmopsidis
is reduced to a synonym of P. velata on Vanillosmopsis. A key to aid in the
identification of the 10 species of Puccinia on Vernonieae is provided.
Nomenclature, descriptions, illustrations and notes are presented for each
species.
PMID- 22075786
TI - Molecular phylogeny of Sydowiellaceae--resolving the position of Cainiella.
AB - Cainiella is an ascomycete genus associated with arctic alpine plants. The
systematic position of Cainiella has long been unclear, with current
classifications placing the genus in either Sordariales or Xylariales. Our
molecular results, based on mtSSU, ITS and nLSU rDNA data, clearly show that the
genus belongs in the Sydowiellaceae (Diaporthales). The study also includes new
sequences of Sydowiellaceae and contributes to a better knowledge of the
phylogenetic relationships of that family.
PMID- 22075787
TI - Orbilia ultrastructure, character evolution and phylogeny of Pezizomycotina.
AB - Molecular phylogenetic analyses indicate that the monophyletic classes
Orbiliomycetes and Pezizomycetes are among the earliest diverging branches of
Pezizomycotina, the largest subphylum of the Ascomycota. Although Orbiliomycetes
is resolved as the most basal lineage in some analyses, molecular support for the
node resolving the relationships between the two classes is low and topologies
are unstable. We provide ultrastructural evidence to inform the placement of
Orbiliomycetes by studying an Orbilia, a member of the only order (Orbiliales) of
the class. The truncate ascus apex in the Orbilia is thin-walled except at the
margin, and an irregular wall rupture of the apex permits ascospore discharge.
Ascus, ascogenous and non-ascogenous hyphae were simple septate, with septal
pores plugged by unelaborated electron-dense, non-membranous occlusions. Globose
Woronin bodies were located on both sides of the septum. Nuclear division was
characterized by the retention of an intact nuclear envelope, and a two-layered
disk-shaped spindle pole body. The less differentiated nature of the spore
discharge apparatus and septal pore organization supports an earliest diverging
position of Orbiliomycetes within the subphylum, while the closed nuclear
division and disk-shaped spindle pole body are interpreted as ancestral state
characters for Ascomycota.
PMID- 22075788
TI - The species of Scleroderma from Argentina, including a new species from the
Nothofagus forest.
AB - Five ectomycorrhizal species of Scleroderma were identified from herbarium and
field-collected specimens from Argentina. A new hypogeous species, Scleroderma
patagonicum, was recorded in association with native Nothofagus spp. in
Patagonia. The epigeous species S. albidum, S. areolatum, S. bovista and S.
citrinum were associated with various exotic tree species. A phylogenetic
analysis based on the ITS region of Scleroderma species, including S.
patagonicum, illustrates its distinct status within Scleroderma, including its
placement among species with reticulate spores. Descriptions with SEM images of
the spores and a key to the species are provided.
PMID- 22075789
TI - How can we best prevent pertussis in infants?
PMID- 22075790
TI - Impact of maternal postpartum tetanus and diphtheria toxoids and acellular
pertussis immunization on infant pertussis infection.
AB - BACKGROUND: Mothers often are the source of pertussis illness in young infants.
The Centers for Disease Control and Prevention recommend tetanus and diphtheria
toxoids and acellular pertussis (Tdap) vaccine for postpartum women before
hospital discharge. In January 2008, this recommendation was implemented in a
predominantly Hispanic, medically underserved population at Ben Taub General
Hospital (BTGH) in Houston (hereafter the intervention population). METHODS: A
cross-sectional study compared preintervention (July 2000 through December 2007)
and postintervention (January 2008 through May 2009) periods. Pertussis diagnosis
was determined using International Classification of Diseases, Ninth Revision
(ICD-9) codes and microbiology reports from 4 major children's hospitals in
Houston. Only those infants <=6 months of age with laboratory-confirmed pertussis
illness were included. The proportions of pertussis-infected infants born at BTGH
in the pre- and postintervention periods were compared. RESULTS: Of 514 infants
with pertussis, 378 (73.5%) were identified during preintervention and 136
(26.5%) during postintervention years. These groups were similar in age (mean,
79.3 vs 72 days; P = .08), sex (males, 55% vs 52%; P = .48), length of
hospitalization (mean, 9.7 vs 10.7 days; P = .62), mortality (2 deaths each; P =
.29) and hospital of pertussis diagnosis. After adjustment for age, sex, and
ethnicity, the proportions of pertussis-infected infants born at BTGH and
potentially protected through maternal postpartum Tdap immunization were similar
for the 2 periods (6.9% vs 8.8%; odds ratio, 1.06; 95% confidence interval, 0.5
2.2; P = .87). CONCLUSIONS: Immunizing only postpartum mothers with Tdap vaccine
did not reduce pertussis illness in infants <=6 months of age. Efforts should be
directed at immunizing all household and key contacts of newborns with Tdap, not
just mothers.
PMID- 22075791
TI - Cryptococcus gattii infection in healthy hosts: a sentinel for subclinical
immunodeficiency?
PMID- 22075792
TI - Prior infections with seasonal influenza A/H1N1 virus reduced the illness
severity and epidemic intensity of pandemic H1N1 influenza in healthy adults.
AB - BACKGROUND: A new influenza A/H1N1 (pH1N1) virus emerged in April 2009, proceeded
to spread worldwide, and was designated as an influenza pandemic. A/H1N1 viruses
had circulated in 1918-1957 and 1977-2009 and were in the annual vaccine during
1977-2009. METHODS: Serum antibody to the pH1N1 and seasonal A/H1N1 viruses was
measured in 579 healthy adults at enrollment (fall 2009) and after surveillance
for illness (spring 2010). Subjects reporting with moderate to severe acute
respiratory illness had illness and virus quantitation for 1 week; evaluations
for missed illnesses were conducted over holiday periods and at the spring 2010
visit. RESULTS: After excluding 66 subjects who received pH1N1 vaccine, 513
remained. Seventy-seven had reported with moderate to severe illnesses; 31 were
infected with pH1N1 virus, and 30 with a rhinovirus. Determining etiology from
clinical findings was not possible, but fever and prominent myalgias favored
influenza and prominent rhinorrhea favored rhinovirus. Tests of fall and spring
antibody indicated pH1N1 infection of 23% had occurred, with the rate decreasing
with increasing anti-pH1N1 antibody; a similar pattern was seen for influenza
associated illness. A reducing frequency of pH1N1 infections was also seen with
increasing antibody to the recent seasonal A/H1N1 virus (A/Brisbane/59/07).
Preexisting antibody to pH1N1 virus, responses to a single vaccine dose, a low
infection-to-illness ratio, and a short duration of illness and virus shedding
among those with influenza indicated presence of considerable preexisting
immunity to pH1N1 in the population. CONCLUSIONS: The 2009 A/H1N1 epidemic among
healthy adults was relatively mild, most likely because of immunity from prior
infections with A/H1N1 viruses.
PMID- 22075793
TI - Invasive aspergillosis in children with acquired immunodeficiencies.
AB - Invasive aspergillosis has emerged as an important cause of morbidity and
mortality in immunocompromised children. It remains difficult to diagnose, and
outcome depends on early diagnosis, appropriate treatment, and restoration of
host defenses. Pediatric patients represent a unique population in their clinical
presentation and epidemiology, particularly in respect to the utility of newer
diagnostic tools and the pharmacokinetics of antifungal agents. This article
reviews the presentation and epidemiology of invasive aspergillosis in children
and adolescents with acquired immunodeficiencies and discusses the value of
current diagnostic tools and the options for treatment and prevention in this
population.
PMID- 22075794
TI - Blastocystis: to treat or not to treat...
AB - Parasites in the genus Blastocystis comprise several subtypes (genotypes) and
have a worldwide distribution. In some surveys, these are the most common
parasites found in human stool specimens. An emerging literature suggests that
the pathogenicity of Blastocystis is related to specific subtypes and parasite
burden, although even individuals with small numbers of cysts may be symptomatic.
Some data suggest an association between infection with Blastocystis and
irritable bowel syndrome. However, there are few clinical studies demonstrating a
direct relationship between the presence of this parasite and disease, few animal
models to explore this relationship, and no consensus as to appropriate
treatment. We recommend that asymptomatic individuals with few cysts not be
treated. However, those who have gastrointestinal or dermatologic signs and
symptoms and many cysts in stool specimens may require treatment. Metronidazole
is the drug of choice. Additional studies are required to determine pathogenicity
and appropriate therapy.
PMID- 22075796
TI - Probing the morphology-device relation of Fe2O3 nanostructures towards
photovoltaic and sensing applications.
AB - A lot of research on nanomaterials has been carried out in recent years. However,
there is still a lack of nanostructures that have a combination of superior
properties; both efficient electron transport and high surface area. Here, the
authors have tried to develop hybrid alpha-Fe(2)O(3) flower-like morphology which
exhibits both superior electron transport and high surface area. Intrigued by the
unique properties of Fe(2)O(3) at the nanoscale and its abundance in nature, we
have demonstrated a facile template-free solution based synthesis of hybrid alpha
Fe(2)O(3) comprising nanopetals nucleating radially from a 3D core. Due to its
simplicity, the synthesis process can be easily reproduced and scaled up. We
carried out in-depth studies on gas sensing and dye-sensitized solar cell (DSSC)
device characterization so as to gain an understanding of how surface area and
transport properties are affected by variation in morphology. The hybrid alpha
Fe(2)O(3) nanostructures are studied as potential candidates for gas sensors and
for the first time as a working electrode for DSSC.
PMID- 22075795
TI - Risk factors, clinical features, and outcomes of toxoplasmosis in solid-organ
transplant recipients: a matched case-control study.
AB - BACKGROUND: Solid-organ transplant (SOT) recipients are considered to be at
increased risk for toxoplasmosis. However, risk factors for this infection have
not been assessed. The aim of this study was to determine the risk factors,
clinical features, and outcomes of toxoplasmosis in SOT recipients. METHODS: A
multicenter, matched case-control study (1:2 ratio) was conducted between 2000
and 2009. Control subjects were matched for center, transplant type, and timing.
Cases were identified from the hospitals' microbiology and transplantation
program databases. Logistic regression was performed to identify independent risk
factors. RESULTS: Twenty-two cases (0.14%) of toxoplasmosis were identified among
15 800 SOTs performed in 11 Spanish hospitals, including 12 heart, 6 kidney, and
4 liver recipients. Diagnosis was made by seroconversion (n = 17),
histopathologic examination (n = 5), polymerase chain reaction (n = 2), and
autopsy (n = 2). In a comparison of case patients with 44 matched control
subjects, a negative serostatus prior to transplantation was the only independent
risk factor for toxoplasmosis (odds ratio, 15.12 [95% confidence interval, 2.37
96.31]; P = .004). The median time to diagnosis following transplantation was 92
days. Primary infection occurred in 18 (81.8%) cases. Manifestations included
pneumonitis (n = 7), myocarditis (n = 5), brain abscesses (n = 5),
chorioretinitis (n = 3), lymph node enlargement (n = 2), hepatosplenomegaly (n =
2), and meningitis (n =1). Five patients (22.7%) had disseminated disease. Crude
mortality rate was 13.6% (3 of 22 patients). CONCLUSIONS: Although uncommon,
toxoplasmosis in SOT patients causes substantial morbidity and mortality.
Seronegative recipients are at high risk for developing toxoplasmosis and should
be given prophylaxis and receive careful follow-up.
PMID- 22075797
TI - Clinical course of grade I-III megaureters detected on prenatal ultrasound.
AB - AIM: Primary obstructive megaureter has always been considered a developmental
defect. The aim of this study was evaluate the clinical course of grade I-III
megaureter at a single Institution through a longitudinal observational study.
METHODS: Between April 2004 and April 2006 35 cases of megaureter were observed
prenatally. Inclusion criteria were created. Conservative treatment was used for
all patients and its efficacy was assessed with routine laboratory tests,
ultrasounds and renal scintigraphy. Follow-up was at least three years for all
patients. RESULTS: Twenty patients were considered for the study. Single or
relapsing infections (36% of patients had more than three urinary tract
infections during follow-up) did not seem absolute indications for surgical
treatment in these patients, although they are currently the first indication for
surgery. CONCLUSION: Clinical results of the study showed that conservative
treatment is an effective treatment option. Single or relapsing infections are
not a clear indication for surgery. Long-term follow-up for these patients is
mandatory.
PMID- 22075798
TI - Increased risk of brain injury in IVF babies.
AB - AIM: The aim of this paper was to assess brain injury occurrence among in vitro
fertilization (IVF) babies. METHODS: We examined all babies born in our hospital
in the triennium 2004-2006, comparing the presence of brain injuries between IVF
babies and the rest of the population. RESULTS: In IVF group (180 babies), brain
injury was present in 4 babies, while in the rest of population (n=3602) it was
present in 23 babies (P=0.042, RR: 3.18). IVF babies have a higher risk of being
born with a birthweight less than 2 500 grams (P<0.0001; RR: 5.133). When we
considered only babies born with a birth weight less than 2 500 grams, the
difference of brain injury between the two groups was not significant.
CONCLUSION: In IVF babies, brain injury occurred more frequently than in the rest
of population. This is probably due to a higher rate of premature births and low
birth weight in IVF population. Anyway, this data should be disclosed to future
parents to make an informed decision.
PMID- 22075799
TI - Evaluation of the diagnostic enigma in Hirschsprung disease.
AB - AIM: We aimed to investigate intraoperative diagnosis rate of aganglionosis with
hematoxylin eosin (HE) staining, to review the current diagnostic procedures in
Hirschsprung disease (HD), to inquire the validity of enzyme staining in
diagnosis of HD and to evaluate the utility of ret oncoprotein (RET) antibody for
detecting ganglion cells (GC) in paraffin sections. METHODS: Two hundred and
thirty three children who are suspected to have HD were included in this study. A
total of 302 surgical procedures related to diagnosis and treatment of HD were
performed. One to 19 samples (3.5 +/- 2.91) per each case were examined with
intraoperative pathological consultation. Although establishing primary diagnoses
of HD by frozen sections (FS) examination and performing a one-step approach for
treatment have been aimed, consecutive surgical operations were required in 30
cases (12.9%). One hundred and sixty three cases (70%) were male. Seventy eight
cases (33.5%) were in neonatal period (mean=13.5 +/- 9.7 days). Only 56 cases
were older than 1 year. GC were absent in 137 of cases. Presence of GC with FS
examination weren't decided and prior colostomies were performed in 18 cases
(7.7%). RESULTS: There were no discrepancies between the FS diagnoses and final
diagnoses of the cases except these children. Requisition of consecutive surgical
procedures interestingly was lower in neonates than others (P=0.01). Because of
long duration, technical difficulty and standardization problems; not only immune
histochemical stains but also enzyme stains should not be prefer for
demonstration of GC during surgery. CONCLUSION: We suppose that if one-step
approach is desired, the intraoperative evaluation of HE staining FS by
experienced pathologists is still gold standard in the diagnosis of HD.
PMID- 22075800
TI - The incidence of macrophage activation syndrome in children with rheumatic
disorders.
AB - AIM: Macrophage activation syndrome (MAS) is a rare complication of childhood
with rheumatic disease. This syndrome has been reported as a complication of many
rheumatic diseases, most commonly in systemic onset of juvenile idiopathic
arthritis (SoJIA). The aim of this study was evaluation the rate, symptoms and
outcome of MAS in a pediatric rheumatology department in Tehran during 10 years.
METHODS: Retrospective review of cases of MAS from the charts of 120 patients
with juvenile idiopathic arthritis and systemic lupus erythematosus (SLE).
Collected data base of 5 children with MAS from 1998 to 2007, in Children
Hospital Medical Center, In Tehran University were collected. RESULTS: Totally
120 patients evaluated in this study including 108 JIA and 12 SLE. Five patients
(four girls), and (one boy) were considered to have evidence of MAS. The
incidence of MAS in our study was 4.2%. This rate for all JIA patients was 3.7%
and for SoJIA, SLE and juvenile idiopaticarthritis (JIA) and polyarticular RF
negative JIA was 8.2%, 16.7% and 2.8%, respectively. Mean age of MAS onset was
4.9 years, and duration of rheumatologic disease prior to MAS, 22 months. Four
cases (80%) had abnormal liver function during the disease course, and
coagulopathy. Bone marrow examination supported the diagnosis with definite
haemophagocytosis in four cases (80%). The mortality rate was 40%. CONCLUSION:
Although MAS is a rare complication of rheumatologic disorders, because it is
potentially fatal it must be thought in each childhood rheumatic disorders with
suddenly changes in general condition and decrease peripheral cells.
PMID- 22075801
TI - Prevalence of body weight disorders among adolescent school girls in Tarka,
Nigeria.
AB - AIM: The prevalence of overweight and obesity is increasing worldwide, both in
developing and developed countries, and childhood obesity is a serious public
health problem. However, there are few studies on prevalence of obesity on
Nigerian adolescents in a rural community. Therefore, the aim of this cross
sectional study was to determine the prevalence of overweight and obesity among
722 secondary school female students aged 12-18 years living in Wannune, Tarka,
Nigeria. METHODS: Participants were selected by purposive sampling from five
schools. Anthropometric measurements of height and weight were measured and body
mass index (BMI) calculated. The centres for disease control and prevention (CDC)
BMI cut-off points were used to generate four BMI categories as follows: BMI <5th
percentile, "underweight'; BMI >= 5th to <85th percentile, "normal weight"; BMI
>= 85th to <95th percentile, "at-risk-of-overweight"; and BMI >= 95th percentile,
"overweight and obese". RESULTS: Findings indicated that 70.1% of the
participants had a normal BMI, 7.5% were overweight/obese while 12.5% at risk of
overweight and 9.9% were underweight. The levels of obesity, overweight and
underweight observed in our sample is quite higher, and indicates overweight and
obesity is even occurring in Nigerian adolescents in a rural settings.
CONCLUSION: Given that over-nutrition and under-nutrition are noticeable among
adolescents' school girls in Tarka, suggests that policy makers and health
professionals should design and implement strategies to prevent body weight
disorders in children.
PMID- 22075802
TI - Sleep and obesity in children: a clinical perspective.
AB - Childhood obesity is an international epidemic with many long-term health
consequences. The many comorbid conditions associated with obesity underscore the
need to explore the different etiologies of obesity which may lead to potential
therapeutic interventions. There is growing evidence both that obesity affects
sleep, and that sleep patterns and disorders may have an effect on weight. Both
respiratory and non-respiratory sleep disorders are associated with obesity;
those that have gotten the most attention are the relationships between obesity
and obstructive sleep apnea syndrome and short sleep duration. Other forms of
sleep-disordered breathing and narcolepsy have also been associated with
childhood obesity. Due to the many comorbidities of obesity, this subset of the
pediatric population has frequent health care visits across a variety of
subspecialties. It is likely that a non-sleep physician will be the first to
recognize a sleep-related problem. The aim of this review was to discuss sleep
disorders that may be encountered by the general pediatrician and the pediatric
subspecialists in their obese pediatric patients and to describe the evidence
that links these disorders to obesity.
PMID- 22075803
TI - International epidemic of childhood obesity and television viewing.
AB - Childhood obesity is one of the most serious global public health challenges of
the 21st century. The prevalence of this problem has increased at an alarming
rate in many countries. The main causes of childhood obesity are; sedentary
lifestyle, unhealthy eating patterns, genetic factors, socio-economic status,
race/ethnicity, media and marketing, and the physical environment. Children are
clearly being targeted as a receptive market by the manufacturing industry.
Undoubtedly, television provides one of the most powerful media through which
products can be advertised. Furthermore, food advertising accounted for the
largest percentage of these advertisements in virtually all countries. Detailed
nutritional analysis of food advertisements identified that up to 90% of food
products have a high fat, sugar or salt content. Therefore TV viewing is recently
identified as one of the risk factors contributing to development of childhood
obesity by several mechanisms. This review provides some facts and figures about
the global trend of rising obesity among children, amount and content of
television and especially food advertisements being watched by children and its
possible mechanisms how to cause adverse effects on children's health and
contribute to childhood obesity.
PMID- 22075804
TI - Psychosocial aspects of childhood obesity.
AB - Rates of childhood obesity are increasing at alarming rates worldwide. This is
especially alarming as obesity is associated with many physical and psychological
consequences. A great number of studies indicate that obese children have an
impaired psychological well-being (e.g., depression, self-esteem, and quality of
life) compared to their non-overweight peers. There has been an overwhelming
amount of research conducted in this area over the past few decades, and as such,
this paper provides a summarized overview of the vast trove of available
information on the psychosocial aspects of childhood obesity. In this paper we
provide a summarized overview of: 1) psychosocial aspects that contribute to the
onset of childhood obesity; 2) psychosocial consequences of childhood obesity;
and 3) familial-based lifestyle behavior modification interventions for treating
childhood obesity and its treatment success. Although it seems obvious that obese
children and adolescents would likely be at higher risk for psychological
problems, the mediating factors in the relationship between psychological
problems and obesity are still not well established. Obesity is a complex
disorder with an equally complex etiology, and is thus associated with complex
behaviors and outcomes that make it difficult to study in children. It has been
indicated that family-based lifestyle interventions can improve psychological
well-being in obese children; however, not all children profit from these
interventions. Interventions aimed at improving treatment results need further
investigation. For example, interventions targeted specifically at groups that
tend to be less successful in weight-loss programs and interventions providing
long-term support to these individuals are recommended.
PMID- 22075805
TI - Thrombocytosis in children.
AB - In healthy pediatric subjects normal count platelet ranges between 250,000 MUL
and 450,000 MUL. An elevated platelet count greater than 2 SD defines a condition
of thrombocytosis. On a clinical level, thrombocytosis is classified "mild" at a
platelet count between >500,000 MUL and <700,000 MUL; "moderate" at a platelet
count between >700,000/MUL and <900,000/MUL; "severe" at a platelet count
>900,000/MUL; and "extreme" at a platelet count >1,000,000/MUL. Thrombocytosis
can be classified as primary or secondary. Primary thrombocytosis is divided into
familial and essential. Primary thrombocytosis is an extremely rare clonal
disease in childhood with incidence of one per million children, i.e., 60 times
lower than in adults. It is classified as a myeloproliferative disorder with
polycythemia vera, chronic myeloid leukaemia and myelofibrosis and may be
associated with thrombotic or haemorrhagic events. Platelet count is generally
above 1,000,000/MUL. The median age at diagnosis is about 11 years. On the
contrary, secondary or reactive thrombocytosis (RT) is very common in pediatric
age, occurring in 3-13% of hospitalized children because of several causes.
Generally, it is a reactive process caused by infection, chronic inflammation,
iron deficiency, tissue damage, cancer, drugs and surgical or functional
splenectomy. Thrombocytosis is mild in 72-86% of children, moderate in about 6
8%, and extreme in 0.5-3%. Consultation with a pediatric hematologist is required
if elevation of platelet count persists, is unexplained or symptomatic. In the
majority of cases no treatment is necessary, and the patient must be only closely
monitored.
PMID- 22075806
TI - [Biography and bibliography of Francesco Fede, the founder of Italian
pediatrics].
AB - For the first time, an overall study of the life and works of Francesco Fede, the
founder of Italian pediatrics, has been carried out. Unpublished biographical
data was collected and the complete bibliographic works of Fede were presented.
Fede is the hallmark of both scientific matter, which reached a climax in the
definition of the Riga and Fede illness, and for his disinterested dedication as
a Member of Parliament to foster development in didactics, research and
assistance for pediatrics.
PMID- 22075807
TI - [Growth hormone deficiency associated with 22q11.2 deletion: a case report].
AB - The 22q11.2 microdeletion produces many syndromes, characterized by similar
phenotypical features. The most known syndromes are: the DiGeorge syndrome, the
velocardiofacial syndrome, the conotruncal anomaly face syndrome. The hallmark
features are represented by cardiac anomalies, palate defects, immune and
cognitive deficiencies, facial dysmorphisms. Less common disorders include:
genito-urinary abnormalities, visual defects, autoimmune disorders and pituitary
anomalies, being the last represented by growth hormone and/or insulin growth
factor-I deficiency. We present the case of a 8 years old male admitted to our
Division for failure to thrive. We found growth hormone deficiency and pituitary
hypoplasia associated with some of the anomalies shown above, thus we suspected
and confirmed the 22q11.2 deletion syndrome. In literature few cases of
associated 22q11.2 deletion syndrome with growth hormone deficiency are
described, while short stature between children with and children without cleft
palate is reported to be more frequent in the first ones, suggesting that the
22q11.2 deletion syndrome remains undetected in many affected children and that
the growth hormone deficiency prevalence in affected children has to be
investigated. The wide phenotypical presentation of 22q11.2 deletion syndrome
requires a multidisciplinary approach to the affected subject and, from the
auxologic point of view, is good to monitoring the growing trend and, if short
stature is present, check for the growth hormone deficiency.
PMID- 22075808
TI - Multi-population QTL detection for aerial morphogenetic traits in the model
legume Medicago truncatula.
AB - Medicago truncatula, as a model species, is useful to study the genetic control
of traits of agronomic interest in legumes species. Aerial morphogenesis is a key
component of forage and seed yield. It was measured in four mapping populations
originating from five parental lines. Single and multi-population quantitative
trait locus (QTL) detections were carried out. A large variation was observed
within populations and transgressive segregation was noted. Most traits showed
high heritabilities in all seasons. Length of primary branches (LPB, cm) was
positively correlated to branch elongation rate (BER, cm day(-1)) and aerial dry
matter (ADM, g). Flowering time (FT, degrees C day(-1)) showed negative
correlations with length of main stem (LMS, cm) and BER. One hundred and forty
one QTLs for BER, LMS, FT, LPB, diameter of primary branches (DPB), number of
primary branches (NPB), number of nodes (NI) and ADM were identified and
localized over all eight chromosomes. Single and multi-population analyses showed
that the most important regions for aerial morphogenetic traits were chromosomes
1, 2, 7 and 8. Multi-population analysis revealed three regions of major QTLs
affecting aerial morphogenetic traits (LPB, LMS, NPB, BER and FT). A region
involved in flowering time variation was revealed on chromosome 6 on a single
population. These results were used to identify candidate genes that could
control variation for aerial morphogenesis traits in this species and in related
crop legume species.
PMID- 22075809
TI - Accuracy of genomic selection in European maize elite breeding populations.
AB - Genomic selection is a promising breeding strategy for rapid improvement of
complex traits. The objective of our study was to investigate the prediction
accuracy of genomic breeding values through cross validation. The study was based
on experimental data of six segregating populations from a half-diallel mating
design with 788 testcross progenies from an elite maize breeding program. The
plants were intensively phenotyped in multi-location field trials and
fingerprinted with 960 SNP markers. We used random regression best linear
unbiased prediction in combination with fivefold cross validation. The prediction
accuracy across populations was higher for grain moisture (0.90) than for grain
yield (0.58). The accuracy of genomic selection realized for grain yield
corresponds to the precision of phenotyping at unreplicated field trials in 3-4
locations. As for maize up to three generations are feasible per year, selection
gain per unit time is high and, consequently, genomic selection holds great
promise for maize breeding programs.
PMID- 22075810
TI - Towards a repository for standardized medical image and signal case data
annotated with ground truth.
AB - Validation of medical signal and image processing systems requires quality
assured, representative and generally acknowledged databases accompanied by
appropriate reference (ground truth) and clinical metadata, which are composed
laboriously for each project and are not shared with the scientific community. In
our vision, such data will be stored centrally in an open repository. We propose
an architecture for a standardized case data and ground truth information
repository supporting the evaluation and analysis of computer-aided diagnosis
based on (a) the Reference Model for an Open Archival Information System (OAIS)
provided by the NASA Consultative Committee for Space Data Systems (ISO
14721:2003), (b) the Dublin Core Metadata Initiative (DCMI) Element Set (ISO
15836:2009), (c) the Open Archive Initiative (OAI) Protocol for Metadata
Harvesting, and (d) the Image Retrieval in Medical Applications (IRMA) framework.
In our implementation, a portal bunches all of the functionalities that are
needed for data submission and retrieval. The complete life cycle of the data
(define, create, store, sustain, share, use, and improve) is managed.
Sophisticated search tools make it easier to use the datasets, which may be
merged from different providers. An integrated history record guarantees
reproducibility. A standardized creation report is generated with a permanent
digital object identifier. This creation report must be referenced by all of the
data users. Peer-reviewed e-publishing of these reports will create a reputation
for the data contributors and will form de-facto standards regarding image and
signal datasets. Good practice guidelines for validation methodology complement
the concept of the case repository. This procedure will increase the
comparability of evaluation studies for medical signal and image processing
methods and applications.
PMID- 22075811
TI - New anatomic division of the orbital and sinus regions: guidance for nasal
endoscopic resection of space-occupying lesions.
AB - The significance of anatomic divisions of the orbital and sinus regions in
providing guidance for nasal endoscopic resection of benign space-occupying
lesions was discussed. This retrospective study included 177 cases with benign
space-occupying lesions of the orbital and sinus resected between 2001 and 2009.
Locations of lesions were assigned to 3 anatomic categories. The 63 cases of
benign lesions of the sphenoethmoid-orbital region underwent nasal endoscopic
resection. In the 31 cases of benign lesions in the frontoethmoid-orbital region,
surgical approaches included nasal endoscopy (n = 15) and combined endoscopic and
external (n = 9) and external approaches (n = 4). In 87 cases of lesions in the
axillae-ethmoid-orbital region, surgical approaches included nasal endoscopy (n =
67), combined approaches (n = 15), and external approaches (n = 5). Endoscopy
facilitated the resection of both 63 cases of benign lesions of sphenoethmoid
orbital region, and 82 cases of benign lesions of the frontoethmoid-orbital and
maxillae-ethmoid-orbital regions with good prognosis. However, the procedure was
relatively more difficult for the other 32 cases of benign lesions of the
frontoethmoid-orbital or maxillae-ethmoid-orbital regions. The feasibility of
nasal endoscopic resection differs markedly according to anatomic location.
Preoperative classification of the site of the lesions will help to define the
indications for nasal endoscopic resection of the orbital and sinus regions.
PMID- 22075812
TI - Comparison of suture and graft techniques in secondary unilateral cleft
rhinoplasty.
AB - Every surgeon should master several techniques to modify the nasal tip. For
secondary rhinoplasty, various techniques have been described. A modified
technique of using the cephalic trim portion of lower lateral cartilage as onlay
tip graft is also described. The objective of this single-blind randomized
controlled trial was to compare the outcome of suture-only techniques and grafts
plus-suture techniques in terms of postoperative tip projection made measurable
by the patient as excellent, good, or poor. Sixty patients with cleft nasal tip
deformity who gave informed consent were included and randomly assigned to either
technique. Patients requiring osteotomies and previously operated on for cleft
rhinoplasty were excluded. Postoperative tip projection was assessed by the
patient 6 months postoperatively. Mean age was 28.5 +/- 2.1 years in suture
technique (group A) and 29.1 +/- 1.9 in suture-plus-graft technique (group B).
There were 20 males (66.7%) and 10 females (33.3%) in group A and 22 males
(73.3%) and 8 females (26.5%) in group B. Nasal deformity was moderate in 66.7%
of cases in group A and 60.0% of cases in group B, whereas nasal deformity was
severe in 33.3% of cases in group A and 40% of cases in group B. Postoperative
tip projection was excellent in 7 patients (23.3%) in group A and 22 patients
(73.3%) in group B, and good in 4 patients (13.3%) in group A and 5 patients
(16.7%) in group B, whereas poor results were observed in 19 patients (63.4%) in
group A and 3 patients (10.0%) in group B (P = 0.001). Graft-plus-suture
technique is an effective method for improving the tip projection.
PMID- 22075813
TI - Pattern of odontogenic and nonodontogenic cysts.
AB - The jaws are host to a variety of cysts due in large part to the tissues involved
in tooth formation. Odontogenic cysts (OCs) are unique in that they affect only
the oral and maxillofacial region. There are few studies from sub-Saharan Africa.
This study was aimed at describing the pattern of various types of cysts in the
oral and maxillofacial region in a Kenyan population. This was done at the
Departments of Oral and Maxillofacial Surgery and Oral Medicine and Pathology,
University of Nairobi Dental Hospital. This was a retrospective audit. All
histopathologic records were retrieved from 1991 to 2010 (19 years) and were
counted. The following information was extracted and recorded in a data sheet:
age, sex, and the type of cystic lesions. There were 194 cysts (4.56%) diagnosed
of 4257 oral and maxillofacial lesions. Of these, 64.4% were from male and 35.6%
were from female patients with an age range of 1 to 70 years (mean, 23.76 [SD,
14.05] years; peak and median of 20 years). The most common OCs (57.2%) were
dentigerous and radicular, whereas the most common nonodontogenic cyst (42.8%)
was nasopalatine duct cyst. Other soft tissue cysts reported were epidermoid,
branchial, thyroglossal, dermoid, and cystic hygroma. Oral and maxillofacial
cysts are not uncommon in this population, the majority being the OC, dentigerous
cyst, followed by the nonodontogenic cyst, nasopalatine cyst. The cysts are male
predominant and occur 10 to 15 years earlier compared with those in the white
population.
PMID- 22075814
TI - Paradoxical herniation in wartime penetrating brain injury with concomitant skull
base trauma.
AB - A case of the syndrome of the trephined progressing to paradoxical herniation is
presented in a patient with a penetrating brain injury, postdecompressive
craniectomy, and a delayed cerebral spinal fluid leak from a skull base defect.
The patient had a penetrating head trauma from a high-velocity ballistic
projectile during military wartime operations. The patient's clinical course,
which demonstrates a rare presentation of central sleep apnea syndrome or
Ondine's curse, is reviewed. Radiographic imaging includes sequential computed
tomography (CT) scans with and without intrathecal contrast. Medical management
was directed at increasing the intracranial pressures (ICPs) by placing the
patient into Trendelenburg position and increasing hydration. Surgical
intervention involved correction of the skull base defect by intranasal
endoscopic repair. A literature review of paradoxical herniation and delayed
neurologic decline in postcraniectomy patients is conducted, and the surgical and
neurocritical care management is discussed.
PMID- 22075815
TI - Accessory mandibular condyle at the coronoid process.
AB - Coronoid process hyperplasia is a rare cause of mandibular hypomobility. It can
result from temporalis muscle hyperactivity, trauma, and neoplasia, but often is
idiopathic. Enlargement of the coronoid process leading to pseudojoint formation
with the zygomatic arch is known as Jacob's disease. It results most commonly
from an osteochondroma of the coronoid process. This is the first reported case
of a non-neoplastic accessory mandibular condyle located at the coronoid process
articulating with the zygoma.
PMID- 22075816
TI - Accurate approach in the treatment of oral bisphosphonate-related jaw
osteonecrosis.
AB - Bisphosphonate-related osteonecrosis of the jaw (BRONJ) is not completely
understood and difficult to treat. Even though the occurrence of BRONJ is mainly
related to the therapy with intravenous bisphosphonates (BPs), this article
reports a case of long-term oral BP use and BRONJ occurrence. In addition, a
literature review provides some additional information about BPs, BRONJ, and also
a guideline for the prevention and treatment of BRONJ stages. A 79-year-old
patient presented intense and persistent pain, purulent secretion, and exposed
bone at the right side of the lower jaw. Thus, she was submitted to anamnesis,
radiologic and tomographic examinations, and bacterial culture and sensitivity
tests. These procedures were followed by surgical debridement of the bone and
surrounding tissues/cyst and antibiotic prescription and histopathologic analysis
of the fragments. Together, the examinations performed showed the occurrence of
stage 2 BRONJ. Moreover, the antibiotic prescription, discontinuation of oral BP,
and surgical procedures ensure that the patient had no more symptoms. Therefore,
considering the presented case, we believe that an accurate approach is promising
to diagnose and treat stage 2 BRONJ and other associated pathologic findings.
PMID- 22075817
TI - Oral manifestations of hyperoxaluria.
AB - Primary hyperoxaluria is a rare, inherited autosomal recessive disease caused by
defects in the metabolism of glyoxylate. Oral manifestations of hyperoxaluria are
rare. However, bone and tooth resorption may be the result of chronic
inflammation and the presence of osteoclastic cells surrounding the oxalate
crystal deposit. A deposit of calcium oxalate in the periodontium was identified
in a patient with end-stage renal disease. Dental radiographs indicated bone loss
and external tooth resorption. Radiolucent image in the inferior incisor region
was observed and removed. The tissue showed granulomatous inflammation with
foreign body reaction and associated crystalline deposits. When viewed in
polarized light, these deposits are green and presented a birefringent aspect,
which were interpreted as calcium oxalate crystals compatible with oxaluria. Oral
manifestations of hyperoxaluria are of particular interest because of the unusual
location of the oxalate crystal deposition, resulting in aggressive tooth
resorption and alveolar bone loss, which may be misdiagnosed.
PMID- 22075818
TI - Effects of lidocaine infiltration on cost of rhinoplasty made under general
anesthesia.
AB - This study aimed to compare the effects of combined and noncombined lidocaine
with adrenaline infiltration in general anesthesia (GA) procedures, in which the
standard anesthesia depth is monitored by Bispectral Index monitoring, on minimum
alveolar concentration (MAC) levels and the costs. Following approval by the
local ethics committee, an American Society of Anesthesiologists physical status
I-II group of 40 adult patients for whom elective rhinoplasties under GA were
planned was divided into 2 double-blind randomized groups. In group 1, GA and
lidocaine + adrenaline were administered, whereas in group 2, only GA and
adrenaline were administered. All the patients who had been taken to the
operation room underwent electrocardiography and measurements of the peripheral
oxygen saturation, end-tidal carbon dioxide, heart rate, mean blood pressure, and
Bispectral Index monitoring. Using the operation time and the MAC% values, the
total consumed inhalation agent amounts were calculated, and the cost difference
was determined. The mean blood pressure values were lower in group 1 (P < 0.05).
In group 1, the MAC% was 20.83% lower than that of group 2; the consumed
desflurane amount was 20.29%, and the cost was 20.29% lower than that of group 2
(P < 0.05). In rhinoplasties under GA, the lidocaine + adrenaline combination
infiltration not only decreased inhaled anesthetic requirement and cost but also
supported the hemodynamic stability. In addition, surgical satisfaction increased
in the lidocaine + adrenaline group because of small number of agitated patients
during the recovery period.
PMID- 22075819
TI - Evaluation of the sonographic features of metastatic cervical lymph nodes in
patients with head and neck malignancy.
AB - OBJECTIVES: The aim of the study was to evaluate the sonographic findings of
metastatic cervical lymph nodes and of differentiating them from benign ones in
patients with head and neck malignancy. METHODS: In this study, the cervical
lymph nodes of 14 patients (12 female and 2 male patients; mean age, 52.8 years
(with head and neck region malignancy were evaluated ultrasonographically. The
gray-scale sonographic parameters, which included short- and long-axis lengths,
shape index, presence or absence of hilar echoes and cystic necrosis, parenchymal
echogenicity and echo texture, margin, and the color Doppler parameter including
vascular pattern were evaluated. Finally, sonographic findings were compared with
pathologic results. The following statistical analyses were included: chi(2)
test, Fisher exact test, and independent-samples t-test. RESULTS: Overall, 88
cervical lymph nodes were evaluated ultrasonographically. According to the
histopathologic results, 77% of them were benign, and 23% were malignant. The
study's results showed that the metastatic lymph nodes are accompanied with
significantly larger size, rounded shape, absence of hilus, and presence of
cystic necrosis and mixed and peripheral vascular pattern. The parameters related
to minimal and maximal axis diameter had the highest sensitivity (85%), whereas 2
parameters of vascularity pattern and cystic necrosis had 100% specificity in
detecting metastatic cervical lymph nodes. CONCLUSIONS: The results of our study
revealed that there was a considerable difference in the diagnostic value of the
sonographic parameters, in differentiating metastatic lymph nodes from benign
ones, between 4 specific neck regions.
PMID- 22075820
TI - "Opposite semilunar" variant of Burow triangle in rotation and advancement flaps.
AB - The Burow triangle is an expedient suitably conceived either to facilitate
sliding of the flap and avoid folds due to differences in skin distension or to
correct coaptation of 2 cutting edges with a different length. In some cases, the
triangle cannot be drawn in the right position either because of a particular
anatomic site, for example, in proximity to commissures and openings, or because
it is contraindicated to avoid unwelcome scar lines. In these cases, a semilunar
ablation opposite to the direction of Burow triangle could be a valuable
alternative. We report 3 cases where the opposite semilunar variant of Burow
triangle was used in critical areas of the face.
PMID- 22075821
TI - Nasal lining mobilization for primary and secondary palatoplasty.
AB - BACKGROUND: Repair of wide primary cleft palates and secondary cases are
challenging. Much literature is dedicated to technique modifications and useful
pearls for approaching these patients. Nasal lining is not often highlighted or
addressed as a solution to these challenging cleft palate surgeries. The goal of
this article was to describe and demonstrate through case examples the simple
dissection and significant mobilization of nasal lining as a tool for cleft
palate repair. METHODS: Retrospective case examples were selected to highlight
the technique. RESULTS AND DISCUSSION: The surgical technique is standardly used
by the senior author and has not been previously published. This technique for
tension-free nasal lining closure is detailed, and case examples are provided.
This technique for nasal lining mobilization is easily reproducible and is
versatile. It can be used as an adjunct to any palatoplasty technique and is
particularly useful in the treatment of wide clefts and revision cases.
PMID- 22075822
TI - Epidemiologic evaluation of mandibular fractures in the Rio de Janeiro high
complexity hospital.
AB - The aim of this study was to gather data on trauma etiology and mandibular
fracture localization in patients who presented at the General Hospital of Nova
Iguacu, Rio de Janeiro, Brazil. From March 2007 to December 2008, 95 patients
with mandibular fracture were registered in a medical form, at the
Bucomaxillofacial Surgery Department of the General Hospital of Nova Iguacu, Rio
de Janeiro, Brazil. Concerning mandibular fracture etiology, 21.05% were caused
by motorcycle accidents, followed by interpersonal violence without use of
weapons (punches, kicks, bumps with the head, blows with the elbow, etc) (16.84%)
and interpersonal violence with firearm (14.73%). It was found that 52.63% of the
patients had a single fracture line. The most affected fracture area was the
parasymphysis (26.02%), followed by the condyle (22.60%) and mandibular angle
(18.49%). Concerning the injury area, 24.21% were directed to the mandibular
symphysis, 22.17% of the patients did not remember the injury area, and 18.94%
had multiple injuries. When the injury was directed to the symphysis, the result
was more condyle fractures (11.64%), and injuries at the mandibular angle
resulted in fractures at the angle itself (8.90%). The most common fracture cause
was traffic accidents, mainly motorcycle accidents, and the most affected areas
were the parasymphysis and the condyle. The mandible isolated fractures occurred
in half of the cases. Motorcycle accidents resulted in more fractures in the
parasymphysis area, and when the symphysis area is affected by injuries, the
result is a higher percentage in condyle fractures.
PMID- 22075823
TI - Reconstruction of frontal bone using specific implant polyether-ether-ketone.
AB - Defects on the craniofacial complex may result in aesthetic defects, functional
damage, and psychologic consequences. Previously, surgeons showed no interest in
reconstructing the operated area, but in the treatment of the problem, leaving
bone contour is a secondary issue. Nowadays, area reconstruction with post
reestablishment of contour and local shape has become one of the surgeon's
priorities. The use of alloplastic implants with specific digital design has been
stated to be an effective technique on the treatment of craniofacial defects,
reducing the need for manipulation in the intraoperative period and decreasing
surgery time. Polyether ether ketone (PEEK) is a potential candidate because it
is a linear polyaromatic semicrystalline polymer that combines strength,
stiffness, durability, and resistance. Polyether ether ketone biocompatibility
has been supported in literature, and subsequent medical applications of the
material have been observed. The aim of this study was to describe a case of
frontal bone defect reconstruction in which the PEEK was used as polymer material
in a specific implant for the Synthes (PEEK-PSI) patient.
PMID- 22075824
TI - Surgical treatment of a giant neurofibroma.
AB - Neurofibromatosis type 1, an autosomal dominant inherited disease, presents
pathologic symptoms of multiple systems, including neurofibromatosis, skeletal
dysplasia, cafe-au-lait spots in skins, and so on. A 45-year-old man with
neurofibromatosis type 1 was reported in this article. The patient presented a
giant neurofibroma in his head and neck, dysplasia of skull, facial bones and
spinal columns, and multiple cafe-au-lait spots in systematic skins. Satisfactory
curative effects were obtained in this case after tumor resection and prosthesis
implantation.
PMID- 22075825
TI - Pitfalls in endoscopic treatment of mandibular subcondylar fractures.
AB - OBJECTIVES: The facial trauma surgeon should be able to list indications for
endoscopic treatment of mandibular condyle fractures and discuss the limitations
and complications of the technique. BACKGROUND: The ideal treatment of mandibular
subcondylar fractures continues to be debated. Acceptable results are often
obtained with conservative measures such as mandibular maxillary fixation
followed by elastics. On the other hand, an open approaches result in potential
risk injury to the facial nerve. These 2 arguments have cautioned many surgeons
from open treatment of condylar fractures. Recent advances in endoscopic
techniques have made the mandibular condyle more accessible with less risk to the
facial nerve. As with any new technique, endoscopic treatment of mandibular
subcondylar fractures is not without its own limitations and complications.
METHODS: This was a retrospective case series. RESULTS: Three patients with
mandibular subcondylar fractures with complications following endoscopic
treatment were reviewed. There were a total of 4 condylar fractures (1 patient
had bilateral fractures). There was 1 incidence of temporary facial nerve
paresis, 1 failure of hardware positioning, 1 screw placement into the mandibular
foramen, 2 condyles where adequate reduction of the fracture was impossible, and
1 failure to secure a screw into the proximal fracture segment. CONCLUSIONS:
Endoscopic management of mandibular subcondylar fractures is a novel treatment
with novel types of complications. Although promising, endoscopic treatment of
mandibular subcondylar fractures should be approached prudently to avoid
potential pitfalls.
PMID- 22075826
TI - Open reduction in trapdoor-type blowout fractures using absorbable mesh plates.
AB - PURPOSE: In many cases of trapdoor-type orbital blowout fracture, the bony
segment has a stable hinge consisting of a greenstick fracture and the sinus
mucoperiosteum that is attached to the intact orbital wall. If the displaced bony
segment opposite the hinge will be reduced into its original position and will be
fixed onto the unaffected bone, the orbital fracture may be reconstructed via the
internal fixation of the bony segment itself rather than requiring substitution
with an alloplastic implant or a bone graft. METHODS: A retrospective study was
conducted from January 2008 to February 2010 in 34 patients with blowout
fracture, via retrospective chart review, including detailed preoperative and
postoperative evaluations, age, sex, symptoms, and signs, and based on the
postoperative complications. The subciliary, transconjunctival, and
transcaruncular approaches were used to expose the orbital floor under general
anesthesia. The herniated orbital soft tissue was carefully reduced. The
displaced bony segment was carefully pulled up and placed in its original
anatomic position with a skin hook. A small absorbable mesh plate was inserted
between the normal orbital wall and the bony segment, tangential to the edge of
the bony defect at the dependent portion. RESULTS: Postoperative examinations
such as the traction and forced duction tests showed no eye movement limitation
and surgical complications. During the follow-up period, no complications
occurred, and the orbital wall was accurately reconstructed in its original
anatomic position, as confirmed by postoperative computed tomography scans.
CONCLUSIONS: The advantages of internal fixation include anatomic reconstruction
of the orbital wall, preservation of the original orbital bone and the
mucoperiosteum of the sinus resulting in rapid wound healing and normal mucus
drainage function of the sinus, simplicity of the procedure, and the absence of
surgery-related complications. This technique is presented as one of the
preferred treatments for trapdoor-type orbital blowout fracture.
PMID- 22075827
TI - Antral retriever and displaced dental implants in the maxillary sinus.
AB - PURPOSE: Removal of antral foreign bodies after implantation is mandatory to
avoid infectious processes and may be a troublesome question. Different surgical
approaches could be considered, with several limitations and morbidities.
METHODS: We present a new tool (Antral Retriever) conceived to remove antral
dental implants or any other migrated material through a minimally invasive
canine fossa approach, under continuous endoscopic view and local anesthesia.
RESULTS AND CONCLUSIONS: Antral Retriever enables the surgeon to successfully
remove antral foreign bodies through a canine fossa approach under continuous
endoscopic visualization and local anesthesia, with minimal discomfort for the
patient
PMID- 22075828
TI - Sinusoidal coronal incision.
AB - BACKGROUND: The coronal incision is a standard surgical approach in craniofacial
surgery. For pediatric patients, it holds a certain risk for unbeneficial
aesthetic outcome due to a broadening of the scar in the fast-growing infant
skull. METHODS: We readopted the coronal approach over the last decade and
developed a sinusoidal type of incision based on the "stealth incision" by Munro
and Fearon. We present a calculative standardization of our approach. RESULTS:
The sinusoidal coronal approach assures superior aesthetical results with
equivalent skeletal exposure. The surgical procedure is simplified and
standardized. Even in the fast-growing infant skull, broadening of the scar or
vertical divergence is avoided.
PMID- 22075829
TI - Severe maxillary atrophy treatment with Le Fort I, allografts, and implant
supported prosthetic rehabilitation.
AB - PURPOSE: Recently, several authors have described that autologous and fresh
frozen bones are effective materials to correct jaw bone defects before
endosseous implant positioning. The aim of this study was to report a multistep
oral rehabilitation of severe atrophic maxilla by means of Le Fort I osteotomy
for maxillary downward and forward repositioning, allografts, implant insertion,
and prosthetic loading. METHODS: Patients with severe maxillary atrophy underwent
Le Fort I osteotomy associated to fresh-frozen interpositional bone allografts.
At 7 months after reconstructive procedure, 2 biopsies for each patient have been
taken, and in the same surgical procedure, endosseous implants were placed. Five
months afterward, abutments were connected for the final prosthodontic
restauration. Each patient was evaluated at 1-year follow-up after prosthetic
loading. RESULTS: At 1-year follow-up after functional prosthetic loading, no
infection of the allografts or implant failure has been reported. Clinical and
radiologic follow-up showed no sign of bone resorption in all the osteotomic
sites and in the grafted areas. Histological analysis showed evidence of
allograft osteointegration and healing. CONCLUSIONS: Multistep oral
rehabilitation of severe atrophic maxilla with Le Fort and interpositional bone
allografts represents a reliable surgical technique. According to this clinical,
radiologic, and histologic reports, interpositional fresh-frozen bone allograft
seems to be a valuable material for grafting jaw as it is cheaper than other
materials and is safe, and it avoids donor site, decreasing the morbidity of the
treatment
PMID- 22075830
TI - Use of various free flaps in progressive hemifacial atrophy.
AB - OBJECTIVE: Romberg disease is an uncommon condition manifested by progressive
hemifacial atrophy of the skin, soft tissue, and bone. Facial asymmetry with soft
tissue deficiency in Romberg disease causes a significant disability affecting
the social life and can bring about many psychological problems. METHODS: The aim
of surgical treatment is cosmetic amelioration of the defect. Several
conventional reconstructive procedures have been used for correcting facial
asymmetry. They include fat injections, dermal fat grafts, filler injections,
cartilage and bone grafts, and pedicled and free flaps. We report our experiences
with 11 patients involving 11 free flaps with a minimum 1-year follow-up. All
patients were classified as having moderate to severe atrophy. The average age at
disease onset was 4.5 years; the average duration of atrophy was 5.2 years. No
patients were operated on with a quiescent interval of less than 1 year. The
average age at operation was 20.1 years, ranging from 10 to 55 years.
Reconstruction was performed using 4 groin dermofat free flaps, 4 latissimus
dorsi muscle free flaps, and 3 other perforator flaps. To achieve the finest
symmetrical and aesthetic results, several ancillary procedures were performed in
4 patients. These procedures included Le Fort I leveling osteotomy, sagittal
split ramus osteotomy, reduction malarplasty and angle plasty, rib and calvarial
bone graft, correction of alopecia, and additional fat graft. RESULTS: All
patients were satisfied with the results. CONCLUSIONS: We believe that a free
flap transfer is the requisite treatment modality for severe degree of facial
asymmetry in Romberg disease.
PMID- 22075831
TI - Prospective comparative study of lower lip defects reconstruction with different
local flaps.
AB - Squamous cell carcinoma is the most common malignancy related to the lips (95%),
and the lower lip is more commonly involved. Loss of tissue in the lower lip is
treated with a variety of techniques, depending on the extension and location of
the defect. This was a prospective case series. In this study, 41 patients with
squamous cell carcinoma (30 males, 11 females) who were referred to Razi Hospital
of Tehran University of Medical Sciences between 2007 and 2008 and underwent
lower-lip reconstruction were included. Defects were divided into 4 groups: less
than 30%, between 30% and 50%, between 50% and 80%, and more than 80%. Five
different local flaps were used for lower-lip reconstruction. Karapandzic flap
was used for 9 patients, double reversed-Abbe flap for 6 patients, Abbe-Estlander
and step-ladder flaps for 8 patients, and 10 patients underwent reconstruction
operation with reversed-Abbe flap. In comparison to reversed-Abbe flap and step
ladder flap, there were no differences functionally and aesthetically except that
the step-ladder flap was a single-stage procedure, but the reversed-Abbe flap had
2 stages. There were no functional problems in patients with Estlander flap, but
these patients complained of lip asymmetry. There were no functional complaints
and aesthetic problems in patients with double reversed-Abbe flap at 3 months
after the operation. In patients with 30% to 50% defect of the lower lip, there
was no functional and aesthetic difference between Abbe flap and step-ladder
flap. Estlander flap is a good choice for reconstruction of lateral or
commissural defects of the lower lip. In patients with 50% to 80% defect, it is
better to use bilateral reversed-Abbe instead of the Karapandzic flap.
PMID- 22075832
TI - Piezosurgery to perform hyoid bone osteotomies in thyroglossal duct cyst surgery.
AB - Ultrasonic bone-cutting surgery has been introduced as a feasible alternative to
the conventional sharp instruments used in craniomaxillofacial surgery because of
its precision and safety. The device used is unique in that the cutting action
occurs when the tool is used on mineralized tissues and stops on soft tissues.
This work describes the use of piezosurgery for hyoid bone resection in
thyroglossal duct cyst surgery, briefly reviews the literature on the surgical
technique, and reports our experience with 12 cases.
PMID- 22075833
TI - Reconstruction scalp defects after malignant tumor resection with anterolateral
thigh flaps.
AB - OBJECTIVE: Some patients experience massive neglected scalp malignant tumors
involving the soft tissues and bone. Management of these tumors includes thorough
tissue resection to obtain negative margins and cover the defects with enough
composite tissues. In this article, we presented our experiences of
reconstructions with free anterolateral thigh (ALT) flaps. METHODS: We performed
a retrospective review of 6 patients who had a single-stage tumor removal and
free-tissue transfer over the last 10 years. All the patients were treated with
ALT flaps with or without fascia lata according to the defects. RESULTS: The size
of the flaps ranged from 8 to 25 cm in length and from 6 to 20 cm in width. All
patients healed well without major complications except for 1 case that partial
skin-graft loss occurred at the donor sites. CONCLUSIONS: Scalp malignant tumors
are generally associated with high mortality rates, and the reconstruction
surgery is quite complicated. We demonstrate that free ALT flap transfer yields
acceptable survival with favorable safety.
PMID- 22075834
TI - Blepharoptosis correction: repositioning the levator aponeurosis.
AB - Blepharoplasty remains one of the most popular surgical procedures in Asia. The
most common patient complaint leading to a blepharoplasty is limited eye opening
causing a narrowing of the palpebral fissure. The typical Asian eye is
characterized by puffiness, lack of a supratarsal fold in the upper eyelid, and a
narrow palpebral fissure, exhibiting a tired and sleepy appearance. Almost all
such patients believe that a simple double-eyelid operation is able to make the
eye look bigger with eversion of the eyelashes into a more charming
configuration. Some of these patients actually have mild to moderate
blepharoptosis, which can present both functional and aesthetic problems.
Numerous surgical procedures have been developed to correct ptosis because proper
correction can be difficult to achieve. The authors found abnormal lateral
deviation of the levator aponeurosis in patients with blepharoptosis and suggest
that this abnormality is a major cause of blepharoptosis, particularly in Asians.
The authors assessed the effectiveness of a levator aponeurosis medial
repositioning technique rather than levator resection or levator plication for
mild or moderate ptosis. No disadvantage was attributed to this technique when it
was used to correct 87 patients with mild ptosis. Eighty of the 87 patients
achieved a good result with the first operation. Undercorrection was observed in
6 patients, and a hematoma was corrected in 1 patient. However, no other major
complications related to the technique were encountered. Herein the authors
describe their operative technique and present the long-term follow-up results.
The authors propose that anatomic repositioning of a laterally deviated levator
aponeurosis using the described repositioning technique is highly effective for
correcting mild ptosis and can be applied during most surgical blepharoptosis
procedures in Asian patients.
PMID- 22075835
TI - Successful treatment of large forehead defect after the failure of tissue
expansion: changing plan and strategy.
AB - Tissue expansion is an effective method in head and neck reconstruction for large
defects. Tissue expansion allows enlarged local tissue for advancement or
rotation flaps and could result in satisfactory aesthetic and functional
outcomes. A 14-year-old boy presented with a 7 * 9-cm(2) traumatic defect on his
forehead. We planned a 2-stage operation with tissue expanders. In the first
operation, we placed two 100-mL crescent-type tissue expanders supraperiosteally
through the scalp hairline incision. After the seventh postoperative day, failure
of one of the expanders was found. Hence, we overexpanded the remaining expander
using rapid inflation for 7 days (20 mL/d) and changed the plan of the flap
design from a bilateral advancement flap to a supratrochlear artery-based
unilateral rotational flap. The patient healed uneventfully and had satisfactory
results 1 year postoperatively. To overcome tissue expansion failure, an
overexpansion technique with multiple small crescent-type expanders was used; a
rotational flap based on the supratrochlear artery is a good alternative method.
PMID- 22075836
TI - Synchronous lymphomas arising in ipsilateral parotid and submandibular glands:
video-assisted surgery.
AB - The incidence of lymphomas in salivary glands is 5%, and it represents 10% of
malignant salivary tumors. Synchronous tumors arising in different major salivary
glands are not only very infrequent but also extremely rare; on the other hand,
synchronous lymphomas in ipsilateral major salivary glands have not been reported
previously. This article reports a case of synchronous lymphomas in mucosa
associated lymphoid tissue in the ipsilateral parotid and submandibular glands.
Parotidectomy with facial nerve conservation and resection of the submandibular
gland by video-assisted surgery using only a Blair-modified classic incision was
performed. One year after the surgical procedure, the patient was followed up at
regular intervals, and residual mucosa-associated lymphoid tissue lymphoma did
not appear. This case illustrates the importance of the diagnostic possibility of
synchronous primary tumors after identification of a simple one; this also
reports the video-assisted resection of the submandibular gland using only a
Blair incision, when a parotidectomy must be also made.
PMID- 22075837
TI - Primary repair of the alveolar cleft.
AB - Nasoalveolar fistula and oropharyngeal fistula of the anterior palatal region are
very commonly seen in cases when there are concomitant clefts of the lip and the
palate. Absence of adequate tissue in that region complicates the treatment and
necessitates new tissue transfers from near or distant tissues. Today, the
techniques used for correcting cleft lip cannot successfully solve these 2
problems. In this study, we describe a technique that depends on the principle of
using the lip mucosal tissues that remains during the Tennison cleft lip
correction technique, with a flap designation, to correct the tissue defect of
the cleft between the foramen incisivum and lip and the alveolar region. Twenty
two patients (13 boys and 9 girls), with ages ranging from 3 to 53 months (mean,
24 mo), with unilateral cleft lip and palate underwent surgery with this new
technique. In all these patients, clefts in the anterior palatal and alveolar
regions were successfully corrected. Fistula was observed in none of these
patients in these regions. Through this method, clefts in the anterior palatal
and alveolar regions can be corrected during repair of cleft lips.
PMID- 22075838
TI - Nasal tip contouring using lower lateral cartilages.
AB - Nasal tip management is the most important and challenging part of rhinoplasty
surgery. For nasal tip management, optimal nasal tip projection and rotation must
be maintained. For this reason, several methods have been developed to obtain
optimal results. In this article, we share with the rhinoplasty surgeons how we
use cartilage grafts for nasal tip projection, nasal tip shaping, and maintaining
natural and symmetric appearance and avoid "visible cartilage" problem by using a
simple and easily applicable method. After performing standard open rhinoplasty
techniques, we used the cephalic portion of the lower lateral cartilages to
overgraft the already placed numerous layers of shield or onlay grafts. All the
cartilage grafts are sutured in their place to prevent malpositions or undesired
angularities. We operated on 1480 patients between September of 2002 and
September of 2009 for rhinoplasty. Ages of the patients range from 18 to 58 years
with nasal tip ptosis. We performed 1235 primary rhinoplasties and 245 secondary
rhinoplasties. Of 365 patients, who were followed up regularly, 330
(approximately 90%) were operated on according to this technique and were
included in the study. By using such an overgrafting technique for nasal tip, we
obtained satisfactory results both by the patients and by the surgeons.
Satisfactory results and being a facilitating method for shaping of nasal tip are
the advantages of this technique.
PMID- 22075839
TI - Uncinectomy through the anterior nasal fontanelle in endoscopic sinus surgery.
AB - In functional endoscopic sinus surgery, the resection of the uncinate process is
an important step. The traditional method of performing uncinectomy is associated
with the risk of penetrating the lamina papyracea and orbital fat exposure.
Without timely detection and appropriate treatment, uncinectomy may lead to
serious consequences such as blindness. In our study, we used the novel approach
of performing uncinectomy through the anterior nasal fontanelle. Orbital
complications were observed in 4 of the 112 patients who underwent the
traditional method; however, no complication was observed in patients who
underwent surgeries with the new approach (P < 0.01). No nasolacrimal duct injury
or eye movement disorder was observed in the 2 groups. The percentage of opening
of the maxillary sinus ostia by using the new method was significantly greater
than that achieved by using the traditional method (97.93% and 70.53%,
respectively). The mean score in the visual analog scale, which is used to
measure the efficacy of the procedure, for the new method was lower than that for
the traditional method, and the difference was statically significant (t = 4.17,
P < 0.01). Six and 12 months after the operations, the Lund-Kennedy endoscopy
scores obtained when the new method was used were lower than those obtained when
the traditional method was used (t = 3.27 and t = 4.40, respectively; P < 0.05).
Therefore, uncinectomy through the anterior nasal fontanelle was a convenient and
safe procedure and ensured good exposure of the maxillary sinus ostia. This
procedure effectively improved the efficacy of endoscopic sinus surgery.
PMID- 22075840
TI - Maxillomandibular advancement in obstructive sleep apnea syndrome: a surgical
model to investigate reverse face lift.
AB - BACKGROUND: The aging process is characterized by multiple signs affecting the
upper, the middle, and the lower third of the face; coventionally, face-lift
procedures and structural fat grafting are performed to create a younger face.
During the life, craniofacial skeleton atrophies, leading to a reduction of the
facial height and depth, while increasing the facial width. Maxillomandibular
advancement (MMA) by orthognathic surgery restores the lost space dimension,
projecting the cheeks, the mouth, and the nose. The aim of this study was to
analyze the morphologic change of the face after MMA in patients with obstructive
sleep apnea syndrome, focusing on the previously mentioned stigmata of the middle
and the lower third of the aging face. METHODS: The records of 16 patients who
underwent MMA for obstructive sleep apnea syndrome between January 2005 and
December 2008 in the Unit of Maxillofacial Surgery at the Novara Major Hospital
were included in this study. We explained to the patients the stigmata of a
standard aging face, and we asked them to evaluate each sign affecting the middle
and the lower third of their preoperative condition. One positive point was given
for the presence of each sign reported by the patients. At 2 years after surgery,
we asked the patients to evaluate the previously mentioned aging signs of their
postoperative face. Again, 1 positive point was given for the presence of each
sign reported by the patients. RESULTS: Although we did not perform statistical
evaluation, 13 patients showed a degree of rejuvenation after MMA (the score of
the postoperative face is less than the score of the preoperative face). Three
patients reported no postoperative change; none reported a more aging face, with
a successful "reverse face-lift" occurred in 81% of our cases. CONCLUSIONS:
Simultaneous maxillary and mandibular advancements change the skeletal framework
of the face, improving soft-tissue support and resulting in rejuvenation of the
middle and the lower third of the face. This condition is demonstrated by the
results of our study in that all patients appeared postoperatively more youthful
from a self-evaluation.
PMID- 22075841
TI - Migrated orbital silastic sheet implant mimicking bilateral sinusitis.
AB - We present a unique case of a migrated silastic sheet implant that was used
during reconstruction of an orbital floor fracture, presenting as bilateral
sinusitis. The patient had an orbital floor fracture that was repaired at a local
hospital 20 years ago. An orbital silastic sheet implant perforated the bony
nasal septum and migrated to the contralateral nasal cavity causing bilateral
nasal symptoms. The silastic sheet was successfully removed during endoscopic
sinus surgery. Therefore, ocular or nasal symptoms occurring in patients with a
previous orbital wall fracture repair must be carefully evaluated clinically and
radiologically with a suspicion of late complications, such as migration of the
orbital implant.
PMID- 22075842
TI - On the nature and signatures of the solvated electron in water.
AB - The hydrated electron is one of the simplest chemical transients and has been the
subject of intense investigation and speculation since its discovery in 1962 by
Hart and Boag. Although extensive kinetic and spectroscopic research on this
species has been reported for many decades, its structure, i.e., the dominant
electron-water binding motif, and its binding energy remained uncertain. A recent
milestone in the research on the hydrated electron was the determination of its
binding energy by liquid-jet photoelectron spectroscopy. It turned out that the
assumption of a single electron binding motif in liquid water is an
oversimplification. In addition to different isomers in cluster spectroscopy and
different transient species of unknown structure in ultrafast experiments, long
lived hydrated electrons near the surface of liquid water have recently been
discovered. The present article gives an account of recent work on the topic
"solvated electrons" from the perspectives of cluster spectroscopy, condensed
phase spectroscopy, as well as theory. It highlights and critically discusses
recent findings and their implications for our understanding of electron
solvation in aqueous environments.
PMID- 22075843
TI - Porphyrin-functionalised rotaxanes for anion recognition.
AB - The synthesis and anion-recognition properties of two new porphyrin
functionalised [2]rotaxane host molecules are described. The rotaxane compounds
are prepared via a chloride-anion-templated clipping strategy. (1)H NMR titration
experiments demonstrate that the rotaxane host systems exhibit high binding
affinities and general selectivities for chloride anions in DMSO-d(6) or
CDCl(3)/CD(3)OD solvent systems. UV-visible and fluorescence spectroscopy
experiments reveal that the rotaxane receptors are ineffective as optical anion
sensors. However, both receptors are shown to be capable of detecting chloride
anions electrochemically via cathodic shifts in the porphyrin P/P(+) redox
couples.
PMID- 22075844
TI - Diluted povidone-iodine inhibits tumor growth through apoptosis-induction and
suppression of SOD activity.
AB - Povidone-iodine (PVP-I) is widely used in clinical practice as an antiseptic and
flushing agent after surgery to remove a tumor. Our present study was designed to
determine whether diluted PVP-I is cytotoxic to colon cancer cells and ascetic
tumor cells in vitro and to examine its antitumor effects in vivo. In vitro, CT26
and H22 cells treated with different concentrations of diluted PVP-I (0-1.56
ug/ml) were analyzed using the mononuclear cell direct cytotoxicity assay (MTT)
and a flow cytometry assay. In vivo, Balb/c mice injected in the abdominal cavity
with CT26 cells or H22 cells were treated intraperitoneally with different
concentrations of PVP-I (0-312.5 ug/mouse), cisplatin (40 mg/kg) or 5'-FU (30
mg/kg) or left untreated. In vitro, the studies demonstrated the
antiproliferative and significant apoptosis-inducing effects of PVP-I in a dose-
and time-dependent manner. In vivo, PVP-I significantly repressed the growth of
H22 and CT26 cells in Balb/c mice compared to controls. To explore the mechanism
of the antitumor effect of PVP-I, the superoxide dismutase (SOD) activity of
ascites extracted from a mouse model and the supernatant of CT26 cells was
detected by an SOD kit. The activity of SOD was significantly inhibited in the
experimental groups. Taken together, our data suggest that PVP-I exhibits a
strong inhibitory effect on tumor growth in colon cancer (CT26) and hepatoma
(H22) resulting from apoptosis, both in vitro and in vivo, suggesting a new
potential therapeutic approach after tumor excision surgery to colon cancer and
hepatoma.
PMID- 22075845
TI - Expression of paxillin and FAK mRNA and the related clinical significance in
esophageal carcinoma.
AB - The objective of the present study was to investigate the expression of paxillin
and focal adhesion kinase (FAK) mRNA in esophageal carcinoma tissues, and their
relationship with clinicopathological parameters, as well as to analyze the
correlation of paxillin and FAK mRNA levels in esophageal carcinoma. By using
reverse transcription polymerase chain reaction (RT-PCR), the mRNA expression
levels of paxillin and FAK were detected in 121 samples of esophageal carcinoma,
43 samples of atypical hyperplasia and 56 samples of normal esophageal mucosa.
The results showed that the positive rates of paxillin and FAK mRNA expression in
esophageal carcinoma were 87.6 and 80.17%, respectively, which were significantly
higher (P<0.05) than those in atypical hyperplasia (44.19 and 39.53%) and normal
esophageal mucosa (5.36 and 12.5%). Notably, paxillin and FAK mRNA expression
levels were significantly correlated with the differentiation degree and depth of
invasion of esophageal carcinoma and with lymph node metastasis (P<0.05). In
addition, paxillin and FAK mRNA expression levels in esophageal carcinoma were
positively correlated (r=0.4804, P=0.000). In conclusion, the combined detection
of paxillin and FAK mRNA expression is expected to provide a theoretical basis
for the molecular diagnosis of esophageal carcinoma.
PMID- 22075846
TI - Reorganization of perylene bisimide J-aggregates: from delocalized collective to
localized individual excitations.
AB - Water-induced reorganization of individual one-dimensional J-aggregates of
perylene bisimide (PBI) dyes was observed by fluorescence microscopy.
Fluorescence spectra and decay kinetics of individual J-aggregates immobilized on
glass surfaces were measured under a dry nitrogen atmosphere and under humid
conditions. The fluorescence properties of PBI J-aggregates arisen from
collective excitons under dry nitrogen atmosphere were changed to those of non
interacting dye monomers when water vapor was introduced into the environment
(sample chamber). Time-dependent changes of the fluorescence spectra and
lifetimes upon exposure to water vapor suggest an initial coordination of water
molecules at defect sites leading to the formation of H-type dimer units that act
as exciton quenchers, and a subsequent slower disintegration of the hydrogen
bonded J-aggregate into monomers that lack resonance coupling. Our present
studies resulted in a direct demonstration of how drastically the optical
properties of molecular ensembles and characteristics of their excited states can
be changed by delicate reorganization of dye molecules at nanometre scales.
PMID- 22075847
TI - Molecular biomarker of inflammatory response is associated with rebleeding in
spontaneous intracerebral hemorrhage.
AB - BACKGROUND AND PURPOSE: Rebleeding in spontaneous intracerebral hemorrhage (ICH)
is a major cause of morbidity and mortality among stroke survivors. Due to the
links between inflammation and rebleeding, we hypothesized that the biomarkers of
inflammation are associated with the pathogenesis of rebleeding in ICH. We sought
to investigate whether these biomarkers and clinical variables on admission can
provide prognostic information on the risk of rebleeding. METHODS: This
prospective study enrolled 59 consecutive patients with spontaneous ICH. We
determined the concentrations of interleukin-10 (IL-10), intercellular adhesion
molecule-1, and complement 3 in blood samples obtained on admission. RESULTS:
Univariate analysis indicated that hematoma volume, leukocyte count,
hydrocephalus, and plasma IL-10 levels were associated with rebleeding.
Multivariate logistic regression analysis indicated that hydrocephalus (95% CI of
OR, 1.6-26.7) and IL-10 (95% CI of OR, 1.03-1.22) were independently associated
with an increased probability of rebleeding. CONCLUSION: These data suggest that
IL-10, a molecular biomarker of inflammatory response in the early acute phase of
ICH, is associated with subsequent rebleeding.
PMID- 22075848
TI - Prognostic significance of Tiam1 expression in papillary thyroid carcinoma.
AB - T lymphoma and metastasis gene 1 (Tiam1) is a guanine nucleotide exchange factor
(GNEF) that regulates the guanosine triphosphatase to facilitate the exchange of
guanosine diphosphate for guanosine triphosphate. It specifically activates Rac1,
a member of the Rho family of GTPases. Tiam1 is involved in cell proliferation,
cytoskeletal organization, cellular adhesion, and transcriptional activation. It
has been suggested that alterations in Tiam1 expression might contribute to the
progression of various human cancers. The usefulness of Tiam1 expression as a
prognostic marker in papillary thyroid carcinoma (PTC) has not been investigated
yet. The aim of this study was to analyze the expression of Tiam1 in PTC as well
as its association with the clinicopathologic features and prognostic
significance. Surgical tissue samples were taken from 106 PTC patients who had
been followed up for at least 9.3 years. Strong expression of Tiam1 was detected
in 54% of the cases. Tiam1 expression was associated significantly with various
clinicopathologic parameters, such as gender (P=0.039), tumor multicentricity
(P=0.0124), histologic subtype (P=0.0427), TNM stage (P=0.0151), and distant
metastases at diagnosis (P=0.0001). Survival analysis showed that the Tiam1 low
expression group had a significantly shorter overall survival time than Tiam1
high-expression group (P=0.0007). Multivariate analysis showed that Tiam1
expression was a significant and independent prognostic indicator (P=0.0090) for
PTC patients. Tiam1 expression may be a novel and independent prognostic marker
of PTC patients.
PMID- 22075849
TI - Xenogeneic chondrocytes promote stable subcutaneous chondrogenesis of bone marrow
derived stromal cells.
AB - The local microenvironment may change the ultimate fate of engineered cartilage
differentiated from bone marrow stromal cells (BMSCs) after subcutaneous
implantation. Chondrogenically differentiated BMSCs directed by growth factors or
low-intensity ultrasound are apt to fibrose or vascularize in the subcutaneous
environment, while BMSCs implanted in articular cartilage defects can form stable
cartilage. We hypothesized that chondrocytes would provide an ideal chondrogenic
environment, and thus promote the maintenance of the chondrocytic phenotype in
ectopia. To test this hypothesis, we developed a new method to promote
chondrocyte development from BMSCs in a chondrogenic environment produced by
xenogeneic chondrocytes and compared the subcutaneous chondrogenesis of BMSCs
mediated by xenogeneic chondrocytes with that produced by growth factors. These
results indicate that subcutaneous chondrogenesis of BMSCs directed by xenogeneic
chondrocytes is more effective than that induced by growth factors. BMSCs induced
by xenogeneic chondrocytes formed relatively mature cartilage before or after
implantation, following 4 weeks of culture, which reduced the induction time in
vitro and led to maintenance of a stable cartilage phenotype after subcutaneous
implantation.
PMID- 22075850
TI - Abstraction of beta-hydrogen vs. alkyl groups in reactions of dialkylzinc
compounds and bis(oxazolinyl)borane.
AB - An ambiphilic bis(oxazolinyl)borane proligand and zinc dialkyls react via alkyl
group transfer or beta-hydrogen abstraction. The latter process is favored by
formation of a bis(oxazolinyl)borane-zinc adduct that positions a beta-hydrogen
in the proximity of the Lewis acid center.
PMID- 22075851
TI - Diabetes care among Somali immigrants and refugees.
AB - The risk for development of diabetes and associated complications among
immigrants increases in the years after arrival to the United States. Somali
immigrants and refugees represent the largest subset of African immigrants to the
United States, yet little is known about the quality of their diabetes care.
Therefore, adherence with diabetes quality indicators (Hemoglobin A1C <7%, LDL
cholesterol <100 mg/dl, blood pressure <130/80 mm Hg) were compared between
Somali and non-Somali patients with diabetes at a large academic primary care
practice in the United States in 2008. Demographic and health-seeking behavior
variables were assessed for association with adherence among the Somali
population. A total of 5,843 non-Somali and 81 Somali patients with diabetes were
identified. Somali patients with diabetes were less likely to meet the criteria
for optimal glycemic control than non-Somali patients (40.6% vs. 53.9%; P=0.02).
There was a similar, though statistically non-significant, trend towards lower
rates of lipid control among Somali patients. There was no difference in
achievement of optimal blood pressure between the two groups. There was a strong
association between number of primary care visits during the study interval and
achievement of all three diabetes care quality goals. This study demonstrates
disparities in achievement of diabetes management quality goals among Somali
patients compared with non-Somali patients, highlighting the need for additional
system and practice changes to target this particularly vulnerable population.
PMID- 22075852
TI - Cancer screening behaviors among Latina women: the role of the Latino male.
AB - The purpose of this article is to determine, through a community-based breast and
cervical cancer intervention program, the impact Latino males may have on Latinas
and their cancer screening behaviors. This report includes data collected from
163 Latino males recruited throughout rural Arkansas and four New York City
boroughs for the Esperanza y Vida program, designed to evaluate cancer screening
outcomes among Latinas and address their health care needs and cancer control
challenges. Basic demographics and identical pre- and post-program knowledge
surveys were collected and analyzed using SPSS 15.0 and SAS 9.2. Results from
this study suggest Latino men have little knowledge about breast or cervical
cancer screening and are unfamiliar with their partners' screening histories.
Male participants were also less likely to complete program assessment forms
(pre, post, demographic questionnaires) and more likely to commit response errors
(i.e. multiple answers, illegible responses). These findings suggest that
including males in education programs for Latinas may be a crucial component in
decreasing cancers among this segment of the population. The further development
of programs such as Esperanza y Vida, that empowers Latino males, will be
important in reducing the unequal burden of breast and cervical cancers for
Latinas. It is important to continue including Latino men in these types of
studies because the impact of their role on Latina's health remains understudied,
unknown, and misunderstood.
PMID- 22075853
TI - Jaundice due to suspected statin hepatotoxicity: a case series.
AB - Statin drugs are widely used worldwide and are generally considered safe and well
tolerated. Only small proportion of patients receiving statins develop elevations
of liver enzymes and an even smaller proportion will have clinically significant
hepatitis induced by statins. We describe four patients with jaundice caused by
drug-induced liver injury, where the most likely agent was a statin drug, over a
period of approximately three year in Iceland. We calculate the risk of jaundice
caused by statin drugs, from sale in the whole country of Iceland, to be one in
17,434 users a year. This is a higher risk than has previously been estimated and
we challenge the current opinion that statins rarely cause clinically significant
drug-induced liver injury and encourage alertness when managing patients with
statins with regard to clinical signs of hepatitis before jaundice occurs.
PMID- 22075854
TI - Serum antibodies and anthropometric data at diagnosis in pediatric Crohn's
disease.
AB - BACKGROUND: Serum antibodies, including ASCA, anti-OmpC, and ANCA, correlate with
disease location and predict disease phenotype in inflammatory bowel disease.
AIM: The objective of this study was to determine relationships between serum
antibody status and anthropometric data for children with newly diagnosed Crohn's
disease. METHODS: A retrospective review was conducted on children diagnosed with
Crohn's disease at our institution from 2003 to 2008. Patients who had ASCA IgA,
ASCA IgG, anti-OmpC, and pANCA antibodies, and anthropometric data measured
before diagnosis and therapy were included. Z-scores for height and weight were
compared among groups according to the presence of specific antibodies.
Spearman's rank correlation was used to assess association between antibodies and
growth data. RESULTS: One hundred and two patients, mean age 11.9 years, met the
inclusion criteria. Patients with the presence of any of the four antibodies had
lower mean height and weight z-scores than patients without any antibodies
present. When individual antibodies were studied, patients with positive ASCA
titers had lower mean weight and height z-scores than patients without any
antibodies present. Spearman's rank correlation coefficient demonstrated a
significant association between increasing ASCA titers and lower weight z-scores,
but not lower height z-scores. CONCLUSIONS: Pediatric patients with newly
diagnosed Crohn's disease and the presence of ASCA antibodies have lower mean
height and weight z-scores. This study provides evidence that specific subsets of
children with Crohn's disease may be at greater risk of growth impairment.
PMID- 22075855
TI - Diversity and distribution of avian haematozoan parasites in the western Indian
Ocean region: a molecular survey.
AB - The genetic diversity of haematozoan parasites in island avifauna has only
recently begun to be explored, despite the potential insight that these data can
provide into the history of association between hosts and parasites and the
possible threat posed to island endemics. We used mitochondrial DNA sequencing to
characterize the diversity of 2 genera of vector-mediated parasites (Plasmodium
and Haemoproteus) in avian blood samples from the western Indian Ocean region and
explored their relationship with parasites from continental Africa. We detected
infections in 68 out of 150 (45.3%) individuals and cytochrome b sequences
identified 9 genetically distinct lineages of Plasmodium spp. and 7 lineages of
Haemoproteus spp. We found considerable heterogeneity in parasite lineage
composition across islands, although limited sampling may, in part, be
responsible for perceived differences. Two lineages of Plasmodium spp. and 2
lineages of Haemoproteus spp. were shared by hosts in the Indian Ocean and also
on mainland Africa, suggesting that these lineages may have arrived relatively
recently. Polyphyly of island parasites indicated that these parasites were
unlikely to constitute an endemic radiation and instead probably represent
multiple colonization events. This study represents the first molecular survey of
vector-mediated parasites in the western Indian Ocean, and has uncovered a
diversity of parasites. Full understanding of parasite community composition and
possible threats to endemic avian hosts will require comprehensive surveys across
the avifauna of this region.
PMID- 22075856
TI - Not just for Christmas.
PMID- 22075857
TI - Private medical notes.
PMID- 22075858
TI - Forward to harmonisation.
PMID- 22075859
TI - Denture record.
PMID- 22075860
TI - Unfortunate comments.
PMID- 22075861
TI - Proportional to the investment.
PMID- 22075862
TI - Cultural preparations.
PMID- 22075863
TI - Time for change?
PMID- 22075864
TI - Vegetable granuloma.
PMID- 22075865
TI - NHS amazement.
PMID- 22075880
TI - Adult dental health surveys: 40 years on.
AB - A comparison of the results of the Adult Dental Health Surveys in England and
Wales in 1968 and England, Wales and Northern Ireland in 2009 shows marked
improvements in many aspects of oral health. Edentulousness in adults aged 16
years and over has reduced from 37% to 6%. Dentate adults today have 9 to 10 more
sound teeth in all age groups up to 44 years, than was the case 40 years ago. The
number of decayed or unsound teeth has halved in every age group between 1968 and
2009.
PMID- 22075881
TI - Soft tissue manipulation for single implant restorations.
AB - Achievement of optimal aesthetics on implants in the anterior region can be
difficult due to inherent differences to the natural dentition. An important
consideration is the peri-implant soft tissues which can be modified to create a
more natural emergence profile and contour. The methods with which this can be
achieved vary as can methods for recording soft tissue changes and relaying this
to technician colleagues. This review appraises some techniques available for the
manipulation of the soft tissue profile on single implant restorations.
PMID- 22075882
TI - Relative efficacy of oral analgesics after third molar extraction--a 2011 update.
AB - This article provides a summary of the efficacy, and relative efficacy, of 38
different drugs or drug combinations tested in standard postoperative pain
trials. It will help clinicians and patients make informed choices about
analgesia based on pain relief, duration of action, and adverse events, which can
then be put into context for the individual patient, depending on local
availability. This article highlights the fact that no single drug is effective
in all patients--even the best drugs fail to provide good levels of pain relief
in at least 30%. These patients should try a different analgesic.
PMID- 22075887
TI - Summary of: Is there a differential in the dental health of new recruits to the
British Armed Forces? A pilot study.
PMID- 22075888
TI - Summary of: Pharmacy counter assistants and oral health promotion: an exploratory
study.
PMID- 22075905
TI - Hard times can happen to anyone--even a dentist.
AB - The BDA Benevolent Fund has been helping needy dentists and their families for
130 years, while the Dentist Health Support Trust, founded in 1986, offers
support specifically on addiction and other mental health issues. The Ben Fund
offers a lifeline to those struggling with poverty arising from illness,
accidents, bereavement, addiction, mental health issues, and many other
difficulties. It may be that someone needs financial help to deal with a short
term problem before they get themselves back on track, and the Fund can do this
with a one-off grant or perhaps an interest-free loan, to be repaid when their
life is on an even keel again. Other people may face long-term uncertainty and
need regular support.
PMID- 22075911
TI - Is there a differential in the dental health of new recruits to the British Armed
Forces? A pilot study.
AB - BACKGROUND AND AIM: Figures from the British Defence Dental Services reveal that
serving personnel in the British Army have a persistently lower level of dental
fitness than those in the Royal Navy or the Royal Air Force. No research had been
undertaken to ascertain if this reflects the oral health of recruits joining each
Service. This study aimed to pilot a process for collecting dental and
sociodemographic data from new recruits to each Service and examine the null
hypothesis that no differences in dental health existed. METHOD: Diagnostic
criteria were developed, a sample size calculated and data collected at the
initial training establishments of each Service. RESULTS: Data for 432
participants were entered into the analysis. Recruits in the Army sample had a
significantly greater prevalence of dental decay and greater treatment resource
need than either of the other two Services. Army recruits had a mean number of
2.59 (2.08, 3.09) decayed teeth per recruit, compared to 1.93 (1.49, 2.39 p
<0.01) in Royal Navy recruits and 1.26 (0.98, 1.53 p <0.001) in Royal Air Force
recruits. Among Army recruits 62.7% were from the two most deprived quintiles of
the Index of Multiple Deprivation compared to 42.5% of Royal Naval recruits and
36.6% of Royal Air Force recruits. CONCLUSION: A significant difference in dental
health between recruits to each Service does exist and is a likely to be a
reflection of the sociodemographic background from which they are drawn.
PMID- 22075912
TI - Pharmacy counter assistants and oral health promotion: an exploratory study.
AB - BACKGROUND: The involvement of community pharmacists in oral health promotion is
being increasingly recognised and studied. However, a large proportion of
interactions in community pharmacies take place with pharmacy counter assistants
rather than the pharmacist, and the role of pharmacy counter assistants in oral
health promotion has received little or no attention until now. AIMS: To clarify
the current state of affairs on pharmacy counter assistants' involvement with
oral health promotion. DESIGN AND METHODS: A postal-questionnaire-based survey of
pharmacy counter assistants across East Yorkshire, North Lincolnshire and the
Doncaster area. One hundred addresses were included and 35 responses were
received. RESULTS: Pharmacy counter assistants are infrequently approached by the
public for advice on matters of oral health and advice is not often volunteered
despite a reasonable knowledge of the subject. Respondents identified a role for
themselves in educating patients/customers, which they are keen to expand.
CONCLUSIONS: The expansion of the pharmacy counter assistant's role in oral
health promotion would be of value to patients/customers. This should include
increased opportunistic education and a more integrated position of pharmacy
within a holistic health promotion strategy.
PMID- 22075913
TI - [Associations between workplace bullying, harassment, and stress reactions of
professional caregivers at welfare facilities for the elderly in Japan].
AB - OBJECTIVE: The purpose of this study was to describe workplace bullying
experienced by professional caregivers at welfare facilities for the elderly in
Japan and to confirm its effects on stress reactions. METHODS: A cross-sectional
survey was carried out using self-administered questionnaires in 2009 of all the
employees working in rural area of facilities for long-term care. Among the 1,233
respondents who filled out all questionnaires concerning stress reactions the
Japanese version of the Negative Acts Questionnaire (NAQ) (response rate: 63.9%),
we analyzed 897 professional caregivers. We measured stress reactions by using
the stress reaction scores of the Brief Job Stress Questionnaire (29 items) and
workplace bullying and harassment by using NAQ. We used the unpaired t-test and
analysis of covariance (ANCOVA) to compare crude and adjusted average stress
reactions with groups classified on the basis of each subscale of the NAQ or all
of them. RESULTS: About 40% of both men and women suffered from "malicious
gossip" and over 60% of both men and women experienced "someone withholding
necessary information so that their work gets complicated". Among women, scores
of the lack of vigor and fatigue were significantly higher in caregivers targeted
by person-related bullying than those not targeted (p<0.05). Scores of depression
were significantly higher in caregivers targeted by work-related bullying than
those not targeted (p<0.05). Scores of anxiety were significantly higher among
caregivers targeted by sexual harassment than those not targeted (p<0.05). Among
men, scores of the lack of vigor were significantly lower in caregivers targeted
by work-related bullying than those not targeted (p<0.05). CONCLUSIONS: Among
women, workplace bullying or harassment could may aggravate effects on
psychological stress responses. While among men, work-related bullying was
positively associated with vigor.
PMID- 22075914
TI - [Mental health of workers and the effects of behavioral traits -validation of
causal model using covariance structure analysis-].
AB - PURPOSE: To clarify the mental health factors of workers and to verify the causal
correlation between their behavioral traits and mental health. METHOD: We asked
1,425 subjects working at private enterprises and local government offices, as
well as members of labor unions, to anonymously respond to questionnaires.
RESULT: We examined the causal influence of workers' behavioral traits on
negative mental health by covariance structure analysis. Workers'other-reward
oriented self-image variables indicated by behavioral traits, such as self
repression and interpersonal dependency, directly affected negative mental
health. Workers'perceived emotional support indirectly affects their mental
health through self-image variables and perceived stressors. Additionally,
simultaneous multi-population analysis verified that different populations did
not show differences, and maintained the same structure. DISCUSSION: Other-reward
oriented self-image directly affected negative mental health, and its impact was
the largest. Therefore, to prevent negative mental health, the results indicate
that it is important to shift self-image to a self-reward oriented one.
Additionally, the results indicate the need for effort to perceive emotional
support at the workplace and heighten the ability of self-expression and feelings
of self-esteem. This can encourage changing to a self-reward oriented self-image
and a preventive effect is expected.
PMID- 22075915
TI - The functional and molecular characterisation of human embryonic stem cell
derived insulin-positive cells compared with adult pancreatic beta cells.
AB - AIMS/HYPOTHESIS: Using a novel directed differentiation protocol, we recently
generated up to 25% insulin-producing cells from human embryonic stem cells
(hESCs) (insulin(+) cells). At this juncture, it was important to functionally
and molecularly characterise these hESC-derived insulin(+) cells and identify key
differences and similarities between them and primary beta cells. METHODS: We
used a new reporter hESC line with green fluorescent protein (GFP) cDNA targeted
to the INS locus by homologous recombination (INS (GFP/w)) and an untargeted hESC
line (HES2). INS (GFP/w) allowed efficient identification and purification of GFP
producing (INS:GFP(+)) cells. Insulin(+) cells were examined for key features of
adult beta cells using microarray, quantitative PCR, secretion assays, imaging
and electrophysiology. RESULTS: Immunofluorescent staining showed complete co
localisation of insulin with GFP; however, cells were often multihormonal, many
with granules containing insulin and glucagon. Electrophysiological recordings
revealed variable K(ATP) and voltage-gated Ca(2+) channel activity, and reduced
glucose-induced cytosolic Ca(2+) uptake. This translated into defective glucose
stimulated insulin secretion but, intriguingly, appropriate glucagon responses.
Gene profiling revealed differences in global gene expression between INS:GFP(+)
cells and adult human islets; however, INS:GFP(+) cells had remarkably similar
expression of endocrine-lineage transcription factors and genes involved in
glucose sensing and exocytosis. CONCLUSIONS/INTERPRETATION: INS:GFP(+) cells can
be purified from differentiated hESCs, providing a superior source of insulin
producing cells. Genomic analyses revealed that INS:GFP(+) cells collectively
resemble immature endocrine cells. However, insulin(+) cells were heterogeneous,
a fact that translated into important functional differences within this
population. The information gained from this study may now be used to generate
new iterations of functioning beta cells that can be purified for transplant.
PMID- 22075916
TI - Autophagy deficiency in beta cells leads to compromised unfolded protein response
and progression from obesity to diabetes in mice.
AB - AIMS/HYPOTHESIS: The unfolded protein response (UPR) in endoplasmic reticulum
(ER) and autophagy are known to be related. We investigated the role of autophagy
in UPR of pancreatic beta cells and the susceptibility of autophagy-deficient
beta cells to the ER stress that is implicated in the development of diabetes.
METHODS: Rat insulin promoter (RIP)-Cre(+);autophagy-related 7 (Atg7)(F/W) mice
were bred with ob/w mice to derive RIP-Cre(+);Atg7(F/F)-ob/ob mice and to induce
ER stress in vivo. GFP-LC3(+)-ob/ob mice were generated to examine in vivo
autophagic activity. Real-time RT-PCR was performed to study the expression of
the genes of the UPR machinery. Proteolysis was assessed by determining release
of incorporated radioactive leucine. RESULTS: Production of UPR machinery was
reduced in autophagy-deficient beta cells, which was associated with diminished
production of p85alpha and p85beta regulatory subunits of phosphoinositide 3
kinase. Because of compromised UPR machinery, autophagy-deficient beta cells were
susceptible to ER stressors in vitro. When mice with beta cell-specific autophagy
deficiency, which have mild hyperglycaemia, were bred with ob/ob mice to induce
ER stress in vivo, severe diabetes developed, which was accompanied by an
increase in beta cell death and accumulation of reactive oxygen species. The
increased demand for UPR present in obesity was unmet in autophagy-deficient beta
cells. Autophagy level and autophagic activity were enhanced by lipid, while
proteolysis was reduced. CONCLUSIONS/INTERPRETATION: These results suggest that
autophagy is important for intact UPR machinery and appropriate UPR in response
to lipid injury that increases demand for UPR. Autophagy deficiency in pancreatic
beta cells may contribute to the progression from obesity to diabetes.
PMID- 22075917
TI - New Iranian and Australian peach latent mosaic viroid variants and evidence for
rapid sequence evolution.
AB - Peach latent mosaic viroid isolates from peach and plum in Iran have been
compared with an Australian isolate from nectarine. Thirteen sequence variants
336-338 nt in size were obtained. All variants clustered phylogenetically with
variants reported from several hosts and countries. A total nucleic acid extract,
a slightly longer than full-length RT-PCR amplicon, and a recombinant plasmid
clone from the Australian isolate were all infectious to, and symptomatic in,
mechanically inoculated peach seedlings. The infectious clone generated two
progeny viroid molecules, which each showed 10 different mutations compared with
the parent clone inoculated 30 days previously.
PMID- 22075918
TI - Human sapovirus classification based on complete capsid nucleotide sequences.
AB - The genetically diverse sapoviruses (SaVs) are a significant cause of acute human
gastroenteritis. Human SaV surveillance is becoming more critical, and a better
understanding of the diversity and distribution of the viral genotypes is needed.
In this study, we analyzed 106 complete human SaV capsid nucleotide sequences to
provide a better understanding of their diversity. Based on those results, we
propose a novel standardized classification scheme that meets the requirements of
the International Calicivirus Scientific Committee. We believe the classification
scheme and strains described here will be of value for the molecular
characterization and classification of newly detected SaV genotypes and for
comparing data worldwide.
PMID- 22075919
TI - Inhibition of fatty acid synthase by amentoflavone reduces coxsackievirus B3
replication.
AB - Coxsackievirus B3 (CVB3) is a human pathogen that causes acute and chronic
infections, but an antiviral drug to treat these diseases has not yet been
developed for clinical use. Several intracellular pathways are altered to assist
viral transcription, RNA replication, and progeny release. Among these, fatty
acid synthase (FAS) expression is increased. In order to test the potential of
FAS inhibition as an anti-CVB3 strategy, several experiments were performed,
including studies on the correlation of CVB3 replication and FAS expression in
human Raji cells and an analysis of the time and dose dependence of the antiviral
effect of FAS inhibition due to treatment with amentoflavone. The results
demonstrate that CVB3 infection induces an up-regulation of FAS expression
already at 1 h postinfection (p.i.). Incubation with increasing concentrations of
amentoflavone inhibited CVB3 replication significantly up to 8 h p.i. In
addition, suppression of p38 MAP kinase activity by treatment with SB239063
decreased FAS expression as well as viral replication. These data provide
evidence that FAS inhibition via amentoflavone administration might present a
target for anti-CVB3 therapy.
PMID- 22075920
TI - Multiple polyadenylated RNA viruses detected in pooled cultivated and wild plant
samples.
AB - RNA extracted from 120 leaf specimens from 17 plant species was pooled, and
polyadenylated RNA species were sequenced together without barcoding in one lane
using massively parallel sequencing technology. After analysis, complete or
partial genome sequences representing 20 virus isolates of 16 polyadenylated RNA
species were identified. In three cases, 2-3 distinct isolates of a virus species
co-infected the same plant. Twelve of the viruses identified were described
previously and belonged to the genera Potyvirus, Nepovirus, Allexivirus, and
Carlavirus. Four were unknown and are proposed as members of the genera
Potyvirus, Sadwavirus, and Trichovirus. Virus sequences were subsequently matched
to original host plants using RT-PCR assays.
PMID- 22075921
TI - Complete sequence of a cryptic virus from hemp (Cannabis sativa).
AB - Hemp (Cannabis sativa) was found to be a useful propagation host for hop latent
virus, a carlavirus. However, when virus preparations were analysed by electron
microscopy, along with the expected filamentous particles, spherical particles
with a diameter of around 34 nm were found. RNA from virus preparations was
purified, and cDNA was prepared and cloned. Sequence information was used to
search databases, and the greatest similarity was found with Primula malacoides
virus 1, a putative new member of the genus Partitivirus. The full sequences of
RNA 1 and RNA 2 of this new hemp cryptic virus were obtained.
PMID- 22075922
TI - Low temperature conductivity of carbon nanotube aggregates.
AB - We compare, over wide temperature ranges, the transport properties of single-wall
carbon nanotubes arranged in the form of aligned arrays or in the form of fibres.
The experimental data show that both the forms of aggregates present a crossover
in the transport mechanism from three-dimensional hopping of the electrons
between localized states at high temperature to fluctuation-induced tunnelling
across potential barriers at low temperature. The role of the junctions formed
between the bundles in the array and between the nanotubes inside the fibres is
discussed on the basis of the experimental results.
PMID- 22075923
TI - Optical mapping and sequencing of the Escherichia coli KO11 genome reveal
extensive chromosomal rearrangements, and multiple tandem copies of the Zymomonas
mobilis pdc and adhB genes.
AB - Escherichia coli KO11 (ATCC 55124) was engineered in 1990 to produce ethanol by
chromosomal insertion of the Zymomonas mobilis pdc and adhB genes into E. coli W
(ATCC 9637). KO11FL, our current laboratory version of KO11, and its parent E.
coli W were sequenced, and contigs assembled into genomic sequences using optical
NcoI restriction maps as templates. E. coli W contained plasmids pRK1 (102.5 kb)
and pRK2 (5.4 kb), but KO11FL only contained pRK2. KO11FL optical maps made with
AflII and with BamHI showed a tandem repeat region, consisting of at least 20
copies of a 10-kb unit. The repeat region was located at the insertion site for
the pdc, adhB, and chloramphenicol-resistance genes. Sequence coverage of these
genes was about 25-fold higher than average, consistent with amplification of the
foreign genes that were inserted as circularized DNA. Selection for higher levels
of chloramphenicol resistance originally produced strains with higher pdc and
adhB expression, and hence improved fermentation performance, by increasing the
gene copy number. Sequence data for an earlier version of KO11, ATCC 55124,
indicated that multiple copies of pdc adhB were present. Comparison of the W and
KO11FL genomes showed large inversions and deletions in KO11FL, mostly enabled by
IS10, which is absent from W but present at 30 sites in KO11FL. The early KO11
strain ATCC 55124 had no rearrangements, contained only one IS10, and lacked most
accumulated single nucleotide polymorphisms (SNPs) present in KO11FL. Despite
rearrangements and SNPs in KO11FL, fermentation performance was equal to that of
ATCC 55124.
PMID- 22075924
TI - Effect of modified glucose catabolism on xanthan production in Xanthomonas oryzae
pv. oryzae.
AB - In this study, the glucose 6-phosphate dehydrogenase gene (XOO2314) was
inactivated in order to modulate the intracellular glucose 6-phosphate, and its
effects on xanthan production in a wild-type strain of Xanthomonas oryzae were
evaluated. The intracellular glucose 6-phosphate was increased from 17.6 to 99.4
MUmol g-1 (dry cell weight) in the gene-disrupted mutant strain. The concomitant
increase in the glucose 6-phosphate was accompanied by an increase in xanthan
production of up to 2.23 g l-1 (culture medium). However, in defined medium
supplemented with 0.4% glucose, the growth rate of the mutant strain was reduced
to 52.9% of the wild-type level. Subsequently, when a family B ATP-dependent
phosphofructokinase from Escherichia coli was overexpressed in the mutant strain,
the growth rate was increased to 142.9%, whereas the yields of xanthan per mole
of glucose remained approximately the same.
PMID- 22075925
TI - Primary percutaneous coronary intervention as a national reperfusion strategy in
patients with ST-segment elevation myocardial infarction.
AB - BACKGROUND: In Denmark, primary percutaneous coronary intervention (PPCI) was
chosen as a national reperfusion strategy for patients with ST-segment elevation
myocardial infarction in 2003. This study describes the temporal implementation
of PPCI in Western Denmark, the gradual introduction of field triage for PPCI
(patients rerouted from the scene of the event directly to the invasive center),
and the associated outcome. METHODS AND RESULTS: The study population comprised
9514 patients treated with PPCI from 1999 to 2009 with symptom duration <=12
hours and either a delay from the emergency medical service (EMS) call to PPCI
(healthcare system delay) of <=6 hours or as self-presenters. The median follow
up time was 3.7 years. The number of patients treated with PPCI increased from
190 in 1999 to 1212 in 2009. Among patients transported by the EMS from the scene
of the event, the proportion who were field triaged directly to a PCI center
increased from 33% (34/103) to 72% (616/851, P<0.001). Patients who were field
triaged had lower long-term mortality, with adjusted hazard ratios (95% CI) of
1.26 (1.12-1.43) among patients transported by the EMS to a local hospital and
then transferred, 1.28 (1.10-1.49) among patients self-presenting at a local
hospital and then transferred, and 1.37 (1.18-1.58) among patients self
presenting at a PCI center. CONCLUSIONS: A reperfusion strategy with PPCI only
for patients with ST-segment elevation myocardial infarction was successfully
implemented in Western Denmark, and the majority of patients transported by the
EMS are now triaged directly to the PPCI centers. This strategy is associated
with lower mortality.
PMID- 22075926
TI - Short-term performance of the transcatheter Melody valve in high-pressure
hemodynamic environments in the pulmonary and systemic circulations.
AB - BACKGROUND: The Melody valve is approved for percutaneous pulmonary valve
replacement in dysfunctional right ventricular outflow tracts. The function of
this valve when subjected to high-pressure loads in humans is unknown. The aim of
this study was to describe the immediate and short-term results of Melody valves
implanted in a high-pressure environment. METHODS AND RESULTS: Definitions of a
high-pressure system were established for Melody valves implanted in the systemic
(ie, aortic or mitral position) and pulmonary (ie, right ventricular outflow
tract conduit or tricuspid valve annulus) circulations. Implants in these
environments were ascertained from databases of the 5 centers that participated
in the US Investigational Device Exemption trial. Thirty implants met the
inclusion criteria: 23 pulmonary circulation implants (all in the pulmonary
position) systemic circulation implants (5 in the native aortic position, 1 in a
left ventricle-to-descending aorta conduit, and 1 in the mitral annulus). All
pulmonary circulation implants were performed percutaneously in the
catheterization laboratory. A hybrid approach (surgical exposure for
transcatheter implant) was used for 4 of the aortic implants. There were no
procedure-related deaths. Three patients died of nonprocedure- and nonvalve
related causes. At 1 year, freedom from moderate to severe regurgitation was
100%, and freedom from mild regurgitation was 90%. Freedom from moderate to
severe stenosis was 86% at 1 year. CONCLUSIONS: Short-term performance of the
Melody valve in high-pressure environments is encouraging, with good valve
function in all patients.
PMID- 22075927
TI - Stent fracture, valve dysfunction, and right ventricular outflow tract
reintervention after transcatheter pulmonary valve implantation: patient-related
and procedural risk factors in the US Melody Valve Trial.
AB - BACKGROUND: Among patients undergoing transcatheter pulmonary valve (TPV)
replacement with the Melody valve, risk factors for Melody stent fracture (MSF)
and right ventricular outflow tract (RVOT) reintervention have not been well
defined. METHODS AND RESULTS: From January 2007 to January 2010, 150 patients
(median age, 19 years) underwent TPV implantation in the Melody valve
Investigational Device Exemption trial. Existing conduit stents from a prior
catheterization were present in 37 patients (25%, fractured in 12); 1 or more new
prestents were placed at the TPV implant catheterization in 51 patients. During
follow-up (median, 30 months), MSF was diagnosed in 39 patients. Freedom from a
diagnosis of MSF was 77+/-4% at 14 months (after the 1-year evaluation window)
and 60+/-9% at 39 months (3-year window). On multivariable analysis, implant
within an existing stent, new prestent, or bioprosthetic valve (combined
variable) was associated with longer freedom from MSF (P<0.001), whereas TPV
compression (P=0.01) and apposition to the anterior chest wall (P=0.02) were
associated with shorter freedom from MSF. Freedom from RVOT reintervention was
86+/-4% at 27 months. Among patients with a MSF, freedom from RVOT reintervention
after MSF diagnosis was 49+/-10% at 2 years. Factors associated with
reintervention were similar to those for MSF. CONCLUSIONS: MSF was common after
TPV implant in this multicenter experience and was more likely in patients with
severely obstructed RVOT conduits and when the TPV was directly behind the
anterior chest wall and/or clearly compressed. A TPV implant site protected by a
prestent or bioprosthetic valve was associated with lower risk of MSF and
reintervention.
PMID- 22075928
TI - Strain-dependent induction of neutrophil histamine production and cell death by
Pseudomonas aeruginosa.
AB - Airway diseases often feature persistent neutrophilic inflammation and infection.
In cystic fibrosis bronchitis, for example, Pseudomonas aeruginosa is isolated
frequently. Previously, this laboratory revealed that neutrophils become major
sources of histamine in mice with tracheobronchitis caused by the wall-less
bacterium Mycoplasma pulmonis. To test the hypothesis that more-broadly
pathogenic P. aeruginosa (which expresses cell wall-associated LPS and novel
toxins) has similar effects, we incubated naive mouse neutrophils with two
strains of P. aeruginosa. Strain PAO1 greatly increased neutrophil histamine
content and secretion, whereas strain PA103 depressed histamine production by
killing neutrophils. The histamine-stimulating capacity of PAO1, but not PA103
mediated toxicity, persisted in heat-killed organisms. In PAO1-infected mice,
lung and neutrophil histamine content increased. However, PAO1 did not alter
production by mast cells (classical histamine reservoirs), which also resisted
PA103 toxicity. To explore mechanisms of neutrophil-selective induction, we
measured changes in mRNA encoding histidine decarboxylase (rate-limiting for
histamine synthesis), probed involvement of endotoxin-TLR pathways in Myd88
deficient neutrophils, and examined contributions of pyocyanin and exotoxins.
Results revealed that PAO1 increased histamine production by up-regulating
histidine decarboxylase mRNA via pathways largely independent of TLR, pyocyanin,
and type III secretion system exotoxins. PAO1 also increased histidine
decarboxylase mRNA in neutrophils purified from infected lung. Stimulation
required direct contact with neutrophils and was blocked by phagocytosis
inhibitor cytochalasin D. In summary, Pseudomonas-augmented histamine production
by neutrophils is strain-dependent in vitro and likely mediated by up-regulation
of histidine decarboxylase. These findings raise the possibility that Pseudomonas
stimulated neutrophils can enhance airway inflammation by producing histamine.
PMID- 22075929
TI - Technical advance: in vitro production of distinct dendritic-like antigen
presenting cells from self-renewing hematopoietic stem cells.
AB - A novel CD11c(lo)CD11b(hi)MHC-II-CD8alpha- dendritic-like cell (L-DC) develops in
cocultures of bone marrow over splenic stroma. L-DCs are distinct from other DC
subsets and have potential importance in spleen for immunity to blood-borne
antigens. As production is maintained in cultures for >12 months, L-DC
development evidently depends on self-renewing progenitors. To improve this
culture system, highly purified HSCs were sorted from bone marrow and used to
establish cocultures. Nonadherent cells produced were analyzed for surface marker
expression and capacity to activate/inhibit T cells. Cocultures produced a pure
population of L-DCs for up to 12 months, which were strong activators of CD8+ T
cells. The in vitro production of a pure population of L-DCs from HSCs--in
numbers amenable to in vitro assays of function and development--therefore
represents an important advance.
PMID- 22075931
TI - Should the diagnosis of autism be made only on the basis of a standardized test?
AB - This commentary argues that a standardized tool such as Autism Diagnostic
Observation Schedule (ADOS) is not always required to make the diagnosis of
autism, which can be made by obtaining a thorough history and performing an
astute clinical examination as William Osler, founder of John Hopkins School of
Medicine, taught or Dr. Leo Kanner practiced.
PMID- 22075930
TI - Macrophage-elicited osteoclastogenesis in response to Brucella abortus infection
requires TLR2/MyD88-dependent TNF-alpha production.
AB - Osteoarticular complications are common in human brucellosis, but the pathogenic
mechanisms involved are largely unknown. In this manuscript, we described an
immune mechanism for inflammatory bone loss in response to infection by Brucella
abortus. We established a requirement for MyD88 and TLR2 in TNF-alpha-elicited
osteoclastogenesis in response to B. abortus infection. CS from macrophages
infected with B. abortus induced BMM to undergo osteoclastogenesis. Although B.
abortus-infected macrophages actively secreted IL-1beta, IL-6, and TNF-alpha,
osteoclastogenesis depended on TNF-alpha, as CS from B. abortus-infected
macrophages failed to induce osteoclastogenesis in BMM from TNFRp55-/- mice. CS
from B. abortus-stimulated MyD88-/- and TLR2-/- macrophages failed to express TNF
alpha, and these CS induced no osteoclast formation compared with that of the WT
or TLR4-/- macrophages. Omp19, a B. abortus lipoprotein model, recapitulated the
cytokine production and subsequent osteoclastogenesis induced by the whole
bacterium. All phenomena were corroborated using human monocytes, indicating that
this mechanism could play a role in human osteoarticular brucellosis. Our results
indicate that B. abortus, through its lipoproteins, may be involved in bone
resorption through the pathological induction of osteoclastogenesis.
PMID- 22075932
TI - Adenine Synthesis in a Model Prebiotic Reaction: Connecting Origin of Life
Chemistry with Biology.
AB - Many high school laboratory experiments demonstrate concepts related to
biological evolution, but few exist that allow students to investigate life's
chemical origins. This series of laboratory experiments has been developed to
allow students to explore and appreciate the deep connection that exists between
prebiotic chemistry, chemical evolution, and contemporary biological systems. In
the first experiment of the series, students synthesize adenine, one of the
purine nucleobases of DNA and RNA, from plausibly prebiotic precursor molecules.
Students compare their product to authentic standards using thin-layer
chromatography. The second and third experiments of the series allow students to
extract DNA from a familiar organism, the strawberry, and hydrolyze it, releasing
adenine, which they can then compare to the previously chemically-synthesized
adenine. A fourth, optional experiment is included where the technique of thin
layer chromatography is introduced and chromatographic skills are developed for
use in the other three experiments that comprise this series. Concepts relating
to organic and analytical chemistry, as well as biochemistry and DNA structure,
are incorporated throughout, allowing this series of laboratory experiments to be
easily inserted into existing laboratory courses and to reinforce concepts
already included in any high school chemistry or biology curriculum.
PMID- 22075933
TI - Nanocrystalline carbonate-apatites: role of Ca/P ratio on the upload and release
of anticancer platinum bisphosphonates.
AB - In the present study two nanocrystalline apatites have been investigated as bone
specific drug delivery devices to be used for treatment of bone tumors either by
local implantation or by injection. In order to assess how the Ca/P ratio can
influence the adsorption and release of anticancer platinum-bisphosphonate
complexes, two kinds of apatite nanocrystals having different Ca/P ratios but
similar morphologies, degree of crystallinity, and surface areas have been
synthesized and characterized. The two platinum-bisphosphonate complexes
considered were the bis-{ethylenediamineplatinum(ii)}-2-amino-1-hydroxyethane-1,1
diyl-bisphosphonate and the bis-{ethylenediamineplatinum(ii)}medronate. The Ca/P
ratio plays an important role in the adsorption as well as in the release of the
two drugs. In fact, the apatite with a higher Ca/P ratio showed greater affinity
for both platinum complexes. Also the chemical structure of the two Pt complexes
appreciably affects their affinity towards as well as their release from the two
kinds of apatites. In particular, the platinum complex whose bisphosphonate
contains a free aminic group showed greater upload and smaller release. The
cytotoxicity of the Pt complexes released from the apatite was tested against
human cervical, colon, and lung cancer cells as well as against osteosarcoma
cells. In agreement with previous work, the Pt complexes released were found to
be more cytotoxic than the unmodified complexes.
PMID- 22075934
TI - Syntheses of highly unsaturated isocyanides via organometallic pathways.
AB - The carbon carbon coupling reaction by nucleophilic attack of (CO)(5)Cr(CN
CF=CF(2)) 1 by lithium or Grignard compounds 2a-i yields the isocyanide complexes
(CO)(5)Cr(CN-CF=CF-R) 3a-i (a R = CH=CH(2), b R = CH=CF(2), c R = C=CH, d R = C=C
SiMe(3), e R = C=C-Ph, f R = C=C-C(6)F(4)OMe, g R = C=C-C(6)H(3)(CF(3))(2), h R =
C(6)F(5), i R = C(6)H(3)(CF(3))(2)) as mixtures of E and Z isomers. The dinuclear
complexes 5a-c are obtained from the reaction of 1 with the dilithio or
dimagnesium compound 4a-c as the Z,Z-, E,Z- and E,E-isomers, respectively.
(CO)(5)Cr(CN-CF=CF-C=C-C=C-CF=CF-NC)Cr(CO)(5)7 is obtained as a mixture of Z,Z-,
Z,E- and E,E-isomers from (CO)(5)Cr(CN-CF=CF-C=C-H 3d by Eglington-Glaser
coupling. (CO)(5)Cr(CN-CF=CF-C=C-CF=CF-NC)Cr(CO)(5)6 and (CO)(5)Cr(CN-CF=CF-C=C
C=C-CF=CF-NC)Cr(CO)(5)7 react with octacarbonyldicobalt forming the cluster
compounds Z,Z-[{eta(2)-MU(2)-(CO)(5)Cr(CN-CF=CF-C=C-CF=CF
NC)Cr(CO)(5)}Co(2)(CO)(6)] Z,Z-8, E,Z-[{eta(2)-MU(2)-(CO)(5)Cr(CN-CF=CF-C=C-CF=CF
NC)Cr(CO)(5)}Co(2)(CO)(6)] E,Z-8 and E,E-[{eta(2)-MU(2)-(CO)(5)Cr(CN-CF=CF-C=C
CF=CF-NC)Cr(CO)(5)}Co(2)(CO)(6)] E,E-8 and Z,Z-[{eta(2)-MU(2)-(CO)(5)Cr(CN-CF=CF
C=C-C=C-CF=CF-NC)Cr(CO)(5)}{Co(2)(CO)(6)}(2)] Z,Z-9, E,Z-[{eta(2)-MU(2)
(CO)(5)Cr(CN-CF=CF-C=C-C=C-CF=CF-NC)Cr(CO)(5)}{Co(2)(CO)(6)}(2)] E,Z-9 and E,E
[{eta(2)-MU(2)-(CO)(5)Cr(CN-CF=CF-C=C-C=C-CF=CF-NC)Cr(CO)(5)}{Co(2)(CO)(6)}(2)]
Z,Z-9, respectively. The crystal and molecular structures of E-3d, Z-3h, Z,Z-8,
E,Z-8 and Z,Z-9 were elucidated by single-crystal X-ray crystallography.
PMID- 22075936
TI - Developmental Systems Theory Formulated as a Claim about Inherited
Representations*
AB - Developmental systems theory (DST) is often dismissed on the basis that the
causal indispensability of nongenetic factors in evolution and development has
long been appreciated. A reformulation makes a more substantive claim: that the
special role played by genes is also played by some (but not all) nongenetic
resources. That special role can be captured by Shea's 'inherited
representation'. Formulating DST as the claim that there are nongenetic inherited
representations turns it into a striking, empirically testable hypothesis. DST's
characteristic rejection of a gene versus environment dichotomy is preserved but
without dissolving into an interactionist casual soup, as some have alleged.
PMID- 22075935
TI - Double-stranded RNA-induced TLR3 activation inhibits angiogenesis and triggers
apoptosis of human hepatocellular carcinoma cells.
AB - Toll-like receptor 3 (TLR3) is a member of the Toll-like receptors which
recognize pathogen-associated molecular patterns leading to the activation of the
innate immune response. Recent reports have strongly indicated that they play
important roles in cancer cells. Since TLR3 has been recently suggested as a
possible therapeutic target in certain types of cancers, in the present study,
TLR3 expression and its function were explored in hepatocellular carcinoma (HCC)
and human umbilical vein endothelial cells (HUVECs). The expression of TLR3 in
various HCC cell lines and HUVECs was detected using quantitative real-time PCR
(qRT-PCR) and immunocytochemistry. TLR3 activity was determined by Luciferase
reporter assays. The effects of TLR3 double-stranded RNA (dsRNA) agonists on
angiogenesis were tested by aortic ring assay and HUVEC tube formation
experiments. After dsRNA treatment, cell apoptosis was assessed by Annexin V and
PI staining through FACS, and the migration ability was measured by a migration
assay. The results showed that TLR3 was expressed in HCC cell lines and HUVECs at
the mRNA and protein level. Luciferase reporter assays demonstrated that TLR3 was
activated by the dsRNA analog BM-06 or poly(I:C). Rat aortic ring outgrowth and
endothelial cell tube formation were suppressed after treatment with dsRNA. In
addition, dsRNA triggered apoptosis in MHCC97H, SMMC-7721 and HUVEC cell lines
and inhibited cell migration. In conclusion, TLR3 agonists not only affect tumor
microenvironment by suppressing angiogenesis but also directly induce tumor cell
apoptosis and inhibit tumor cell migration. TLR3 may be a new target for HCC
therapy.
PMID- 22075937
TI - Postoperative immune suppression in visceral surgery: characterisation of an
intestinal mouse model.
AB - BACKGROUND: Postoperatively acquired immune dysfunction is associated with a
higher mortality rate in case of septic complications. As details of this severe
clinical problem are still unknown, animal models are essential to characterise
the mechanisms involved. METHODS: Mice were laparotomised and the small intestine
was pressed smoothly in antegrade direction. For extension of trauma, the
intestine was manipulated three times consecutively. Following this, the ex vivo
cytokine release of splenocytes was determined. The degree of surgical trauma was
analysed by detection of HMGB1 and IL-6 in serum and by neutrophil staining in
the muscularis mucosae. RESULTS: We adapted the previously described animal model
of intestinal manipulation to provide a model of surgically induced immune
dysfunction. Following intestinal manipulation, the mice showed elevated serum
levels of HMGB1 and IL-6 and increased infiltration of granulocytes into the
muscularis mucosae. Ex vivo cytokine release by splenocytes was suppressed in the
postoperative period. The degree of suppression correlated with the extent of
surgical trauma. CONCLUSIONS: In this study, we describe a surgically induced
immune dysfunction animal model, in which a significant surgical trauma is
followed by an immune dysfunction. This model may be ideal for the
characterisation of the postoperative immune dysfunction syndrome.
PMID- 22075938
TI - Clobetasol synergistically diminishes Ciz1 expression with genistein in U937
cells.
AB - Cip-interacting zinc finger protein 1 (Ciz1) stimulates DNA replication and has
been implicated in the tumorigenesis of breast cancer cells. In order to
investigate the possibility of using medicinal glucocorticoids against breast
cancer, we studied whether certain glucocorticoids affect the expression of Ciz1.
The in vitro effect of clobetasol treatment on the reduction of Ciz1 expression
was detected by reverse transcriptase-polymerase chain reaction. Western blotting
also confirmed the down-regulation of the protein in a dose-dependent manner upon
clobetasol treatment in U937 monocytoid cells. Furthermore, we found that Ciz1
protein expression was decreased after pre-treatment of the cells with clobetasol
and genistein. An extract of Lens culinaris also had a synergistic effect on the
repression of Ciz1 protein expression.
PMID- 22075939
TI - A hybrid biocathode: surface display of O2-reducing enzymes for microbial fuel
cell applications.
AB - Laccase and bilirubin oxidase were successfully displayed on the surface of yeast
cells. Subsequently, these modified yeast cells were used in the cathode
compartment of a microbial fuel cell. The performance of the fuel cells is
compared.
PMID- 22075940
TI - Overview of contemporary issues of forest research and management in China.
AB - With 207 million ha of forest covering 22% of its land area, China ranks fifth in
the world in forest area. Rapid economic growth, climate change, and forest
disturbances pose new, complex challenges for forest research and management.
Progress in meeting these challenges is relevant beyond China, because China's
forests represent 34% of Asia's forests and 5% of the worlds' forests. To provide
a broader understanding of these management challenges and of research and
policies that address them, we organized this special issue on contemporary
forest research and management issues in China. At the national level, papers
review major forest types and the evolution of sustainable forestry, the
development of China's forest-certification efforts, the establishment of a
forest inventory system, and achievements and challenges in insect pest control
in China. Papers focused on Northern China address historical, social, and
political factors that have shaped the region's forests; the use of forest
landscape models to assess how forest management can achieve multiple objectives;
and analysis and modeling of fuels and fire behavior. Papers addressing Central
and South China describe the "Grain for Green" program, which converts low
productivity cropland to grassland and woodland to address erosion and soil
carbon sequestration; the potential effects of climate change on CO(2) efflux and
soil respiration; and relationships between climate and net primary productivity.
China shares many forest management and research issues with other countries, but
in other cases China's capacity to respond to forest management challenges is
unique and bears watching by the rest of the world.
PMID- 22075941
TI - Predictive factors for the objective burden of informal care in people with
dementia: a systematic review.
AB - BACKGROUND: Informal care plays a substantial role in the provision of total care
in dementia. Several reviews have been published on the predictive factors of
subjective burden; however, such a review lacks information on objective burden,
which refers to the amount and/or costs of informal care. OBJECTIVES: The
objectives of this study were to (1) give an overview of the predictive factors
that are associated with the objective burden of informal care; (2) discuss
whether these factors are similar to the predictive factors of subjective burden;
and (3) examine whether they are modifiable. DESIGN: The literature in a number
of international databases was systematically searched. Methodological quality
and level of certainty were assessed. RESULTS: Ten studies were identified as
relevant for the purpose of this review, describing a total of 39 predictive
factors. Three factors (behavioral problems and impairments regarding daily
functioning and cognition) were considered to be predictors of objective burden.
Three factors were not related; 12 were potential predictors; and the results of
the remaining 22 factors were inconclusive. CONCLUSIONS: Many factors were found
to be (potential) predictors of objective burden, reflecting its complex nature.
Objective and subjective burdens are 2 different relevant aspects of informal
care. Interventions aimed at countering behavioral problems and impairments
regarding daily functioning could reduce objective burden.
PMID- 22075942
TI - Effect of diindolylmethane supplementation on low-grade cervical cytological
abnormalities: double-blind, randomised, controlled trial.
AB - BACKGROUND: Cervical screening identifies many women with low-grade
abnormalities. In vitro and in vivo studies have shown that diindolylmethane
(DIM) could potentially halt (cervical) carcinogenesis. We report on a randomised
controlled trial of the effect of DIM in women with low-grade cervical
cytological abnormalities. METHODS: We conducted a pragmatic double-blind,
randomised controlled trial of 150 mg DIM (from BioResponse DIM) or placebo daily
for 6 months in women with newly diagnosed, low-grade cytological abnormalities.
Randomisation was in the ratio 2 (DIM) to 1 (placebo). All women were invited for
colposcopy at 6 months with biopsy of any abnormality. RESULTS: Of the 551
randomised women available for analysis, 9% on DIM and 12% on placebo had
cervical intraepithelial neoplasia-2 (CIN2) or worse after 6-month
supplementation (risk ratio (RR) 0.7 (95% confidence interval (CI): 0.4-1.2)),
whereas 4.6% and 5.1%, respectively, had CIN3 or worse (RR 0.9 (95% CI: 0.4
2.0)). A total of 27.3% of women on DIM and 34.3% on placebo had no sign of
disease (negative cytology, colposcopy and human papilloma virus (HPV) tests) at
6 months (RR 0.8 (95% CI: 0.6-1.0)). Of those HPV-positive at baseline, 69% (114
out of 166) of the DIM group were positive at 6 months compared with 61% (43 out
of 71) of the placebo group: RR 1.1 (95% CI: 0.9-1.4). Diindolylmethane
supplementation was well tolerated. CONCLUSION: The results suggest that short
term DIM supplementation (150 mg day(-1)) is well tolerated, but is unlikely to
have an effect on cytology or HPV infection. Uncertainty remains regarding its
effect on CIN2+.
PMID- 22075943
TI - Expression of CIP2A in renal cell carcinomas correlates with tumour invasion,
metastasis and patients' survival.
AB - BACKGROUND: Cancerous inhibitor of protein phosphatase 2A (CIP2A) drives cellular
transformation. The objective of this study was to detect the potential effects
of CIP2A in renal cell carcinomas (RCCs). METHODS: A total of 107 RCC patients
were involved in the study. Cancerous inhibitor of protein phosphatase 2A
expression was investigated by real-time PCR and immunohistochemistry. In vitro,
we examined the expression of CIP2A and c-Myc and tested the migration and
invasion capability of A498 and KRC/Y cells with scratch migration assay and
Matrigel invasion assay after down-regulating CIP2A expression using siRNA.
RESULTS: Cancerous inhibitor of protein phosphatase 2A was over-expressed in RCC
tissues. Clear cell RCC showed an even higher-CIP2A expression level than
papillary or chromophobe RCC did. The CIP2A immunostaining level was positively
correlated with primary tumour stage, lymph node metastasis, distant metastasis,
TNM stage and histological grade (all P<0.05). High-CIP2A expression implied poor
survival for patients (P<0.05). Cancerous inhibitor of protein phosphatase 2A
depletion by siRNA down-regulated c-Myc expression and attenuated the migration
and invasion of RCC cells. CONCLUSION: Higher-CIP2A expression positively
correlates with the aggressive phenotype of RCCs, and predicts poor prognosis for
patients. Cancerous inhibitor of protein phosphatase 2A may be a novel target for
prevention and treatment of RCC metastasis and recurrence.
PMID- 22075944
TI - Aspirin as adjuvant therapy in childhood cancer?
PMID- 22075945
TI - Identification of markers of prostate cancer progression using candidate gene
expression.
AB - BACKGROUND: Metastatic prostate cancer (PCa) has no curative treatment options.
Some forms of PCa are indolent and slow growing, while others metastasise quickly
and may prove fatal within a very short time. The basis of this variable
prognosis is poorly understood, despite considerable research. The aim of this
study was to identify markers associated with the progression of PCa. METHODS:
Artificial neuronal network analysis combined with data from literature and
previous work produced a panel of putative PCa progression markers, which were
used in a transcriptomic analysis of 29 radical prostatectomy samples and
correlated with clinical outcome. RESULTS: Statistical analysis yielded seven
putative markers of PCa progression, ANPEP, ABL1, PSCA, EFNA1, HSPB1, INMT and
TRIP13. Two data transformation methods were utilised with only markers that were
significant in both selected for further analysis. ANPEP and EFNA1 were
significantly correlated with Gleason score. Models of progression co-utilising
markers ANPEP and ABL1 or ANPEP and PSCA had the ability to correctly predict
indolent or aggressive disease, based on Gleason score, in 89.7% and 86.2% of
cases, respectively. Another model of TRIP13 expression in combination with
preoperative PSA level and Gleason score was able to correctly predict recurrence
in 85.7% of cases. CONCLUSION: This proof of principle study demonstrates a novel
association of carcinogenic and tumourigenic gene expression with PCa stage and
prognosis.
PMID- 22075946
TI - Notch signalling in cancer progression and bone metastasis.
AB - Classically known for its indispensible role in embryonic development, the Notch
signalling pathway is gaining recognition for its regulation of adult tissue
homoeostasis and aberrant activation in disease pathogenesis. The pathway has
been implicated in cancer initiation and development, as well as early stages of
cancer progression by regulating conserved cellular programs such as the
epithelial-to-mesenchymal transition. We recently extended the role of Notch
signalling to late stages of tumour progression by elucidating a stroma-dependent
mechanism for the pathway in osteolytic bone metastasis. Of clinical
significance, disrupting the Notch pathway and associated molecular mediators of
Notch-dependent bone metastasis may provide novel therapeutic strategies to
combat aggressive bone metastatic disease.
PMID- 22075947
TI - Changes in protein expression in the sheep abomasum following trickle infection
with Teladorsagia circumcincta.
AB - Continual low-level exposure of sheep to the helminth Teladorsagia circumcincta
elicits a temporary protective immunity, where factors in the immune abomasal
mucosa prevent penetration of infective larvae, but which is essentially lost
within 6 weeks of cessation of parasite challenge. Here, a proteomic approach was
used to identify proteins that are differentially regulated in immune compared to
naive sheep, as potential key mediators of immunity. Six naive sheep and 12 sheep
trickle-infected with T. circumcincta were treated with anthelmintic, and the
naive (control) and 6 immune sheep were killed 7 days later. The remaining 6
sheep (immune waning) were killed 42 days after anthelmintic treatment. Abomasal
tissue samples were subjected to 2D-gel electrophoresis and densitometric
analysis. Selected spots (n=73) were identified by peptide mass fingerprinting
and confirmatory Western blotting was carried out for 10 proteins. Spots
selectively up-regulated in immune versus control, but not immune waning versus
control sheep, included galectin-15 and thioredoxin, which were confirmed by
Western blotting. In immune sheep, serum albumin was significantly down-regulated
and albumin proteolytic cleavage fragments were increased compared to controls.
Unexpectedly, albumin mRNA was relatively highly expressed in control mucosa,
down-regulated in immune, and was immunolocalized to mucus-producing epithelial
cells. Thus we have identified differential expression of a number of proteins
following T. circumcincta trickle infection that may play a role in host
protection and inhibition of parasite establishment.
PMID- 22075948
TI - Mossbauer investigations of crystalline and quasicrystalline Al3(Mn, Fe)
compounds.
AB - (57)Fe Mossbauer and magnetic measurements are reported on Taylor phase compounds
T-Al(3)(Mn,Fe), which can be seen as complex metallic alloys. The orthorhombic
unit cell contains 156 atoms. These investigations are further extended to
Al(71)Mn(19)Fe(10) crystallizing as a decagonal quasicrystal. Common to both
structures are layers, which are oriented perpendicular to the b axis in the
crystalline or to the periodic axis in the quasicrystalline compound, allowing
the formation of characteristic building blocks. At low temperatures for all
samples spin glass behavior is observed with freezing temperatures T(f)
increasing with Fe content. Above T(f) the (57)Fe Mossbauer spectra were analyzed
by a superposition of two subspectra with intensity ratio around 75:25, which can
be allocated to Fe substituted on Mn sites surrounded either by Al and Mn or
solely by Al with Mn only at the edge of the nearest neighbor shell. For both
subspectra quadrupole splitting and center shift do not change significantly with
Fe content and with structure. Below T(f) broad hyperfine field distributions
with non-vanishing contributions at zero hyperfine field are present. Magnetic
and electrostatic hyperfine interactions are governed by length scales which are
determined by the very similar interatomic configurations forming the T-Al(3)Mn
phase as well as the decagonal compound. The presence of long range atomic order
is of less importance.
PMID- 22075949
TI - SAME-GENDER SEX AMONG U.S. ADULTS: TRENDS ACROSS THE TWENTIETH CENTURY AND DURING
THE 1990s.
AB - Trends in reporting of same-gender sex are assessed using data from the 1988-2002
General Social Surveys (Ns = 9,487 males and 12,336 females). Analyses indicate
that the reported prevalence of female-female sexual contact increased
substantially and monotonically across twentieth-century birth cohorts, rising
from 1.6 percent (Standard error [SE] = 0.60) for the cohort of U.S. women born
prior to 1920 to 6.9 percent (SE = 0.81) for women born in 1970 and afterward.
Increases in the reported prevalence of female-female contacts also occurred
within the 1990s. These trends persist when statistical controls are introduced
for changes in attitudes toward same-gender sexual behavior. No parallel trend is
observed in the reporting of male-male sexual contacts during adulthood, although
the proportion of U.S. men reporting such contacts in the past year and in the
past five years increased during the 1990s.
PMID- 22075951
TI - Combination therapy of conditionally replicating adenovirus and histone
deacetylase inhibitors.
AB - Combination therapy of adenoviral gene therapy and a histone deacetylase (HDAC)
inhibitor is important due to the enhancing effect of HDAC inhibitors on
adenoviral transduction and transcription. However, contradictory results have
been reported on the effect of combination of CRAd (conditionally replicating
adenovirus) and HDAC inhibitors. This study was designed to investigate the
interaction of CRAd and HDAC inhibitors and determine the ideal way to combine
the two agents. Combination of HDAC inhibitors (SK7041, SBHA and vorinostat) at
pre- and post-transductional periods with CRAd enhanced the transduction of CRAd
and expression of luciferase expression from Delta24-luc in vitro. However,
suppression of luciferase expression from Delta24-luc injected tumor mass was
observed by in vivo tumor bioluminescence imaging and drug interaction analysis
also showed an antagonistic interaction that was probably related with the
inhibitory effect of the HDAC inhibitor on adenoviral replication. Suppression of
p21 induction by p21 siRNA reversed the suppressive effect of vorinostat on the
replication of CRAd, but still failed to reverse the antagonistic interaction.
Addition of vorinostat at the pre-transductional period revealed an improvement
in the transduction efficiency of CRAd and also induced a synergistic interaction
between CRAd and vorinostat, which was possibly related with prevention of the
suppressive effect of vorinostat on adenoviral replication. In conclusion, the
addition of HDAC inhibitor before CRAd injection showed synergistic antitumor
effects, which warrants further investigation on the sequence of HDAC inhibitor
and CRAd treatment in an animal tumor model.
PMID- 22075950
TI - High expression of retinoic acid receptors and synthetic enzymes in the human
hippocampus.
AB - Retinoic acid, the active form of the nutrient vitamin A, regulates several
facets of neuronal plasticity in the hippocampus, including neurogenesis and
synaptic strength, acting via specific retinoic acid receptors (RARs). Essential
for conversion of vitamin A to retinoic acid is the enzyme retinaldehyde
dehydrogenase (RALDH) and in the rodent hippocampus this is only present in the
adjacent meninges where it must act as a locally released paracrine hormone.
Little is known though about the expression of RALDHs and RARs in the human
hippocampus. This study confirms that RALDH levels are very low in mouse neurons
but, surprisingly, strong expression of RALDH protein is detected by
immunohistochemistry in hippocampal neurons. The receptors RARalpha, beta and
gamma were also detected, each receptor exhibiting differing subcellular
locations implying their potential regulation of both transcription and non
genomic actions. These results imply an essential function of retinoic acid in
the human hippocampus likely to include regulation of neuronal plasticity.
PMID- 22075952
TI - Hypertension after kidney transplantation: an important, but still neglected
issue.
PMID- 22075953
TI - Being born too small, too early or both, does it stiffen our arteries in adult
life?
PMID- 22075954
TI - The morning blood pressure surge: a dynamic and challenging concept.
PMID- 22075955
TI - Hypertensive disorders during pregnancy: clinical applicability of risk
prediction models.
PMID- 22075956
TI - Travel distance estimation for carotid femoral pulse wave velocity: is the gold
standard a real one ?
PMID- 22075958
TI - Effects of statin treatment on endothelial function, oxidative stress and
inflammation in patients with arterial hypertension and normal cholesterol
levels.
PMID- 22075962
TI - Characteristics of male attendees of health education interventions for Latinos.
AB - Latino subgroups in the US often shoulder a greater burden of some common
diseases, including cancer. The cultural norm of patriarchy in health-related
decision-making has been found to be common among Latinos, and thus male members
may be important in the adoption of health practices amongst family members.
Demographic information was collected from 488 male attendees (20% of the total
sample) as part of a larger randomized trial focused on promoting breast and
cervical cancer screening among Latinas in which attendance was open to the
entire community. The majority of male attendees were over the age of 40 (62%)
and originally from Mexico (39%) or Puerto Rico (25%). Approximately half of
attendees reported having no health coverage and living less than 5 years in the
United States. Male attendees demonstrated significant increases in knowledge
relevant to their group attendance. There were few significant differences
between the characteristics of male attendees at a female focused cancer program
(intervention) versus more general diabetes program (control). The current study
describes characteristics of a group that may be influential in guiding health
behaviors and decisions. This information extends our understanding of community
participation in health interventions and will assist in the development of
effective interventions in the Latino community.
PMID- 22075963
TI - Bio-inspired hierarchical self-assembly of nanotubes into multi-dimensional and
multi-scale structures.
AB - As inspired from nature's strategy to prepare collagen, herein we report a
hierarchical solution self-assembly method to prepare multi-dimensional and multi
scale supra-structures from the building blocks of pristine titanate nanotubes
(TNTs) around 10 nm. With the help of amylose, the nanotubes was continuously
self-assembled into helically wrapped TNTs, highly aligned fibres, large bundles,
2D crystal facets and 3D core-shell hybrid crystals. The amyloses work as the
glue molecules to drive and direct the hierarchical self-assembly process
extending from microscopic to macroscopic scale. The whole self-assembly process
as well as the self-assembly structures were carefully characterized by the
combination methods of (1)H NMR, CD, Hr-SEM, AFM, Hr-TEM, SAED pattern and EDX
measurements. A hierarchical self-assembly mechanism was also proposed.
PMID- 22075964
TI - Effects of labeling and interpersonal contact upon attitudes towards
schizophrenia: implications for reducing mental illness stigma in urban China.
AB - PURPOSE: As mental illness stigma contributes to poor outcomes for schizophrenia
in China, locating strategies to reduce public stigma is imperative. It is
currently unknown whether diagnostic labeling and contact with different help
seeking sources increase or decrease public stigma in China. Further, it remains
unresolved whether prior personal contact acts to reduce stigma in this context.
Advancing understanding of these processes may facilitate stigma-reduction
strategies. METHODS: We administered an experimental vignette randomly assigning
one of four labeling conditions to respondents to assess social distance towards
a psychotic vignette individual in a sample of 160 Northern, urban Chinese
community respondents. RESULTS: As expected, respondents given a "non
psychiatric, indigenous label" + "lay help-seeking" condition endorsed the least
social distance. Unexpectedly, the labeling condition with a "psychiatric
diagnostic label" + "lay help-seeking" condition elicited the greatest social
distance. Unlike Western studies, personal contact did not independently decrease
community stigma. However, prior contact reduced social distance to a greater
extent in the labeling condition with a "non-psychiatric, indigenous label" +
"lay help-seeking" condition when compared with all other labeling conditions.
CONCLUSION: The results indicate that cultural idioms do provide some protection
from stigma, but only among respondents who are already familiar with what mental
illness is. Our finding that the condition that depicted untreated psychosis
elicited the greatest amount of stigma, while the "treated psychosis" condition
was viewed relatively benignly in China, suggests that improved access to mental
health services in urban China has the potential to decrease public stigma via
labeling mechanisms.
PMID- 22075965
TI - Induction of pluripotent stem cells from fetal and adult cynomolgus monkey
fibroblasts using four human transcription factors.
AB - Induced pluripotent stem (iPS) cells have the potential to become a universal
resource for cell-based therapies in regenerative medicine; however, prior to the
use of such iPS cell-based therapies, preclinical assessment of their safety and
efficacy is essential. Non-human primates serve as valuable animal models for
human diseases or biomedical research; therefore, in this study, we generated
cynomolgus monkey iPS cells from adult skin and fetal fibroblast cells by the
retrovirally mediated introduction of four human transcription factors: c-Myc,
Klf4, Oct3/4, and Sox2 (the so-called "Yamanaka factors"). Twenty to 30 days
after the introduction of these factors, several cynomolgus monkey embryonic stem
(ES) cell-like colonies appeared on SNL and mouse embryonic fibroblast (MEF)
feeder layers. These colonies were picked and cultivated in primate ES medium.
Seven iPS cell lines were established, and we detected the expression of
pluripotent markers that are also expressed in ES cells. Reverse transcription
polymerase chain reaction (PCR) showed that these iPS cells expressed endogenous
c-Myc, Klf4, Oct3/4, and Sox2 genes, whereas several transgenes were silenced.
Embryoid body and teratoma formation showed that the cynomolgus iPS cells had the
developmental potential to differentiate into cells of all three primary germ
layers. In summary, we generated cynomolgus monkey iPS cells by retrovirus
mediated transduction of the human transcription factors, c-Myc, Klf4, Oct3/4,
and Sox2 into adult cynomolgus monkey skin cells and fetal fibroblasts. The
cynomolgus monkey is the most relevant primate model for human disease, and the
highly efficient generation of monkey iPS cells would allow investigation of the
treatments of various diseases in this model via therapeutic cloning.
PMID- 22075966
TI - Patient satisfaction questionnaire for medical students' performance in a
hospital outpatient clinic: a cross-sectional study.
AB - Medical education in Japan has undergone significant reforms. Patient
perspective and outcome have been highly valued in curricular reforms.
Therefore, we evaluated an undergraduate curriculum particularly on communication
skills by comparing outpatient satisfaction before and after the reforms
implemented at Saga Medical School. Cross-sectional study was conducted at the
General Medicine Clinic of Saga University Hospital in 1999 and 2009. A total of
729 newcomer patients evaluated 159 students; namely, 287 patients evaluated
sixth-year medical students (n = 82) in 1999, and in 2009, 442 patients evaluated
fifth-year medical students (n = 77). Students interviewed newcomer patients
prior to a faculty's clinical examination. After a student-patient encounter,
the patient was asked to fill in six-item Patient Satisfaction Questionnaire
(PSQ) developed by the American Board of Internal Medicine. Mixed model two-way
analysis of variance (ANOVA) with covariant of students' gender was conducted.
Effect sizes were calculated to evaluate the amplitude of influence. The average
score in 2009 was significantly higher than that in 1999 (3.63 +/- 0.62 versus
3.36 +/- 0.66; p < 0.001). Since the "encouraging and answering questions" and
"clear explanations" were lower than those of the other items (3.24 +/- 0.98 and
3.46 +/- 0.85), these two items showed the most significant improvements (Phi
coefficient = 0.31 and 0.24, p < 0.001). Thus, students' performance has
improved since 1999, which may represent the success of curricular reforms at
Saga Medical School. We propose that "encouraging and answering questions" and
"clear explanations" should be emphasized in interview training.
PMID- 22075967
TI - p53 promotes cardiac dysfunction in diabetic mellitus caused by excessive
mitochondrial respiration-mediated reactive oxygen species generation and lipid
accumulation.
AB - BACKGROUND: Diabetic cardiomyopathy is characterized by energetic dysregulation
caused by glucotoxicity, lipotoxicity, and mitochondrial alterations. p53 and its
downstream mitochondrial assembly protein, synthesis of cytochrome c oxidase 2
(SCO2), are important regulators of mitochondrial respiration, whereas the
involvement in diabetic cardiomyopathy remains to be determined. METHODS AND
RESULTS: The role of p53 and SCO2 in energy metabolism was examined in both type
I (streptozotocin [STZ] administration) and type II diabetic (db/db) mice.
Cardiac expressions of p53 and SCO2 in 4-week STZ diabetic mice were upregulated
(185% and 152% versus controls, respectively, P<0.01), with a marked decrease in
cardiac performance. Mitochondrial oxygen consumption was increased (136% versus
control, P<0.01) in parallel with augmentation of mitochondrial cytochrome c
oxidase (complex IV) activity. Reactive oxygen species (ROS)-damaged myocytes and
lipid accumulation were increased in association with membrane-localization of
fatty acid translocase protein FAT/CD36. Antioxidant tempol reduced the increased
expressions of p53 and SCO2 in STZ-diabetic hearts and normalized alterations in
mitochondrial oxygen consumption, lipid accumulation, and cardiac dysfunction.
Similar results were observed in db/db mice, whereas in p53-deficient or SCO2
deficient diabetic mice, the cardiac and metabolic abnormalities were prevented.
Overexpression of SCO2 in cardiac myocytes increased mitochondrial ROS and fatty
acid accumulation, whereas knockdown of SCO2 ameliorated them. CONCLUSIONS:
Myocardial p53/SCO2 signal is activated by diabetes-mediated ROS generation to
increase mitochondrial oxygen consumption, resulting in excessive generation of
mitochondria-derived ROS and lipid accumulation in association with cardiac
dysfunction.
PMID- 22075968
TI - Increased asymmetric dimethylarginine (ADMA) dimethylaminohydrolase (DDAH)
activity in childhood hypercholesterolemia type II.
AB - Asymmetric dimethylarginine (ADMA) systemic concentrations are elevated in
hypercholesterolemic adults and contribute to nitric oxide (NO) dependent
endothelial dysfunction. Decreased activity of the key ADMA-hydrolyzing enzyme
dimethylarginine dimethylaminohydrolase (DDAH) may be involved. Yet, the
ADMA/DDAH/NO pathway has not been investigated in childhood hypercholesterolemia.
We studied 64 children with hypercholesterolemia type II (HCh-II) and 54
normocholesterolemic (NCh) children (mean +/- SD; age, years: 11.1 +/- 3.5 vs.
11.9 +/- 4.6). Plasma and urine ADMA was measured by GC-MS/MS. Dimethylamine
(DMA), the ADMA metabolite, creatinine, nitrite and nitrate in urine were
measured by GC-MS. The DMA/ADMA molar ratio in urine was calculated to estimate
whole body DDAH activity. ADMA plasma concentration (mean +/- SD; nM: 571 +/- 85
vs. 542 +/- 110, P = 0.17) and ADMA urinary excretion rate (mean +/- SD: 7.1 +/-
2 versus 7.2 +/- 3 MUmol/mmol creatinine, P = 0.6) were similar in HCh-II and NCh
children. Both DMA excretion rate [median (25th-75th percentile): 56.3 (46.4
109.1) vs. 45.2 (22.2-65.5) MUmol/mmol creatinine, P = 0.0004] and DMA/ADMA molar
ratio [median (25th-75th percentile): 9.2 (6.0-16.3) vs. 5.4 (3.8-9.4), P =
0.0004] were slightly but statistically significantly increased in HCh-II
children compared to NCh children. Plasma and urinary nitrite and nitrate were
similar in both groups. In HCh-II whole body DDAH activity is elevated as
compared to NCh. HCh-II children treated with drugs for hypercholesterolemia had
lower plasma ADMA levels than untreated HCh-II or NCh children, presumably via
increased DDAH activity. Differences between treated and untreated HCh-II
children were not due to differences in age. In conclusion, HCh-II children do
not have elevated ADMA plasma levels, largely due to an apparent increase in DDAH
activity. While this would tend to limit development of endothelial dysfunction,
it is not clear whether this might be medication-induced or represent a primary
change in HCh-II children.
PMID- 22075969
TI - A novel Zn(4)O-based triazolyl benzoate MOF: synthesis, crystal structure,
adsorption properties and solid state 13C NMR investigations.
AB - The newly synthesized Zn(4)O-based MOF (3)(infinity)[Zn(4)(MU(4)-O){(Metrz
pba)(2)mPh}(3)].8 DMF (1.8 DMF) of rare tungsten carbide (acs) topology exhibits
a porosity of 43% and remarkably high thermal stability up to 430 degrees C.
Single crystal X-ray structure analyses could be performed using as-synthesized
as well as desolvated crystals. Besides the solvothermal synthesis of single
crystals a scalable synthesis of microcrystalline material of the MOF is
reported. Combined TG-MS and solid state NMR measurements reveal the presence of
mobile DMF molecules in the pore system of the framework. Adsorption measurements
confirm that the pore structure is fully accessible for nitrogen molecules at 77
K. The adsorptive pore volume of 0.41 cm(3) g(-1) correlates well with the pore
volume of 0.43 cm(3) g(-1) estimated from the single crystal structure.
PMID- 22075970
TI - What is the proper precursor-to-product labeling relationship for calculating the
fractional synthetic rate of muscle triglyceride?
PMID- 22075971
TI - Expression of endothelial factors in prostate cancer: a possible role of caveolin
1 for tumour progression.
AB - Solid tumours need to induce their own vascular supply, and microvessel density
(MVD) has emerged as a prognostic factor in several tumours. We hypothesized that
mRNA levels of some endothelial factors in prostate cancer tissue would correlate
with histologically measured MVD, or other pathological parameters. Expression
levels of the endothelial factors CD31, CD34, CD105, CD144, CD146, CAV1 and
VEGFR2 were assessed by RT-qPCR in matched freshly frozen normal and tumour
tissues from 69 patients that underwent radical prostatectomy. The results were
compared to pathological parameters and the MVD in the corresponding paraffin
embedded material, as determined by immunohistochemistry against CD31 and CD34.
Comparing mRNA expression in matched normal and tumour samples, only CAV1 showed
relevant differences, being down-regulated in tumour tissues (fold change=-1.89,
P<0.0001). CAV1 down-regulation correlated with pT category (P=0.006) and the
Gleason score (P=0.041). In a univariate analysis, lower CAV1 mRNA expression was
associated with biochemical recurrence (P=0.019). By immunohistochemistry, CAV1
was mainly localized in endothelial and stromal cells and showed a weaker
staining pattern in the tumour compared to normal tissue. Furthermore, MVD
significantly correlated with tumour grade and pT category. There was no
significant association between endothelial mRNA expression and histologically
determined MVD in tumour tissues, but only a trend for CD31 mRNA (P=0.074) and an
inverse trend for CAV1 mRNA (P=0.056). In conclusion, there is only a weak
correlation between the mRNA expression of endothelial factors and MVD in
prostatic tumour tissue. However, loss of CAV1 mRNA expression may play a role in
prostate cancer progression.
PMID- 22075972
TI - Blood cardioplegia serves as a bicarbonate donor to the myocardium during
ischemia: effects of anoxia and hypercapnia on acid-base characteristics of blood
cardioplegic solution.
AB - OBJECTIVES: We investigated the alterations of acid-base characteristics of the
blood cardioplegia (BCP) solution during aortic cross-clamping in hearts arrested
with BCP and during in vitro-simulated ischemia. METHODS: Following aortic cross
clamping, the hearts of 40 patients undergoing cardiac surgery were
intermittently infused with an 18 degrees C BCP solution and finally with a 34
degrees C BCP solution prior to aortic cross-clamp release. We measured the pH,
partial CO(2) pressure (pCO(2)), [HCO(3)(-)], and [Cl(-)] of the coronary sinus
effluent in the final BCP solution. The BCP solution was assessed under in vitro
gassing at 34 degrees C with 95% N(2) + 5% CO(2) (n = 6), 50% N(2) + 50% CO(2) (n
= 3), or 100% CO(2) (n = 6). RESULTS: The coronary sinus effluent, compared with
the preinfusion BCP solution, exhibited a significantly lower pH and a greater
pCO(2) with no change in the [HCO(3)(-)] level. In vitro, the 95% N(2) + 5% CO(2)
gassing (simulated hypoxia) group exhibited a slight increase in [HCO(3)(-)] with
no change in pCO(2) or pH whereas the 50% N(2) + 50% CO(2) gassing and the 100%
CO(2) gassing (simulated hypoxia and hypercapnia) groups exhibited a significant
increase in [HCO(3)(-)] under high pCO(2)-induced acidification. CONCLUSIONS:
Under anoxia and CO(2) retention during aortic cross-clamping, the BCP solution
can be a bicarbonate donor to the myocardium.
PMID- 22075973
TI - Construction of a six-membered fused N-heterocyclic ring via a new 3-component
reaction: synthesis of (pyrazolo)pyrimidines/pyridines.
AB - A conceptually new three-component reaction was developed to construct a six
membered fused N-heterocyclic ring affording (pyrazolo)pyrimidines/pyridines as
potential inhibitors of PDE4. The reaction is catalyzed by triflic acid in acetic
acid in the presence of aerial oxygen.
PMID- 22075974
TI - The origin of the pseudogap in alpha-Ga.
AB - Density functional theory, the free-electron empty lattice approximation and the
nearly free-electron approximation are employed to investigate the electronic
properties of partially covalent alpha-Ga. Whereas free-electron-like properties
are revealed over a large energy range, a deep pseudogap at the Fermi level is
characteristic of alpha-Ga. We explain the origin of the pseudogap in terms of a
delicate interplay between the electronic states and the specific Brillouin zone
geometry.
PMID- 22075975
TI - Geranylgeranylacetone protects against myocardial ischemia and reperfusion injury
by inhibiting high-mobility group box 1 protein in rats.
AB - The high mobility group box 1 (HMGB1) protein plays an important role in
myocardial ischemia and reperfusion (I/R) injury. Geranylgeranylacetone (GGA), a
heat shock protein 72 inducer, has been reported to reduce myocardial I/R injury.
The aim of this study was to investigate the cardioprotective mechanism of GGA
during myocardial I/R injury in rats. Anesthetized male rats were treated once
with GGA (200 mg/kg, p.o.) 24 h before ischemia, and subjected to ischemia for 30
min, followed by reperfusion for 4 h. Lactate dehydrogenase (LDH), creatine
kinase (CK), malondialdehyde (MDA), superoxide dismutase (SOD) activity and
infarct size were measured. HMGB1 expression was assessed by immunoblotting. The
results showed that pre-treatment with GGA (200 mg/kg) significantly reduced the
infarct size and the levels of LDH and CK after 4 h of reperfusion (all P<0.05).
GGA also significantly inhibited the increase in MDA levels and the decrease in
SOD levels (both P<0.05). Meanwhile, GGA considerably suppressed the expression
of HMGB1 induced by I/R. The present study suggests that GGA is capable of
attenuating myocardial I/R injury by inhibiting HMGB1 expression.
PMID- 22075976
TI - Genetic diversity of Babesia bovis in virulent and attenuated strains.
AB - The aim of this study was to compare the genetic diversity of the single copy
Bv80 gene sequences of Babesia bovis in populations of attenuated and virulent
parasites. PCR/ RT-PCR followed by cloning and sequence analyses of 4 attenuated
and 4 virulent strains were performed. Multiple fragments in the range of 420 to
744 bp were amplified by PCR or RT-PCR. Cloning of the PCR fragments and sequence
analyses revealed the presence of mixed subpopulations in either virulent or
attenuated parasites with a total of 19 variants with 12 different sequences that
differed in number and type of tandem repeats. High levels of intra- and inter
strain diversity of the Bv80 gene, with the presence of mixed populations of
parasites were found in both the virulent field isolates and the attenuated
vaccine strains. In addition, during the attenuation process, sequence analyses
showed changes in the pattern of the parasite subpopulations. Despite high
polymorphism found by sequence analyses, the patterns observed and the number of
repeats, order, or motifs found could not discriminate between virulent field
isolates and attenuated vaccine strains of the parasite.
PMID- 22075978
TI - The effect of clotrimazole on energy substrate uptake and carcinogenesis in
intestinal epithelial cells.
AB - Clotrimazole has anticarcinogenic activity in several cell types. Our aims were
to investigate the anticarcinogenic effect of clotrimazole in a tumoral
intestinal epithelial (Caco-2) cell line, to compare it with the effect in a
nontumoral intestinal epithelial cell line (IEC-6 cells), and to investigate
inhibition of energy substrate uptake as a mechanism contributing to it. The
effect of clotrimazole on cell proliferation, viability and differentiation, H
deoxyglucose (H-DG), H-O-methyl-glucose (H-OMG), and C-butyrate uptake, as well
as mRNA expression levels of glucose transporters was assessed. In Caco-2 cells,
clotrimazole decreased cellular viability and proliferation and increased cell
differentiation. The effect on cell proliferation and viability was potentiated
by rhodamine123. Clotrimazole also decreased cellular viability and proliferation
in IEC-6 cells, but increased the cellular DNA synthesis rate and had no effect
on cell differentiation. Exposure of Caco-2 cells to clotrimazole (10 umol/l) for
1 and 7 days increased (by 20-30%) the uptake of H-DG and H-OMG, respectively,
but had no effect on C-butyrate uptake. The effect on H-DG and H-OMG transport
was maximal at 10 umol/l, and the pharmacological characteristics of transport
were not changed. However, clotrimazole changed the mRNA expression levels of the
facilitative glucose transporter 2 and the Na-dependent glucose cotransporter.
Clotrimazole exhibits comparable cytotoxic effects in tumoral and nontumoral
intestinal epithelial cell lines. In Caco-2 cells, the cytotoxic effect of
clotrimazole was strongly potentiated by the inhibition of oxidative
phosphorylation. Moreover, stimulation of glucose uptake might be a compensation
mechanism in response to the glycolysis inhibition caused by clotrimazole.
PMID- 22075979
TI - Vascular endothelial growth factor receptor 2 inhibition in-vivo affects tumor
vasculature in a tumor type-dependent way and downregulates vascular endothelial
growth factor receptor 2 protein without a prominent role for miR-296.
AB - The precise molecular effects that antiangiogenic drugs exert on tumor
vasculature remain to be poorly understood. We therefore set out to investigate
the molecular and architectural changes that occur in the vasculature of two
different tumor types that both respond to vascular endothelial growth factor
receptor 2 (VEGFR2) inhibitor therapy. Mice bearing Lewis lung carcinoma (LLC) or
B16.F10 melanoma were treated with vandetanib (ZD6474), a VEGFR2/epidermal growth
factor receptor (EGFR)/REarranged during Transfection (RET) kinase inhibitor,
resulting in a significant 80% reduction in tumor outgrowth. Although in LLC the
vascular density was not affected by vandetanib treatment, it was significantly
decreased in B16.F10. In LLC, vandetanib treatment induced a shift in vascular
gene expression toward stabilization, as demonstrated by upregulation of Tie2 and
N-cadherin and downregulation of Ang2 and integrin beta3. In contrast, only eNOS
and P-selectin responded to vandetanib treatment in B16.F10 vasculature.
Strikingly, vandetanib reduced protein expression of VEGFR2 in both models,
whereas mRNA remained unaffected. Analysis of miR-296 expression allowed us to
exclude a role for the recently proposed microRNA-296 in VEGFR2 posttranslational
control in LLC and B16.F10 in vivo. Our data demonstrate that VEGFR2/EGFR
inhibition through vandetanib slows down both LLC and B16.F10 tumor growth. Yet,
the underlying molecular changes in the vasculature that orchestrate the
antitumor effect differ between tumor types. Importantly, in both models,
vandetanib treatment induced loss of its pharmacological target, which was not
directly related to miR-296 expression. Validation of our observations in tumor
biopsies from VEGFR2 inhibitor-treated patients will be essential to unravel the
effects of VEGFR2 inhibitor therapy on tumor vasculature in relation to
therapeutic efficacy.
PMID- 22075980
TI - Inhibitory effect of Trolox on the migration and invasion of human lung and
cervical cancer cells.
AB - The antioxidant 6-hydroxy-2,5,7,8-tetramethylchroman-2-carboxylic acid (Trolox)
is implicated in migration and invasion of metastatic tumors. However, the
molecular mechanism underlying the effect of Trolox on metastatic cancer cells is
not known. We found that a non-cytotoxic dose of Trolox decreased phorbol 12
myristate 13-acetate (PMA)-induced invasion and migration of both A549 and HeLa
cancer cells. We also found that Trolox suppressed both the expression and the
proteolytic activity of matrix metalloproteinase-9 (MMP-9), and that the promoter
activity of PMA-induced MMP-9 was inhibited by Trolox. Our results show that
Trolox inhibits the transcriptional activity of MMP-9 by suppression of NF-kappaB
transactivation. These results indicate that Trolox inhibits NF-kappaB-mediated
MMP-9 expression, leading to the suppression of migration and invasion in lung
and cervical cancer cells. Trolox is a potential agent for clinical use in
preventing the invasion and metastasis of human malignant lung and cervical
cancers.
PMID- 22075981
TI - An infected urachal cyst--a rare diagnosis in a child with acute abdominal pain.
AB - A 2-year-old girl who presented with acute abdominal pain and spiking fever was
diagnosed with an infected urachal cyst. Ultrasonography aided the diagnosis and
the urachal remnant was removed successfully through a single laparoscopic
procedure. Treatment is through removal of the complete structure, to prevent
malignant degeneration in adulthood. CONCLUSION: Urachal cysts may cause
abdominal complaints when infected. Although rare, they should be added to the
differential diagnosis of acute abdominal pain in the paediatric patient, as this
case illustrates.
PMID- 22075982
TI - Diverse p63 and p73 isoforms regulate Delta133p53 expression through modulation
of the internal TP53 promoter activity.
AB - In response to stress, p53 binds and transactivates the internal TP53 promoter,
thus regulating the expression of its own isoform, Delta133p53alpha. Here, we
report that, in addition to p53, at least four p63/p73 isoforms regulate
Delta133p53 expression at transcriptional level: p63beta, DeltaNp63alpha,
DeltaNp63beta and DeltaNp73gamma. This regulation occurs through direct DNA
binding to the internal TP53 promoter as demonstrated by chromatin
immunoprecipitation and the use of DNA-binding mutant p63. The promoter regions
involved in the p63/p73-mediated transactivation were identified using deleted,
mutant and polymorphic luciferase reporter constructs. In addition, we observed
that transient expression of p53 family members modulates endogenous
Delta133p53alpha expression at both mRNA and protein levels. We also report
concomitant variation of p63 and Delta133p53 expression during keratinocyte
differentiation of HaCat cells and induced pluripotent stem cells derived from
mutated p63 ectodermal dysplasia patients. Finally, proliferation assays
indicated that Delta133p53alpha isoform regulates the anti-proliferative
activities of p63beta, DeltaNp63alpha, DeltaNp63beta and DeltaNp73gamma. Overall,
this study shows a strong interplay between p53, p63 and p73 isoforms to
orchestrate cell fate outcome.
PMID- 22075983
TI - BCL2A1: the underdog in the BCL2 family.
AB - B-cell lymphoma 2 (BCL2) proteins are important cell death regulators, whose main
function is to control the release of cytochrome c from mitochondria in the
intrinsic apoptotic pathway. They comprise both pro- and anti-apoptotic proteins,
which interact in various ways to induce or prevent pore formation in the outer
mitochondrial membrane. Due to their central function in the apoptotic machinery,
BCL2 proteins are often deregulated in cancer. To this end, many anti-apoptotic
BCL2 proteins have been identified as important cellular oncogenes and attractive
targets for anti-cancer therapy. In this review, the existing knowledge on B-cell
lymphoma 2-related protein A1 (BCL2A1)/Bcl-2-related gene expressed in fetal
liver (Bfl-1), one of the less extensively studied anti-apoptotic BCL2 proteins,
is summarized. BCL2A1 is a highly regulated nuclear factor kappaB (NF-kappaB)
target gene that exerts important pro-survival functions. In a physiological
context, BCL2A1 is mainly expressed in the hematopoietic system, where it
facilitates survival of selected leukocytes subsets and inflammation. However,
BCL2A1 is overexpressed in a variety of cancer cells, including hematological
malignancies and solid tumors, and may contribute to tumor progression.
Therefore, the development of small molecule inhibitors of BCL2A1 may be a
promising approach mainly to sensitize tumor cells for apoptosis and thus improve
the efficiency of anti-cancer therapy.
PMID- 22075984
TI - Nucleoporin NUP153 guards genome integrity by promoting nuclear import of 53BP1.
AB - 53BP1 is a mediator of DNA damage response (DDR) and a tumor suppressor whose
accumulation on damaged chromatin promotes DNA repair and enhances DDR signaling.
Using foci formation of 53BP1 as a readout in two human cell lines, we performed
an siRNA-based functional high-content microscopy screen for modulators of
cellular response to ionizing radiation (IR). Here, we provide the complete
results of this screen as an information resource, and validate and functionally
characterize one of the identified 'hits': a nuclear pore component NUP153 as a
novel factor specifically required for 53BP1 nuclear import. Using a range of
cell and molecular biology approaches including live-cell imaging, we show that
knockdown of NUP153 prevents 53BP1, but not several other DDR factors, from
entering the nuclei in the newly forming daughter cells. This translates into
decreased IR-induced 53BP1 focus formation, delayed DNA repair and impaired cell
survival after IR. In addition, NUP153 depletion exacerbates DNA damage caused by
replication stress. Finally, we show that the C-terminal part of NUP153 is
required for effective 53BP1 nuclear import, and that 53BP1 is imported to the
nucleus through the NUP153-importin-beta interplay. Our data define the structure
function relationships within this emerging 53BP1-NUP153/importin-beta pathway
and implicate this mechanism in the maintenance of genome integrity.
PMID- 22075985
TI - Many stimuli pull the necrotic trigger, an overview.
AB - The lab of Jurg Tschopp was the first to report on the crucial role of receptor
interacting protein kinase 1 (RIPK1) in caspase-independent cell death. Because
of this pioneer finding, regulated necrosis and in particular RIPK1/RIPK3 kinase
mediated necrosis, referred to as necroptosis, has become an intensively studied
form of regulated cell death. Although necrosis was identified initially as a
backup cell death program when apoptosis is blocked, it is now recognized as a
cellular defense mechanism against viral infections and as being critically
involved in ischemia-reperfusion damage. The observation that RIPK3 ablation
rescues embryonic lethality in mice deficient in caspase-8 or Fas-associated
protein-via-a-death-domain demonstrates the crucial role of this apoptotic
platform in the negative control of necroptosis during development. Here, we
review and discuss commonalities and differences of the increasing list of
inducers of regulated necrosis ranging from cytokines, pathogen-associated
molecular patterns, to several forms of physicochemical cellular stress. Since
the discovery of the crucial role of RIPK1 and RIPK3 in necroptosis, these
kinases have become potential therapeutic targets. The availability of new
pharmacological inhibitors and transgenic models will allow us to further
document the important role of this form of cell death in degenerative,
inflammatory and infectious diseases.
PMID- 22075986
TI - The inflammasome: in memory of Dr. Jurg Tschopp.
AB - A decade ago, Jurg Tschopp introduced the concept of the inflammasome. This
exciting discovery of a macromolecular complex that senses 'danger' and initiates
the inflammatory response contributed to a renaissance in the fields of innate
immunity and cell death. Jurg led the biochemical characterization of the
inflammasome complex and demonstrated that spontaneous hyperactivation of this
interleukin (IL)-1beta processing machinery is the molecular basis of a spectrum
of hereditary periodic fever syndromes, caused by mutated forms of the
inflammasome scaffolding receptor, NLRP3. The identification of the underlying
mechanism in these disorders has led to their now successful therapy, with the
use of the IL-1 receptor antagonist in the clinic. Jurg's pioneering work has
subsequently defined a number of inflammasome agonists ranging from microbial
molecules expressed during infection, to triggers of sterile inflammation, most
notably gout-associated uric acid crystals, asbestos, silica and nanoparticles.
More recently, Jurg introduced the critical new concept of the metabolic
inflammasome, which senses metabolic stress and contributes to the onset of the
metabolic syndrome associated with obesity and type 2 diabetes. Jurg was an
outstanding and skillful biochemist, an elegant and rigorous researcher often far
ahead of his peers. He was a truly amiable person, fair, generous and inspiring,
and will be most remembered for his infectious enthusiasm. We write this review
article on the inflammasome in his honor and dedicate it to his memory.
PMID- 22075987
TI - Caspase-2: the orphan caspase.
AB - Despite an abundance of literature on the role of caspase-2 in apoptosis, there
exists much controversy about this protease making it difficult to place caspase
2 correctly in the apoptotic cascade, and hence its role in apoptosis remains
unclear. The identification of the PIDDosome as a signaling platform for caspase
2 activation prompted intense investigation into the true role of this orphan
caspase. What has emerged is the idea that caspase-2 may not be mandatory for
apoptosis and that activation of this caspase in response to some forms of stress
has other effects on the cell such as regulation of cell cycle progression. This
idea is particularly relevent to the discovery that caspase-2 may act as a tumor
suppressor. Here, we discuss the proposed mechanisms through which caspase-2
signals, in particular those involving PIDD, and their impact on cellular fate.
PMID- 22075988
TI - Regulation of CD95/Fas signaling at the DISC.
AB - CD95 (APO-1/Fas) is a member of the death receptor (DR) family. Stimulation of
CD95 leads to induction of apoptotic and non-apoptotic signaling pathways. The
formation of the CD95 death-inducing signaling complex (DISC) is the initial step
of CD95 signaling. Activation of procaspase-8 at the DISC leads to the induction
of DR-mediated apoptosis. The activation of procaspase-8 is blocked by cellular
FLICE-inhibitory proteins (c-FLIP). This review is focused on the role in the
CD95-mediated signaling of the death effector domain-containing proteins
procaspase-8 and c-FLIP. We discuss how dynamic cross-talk between procaspase-8
and c-FLIP at the DISC regulates life/death decisions at CD95.
PMID- 22075989
TI - A supramolecular assembly formed by influenza A virus genomic RNA segments.
AB - The influenza A virus genome consists of eight viral RNAs (vRNAs) that form viral
ribonucleoproteins (vRNPs). Even though evidence supporting segment-specific
packaging of vRNAs is accumulating, the mechanism ensuring selective packaging of
one copy of each vRNA into the viral particles remains largely unknown. We used
electron tomography to show that the eight vRNPs emerge from a common 'transition
zone' located underneath the matrix layer at the budding tip of the virions,
where they appear to be interconnected and often form a star-like structure. This
zone appears as a platform in 3D surface rendering and is thick enough to contain
all known packaging signals. In vitro, all vRNA segments are involved in a single
network of intermolecular interactions. The regions involved in the strongest
interactions were identified and correspond to known packaging signals. A limited
set of nucleotides in the 5' region of vRNA 7 was shown to interact with vRNA 6
and to be crucial for packaging of the former vRNA. Collectively, our findings
support a model in which the eight genomic RNA segments are selected and packaged
as an organized supramolecular complex held together by direct base pairing of
the packaging signals.
PMID- 22075990
TI - The Mouse Genome Database (MGD): comprehensive resource for genetics and genomics
of the laboratory mouse.
AB - The Mouse Genome Database (MGD, http://www.informatics.jax.org) is the
international community resource for integrated genetic, genomic and biological
data about the laboratory mouse. Data in MGD are obtained through loads from
major data providers and experimental consortia, electronic submissions from
laboratories and from the biomedical literature. MGD maintains a comprehensive,
unified, non-redundant catalog of mouse genome features generated by distilling
gene predictions from NCBI, Ensembl and VEGA. MGD serves as the authoritative
source for the nomenclature of mouse genes, mutations, alleles and strains. MGD
is the primary source for evidence-supported functional annotations for mouse
genes and gene products using the Gene Ontology (GO). MGD provides full
annotation of phenotypes and human disease associations for mouse models
(genotypes) using terms from the Mammalian Phenotype Ontology and disease names
from the Online Mendelian Inheritance in Man (OMIM) resource. MGD is freely
accessible online through our website, where users can browse and search
interactively, access data in bulk using Batch Query or BioMart, download data
files or use our web services Application Programming Interface (API).
Improvements to MGD include expanded genome feature classifications, inclusion of
new mutant allele sets and phenotype associations and extensions of GO to include
new relationships and a new stream of annotations via phylogenetic-based
approaches.
PMID- 22075991
TI - The Gene Wiki in 2011: community intelligence applied to human gene annotation.
AB - The Gene Wiki is an open-access and openly editable collection of Wikipedia
articles about human genes. Initiated in 2008, it has grown to include articles
about more than 10,000 genes that, collectively, contain more than 1.4 million
words of gene-centric text with extensive citations back to the primary
scientific literature. This growing body of useful, gene-centric content is the
result of the work of thousands of individuals throughout the scientific
community. Here, we describe recent improvements to the automated system that
keeps the structured data presented on Gene Wiki articles in sync with the data
from trusted primary databases. We also describe the expanding contents, editors
and users of the Gene Wiki. Finally, we introduce a new automated system, called
WikiTrust, which can effectively compute the quality of Wikipedia articles,
including Gene Wiki articles, at the word level. All articles in the Gene Wiki
can be freely accessed and edited at Wikipedia, and additional links and
information can be found at the project's Wikipedia portal page:
http://en.wikipedia.org/wiki/Portal:Gene_Wiki.
PMID- 22075992
TI - OGEE: an online gene essentiality database.
AB - OGEE is an Online GEne Essentiality database. Its main purpose is to enhance our
understanding of the essentiality of genes. This is achieved by collecting not
only experimentally tested essential and non-essential genes, but also associated
gene features such as expression profiles, duplication status, conservation
across species, evolutionary origins and involvement in embryonic development. We
focus on large-scale experiments and complement our data with text-mining
results. Genes are organized into data sets according to their sources. Genes
with variable essentiality status across data sets are tagged as conditionally
essential, highlighting the complex interplay between gene functions and
environments. Linked tools allow the user to compare gene essentiality among
different gene groups, or compare features of essential genes to non-essential
genes, and visualize the results. OGEE is freely available at
http://ogeedb.embl.de.
PMID- 22075993
TI - Synthetic transactivation screening reveals ETV4 as broad coactivator of hypoxia
inducible factor signaling.
AB - The human prolyl-4-hydroxylase domain (PHD) proteins 1-3 are known as cellular
oxygen sensors, acting via the degradation of hypoxia-inducible factor (HIF)
alpha-subunits. PHD2 and PHD3 genes are inducible by HIFs themselves, suggesting
a negative feedback loop that involves PHD abundance. To identify novel
regulators of the PHD2 gene, an expression array of 704 transcription factors was
screened by a method that allows distinguishing between HIF-dependent and HIF
independent promoter regulation. Among others, the E-twenty six transcription
factor ETS translocation variant 4 (ETV4) was found to contribute to PHD2 gene
expression particularly under hypoxic conditions. Mechanistically, complex
formation between ETV4 and HIF-1/2alpha was observed by mammalian two-hybrid and
fluorescence resonance energy transfer analysis. HIF-1alpha domain mapping,
CITED2 overexpression and factor inhibiting HIF depletion experiments provided
evidence for cooperation between HIF-1alpha and p300/CBP in ETV4 binding.
Chromatin immunoprecipitation confirmed ETV4 and HIF-1alpha corecruitment to the
PHD2 promoter. Of 608 hypoxically induced transcripts found by genome-wide
expression profiling, 7.7% required ETV4 for efficient hypoxic induction,
suggesting a broad role of ETV4 in hypoxic gene regulation. Endogenous ETV4
highly correlated with PHD2, HIF-1/2alpha and several established markers of
tissue hypoxia in 282 human breast cancer tissue samples, corroborating a
functional interplay between the ETV4 and HIF pathways.
PMID- 22075994
TI - Functional and direct interaction between the RNA binding protein HuD and active
Akt1.
AB - The RNA binding protein HuD plays essential roles in neuronal development and
plasticity. We have previously shown that HuD stimulates translation. Key for
this enhancer function is the linker region and the poly(A) binding domain of HuD
that are also critical for its function in neurite outgrowth. Here, we further
explored the underlying molecular interactions and found that HuD but not the
ubiquitously expressed HuR interacts directly with active Akt1. We identify that
the linker region of HuD is required for this interaction. We also show by using
chimeric mutants of HuD and HuR, which contain the reciprocal linker between RNA
binding domain 2 (RBD2) and RBD3, respectively, and by overexpressing a dominant
negative mutant of Akt1 that the HuD-Akt1 interaction is functionally important,
as it is required for the induction of neurite outgrowth in PC12 cells. These
results suggest the model whereby RNA-bound HuD functions as an adapter to
recruit Akt1 to trigger neurite outgrowth. These data might also help to explain
how HuD enhances translation of mRNAs that encode proteins involved in neuronal
development.
PMID- 22075995
TI - Self-assembled nucleolipids: from supramolecular structure to soft nucleic acid
and drug delivery devices.
AB - This short review aims at presenting some recent illustrative examples of
spontaneous nucleolipids self-assembly. High-resolution structural investigations
reveal the diversity and complexity of assemblies formed by these bioinspired
amphiphiles, resulting from the interplay between aggregation of the lipid chains
and base-base interactions. Nucleolipids supramolecular assemblies are promising
soft drug delivery systems, particularly for nucleic acids. Regarding prodrugs,
squalenoylation is an innovative concept for improving efficacy and delivery of
nucleosidic drugs.
PMID- 22075996
TI - SNPeffect 4.0: on-line prediction of molecular and structural effects of protein
coding variants.
AB - Single nucleotide variants (SNVs) are, together with copy number variation, the
primary source of variation in the human genome and are associated with
phenotypic variation such as altered response to drug treatment and
susceptibility to disease. Linking structural effects of non-synonymous SNVs to
functional outcomes is a major issue in structural bioinformatics. The SNPeffect
database (http://snpeffect.switchlab.org) uses sequence- and structure-based
bioinformatics tools to predict the effect of protein-coding SNVs on the
structural phenotype of proteins. It integrates aggregation prediction (TANGO),
amyloid prediction (WALTZ), chaperone-binding prediction (LIMBO) and protein
stability analysis (FoldX) for structural phenotyping. Additionally, SNPeffect
holds information on affected catalytic sites and a number of post-translational
modifications. The database contains all known human protein variants from
UniProt, but users can now also submit custom protein variants for a SNPeffect
analysis, including automated structure modeling. The new meta-analysis
application allows plotting correlations between phenotypic features for a user
selected set of variants.
PMID- 22075997
TI - STITCH 3: zooming in on protein-chemical interactions.
AB - To facilitate the study of interactions between proteins and chemicals, we have
created STITCH, an aggregated database of interactions connecting over 300,000
chemicals and 2.6 million proteins from 1133 organisms. Compared to the previous
version, the number of chemicals with interactions and the number of high
confidence interactions both increase 4-fold. The database can be accessed
interactively through a web interface, displaying interactions in an integrated
network view. It is also available for computational studies through downloadable
files and an API. As an extension in the current version, we offer the option to
switch between two levels of detail, namely whether stereoisomers of a given
compound are shown as a merged entity or as separate entities. Separate display
of stereoisomers is necessary, for example, for carbohydrates and chiral drugs.
Combining the isomers increases the coverage, as interaction databases and
publications found through text mining will often refer to compounds without
specifying the stereoisomer. The database is accessible at
http://stitch.embl.de/.
PMID- 22075998
TI - ENCODE whole-genome data in the UCSC Genome Browser: update 2012.
AB - The Encyclopedia of DNA Elements (ENCODE) Consortium is entering its 5th year of
production-level effort generating high-quality whole-genome functional
annotations of the human genome. The past year has brought the ENCODE compendium
of functional elements to critical mass, with a diverse set of 27 biochemical
assays now covering 200 distinct human cell types. Within the mouse genome, which
has been under study by ENCODE groups for the past 2 years, 37 cell types have
been assayed. Over 2000 individual experiments have been completed and submitted
to the Data Coordination Center for public use. UCSC makes this data available on
the quality-reviewed public Genome Browser (http://genome.ucsc.edu) and on an
early-access Preview Browser (http://genome-preview.ucsc.edu). Visual browsing,
data mining and download of raw and processed data files are all supported. An
ENCODE portal (http://encodeproject.org) provides specialized tools and
information about the ENCODE data sets.
PMID- 22075999
TI - Response of blood pressure and blood glucose to treatment with recombinant tissue
type plasminogen activator in acute ischemic stroke: evidence from the virtual
international stroke trials archive.
AB - BACKGROUND AND PURPOSE: Elevations in blood pressure (BP) and blood glucose are
common during stroke and may represent a stress response secondary to the acute
neurological deficit. If so, they should settle more completely in recombinant
tissue-type plasminogen activator (rtPA)-treated patients in association with
improved neurological status. METHODS: We performed a controlled comparison of 24
hour declines in BP and glucose in rtPA-treated and control patients from the
Virtual Stroke International Stroke Trial Archive (VISTA) database. Twenty-four
hour falls in BP and glucose were compared using multiple regression to account
for baseline imbalances. The logarithmic transformation of glucose was used and
24-hour differences expressed as ratios of 24 hours to admission geometric means.
Two-way analysis of variance was used to test for interaction between rtPA and
early improvement for 24-hour falls in BP and blood glucose. RESULTS: BP analysis
included 5406 patients (rtPA=41%) and glucose analysis 4288 (rtPA=37%). rtPA
treated patients were younger, less likely to have a history of hypertension or
diabetes, and had more severe strokes on admission. BP and glucose were lower at
baseline in rtPA-treated patients than control subjects. On regression, rtPA
predicted significantly greater 24-hour falls in systolic BP (beta=3.9; 95% CI,
2.8-5.0), diastolic BP (beta=3.1; 95% CI, 2.4-3.9), and glucose (beta=0.97; 95%
CI, 0.95-0.99). rtPA did not interact with early neurological improvement for 24
hour falls in systolic BP (P=0.72), diastolic BP (P=0.79), or blood glucose
(P=0.51). CONCLUSIONS: A stress response does not appear to be the principal
cause of elevations in BP and glucose during stroke.
PMID- 22076001
TI - Aortic stiffness predicts functional outcome in patients after ischemic stroke.
AB - BACKGROUND AND PURPOSE: Increased aortic stiffness (measured by carotid-femoral
pulse wave velocity) and central augmentation index have been shown to
independently predict cardiovascular events, including stroke. We studied whether
pulse wave velocity and central augmentation index predict functional outcome
after ischemic stroke. METHODS: In a prospective study, we enrolled 99 patients
with acute ischemic stroke (age 63.7 +/- 12.4 years, admission National
Institutes of Health Stroke Scale score 6.6 +/- 6.6, mean +/- SD). Carotid
femoral pulse wave velocity and central augmentation index (SphygmoCor) were
measured 1 week after stroke onset. Functional outcome was evaluated 90 days
after stroke using the modified Rankin Scale with modified Rankin Scale score of
0 to 1 considered an excellent outcome. RESULTS: In univariate analysis, low
carotid-femoral pulse wave velocity (P=0.000001) and low central augmentation
index (P=0.028) were significantly associated with excellent stroke outcome. Age,
severity of stroke, presence of previous stroke, diabetes, heart rate, and
peripheral pressures also predicted stroke functional outcome. In multivariate
analysis, the predictive value of carotid-femoral pulse wave velocity (<9.4 m/s)
remained significant (OR, 0.21; 95% CI, 0.06-0.79; P=0.02) after adjustment for
age, National Institutes of Health Stroke Scale score on admission, and presence
of previous stroke. By contrast, central augmentation index had no significant
predictive value after adjustment. CONCLUSIONS: This study indicates that aortic
stiffness is an independent predictor of functional outcome in patients with
acute ischemic stroke.
PMID- 22076000
TI - Concurrent validity and reliability of retrospective scoring of the Pediatric
National Institutes of Health Stroke Scale.
AB - BACKGROUND AND PURPOSE: The Pediatric National Institutes of Health Stroke Scale
(PedNIHSS), an adaptation of the adult National Institutes of Health Stroke
Scale, is a quantitative measure of stroke severity shown to be reliable when
scored prospectively. The ability to calculate the PedNIHSS score retrospectively
would be invaluable in the conduct of observational pediatric stroke studies. The
study objective was to assess the concurrent validity and reliability of
estimating the PedNIHSS score retrospectively from medical records. METHODS:
Neurological examinations from medical records of 75 children enrolled in a
prospective PedNIHSS validation study were photocopied. Four neurologists of
varying training levels blinded to the prospective PedNIHSS scores reviewed the
records and retrospectively assigned PedNIHSS scores. Retrospective scores were
compared among raters and to the prospective scores. RESULTS: Total retrospective
PedNIHSS scores correlated highly with total prospective scores (R(2)=0.76).
Interrater reliability for the total scores was "excellent" (intraclass
correlation coefficient, 0.95; 95% CI, 0.94-0.97). Interrater reliability for
individual test items was "substantial" or "excellent" for 14 of 15 items.
CONCLUSIONS: The PedNIHSS score can be scored retrospectively from medical
records with a high degree of concurrent validity and reliability. This tool can
be used to improve the quality of retrospective pediatric stroke studies.
PMID- 22076002
TI - Activation of signal transducer and activator of transcription-3 by a peroxisome
proliferator-activated receptor gamma agonist contributes to neuroprotection in
the peri-infarct region after ischemia in oophorectomized rats.
AB - BACKGROUND AND PURPOSE: The role of the phosphorylated signal transducer and
activator of transcription-3 (p-STAT3) after cerebral ischemia by the peroxisome
proliferator-activated receptor gamma (PPARgamma) agonist pioglitazone (PGZ)
remains controversial. Whether the increase in p-STAT3 by estrogen is mediated by
the estrogen receptor alpha is also obscure. We examined the role of p-STAT3,
PPARgamma, and estrogen receptor alpha against ischemic brain damage after PGZ
treatment. METHODS: Female Wistar rats subjected or not subjected to bilateral
oophorectomy were injected with 1.0 or 2.5 mg/kg PGZ 2 days, 1 day, and 1 hour
before 90-minute middle cerebral artery occlusion-reperfusion and compared with
vehicle-control rats. RESULTS: The cortical infarct size was larger in
ovariectomized than in nonovarietomized rats; it was reduced by PGZ treatment.
Inversely with the reduction of the infarct size, PPARgamma, and p-STAT3 but not
estrogen receptor alpha in the peri-infarct area were increased in PGZ-treated
compared with vehicle-control rats. The increase in PPARgamma and p-STAT3 was
associated with the transactivation of antiapoptotic and survival genes and the
reduction of caspase-3 in this area. Inhibitors of PPARgamma or STAT3 abolished
the PGZ-induced neuroprotection and the increase in p-STAT3. More importantly, p
STAT3 increased by PGZ was bound to PPARgamma and the complex translocated to the
nucleus to dock to the response element through p-STAT3. CONCLUSIONS: Our
findings suggest that the activation in the peri-infarct region of p-STAT3 and
PPARgamma by PGZ is essential for neuroprotection after ischemia and that PGZ may
be of benefit even in postmenopausal stroke patients.
PMID- 22076003
TI - Low-molecular-weight heparin in atherosclerotic stroke: a surprising resurrection
of anticoagulants?
PMID- 22076004
TI - Low-molecular-weight heparin versus aspirin for acute ischemic stroke with large
artery occlusive disease: subgroup analyses from the Fraxiparin in Stroke Study
for the treatment of ischemic stroke (FISS-tris) study.
AB - BACKGROUND AND PURPOSE: The Fraxiparin in Stroke Study for the treatment of
ischemic stroke (FISS-tris) study showed no superiority of low-molecular-weight
heparin (LMWH) over aspirin for the primary end point (Barthel Index) in acute
ischemic stroke due to large artery occlusive disease. This study aims to
evaluate the efficacy of LMWH and aspirin in selected subgroups so as to generate
hypotheses for further studies. METHODS: The FISS-tris study was a multicenter,
randomized trial to investigate the efficacy and safety of LMWH (nadroparin
calcium 3800 antifactor Xa IU/0.4 mL subcutaneously twice daily) or aspirin (160
mg once daily) for the treatment of patients with acute ischemic stroke and large
artery occlusive disease. The primary outcome was the Barthel Index score
dichotomized at 85 6 months poststroke. Exploratory subgroup analysis was
performed using different levels of baseline characteristics and the distribution
of symptomatic arteries. RESULTS: Compared with aspirin, LMWH improved outcome
among older patients >68 years (P=0.043; OR, 1.86; 95% CI, 1.02-3.41) without
ongoing antiplatelet treatment on admission (P=0.029; OR, 1.85; 95% CI, 1.06
3.21) and with symptomatic posterior circulation arterial disease (P=0.001; OR,
5.76; 95% CI, 2.00-16.56). CONCLUSIONS: Our findings suggest that LMWH may be of
benefit in certain subgroups of patients with acute cerebral infarct and large
artery occlusive disease. Hence, further investigation of LMWH may be justified
in subgroups such as the elderly, nonusers of antiplatelet agents, and patients
with posterior circulation stenosis. CLINICAL TRIAL REGISTRATION: URL:
www.strokecenter.org/trials. Unique identifier: registration no. 493.
PMID- 22076005
TI - Moderate dietary restriction reduces p53-mediated neurovascular damage and
microglia activation after hypoxic ischemia in neonatal brain.
AB - BACKGROUND AND PURPOSE: Neurovascular damage, including neuronal apoptosis and
blood-brain barrier (BBB) damage, and microglia activation account for the
hypoxic-ischemia (HI) susceptibility in neonatal brain. The p53 upregulation is
involved in apoptosis, endothelial cell damage, and microglia activation. We
hypothesized that underweight induced by dietary restriction (DR) protects
against HI in rat pups by attenuating p53-mediated neurovascular damage. METHODS:
Male rat pups were grouped as normal litter (NL) size (12 pups/dam), DR (18
pups/dam), and extreme DR (24 pups/dam) from postnatal day 1 and subjected to HI
on postnatal day 7. Immunohistochemistry and immunoblotting were used to
determine p53, phospho-murine double minute-2, caspases, BBB damage and microglia
activation, and immunofluorescence to determine the cellular distribution of p53.
Pharmacological approaches were used to regulate p53. RESULTS: The NL, DR, and
extreme DR pups had similar TUNEL-positive cells and caspases on postnatal day 7
and comparable learning performance at adulthood. After HI, the DR-HI, but not
extreme DR-HI, pups had significantly lower p53, higher phospho-murine double
minute-2, lower cleaved caspases, less BBB damage and microglia activation, and
less brain volume loss than NL-HI pups. In NL-HI pups, p53 expression was located
mainly in the neurons, endothelial cells, and microglia. The p53 blockage by
pifithrin-alpha in NL-HI pups decreased apoptosis, BBB damage, and microglia
activation, and was neuroprotective. In contrast, upregulating p53 by nutlin-3 in
DR-HI pups increased apoptosis, BBB damage, and microglia activation, and
worsened brain damage. CONCLUSIONS: Moderate DR, but not extreme DR, reduces p53
mediated neurovascular damage after HI and confers long-term protection in
neonatal brain.
PMID- 22076006
TI - Plasmalemma permeability and necrotic cell death phenotypes after intracerebral
hemorrhage in mice.
AB - BACKGROUND AND PURPOSE: Traumatic and ischemic brain injury induce plasmalemma
permeability and necrosis; however, no studies have examined these aspects of
cellular injury in intracerebral hemorrhage models. METHODS: In vivo propidium
iodide (PI) and YOYO-1 were used to assess plasmalemma damage after collagenase
induced intracerebral hemorrhage in mice. Ex vivo aspartylglutamylvalylaspartic
acid, terminal deoxynucleotidyltransferase-mediated dUTP nick end labeling, and
electron microscopy were used to assess the relationship between plasmalemma
permeability and mode of cell death. Cell types vulnerable to plasmalemma damage
were determined by immunohistochemistry. RESULTS: Plasmalemma permeability was
first detected in the lesion at 1 to 3 hours and peaked at 48 to 72 hours.
Neurons and IBA-1-positive cells with morphological features of monocytes were
sensitive, whereas resident microglia and astrocytes were resistant to
plasmalemma permeability. PI+ cells colocalized with fluorescent-labeled caspase
substrates and terminal deoxynucleotidyltransferase-mediated dUTP nick end
labeling beginning at 3 to 6 hours. At 48 hours, greater than half of injured
cells were PI+/aspartylglutamylvalylaspartic acid- or PI+/terminal
deoxynucleotidyltransferase-mediated dUTP nick end labeling- suggesting necrosis,
and <5% were PI-/terminal deoxynucleotidyltransferase-mediated dUTP nick end
labeling+ or PI-/aspartylglutamylvalylaspartic acid+. Electron microscopy
confirmed ultrastructural features of necrosis at 24 hours after intracerebral
hemorrhage, high mobility group box protein-1 was released from permeable cells,
and mice deficient in receptor interacting protein kinase (RIPK) 3, a known
necrosis trigger, had 50% less PI+ cells at 24 hours. Permeable cells remained in
the brain for at least 24 hours with <10% spontaneous resealing. CONCLUSIONS:
Necrosis contributes to cell demise after intracerebral hemorrhage. Programmed
necrosis and plasmalemma damage may represent novel therapeutic targets to
prevent cell death or rescue injured cells after intracerebral hemorrhage.
PMID- 22076008
TI - Relative survival after transient ischaemic attack: results from the Program of
Research Informing Stroke Management (PRISM) study.
AB - BACKGROUND AND PURPOSE: There is a lack of modern-day data quantifying the effect
of transient ischemic attack (TIA) on survival, and recent data do not take into
account expected survival. METHODS: Data for 22 157 adults hospitalized with a
TIA from July 1, 2000, to June 30, 2007, in New South Wales, Australia, were
linked with registered deaths to June 30, 2009. We estimated survival relative to
the age- and sex-matched general population up to 9-years after hospitalization
for TIA comparing relative risk of excess death between selected subgroups.
RESULTS: At 1 year, 91.5% of hospitalized patients with TIA survived compared
with 95.0% expected survival in the general population. After 5 years, observed
survival was 13.2% lower than expected in relative terms. By 9 years, observed
survival was 20% lower than expected. Females had higher relative survival than
males (relative risk, 0.79; 95% CI, 0.69-0.90; P<0.001). Increasing age was
associated with an increasing risk of excess death compared with the age-matched
population. Prior hospitalization for stroke (relative risk, 2.63; 95% CI, 1.98
3.49) but not TIA (relative risk, 1.42; 95% CI, 0.86-2.35) significantly
increased the risk of excess death. Of all risk factors assessed, congestive
heart failure, atrial fibrillation, and prior hospitalization for stroke most
strongly impacted survival. CONCLUSIONS: This study is the first to quantify the
long-term effect of hospitalized TIA on relative survival according to age, sex,
and medical history. TIA reduces survival by 4% in the first year and by 20%
within 9 years. TIA has a minimal effect on mortality in patients <50 years but
heralds significant reduction in life expectancy in those >65 years.
PMID- 22076009
TI - Acute hemodynamic disturbances during lumbar spine surgery.
PMID- 22076007
TI - Differential susceptibility to axonopathy in necrotic and non-necrotic perinatal
white matter injury.
AB - BACKGROUND AND PURPOSE: White matter injury (WMI) is the leading cause of brain
injury in preterm survivors and results in myelination failure. Although axonal
degeneration occurs in necrotic lesions, the role of axonopathy in myelination
failure remains controversial for diffuse non-necrotic WMI, which is currently
the major form of WMI. We determined the burden of axonopathy in diffuse lesions.
METHODS: We analyzed WMI in a preterm fetal sheep model of global cerebral
ischemia that replicates the relative burden of necrotic and non-necrotic human
WMI. WMI was analyzed at 1 or 2 weeks after ischemia and identified by ex vivo
high-field (11.7 Tesla) magnetic resonance imaging of fixed brain tissue. Axonal
integrity was analyzed by immunohistochemical detection of axon injury markers
and by transmission electron microscopy to quantify axon loss and degeneration in
magnetic resonance imaging-defined lesions. RESULTS: Axonal degeneration, defined
by staining for neurofilament protein and beta-amyloid precursor protein, was
restricted to discrete necrotic foci with robust microglial activation.
Unexpectedly, axonal degeneration was not visualized in the major form of WMI,
which comprised large non-necrotic lesions with diffuse reactive astrogliosis. In
these major lesions, quantitative electron microscopy studies confirmed no
significant differences in the density of intact and degenerating axons or in the
distribution of axon diameters relative to controls. CONCLUSIONS: The mechanism
of myelination failure differs significantly in perinatal WMI dependent on the
burden of necrosis. Axonopathy is associated with focal necrotic injury but not
with primary diffuse non-necrotic lesions, which supports that intact axons in
the primary lesions are potential targets for myelination.
PMID- 22076010
TI - A bridge too far.
PMID- 22076011
TI - Risk stratification schemes, anticoagulation use and outcomes: the risk-
treatment paradox in patients with newly diagnosed non-valvular atrial
fibrillation.
AB - OBJECTIVE: To examine whether warfarin use and outcomes differ across CHADS(2)
and CHA(2)DS(2)-VASc risk strata for non-valvular atrial fibrillation (NVAF).
DESIGN: Population-based cohort study using linked administrative databases in
Alberta, Canada. SETTING: Inpatient and outpatient. PATIENTS: 42,834 consecutive
patients >= 20 years of age with newly diagnosed NVAF. MAIN OUTCOME MEASURES:
Cerebrovascular events and/or mortality in the first year after diagnosis.
RESULTS: Of 42,834 NVAF patients, 22.7% were low risk on the CHADS(2) risk score
(0), 27.5% were intermediate risk (1), and 49.8% were high risk (>= 2). The
CHA(2)DS(2)-VASc risk score reclassified 16,722 patients such that 7.8% were
defined low risk, 13.8% intermediate risk and 78.4% high risk. Of the elderly
cohort (>= 65 years) with definite NVAF visits (at least two encounters 30 days
apart, n = 8780), 49% were taking warfarin within 90 days of diagnosis. Warfarin
use did not differ across risk strata using either the CHADS(2) (p for trend =
0.85) or CHA(2)DS(2)-VASC (p = 0.35). In multivariable adjusted analyses,
warfarin use was associated with substantially lower rates of death or
cerebrovascular events for patients with CHADS(2) scores of 1 (OR 0.52, 95% CI
0.41 to 0.67) or >= 2 (OR 0.61, 95% CI 0.53 to 0.71), or CHA(2)DS(2)-VASc scores
of >= 2 (OR 0.60, 95% CI 0.53 to 0.68). CONCLUSIONS: In elderly patients with
NVAF and elevated CHADS(2) or CHA(2)DS(2)-VASC scores, warfarin users exhibited
lower rates of cerebrovascular events and mortality. However, warfarin use did
not differ across risk strata, another example of the risk--treatment paradox in
cardiovascular disease.
PMID- 22076013
TI - Systems of care for ST-elevation myocardial infarction in India.
AB - The prevalence of coronary artery disease and ST-elevation myocardial infarction
(STEMI) are increasing in India. Although recent publications have focused on
improving preventive measures in developing countries, less attention has been
placed on the acute management of STEMI. Recent policy changes in India have
provided new opportunities to address existing barriers but require greater
investment and support in the coming years.
PMID- 22076014
TI - High platelet reactivity to multiple agonists during aspirin and clopidogrel
treatment is indicative of a global hyperreactive platelet phenotype.
PMID- 22076015
TI - The neglected role of blood pressure in acute heart failure syndrome.
PMID- 22076017
TI - Final kissing balloon inflations after main vessel stenting in coronary
bifurcations: not always, but sometimes.
PMID- 22076016
TI - Very early diagnosis of chest pain by point-of-care testing: comparison of the
diagnostic efficiency of a panel of cardiac biomarkers compared with troponin
measurement alone in the RATPAC trial.
AB - OBJECTIVE: To assess the impact of triple marker testing on patient management
and the diagnostic efficiencies of different biomarker strategies examined.
DESIGN: A prospective randomised trial of triple marker testing by point-of-care
testing (POCT); the Randomised Assessment of Panel Assay of Cardiac markers
(RATPAC) study. SETTING: Six emergency departments. PATIENTS: Low-risk patients
presenting with chest pain to diagnostic assessment with a cardiac panel measured
by POCT or to diagnosis when biomarker measurement was based on central
laboratory testing. Interventions 1125 patients were randomly assigned to POCT
measurement of the triple marker panel of cardiac troponin I (cTnI), myoglobin
and the MB isoenzyme of creatine kinase (CK-MB) on admission and 90 min from
admission. MAIN OUTCOME MEASURES: Myocardial infarction (MI) was defined by the
universal definition of MI. The following diagnostic strategies were compared by
receiver operator characteristic (ROC) curve analysis and comparison of area
under the curve (AUC): individual marker values, change (Delta) in CK-MB and
myoglobin and the combination of presentation or 90 min value plus Delta value.
RESULTS: Admission sample measurement of cTnI was the most diagnostically
efficient AUC 0.96 (0.93-0.98) with areas under the ROC curve statistically
significantly greater than CK-MB 0.85 (0.80-0.90) and myoglobin 0.75 (0.68-0.81).
At 90 min cTnI measurement had the highest AUC 0.95 (0.87-1.00) but was
statistically significantly different only from Deltamyoglobin and DeltaCK-MB.
CONCLUSION: Measurement of cTnI alone is sufficient for diagnosis. Measurement of
a marker panel does not facilitate diagnosis.
PMID- 22076019
TI - Effects of atorvastatin on endothelial function and the expression of
proinflammatory cytokines and adhesion molecules in young subjects with
successfully repaired coarctation of aorta.
AB - OBJECTIVE: To investigate the effects of atorvastatin on endothelial function and
low-grade systemic inflammation in subjects with successful surgery for aortic
coarctation repair (SCR). DESIGN: Open-label study. SETTING: Outpatients visiting
the adult congenital heart disease department of our hospital. PATIENTS: 34 young
people with SCR. INTERVENTIONS: Patients with SCR received atorvastatin 10 mg/day
(n=17) or no treatment (n=17) for 4 weeks. At baseline and at 4 weeks,
endothelial function was assessed by flow-mediated dilatation (FMD) of the right
brachial artery, and blood samples were obtained. Serum levels of interleukin
(IL) 1b, IL-6 and soluble vascular cell adhesion molecule-1 (sVCAM-1) were
determined by ELISA. MAIN OUTCOME MEASURES: Effects of treatment on FMD and serum
levels of IL-1b, IL-6 and sVCAM-1. RESULTS: FMD in the atorvastatin group was
significantly improved after 4 weeks (from 6.46+/-0.95% to 11.24+/-1.38%,
p<0.01), while remaining unchanged in the control group (from 6.74+/-0.58% to
6.95+/-0.53%, p=NS). Even though atorvastatin had no effect on serum IL-6 levels
(0.62 (0.37-0.88) pg/ml to 0.53 (0.28-0.73) pg/ml, p=NS), it significantly
reduced circulating levels of IL-1b (from 1.17 (0.92-1.77) pg/ml to 1.02 (0.75
1.55) pg/ml, p<0.05) and sVCAM-1 (from 883.4 (660.3-1093.1) ng/ml to 801.4 (566.7
1030.2) ng/ml, p<0.05). No changes were seen in serum levels of IL-6, IL-1b and
sVCAM-1 in the control group after 4 weeks compared with baseline (p=NS for all).
CONCLUSIONS: Atorvastatin treatment for 4 weeks in subjects with SCR
significantly improved endothelial function and suppressed systemic inflammatory
status by decreasing circulating levels of IL-1b and sVCAM-1.
PMID- 22076020
TI - Mistaken conclusions.
PMID- 22076021
TI - Cost-effectiveness of transcatheter aortic valve replacement in patients
ineligible for conventional aortic valve replacement.
AB - OBJECTIVE: To assess the cost-effectiveness of transcatheter aortic valve
implantation (TAVI) compared with medical management in patients with severe
aortic stenosis who are ineligible for conventional aortic valve replacement
(SAVR) from the perspective of the UK National Health Service. DESIGN:
Probabilistic decision analytical model. METHODS: A decision analytical model was
developed to assess the costs and benefits associated with both interventions
over a 10-year time horizon. A literature review was performed to identify
relevant clinical evidence. Health-related quality of life and mortality were
included using data from the PARTNER clinical trial (cohort B). Unit costs were
taken from national databases. Costs and benefits were discounted at 3.5% per
year, and extensive sensitivity analyses (probabilistic and deterministic) were
performed to explore the impact of uncertainty on the cost-effectiveness
estimates. MAIN OUTCOME MEASURE: Incremental cost-effectiveness ratio (ICER) with
benefits expressed as quality-adjusted life years (QALYs). RESULTS: The base case
ICER was approximately L16,100 per QALY gained. At a cost-effectiveness threshold
of L20,000 per QALY gained, the probability that TAVI was cost-effective compared
with medical management was 1.00. The results were robust to changes in key
clinical parameters as well as choice of baseline survival data. The observed
PARTNER survival data only have to be extrapolated for 2 years to generate an
ICER below L30 000 per QALY gained, which is the upper value of the threshold
range used by the National Institute for Health and Clinical Excellence in the
UK. CONCLUSIONS: TAVI is highly likely to be a cost-effective treatment for
patients with severe aortic stenosis who are currently ineligible for SAVR.
PMID- 22076022
TI - Prevalence and outcome of subclinical rheumatic heart disease in India: the
RHEUMATIC (Rheumatic Heart Echo Utilisation and Monitoring Actuarial Trends in
Indian Children) study.
AB - OBJECTIVE: To study the prevalence and medium term outcome of subclinical
rheumatic heart disease (RHD) in India. DESIGN: Cross sectional echocardiographic
screening study. SETTING: School children aged 5-15 years living in rural areas
of north India. PATIENTS: A cross sectional echocardiographic screening study was
carried out among 6270 randomly selected school children aged 5-15 years (10.8 +/
2.6 years; 52.7% male). Of all the abnormal cases, 100 children (78%) were
restudied at a mean follow-up of 15.4 +/- 6.6 months. INTERVENTION:
Echocardiographic screening. MAIN OUTCOME MEASURE: Echocardiography-Doppler
criteria based prevalence of RHD. RESULTS: Clinical examination detected mitral
regurgitation in five patients and the estimated prevalence of clinical RHD was
0.8/1000 school children. Echocardiography-Doppler diagnosed RHD in 128 cases,
giving a prevalence of 20.4/1000 school children (95% CI 16.9 to 23.9/1000
children). On multivariate analysis, older age (OR 1.93, 95% CI 1.29 to 2.88; p =
0.001), female sex (OR 1.84, 95% CI 1.25 to 2.72; p = 0.002) and government
funded school student, which is a surrogate measure of lower socioeconomic status
(OR 1.55, 95% CI 1.02 to 2.34; p = 0.039) were found to be independent predictors
of RHD. On follow up, the severity of subclinical RHD was non-progressive in 68
children (68%) while it worsened in four (4%) and regressed in 28 children (28%).
CONCLUSIONS: The prevalence of RHD is several fold higher using echocardiographic
screening compared with clinical examination. The prevalence is higher among
girls and children of lower socioeconomic status. In the majority of cases,
subclinical RHD appears to be non-progressive on medium term follow up. Routine
echocardiographic screening may be indicated in populations at high risk of RHD.
PMID- 22076023
TI - Reading Skills in Children with Multichannel Cochlear-lmplant Experience.
AB - Forty children with prelingual, profound deafness who received the Nucleus
multichannel cochlear implant between the ages of 2 and 13 years participated in
this study. The children had an average of 63.3 months (SD=24 months) of
experience with their cochlear implants. A majority of the children used
simultaneous communication and attended public-school programs at the time of
testing. Reading achievement was assessed using the Paragraph Comprehension
subtest of the Woodcock Reading Mastery Test-Revised, Form G (1987). This study
compared the reading-achievement levels of this group of cochlear-implant users
with the results of previous studies of children with profound hearing losses who
did not have the benefit of cochlear implants. Results indicated that nearly one
half of the children in this study were reading at or within 8 months of their
grade level. The reading-grade quotient of .74 was calculated based upon the
slope of the regression line for the plot of years in school and reading grade
level achieved. This finding indicates that using a cochlear implant has a
positive effect on reading achievement level.
PMID- 22076025
TI - Symbionts and pathogens: what is the difference?
AB - The ecological relationships that organisms establish with others can be
considered as broad and diverse as the forms of life that inhabit and interact in
our planet. Those interactions can be considered as a continuum spectrum, ranging
from beneficial to detrimental outcomes. However, this picture has revealed as
more complex and dynamic than previously thought, involving not only factors that
affect the two or more members that interact, but also external forces, with
chance playing a crucial role in this interplay. Thus, defining a particular
symbiont as mutualist or pathogen in an exclusive way, based on simple rules of
classification is increasingly challenging if not unfeasible, since new
methodologies are providing more evidences that depict exceptions, reversions and
transitions within either side of this continuum, especially evident at early
stages of symbiotic associations. This imposes a wider and more dynamic view of a
complex landscape of interactions.
PMID- 22076026
TI - A Statistical Approach to Thermal Management of Data Centers Under Steady State
and System Perturbations.
AB - Temperature control for a large data center is both important and expensive. On
the one hand, many of the components produce a great deal of heat, and on the
other hand, many of the components require temperatures below a fairly low
threshold for reliable operation. A statistical framework is proposed within
which the behavior of a large cooling system can be modeled and forecast under
both steady state and perturbations. This framework is based upon an extension of
multivariate Gaussian autoregressive hidden Markov models (HMMs). The estimated
parameters of the fitted model provide useful summaries of the overall behavior
of and relationships within the cooling system. Predictions under system
perturbations are useful for assessing potential changes and improvements to be
made to the system. Many data centers have far more cooling capacity than
necessary under sensible circumstances, thus resulting in energy inefficiencies.
Using this model, predictions for system behavior after a particular component of
the cooling system is shut down or reduced in cooling power can be generated.
Steady-state predictions are also useful for facility monitors. System traces
outside control boundaries flag a change in behavior to examine. The proposed
model is fit to data from a group of air conditioners within an enterprise data
center from the IT industry. The fitted model is examined, and a particular unit
is found to be underutilized. Predictions generated for the system under the
removal of that unit appear very reasonable. Steady-state system behavior also is
predicted well.
PMID- 22076024
TI - Gold nanoparticles: preparation, properties, and applications in
bionanotechnology.
AB - Gold nanoparticles (AuNPs) are important components for biomedical applications.
AuNPs have been widely employed for diagnostics, and have seen increasing use in
the area of therapeutics. In this mini-review, we present fabrication strategies
for AuNPs and highlight a selection of recent applications of these materials in
bionanotechnology.
PMID- 22076027
TI - Cross-effects of nickel contamination and parasitism on zebra mussel physiology.
AB - Aquatic organisms are exposed to pollution which may make them more susceptible
to infections and diseases. The present investigation evaluated effects of nickel
contamination and parasitism (ciliates Ophryoglena spp. and intracellular
bacteria Rickettsiales-like organisms), alone and in combination, on biological
responses of the zebra mussel Dreissena polymorpha, and also the infestation
abilities of parasites, under laboratory controlled conditions. Results showed
that after 48 h, more organisms were infected in nickel-exposed groups, which
could be related to weakening of their immune system. Acting separately, nickel
contamination and infections were already stressful conditions; however, their
combined action caused stronger biological responses in zebra mussels. Our data,
therefore, confirm that the parasitism in D. polymorpha represents a potential
confounding factor in ecotoxicological studies that involve this bivalve.
PMID- 22076028
TI - Insecticides reduce survival and the expression of traits associated with
carnivory of carnivorous plants.
AB - While agrochemical pollution is thought to be an important conservation threat to
carnivorous plants, the effects of insecticides on these taxa have not been
quantified previously. Using a combination of lab- and field-based experiments,
we tested the effects of commercial and technical grades of three widely used
insecticides (carbaryl, lambda-cyhalothrin, and malathion) on survival and the
expression of traits associated with carnivory of pink sundews (Drosera
capillaris) and Venus flytraps (Dionaea muscipula). Commercial grades were
generally more harmful than technical grades under lab and field conditions, but
all three insecticides were capable of reducing both survival and the expression
of traits associated with carnivory within recommended application rates.
However, pink sundews appeared to be more susceptible to insecticides than Venus
flytraps, perhaps because of larger numbers of digestive glands on the leaf
surfaces. We make several recommendations for future research directions, such as
examining the long-term effects of insecticides on carnivorous plant populations,
for example in terms of growth rates and fitness. Additionally, future research
should include representative species from a wider-range of carnivorous plant
growth forms, and explore the mechanism by which insecticides are harming the
plants. Given the effects we observed in the present study, we suggest that the
use of insecticides should be carefully managed in areas containing vulnerable
carnivorous plant species.
PMID- 22076029
TI - Treatment of cancer cachexia in mice by combination of dsRNA-dependent protein
kinase inhibitor and medroxyprogesterone acetate.
AB - Inhibitor of dsRNA-dependent protein kinase (PKRI) and medroxyprogesterone
acetate (MPA) improve cancer cachexia via different mechanisms. We aimed to
compare these two drugs, alone or in combination, in cancer cachexia in mice.
Forty male BABL/c mice aged 6-8 weeks were randomly divided into PKRI, MPA,
PKRI+MPA, placebo, and healthy control groups. The first 4 groups were injected
with colon-26 adenocarcinoma and fed for 12 days and then treated with PKRI and
MPA alone or in combination for 7 days. Body weight, tumor volume, wet weight of
gastrocnemius muscle, serum levels of nutritional markers and cytokines were
measured. The tumor growth (volume and weight) of mice treated with PKRI, MPA
alone or PKRI+MPA was slower than that of placebo group. Wet weight of
gastrocnemius muscle was significantly higher in PKRI and PKRI+MPA-treated than
in placebo animals (P<0.01). All tumor-bearing mice had a significantly lower
level of blood glucose, higher level of serum triglyceride and lower level of
serum albumin compared with healthy control (P<0.001). However, PKRI, MPA and
PKRI+MPA groups had a significant higher level of blood glucose and lower level
of serum triglyceride compared with placebo group (P<0.001). All tumor bearing
mice had a significant higher level of serum TNF-alpha, IL-1 and IL-6 compared
with healthy control (P<0.001). Serum level of TNF-alpha and IL-6 was
significantly lower in PKRI and PKRI+MPA-treated than in placebo animals
(P<0.01). PKRI alone and combination therapy with PKRI and MPA reduce tumor
growth and may alleviate cachexia.
PMID- 22076030
TI - TUNEL-positive cells in the surgical border of an amputation due to infected
diabetic foot.
AB - Diabetic infected foot is the outcome of progressive vascular and neurological
damage caused by persistent chronic hyperglycemia. Due to acute hypoxia and
infection, the tissues develop extensive necrosis and gangrene, which often
require amputation. The decision regarding the level of amputation relies mainly
on the personal experience of the surgeon who must identify the healthy tissue
without necrosis. However, tissue cells under stress may succumb before clear
evidence of necrosis is present. In this study, dying cells with DNA damage were
identified in the necrotic lesions and surgical borders of amputations.
Therefore, the main purpose of this study was to identify apoptosis in the
surgical borders of amputations required to treat infected diabetic foot.
Apoptosis was identified by terminal deoxynucleotidyl transferase-mediated bio
dUTP nick-end labeling (TUNEL) in the superficial and deep tissues of wounds, and
in the surgical borders of 10 consecutive adult patients with diabetes mellitus
type 2 (DM2) who underwent amputation due to infected diabetic foot. The severity
of the disease was classified by the Acute Physiological and Chronic Health
Evaluation II (APACHE II) score on admission, and laboratory data were collected
and bacteriological cultures were obtained from the lesions. The ankle/arm blood
pressure index was measured, the blood flow in the affected limb was evaluated by
high-resolution ultrasonography and color Doppler and pulse oximetry were
performed during surgery. A total of 5 males and 5 females, aged 45-84 years
(58.8 +/- 14.1), were included. The APACHE II score was 2-18 points (8 +/- 5.7).
A total of 9 patients developed sepsis and 2 succumbed. A total of 5 patients
required above-ankle amputation, and 5 required toe disarticulation. The
ankle/arm blood pressure index ranged from 0.23-0.85 (0.51 +/- 0.23). Apoptotic
cells were found in ulcers and abscesses, and in areas without necrosis. In the
surgical borders of the amputations, apoptotic cells were found in skeletal
muscle, blood vessels and peripheral nerves, particularly Schwann cells.
Morphometric analysis revealed that the extent of apoptosis was 2-3 logarithms
higher in the surgical borders of the infected diabetic foot compared to the
venous ulcers, which were used as the reference. In conclusion, apoptosis was
identified in regenerating tissues within diabetic foot wounds and in the
surgical borders of amputations, where the surgeon considered the tissues to be
undamaged. This information suggests that apoptosis may be present before visible
signs of necrosis appear in the diabetic foot and may be caused by hypoxia,
acidosis or proinflammatory cytokines. The extent of apoptosis in tissues
proximal to necrotic areas may anticipate the development of diabetic foot and
help the surgeon to make decisions regarding the need and extent of amputation.
PMID- 22076031
TI - Glucocorticoid sensitivity in mood disorders.
AB - In this review, we provide an overview of recent literature on glucocorticoid
(GC) sensitivity in mood disorders. Assessing GC sensitivity is often performed
by measuring the cortisol awakening rise (CAR), by challenging the hypothalamic
pituitary-adrenal (HPA) axis using a dexamethasone suppression test (DST) or a
dexamethasone/cortisol-releasing hormone test (DEX/CRH); more recently by
measuring cortisol as a retrospective calendar in scalp hair. The main findings
in mood disorders are higher mean cortisol levels in hair samples and a higher
CAR, showing a hyperactivity of the HPA axis. This is in line with the mild
resistance for GCs previously observed in challenge tests during mood episodes.
GC sensitivity is partly determined by polymorphisms in the genes encoding
receptors and other proteins involved in the regulation of the HPA axis. We
shortly discuss the glucocorticoid receptor, as well as the mineralocorticoid
receptor, the cortisol-releasing hormone receptor-1, and the glucocorticoid
receptor co-chaperone FKBP5. Data clearly indicate genetic changes, along with
epigenetic changes which influence the set-point and regulation of the HPA axis.
Early trauma, as well as influences in utero, appears to be important. Future
research is necessary to further clarify the biological background and
consequences of an individual's cortisol exposure in relation to mood.
PMID- 22076032
TI - Accessory mental nerve: case report, review and its role in trigeminal neuralgia.
AB - The presence of accessory mental nerve (AMN) is rare and only few cases have been
reported. Peripheral neurectomy of the mental nerve is one of the treatments of
choice in cases of trigeminal neuralgia (TGN) affecting the mental nerve. Failure
to remove the mental nerve with all its branches completely is the main reason
behind its regrowth leading to recurrence of the disease. Presence of AMN may be
missed out during routine surgery leading to persistence of the neuralgic pain
associated with the AMN. The purpose of this article is to present a case where
an AMN was found accidently after excision of the mental nerve in a case of TGN.
The AMN was dissected to its complete length and excised. The patient was
followed up on monthly basis and there was no recurrence found 24 months
postoperatively.
PMID- 22076033
TI - Complexation of novel diglycolamide functionalized calix[4]arenes: unusual
extraction behaviour, transport, and fluorescence studies.
AB - Three diglycolamide functionalized calix[4]arenes (calix[4]-nDGA) were
synthesized and evaluated for their extraction behaviour towards
lanthanide/actinide ions. Exceptionally high D(Am) and D(Pu) values indicate
these radiotoxic elements can be selectively removed from nuclear waste
solutions. Transport and laser induced fluorescence studies indicated strong
complexation of the trivalent metal ions with the calix[4]-4DGA ligand.
PMID- 22076034
TI - Maspin increases Ku70 acetylation and Bax-mediated cell death in cancer cells.
AB - Ku70, a DNA repair protein, was recently identified as a critical anti-apoptotic
protein that inhibits Bax translocation to mitochondria. The dissociation of Bax
from Ku70 is essential for the apoptotic activity of Bax. Here, we show that
maspin, a tumor suppressor protein frequently lost in cancer, regulates this
process. Maspin increased cell death in a Ku70 acetylation-dependent manner.
Maspin inhibited histone deacetylase 1 (HDAC1) and thus increased the acetylation
of Ku70 and the dissociation of Bax from Ku70, which led to the induction of
apoptosis. These results reveal maspin as a Ku70-interacting molecule and provide
the basis for a new endogenous acetylation-based control mechanism that reduces
Ku70-mediated sequestration of Bax from mitochondria.
PMID- 22076035
TI - Cobedding of twins or higher-order multiples: NANN position statement #3053.
PMID- 22076036
TI - Group medical claims as a source of information on worker health and potentially
work-related diseases.
AB - OBJECTIVE: To help address underrecognition of occupational illnesses and support
planning of workplace health initiatives. METHODS: Data from Highmark Inc., a
health care insurer headquartered in Pittsburgh and Camp Hill, Pennsylvania, was
used to calculate age and gender-adjusted rates of 15 diseases by industry and
broad industry sector. RESULTS: Significant industry differences in disease rates
were observed, some corresponding to known differences in workplace risk factors.
CONCLUSION: Group medical claims offer timely, relatively low cost, longitudinal
data on rates of physician-diagnosed cases and costs of many diseases for large
populations. Limitations of medical claims data include inaccuracies in industry
coding, lack of occupation codes, and lack of key variables that affect health.
Yet, some elevated industry rates suggest potential new targets for wellness
programs and evaluation of possible workplace health risks.
PMID- 22076037
TI - Messenger RNA expression and genetic polymorphisms of cell cycle control genes
and chromosomal aberrations in Chinese vinyl chloride monomer-exposed workers.
AB - OBJECTIVE: To evaluate the expressions of p53, p21, and CCND1 in the peripheral
blood lymphocytes of vinyl chloride monomer (VCM)-exposed workers and potential
relationships with their exposures, polymorphisms, and chromosomal aberrations.
METHODS: The study was performed on 77 occupationally VCM-exposed workers and 43
unexposed controls. The quantities of mRNA expression of p53, p21, and CCND1
genes were detected by real-time polymerase chain reaction. RESULTS: p53 mRNA
expression of VCM-low- and high-exposure groups was significantly lower than that
of nonexposed group (P < 0.001), but p21 mRNA expression of the two VCM-exposed
groups was significantly higher than that of the nonexposed group (P < 0.001).
This study did not find the relationship between chromosomal aberrations,
genotypes, and the expression of p53, p21, and CCND1. CONCLUSIONS: Messenger RNA
expressions of p53 and p21 are changed with VCM-exposure status.
PMID- 22076038
TI - Preterm, low-birth-weight deliveries, and farmwork among Latinas in California.
AB - OBJECTIVE: To examine the association between preterm and low-birth-weight
(PTLBW) delivery and maternal occupation among Latina women in California.
METHODS: A cohort of 1024 Latina women in Stockton, California, was observed from
baseline to delivery. The association between PTLBW delivery and maternal
occupation (farmwork, nonfarmwork, no work) was analyzed using multiple logistic
regression models. RESULTS: Demographic characteristics varied widely between the
three occupation groups. The adjusted odds ratio of a PTLBW delivery for
farmworkers compared with women who did not work was 1.28 (95% CI, 0.65 to 2.54).
CONCLUSIONS: We did not observe a statistically significant association between
PTLBW delivery and farmwork in this population. Nevertheless, the relationship
between acculturation and risky health behaviors suggests that studies
investigating the association between maternal employment and adverse pregnancy
outcomes among Latinas need to account for a participant's acculturation status.
PMID- 22076039
TI - Are occupational factors and mental difficulty associated with occupational
injury?
AB - OBJECTIVE: To evaluate the associations between biomechanical, physical, and
psychological demands and occupational injury according to depressive symptoms
severity. METHODS: Two thousand eight hundred eighty-two French working people
completed a questionnaire covering sociodemographic characteristics, smoking,
alcohol consumption, obesity, job, chronic diseases, depressive symptoms, and
injuries during the previous 2-year period. Data were analyzed using logistic
regression. RESULTS: Occupational injury (9.2%) strongly related to
biomechanical, physical, and psychological demands among depressive-symptoms-free
workers (odds ratios ranging from 1.35 to 3.15). These relationships were
stronger among the workers with depressive symptoms without medical treatment
(11.9%) and among those with persistent symptoms despite a treatment (1.7%), with
odds ratios up to 12. These associations were partially confounded (up to 51%) by
unhealthy behaviors, health status, and chronic diseases. CONCLUSIONS: High
occupational demands and depressive symptoms can be early identified and
monitored to prevent injury.
PMID- 22076040
TI - Evaluation of occupational exposure to magnetic fields and motor neuron disease
mortality in a population-based cohort.
AB - OBJECTIVE: Epidemiologic evidence for the association between electromagnetic
fields and amyotrophic lateral sclerosis, the most common form of motor neuron
disease (MND), has been inconclusive. We evaluated the association between
electromagnetic fields and MND among workers in occupations potentially exposed
to magnetic fields METHODS: MND mortality (ICD-9 335.2) was examined in the
National Longitudinal Mortality Study using multivariable proportional hazards
models. Occupational exposure to magnetic fields was determined on the basis of a
population-based job-exposure matrix. Age at entry, education, race, sex, and
income were considered for inclusion as covariates RESULTS: After adjusting for
age, sex, and education, there were no increased risks of MND mortality in
relation to potential magnetic field exposure, with hazard ratios around the null
in all magnetic field exposure quartiles CONCLUSIONS: Our study does not provide
evidence for an association between magnetic field exposure and MND mortality.
PMID- 22076041
TI - The impact of workplace risk factors on long-term musculoskeletal sickness
absence: a registry-based 5-year follow-up from the Oslo health study.
AB - OBJECTIVE: To determine the influence of work-related risk factors by gender on
long-term sickness absence with musculoskeletal diagnoses (LSM). METHODS: Data
from the Oslo Health Study were linked to the historical event database of
Statistics Norway. Eight thousand three hundred thirty-three participants were
followed from 2001 through 2005. Generalized linear models were used to compute
risk differences for LSM. RESULTS: In total, 12.6% of the women and 8.8% of the
men experienced at least one LSM. Statistically, significant LSM risk increases
between 0.039 and 0.086 in association with work environment were found for heavy
physical work, low job control (men only), low support from superior (women
only), and having shift/night work (men only). CONCLUSIONS: Women exhibited a
higher LSM risk, but the associations with job exposures were stronger for men.
This should be addressed when occupational health services give advice on
preventive measures.
PMID- 22076042
TI - Efficient antitumor effects of carrier cells loaded with a fiber-substituted
conditionally replicating adenovirus on CAR-negative tumor cells.
AB - Carrier cells delivering a conditionally replicating adenovirus (CRAd), which
selectively replicates in tumor cells and induces tumor cell lysis, have
promising potential for treatment of cancer because CRAd-loaded carrier cells
evade inhibition by neutralizing anti-adenovirus (Ad) antibodies and because the
carrier cells are locally retained at the injection point after local injection.
A previous study by Hamada et al. demonstrated that carrier cells (CRAd
containing cell fragments derived from the carrier cells) are engulfed into the
target cells, probably through a pathway independent of the primary receptor for
Ad, the coxsackievirus and Ad receptor (CAR) (Mol Ther, 15: 1121-1128; 2007);
however, it remains to be elucidated whether carrier cells infected with a
conventional CRAd, which is composed of subgroup-C Ad serotype-5 (Ad5), mediate
antitumor effects on CAR-negative cells. In order to examine whether carrier
cells delivering a conventional CRAd (Carrier-F5) induce lysis of CAR-negative
tumor cells, CAR-positive and CAR-negative tumor cells were incubated with
Carrier-F5. Carrier-F5 mediated efficient killing of CAR-positive tumor cells;
however, CAR-negative tumor cells were almost refractory to Carrier-F5. On the
other hand, carrier cells loaded with a fiber-substituted CRAd containing fiber
proteins of Ad serotype-35 (Ad35) (CRAd-F35), which binds to human CD46 for
infection, showed efficient killing of both CAR-positive and CAR-negative tumor
cells. Intra-tumoral injection of carrier cells loaded with CRAd-F35 (Carrier
F35) also resulted in efficient regression of both CAR-positive and CAR-negative
tumors. These results demonstrated that the expression levels of receptors for Ad
are an important factor for CRAd-loaded carrier cell-mediated cancer therapy, and
that Carrier-F35 would have potential as a cancer treatment for not only CAR
positive tumors but also CAR-negative tumors.
PMID- 22076043
TI - Chemovirotherapy for head and neck squamous cell carcinoma with EGFR-targeted and
CD/UPRT-armed oncolytic measles virus.
AB - First-line treatment of recurrent and/or refractory head and neck squamous cell
carcinoma (HNSCC) is based on platinum, 5-fluorouracil (5-FU) and the monoclonal
antiEGFR antibody cetuximab. However, in most cases this chemoimmunotherapy does
not cure the disease, and more than 50% of HNSCC patients are dying because of
local recurrence of the tumors. In the majority of cases, HNSCC overexpress the
epidermal growth factor receptor (EGFR), and its presence is associated with a
poor outcome. In this study, we engineered an EGFR-targeted oncolytic measles
virus (MV), armed with the bifunctional enzyme cytosine deaminase/uracil
phosphoribosyltransferase (CD/UPRT). CD/UPRT converts 5-fluorocytosine (5-FC)
into the chemotherapeutic 5-FU, a mainstay of HNSCC chemotherapy. This virus
efficiently replicates in and lyses primary HNSCC cells in vitro. Arming with
CD/UPRT mediates efficient prodrug activation with high bystander killing of non
infected tumor cells. In mice bearing primary HNSCC xenografts, intratumoral
administration of MV-antiEGFR resulted in statistically significant tumor growth
delay and prolongation of survival. Importantly, combination with 5-FC is
superior to virus-only treatment leading to significant tumor growth inhibition.
Thus, chemovirotherapy with EGFR-targeted and CD/UPRT-armed MV is highly
efficacious in preclinical settings with direct translational implications for a
planned Phase I clinical trial of MV for locoregional treatment of HNSCC.
PMID- 22076045
TI - Low prevalence of Haemoproteus infections in Chiffchaffs.
AB - Parasite prevalence is an important variable in many evolutionary and ecological
studies. In birds, haemosporidian blood parasites have been in focus of many
comparative analyses. Because low prevalence is difficult to estimate precisely
and that studies finding low prevalence are more likely to remain unpublished,
our knowledge of parasite prevalence is biased towards highly infected taxa.
Species with naturally low levels of infection are nonetheless interesting as
they may provide models for studying the evolution of pathogen resistance. In the
present study we show that the prevalence of Haemoproteus parasites is markedly
lower in several taxa within the widely distributed chiffchaff species-complex
compared to other species within the genus Phylloscopus. Since chiffchaffs, P.
collybita, commonly coexists in the same habitat as congeners frequently infected
with Haemoproteus parasites, immediate ecological variables like abundance of
vectors can hardly explain this difference. Some of the parasites infecting
coexisting congeners are broad host generalists leaving it enigmatic why
chiffchaffs are almost free of Haemoproteus infections. We propose that detailed
infection experiments are needed to illuminate whether chiffchaffs possess a
genetic immunity against Haemoproteus parasites or if other more subtle
ecological processes, like anti-vector behaviour, play a role in its generally
low level of infestation.
PMID- 22076044
TI - Oncolytic herpes simplex virus expressing yeast cytosine deaminase: relationship
between viral replication, transgene expression, prodrug bioactivation.
AB - Yeast cytosine deaminase (yCD) is a well-characterized prodrug/enzyme system that
converts 5-fluorocytosine (5-FC) to 5-fluorouracil (5-FU), and has been combined
with oncolytic viruses. However, in vivo studies of the interactions between 5-FC
bioactivation and viral replication have not been previously reported, nor have
the kinetics of transgene expression and the pharmacokinetics of 5-FC and 5-FU.
We constructed a replication-conditional Herpes simplex virus 1 (HSV-1)
expressing yCD and examined cytotoxicity when 5-FC was initiated at different
times after viral infection, and observed that earlier 5-FC administration led to
greater cytotoxicity than later 5-FC administration in vitro and in vivo. In
animal models, 12 days of 5-FC administration was superior to 6 days, but dosing
beyond 12 days did not further enhance efficacy. Consistent with the dosing
schedule results, both viral genomic DNA copy number and viral titers were
observed to peak on Day 3 after viral injection and gradually decrease
thereafter. The virus is replication-conditional and was detected in tumors for
as long as 2 weeks after viral injection. The maximum relative extent of yCD
conversion of 5-FC to 5-FU in tumors was observed on Day 6 after viral injection
and it decreased progressively thereafter. The observation that 5-FU generation
within tumors did not lead to appreciable levels of systemic 5-FU (<10 ng ml-1)
is important and has not been previously reported. The approaches used in these
studies of the relationship between the viral replication kinetics, transgene
expression, prodrug administration and anti-tumor efficacy are useful in the
design of clinical trials of armed, oncolytic viruses.
PMID- 22076046
TI - Active hexose correlated compound inhibits the expression of proinflammatory
biomarker iNOS in hepatocytes.
AB - BACKGROUND/AIMS: Excess production of nitric oxide (NO) by inducible nitric oxide
synthase (iNOS) has been implicated as proinflammatory biomarker in liver injury.
The application of active hexose correlated compound (AHCC) as a functional food
in complementary and alternative medicine has increased. The possibility that
AHCC might inhibit iNOS induction was investigated as a potential liver
protective effect. METHODS: Hepatocytes were isolated from rats by collagenase
perfusion and cultured. Primary cultured hepatocytes were treated with
interleukin-1beta in the presence or absence of AHCC-sugar fraction (AHCC-SF).
RESULTS AND CONCLUSION: AHCC-SF inhibited the production of NO and reduced
expressions of iNOS mRNA and its protein. AHCC-SF had no effects on either
IkappaB degradation or nuclear factor-kappaB (NF-kappaB) activation. In contrast,
AHCC-SF inhibited the upregulation of type I interleukin-1 receptor (IL-1RI)
through the inhibition of Akt phosphorylation. Transfection experiments with iNOS
promoter-luciferase constructs revealed that AHCC-SF reduced the levels of iNOS
mRNA at both promoter transactivation and mRNA stabilization steps. AHCC-SF
inhibited the expression of iNOS gene antisense transcript, which is involved in
iNOS mRNA stabilization. These findings demonstrate that AHCC-SF suppresses iNOS
gene expression through a IkappaB/NF-kappaB-independent but Akt/IL-1RI-dependent
pathway, resulting in the reduction of NO production. AHCC-SF may have
therapeutic potential for various liver injuries.
PMID- 22076047
TI - Variational study of the quantum phase transition in the bilayer Heisenberg model
with bosonic RVB wavefunction.
AB - We study the ground state phase diagram of the bilayer Heisenberg model on a
square lattice with a bosonic resonating valence bond (RVB) wavefunction. The
wavefunction has the form of a Gutzwiller projected Schwinger boson mean-field
ground state and involves two variational parameters. We find the wavefunction
provides an accurate description of the system on both sides of the quantum phase
transition. In particular, through the analysis of the spin structure factor,
ground state fidelity susceptibility and the Binder moment ratio Q(2), a
continuous transition from the antiferromagnetic ordered state to the quantum
disordered state is found at the critical coupling of alpha(c) = J(?)/J(?) ~
2.62, in good agreement with the result of quantum Monte Carlo simulation. The
critical exponent estimated from the finite size scaling analysis (1/nu ~ 1.4) is
consistent with that of the classical 3D Heisenberg universality class.
PMID- 22076048
TI - The use of religion and spirituality in psychotherapy: enablers and barriers.
AB - The use of religion and spirituality in psychotherapy has been a contentious
issue for decades. This paper explores and describes whether psychologists would
use religion and spirituality in psychotherapy as well as enablers and barriers
in this regard. A qualitative exploratory descriptive method was followed using
purposive sampling to obtain a sample of clinical and counselling psychologists.
The focus group strategy was used to collect the data, and Tesch's model of
content analysis was used to analyse the qualitative findings. Most participants
expressed a willingness to discuss religion and spirituality with their clients.
Participants also highlighted specific enablers and barriers to incorporating
religion and spirituality in psychotherapy. This article has the potential to
influence professional training in psychology and psychotherapy.
PMID- 22076049
TI - HPV vaccine decision-making and acceptance: does religion play a role?
AB - We conducted a web-based survey among 476 white, Black, and Hispanic parents or
caregivers with daughter(s) between the ages of 9-17 to better understand how
religion influences HPV vaccine acceptance. Catholic parents were more likely
than nonaffiliated parents to have already vaccinated their daughters (vs. being
undecided) (OR = 3.26, 95% CI = 1.06, 10.06). Parents with frequent attendance at
religious services were more likely than parents who do not attend services to
have decided against vaccination (vs. being undecided) (OR = 2.92, 95% CI = 1.25,
6.84). Directions for research and implications for interventions are addressed.
PMID- 22076051
TI - Molecular cloning and characterization of a HSP70 gene from Schistosoma
japonicum.
AB - Schistosoma japonicum is the pathogen responsible for schistosomiasis japonica,
one of the major infectious diseases targeted for prevention nationally in China.
Expression of heat shock proteins (HSPs) following stress plays a very important
biological role in many organisms including S. japonicum. Among the HSP family,
the 70-kDa HSPs are most responsible for intracellular chaperone and
extracellular immunoregulatory functions. Based on the published sequences in
GenBank/EMBL (AF044412.1), open reading frame belonging to HSP70 protein
corresponds to a full-length cDNA containing an open reading frame of 1,947 bp
encoded of 648 amino acids was identified as HSP70 from schistosome. In this
study, the coding region that we named rSj648/hsp70 was amplified from S.
japonicum adult worm cDNA library, and the recombinant protein was expressed in
vector pET32a(+) and purified using a Ni-NTA purification system. The target
protein rSj648/hsp70 was determined by matrix-assisted laser
desorption/ionization mass spectrometer after thrombin digestion and dialysis.
Reverse transcriptase polymerase chain reaction and Western blotting analysis
confirmed that Sj648/hsp70 could be expressed in the eggs, normal cercariae,
ultraviolet-attenuated cercariae (UVAC), and adult worms of S. japonicum. Real
time quantitative PCR analysis indicated that Sj648/hsp70 was expressed
significantly higher in eggs than that in cercariae and adult worms, and the
expression in UVAC was higher than that in normal cercariae. A thermotolerance
assay showed that rSj648/hsp70 could protect Escherichia coli cells from heat
damage. The detection of specific antibody levels by indirect enzyme-linked
immunosorbent assay demonstrated that mice immunized with rSj648/hsp70 induced
higher level of specific anti-rSj648/hsp70 IgG1 compared with those vaccinated
with adjuvant alone, indicating that rSj648/hsp70 was able to elicit Th2-type
bias immune response. Our results suggest that Sj648/hsp70 might be an important
molecule in parasite-host interaction and display potential roles in mice
immunoregulation system.
PMID- 22076050
TI - Predominance of Blastocystis sp. subtype 4 in rural communities, Nepal.
AB - Blastocystis sp. is a common intestinal parasite. To date, there have been
sporadic and scanty studies on Blastocystis sp. carried out in rural communities
in Nepal. We surveyed the prevalence of Blastocystis sp. and its possible
associated risk factors, and reported the predominant Blastocystis sp. subtype in
two rural communities, Bolde Phediche and Bahunipati, in Nepal. Human faecal
samples were collected from 241 participants, cultured using in vitro cultivation
and examined for Blastocystis sp. The presence of Blastocystis sp. in faecal
samples was further confirmed by polymerase chain reaction (PCR) and subsequently
genotyped using subtype-specific sequence tagged site (STS) primers. There were
26.1% (63/241) of the participants that were infected by Blastocystis sp. We
detected 84.1% (53/63) of Blastocystis sp. subtype 4 infections in these rural
communities. The unusually high prevalence of Blastocystis sp. subtype 4 can be
attributed to the rearing of family-owned animals in barns built close to their
houses. Eighty one percent (51/63) of the Blastocystis sp. infected participants
drank not boiled or unfiltered water. The present study revealed that
Blastocystis sp. could pose a health concern to the communities and travellers to
the hilly area in Nepal. Infection may be transmitted through human-to-human,
zoonotic and waterborne transmissions. We provide recommendations to ensure good
public health practices.
PMID- 22076052
TI - Ultrastructural changes during asexual multiple reproduction in Trichomonas
vaginalis.
AB - Trichomonas vaginalis, a flagellated protozoan parasite, is commonly found in the
genitourinary tract of humans. Its mode of reproduction has always been reported
to be binary fission. The high parasite numbers seen in a relatively short period
in in vitro cultures led us to believe that there must be other modes of
reproduction. The present study for the first time provides transformational
evidence at the ultrastructural level seen in tropohozoites of T. vaginalis
undergoing a multiple asexual mode of reproduction. The findings show that the
single cell with a nucleus is capable of dividing to as many as eight nuclei
within the cytoplasmic body. Before the commencement of division, the nucleus
remained round or ovoid in shape with condensed chromatin masses and only a few
endoplasmic reticula surrounding the nucleus. During the division, the nucleus
started to elongate and become irregular in shape with visible chromatin masses
condensing with the accumulation of numerous endoplasmic reticula. Nuclear
division gave rise to as many as eight nuclei within a cell, which could be seen
to be connected by numerous endoplasmic reticula. In addition, a high number of
hydrogenosomes and vacuoles can be seen in multinucleated T. vaginalis compared
with single nucleated T. vaginalis. This study confirms that multiple modes of
nuclear division do exist in T. vaginalis and are a precursor to progeny
formation.
PMID- 22076054
TI - Prosthetic inlay resurfacing for the treatment of focal, full thickness cartilage
defects of the femoral condyle: a bridge between biologics and conventional
arthroplasty.
AB - PURPOSE: Localized full thickness defects of the femoral condyle can be highly
symptomatic. Treatment options for these lesions are numerous in young patients,
however they become increasingly challenging in middle aged and older patients.
In order to delay traditional joint replacement procedures and to provide a soft
tissue and bone sparing alternative, this study assess a focal inlay resurfacing
procedure. METHODS: Between 2004 and 2008, a consecutive series of 27 patients
were treated with the Arthrosurface HemiCAP((r)) Focal Femoral Condyle
Resurfacing Prosthesis and were assessed to study the clinical benefit of this
procedure. Outcome measures included the KOOS, IKDC, HSS and WOMAC as well as
physical and radiographic evaluation. RESULTS: Nineteen patients met the
inclusion/exclusion criteria, 18 were available for review at a median follow-up
of 34 months (range 20-57).The median age was 49 years (range 43-78). 63% had
early arthritis, 5.2% localized osteonecrosis, and 31.6% had a focal traumatic
full thickness defect. The follow-up total WOMAC score averaged 90.1 +/- 9.3, The
KOOS showed very good to excellent scores in all domains and also when compared
to age-matched normative data. Significant improvement was seen with the HSS
Score. On IKDC examination, 83.4% had normal or nearly normal results.
CONCLUSION: Focal femoral condyle resurfacing demonstrated excellent results for
pain and function in middle-aged, well selected patients with full thickness
cartilage and osteochondral defects. Patient profiling and assessment of
confounding factors, in particular mechanical joint alignment; meniscal function;
and healthy opposing cartilage surfaces, are important for an individual
treatment approach and successful outcomes. LEVEL OF EVIDENCE: IV.
PMID- 22076053
TI - The impact of a high tibial valgus osteotomy and unicondylar medial arthroplasty
on the treatment for knee osteoarthritis: a meta-analysis.
AB - PURPOSE: Both high tibial valgus osteotomy (HTO) and unicompartmental medial knee
arthroplasty (UKA) are established methods for the treatment for moderate stages
of OA. This is the first global meta-analysis to compare the long-term effects of
both methods regarding survival, outcomes and complications of total
arthroplasty. METHODS: Literature research was performed using established
medical databases: MEDLINE (via PubMed), EMBASE (via OVID) and the Cochrane
register. Criteria for inclusion were as follows: English or German papers, a
clinical trial with a clear description of survival, an outcome evaluation using
a well-described knee score and a follow-up >5 years. Statistical analysis was
performed using the special meta-analysis software called "Comprehensive Meta
Analysis" (version 2.0; Biostat, Englewood, NJ, USA). RESULTS: Final meta
analysis after the full-text review included 46 studies about valgus HTO and 43
studies about medial UKA. There were no significant differences between valgus
HTO and medial UKA in terms of the number of total required replacements. After a
5- to 8-year follow-up, 91.0% of the valgus HTO patients and 91.5% of medial UKA
patients did not need a total replacement. This value was 84.4% for valgus HTOs
and 86.9% for medial UKAs after a 9- to 12-year follow-up. Mean survival time to
TKA was 9.7 years after valgus HTO and 9.2 years after medial UKA. Clinical
outcome was significantly better after medial UKA in a 5- to 12-year follow-up.
After more than 12 years, results were comparable in both groups. No significant
differences were seen in the complication rates. CONCLUSIONS: This meta-analysis
aimed to find the advantages and disadvantages of two established methods for the
treatment for medial compartment knee osteoarthritis. Valgus HTO is more
appropriate for younger patients who accept a slight decrease in their physical
activity. Medial UKA is appropriate for older patients obtaining sufficient pain
relief but with reduced physical activity. LEVEL OF EVIDENCE: II.
PMID- 22076055
TI - Biomechanical evaluation of tenodesis reconstruction in ankle with deltoid
ligament deficiency: a finite element analysis.
AB - PURPOSE: Isolated deltoid ligament injuries are relatively uncommon but can be a
significant source of pain and disability. Several approaches to deltoid
reconstruction have been reported. However, there is no previous comparative
study of Wiltberger, Deland, Kitaoka and Hintermann procedures with respect to
biomechanical characteristics such as kinematics, ligaments and grafts stresses
using finite element analysis. The purpose of this study was to evaluate the
biomechanical results of those deltoid ligament reconstructions using finite
element analysis. METHODS: A three-dimensional finite element model of the ankle
including six bony structures, cartilage and nine principal ligaments surrounding
the ankle joint complex was developed and validated. In addition to the intact
model, superficial deltoid-deficient, deltoid-deficient, Wiltberger
reconstruction, Deland reconstruction, Kitaoka reconstruction and Hintermann
reconstruction models were simulated. Then, the forces in the ligaments and
grafts and the kinematics of talus and calcaneus were predicted for an eversional
or external torque through the range of ankle flexion. RESULTS: No
reconstructions could completely restore the values for ankle flexibility and the
stresses of the lateral ligaments to normality. The Kitaoka procedure was the
most effective technique in eliminating external rotation displacement. The
Deland procedure restored better the talar tilt than the other three
reconstructions. CONCLUSION: This study showed that Kitaoka and Deland procedures
have advantages with regard to rotational stabilities as well as ligaments stress
in comparison with other methods.
PMID- 22076057
TI - Aspergillus fumigatus densities in relation to forest succession and edge
effects: implications for wildlife health in modified environments.
AB - The hihi (or stitchbird, Notiomystis cincta) is a New Zealand endemic nectivorous
forest bird now restricted to one pristine island. Relocation to establish viable
hihi populations on other islands has been the main conservation action since the
early 1980s. To date, hihi reintroductions to young growth islands have had poor
success despite the absence of mammalian predators. It was thought that past
failures were due to food limitation, but research suggests that food limitation
alone cannot account for their poor survivorship. Post-mortems of dead hihi has
shown that aspergillosis caused by Aspergillus fumigatus is a major mortality
factor and there is current concern regarding their susceptibility to this fungal
disease. In this paper we develop and assess the hypothesis that A. fumigatus
limits hihi population viability on modified islands, and suggest that A.
fumigatus is a potential indicator species for habitat disturbance. We report
that the prevalence of A. fumigatus spores in the soil is much higher in young
growth forests and forest edge habitats. Results suggest that hihi mortality
rates between islands are potentially due to differential exposure to A.
fumigatus spores. We assess relationships between habitat disturbance, A.
fumigatus contamination and hihi mortality rates by testing the following
predictions: (1) that densities of A. fumigatus spores will be higher on modified
islands, (2) that densities of A. fumigatus spores on islands will be correlated
with hihi mortality rates and (3) that densities of A. fumigatus spores will be
higher at the forest edge than in the interior. We test each of these predictions
using soil samples, air samples and samples of nectar from plant species fed on
by hihi.
PMID- 22076058
TI - STROBE-ME too!
PMID- 22076060
TI - Acidic-functionalized ionic liquid as an efficient, green and reusable catalyst
for hetero-Michael addition of nitrogen, sulfur and oxygen nucleophiles to
alpha,beta-unsaturated ketones.
AB - A series of acidic-functionalized ionic liquids were synthesized and applied to
the hetero-Michael addition of nitrogen, sulfur and oxygen nucleophiles to
alpha,beta-unsaturated ketones under solvent-free conditions. Notably, 1
methylimidazolium p-toluenesulfonic ([Hmim]OTs) was found to be the most
efficient catalyst and could realize "homogeneous catalysis, two-phase
separation". Additionally, the catalytic system has wide substrate scope and good
to excellent yields (up to 99%) could be obtained at room temperature.
PMID- 22076059
TI - Wine, beer or spirit drinking in relation to fatal and non-fatal cardiovascular
events: a meta-analysis.
AB - In previous studies evaluating whether different alcoholic beverages would
protect against cardiovascular disease, a J-shaped relationship for increasing
wine consumption and vascular risk was found; however a similar association for
beer or spirits could not be established. An updated meta-analysis on the
relationship between wine, beer or spirit consumption and vascular events was
performed. Articles were retrieved through March 2011 by PubMed and EMBASE search
and a weighed least-squares regression analysis pooled data derived from studies
that gave quantitative estimation of the vascular risk associated with the
alcoholic beverages. From 16 studies, evidence confirms a J-shaped relationship
between wine intake and vascular risk. A significant maximal protection-average
31% (95% confidence interval (CI): 19-42%) was observed at 21 g/day of alcohol.
Similarly, from 13 studies a J-shaped relationship was apparent for beer (maximal
protection: 42% (95% CI: 19-58%) at 43 g/day of alcohol). From 12 studies
reporting separate data on wine or beer consumption, two closely overlapping dose
response curves were obtained (maximal protection of 33% at 25 g/day of alcohol).
This meta-analysis confirms the J-shaped association between wine consumption and
vascular risk and provides, for the first time, evidence for a similar
relationship between beer and vascular risk. In the meta-analysis of 10 studies
on spirit consumption and vascular risk, no J-shaped relationship could be found.
PMID- 22076061
TI - Exploration of the binding of curcumin analogues to human P450 2C9 based on
docking and molecular dynamics simulation.
AB - Molecular docking and molecular dynamics (MD) simulations are used to investigate
the interactions of curcumin analogues (CAs) with human cytochrome P450 2 C9
(CYP2C9 or 2 C9) and the conformations of their binding sites. In order to
examine conformations of CAs/2 C9 and interaction characteristics of their
binding sites, RMSDs, RMSFs, and B-factors are computed, and electrostatic and
hydrophobic interactions between CAs and 2 C9 are analyzed and discussed. Results
demonstrate that the most CAs studied lie 4~15 A above the heme of CYP2C9. The
presence of CAs makes some residues in bound CYP2C9s become more flexible. In the
binding sites of A0/2 C9 and C0/2 C9, the formation of H-bond networks (or CA
water-residue bridges) enhances the interactions between CAs and 2 C9. The
stronger inhibitory effects of A0, B0, and C0 on 2 C9 can be ascribed to stronger
electrostatic and hydrophobic interactions in the binding sites of CAs/2 C9.
PMID- 22076062
TI - Molecular dynamics simulation studies of betulinic acid with human serum albumin.
AB - Betulinic acid (BA) is a naturally occurring pentacyclictriterpenoid possessing
anti-retroviral, anti-cancer, and anti-inflammatory properties. Here, we studied
the interaction of BA with human serum albumin (HSA) by using molecular docking,
and molecular dynamic simulation methods. Molecular docking studies revealed that
BA can bind in the large hydrophobic cavity of drug binding site I of sub-domain
IIA and IIB, mainly by the hydrophobic interactions and also by hydrogen bond
interactions. In which several cyclohexyl groups of BA are interacting with
Phe(206), Arg(209), Ala(210), Ala(213), Leu(327), Gly(328), Leu(331), Ala(350),
and Lys(351), residues of sub-domain IIA and IIB of HSA by hydrophobic
interactions. Also, hydrogen bond interactions were observed between the hydroxyl
(OH) group of BA with Phe(206) and Glu(354) of HSA, with hydrogen bond distances
of 0.24 nm,0.28 nm respectively. Further, specifically, the molecular dynamics
study makes an important contribution in understanding the effect of the binding
of BA on conformational changes of HSA and the stability of the protein-drug
complex system in aqueous solution. The root mean square deviation values of
atoms in the free HSA molecule were calculated from 3000 ps to 5000 ps trajectory
and the results were obtained as 0.72 +/- 0.036 nm and 0.81 +/- 0.032 nm for free
HSA and HSA-BA, respectively. The radius of gyration (Rg) values of both
unliganded HSA and HSA-BA were stabilized at 2.59 +/- 0.03 nm, 2.51 +/- 0.01 nm,
respectively. Thus, this work may play an important role in the design of new BA
inspired drugs with desired HSA binding affinity.
PMID- 22076063
TI - Lentivirus-mediated RNA interference of E2F-1 suppresses Tca8113 cell
proliferation.
AB - In most types of human cancer, inactivation of pRb/E2F complexes occurs, and
released E2Fs initiate transcription of genes required for cell cycle
progression. Evidence reveals that phosphorylated pRb deregulates E2F-1, and the
levels of E2F-1 expression can accurately predict prognosis of oral squamous cell
carcinoma (OSCC). Paradoxically, numerous reports indicate that E2F-1 is also
capable of inducing apoptosis under certain cellular circumstances. In the
present study, lentivirus-mediated shRNA was used to downregulate endogenous E2F
1 expression in order to study the function of E2F-1 in the pRb/E2F-1 pathway in
the OSCC cell line Tca8113, and to investigate the alteration of Tca8113 cells in
proliferation and apoptosis. The data from real-time quantitative RT-PCR and
Western blot analysis showed that E2F-1-shRNA led to the inhibition of endogenous
E2F-1 mRNA and protein expression, and E2F-1 may be associated with proliferation
and apoptosis pathways. Growth kinetics data showed that Tca8113-E2F-1-shRNA
cells presented more active proliferation properties than Tca8113-NC cells, and
flow cytometry data demonstrated that the percentages of cells in the G1 phase,
G2 phase and undergoing apoptosis differed between groups. In conclusion,
silencing of E2F-1 inhibits proliferation and induces apoptosis. E2F-1 may also
be involved in multi-level regulation networks; therefore, its role in OSCC
requires further clarification.
PMID- 22076064
TI - Signaling via dopamine D1 and D3 receptors oppositely regulates cocaine-induced
structural remodeling of dendrites and spines.
AB - Repeated exposure to cocaine can induce persistent alterations in the brain. The
structural remodeling of dendrites and dendritic spines is thought to play a
critical role in cocaine addiction. We previously demonstrated that signaling via
dopamine D1 and D3 receptors have opposite effects on cocaine-induced gene
expression. Here, we show that cocaine-induced structural remodeling in the
nucleus accumbens (NAc) and caudoputamen (CPu) is mediated by D1 receptors and
inhibited by D3 receptors. In addition, chronic exposure to cocaine results in an
altered number of asymmetric spine synapses via the actions of both D1 and D3
receptors. The contradictory effects of D1 and D3 receptor signaling on cocaine
induced structural remodeling is associated with NMDA-receptor R1 subunit (NR1)
phosphorylation, and is dependent upon the activation of extracellular signal
regulated kinase (ERK). In addition, we found that D1 and D3 receptor signaling
has contradictory effects upon the activation of the myocyte enhancer factor 2
(MEF2), which is involved in the dendritic remodeling after cocaine treatment.
Together, these data suggest that dopamine D1 and D3 receptors differentially
regulate the cocaine-induced structural remodeling of dendrites and spines via
mechanisms involving the consecutive actions of NR1 phosphorylation, ERK
activation, and MEF2 activity in the NAc and CPu.
PMID- 22076065
TI - Early engraftment of G-CSF-primed allogeneic bone marrow transplantation in
pediatric patients regardless of donor-recipient weight differences.
AB - Harvesting sufficient progenitor cells from bone marrow (BM) for pediatric
patients is a challenging process, especially from smaller donors. Growth factor
administration to donors prior to harvest results in an enrichment of the graft
and leads to early engraftment. A total of 41 patients received a human leukocyte
antigen-identical sibling transplantation using granulocyte colony-stimulating
factor (G-CSF)-primed BM. All donors received G-CSF 10 MUg/kg/day for 2 days
prior to harvest. The median weight difference between donor and recipient was
3.9 kg (range, -29.8 to 32 kg), and the median number of CD34(+) cells harvested
was 4.16 * 10(6)/kg (range, 1.17-31.9 * 10(6)/kg). The median time to neutrophil
engraftment was 12 days (range, 10-27 days), and the time for platelet
engraftment was 20 days (range, 12-64 days). The cumulative incidence of acute
grade 2 to 3 graft-versus-host disease (GVHD) and chronic GVHD was 4.9% and 5.1%,
respectively. An analysis according to the weight difference between donor and
recipient showed there was no significant difference in harvested CD34(+) cell
dose and in time required for engraftment between smaller and heavier donor
recipients. G-CSF-primed BM allows successful engraftment and provides a valuable
alternative to unstimulated BM and peripheral blood stem cells with good
engraftment and tolerable GVHD even in patients with smaller donors.
PMID- 22076066
TI - Laparoscopic versus open intersphincteric resection and coloanal anastomosis for
low rectal cancer: intermediate-term oncologic outcomes.
AB - OBJECTIVE: To compare the surgical outcome and intermediate oncological outcomes
for laparoscopic versus open intersphincteric resection (ISR). BACKGROUND:
Intersphincteric resection has been proposed as an alternative to
abdominoperineal resection for selected low rectal cancer cases, but the
oncological adequacy of laparoscopic ISR has not been established. METHODS: A
total of 210 consecutive patients with low rectal cancer who underwent ISR
between 1997 and 2009 in 2 institutions were evaluated retrospectively. Patients
were classified into an open surgery (OS, n = 80) group and a laparoscopy (LAP, n
= 130) group. The primary endpoint was 3-year disease-free survival. RESULTS: The
major complication rates were similar in the LAP and OS groups (5.4% vs 3.8%,
respectively; P = 0.428). However, the LAP group had a shorter hospital stay and
time to bowel movement compared with the OS group. In the LAP group, operating
time was 16 minutes shorter (P = 0.230) and intraoperative blood loss was less (P
= 0.002). Median follow-up was 34 months (interquartile range: 20.0-42.5 months).
The local recurrence rates were similar in the 2 groups (LAP, 2.6% vs OS, 7.7%; P
= 0.184). The combined 3-year disease-free survival for all stages was 82.1% (95%
CI: 73.7-90.2%) in the LAP group and 77.0% (95% CI: 66.9%-86.9%) in the OS group
(P = 0.523). CONCLUSIONS: Laparoscopic ISR can be performed safely and offers a
minimally invasive sphincter-sparing alternative. The oncological adequacy of
laparoscopic ISR requires long-term follow-up data, but the intermediate-term
outcomes seem equivalent to those achieved with OS.
PMID- 22076067
TI - Comparison of International Consensus Guidelines versus 18-FDG PET in detecting
malignancy of intraductal papillary mucinous neoplasms of the pancreas.
AB - OBJECTIVE: To assess the reliability of the International Consensus Guidelines
(ICG) and 18-fluorodeoxyglucose positron emission tomography (PET) in
distinguishing benign from malignant intraductal papillary mucinous neoplasms
(IPMNs) of the pancreas. BACKGROUND: Since 2006 the ICG have been used to choose
immediate surgery or surveillance for IPMN patients, but their low specificity
increases the number of benign IPMNs that undergo resective surgery. PET has
proved highly sensitive and specific in detecting malignancy in cystic neoplasms
of the pancreas, including IPMNs. METHODS: Patients suspected with IPMNs of the
pancreas seen at our Department from January 1989 to July 2010 were identified
and classified as cases of main duct, mixed type and branch type IPMN. The
indication for resection or surveillance was verified a posteriori for all
patients according to the ICG. PET was considered positive for a Standardized
Uptake Value >=2.5. Surveillance included clinical examination, laboratory tests,
CA 19-9 serum levels, and computed tomography and/or magnetic resonance and
magnetic resonance cholangiopancreatography every 6 months for 2 years and yearly
thereafter. Endoscopic ultrasound was rarely performed. PET was repeated in
clinically or radiologically suspect cases, or if tumor markers increased.
RESULTS: Sixty-one main duct or mixed type and 101-branch type IPMNs were
included in the study. A histological diagnosis was available for 81 of 162
patients, missing for 1 locally advanced IPMN, whereas 62 patients are under
surveillance and it proved impossible to contact 18. Conservative surgery was
performed in 16 of 68 patients with benign IPMNs. The sensitivity, specificity,
positive and negative predictive value, and accuracy of the ICG in detecting
malignancy were 93.2, 22.2, 59.4, 72.7, and 61.2, whereas for PET they were 83.3,
100, 100, 84.6, and 91.3. CONCLUSIONS: PET is more accurate than the ICG in
distinguishing benign from malignant (invasive and noninvasive) IPMNs.
Prophylactic IPMN resection in young patients fit for surgery should be guided by
the ICG, whereas PET should be performed in older patients, cases at increased
surgical risk, or when the feasibility of parenchyma-sparing surgery demands a
reliable preoperative exclusion of malignancy.
PMID- 22076068
TI - Does fundoplication really reduce deoxyribonucleic acid methylation of Barrett
esophagus?
PMID- 22076069
TI - Cognitive improvement after parathyroidectomy.
PMID- 22076070
TI - The effect of the CMS national coverage decision on the performance and outcomes
of bariatric surgery for medicare recipients in the U.S.
PMID- 22076071
TI - Metallurgical phases and their magnetism at the interface of nanoscale MgB2/Fe
layered structures.
AB - We report on the characterization of metallurgical phases and their magnetism at
the interfaces of nanoscale MgB(2)/Fe layered structures. MgB(2)/(57)Fe
multilayers with varying layer thicknesses were prepared by vacuum deposition and
investigated, before and after annealing by electrical resistance measurements, x
ray diffraction and (57)Fe conversion-electron Mossbauer spectroscopy (CEMS) down
to 5 K. Interfacial Fe-B phases, such as Fe(2)B, were identified by CEMS. A
superparamagnetic-to-ferromagnetic transition is observed with increasing (57)Fe
film thickness. Ultrahigh vacuum annealing at 500 degrees C of the multilayers
leads to strong diffusion of Fe atoms into the boundary regions of the MgB(2)
layers. MgB(2) in the as-grown multilayers is non-superconducting. Structural
disorder and the effect of Fe interdiffusion contribute to the suppression of
superconductivity in the MgB(2) films of all the as-grown multilayers and the
thinner annealed multilayers. However, an annealed MgB(2)/(57)Fe/MgB(2) trilayer
with thicker (500 A) MgB(2) layers is observed to be superconducting with an
onset temperature of 25 K. At 5 K, the annealed trilayer can be conceived as
being strongly chemically modulated, consisting of two partially Fe-doped
superconducting MgB(2) layers separated by an interdiffused weakly magnetic Fe-B
interlayer, which is characterized by a low hyperfine magnetic field B(hf) of ~11
T. This chemically modulated layer structure of the trilayer after annealing was
verified by Rutherford backscattering.
PMID- 22076072
TI - Acoustic analysis of voice in normal and high pitch phonation: a comparative
study.
AB - OBJECTIVES: Comparison of acoustic parameters of voice between normal and high
pitch phonation in normal adults, and comparison between genders. PARTICIPANTS
AND METHODS: A total of 48 normal laryngeal speakers were considered for this
study. The acoustic parameters were analyzed using the Multi-Dimensional Voice
Program. Descriptive statistics were used for analysis of the acoustic parameters
across tasks and genders. Paired-samples t tests were used to compare measures
between tasks. Independent-samples t tests were used to compare parameters
between genders. RESULTS: Significant differences were found across tasks in the
range of fundamental frequency and average fundamental frequency. Females showed
a significant difference in the frequency perturbation measures - percent jitter
and relative average perturbation, while males demonstrated a significant
difference in the noise-to-harmonic ratio. While comparing mean differences
between genders, significant differences were observed in fundamental frequency,
range of the fundamental frequency, and smoothed pitch perturbation quotient
during both phonation tasks. CONCLUSION: Comparison of acoustic parameters
between normal and high pitch phonation would facilitate understanding the effect
of high pitch phonation on voice parameters. Subsequently, this would help
clinicians to focus on important acoustic parameters while assessing professional
voice users who are at risk of developing voice problems.
PMID- 22076073
TI - Improving data quality on low level mercury wastewater analysis.
AB - In order to compare treatability test results evaluating low-level mercury (Hg)
removal from oil refinery wastewater, improvements in Hg analytical methods were
conducted at two US EPA certified analytical labs. The revisions in the
analytical protocols improved Hg recoveries and hence enabled more reliable data
interpretation and comparison for the specific wastewater tested. Nevertheless,
significant differences between results from the two laboratories were identified
in a split-sample experiment.
PMID- 22076074
TI - Antitumor activity of chemoendocrine therapy in premenopausal and postmenopausal
models with human breast cancer xenografts.
AB - We examined the efficacy of chemoendocrine therapy using capecitabine as a
chemotherapeutic agent in premenopausal and postmenopausal models with estrogen
receptor (ER)-positive human breast cancer xenografts. Tamoxifen and letrozole
were used as endocrine therapeutic agents for premenopausal and postmenopausal
models, respectively. The antitumor activity of capecitabine in combination was
significantly superior to either monotherapy treatment in both premenopausal
(p<0.01) and postmenopausal (p<0.05) models. No increase in toxicity in terms of
body weight loss was observed during treatment in either of the xenograft models.
In the premenopausal model, the level of thymidine phosphorylase (TP), a key
enzyme generating 5-FU from capecitabine, was upregulated (p<0.05) in tumors by
tamoxifen but not by letrozole treatment in the postmenopausal model. The
combination of 5'-deoxy-5-fluorouridine (5'-DFUR; an intermediate of
capecitabine) with 4-hydroxytamoxifen (4-OHT; an active form of tamoxifen) or
letrozole was also evaluated in vitro by using estrogen-responsive element (ERE)
reporter gene assays aimed to model premenopausal and postmenopausal breast
cancer. Both combinations decreased the number of estrogen-responding cells in a
concentration-dependent manner and further analysis by isobolograms revealed a
synergistic effect of the combination of 5'-DFUR with 4-OHT, and at least an
additive effect of the combination of 5'-DFUR with letrozole. These results
suggest that chemoendocrine therapy using capecitabine may be a useful treatment
modality for patients with hormone-receptor-positive breast cancer, regardless of
the menopausal status and should be explored in clinical trials.
PMID- 22076075
TI - Evaluation of the anticancer activities of thioflavanone and thioflavone in human
breast cancer cell lines.
AB - This study investigated the anticancer effects of thioflavanone and thioflavone
in the MCF-7, MDA-MB-231 and MDA-MB-453 human breast cancer cell lines. Cells
were treated with either thioflavanone or thioflavone from 1 to 100 uM for 24 h,
and their anti-proliferative activity and cytotoxicity was determined.
Thioflavanone and thioflavone possessed similar anti-proliferative activities;
their IC50 values were 62-89 and 74-128 uM, respectively, although the
cytotoxicity of thioflavanone was significantly higher and occurred in a dose
dependent manner. Taken together, these results suggest that thioflavanone
significantly inhibits cellular proliferation with weak cytotoxicity to a greater
extent than thioflavone, and induces apoptosis in human breast cancer cell lines.
Moreover, thioflavanone, but not thioflavone, induces apoptosis via p53-dependent
expression of Bax.
PMID- 22076077
TI - Sexual attraction and trajectories of mental health and substance use during the
transition from adolescence to adulthood.
AB - Previous research suggests that sexual minority youth have poorer health-related
outcomes than their heterosexual peers. The purpose of this study is to determine
whether sexual orientation disparities in mental health and substance use
increase, decrease, or remain the same during the transition from adolescence to
adulthood. Data are from Waves 1-4 of the National Longitudinal Study of
Adolescent Health (n = 8,322; 55% female). Respondents were in grades 7-12 at
Wave 1 and aged 24-32 at Wave 4. Latent growth curve modeling is used to compare
the mental health and substance use trajectories of youth who consistently report
heterosexual attraction versus those who consistently report lesbian, gay, or
bisexual (LGB) attraction, those who report a transition to LGB attraction, and
those who report a transition to heterosexual attraction. Among women and men,
sexual orientation disparities in depressive symptoms and suicidal thoughts
persist, but do not increase, during the transition from adolescence to
adulthood. The same pattern is observed for disparities in smoking, heavy
drinking, and marijuana use among women. Among men, disparities in substance use
are only observed between those who report consistent heterosexual attraction and
those who transition to heterosexual attraction. Disparities between these groups
persist over time for heavy drinking and marijuana use but decrease over time for
smoking. While this study finds evidence of numerous disparities in mental health
and substance use outcomes during adolescence and young adulthood, particularly
among young women, there is no indication that these disparities get larger over
time.
PMID- 22076078
TI - Container molecules based on imine type ligands.
AB - This chapter will give a short overview about container molecules, their
synthesis and possible applications. The main focus is on those which are based
on imine type ligands. These containers can be used for example for guest
exchange, gas separation, as chemical sensors or for the stabilisation of white
phosphorus under water. The described cages have wide openings or tightly closed
ones. For one cage the reversible opening and closing is also described.
PMID- 22076076
TI - Biodistribution and radiation dosimetry of the serotonin 5-HT6 ligand
[11C]GSK215083 determined from human whole-body PET.
AB - PURPOSE: We measured the whole-body distribution of IV-injected [11C]GSK215083, a
new 5-HT6 antagonist PET tracer, as a function of time in adult subjects, in
order to determine the radiation exposure. PROCEDURES: After injection with a
single bolus of [11C]GSK215083 (range 330-367 MBq; mean 346 MBq), PET emission
data were acquired for approximately 120 min in six subjects (three males and
three females). Five organs were identified as exhibiting uptake above
background. For these, regions of interest were delineated on emission images,
and time-activity curves (TAC) generated. Residence times were calculated as the
area under the curve of the TAC, normalized to injected activities and standard
values of organ volumes. Dosimetry calculations were then performed using the
computer program OLINDA/EXM 1.0. RESULTS: The mean effective dose averaged over
both males and females (deviation) was estimated to be 7.7 +/- 1.0 MUSv/MBq (male
7.0 +/- 0.4; female 8.5 +/- 0.6). For the effective dose equivalent, the
corresponding values are 7.8 +/- 1.2 MUSv/MBq (male 6.8 +/- 0.5; female 8.9 +/-
0.1). The organ receiving the highest dose was the lung, with an average
equivalent dose of 25.6 +/- 6.9 MUSv/MBq (male 20.8 +/- 5.6; female 30.4 +/-
4.4). CONCLUSION: The estimated radiation dose for [11C]GSK215083 is consistent
with those for other neuroreceptor ligands labeled with carbon-11. The somewhat
higher dose estimate for females compared to males may reflect the difference in
observed residence times and representative differences in the male and female
phantoms used for dosimetry calculations. Based on conventionally accepted dose
limits, [11C]GSK215083 may be used for multiple PET scans in the same subject.
PMID- 22076079
TI - Extracellular chaperones.
AB - The maintenance of the levels and correct folding state of proteins
(proteostasis) is a fundamental prerequisite for life. Life has evolved complex
mechanisms to maintain proteostasis and many of these that operate inside cells
are now well understood. The same cannot yet be said of corresponding processes
in extracellular fluids of the human body, where inappropriate protein
aggregation is known to underpin many serious diseases such as Alzheimer's
disease, type II diabetes and prion diseases. Recent research has uncovered a
growing family of abundant extracellular chaperones in body fluids which appear
to selectively bind to exposed regions of hydrophobicity on misfolded proteins to
inhibit their toxicity and prevent them from aggregating to form insoluble
deposits. These extracellular chaperones are also implicated in clearing the
soluble, stabilized misfolded proteins from body fluids via receptor-mediated
endocytosis for subsequent lysosomal degradation. Recent work also raises the
possibility that extracellular chaperones may play roles in modulating the immune
response. Future work will better define the in vivo functions of extracellular
chaperones in proteostasis and immunology and pave the way for the development of
new treatments for serious diseases.
PMID- 22076080
TI - The beauty of chemistry in the words of writers and in the hands of scientists.
AB - Chemistry is a central science because all the processes that sustain life are
based on chemical reactions, and all things that we use in everyday life are
natural or artificial chemical compounds. Chemistry is also a fantastic world
populated by an unbelievable number of nanometric objects called molecules, the
smallest entities that have distinct shapes, sizes, and properties. Molecules are
the words of matter. Indeed, most of the other sciences have been permeated by
the concepts of chemistry and the language of molecules. Like words, molecules
contain specific pieces of information that are revealed when they interact with
one another or when they are stimulated by photons or electrons. In the hands of
chemists, molecules, particularly when they are suitably combined or assembled to
create supramolecular systems, can play a variety of functions, even more complex
and more clever than those invented by nature. The wonderful world of chemistry
has inspired scientists not only to prepare new molecules or investigate new
chemical processes, but also to create masterpieces. Some nice stories based on
chemical concepts (1) show that there cannot be borders on the Earth, (2)
underline that there is a tight connection among all forms of matter, and (3)
emphasize the irreplaceable role of sunlight.
PMID- 22076081
TI - Inner and outer beauty.
AB - Symmetry and pattern are precious forms of beauty that can be appreciated on both
the macroscopic and molecular scales. Crystallographers have long appreciated the
intimate connections between symmetry and molecular structure, reflected in their
appreciation for the artwork of Escher. This admiration has been applied in the
design of highly symmetrical coordination compounds. Two classes of materials are
discussed: extended coordination arrays and discrete supramolecular assemblies.
Extended coordination polymers have been implemented in gas separation and
storage due to the remarkably porosity of these materials, aided by the ability
to design ever-larger inner spaces within these frameworks. In the case of
discrete symmetrical structures, defined inner and outer space present a unique
aesthetic and chemical environment. The consequent host-guest chemistry and
applications in catalysis are discussed.
PMID- 22076082
TI - New directions in electron paramagnetic resonance spectroscopy on molecular
nanomagnets.
AB - Recent developments and results in the area of electron paramagnetic resonance
(EPR) in molecular nanomagnetism are reviewed. Emphasis is placed on
unconventional measurement methods, such as frequency-domain magnetic resonance
spectroscopy, interferometer-based Fourier-transform, terahertz spectroscopy, and
terahertz time-domain spectroscopy. In addition, different methods to investigate
EPR by monitoring the change in magnetization or magnetic torque in the presence
of microwave radiation are discussed. Finally, an overview is given of
application of pulse EPR in investigations of molecular nanomagnets.
PMID- 22076083
TI - Acute effects of verbal feedback on upper-body performance in elite athletes.
AB - Argus, CK, Gill, ND, Keogh, JWL, and Hopkins, WG. Acute effects of verbal
feedback on upper-body performance in elite athletes. J Strength Cond Res 25(12):
3282-3287, 2011-Improved training quality has the potential to enhance training
adaptations. Previous research suggests that receiving feedback improves single
effort maximal strength and power tasks, but whether quality of a training
session with repeated efforts can be improved remains unclear. The purpose of
this investigation was to determine the effects of verbal feedback on upper-body
performance in a resistance training session consisting of multiple sets and
repetitions in well-trained athletes. Nine elite rugby union athletes were
assessed using the bench throw exercise on 4 separate occasions each separated by
7 days. Each athlete completed 2 sessions consisting of 3 sets of 4 repetitions
of the bench throw with feedback provided after each repetition and 2 identical
sessions where no feedback was provided after each repetition. When feedback was
received, there was a small increase of 1.8% (90% confidence limits, +/-2.7%) and
1.3% (+/-0.7%) in mean peak power and velocity when averaged over the 3 sets.
When individual sets were compared, there was a tendency toward the improvements
in mean peak power being greater in the second and third sets. These results
indicate that providing verbal feedback produced acute improvements in upper-body
power output of well-trained athletes. The benefits of feedback may be greatest
in the latter sets of training and could improve training quality and result in
greater long-term adaptation.
PMID- 22076084
TI - Maximal lactate steady-state independent of recovery period during intermittent
protocol.
AB - Barbosa, LF, de Souza, MR, Correa Carita, RA, Caputo, F, Denadai, BS, and Greco,
CC. Maximal lactate steady-state independent of recovery period during
intermittent protocol. J Strength Cond Res 25(12): 3385-3390, 2011-The purpose of
this study was to analyze the effect of the measurement time for blood lactate
concentration ([La]) determination on [La] (maximal lactate steady state [MLSS])
and workload (MLSS during intermittent protocols [MLSSwi]) at maximal lactate
steady state determined using intermittent protocols. Nineteen trained male
cyclists were divided into 2 groups, for the determination of MLSSwi using
passive (VO(2)max = 58.1 +/- 3.5 ml.kg.min; N = 9) or active recovery (VO(2)max =
60.3 +/- 9.0 ml.kg.min; N = 10). They performed the following tests, in different
days, on a cycle ergometer: (a) Incremental test until exhaustion to determine
(VO(2)max and (b) 30-minute intermittent constant-workload tests (7 * 4 and 1 * 2
minutes, with 2-minute recovery) to determine MLSSwi and MLSS. Each group
performed the intermittent tests with passive or active recovery. The MLSSwi was
defined as the highest workload at which [La] increased by no more than 1 mmol.L
between minutes 10 and 30 (T1) or minutes 14 and 44 (T2) of the protocol. The
MLSS (Passive-T1: 5.89 +/- 1.41 vs. T2: 5.61 +/- 1.78 mmol.L) and MLSSwi (Passive
T1: 294.5 +/- 31.8 vs. T2: 294.7 +/- 32.2 W; Active-T1: 304.6 +/- 23.0 vs. T2:
300.5 +/- 23.9 W) were similar for both criteria. However, MLSS was lower in T2
(4.91 +/- 1.91 mmol.L) when compared with in T1 (5.62 +/- 1.83 mmol.L) using
active recovery. We can conclude that the MLSSwi (passive and active conditions)
was unchanged whether recovery periods were considered (T1) or not (T2) for the
interpretation of [La] kinetics. In contrast, MLSS was lowered when considering
the active recovery periods (T2). Thus, shorter intermittent protocols (i.e., T1)
to determine MLSSwi may optimize time of the aerobic capacity evaluation of well
trained cyclists.
PMID- 22076085
TI - The effects of adding different whole-body vibration frequencies to
preconditioning exercise on subsequent sprint performance.
AB - Ronnestad, BR and Ellefsen, S. The effects of adding different whole-body
vibration frequencies to preconditioning exercise on subsequent sprint
performance. J Strength Cond Res 25(12): 3306-3310, 2011-The phenomenon
postactivation potentiation can possibly be used to acutely improve sprint
performance. The purpose of this study was to investigate the effect of adding
whole-body vibration (WBV) to body-loaded half-squats, performed as
preconditioning activity to the 40-m sprint test. Nine male amateur soccer
players performed 1 familiarization session and 6 separate test sessions. Each
session included a standardized warm-up followed by 1 of the after
preconditioning exercises: 30-seconds of half-squats with WBV at either 50 or 30
Hz or half-squats without WBV. The 40-m sprint was performed 1 minute after the
preconditioning exercise. For each subject, each of the 3 protocols was repeated
twice on separate days in a randomized order. Mean values were used in the
statistical analysis. Performing the preconditioning exercise with WBV at a
frequency of 50 Hz resulted in a superior 40-m sprint performance compared to
preconditioning exercise without WBV (5.48 +/- 0.19 vs. 5.52 +/- 0.21 seconds,
respectively, p < 0.05). There was no difference between preconditioning exercise
with WBV at a frequency of 30 Hz and the no-WBV condition. In conclusion,
preconditioning exercise performed with WBV at 50 Hz seems to enhance 40-m sprint
performance in recreationally trained soccer players. The present findings
suggest that coaches can incorporate such exercise into the warm-up to improve
sprint performance or the quality of the sprint training.
PMID- 22076086
TI - The acute potentiating effects of back squats on athlete performance.
AB - Crewther, BT, Kilduff, LP, Cook, CJ, Middleton, MK, Bunce, PJ, and Yang, G-Z. The
acute potentiating effects of back squats on athlete performance. J Strength Cond
Res 25(12): 3319-3325, 2011-This study examined the acute potentiating effects of
back squats on athlete performance with a specific focus on movement specificity
and the individual timing of potentiation. Nine subelite male rugby players
performed 3 protocols on separate occasions using a randomized, crossover, and
counterbalanced design. Each protocol consisted of performance testing before a
single set of 3 repetition maximum (3RM) back squats, followed by retesting at
~15 seconds, 4, 8, 12, and 16 minutes. The 3 tests were countermovement jumps
(CMJs), sprint performance (5 and 10 m), and 3-m horizontal sled pushes with a
100-kg load. Relationships between the individual changes in salivary
testosterone and cortisol concentrations and performance were also examined. The
3RM squats significantly (p < 0.001) improved CMJ height at 4 (3.9 +/- 1.9%), 8
(3.5 +/- 1.5%), and 12 (3.0 +/- 1.4%) minutes compared with baseline values, but
no temporal changes in sprinting and sled times were identified. On an individual
level, the peak relative changes in CMJ height (6.4 +/- 2.1%, p < 0.001) were
greater than the 3-m sled (1.4 +/- 0.6%), 5-m (2.6 +/- 1.0%), and 10-m sprint
tests (1.8 +/- 1.0%). In conclusion, a single set of 3RM squats was found
effective in acutely enhancing CMJ height in the study population, especially
when the recovery period was individualized for each athlete. The study results
also suggest that the potentiating effects of squats may exhibit some degree of
movement specificity, being greater for those exercises with similar movement
patterns. The current findings have practical implications for prescribing warm
up exercises, individualizing training programs, and for interpreting
postactivation potentiation research.
PMID- 22076087
TI - Aerobic capacities and anthropometric characteristics of elite female soccer
players.
AB - Ingebrigtsen, J, Dillern, T, and Shalfawi, SAI. Aerobic capacities and
anthropometric characteristics of elite female soccer players. J Strength Cond
Res 25(12): 3352-3357, 2011-This study investigated aerobic capacities and
anthropometric characteristics within a group of 29 elite female soccer players.
The purpose was to identify and establish aerobic capacities and anthropometric
characteristics for these players and to look for possible positional differences
between keepers, defenders, midfielders, and attackers. We did this by measuring
standard anthropometrical variables and maximal oxygen (VO(2)max) and anaerobic
threshold (AT). One-way analysis of variance revealed no significant differences
among anthropometric or physiological variables. However, a trend (p = 0.062)
toward positional differences was found within running speed at AT. A subsequent
Tukey post hoc test showed differences (p = 0.04) between keepers and defenders,
with the latter running faster (~1.7 km.h) at AT. The present results suggest
that few anthropometric and physiological differences exist between playing
positions in elite female soccer players. Furthermore, the current results
indicate that present elite players' physiological characteristics are similar to
those previously shown, despite the rapid changes of the female soccer game.
Based on well-established knowledge that different playing positions within a
soccer team ought to have distinct capacities, we recommend regular testing
programs to be able to construct and implement tailored training programs for
players' physical capacities with respect to the demands of their playing
positions.
PMID- 22076088
TI - Fatiguing upper body aerobic exercise impairs balance.
AB - Douris, PC, Handrakis, JP, Gendy, J, Salama, M, Kwon, D, Brooks, R, Salama, N,
and Southard, V. Fatiguing upper body aerobic exercise impairs balance. J
Strength Cond Res 25(12): 3299-3305, 2011-There are many studies that have
examined the effects of selectively fatiguing lower extremity muscle groups with
various protocols, and they have all shown to impair balance. There is limited
research regarding the effect of fatiguing upper extremity exercise on balance.
Muscle fiber-type recruitment patterns may be responsible for the difference
between balance impairments because of fatiguing aerobic and anaerobic exercise.
The purpose of our study was to investigate the effect that aerobic vs. anaerobic
fatigue, upper vs. lower body fatigue will have on balance, and if so, which
combination will affect balance to a greater degree. Fourteen healthy subjects, 7
men and 7 women (mean age 23.5 +/- 1.7 years) took part in this study. Their mean
body mass index was 23.6 +/- 3.2. The study used a repeated-measures design. The
effect on balance was documented after the 4 fatiguing conditions: aerobic lower
body (ALB), aerobic upper body (AUB), anaerobic lower body, anaerobic upper body
(WUB). The aerobic conditions used an incremental protocol performed to fatigue,
and the anaerobic used the Wingate protocol. Balance was measured as a single-leg
stance stability score using the Biodex Balance System. A stability score for
each subject was recorded immediately after each of the 4 conditions. A repeated
measures analysis of variance with the pretest score as a covariate was used to
analyze the effects of the 4 fatiguing conditions on balance. There were
significant differences between the 4 conditions (p = 0.001). Post hoc analysis
revealed that there were significant differences between the AUB, mean score 4.98
+/- 1.83, and the WUB, mean score 4.09 +/- 1.42 (p = 0.014) and between AUB and
ALB mean scores 4.33 +/- 1.40 (p = 0.029). Normative data for single-leg
stability testing for this age group are 3.9 +/- 1.9. Higher scores reflect
greater balance deficits. The AUB condition produced the greatest balance
deficit. Our data provide evidence of the important role of the upper body in
maintaining unilateral standing balance and supports its inclusion as part of
rehabilitation and training protocols designed to improve balance.
PMID- 22076089
TI - Validity and reliability of agility tests in junior Australian football players.
AB - Young, W, Farrow, D, Pyne, D, McGregor, W, and Handke, T. Validity and
reliability of agility tests in junior Australian football players. J Strength
Cond Res 25(12): 3399-3403, 2011-The importance of sport-specific stimuli in
reactive agility tests (RATs) compared to other agility tests is not known. The
purpose of this research was to determine the validity and reliability of agility
tests. Fifty junior Australian football players aged 15-17 years, members of
either an elite junior squad (n = 35) or a secondary school team (n = 15), were
assessed on a new RAT that involved a change of direction sprint in response to
the movements of an attacking player projected in life size on a screen. These
players also underwent the planned Australian Football League agility test, and a
subgroup (n = 13) underwent a test requiring a change of direction in response to
a left or right arrow stimulus. The elite players were significantly better than
the school group players on the RAT (2.81 +/- 0.08 seconds, 3.07 +/- 0.12
seconds; difference 8.5%) but not in the arrow stimulus test or planned agility
test. The data were log transformed and the reliability of the new RAT estimated
using typical error (TE) expressed as a coefficient of variation. The TE for the
RAT was 2.7% (2.0-4.3, 90% confidence interval) or 0.07 seconds (0.5-1.0), with
an intraclass correlation coefficient (ICC) of 0.33. For the test using the arrow
stimulus, the TE was 3.4% (2.4-6.2), 0.09 (0.06-0.15) seconds, and ICC was 0.10.
The sport-specific stimulus provided by the new RAT is a crucial component of an
agility test; however, adoption of the new RAT for routine testing is likely to
require more accessible equipment and several familiarization trials to improve
its reliability.
PMID- 22076090
TI - Effects of unilateral and bilateral plyometric training on power and jumping
ability in women.
AB - Makaruk, H, Winchester, JB, Sadowski, J, Czaplicki, A, and Sacewicz, T. Effects
of unilateral and bilateral plyometric training on power and jumping ability in
women. J Strength Cond Res 25(12): 3311-3318, 2011-The purpose of this study was
to examine the effects of unilateral and bilateral plyometric exercise on peak
power and jumping performance during different stages of a 12-week training and
detraining in women. Forty-nine untrained but physically active female college
students were randomly assigned to 1 of 3 groups: unilateral plyometric group (n
= 16), bilateral plyometric group (BLE; n = 18), and a control group (n = 15).
Peak power and jumping ability were assessed by means of the alternate leg tests
(10-second Wingate test and 5 alternate leg bounds), bilateral leg test
(countermovement jump [CMJ]) and unilateral leg test (unilateral CMJ).
Performance indicators were measured pretraining, midtraining, posttraining, and
detraining. Differences between dependent variables were assessed with a 3 * 4
(group * time) repeated analysis of variance with Tukey's post hoc test applied
where appropriate. Effect size was calculated to determine the magnitude of
significant differences between the researched parameters. Only the unilateral
plyometric training produced significant (p < 0.05) improvement in all tests from
pretraining to midtraining, but there was no significant (p < 0.05) increase in
performance indicators from midtraining to posttraining. The BLE group
significantly (p < 0.05) improved in all tests from pretraining to posttraining
and did not significantly (p > 0.05) decrease power and jumping ability in all
tests during detraining. These results suggest that unilateral plyometric
exercises produce power and jumping performance during a shorter period when
compared to bilateral plyometric exercises but achieved performance gains last
longer after bilateral plyometric training. Practitioners should consider the
inclusion of both unilateral and bilateral modes of plyometric exercise to elicit
rapid improvements and guard against detraining.
PMID- 22076091
TI - Physical and performance characteristics of Japanese division 1 collegiate
football players.
AB - Iguchi, J, Yamada, Y, Ando, S, Fujisawa, Y, Hojo, T, Nishimura, K, Kuzuhara, K,
Yuasa, Y, and Ichihashi, N. Physical and performance characteristics of Japanese
division 1 collegiate football players. J Strength Cond Res 25(12): 3368-3377,
2011-This study aimed to establish the physical and performance characteristics
of football players in the Japanese Division 1 collegiate football program and
perform a comparison of these characteristics between Japanese (n = 208) and US
Division 1 football players (n = 797). The following comparisons were made: (a)
between a higher-ranked university team vs. a lower-ranked university team in
Japan, (b) between different playing positions in Japan, (c) between starters and
nonstarters in Japan, and (d) between playing positions in Japan vs. those in the
United States. The results of this study suggest that players in the higher
ranked university team were heavier, stronger in back squat, jumped higher, and
had greater power than those on the lower-ranked team. Furthermore, linemen were
generally characterized by larger size, greater strength, and more fat as
compared with backs. On the other hand, backs tended to be faster, smaller in
physical size, have higher vertical jump height, and show greater relative
strength than linemen did. Starters were taller, heavier, stronger, had more
powerful, and more fat-free mass than nonstarters. Finally, our results revealed
that players in the United States were superior to players in Japan in all body
status comparisons (p < 0.01). This study revealed that performance and superior
body composition are essential for the success of a football player. Power and
strength seem to be key factors in defining good football performance.
PMID- 22076092
TI - Test-retest reliability for hitting accuracy tennis test.
AB - Strecker, E, Foster, EB, and Pascoe, DD. Test-retest reliability for hitting
accuracy tennis test. J Strength Cond Res 25(12): 3501-3505, 2011-The purpose of
this investigation was to assess a test-retest reliability of the hitting
accuracy tennis test (HATT). Twelve National Collegiate Athletic Association
(NCAA) division I tennis players (4 men and 8 women) volunteered to participate
in this investigation. Skill tests consisted of 15 consecutive ground strokes in
all 4 directions (forehand [FH] and backhand [BH]; crosscourt and up the line)
with not >1 minute between directions. The court was divided into 12 areas, and
each area was assigned a value according to a grid system based on offensive,
defensive, and neutral shots ranging from 1 point to 6 points. Total score,
unforced errors, and shot index (total number of shots that landed on optimal
performance areas 5 and 6 minus total number of unforced errors) were used for
statistical analysis. The order of shot direction was randomized between
participants and trials. The analysis of variance with repeated measures (p value
<= 0.05) of this investigation showed no statistical difference between trials on
any of the measurements. The results also suggest that division I level tennis
players have the ability to hit accurately specific targets on a tennis court
using either FH or BH with minimal daily variation. Therefore, we conclude that
the HATT for trained tennis athletes is a simple, reliable, and accurate
assessment tool to measure tennis skill performance based on accuracy. The HATT
is also an easy, inexpensive training device that coaches can use to monitor
players development.
PMID- 22076093
TI - The yo-yo intermittent recovery test in junior basketball players according to
performance level and age group.
AB - The aim of this study was to evaluate the Yo-Yo Intermittent Recovery Test Level
1 (Yo-Yo IR1) ability to discriminate between elite, subelite junior basketball
players, and a group of nonathletic healthy male athletes at 3 different age
groups (U-14 to U-17). In a cross-sectional design, 119 age-matched participants
spread over 3 groups, elite (n = 46), subelite (n = 42) junior basketball
players, and nonathletic healthy male athletes (n = 31), were evaluated over a 5
week period. The participants undertook 2 familiarization trials of the Yo-Yo
test performance and 3 test sessions on an indoor basketball court. When
controlling for the effect of the participants' body mass, the results showed
that elite athletes had a significantly higher Yo-Yo performance compared with
the subelite athletes (1,271 +/- 385 vs. 861 +/- 428 m; p < 0.0017; effect size
[ES] 1.0 +/- 0.35) and the nonathletic group (1,271 +/- 385 vs. 738 +/- 345 m; p
< 0.0017; ES 1.45 +/- 0.38). No statistical differences (p > 0.0017; ES from 0.02
to 0.39) were noted between participants' performance levels across age groups.
Typical between-performance levels and -age groups differences in the Yo-Yo IR1
were observed. However, when controlling for the effect of the participants' body
mass, this study demonstrates that the Yo-Yo test is accurate only to
discriminate elite junior basketball players but cannot be used to differentiate
the basketball-specific aerobic performance for age.
PMID- 22076094
TI - Rocker-bottom, profile-type shoes do not increase lower extremity muscle activity
or energy cost of walking.
AB - The purpose of this study was to determine if wearing rocker-bottom shoes with
compliant midsoles (RB) influences muscle activity and metabolic cost of walking.
Furthermore, we sought to determine if weight differences between shod conditions
accounted for any potential change. Twenty-eight subjects (17 women, 11 men, age
22.8 +/- 6.6 years; weight 72 +/- 20 kg; height 170 +/- 6.7 cm; percent body fat
23.0 +/- 11.7) walked on a treadmill (0% grade) for 10 minutes at a self-selected
speed plus 10% (1.3 +/- 0.2 m.s) in each of the following laboratory-provided
shoes: flat-bottomed shoe (W), flat-bottomed shoe weight-matched to RB (WM), and
RB. Muscle activity of the right side biceps femoris (BF), rectus femoris (RF),
gastrocnemius (GA), and tibalis anterior (TA) was recorded for 30 seconds at the
beginning, middle, and ending of the 10-minute walk using an electromyography
(EMG) system. The average (AVG) and root mean square (RMS) were calculated from
full-wave rectified EMG data at each interval. The rate of oxygen consumption
(V[Combining Dot Above]O2) was measured for 10 minutes during each condition. A 3
(shoe) * 3 (time) repeated-measures analysis of variance (ANOVA) was used to
compare each EMG-dependent variable (AVG and RMS EMG of each muscle), and
repeated measures ANOVA was used to test V[Combining Dot Above]O2. Muscle
activity (for any muscle) was not influenced by the interaction of shoe and time
(p > 0.05). The AVG and RMS for RF, BF, and GA, including V[Combining Dot
Above]O2, were not different among shod conditions (W: 9.7 +/- 0.6 ml.kg.min; WM:
10.0 +/- 0.5 ml.kg.min; RB: 10.1 +/- 0.5 ml.kg.min), whereas TA AVG and RMS were
lower during RB (p < 0.05). It seems that there is no increase in muscle activity
or metabolic cost while wearing RB beyond the flat-bottomed shoe despite there
being the rocker-profile design and mass differences.
PMID- 22076095
TI - Changes in strength over a 2-year period in professional rugby union players.
AB - The purpose of this study was to assess the magnitude of upper and lower body
strength changes in highly trained professional rugby union players after 2 years
of training. An additional purpose was to examine if the changes in strength were
influenced by the starting strength level, lean mass index (LMI), or
chronological age. This longitudinal investigation tracked maximal strength and
body composition over 3 consecutive years in 20 professional rugby union
athletes. Maximal strength in the bench press and back squat and body composition
was assessed during preseason resistance training sessions each year. The
athletes completed a very rigorous training program throughout the duration of
this study consisting of numerous resistance, conditioning and skills training
sessions every week. The primary findings of this study were as follows: (a)
Maximal upper and lower body strength was increased by 6.5-11.5% after 2 years of
training (p = 0.000-0.002 for bench press; p = 0.277-0.165 for squat); (b)
magnitude of the improvement was negatively associated with initial strength
level (r = -0.569 to -0.712, p <= 0.05); (c) magnitude of improvement in lower
body maximal strength was positively related to the change in LMI (an indicator
of hypertrophy; r = 0.692-0.880, p <= 0.05); and (d) magnitude of improvement was
not associated with the age of professional rugby union athletes (r = -0.068 to
0.345). It appears particularly important for training programs to be designed
for continued muscle hypertrophy in highly trained athletes. Even in professional
rugby union athletes, this must be achieved in the face of high volumes of
aerobic and skills training if strength is to be increased.
PMID- 22076096
TI - Physical characteristics and physiological attributes of female volleyball
players--the need for individual data.
AB - The purpose of this study was twofold: (a) to profile physical characteristics
and physiological attributes of adolescent and adult Greek female volleyball
players (n = 61) who were members of the A (the best league for female volleyball
players) and B (the second-best league for female volleyball players) Series
clubs in Greece and (b) to examine the intraindividual variability among these
players in all physical and physiological measurements that were undertaken in
the study. The participants were divided into 3 age groups--under 14, 14-18, and
over 18 years. They underwent a series of physical (e.g., height, body mass, and
percentage of body fat) and physiological (e.g., aerobic profile, flexibility,
and vertical jumping ability) tests. Three main findings emerged from the data
analysis: (a) differences in physical characteristics and physiological
attributes existed between the 3 age groups. For example, fat-free mass was lower
in players under the age of 14 years (41.57 +/- 6.06 kg) compared with that in
players between the ages of 14-18 years (50.24 +/- 6.96 kg) and players over the
age of 18 years (52.03 +/- 3.39 kg). In addition, the relative peak power as
measured in the Wingate Anaerobic Test was the highest in the over-18 group (9.72
+/- 0.65 W.kg), lower in the 14-18 group (8.95 +/- 0.7), and the lowest in the
under-14 group (8.32 +/- 0.78 W.kg), (b) large intraindividual variability
existed in most physical characteristics and physiological attributes measured in
the study, and (c) the intraindividual variability was observed in all the 3
groups. These findings emphasize the need for coaches to examine the
intraindividual variability within the players on their teams and to use this
information when designing training programs and strength and conditioning
programs.
PMID- 22076097
TI - Work volume and strength training responses to resistive exercise improve with
periodic heat extraction from the palm.
AB - Body core cooling via the palm of a hand increases work volume during resistive
exercise. We asked: (a) "Is there a correlation between elevated core
temperatures and fatigue onset during resistive exercise?" and (b) "Does palm
cooling between sets of resistive exercise affect strength and work volume
training responses?" Core temperature was manipulated by 30-45 minutes of fixed
load and duration treadmill exercise in the heat with or without palm cooling.
Work volume was then assessed by 4 sets of fixed load bench press exercises. Core
temperatures were reduced and work volumes increased after palm cooling (Control:
Tes = 39.0 +/- 0.1 degrees C, 36 +/- 7 reps vs. Cooling: Tes = 38.4 +/- 0.2
degrees C, 42 +/- 7 reps, mean +/- SD, n = 8, p < 0.001). In separate
experiments, the impact of palm cooling on work volume and strength training
responses were assessed. The participants completed biweekly bench press or pull
up exercises for multiple successive weeks. Palm cooling was applied for 3
minutes between sets of exercise. Over 3 weeks of bench press training, palm
cooling increased work volume by 40% (vs. 13% with no treatment; n = 8, p <
0.05). Over 6 weeks of pull-up training, palm cooling increased work volume by
144% in pull-up experienced subjects (vs. 5% over 2 weeks with no treatment; n =
7, p < 0.001) and by 80% in pull-up naive subjects (vs. 20% with no treatment; n
= 11, p < 0.01). Strength (1 repetition maximum) increased 22% over 10 weeks of
pyramid bench press training (4 weeks with no treatment followed by 6 weeks with
palm cooling; n = 10, p < 0.001). These results verify previous observations
about the effects of palm cooling on work volume, demonstrate a link between core
temperature and fatigue onset during resistive exercise, and suggest a novel
means for improving strength and work volume training responses.
PMID- 22076099
TI - Relationship between measures of balance and strength in middle-aged adults.
AB - The purpose of this study was to investigate the relationship between variables
of static and dynamic postural control as well as between isometric and dynamic
muscle strength. A single-group design was used. Thirty-two middle-aged healthy
adults (mean age: 56 +/- 4 years) performed measurements of static
(unperturbed)/dynamic (perturbed) balance and of isometric (i.e., maximal
isometric torque [MIT]; rate of torque development [RTD] of the plantar
flexor)/dynamic (i.e., countermovement jump [CMJ] height and power) lower
extremity muscle strength. No significant associations were observed between
variables of static and dynamic postural control (r = +0.128-0.341, p > 0.05) and
between measures of balance and strength (r = -0.189 to +0.316, p > 0.05).
Significant positive correlations were detected between variables of isometric
and dynamic strength ranging from r = +0.361 to +0.501 (p < 0.05). Further,
simple regression analyses revealed that a 10% increase in the mean CMJ height
(3.1 cm) was associated with 44.4 N.m and 118.4 N.m.s better MIT and RTD,
respectively. The nonsignificant correlations between static and dynamic balance
measures and between balance and strength variables imply that static and dynamic
postural control and balance and strength are independent of each other and may
have to be tested and trained complementarily.
PMID- 22076098
TI - Lower extremity biomechanics during a regular and counterbalanced squat.
AB - If the efficiency of human movement patterns could be improved using exercise,
this could lead to more effective musculoskeletal disease-injury prevention and
rehabilitation programs. It has been suggested that an efficient squat movement
pattern emphasizes the use of the large hip extensors instead of the smaller knee
extensors. The purpose of this study was to determine whether a counterbalanced
squat (CBS) could produce a more hip-dominant and less knee-dominant squat
movement pattern as compared with a regular squat (RS). There were 31
recreationally trained college-aged participants (15 male, 16 female) who
performed 10 squats (5 CBS and 5 RS), while segment kinematics, ground reaction
forces, and muscle (gluteus maximus [GM], quadriceps, hamstrings)
electromyographic (EMG) activations were recorded. Peak sagittal plane net joint
moments and joint ranges of motion at the hip, knee, and ankle joints along with
peak and integrated EMG activation levels for all 3 muscles were compared using
analysis of variance (squat type * sex). The results revealed that the CBS
increased the hip joint moment and GM activation, while it decreased the knee
joint moment and quadriceps activation as compared with the RS. Therefore, the
CBS produces a more hip-dominant and less knee-dominant squat movement pattern
and could be used in exercise programs aimed at producing more hip-dominant
movement patterns.
PMID- 22076100
TI - Effect of verbal instruction on muscle activity during the bench press exercise.
AB - Recent research suggests that humans have some ability to selectively activate or
relax some muscles during isometric or dynamic muscle actions without changing
posture or position. This study sought to reveal whether trained athletes could
isolate either the pectoral or triceps muscles, respectively, at different
intensities when given verbal technique instruction. Eleven male Division III
football players performed 3 sets of bench press at 50% 1-repetition max (1RM)
and 80% 1RM while electromyographic (EMG) activity was recorded from the
pectoralis major (PM), anterior deltoid (AD), and triceps brachii (TB). In the
first set, the subjects performed the exercise without instruction. In the second
set, the subjects were given verbal instructions to use only chest muscles. In
the third set, the subjects were instructed to use only triceps muscles. Mean
normalized root mean square EMG activity was calculated during 3 repetitions in
each condition. Repeated-measures analysis of variance was used to detect
differences from the preinstruction condition, with significance set to p <=
0.017 as indicated by a Bonferroni correction for multiple comparisons. During
the 50% max lift with verbal instructions to focus on chest muscles, PM EMG
activity increased by 22% over preinstruction activity (p = 0.005), whereas AD
and TB activities were statistically unchanged. When the subjects were instructed
to focus on only the triceps muscles, PM returned to baseline activity, whereas
TB activity was increased by 26% (p = 0.005). When the lift was increased to 80%
max, PM and AD activities were both increased with verbal instructions to use
only chest muscles. The TB activity was unchanged during the 80% lifts,
regardless of instructions. In conclusion, it is found that verbal technique
instruction is effective in shifting muscle activity during a basic lift, but it
may be less effective at higher intensities.
PMID- 22076101
TI - Scapular muscle activity from selected strengthening exercises performed at low
and high intensities.
AB - A balanced level of muscle strength between the different parts of the scapular
muscles is important in optimizing performance and preventing injuries in
athletes. Emerging evidence suggests that many athletes lack balanced strength in
the scapular muscles. Evidence-based recommendations are important for proper
exercise prescription. This study determines scapular muscle activity during
strengthening exercises for scapular muscles performed at low and high
intensities (Borg CR10 levels 3 and 8). Surface electromyography (EMG) from
selected scapular muscles was recorded during 7 strengthening exercises and
expressed as a percentage of the maximal EMG. Seventeen women (aged 24-55 years)
without serious disorders participated. Several of the investigated exercises
press-up, prone flexion, one-arm row, and prone abduction at Borg 3 and press-up,
push-up plus, and one-arm row at Borg 8-predominantly activated the lower
trapezius over the upper trapezius (activation difference [Delta] 13-30%).
Likewise, several of the exercises-push-up plus, shoulder press, and press-up at
Borg 3 and 8-predominantly activated the serratus anterior over the upper
trapezius (Delta18-45%). The middle trapezius was activated over the upper
trapezius by one-arm row and prone abduction (Delta21-30%). Although shoulder
press and push-up plus activated the serratus anterior over the lower trapezius
(Delta22-33%), the opposite was true for prone flexion, one-arm row, and prone
abduction (Delta16-54%). Only the press-up and push-up plus activated both the
lower trapezius and the serratus anterior over the upper trapezius. In
conclusion, several of the investigated exercises both at low and high
intensities predominantly activated the serratus anterior and lower and middle
trapezius, respectively, over the upper trapezius. These findings have important
practical implications for exercise prescription for optimal shoulder function.
For example, both workers with neck pain and athletes at risk of shoulder
impingement (e.g., overhead sports) should perform push-up plus and press-ups to
specifically strengthen the serratus anterior and lower trapezius.
PMID- 22076102
TI - Achievement of VO2max criteria during a continuous graded exercise test and a
verification stage performed by college athletes.
AB - The purpose of this study was to determine the incidence of meeting specific
VO2max criteria and to test the effectiveness of a VO2max verification stage in
college athletes. Thirty-five subjects completed a continuous graded exercise
test (GXT) to volitional exhaustion. The frequency of achieving various
respiratory exchange ratio (RER) and age-predicted maximum heart rate (HRmax)
criteria and a VO2 plateau within 2 and 2.2 ml.kg(-1).min(-1) (<2SD of the
expected increase in VO2) were measured and tested against expected frequencies.
After 10 minutes of active recovery, 10 subjects who did not demonstrate a
plateau completed a verification stage performed at supramaximal intensity. From
the GXT, the number of subjects meeting VO2max plateau was 5 (<=2 ml.kg(-1).min(
1)) and 7 (<=2.2 ml.kg(-1).min(-1)), RER criteria 34 (>=1.05), 32 (>=1.10), and
24 (>=1.15), HRmax criteria, 35 (<85%), 29 (<10 b.min(-1)) and 9 (HRmax). The
VO2max and HRmax did not differ between GXT and the verification stage (53.6 +/-
5.6 vs. 55.5 +/- 5.6 ml.kg(-1).min(-1) and 187 +/- 7 vs. 187 +/- 6 b.min(-1));
however, the RER was lower during the verification stage (1.15 +/- 0.06 vs. 1.07
+/- 0.07, p = 0.004). Six subjects achieved a similar VO2 (within 2.2 ml.kg(
1).min(-1)), whereas 4 achieved a higher VO2 compared with the GXT. These data
demonstrate that a continuous GXT limits the college athlete's ability to achieve
VO2max plateau and certain RER and HR criteria. The use of a verification stage
increases the frequency of VO2max achievement and may be an effective method to
improve the accuracy of VO2max measurements in college athletes.
PMID- 22076104
TI - A ras GTPase-activating protein-binding protein, TaG3BP, associated with the
modulation of male fertility in a thermo-sensitive cytoplasmic male sterile wheat
line.
AB - Hybrid wheat development may contribute to higher, more stable yield and could
result in greater food security for much of the world's growing population. YS
type thermo-sensitive cytoplasmic male sterile (TCMS) wheat lines were developed
for use in hybrid wheat breeding in China. To investigate the molecular mechanism
of modulation of male fertility in the YS-type TCMS wheat lines, a ras GTPase
activating protein-binding protein (TaG3BP) was examined. The deduced amino acid
sequence encoded by TaG3BP was conserved in the sequenced genomes of Embryophyte.
TaG3BP expression in the anthers of YS-type TCMS lines taken at the critical
fertility reversion stage of pollen development from male fertile anthers was
higher than that from male-sterile anthers, either by quantitative real-time PCR
or by western blot analysis. Sequence analysis on the cDNA and genomic DNA of
TaG3BP in three kinds of K-type CMS wheat lines and their maintainers indicated
that there were no significant difference between the genes or in their 5'
flanking sequences. The TaG3BP expression revealed by quantitative real-time RT
PCR was lower in the young spikes of these CMS lines than that of their
maintainers. This indicates that TaG3BP expression is associated with the
modulation, from male-sterile to fertile, of the TCMS wheat line, and TaG3BP
might be a key factor in the pathway responsible for the fertility reversion.
PMID- 22076103
TI - Heterotrophic bacteria in drinking water distribution system: a review.
AB - The microbiological quality of drinking water in municipal water distribution
systems (WDS) depends on several factors. Free residual chlorine and/or
chloramines are typically used to minimize bacterial recontamination and/or
regrowth in WDS. Despite such preventive measures, regrowth of heterotrophic
(HPC) and opportunistic bacteria in bulk water and biofilms has yet to be
controlled completely. No approach has shown complete success in eliminating
biofilms or HPC bacteria from bulk water and pipe surfaces. Biofilms can provide
shelter for pathogenic bacteria and protect these bacteria from disinfectants.
Some HPC bacteria may be associated with aesthetic and non-life threatening
diseases. Research to date has achieved important success in understanding
occurrence and regrowth of bacteria in bulk water and biofilms in WDS. To achieve
comprehensive understanding and to provide efficient control against bacteria
regrowth, future research on bacteria regrowth dynamics and their implications is
warranted. In this study, a review was performed on the literature published in
this area. The findings and limitations of these papers are summarized.
Occurrences of bacteria in WDS, factors affecting bacteria regrowth in bulk water
and biofilms, bacteria control strategies, sources of nutrients, human health
risks from bacterial exposure, modelling of bacteria regrowth and methods of
bacteria sampling and detection and quantification are investigated. Advances to
date are noted, and future research needs are identified. Finally, research
directions are proposed to effectively control HPC and opportunistic bacteria in
bulk water and biofilms in WDS.
PMID- 22076105
TI - Toxicogenomic comparison of multi-wall carbon nanotubes (MWCNTs) and asbestos.
AB - Carbon nanotubes (CNTs) have specific properties, including electrical and
thermal conductivity, great strength, and rigidity, that allow them to be used in
many fields. However, this increasing contact with humans and the environment is
also raising health and safety concerns. Thus, research on the safety of CNTs has
attracted much interest, including a comparison of the toxic effects of asbestos
and carbon nanotubes, due to their physical similarity of a high aspect ratio
(length/diameter). Nonetheless, there has not yet been a toxicogenomic
comparison. Therefore, to examine toxicogenomic effects, the 50% growth
inhibition (GI(50)) concentration was determined for multi-wall carbon nanotubes
(MWCNTs) and asbestos (crocidolite) and found to be approximately 0.0135 and
0.066%, respectively, in the case of 24-h treatment of normal human bronchial
epithelia (NHBE) cells. Using these GI(50) concentrations, NHBE cells were then
treated with MWCNTs and asbestos for 6 and 24 h, followed by a DNA microarray
analysis. Among 31,647 genes, 1,201 and 1,252 were up-regulated by both asbestos
and MWCNTs after 6 and 24 h of exposure, respectively. Meanwhile, 1,977 and 1,542
genes were down-regulated by both asbestos and MWNCTs after 6 and 24 h of
exposure, respectively. In particular, the asbestos and MWCNTs both induced an
over twofold up- and down-regulated expression of 12 mesothelioma-related genes
and 22 lung cancer-related genes when compared with the negative control. Plus,
the genes induced by the MWCNT exposure were expressed in the brain, lungs,
epithelium, liver, and colon.
PMID- 22076106
TI - Magnetic nanoparticles: an update of application for drug delivery and possible
toxic effects.
AB - Magnetic nanoparticles (MNPs) represent a subclass within the overall category of
nanomaterials and are widely used in many applications, particularly in the
biomedical sciences such as targeted delivery of drugs or genes, in magnetic
resonance imaging, and in hyperthermia (treating tumors with heat). Although the
potential benefits of MNPs are considerable, there is a distinct need to identify
any potential toxicity associated with these MNPs. The potential of MNPs in drug
delivery stems from the intrinsic properties of the magnetic core combined with
their drug loading capability and the biomedical properties of MNPs generated by
different surface coatings. These surface modifications alter the particokinetics
and toxicity of MNPs by changing protein-MNP or cell-MNP interactions. This
review contains current advances in MNPs for drug delivery and their possible
organ toxicities associated with disturbance in body iron homeostasis. The
importance of protein-MNP interactions and various safety considerations relating
to MNP exposure are also addressed.
PMID- 22076107
TI - Mechanistic study on liver tumor promoting effects of flutamide in rats.
AB - Flutamide (FLU), a nonsteroidal anti-androgen, is used for the treatment of
prostate cancer but is also a cytochrome P450 (CYP) 1A inducer. Some CYP1A
inducers are known to exert hepatocellular tumor-promoting activities in rodents,
and reactive oxygen species (ROS) produced by CYP1A1 induction via a metabolism
of FLU is probably involved in the liver tumor promotion. In the present study,
to clarify the possible liver tumor promoting effect of FLU, a two-stage liver
carcinogenesis assay was performed using male F344 rats. Rats received an
intraperitoneal (ip) injection of 200 mg/kg body weight of N-diethylnitrosamine
(DEN) and fed a diet containing 0, 0.1 or 0.2% FLU for 6 weeks. After 2 weeks of
DEN treatment, all rats were subjected to two-thirds partial hepatectomy. Animals
were killed 8 weeks after ip injection of DEN. Immunohistochemically, the number
and area of glutathione S-transferase placental form (GST-P)-positive foci
significantly increased in the liver of rats given 0.2% FLU as compared with the
control. Ki-67-positive cell ratio also increased in rats given FLU at both
concentrations. ROS generation in the microsomal fraction and production of
thiobarbituric acid-reactive substance [TBARS] and 8-hydroxy-2'-deoxyguanosine (8
OHdG) content in the liver did not increase in any of the FLU-treated groups. The
results of microarray and real-time RT-PCR revealed that phase 1 drug
metabolizing enzymes such as CYP1A1, Ugt1a61 and Nqo1 and phase II drug
metabolizing enzymes such as Yc2, Akr1b7, Akr1b8, Akr1b10, Aldh1a1, Gpx2 and Me1
were up-regulated in rats treated with FLU. In addition, the MAPK pathway family
related genes such as Prkcalpha, Mek1, Rafb, Myc, Mek2, Raf1 and Egfr were also
up-regulated in FLU-treated groups. The results of the present study indicate
that FLU is a CYP1A inducer but does not cause any production of microsomal ROS
in the liver and suggest that microsomal ROS is not involved in the liver tumor
promoting effect of FLU.
PMID- 22076108
TI - Comparison of experimentally determined and mathematically predicted percutaneous
penetration rates of chemicals.
AB - The aim of the study was to evaluate the predictive potential of three different
mathematical models for the percutaneous penetration of industrial solvents with
respect to our experimental data. Percutaneous penetration rates (fluxes) from
diffusion cell experiments of 11 chemicals were compared with fluxes predicted by
mathematical models. The chemicals considered were three glycol ethers (2
butoxyethanol, diethylene glycol monobutyl ether and 1-ethoxy-2-propanol), three
alcohols (ethanol, isopropanol and methanol), two glycols (ethylene glycol and
1,2-propanediol), one aromatic hydrocarbon (toluene) and two aromatic amines
(aniline and o-toluidine). For the mathematical prediction of fluxes, models
described by Fiserova-Bergerova et al. (Am J Ind Med 17:617-635 1990), Guy and
Potts (Am J Ind Med 23:711-719 1993) and Wilschut et al. (Chemosphere 30:1275
1296 1995) were used. The molecular weights, octanol-water partition coefficients
(LogP) and water solubilities of the compounds were obtained from a database for
modelling. The fit between the mathematically predicted and experimentally
determined fluxes was poor (R(2) = 0.04-0.29; linear regression). The flux
differences ranged up to a factor of 412. For 4 compounds, the Guy and Potts
model showed a closer fit with the experimental flux than the other models. The
Wilschut et al. model showed a lower flux difference for 4 compounds as compared
to experimental data than the models of Fiserova-Bergerova et al. and Guy and
Potts. The Fiserova-Bergerova et al. model showed for 3 compounds a lower flux
difference to experimental data than the other models. This study demonstrates
large differences between mathematically predicted and experimentally determined
fluxes. The percutaneous penetration as determined in diffusion cell experiments
may be considerably overestimated as well as underestimated by mathematical
models. Although the number of compounds in our comparison study is small, the
results point out that none of the mathematical model has significant advantages.
PMID- 22076109
TI - Balanced nursing report card.
PMID- 22076110
TI - Alliance for Nursing Informatics pledge to support the Consumer eHealth Program.
PMID- 22076111
TI - ANA pledges to help patients improve care through use of electronic health
information: association joins national Consumer eHealth Program launch.
PMID- 22076112
TI - Transforming nursing practice through technology and informatics.
PMID- 22076114
TI - Comparison of monolisa HCV Ag/Ab ULTRA with two anti-HCV assays for the detection
of HCV infection in hospital setting.
AB - In this study, we compared the performance of three serological assays (Monolisa
HCV Ag/Ab ULTRA, Innotest HCV Ab IV enzyme immunoassay--EIA, and Ortho HCV 3.0
enzyme-linked immunosorbent assay--ELISA) for the detection of HCV infection.
Ninety plasma samples were collected, representing 63 samples from groups at risk
for acquiring HCV infection and 27 HCV RNA-positive samples. The results of Ortho
HCV 3.0 ELISA, Innotest HCV Ab IV, and Monolisa HCV Ag/Ab ULTRA were fully
concordant for 27 HCV RNA-positive samples. Ortho HCV 3.0 ELISA test and Innotest
HCV Ab IV also gave the same results for risk groups, while three samples were
found to be reactive by Monolisa HCV Ag/Ab ULTRA and were consequently found
negative for HCV RNA. As two of the solely Monolisa HCV Ag/Ab ULTRA-positive
samples were also hepatitis B s antigen (HBsAg)-positive, neutralization of HBsAg
was performed but no arguments for the HBsAg interference were observed. In
conclusion, the non-specific reactive signal was observed, in three samples using
Monolisa HCV Ag/Ab ULTRA, to be negative by other serological assays, and
observed to be negative in an HCV RNA assessment, a result that could not be
attributed to the interference with HBsAg. In the context of diagnostic testing,
no test for various HCV genotypes was observed to be superior to any other.
PMID- 22076115
TI - Topical nonsteroidal anti-inflammatory drugs for management of osteoarthritis in
long-term care patients.
AB - Osteoarthritis is common in patients >=65 years of age. Although nonsteroidal
anti-inflammatory drugs (NSAIDs) are often prescribed for osteoarthritis pain,
they pose age-related cardiovascular, renal, and gastrointestinal risks. Two
topical NSAIDs, diclofenac sodium 1% gel (DSG) and diclofenac sodium 1.5% in
45.5% dimethylsulfoxide solution (D-DMSO), are approved in the US for the
treatment of osteoarthritis pain. Topical NSAIDs have shown efficacy and safety
in knee (DSG, D-DMSO) and hand (DSG) osteoarthritis. Analyses of data from
randomized controlled trials of DSG in hand and knee osteoarthritis demonstrate
significant improvement of pain and function in both younger patients (<65 years)
and older patients (>=65 years) and suggest good safety and tolerability.
However, long-term safety data in older patients are limited. Topical NSAIDs can
ease medication administration and help address barriers to pain management in
older patients, such as taking multiple medications and inability to swallow, and
are a valuable option for long-term care providers.
PMID- 22076116
TI - Critical appraisal of pralatrexate in the management of difficult-to-treat
peripheral T cell lymphoma.
AB - Aggressive T cell lymphomas are a subgroup of lymphomas with a particularly poor
prognosis. This is especially true for patients with recurrent or refractory
disease, who typically have limited response to salvage therapy and extremely
poor overall survival. For this reason, there is a strong need to develop
potentially active drugs for these malignancies. Pralatrexate is a novel
antifolate designed to have high affinity for reduced folate carrier type 1.
Preclinical and clinical studies have demonstrated that pralatrexate has
significant activity against T cell lymphomas. The dose-limiting toxicity for
pralatrexate is mucositis, which can be abrogated with folic acid and vitamin B12
supplementation. Pralatrexate is the first single agent approved for the
treatment of patients with relapsed or refractory peripheral T cell lymphoma.
This approval was based on an overall objective response rate observed in the
pivotal study. The overall response rate was 29%, with a median duration of 10.1
months. This article reviews the biochemistry, preclinical experience,
metabolism, and pharmacokinetics of pralatrexate, including the clinical
experience with this agent in lymphoma. Future areas of development are now
focused on identifying synergistic combinations of pralatrexate with other agents
and the evaluation of predictive markers for clinical benefit.
PMID- 22076117
TI - Patient reported outcome data following influenza A (H1N1p) vaccination in the
2009-2010 season: web-based and telephone evaluation.
AB - BACKGROUND: There has been worldwide interest in the safety of the pandemic
influenza A (H1N1p) vaccines, although limited data are available from the
vaccine recipients' perspective. This evaluation was designed to collect data
from people who had received an influenza vaccination during the 2009-2010 season
using a web-based data collection tool supplemented by telephone reporting
(PROBE). METHODS: People scheduled to receive the influenza A (H1N1p) or seasonal
influenza vaccines were recruited through media advertising and campaigns
throughout the West of Scotland. Vaccine recipients participated in the
evaluation by answering demographic and side effect questions using PROBE
methodology on the day of the immunization, after 3 days, 8 days, 6 weeks, 12
weeks, and 26 weeks. RESULTS: A total of 1103 vaccine recipients including 134
young children (0-4 years) participated in the evaluation; 694 (63%) received
H1N1p vaccine only, 135 (12%) seasonal vaccine only, 224 (20%) both H1N1p and
seasonal vaccines, and 50 (5%) received H1N1p or seasonal vaccine with a non
influenza vaccine (eg, travel or pneumococcal). Overall, 42% of recipients
reported experiencing a side effect after their baseline vaccination; the most
commonly reported were general and arm side effects (>20%). Injection site
discomfort/pain and flu-like symptoms were reported by 57% and 24% of recipients,
respectively. A significantly higher proportion of the 960 H1N1p vaccine
recipients experienced a side effect (44% vs 27%, P < 0.001) or injection site
discomfort/pain (61% vs 26%, P < 0.001) than those receiving seasonal influenza
vaccines. Female sex and H1N1p vaccination were associated with a significantly
higher risk of injection site discomfort/pain, whereas the 70+ age group was
associated with a significantly lower risk. H1N1p vaccine was well tolerated by
children under 5 years with side effects reported at a similar frequency to that
found in the total population. CONCLUSIONS: Safety and tolerability data from
influenza vaccine recipients including young children (via parents/carers) can be
effectively collected using an online questionnaire with a telephone option
(PROBE). The influenza A (H1N1p) vaccine was well tolerated, but was associated
with more local short-term reactions than the seasonal influenza vaccine.
PMID- 22076118
TI - Band structure and optical properties of hexagonal In-rich In(x)Al(1-x)N alloys.
AB - Full potential linear augmented plane wave calculations have been performed to
study the electronic and optical properties of In-rich In(x)Al(1-x)N alloys in
the hexagonal wurtzite structure. Compositions of x = 0.9375, 0.8125 and 0.6875
are considered which follow from replacing one, three and five In atoms by Al in
the 32-atom supercell. The new form of exchange correlation, i.e. Engel-Vosko's
generalized gradient approximation within density functional theory, is employed.
The calculations yield the band structure and total density of states as well as
the imaginary part epsilon(2)(omega) of the ordinary and extraordinary dielectric
function. The calculated dependence of the bandgap on the composition is in good
agreement with recent experimental studies. A reversal of the valence band
ordering is found between x = 0.8125 and 0.6875. The absorption features in the
high-energy range of epsilon(2)(omega) are related to critical points of the band
structure. The transition energies for these van Hove singularities are
determined and their bowing parameters are discussed.
PMID- 22076119
TI - Small interfering RNA targeting Kruppel-like factor 8 inhibits U251 glioblastoma
cell growth by inducing apoptosis.
AB - Small interfering RNAs (siRNAs) are small non-coding RNAs, comprising 21-23
nucleotides that regulate gene expression by transcriptionally repressing their
complementary mRNAs. In particular, Kruppel-like factor 8 (KLF8) has been
postulated to function as a tumor activator in various cancer cells, but not in
glioblastoma. In the present study, we investigated the anti-tumorigenic effect
of KLF8 siRNA in glioblastoma cells. U251 human glioblastoma cells were
transfected with KLF8 siRNA and assayed for in vitro proliferation, cell cycle
and cell apoptosis. The transfection of KLF8 siRNA reduced expression of KLF8 in
the glioblastoma cells. KLF8 siRNA also reduced in vitro proliferation and
enhanced cell apoptosis. These results indicate that KLF8 siRNA has an anti
tumorigenic effect on glioblastoma cells and suggest the possible use of KLF8
siRNA for the treatment of glioblastoma.
PMID- 22076120
TI - Microscopic Simulations of Charge Transport in Disordered Organic Semiconductors.
AB - Charge carrier dynamics in an organic semiconductor can often be described in
terms of charge hopping between localized states. The hopping rates depend on
electronic coupling elements, reorganization energies, and driving forces, which
vary as a function of position and orientation of the molecules. The exact
evaluation of these contributions in a molecular assembly is computationally
prohibitive. Various, often semiempirical, approximations are employed instead.
In this work, we review some of these approaches and introduce a software toolkit
which implements them. The purpose of the toolkit is to simplify the workflow for
charge transport simulations, provide a uniform error control for the methods and
a flexible platform for their development, and eventually allow in silico
prescreening of organic semiconductors for specific applications. All implemented
methods are illustrated by studying charge transport in amorphous films of tris
(8-hydroxyquinoline)aluminum, a common organic semiconductor.
PMID- 22076121
TI - The Nature of the Binding of Au, Ag, and Pd to Benzene, Coronene, and Graphene:
From Benchmark CCSD(T) Calculations to Plane-Wave DFT Calculations.
AB - The adsorption of Ag, Au, and Pd atoms on benzene, coronene, and graphene has
been studied using post Hartree-Fock wave function theory (CCSD(T), MP2) and
density functional theory (M06-2X, DFT-D3, PBE, vdW-DF) methods. The CCSD(T)
benchmark binding energies for benzene-M (M = Pd, Au, Ag) complexes are 19.7,
4.2, and 2.3 kcal/mol, respectively. We found that the nature of binding of the
three metals is different: While silver binds predominantly through dispersion
interactions, the binding of palladium has a covalent character, and the binding
of gold involves a subtle combination of charge transfer and dispersion
interactions as well as relativistic effects. We demonstrate that the CCSD(T)
benchmark binding energies for benzene-M complexes can be reproduced in plane
wave density functional theory calculations by including a fraction of the exact
exchange and a nonempirical van der Waals correction (EE+vdW). Applying the
EE+vdW method, we obtained binding energies for the graphene-M (M = Pd, Au, Ag)
complexes of 17.4, 5.6, and 4.3 kcal/mol, respectively. The trends in binding
energies found for the benzene-M complexes correspond to those in coronene and
graphene complexes. DFT methods that use empirical corrections to account for the
effects of vdW interactions significantly overestimate binding energies in some
of the studied systems.
PMID- 22076122
TI - Veterans' experience in using the online Surgeon General's family health history
tool.
AB - AIM: To assess veterans' experience and satisfaction in using the Surgeon
General's (SG) online family health history (FHH) tool, and determine the
perceived facilitators and barriers to using the online SG-FHH tool. MATERIALS
#ENTITYSTARTX00026; METHODS: A mixed-method using both qualitative and
quantitative approaches was employed in this study. A total of 35 veterans at the
VA Medical Center in San Antonio, Texas, USA were invited to enter their FHH
information using the online SG-FHH tool, complete the study's satisfaction
survey and participate in a short semi-structured interview. The goal of the semi
structured interviews was to assess participants perceived facilitators and
barriers to using the online SG-FHH tool. All participants were also provided
with a printed copy of their pedigree, which was generated by the SG-FHH tool and
were encouraged to share it with their relatives and providers. RESULTS: The
majority of participants (91%) said that they had access to a computer with
internet capability and 77% reported that they knew how to use a computer. More
than two-thirds of the participants felt that items on the SG-FHH tool were easy
to read and felt that FHH categories were relevant to their family's health.
Approximately 94% of participants viewed the SG-FHH tool as useful, and the
majority of participants (97%) indicated that they were likely to recommend the
tool to others. Content analysis of the semi-structured interviews highlighted
several barriers to veterans' use of the SG-FHH tool and their FHH information.
These included: lack of patients' knowledge regarding their relatives' FHH, and
privacy and confidentiality concerns. CONCLUSION: This study provides
information on the performance and functionality of an inexpensive and widely
accessible method for FHH collection. Furthermore, our findings highlight several
opportunities and challenges facing the utilization of FHH information as a
clinical and genomic tool at the Veterans Health Administration (VHA). The
results suggest that strategies that improve veterans' knowledge regarding the
importance of their FHH information and that address their concerns about privacy
and confidentiality may enhance the successful implementation of FHH information
into VHA clinical practice. IMPLICATIONS: identifying a locally accepted method
for FHH collection and documentation which can be conducted outside of the
patient visit will reduce time burdens for providers and patients and allow for a
focus on other important topics during clinic visits. Improvement in familial
risk screening and assessment will enable the VHA to be prepared for personalized
medicine and focus their resources on promoting critically important health
behaviors for populations with the highest risk of developing chronic diseases
and their complications.
PMID- 22076123
TI - Understanding the creative processes of phenomenological research: The life
philosophy of Logstrup.
AB - The creative processes of understanding patients' experiences in phenomenological
research are difficult to articulate. Drawing on life philosophy as represented
by the Danish philosopher K.E. Logstrup (1905-1981), this article aims to
illustrate Logstrup's thinking as a way to elaborate the creation of cognition
and understanding of patients' experiences. We suggest that Logstrup's thoughts
on sensation can add new dimensions to an increased understanding of the creative
process of phenomenological research, and that his thinking can be seen as an
epistemological ground for these processes. We argue with Logstrup that sense
based impressions can facilitate an flash of insight, i.e., the spontaneous,
intuitive flash of an idea. Logstrup stresses that an "flash of insight" is an
important source in the creation of cognition and understanding. Relating to
three empirical phenomenological studies of patients' experiences, we illustrate
how the notions of impression and flash of insight can add new dimensions to
increased understanding of the creative processes in phenomenological research
that have previously not been discussed. We illustrate that sense-based
impressions can facilitate creative flash of insights that open for understanding
of patients' experiences in the research process as well as in the communication
of the findings. The nature of impression and flash of insight and their
relevance in the creation of cognition and understanding contributes to the
sparse descriptions in the methodological phenomenological research literature of
the creative processes of this research. An elaboration of the creative processes
in phenomenological research can help researchers to articulate these processes.
Thus, Logstrup's life philosophy has proven to be valuable in adding new
dimensions to phenomenological empirical research as well as embracing lived
experience.
PMID- 22076124
TI - ALK and NSCLC: Targeted therapy with ALK inhibitors.
AB - For many years treatment for advanced or metastatic non-small cell lung cancer
(NSCLC) has employed chemotherapy regimens for patient care, with limited effect.
Five-year survival rates for these patients are not encouraging. However, for a
subgroup of these patients, there have been radical changes over recent years.
Our understanding of the basic pathology behind NSCLC at the molecular level has
offered up a host of new molecularly targeted therapies, which are
revolutionizing this area of cancer care. Results from recent clinical trials
provide hope for NSCLC patients harboring oncogenic translocations involving the
anaplastic lymphoma kinase (ALK) receptor tyrosine kinase. Just as inhibition of
the breakpoint cluster region-ABL complex has changed the face of chronic myeloid
leukemia diagnosis, oncogenic ALK fusions offer a step forward in the diagnosis
and treatment of ALK-positive NSCLC. This article discusses the current knowledge
and potential implications concerning ALK inhibitors and NSCLC.
PMID- 22076125
TI - The new face of ovarian cancer modeling: better prospects for detection and
treatment.
AB - Ovarian cancer has a disproportionately high mortality rate because patients
typically present with late-stage metastatic disease. The vast majority of these
deaths are from high-grade serous carcinoma. Recent studies indicate that many of
these tumors arise from the fallopian tube and subsequently metastasize to the
ovary. This may explain why such tumors have not been detected at early stage as
detection efforts have been focused purely on the ovary. In keeping with this
leap in understanding other advances such as the development of ex-vivo models
and immortalization of human fallopian tube epithelial cells, and the use of
integrated genomic analyses to identify hundreds of novel candidate oncogenes and
tumor suppressors potentially involved in tumorigenesis now engender hope that we
can begin to truly define the differences in pathogenesis between fallopian tube
and ovarian-derived tumors. In doing so, we can hopefully improve early
detection, treatment, and outcome.
PMID- 22076126
TI - Drugs for malaria: something old, something new, something borrowed.
AB - Malaria was estimated to cause 800,000 deaths and 225 million cases worldwide in
2010. Worryingly, the first-line treatment currently relies on a single drug
class called artemisinins, and there are signs that the parasite is becoming
resistant to these drugs. The good news is that new technology has given us new
approaches to drug discovery. New drugs generated this way are probably 10-15
years away from the clinic. Other antimalarials that may offer hope include those
rehabilitated after not being used for some time, those that act as inhibitors of
resistance mechanisms, those that limit infection while allowing protective
immunity to develop, and those which are drugs borrowed from other disease
treatments. All of these offer new hope of turning the tables on malaria. In
parallel with the effort to develop vaccines that interrupt malaria transmission,
drugs that target the parasite during transmission to the mosquito or during its
pre-erythrocytic development in the liver, may allow us to terminate the
parasite's spread.
PMID- 22076128
TI - Organellar (Na+, K+)/H+ exchanger NHE7 regulates cell adhesion, invasion and
anchorage-independent growth of breast cancer MDA-MB-231 cells.
AB - Na+/H+ exchangers (NHEs) are a group of secondary active antiporters that
regulate cellular pH, cell volume and ion homeostasis. In humans, nine isoforms
(NHE1-NHE9) were identified and characterized as functional NHEs. While a growing
body of evidence indicates that NHE1 generates an acidic tumor environment and
thereby contributes to tumor invasion, little is known about the role of other
NHE isoforms in tumor progression. NHE7 is a unique member of the NHE gene family
that dynamically shuttles between the trans-Golgi network, endosomes and the
plasma membrane, and regulates the luminal pH of these organelles. Here we show
that NHE7-overexpression in breast cancer MDA-MB-231 cells enhances cell overlay,
cell-cell adhesion, invasion, anchorage-independent tumor growth and tumor
formation in vivo. In contrast, NHE1-overexpression enhances tumor invasion, but
it has little effect on cell adhesion or anchorage-independent tumor growth.
Pathological examinations of the tumor samples derived from NHE7-overexpressing
cells showed a similar appearance to aggressive tumors. Together, these results
suggest that NHE7 enhances tumor progression. This is the first report to show
the involvement of an organellar NHE in oncogenic processes.
PMID- 22076127
TI - Using biomarkers to improve detection of Alzheimer's disease.
AB - Disease-modifying approaches for Alzheimer's disease (AD) might be most effective
when initiated very early in the course, before the pathologic burden and
neuronal and synaptic degeneration make it unlikely that halting disease
progression would have a significant impact on patient outcomes. Biomarkers of
disease may provide important avenues of research to enhance the diagnosis of
individuals with early AD and could assist in the identification of those
individuals at risk for developing AD. However, for such biomarkers to become
clinically useful, long-term follow-up studies are necessary to evaluate the
relevance of cross-sectional biomarker changes to the longitudinal course of the
disease. The objective of this article is to review recent progress in AD
biomarkers for the early diagnosis, classification, progression and prediction of
AD and their usefulness in new treatment trials.
PMID- 22076129
TI - Response of the agile antechinus to habitat edge, configuration and condition in
fragmented forest.
AB - Habitat fragmentation and degradation seriously threaten native animal
communities. We studied the response of a small marsupial, the agile antechinus
Antechinus agilis, to several environmental variables in anthropogenically
fragmented Eucalyptus forest in south-east Australia. Agile antechinus were
captured more in microhabitats dominated by woody debris than in other
microhabitats. Relative abundances of both sexes were positively correlated with
fragment core area. Male and female mass-size residuals were smaller in larger
fragments. A health status indicator, haemoglobin-haematocrit residuals (HHR),
did not vary as a function of any environmental variable in females, but male HHR
indicated better health where sites' microhabitats were dominated by shrubs,
woody debris and trees other than Eucalyptus. Females were trapped less often in
edge than interior fragment habitat and their physiological stress level,
indicated by the neutrophil/lymphocyte ratio in peripheral blood, was higher
where fragments had a greater proportion of edge habitat. The latter trend was
potentially due to lymphopoenia resulting from stress hormone-mediated leukocyte
trafficking. Using multiple indicators of population condition and health status
facilitates a comprehensive examination of the effects of anthropogenic
disturbances, such as habitat fragmentation and degradation, on native
vertebrates. Male agile antechinus' health responded negatively to habitat
degradation, whilst females responded negatively to the proportion of edge
habitat. The health and condition indicators used could be employed to identify
conservation strategies that would make habitat fragments less stressful for this
or similar native, small mammals.
PMID- 22076130
TI - Patterned cell adhesion associated with tissue deformations during dorsal closure
in Drosophila.
AB - Cell shape changes within epithelia require the regulation of adhesive molecules
that maintain tissue integrity. How remodelling of cell contacts is achieved
while tissue integrity is maintained remains a fundamental question in
morphogenesis. Dorsal Closure is a good system to study the dynamics of DE
Cadherin during morphogenesis. It relies on concerted cell shape changes of two
epithelial sheets: amnioserosa cell contraction and epidermal cell elongation. To
investigate the modulation of DE-Cadherin we performed antibody uptake
experiments in live embryos during Dorsal Closure. We found that some antibodies
access certain epitopes of the extracellular domain of native DE-Cadherin only in
the amnioserosa and epidermal cells attached to the amnioserosa, which has never
been observed in fixed DE-Cadherin in Drosophila embryos. These differences
correlate with the different cell behaviour of these regions and therefore we
suggest that DE-Cadherin exists in different forms that confer different adhesive
strengths. We propose this to be a widespread mechanism for the differential
modulation of adhesion during morphogenesis.
PMID- 22076131
TI - Gene clusters located on two large plasmids determine spore crystal association
(SCA) in Bacillus thuringiensis subsp. finitimus strain YBT-020.
AB - Crystals in Bacillus thuringiensis are usually formed in the mother cell
compartment during sporulation and are separated from the spores after mother
cell lysis. In a few strains, crystals are produced inside the exosporium and are
associated with the spores after sporulation. This special phenotype, named
'spore crystal association' (SCA), typically occurs in B. thuringiensis subsp.
finitimus. Our aim was to identify genes determining the SCA phenotype in B.
thuringiensis subsp. finitimus strain YBT-020. Plasmid conjugation experiments
indicated that the SCA phenotype in this strain was tightly linked with two large
plasmids (pBMB26 and pBMB28). A shuttle bacterial artificial chromosome (BAC)
library of strain YBT-020 was constructed. Six fragments from BAC clones were
screened from this library and discovered to cover the full length of pBMB26;
four others were found to cover pBMB28. Using fragment complementation testing,
two fragments, each of approximately 35 kb and located on pBMB26 and pBMB28, were
observed to recover the SCA phenotype in an acrystalliferous mutant, B.
thuringiensis strain BMB171. Furthermore, deletion analysis indicated that the
crystal protein gene cry26Aa from pBMB26, along with five genes from pBMB28, were
indispensable to the SCA phenotype. Gene disruption and frame-shift mutation
analyses revealed that two of the five genes from pBMB28, which showed low
similarity to crystal proteins, determined the location of crystals inside the
exosporium. Gene disruption revealed that the three remaining genes, similar to
spore germination genes, contributed to the stability of the SCA phenotype in
strain YBT-020. Our results thus identified the genes determining the SCA
phenotype in B. thuringiensis subsp. finitimus.
PMID- 22076132
TI - Transport activity of the sodium bicarbonate cotransporter NBCe1 is enhanced by
different isoforms of carbonic anhydrase.
AB - Transport metabolons have been discussed between carbonic anhydrase II (CAII) and
several membrane transporters. We have now studied different CA isoforms,
expressed in Xenopus oocytes alone and together with the electrogenic sodium
bicarbonate cotransporter 1 (NBCe1), to determine their catalytic activity and
their ability to enhance NBCe1 transport activity. pH measurements in intact
oocytes indicated similar activity of CAI, CAII and CAIII, while in vitro CAIII
had no measurable activity and CAI only 30% of the activity of CAII. All three CA
isoforms increased transport activity of NBCe1, as measured by the transport
current and the rate of intracellular sodium rise in oocytes. Two CAII mutants,
altered in their intramolecular proton pathway, CAII-H64A and CAII-Y7F, showed
significant catalytic activity and also enhanced NBCe1 transport activity. The
effect of CAI, CAII, and CAII mutants on NBCe1 activity could be reversed by
blocking CA activity with ethoxyzolamide (EZA, 10 uM), while the effect of the
less EZA-sensitive CAIII was not reversed. Our results indicate that different CA
isoforms and mutants, even if they show little enzymatic activity in vitro, may
display significant catalytic activity in intact cells, and that the ability of
CA to enhance NBCe1 transport appears to depend primarily on its catalytic
activity.
PMID- 22076134
TI - Prediction of disease and phenotype associations from genome-wide association
studies.
AB - BACKGROUND: Genome wide association studies (GWAS) have proven useful as a method
for identifying genetic variations associated with diseases. In this study, we
analyzed GWAS data for 61 diseases and phenotypes to elucidate common
associations based on single nucleotide polymorphisms (SNP). The study was an
expansion on a previous study on identifying disease associations via data from a
single GWAS on seven diseases. METHODOLOGY/PRINCIPAL FINDINGS: Adjustments to the
originally reported study included expansion of the SNP dataset using Linkage
Disequilibrium (LD) and refinement of the four levels of analysis to encompass
SNP, SNP block, gene, and pathway level comparisons. A pair-wise comparison
between diseases and phenotypes was performed at each level and the Jaccard
similarity index was used to measure the degree of association between two
diseases/phenotypes. Disease relatedness networks (DRNs) were used to visualize
our results. We saw predominant relatedness between Multiple Sclerosis, type 1
diabetes, and rheumatoid arthritis for the first three levels of analysis.
Expected relatedness was also seen between lipid- and blood-related traits.
CONCLUSIONS/SIGNIFICANCE: The predominant associations between Multiple
Sclerosis, type 1 diabetes, and rheumatoid arthritis can be validated by clinical
studies. The diseases have been proposed to share a systemic inflammation
phenotype that can result in progression of additional diseases in patients with
one of these three diseases. We also noticed unexpected relationships between
metabolic and neurological diseases at the pathway comparison level. The less
significant relationships found between diseases require a more detailed
literature review to determine validity of the predictions. The results from this
study serve as a first step towards a better understanding of seemingly unrelated
diseases and phenotypes with similar symptoms or modes of treatment.
PMID- 22076133
TI - Age-associated disruption of molecular clock expression in skeletal muscle of the
spontaneously hypertensive rat.
AB - It is well known that spontaneously hypertensive rats (SHR) develop muscle
pathologies with hypertension and heart failure, though the mechanism remains
poorly understood. Woon et al. (2007) linked the circadian clock gene Bmal1 to
hypertension and metabolic dysfunction in the SHR. Building on these findings, we
compared the expression pattern of several core-clock genes in the gastrocnemius
muscle of aged SHR (80 weeks; overt heart failure) compared to aged-matched
control WKY strain. Heart failure was associated with marked effects on the
expression of Bmal1, Clock and Rora in addition to several non-circadian genes
important in regulating skeletal muscle phenotype including Mck, Ttn and Mef2c.
We next performed circadian time-course collections at a young age (8 weeks; pre
hypertensive) and adult age (22 weeks; hypertensive) to determine if clock gene
expression was disrupted in gastrocnemius, heart and liver tissues prior to or
after the rats became hypertensive. We found that hypertensive/hypertrophic SHR
showed a dampening of peak Bmal1 and Rev-erb expression in the liver, and the
clock-controlled gene Pgc1alpha in the gastrocnemius. In addition, the core-clock
gene Clock and the muscle-specific, clock-controlled gene Myod1, no longer
maintained a circadian pattern of expression in gastrocnemius from the
hypertensive SHR. These findings provide a framework to suggest a mechanism
whereby chronic heart failure leads to skeletal muscle pathologies; prolonged
dysregulation of the molecular clock in skeletal muscle results in altered Clock,
Pgc1alpha and Myod1 expression which in turn leads to the mis-regulation of
target genes important for mechanical and metabolic function of skeletal muscle.
PMID- 22076135
TI - Erk1/2 mediates leptin receptor signaling in the ventral tegmental area.
AB - Leptin acts on the ventral tegmental area (VTA) to modulate neuronal function and
feeding behavior in rats and mice. To identify the intracellular effectors of the
leptin receptor (Lepr), downstream signal transduction events were assessed for
regulation by direct leptin infusion. Phosphorylated signal transducer and
activator of transcription 3 (pSTAT3) and phosphorylated extracellular signal
regulated kinase-1 and -2 (pERK1/2) were increased in the VTA while phospho-AKT
(pAKT) was unaffected. Pretreatment of brain slices with the mitogen-activated
protein kinase kinase -1 and -2 (MEK1/2) inhibitor U0126 blocked the leptin
mediated decrease in firing frequency of VTA dopamine neurons. The anorexigenic
effects of VTA-administered leptin were also blocked by pretreatment with U0126,
which effectively blocked phosphorylation of ERK1/2 but not STAT3. These data
demonstrate that pERK1/2 may have a critical role in mediating both the
electrophysiogical and behavioral effects of leptin receptor signaling in the
VTA.
PMID- 22076136
TI - Redistribution of CB1 cannabinoid receptors in the acute and chronic phases of
pilocarpine-induced epilepsy.
AB - The endocannabinoid system plays a central role in retrograde synaptic
communication and may control the spread of activity in an epileptic network.
Using the pilocarpine model of temporal lobe epilepsy we examined the expression
pattern of the Type 1 cannabinoid receptor (CB1-R) in the hippocampi of CD1 mice
at survival times of 2 hours, 1 day, 3 days and 2 months (acute, latent and
chronic phases). Based on the behavioral signs of the acute seizures, animals
were classified as "weakly" or "strongly" epileptic using the modified Racine
scale. Mice of the weak group had mild seizures, whereas seizures in the strong
group were frequent with intense motor symptoms and the majority of these animals
developed sclerosis in the chronic phase. In control samples the most intense
staining of CB1-R-positive fibers was found in the molecular layer of the dentate
gyrus and in str. pyramidale of the cornu Ammonis. In weak animals no significant
changes were seen at any survival time compared to controls. In strong animals,
however, in the acute phase, a massive reduction in CB1-R-stained terminals
occurred in the hippocampus. In the latent phase CB1-R immunoreactivity gradually
recovered. In the chronic phase, CB1-immunostaining in sclerotic samples was
stronger throughout the hippocampus. Quantitative electron microscopic analysis
showed an increase in the number of CB1-R-positive terminals in the dentate
gyrus. Moreover, the number of immunogold particles significantly increased in
GABAergic terminals. Our results suggest a proconvulsive downregulation of CB1
receptors in the acute phase most probably due to receptor internalization,
followed by compensatory upregulation and sprouting in the chronic phase of
epilepsy. In conclusion, the changes in CB1 receptor expression pattern revealed
in this study are associated with the severity of hippocampal injury initiated by
acute seizures that ultimately leads to sclerosis in the vulnerable regions in
the chronic phase.
PMID- 22076137
TI - Endogenous neurotrophins and Trk signaling in diffuse large B cell lymphoma cell
lines are involved in sensitivity to rituximab-induced apoptosis.
AB - BACKGROUND: Diffuse large B-cell lymphoma (DLBCL) is a common and often fatal
malignancy. Immunochemotherapy, a combination of rituximab to standard
chemotherapy, has resulted in improved survival. However a substantial proportion
of patients still fail to reach sustained remission. We have previously
demonstrated that autocrine brain-derived neurotrophic factor (BDNF) production
plays a function in human B cell survival, at least partly via sortilin
expression. As neurotrophin receptor (Trks) signaling involved activation of
survival pathways that are inhibited by rituximab, we speculated that
neurotrophins may provide additional support for tumour cell survival and
therapeutic resistance in DLBCL. METHODOLOGY/PRINCIPAL FINDINGS: In the present
study, we used two DLBCL cell lines, SUDHL4 and SUDHL6, known to be respectively
less and more sensitive to rituximab. We found by RT-PCR, western blotting,
cytometry and confocal microscopy that both cell lines expressed, in normal
culture conditions, BDNF and to a lesser extent NGF, as well as truncated TrkB
and p75(NTR)/sortilin death neurotrophin receptors. Furthermore, BDNF secretion
was detected in cell supernatants. NGF and BDNF production and Trk receptor
expression, including TrkA, are regulated by apoptotic conditions (serum
deprivation or rituximab exposure). Indeed, we show for the first time that
rituximab exposure of DLBCL cell lines induces NGF secretion and that differences
in rituximab sensitivity are associated with differential expression patterns of
neurotrophins and their receptors (TrkA). Finally, these cells are sensitive to
the Trk-inhibitor, K252a, as shown by the induction of apoptosis. Furthermore,
K252a exhibits additive cytotoxic effects with rituximab.
CONCLUSIONS/SIGNIFICANCE: Collectively, these data strongly suggest that a
neurotrophin axis, such NGF/TrkA pathway, may contribute to malignant cell
survival and rituximab resistance in DLBCL.
PMID- 22076138
TI - Rust secreted protein Ps87 is conserved in diverse fungal pathogens and contains
a RXLR-like motif sufficient for translocation into plant cells.
AB - BACKGROUND: Effector proteins of biotrophic plant pathogenic fungi and oomycetes
are delivered into host cells and play important roles in both disease
development and disease resistance response. How obligate fungal pathogen
effectors enter host cells is poorly understood. The Ps87 gene of Puccinia
striiformis encodes a protein that is conserved in diverse fungal pathogens. Ps87
homologs from a clade containing rust fungi are predicted to be secreted. The aim
of this study is to test whether Ps87 may act as an effector during Puccinia
striiformis infection. METHODOLOGY/PRINCIPAL FINDINGS: Yeast signal sequence trap
assay showed that the rust protein Ps87 could be secreted from yeast cells, but a
homolog from Magnaporthe oryzae that was not predicted to be secreted, could not.
Cell re-entry and protein uptake assays showed that a region of Ps87 containing a
conserved RXLR-like motif [K/R]RLTG was confirmed to be capable of delivering
oomycete effector Avr1b into soybean leaf cells and carrying GFP into soybean
root cells. Mutations in the Ps87 motif (KRLTG) abolished the protein
translocation ability. CONCLUSIONS/SIGNIFICANCE: The results suggest that Ps87
and its secreted homologs could utilize similar protein translocation machinery
as those of oomycete and other fungal pathogens. Ps87 did not show direct
suppression activity on plant defense responses. These results suggest Ps87 may
represent an "emerging effector" that has recently acquired the ability to enter
plant cells but has not yet acquired the ability to alter host physiology.
PMID- 22076139
TI - Integrated transcriptome and binding sites analysis implicates E2F in the
regulation of self-renewal in human pluripotent stem cells.
AB - Rapid cellular growth and multiplication, limited replicative senescence,
calibrated sensitivity to apoptosis, and a capacity to differentiate into almost
any cell type are major properties that underline the self-renewal capabilities
of human pluripotent stem cells (hPSCs). We developed an integrated
bioinformatics pipeline to understand the gene regulation and functions involved
in maintaining such self-renewal properties of hPSCs compared to matched
fibroblasts. An initial genome-wide screening of transcription factor activity
using in silico binding-site and gene expression microarray data newly identified
E2F as one of major candidate factors, revealing their significant regulation of
the transcriptome. This is underscored by an elevated level of its transcription
factor activity and expression in all tested pluripotent stem cell lines.
Subsequent analysis of functional gene groups demonstrated the importance of the
TFs to self-renewal in the pluripotency-coupled context; E2F directly targets the
global signaling (e.g. self-renewal associated WNT and FGF pathways) and
metabolic network (e.g. energy generation pathways, molecular transports and
fatty acid metabolism) to promote its canonical functions that are driving the
self-renewal of hPSCs. In addition, we proposed a core self-renewal module of
regulatory interplay between E2F and, WNT and FGF pathways in these cells. Thus,
we conclude that E2F plays a significant role in influencing the self-renewal
capabilities of hPSCs.
PMID- 22076140
TI - Assessing the role of tandem repeats in shaping the genomic architecture of great
apes.
AB - BACKGROUND: Ancestral reconstructions of mammalian genomes have revealed that
evolutionary breakpoint regions are clustered in regions that are more prone to
break and reorganize. What is still unclear to evolutionary biologists is whether
these regions are physically unstable due solely to sequence composition and/or
genome organization, or do they represent genomic areas where the selection
against breakpoints is minimal. METHODOLOGY AND PRINCIPAL FINDINGS: Here we
present a comprehensive study of the distribution of tandem repeats in great
apes. We analyzed the distribution of tandem repeats in relation to the
localization of evolutionary breakpoint regions in the human, chimpanzee,
orangutan and macaque genomes. We observed an accumulation of tandem repeats in
the genomic regions implicated in chromosomal reorganizations. In the case of the
human genome our analyses revealed that evolutionary breakpoint regions contained
more base pairs implicated in tandem repeats compared to synteny blocks, being
the AAAT motif the most frequently involved in evolutionary regions. We found
that those AAAT repeats located in evolutionary regions were preferentially
associated with Alu elements. SIGNIFICANCE: Our observations provide evidence for
the role of tandem repeats in shaping mammalian genome architecture. We
hypothesize that an accumulation of specific tandem repeats in evolutionary
regions can promote genome instability by altering the state of the chromatin
conformation or by promoting the insertion of transposable elements.
PMID- 22076141
TI - Alkamides activate jasmonic acid biosynthesis and signaling pathways and confer
resistance to Botrytis cinerea in Arabidopsis thaliana.
AB - Alkamides are fatty acid amides of wide distribution in plants, structurally
related to N-acyl-L-homoserine lactones (AHLs) from Gram-negative bacteria and to
N- acylethanolamines (NAEs) from plants and mammals. Global analysis of gene
expression changes in Arabidopsis thaliana in response to N-isobutyl decanamide,
the most highly active alkamide identified to date, revealed an
overrepresentation of defense-responsive transcriptional networks. In particular,
genes encoding enzymes for jasmonic acid (JA) biosynthesis increased their
expression, which occurred in parallel with JA, nitric oxide (NO) and H2O2
accumulation. The activity of the alkamide to confer resistance against the
necrotizing fungus Botrytis cinerea was tested by inoculating Arabidopsis
detached leaves with conidiospores and evaluating disease symptoms and fungal
proliferation. N-isobutyl decanamide application significantly reduced necrosis
caused by the pathogen and inhibited fungal proliferation. Arabidopsis mutants
jar1 and coi1 altered in JA signaling and a MAP kinase mutant (mpk6), unlike
salicylic acid- (SA) related mutant eds16/sid2-1, were unable to defend from
fungal attack even when N-isobutyl decanamide was supplied, indicating that
alkamides could modulate some necrotrophic-associated defense responses through
JA-dependent and MPK6-regulated signaling pathways. Our results suggest a role of
alkamides in plant immunity induction.
PMID- 22076143
TI - Perturbation of host cell cytoskeleton by cranberry proanthocyanidins and their
effect on enteric infections.
AB - Cranberry-derived compounds, including a fraction known as proanthocyanidins
(PACs) exhibit anti-microbial, anti-infective, and anti-adhesive properties
against a number of disease-causing organisms. In this study, the effect of
cranberry proanthocyanidins (CPACs) on the infection of epithelial cells by two
enteric bacterial pathogens, enteropathogenic Escherichia coli (EPEC) and
Salmonella Typhimurium was investigated. Immunofluorescence data showed that
actin pedestal formation, required for infection by enteropathogenic Escherichia
coli (EPEC), was disrupted in the presence of CPACs. In addition, invasion of
HeLa cells by Salmonella Typhimurium was significantly reduced, as verified by
gentamicin protection assay and immunofluorescence. CPACs had no effect on
bacterial growth, nor any detectable effect on the production of bacterial
effector proteins of the type III secretion system. Furthermore, CPACs did not
affect the viability of host cells. Interestingly, we found that CPACs had a
potent and dose-dependent effect on the host cell cytoskeleton that was evident
even in uninfected cells. CPACs inhibited the phagocytosis of inert particles by
a macrophage cell line, providing further evidence that actin-mediated host cell
functions are disrupted in the presence of cranberry CPACs. Thus, although CPAC
treatment inhibited Salmonella invasion and EPEC pedestal formation, our results
suggest that this is likely primarily because of the perturbation of the host
cell cytoskeleton by CPACs rather than an effect on bacterial virulence itself.
These findings have significant implications for the interpretation of
experiments on the effects of CPACs on bacteria-host cell interactions.
PMID- 22076142
TI - Maternal cigarette smoke exposure contributes to glucose intolerance and
decreased brain insulin action in mice offspring independent of maternal diet.
AB - BACKGROUND: Maternal smoking leads to intrauterine undernutrition and is
associated with low birthweight and higher risk of offspring obesity.
Intrauterine smoke exposure (SE) may alter neuroendocrine mediators regulating
energy homeostasis as chemicals in cigarette smoke can reach the fetus. Maternal
high-fat diet (HFD) consumption causes fetal overnutrition; however, combined
effects of HFD and SE are unknown. Thus we investigated the impact of combined
maternal HFD and SE on adiposity and energy metabolism in offspring. METHOD:
Female Balb/c mice had SE (2 cigarettes/day, 5 days/week) or were sham exposed
for 5 weeks before mating. Half of each group was fed HFD (33% fat) versus chow
as control. The same treatment continued throughout gestation and lactation.
Female offspring were fed chow after weaning and sacrificed at 12 weeks. RESULTS:
Birthweights were similar across maternal groups. Faster growth was evident in
pups from SE and/or HFD dams before weaning. At 12 weeks, offspring from HFD-fed
dams were significantly heavier than those from chow-fed dams (chow-sham 17.6+/
0.3 g; chow-SE 17.8+/-0.2 g; HFD-sham 18.7+/-0.3 g; HFD-SE 18.8+/-0.4 g, P<0.05
maternal diet effect); fat mass was significantly greater in offspring from
chow+SE, HFD+SE and HFD+sham dams. Both maternal HFD and SE affected brain
lactate transport. Glucose intolerance and impaired brain response to insulin
were observed in SE offspring, and this was aggravated by maternal HFD
consumption. CONCLUSION: While maternal HFD led to increased body weight in
offspring, maternal SE independently programmed adverse health outcomes in
offspring. A smoke free environment and healthy diet during pregnancy is
desirable to optimize offspring health.
PMID- 22076144
TI - Recognition of morphometric vertebral fractures by artificial neural networks:
analysis from GISMO Lombardia Database.
AB - BACKGROUND: It is known that bone mineral density (BMD) predicts the fracture's
risk only partially and the severity and number of vertebral fractures are
predictive of subsequent osteoporotic fractures (OF). Spinal deformity index
(SDI) integrates the severity and number of morphometric vertebral fractures.
Nowadays, there is interest in developing algorithms that use traditional
statistics for predicting OF. Some studies suggest their poor sensitivity.
Artificial Neural Networks (ANNs) could represent an alternative. So far, no
study investigated ANNs ability in predicting OF and SDI. The aim of the present
study is to compare ANNs and Logistic Regression (LR) in recognising, on the
basis of osteoporotic risk-factors and other clinical information, patients with
SDI>=1 and SDI>=5 from those with SDI = 0. METHODOLOGY: We compared ANNs
prognostic performance with that of LR in identifying SDI>=1/SDI>=5 in 372 women
with postmenopausal-osteoporosis (SDI>=1, n = 176; SDI = 0, n = 196; SDI>=5, n =
51), using 45 variables (44 clinical parameters plus BMD). ANNs were allowed to
choose relevant input data automatically (TWIST-system-Semeion). Among 45
variables, 17 and 25 were selected by TWIST-system-Semeion, in SDI>=1 vs SDI = 0
(first) and SDI>=5 vs SDI = 0 (second) analysis. In the first analysis
sensitivity of LR and ANNs was 35.8% and 72.5%, specificity 76.5% and 78.5% and
accuracy 56.2% and 75.5%, respectively. In the second analysis, sensitivity of LR
and ANNs was 37.3% and 74.8%, specificity 90.3% and 87.8%, and accuracy 63.8% and
81.3%, respectively. CONCLUSIONS: ANNs showed a better performance in identifying
both SDI>=1 and SDI>=5, with a higher sensitivity, suggesting its promising role
in the development of algorithm for predicting OF.
PMID- 22076145
TI - Indirect detection of an epitope-specific response to HIV-1 gp120 immunization in
human subjects.
AB - A specific response of human serum neutralizing antibodies (nAb) to a
conformational epitope as a result of vaccination of human subjects with the
surface envelope glycoprotein (gp120) of HIV-1 has not previously been
documented. Here, we used computational analysis to assess the epitope-specific
responses of human subjects, which were immunized with recombinant gp120
immunogens in the VAX003 and VAX004 clinical trials. Our computational
methodology--a variation of sieve analysis--compares the occurrence of specific
nAb targeted conformational 3D epitopes on viruses from infected individuals who
received vaccination to the occurrence of matched epitopes in the viruses
infecting placebo subjects. We specifically studied seven crystallographically
defined nAb targeted conformational epitopes in the V3 loop, an immunogenic
region of gp120. Of the six epitopes present in the immunogens and targeted by
known monoclonal neutralizing antibodies, only the one targeted by the anti-V3
nAb 2219 exhibited a significant reduction in occurrence in vaccinated subjects
compared to the placebo group. This difference occurred only in the VAX003
Thailand cohort. No difference was seen between vaccinated and placebo groups for
the occurrence of an epitope that was not present in the immunogen. Thus, it can
be theorized that a specific 2219-like human neutralizing antibody immune
response to AIDSVAX immunization occurred in the VAX003 cohort, and that this
response protected subjects from a narrow subset of HIV-1 viruses circulating in
Thailand in the 1990s and bearing the conformational epitope targeted by the
neutralizing antibody 2219.
PMID- 22076146
TI - Apoptosis-inducing factor regulates skeletal muscle progenitor cell number and
muscle phenotype.
AB - Apoptosis Inducing Factor (AIF) is a highly conserved, ubiquitous flavoprotein
localized in the mitochondrial intermembrane space. In vivo, AIF provides
protection against neuronal and cardiomyocyte apoptosis induced by oxidative
stress. Conversely in vitro, AIF has been demonstrated to have a pro-apoptotic
role upon induction of the mitochondrial death pathway, once AIF translocates to
the nucleus where it facilitates chromatin condensation and large scale DNA
fragmentation. Given that the aif hypomorphic harlequin (Hq) mutant mouse model
displays severe sarcopenia, we examined skeletal muscle from the aif hypomorphic
mice in more detail. Adult AIF-deficient skeletal myofibers display oxidative
stress and a severe form of atrophy, associated with a loss of myonuclei and a
fast to slow fiber type switch, both in "slow" muscles such as soleus, as well as
in "fast" muscles such as extensor digitorum longus, most likely resulting from
an increase of MEF2 activity. This fiber type switch was conserved in regenerated
soleus and EDL muscles of Hq mice subjected to cardiotoxin injection. In
addition, muscle regeneration in soleus and EDL muscles of Hq mice was severely
delayed. Freshly cultured myofibers, soleus and EDL muscle sections from Hq mice
displayed a decreased satellite cell pool, which could be rescued by pretreating
aif hypomorphic mice with the manganese-salen free radical scavenger EUK-8.
Satellite cell activation seems to be abnormally long in Hq primary culture
compared to controls. However, AIF deficiency did not affect myoblast cell
proliferation and differentiation. Thus, AIF protects skeletal muscles against
oxidative stress-induced damage probably by protecting satellite cells against
oxidative stress and maintaining skeletal muscle stem cell number and activation.
PMID- 22076147
TI - Epigenetic mechanism underlying the development of polycystic ovary syndrome
(PCOS)-like phenotypes in prenatally androgenized rhesus monkeys.
AB - The pathogenesis of polycystic ovary syndrome (PCOS) is poorly understood. PCOS
like phenotypes are produced by prenatal androgenization (PA) of female rhesus
monkeys. We hypothesize that perturbation of the epigenome, through altered DNA
methylation, is one of the mechanisms whereby PA reprograms monkeys to develop
PCOS. Infant and adult visceral adipose tissues (VAT) harvested from 15 PA and 10
control monkeys were studied. Bisulfite treated samples were subjected to genome
wide CpG methylation analysis, designed to simultaneously measure methylation
levels at 27,578 CpG sites. Analysis was carried out using Bayesian
Classification with Singular Value Decomposition (BCSVD), testing all probes
simultaneously in a single test. Stringent criteria were then applied to filter
out invalid probes due to sequence dissimilarities between human probes and
monkey DNA, and then mapped to the rhesus genome. This yielded differentially
methylated loci between PA and control monkeys, 163 in infant VAT, and 325 in
adult VAT (BCSVD P<0.05). Among these two sets of genes, we identified several
significant pathways, including the antiproliferative role of TOB in T cell
signaling and transforming growth factor-beta (TGF-beta) signaling. Our results
suggest PA may modify DNA methylation patterns in both infant and adult VAT. This
pilot study suggests that excess fetal androgen exposure in female nonhuman
primates may predispose to PCOS via alteration of the epigenome, providing a
novel avenue to understand PCOS in humans.
PMID- 22076148
TI - Antidepressants stimulate hippocampal neurogenesis by inhibiting p21 expression
in the subgranular zone of the hipppocampus.
AB - The relationships among hippocampal neurogenesis, depression and the mechanism of
action of antidepressant drugs have generated a considerable amount of
controversy. The cyclin-dependent kinase (Cdk) inhibitor p21(Cip1) (p21) plays a
crucial role in restraining cellular proliferation and maintaining cellular
quiescence. Using in vivo and in vitro approaches the present study shows that
p21 is expressed in the subgranular zone of the dentate gyrus of the hippocampus
in early neuronal progenitors and in immature neurons, but not in mature neurons
or astroglia. In vitro, proliferation is higher in neuronal progenitor cells
derived from p21-/- mice compared to cells derived from wild-type mice.
Proliferation is increased in neuronal progenitor cells after suppression of p21
using lentivirus expressing short hairpin RNA against p21. In vivo, chronic
treatment with the non-selective antidepressant imipramine as well as the
norepinephrine-selective reuptake inhibitor desipramine or the serotonin
selective reuptake inhibitor fluoxetine all decrease p21 expression, and this was
associated with increased neurogenesis. Chronic antidepressant treatment did not
affect the expression of other Cdk inhibitors. Untreated p21-/- mice exhibit a
higher degree of baseline neurogenesis and decreased immobility in the forced
swim test. Although chronic imipramine treatment increased neurogenesis and
reduced immobility in the forced swim test in wild-type mice, it reduced
neurogenesis and increased immobility in p21-/- mice. These results demonstrate
the unique role of p21 in the control of neurogenesis, and support the hypothesis
that different classes of reuptake inhibitor-type antidepressant drugs all
stimulate hippocampal neurogenesis by inhibiting p21 expression.
PMID- 22076149
TI - p53 dependent centrosome clustering prevents multipolar mitosis in tetraploid
cells.
AB - BACKGROUND: p53 abnormality and aneuploidy often coexist in human tumors, and
tetraploidy is considered as an intermediate between normal diploidy and
aneuploidy. The purpose of this study was to investigate whether and how p53
influences the transformation from tetraploidy to aneuploidy. PRINCIPAL FINDINGS:
Live cell imaging was performed to determine the fates and mitotic behaviors of
several human and mouse tetraploid cells with different p53 status, and
centrosome and spindle immunostaining was used to investigate centrosome
behaviors. We found that p53 dominant-negative mutation, point mutation, or
knockout led to a 2~ 33-fold increase of multipolar mitosis in N/TERT1, 3T3 and
mouse embryonic fibroblasts (MEFs), while mitotic entry and cell death were not
significantly affected. In p53-/- tetraploid MEFs, the ability of centrosome
clustering was compromised, while centrosome inactivation was not affected.
Suppression of RhoA/ROCK activity by specific inhibitors in p53-/- tetraploid
MEFs enhanced centrosome clustering, decreased multipolar mitosis from 38% to 20%
and 16% for RhoA and ROCK, respectively, while expression of constitutively
active RhoA in p53+/+ tetraploid 3T3 cells increased the frequency of multipolar
mitosis from 15% to 35%. CONCLUSIONS: p53 could not prevent tetraploid cells
entering mitosis or induce tetraploid cell death. However, p53 abnormality
impaired centrosome clustering and lead to multipolar mitosis in tetraploid cells
by modulating the RhoA/ROCK signaling pathway.
PMID- 22076150
TI - Genome-scale consequences of cofactor balancing in engineered pentose utilization
pathways in Saccharomyces cerevisiae.
AB - Biofuels derived from lignocellulosic biomass offer promising alternative
renewable energy sources for transportation fuels. Significant effort has been
made to engineer Saccharomyces cerevisiae to efficiently ferment pentose sugars
such as D-xylose and L-arabinose into biofuels such as ethanol through
heterologous expression of the fungal D-xylose and L-arabinose pathways. However,
one of the major bottlenecks in these fungal pathways is that the cofactors are
not balanced, which contributes to inefficient utilization of pentose sugars. We
utilized a genome-scale model of S. cerevisiae to predict the maximal achievable
growth rate for cofactor balanced and imbalanced D-xylose and L-arabinose
utilization pathways. Dynamic flux balance analysis (DFBA) was used to simulate
batch fermentation of glucose, D-xylose, and L-arabinose. The dynamic models and
experimental results are in good agreement for the wild type and for the
engineered D-xylose utilization pathway. Cofactor balancing the engineered D
xylose and L-arabinose utilization pathways simulated an increase in ethanol
batch production of 24.7% while simultaneously reducing the predicted substrate
utilization time by 70%. Furthermore, the effects of cofactor balancing the
engineered pentose utilization pathways were evaluated throughout the genome
scale metabolic network. This work not only provides new insights to the global
network effects of cofactor balancing but also provides useful guidelines for
engineering a recombinant yeast strain with cofactor balanced engineered pathways
that efficiently co-utilizes pentose and hexose sugars for biofuels production.
Experimental switching of cofactor usage in enzymes has been demonstrated, but is
a time-consuming effort. Therefore, systems biology models that can predict the
likely outcome of such strain engineering efforts are highly useful for
motivating which efforts are likely to be worth the significant time investment.
PMID- 22076151
TI - An in vivo polymicrobial biofilm wound infection model to study interspecies
interactions.
AB - Chronic wound infections are typically polymicrobial; however, most in vivo
studies have focused on monospecies infections. This project was designed to
develop an in vivo, polymicrobial, biofilm-related, infected wound model in order
to study multispecies biofilm dynamics and in relation to wound chronicity.
Multispecies biofilms consisting of both Gram negative and Gram positive strains,
as well as aerobes and anaerobes, were grown in vitro and then transplanted onto
the wounds of mice. These in vitro-to-in vivo multi-species biofilm transplants
generated polymicrobial wound infections, which remained heterogeneous with four
bacterial species throughout the experiment. We observed that wounded mice given
multispecies biofilm infections displayed a wound healing impairment over mice
infected with a single-species of bacteria. In addition, the bacteria in the
polymicrobial wound infections displayed increased antimicrobial tolerance in
comparison to those in single species infections. These data suggest that
synergistic interactions between different bacterial species in wounds may
contribute to healing delays and/or antibiotic tolerance.
PMID- 22076153
TI - Derivation, characterization, and stable transfection of induced pluripotent stem
cells from Fischer344 rats.
AB - The rat represents an important animal model that, in many respects, is superior
to the mouse for dissecting behavioral, cardiovascular and other physiological
pathologies relevant to humans. Derivation of induced pluripotent stem cells from
rats (riPS) opens the opportunity for gene targeting in specific rat strains, as
well as for the development of new protocols for the treatment of different
degenerative diseases. Here, we report an improved lentivirus-based hit-and-run
riPS derivation protocol that makes use of small inhibitors of MEK and GSK3. We
demonstrate that the excision of proviruses does not affect either the karyotype
or the differentiation ability of these cells. We show that the established riPS
cells are readily amenable to genetic manipulations such as stable
electroporation. Finally, we propose a genetic tool for an improvement of riPS
cell quality in culture. These data may prompt iPS cell-based gene targeting in
rat as well as the development of iPS cell-based therapies using disease models
established in this species.
PMID- 22076152
TI - Fascin is a key regulator of breast cancer invasion that acts via the
modification of metastasis-associated molecules.
AB - The actin-bundling protein, fascin, is a member of the cytoskeletal protein
family that has restricted expression in specialized normal cells. However, many
studies have reported the induction of this protein in various transformed cells
including breast cancer cells. While the role of fascin in the regulation of
breast cancer cell migration has been previously shown, the underlying molecular
mechanism remained poorly defined. We have used variety of immunological and
functional assays to study whether fascin regulates breast cancer metastasis
associated molecules. In this report we found a direct relationship between
fascin expression in breast cancer patients and; metastasis and shorter disease
free survival. Most importantly, in vitro interference with fascin expression by
loss or gain of function demonstrates a central role for this protein in
regulating the cell morphology, migration and invasion potential. Our results
show that fascin regulation of invasion is mediated via modulating several
metastasis-associated genes. We show for the first time that fascin down
regulates the expression and nuclear translocation of a key metastasis suppressor
protein known as breast cancer metastasis suppressor-1 (BRMS1). In addition,
fascin up-regulates NF-kappa B activity, which is essential for metastasis.
Importantly, fascin up-regulates other proteins that are known to be critical for
the execution of metastasis such as urokinase-type plasminogen activator (uPA)
and the matrix metalloproteases (MMP)-2 and MMP-9. This study demonstrates that
fascin expression in breast cancer cells establishes a gene expression profile
consistent with metastatic tumors and offers a potential therapeutic intervention
in metastatic breast cancer treatment through fascin targeting.
PMID- 22076154
TI - Integrated epigenetics of human breast cancer: synoptic investigation of targeted
genes, microRNAs and proteins upon demethylation treatment.
AB - BACKGROUND: The contribution of aberrant DNA methylation in silencing of tumor
suppressor genes (TSGs) and microRNAs has been investigated. Since these
epigenetic alterations are reversible, it became of interest to determine the
effects of the 5-aza-2'-deoxycytidine (DAC) demethylation therapy in breast
cancer at different molecular levels. METHODS AND FINDINGS: Here we investigate a
synoptic model to predict complete DAC treatment effects at the level of genes,
microRNAs and proteins for several human breast cancer lines. The present study
assessed an effective treatment dosage based on the cell viability, cytotoxicity,
apoptosis and methylation assays for the investigated cell lines. A highly
aggressive and a non-aggressive cell line were investigated using omics
approaches such as MALDI-TOF MS, mRNA- and microRNA expression arrays, 2-D gel
electrophoresis and LC-MS-MS. Complete molecular profiles including the
biological interaction and possible early and late systematic stable or transient
effects of the methylation inhibition were determined. Beside the activation of
several epigenetically suppressed TSGs, we also showed significant dysregulation
of some important oncogenes, oncomiRs and oncosuppressors miRNAs as well as drug
tolerance genes/miRNAs/proteins. CONCLUSIONS: In the present study, the results
denote some new molecular DAC targets and pathways based on the chemical
modification of DNA methylation in breast cancer. The outlined approach might
prove to be useful as an epigenetic treatment model also for other human solid
tumors in the management of cancer patients.
PMID- 22076155
TI - LINE-1 methylation levels in leukocyte DNA and risk of renal cell cancer.
AB - PURPOSE: Leukocyte global DNA methylation levels are currently being considered
as biomarkers of cancer susceptibility and have been associated with risk of
several cancers. In this study, we aimed to examine the association between long
interspersed nuclear elements (LINE-1) methylation levels, as a biomarker of
global DNA methylation in blood cell DNA, and renal cell cancer risk.
EXPERIMENTAL DESIGN: LINE-1 methylation of bisulfite-converted genomic DNA
isolated from leukocytes was quantified by pyrosequencing measured in triplicate,
and averaged across 4 CpG sites. A total of 328 RCC cases and 654 controls
frequency-matched(2?1) on age(+/-5years), sex and study center, from a large case
control study conducted in Central and Eastern Europe were evaluated. RESULTS:
LINE-1 methylation levels were significantly higher in RCC cases with a median of
81.97% (interquartile range[IQR]: 80.84-83.47) compared to 81.67% (IQR: 80.35
83.03) among controls (p = 0.003, Wilcoxon). Compared to the lowest LINE-1
methylation quartile(Q1), the adjusted ORs for increasing methylation quartiles
were as follows: OR(Q2) = 1.84(1.20-2.81), OR(Q3) = 1.72(1.11-2.65) and OR(Q4) =
2.06(1.34-3.17), with a p-trend = 0.004. The association was stronger among
current smokers (p-trend<0.001) than former or never smokers (p-interaction =
0.03). To eliminate the possibility of selection bias among controls, the
relationship between LINE-1 methylation and smoking was evaluated and confirmed
in a case-only analysis, as well. CONCLUSIONS: Higher levels of LINE-1
methylation appear to be positively associated with RCC risk, particularly among
current smokers. Further investigations using both post- and pre-diagnostic
genomic DNA is warranted to confirm findings and will be necessary to determine
whether the observed differences occur prior to, or as a result of
carcinogenesis.
PMID- 22076156
TI - The primate community of Cachoeira (Brazilian Amazonia): a model to decipher
ecological partitioning among extinct species.
AB - Dental microwear analysis is conducted on a community of platyrrhine primates
from South America. This analysis focuses on the primate community of Cachoeira
Porteira (Para, Brazil), in which seven sympatric species occur: Alouatta
seniculus, Ateles paniscus, Cebus apella, Chiropotes satanas, Pithecia Pithecia,
Saguinus midas, and Saimiri sciureus. Shearing quotients are also calculated for
each taxon of this primate community. Dental microwear results indicate
significant differences between taxa, but are somewhat insufficient when it comes
to discriminating between ecologically similar taxa. The primates of Cachoeira
Porteira all incorporate a certain amount of fruit in their diet, entailing a
definite amount of inter-specific competition as they must share food resources.
Alouatta is the most folivorous taxon of this community, which is corroborated by
dental microwear analysis. Ateles, although of a similar size to Alouatta, limits
inter-specific competition by incorporating more fruit in its diet. Cebus has a
very diverse omnivorous diet, which is highlighted in this study, as it compares
to both fruit and leaf eating taxa. In some cases, microwear results need to be
supplemented by other methods. For example, dental microwear seems insufficient
to distinguish between Pithecia and Chiropotes, which eat foods with similar
physical properties. However, other methods (i.e. shearing quotients and body
mass) provide enough complimentary information to be able to highlight
differences between the two taxa. On the other hand, dental microwear can
highlight differences between primates which have similar diets, such as Saimiri
and Saguinus. In this case, differences could be due to other exogenous factors.
PMID- 22076157
TI - Cerebroventricular microinjection (CVMI) into adult zebrafish brain is an
efficient misexpression method for forebrain ventricular cells.
AB - The teleost fish Danio rerio (zebrafish) has a remarkable ability to generate
newborn neurons in its brain at adult stages of its lifespan-a process called
adult neurogenesis. This ability relies on proliferating ventricular progenitors
and is in striking contrast to mammalian brains that have rather restricted
capacity for adult neurogenesis. Therefore, investigating the zebrafish brain can
help not only to elucidate the molecular mechanisms of widespread adult
neurogenesis in a vertebrate species, but also to design therapies in humans with
what we learn from this teleost. Yet, understanding the cellular behavior and
molecular programs underlying different biological processes in the adult
zebrafish brain requires techniques that allow manipulation of gene function. As
a complementary method to the currently used misexpression techniques in
zebrafish, such as transgenic approaches or electroporation-based delivery of
DNA, we devised a cerebroventricular microinjection (CVMI)-assisted knockdown
protocol that relies on vivo morpholino oligonucleotides, which do not require
electroporation for cellular uptake. This rapid method allows uniform and
efficient knockdown of genes in the ventricular cells of the zebrafish brain,
which contain the neurogenic progenitors. We also provide data on the use of CVMI
for growth factor administration to the brain--in our case FGF8, which modulates
the proliferation rate of the ventricular cells. In this paper, we describe the
CVMI method and discuss its potential uses in zebrafish.
PMID- 22076158
TI - Characterization of the sortase repertoire in Bacillus anthracis.
AB - LPXTG proteins, present in most if not all Gram-positive bacteria, are known to
be anchored by sortases to the bacterial peptidoglycan. More than one sortase
gene is often encoded in a bacterial species, and each sortase is supposed to
specifically anchor given LPXTG proteins, depending of the sequence of the C
terminal cell wall sorting signal (cwss), bearing an LPXTG motif or another
recognition sequence. B. anthracis possesses three sortase genes. B. anthracis
sortase deleted mutant strains are not affected in their virulence. To determine
the sortase repertoires, we developed a genetic screen using the property of the
gamma phage to lyse bacteria only when its receptor, GamR, an LPXTG protein, is
exposed at the surface. We identified 10 proteins that contain a cell wall
sorting signal and are covalently anchored to the peptidoglycan. Some chimeric
proteins yielded phage lysis in all sortase mutant strains, suggesting that cwss
proteins remained surface accessible in absence of their anchoring sortase,
probably as a consequence of membrane localization of yet uncleaved precursor
proteins. For definite assignment of the sortase repertoires, we consequently
relied on a complementary test, using a biochemical approach, namely immunoblot
experiments. The sortase anchoring nine of these proteins has thus been
determined. The absence of virulence defect of the sortase mutants could be a
consequence of the membrane localization of the cwss proteins.
PMID- 22076159
TI - Intravenous neuromyelitis optica autoantibody in mice targets aquaporin-4 in
peripheral organs and area postrema.
AB - The pathogenesis of neuromyelitis optica (NMO) involves binding of IgG
autoantibodies (NMO-IgG) to aquaporin-4 (AQP4) on astrocytes in the central
nervous system (CNS). We studied the in vivo processing in mice of a recombinant
monoclonal human NMO-IgG that binds strongly to mouse AQP4. Following intravenous
administration, serum [NMO-IgG] decreased with t(1/2) ~18 hours in wildtype mice
and ~41 hours in AQP4 knockout mice. NMO-IgG was localized to AQP4-expressing
cell membranes in kidney (collecting duct), skeletal muscle, trachea (epithelial
cells) and stomach (parietal cells). NMO-IgG was seen on astrocytes in the area
postrema in brain, but not elsewhere in brain, spinal cord, optic nerve or
retina. Intravenously administered NMO-IgG was also seen in brain following
mechanical disruption of the blood-brain barrier. Selective cellular localization
was not found for control (non-NMO) IgG, or for NMO-IgG in AQP4 knockout mice.
NMO-IgG injected directly into brain parenchyma diffused over an area of ~5 mm2
over 24 hours and targeted astrocyte foot-processes. Our data establish NMO-IgG
pharmacokinetics and tissue distribution in mice. The rapid access of serum NMO
IgG to AQP4 in peripheral organs but not the CNS indicates that restricted
antibody access cannot account for the absence of NMO pathology in peripheral
organs.
PMID- 22076160
TI - Regional grey and white matter changes in heavy male smokers.
AB - Cigarette smoking is highly prevalent in the general population but the effects
of chronic smoking on brain structures are still unclear. Previous studies have
found mixed results regarding regional grey matter abnormalities in smokers. To
characterize both grey and white matter changes in heavy male smokers, we
investigated 16 heavy smokers and 16 matched healthy controls, using both
univariate voxel-based morphometry (VBM) and multivariate pattern classification
analysis. Compared with controls, heavy smokers exhibited smaller grey matter
volume in cerebellum, as well as larger white matter volume in putamen, anterior
and middle cingulate cortex. Further, the spatial patterns of grey matter or
white matter both discriminated smokers from controls in these regions as well as
in other brain regions. Our findings demonstrated volume abnormalities not only
in the grey matter but also in the white matter in heavy male smokers. The
multivariate analysis suggests that chronic smoking may be associated with volume
alternations in broader brain regions than those identified in VBM analysis.
These results may better our understanding of the neurobiological consequence of
smoking and inform smoking treatment.
PMID- 22076161
TI - In vitro acute exposure to DEHP affects oocyte meiotic maturation, energy and
oxidative stress parameters in a large animal model.
AB - Phthalates are ubiquitous environmental contaminants because of their use in
plastics and other common consumer products. Di-(2-ethylhexyl) phthalate (DEHP)
is the most abundant phthalate and it impairs fertility by acting as an endocrine
disruptor. The aim of the present study was to analyze the effects of in vitro
acute exposure to DEHP on oocyte maturation, energy and oxidative status in the
horse, a large animal model. Cumulus cell (CC) apoptosis and oxidative status
were also investigated. Cumulus-oocyte complexes from the ovaries of slaughtered
mares were cultured in vitro in presence of 0.12, 12 and 1200 uM DEHP. After in
vitro maturation (IVM), CCs were removed and evaluated for apoptosis (cytological
assessment and TUNEL) and intracellular reactive oxygen species (ROS) levels.
Oocytes were evaluated for nuclear chromatin configuration. Matured (Metaphase II
stage; MII) oocytes were further evaluated for cytoplasmic energy and oxidative
parameters. DEHP significantly inhibited oocyte maturation when added at low
doses (0.12 uM; P<0.05). This effect was related to increased CC apoptosis
(P<0.001) and reduced ROS levels (P<0.0001). At higher doses (12 and 1200 uM),
DEHP induced apoptosis (P<0.0001) and ROS increase (P<0.0001) in CCs without
affecting oocyte maturation. In DEHP-exposed MII oocytes, mitochondrial
distribution patterns, apparent energy status (MitoTracker fluorescence
intensity), intracellular ROS localization and levels, mt/ROS colocalization and
total SOD activity did not vary, whereas increased ATP content (P<0.05), possibly
of glycolytic origin, was found. Co-treatment with N-Acetyl-Cysteine reversed
apoptosis and efficiently scavenged excessive ROS in DEHP-treated CCs without
enhancing oocyte maturation. In conclusion, acute in vitro exposure to DEHP
inhibits equine oocyte maturation without altering ooplasmic energy and oxidative
stress parameters in matured oocytes which retain the potential to be fertilized
and develop into embryos even though further studies are necessary to confirm
this possibility.
PMID- 22076162
TI - Circulation of different lineages of dengue virus type 2 in Central America,
their evolutionary time-scale and selection pressure analysis.
AB - Dengue is caused by any of the four serotypes of dengue virus (DENV-1 to 4). Each
serotype is genetically distant from the others, and each has been subdivided
into different genotypes based on phylogenetic analysis. The study of dengue
evolution in endemic regions is important since the diagnosis is often made by
nucleic acid amplification tests, which depends upon recognition of the viral
genome target, and natural occurring mutations can affect the performance of
these assays. Here we report for the first time a detailed study of the
phylogenetic relationships of DENV-2 from Central America, and report the first
fully sequenced DENV-2 strain from Guatemala. Our analysis of the envelope (E)
protein and of the open reading frame of strains from Central American countries,
between 1999 and 2009, revealed that at least two lineages of the American/Asian
genotype of DENV-2 have recently circulated in that region. In occasions the co
circulation of these lineages may have occurred and that has been suggested to
play a role in the observed increased severity of clinical cases. Our time-scale
analysis indicated that the most recent common ancestor for Central American DENV
2 of the American/Asian genotype existed about 19 years ago. Finally, we report
positive selection in DENV-2 from Central America in codons of the genes encoding
for C, E, NS2A, NS3, and NS5 proteins. Some of these identified codons are novel
findings, described for the first time for any of the DENV-2 genotypes.
PMID- 22076163
TI - Role of leptin and its receptors in the pathogenesis of thyroid cancer.
AB - Leptin is a multifunctional adipose-derived cytokines that play a critical role
in bodyweight homeostasis and energy balance. Recently, leptin and leptin
receptor dysreulation have been reported in variety of malignant cells including
thyroid. Leptin modulates growth and proliferation of cancer cells via activation
of various growth and survival signaling pathways including JAK/STAT, PI3
kinase/AKT and/or Map kinases. In this review, current understanding of leptin's
role in the pathogenesis of thyroid cancer has been described.
PMID- 22076164
TI - The oncogenic gene fusion TMPRSS2: ERG is not a diagnostic or prognostic marker
for ovarian cancer.
AB - TMPRSS2:ERG is a gene fusion resulting from the chromosomal rearrangement of the
androgen-regulated TMPRSS2 gene and the ETS transcription factor ERG, leading to
the over-expression of the oncogenic molecule ERG. This gene rearrangement has
been found in approximately half of all prostate cancers and ERG overexpression
is considered as a novel diagnostic marker for prostate carcinoma. However,
little is known about the role of the TMPRSS2:ERG gene fusion in ovarian cancer.
The purpose of this study was to test ERG expression in ovarian cancer and its
potential as a diagnostic marker for ovarian carcinoma progression. A tissue
microarray containing 180 ovarian cancer tissues of various pathological types
and grades were examined by immunohistochemical analysis for expression of ERG.
We also used 40 prostate carcinoma tissues and 40 normal tissues for comparison
in parallel experiments. ERG-positive expression was detected in 40% of the
prostate tumor cancer, as well as in internal positive control endothelial cells,
confirming over-expression of ERG in prostate cancer at relatively the same rate
observed by others. In contrast, all of the ovarian tumor patient tissues of
varying histologic types were ERG-negative, despite some positivity in
endothelial cells. These results suggest that the oncogenic gene fusion
TMPRSS2:ERG does not occur in ovarian cancer relative to prostate cancer.
Therefore, development of ERG expression profile would not be a useful diagnostic
or prognostic marker for ovarian cancer patient screening.
PMID- 22076165
TI - Glioblastoma with PNET-like components has a higher frequency of isocitrate
dehydrogenase 1 (IDH1) mutation and likely a better prognosis than primary
glioblastoma.
AB - Glioblastoma with primitive neuroectodermal tumor-like components (GBM-PNET), a
rare variant of glioblastoma, poses both diagnostic and therapeutic challenges.
Ten patients with GBM-PNET were investigated with a median age of 51.5 years and
the male to female ratio of 4:1. The majority of patients (7 out of 10) showed
ring-enhancing lesions on magnetic resonance imaging (MRI), which is classic for
GBMs. Restricted diffusion was noted in 7 cases where diffusion weighted imaging
(DWI) was performed, which correlates with the presence of PNET-like components.
CD56 and vimentin immunostaining made the diagnosis of GBM-PNET much easier.
Vimentin strongly and diffusely highlighted the astrocytic components and was
negative in PNET-like components, while CD56 was strongly and diffusely positive
in both astrocytic and PNET-like components. Seven out of 9 cases were positive
for p53 in both astrocytic and PNET-like components. Two out of 8 cases harbored
isocitrate dehydrogenase 1 (IDH1) R132H mutation, while IDH2 R172 mutations were
not identified. Three out of 10 patients had a median survival time of 17 months
while the two patients, whose tumor carried IDH1 mutation, were still alive after
15 and 31 months of follow-up. Compared to primary GBMs, GBM-PNETs might have a
better prognosis. Further large scale studies are necessary to confirm this
observation.
PMID- 22076166
TI - Increased expression of zinc finger protein 267 in non-alcoholic fatty liver
disease.
AB - Hepatocellular lipid accumulation is a hallmark of non-alcoholicfatty liver
disease (NAFLD), which encompasses a spectrum ranging from simple steatosis to
non-alcoholic steatohepatitis (NASH) and ultimately cirrhosis. Zinc finger
protein 267 (ZNF267) belongs to the family of Kruppel-like transcription factors,
which regulate diverse biological processes that include development,
proliferation, and differentiation. We have previously demonstrated that ZNF267
expression is up-regulated in liver cirrhosis and is further increased in
hepatocellular carcinoma (HCC). Here, we analyzed the expression of ZNF267 in
tissue specimens of NAFLD patients and found a significant up-regulation compared
to normal liver tissue. Noteworthy, ZNF267 mRNA was already significantly
increased in steatotic liver tissue without inflammation. In line with this,
incubation of primary human hepatocytes with palmitic acid induced a dose
dependent lipid accumulation and corresponding dose-dependent ZNF267 induction in
vitro. Furthermore, hepatocellular lipid accumulation induced formation of
reactive oxygen species (ROS), and also chemically induced ROS formation
increased ZNF267 mRNA expression. In summary with previous findings, which
revealed ZNF267 as pro-fibrogenic and pro-cancerogenic factor in chronic liver
disease, the present study further suggests ZNF267 as promising therapeutic
target particularly for NAFLD patients. In addition, it further indicates that
hepatic steatosis per se has pathophysiological relevance and should not be
considered as benign.
PMID- 22076167
TI - Claudins 10 and 18 are predominantly expressed in lung adenocarcinomas and in
tumors of nonsmokers.
AB - AIMS: We investigated the expression of claudins 18 and 10 in a large set of
primary lung carcinomas. METHODS AND RESULTS: Immunohistochemical expression of
claudin 18 was seen in 12.7 % and claudin 10 in 12.5 % of lung carcinomas. Their
expression significantly associated with each other (p<0.001). The expression of
claudin 18 and 10 was most prominent in lung adenocarcinomas which displayed
positivity in 21.2% and 23.4 % of cases. Female patients had more often claudin
18 and 10 positive tumors, also separately in adenocarcinomas. Interestingly,
claudin 10 (p=0.036) and claudin 18 (p=0.001) were more common in tumours of
nonsmokers. In adenocarcinomas claudin 18 predicted a better survival (p=0.032).
In Cox multivariate analysis, claudin 18 had an independent prognostic value
(p=0.027). CONCLUSION: The results show that both claudins are most commonly
expressed in lung adenocarcinomas and they are more occasionally detected in
other histological tumour types. Curiously, female patients and non-smokers
express these claudins more commonly suggesting that they may play a part in the
carcinogenesis of tobacco unrelated carcinoma. Claudin 18 associated with a
better survival in lung adenocarcinoma and had an independent prognostic value
and may thus be used in the evaluation of patient prognosis.
PMID- 22076168
TI - Co-expression of metalloproteinases 11 and 12 in cervical scrapes cells from
cervical precursor lesions.
AB - The metalloproteinases (MMP) 11 and 12 have been shown to be expressed in
cervical cancer (CC). In order to extend our previous results, these MMPs were
evaluated in cervical precursor lesions. One hundred seventeen cervical scrapes:
thirty-six normal, thirty-six low grade squamous lesions (LSIL), thirty-six high
grade (HSIL), nine CC; and, also ninety-nine paraffin-embedded cervical lesions:
fifteen normal cervices, thirty eight LSIL, sixteen HSIL, and five CC were
collected. The samples were analyzed for relative expression by real time RT-PCR
or immunohistochemistry assay. We were able to identify a relative increased
expression of MMP11 in 75% and 78% from LSIL and HSIL samples, respectively.
While MMP12 expression was 64% and 75% in LSIL and HSIL, respectively. Positive
samples for MMP11 expression were also positive for MMP12 expression and also
increased according to illness progression. In the tissues, MMP11 or MMP12
expression was observed in the cytoplasm of the neoplastic cells, while in the
normal epithelium was absent. The reaction was always stronger for MMP12 than
MMP11. MMP11 expression was present in 77% and 66% of LSIL and HSIL, while MMP12
expression was 73% and 68%. There was a relationship between MMP11 or MMP12
expression and HPV infection. Our data are showing a relationship between
diagnostic of precursor lesions and the MMP11 and 12 expressions, suggesting that
their expression could be an early event in the neoplastic lesions of the cervix
and could have clinical significance.
PMID- 22076169
TI - Achilles tendinosis: a morphometrical study in a rat model.
AB - This study addresses the morphopathogenesis of Achilles tendinosis, using a rat
model and presenting quantitative analysis of time-dependent histological
changes. Thirty Wistar rats were used, randomly split in experimental and control
groups. Animals of the experimental group were submitted to a treadmill running
scheme. Five animals of each group were euthanized at four, eight and sixteen
weeks. Achilles tendons were collected and processed routinely for histopath
sections. Slides were stained by Hematoxylin-Eosin, Picrosirius Red, Alcian Blue,
AgNOR, TUNEL and evaluated morphometrically. Cellular density decreased slightly
along the time and was higher in the experimental group than in controls at
fourth, eighth and sixteenth weeks. Fiber microtearing, percentual of reticular
fibers and glycosaminoglycans content increased along the time and were higher in
experimental group than in controls at all-time intervals. AgNOR labeling here
interpreted as a marker of transcription activity was higher in the experimental
groups than in controls at all-time intervals. Apoptotic cells were more frequent
and diffusely distributed in tendinosis samples than in control groups. These
results suggest that as mechanical overload is becoming chronic, cellular
turnover and matrix deposition increases leading to tendinosis. The combination
of staining techniques and morphometry used here to describe the evolution of
lesions occurring in a rat model system has proved to be suited for the study of
induced Achilles tendinosis.
PMID- 22076171
TI - Small oncocytic papillary renal cell carcinoma in diabetic glomerulosclerosis.
AB - Histologic and immunohistochemical features of oncocytic papillary renal cell
carcinoma (RCC) have not been fully elucidated. The author herein report a case
of oncocytic papillary RCC (OPRCC). A 71-year-old man with diabetes mellitus and
diabetic nephropathy was found to have a small right renal tumor by CT. He had
been treated with hemodialysis for chronic renal failure for 10 years. A
nephrectomy was performed. Grossly, a small (1.5cm) encapsulated yellow tumor was
found in the kidney. Histologically, the tumor was completely encapsulated, and
consisted entirely of atypical oncocytes arranged in a diffuse papillary
structure with fibrovascular cores. The oncocytes showed grade 3 atypia and
pseudostratification. A few mitotic figures were seen, and psammoma bodies, foamy
macrophages, and hemosiderin were scattered. Histochemically, the tumor cells
were positive for colloidal iron, and negative for mucins (Alcian blue/PAS).
Immunohistochemical results of the tumor were as follows: alpha-methylacyl
coenzyme A rasemase (AMACR) +++, vimentin +++, cytokeratin (CK) 18 +++, CD10 +++,
S-100 protein +, MUC1 ++, MUC2 ++, MUC5AC ++, MUC6 ++, panCK Cam5.2 +, CK7 +, CK8
+, CK14 +, CK19 +, CK20 +, p53 +, HepPar1 +, CD68 +, platelet-derived growth
factor-alpha (PDGFRA) +, PanCK AE1/3 -, PanCK WSS -, PanCK MNF115 -, CK 35BE12 -,
CK5/6 -, EMA -, desmin -, smooth muscle antigen -, alpha-fetoprotein -, CEA -,
estrogen receptor -, progesterone receptor -, HER2 -, p63 -, and KIT -. Ki67
labeling was 6%. These results suggest that OPRCC can express colloidal iron, low
molecular weight CKs, S100 protein, MUC1, MUC2, MUC5AC, MUC6, p53, PDGFRA, and
HepPar1.
PMID- 22076172
TI - Extranodal NK/T-cell lymphoma, nasal type extensively involving the bone marrow.
AB - Extranodal NK/T-cell lymphoma, nasal type, is an aggressive EBV-associated
lymphoma that mainly involves the nasal cavity but has also been reported to
involve other extranodal sites without nasal involvement. In contrast to
aggressive NK cell leukemia (a marrow-based aggressive leukemia of NK-cell
origin); extensive bone marrow and blood involvement is extremely uncommon by
nasal type NK/T lymphoma. We report a patient with extranodal NK/T-cell lymphoma,
nasal type that developed extensive bone marrow involvement during the course of
her disease with some overlapping features with aggressive NK-cell leukemia.
PMID- 22076170
TI - Modulation of AP-endonuclease1 levels associated with hepatic cirrhosis in rat
model treated with human umbilical cord blood mononuclear stem cells.
AB - Oxidative stress in liver cells may contribute to the etiology of hepatic
diseases, as in liver cirrhosis. AP-Endonuclease1 (APE1/Ref-1) is essential for
cell protection toward oxidative stress by acting as a transcriptional regulator
of pro-survival genes and as a redox sensitive protein. The aim of this study was
to critically analyze the various parameters governing the success of human
umbilical cord blood mononuclear stem cell-based (MNCs) therapy without the use
of an immunosuppressant and to investigate for the first time the expression of
APE1 during thioacetamide (TAA)-induced cirrhosis and MNCs therapy in a rat
model. Umbilical cord blood samples from full-term deliveries were collected.
Lethal fulminant hepatic cirrhosis in rats was induced by intraperitoneal
injection of thio-acetamide. MNCs were then intrahepatically transplanted. We
measured APE1 expression at mRNA and protein levels, mRNA expression of TGF-beta,
alpha-SMA, STAP, CTGF, MMP-9 and TIMP-1 in a follow up study. Histopathological
and immunohistochemical analyses were performed 10 weeks after intrahepatic
injection of the cells. Transdifferentiated cells could be efficiently stained
with antihuman hepatocytes. Interestingly, human hepatocyte-specific markers,
human albumin, cytokeratin-18 and cytokeratin-19 mRNAs were detected in rat liver
after 10 days of MNCs infusion. MNC transplanted by intrahepatic route, could
engraft recipient liver, differentiated into functional hepatocytes, and rescued
liver failure. Moreover up regulation of APE1 expression confirmed by marked
immunohistochemical staining may be involved in MNCs-induced hepatocytes
regeneration suggesting that maintaining high level of APE1 has protective effect
as pro-survival signal.
PMID- 22076173
TI - KIT and PDGFRA in esophageal pure small cell carcinoma.
AB - The author herein reports a very rare case of pure small cell carcinoma of the
esophagus with an emphasis on KIT and PDGFRA. A 72-year-old man was admitted to
our hospital because of dysphagia, and endoscopy showed a tumor in the esophagus.
A biopsy of the esophageal tumor showed a small cell carcinoma consisting of
malignant small cells with very hyperchromatic nuclei and inconspicuous nucleoli
and without any differentiations. An immuno-histochemical study revealed positive
reaction for cytokeratin (Dako, Glostrup, Denmark), KIT, PDGFRA, synapto-physin,
p53 protein, and CD56, and negative reaction for chromogranin, CD45, CD20, CD3,
and CD30. The Ki-67 labeling was 95%. A molecular genetic analysis showed no
mutations of KIT and PDGFRA genes. The patient underwent radiation (50 Gray) and
chemotherapy (cisplatin, 5 courses), but he developed liver and bone metastases
and died of systemic carcinomatosis five months after the initial presentation.
PMID- 22076174
TI - Translocation (5; 11) in a conjunctival MALT lymphoma.
AB - Lymphoma is the most frequent malignant tumor of the ocular adnexa with the most
common histologic type being extranodal marginal zone B-cell lymphoma (EMZL) of
mucosa-associated lymphoid tissue (MALT lymphoma). Here we report a case of a 28
year-old male who presented with a left conjunctival mass of one year duration. A
diagnosis of primary MALT lymphoma of the conjunctiva was made based on
morphologic and immunopheno-typic studies. Chromosome analysis revealed a male
karyotype with a translocation t (5;11) (q33;p11.2) as the primary chromosomal
abnormality, which, to the best of our knowledge, is the first reported
translocation in MALT lym-phomas and ocular MALT lymphomas as well.
PMID- 22076175
TI - Monstrous epithelial cell clusters in the seminal vesicle.
AB - A 60-year-old man presented with dysuria and elevated PSA (6.95 ng/ml). Needle
biopsies of the prostate revealed well differentiated adenocarcinoma of Gleason's
score 6. Prostatectomy and bilateral seminal vesiculotomy were performed. The
material was totally cut into 16 preparations. The prostate showed well
differentiated adenocarcinoma. The left seminal vesicle showed intraluminal
monstrous large epithelial cells with acidophilic cytoplasm and hyperchromatic
nuclei, simulating carcinoma cells. Lipochrome pigment was present in the
monstrous cells, and some monstrous cells showed large bizarre nuclei. Such
monstrous cells were also present in the mucosal seminal vesicle epithelium, and
gradual merge between the intraluminal and mucosal monstorous epithelium.
Immunohistochemically, the monstrous epithelial cells showed the following
reactions: pancytokeratin (AE1/3, CAM5.2) +, cytokeratin (CK) 5/6 +, CK34betaE12
, CK7 +, CK8 -, CK14 -, CK18 +, CK19+, CK20 -, Ki-67 0%, p53 -, P63 -, NSE -, CEA
-, EMA -, CA19-9 -, ER -, PgR -, HER2 -, HepPar1 -, CD34 -, CD10 +, PSA -, AMACR
, Desmin -, ASMA -, CD68 -, S100 -, CD45 -, synaptopysin -, TTF-1 -, CDX-2 -,
MUC1 -, MUC2 -, MUC5AC - MUC6 +, CD56 -, PAS -, dPAS -, and alcian blue +. The
immunoprofile of normal seminal vesicle epithelium was as follows: pancytokeratin
(AE1/3, CAM5.2) +++, cy-tokeratin (CK) 5/6 +++, CK34betaE12 -, CK7 +++, CK8 +,
CK14 -, CK18 +++, CK19, +++, CK20 -, KI-67 1%, p53 -, P63 +++, NSE -, CEA - EMA
, CA19-9 -, ER -, PgR -, HER2 +, HepPar1 -, CD34 -, CD10 +, PSA -, AMACR -,
Desmin -, ASMA -, CD68 -, S100 - , CD45 -, synaptopysin -, TTF-1 -, CDX-2 -, MUC1
-, MUC2 -, MUC5AC -, MUC6 +++, CD56 -, PAS -, dPAS -, and alcian blue +. That is,
the immunophenotype was very similar but much weaker in monstrous cells than in
normal seminal vesicle epithelium. These findings suggest that the monstrous
seminal vesicle epithelial cells are degenerative changes. The monstrous
epithelial cells should not be mistaken for carcinoma.
PMID- 22076176
TI - Mark A. Smith, 1965-2010: consummate student of pathogenesis.
PMID- 22076179
TI - Microsatellite instability is associated with the clinicopathologic features of
gastric cancer in sporadic gastric cancer patients.
AB - PURPOSE: Replication error is an important mechanism in carcinogenesis. The
microsatellite instability (MSI-H) of colorectal cancers is associated with the
development of multiple cancers. The influence of MSI-H on the development of
multiple gastric cancers in sporadic gastric cancer patients has not been
defined. This study was performed to reveal the association between the
clinicopathologic features and MSI in sporadic gastric cancers. MATERIALS AND
METHODS: Between July 2004 and March 2009, the clinicopathologic characteristics,
including MSI status, were evaluated in 128 consecutive patients with sporadic
gastric cancers. None of the patients had hereditary non-polyposis colorectal
cancer of familial gastric cancer. The markers that were recommended by the NCI
to determine the MSI status for colorectal cancers were used. RESULTS: MSI-H
cancers were found in 10.9% of the patients (14/128). Synchronous gastric cancers
were shown in 4 patients (3.1%). Synchronous cancers were found in 2 of 14
patients with MSI-H gastric cancer (14.3%) and 2 of 114 patients with MSS gastric
cancer (1.8%; P=0.059, Fisher's exact test). Among the patients with synchronous
cancer 50% (2/4) had MSI-H cancer, but 9.7% of the patients (12/124) without
synchronous cancer had MSI-H cancer. MSI-H (RR, 24.7; 95% CI, 1.5~398.9; P=0.024)
was related with to synchronous gastric cancer, but age, gender, family history,
histologic type, location, gross morphology, size, and stage were not related to
synchronous gastric cancer. CONCLUSIONS: MSI is associated with the intestinal
type gastric cancer and the presence of multiple gastric cancers in patients with
sporadic gastric cancer. Special attention to the presence of synchronous and the
development of metachronous multiple cancer in patients with MSI-H gastric cancer
is needed.
PMID- 22076177
TI - Rationale for Targeting CD6 as a Treatment for Autoimmune Diseases.
AB - CD6 is a 105-130 kDa surface glycoprotein expressed on the majority of T cells
and a subset of B cells. The human cd6 gene maps to chromosome 11, and the
expression of its protein product is tightly regulated. CD6 mediates cellular
adhesion migration across the endothelial and epithelial cells. In addition, it
participates in the antigen presentation by B cells and the subsequent
proliferation of T cells. CD6 may bind in trans to surface glycoproteins (such as
ALCAM and 3A11), or to microbial lipopolysaccharides, and may bind in cis to
endogenous ligands (such as CD3 and CD5), and thereby deliver a costimulatory
signal. Transinteractions are reinforced during autoimmune diseases (e.g.,
rheumatoid arthritis (RA), Sjogren's syndrome, and multiple sclerosis) and some
cancers. Based on experimental data and on clinical results in RA and psoriasis,
we believe that the recent humanized anti-CD6-specific mAb T1h may act as a
regulator of the immunological response in addition to its function as an anti-T-
and -B cell agent.
PMID- 22076178
TI - B-cell pathology in juvenile idiopathic arthritis.
AB - Juvenile Idiopathic Arthritis (JIA) is the most common cause of chronic arthritis
in childhood and adolescents and encompasses a heterogeneous group of different
diseases. Due to the promising results of B-cell depleting therapies in
rheumatoid arthritis the role of B-cells in autoimmune diseases has to be
discussed in a new context. Additionally, experiments in mouse models have shed
new light on the antibody-independent role of B-cells in the development of
autoimmune diseases. In this review we will discuss the importance of B-cells in
the pathogenesis of JIA appraising the question for an immunological basis of B
cell targeted therapy in JIA.
PMID- 22076180
TI - In vitro adenosine triphosphate based chemotherapy response assay in gastric
cancer.
AB - PURPOSE: The purpose of this study was to investigate the reliability and the
clinical applicability of the adenosine-triphosphate-based chemotherapy response
assay (ATP-CRA) as a method of determining in vitro chemosensitivity in patients
with gastric cancer. MATERIALS AND METHODS: A total of 243 gastric cancer tissue
samples were obtained from gastrectomies performed between February 2007 and
January 2010. We evaluated the effectiveness of the ATP-CRA assay in determining
the chemosensitivity of gastric cancer specimens using eleven chemotherapeutic
agents - etoposide, doxorubicin, epirubicin, mytomicin, 5-fluorouracil,
oxaliplatin, irinotecan, docetaxel, paclitaxel, methotraxate, and cisplatin - for
chemosensitivity studies using ATP-CRA. We assessed the failure rate, the cell
death rate, and the chemosensitivity index. RESULTS: The failure rate of ATP-CRA
was 1.6% (4/243). The mean coefficient of variation for triplicate ATP
measurements was 6.5%. Etoposide showed the highest cell death rate (35.9%) while
methotrexate showed the lowest (16.6%). The most active chemotherapeutic agent
was etoposide, which most frequently ranked highest in the chemosensitivity test:
31.9% (51/160). Oxaliplatin was more active against early gastric cancers than
advanced gastric cancers, whereas docetaxel was more active against advanced
cancers. The lymph node negative group showed a significantly higher cell death
rate than the lymph node positive group when treated with doxorubicin,
epirubicin, and mitomycin. CONCLUSIONS: ATP-CRA is a stable and clinically
applicable in vitro chemosensitivity test with a low failure rate. The clinical
usefulness of ATP-CRA should be evaluated by prospective studies comparing the
regimen guided by ATP-CRA with an empirical regimen.
PMID- 22076181
TI - Frequency and predictive factors of lymph node metastasis in mucosal cancer.
AB - PURPOSE: The incidence of lymph node metastasis has been reported to range from
2.6 to 4.8% in early stage gastric cancer with mucosal invasion (T1a cancer).
Lymph node metastasis in early stage gastric cancer is known as an important
predictive factor. We analyzed the prediction factors of lymph node metastasis in
T1a cancer. MATERIALS AND METHODS: A total of 9,912 patients underwent radical
gastrectomy due to gastric cancer from October 1994 to July 2006 in the
Department Of Surgery at Samsung Medical Center. We did a retrospective analysis
of 2,524 patients of these patients, ones for whom the cancer was confined within
the mucosa. RESULTS: Among the 2,524 patients, 57 (2.2%) were diagnosed with
lymph node metastasis, and of these, cancer staging was as follows: 41 were N1, 8
were N2, and 8 were N3a. Univariate analysis of clinicopathological factors
showed that the following factors were significant predictors of metastasis:
tumor size larger than 4 cm, the presence of middle and lower stomach cancer,
poorly differentiated adenocarcinoma and signet-ring cell carcinoma, diffuse type
cancer (by the Lauren classification), and lymphatic invasion. Multivariate
analysis showed that lymphatic invasion and tumor larger than 4 cm were
significant factors with P<0.001 and P=0.024, respectively. CONCLUSIONS: The
frequency of lymph node metastasis is extremely low in early gastric cancer with
mucosal invasion. However, when lymphatic invasion is present or the tumor is
larger than 4 cm, there is a greater likelihood of lymph node metastasis. In such
cases, surgical treatments should be done to prevent disease recurrence.
PMID- 22076182
TI - Phenotypic Differences of Gastric Cancer according to the Helicobacter pylori
Infection in Korean Patients.
AB - PURPOSE: Infection with Helicobacter pylori is an important risk factor for
gastric cancer in humans. We compared the clinicopathologic features of gastric
cancer patients based on H. pylori infection. MATERIALS AND METHODS: We
prospectively studied 155 patients who had gastric cancer and underwent
gastrectomies in 1 hospital in Korea. We examined H. pylori infections using the
rapid urease test (RUT) with gastrectomy specimens and collected clinical and
pathologic data. RESULTS: The number of H. pylori infections based on the RUT was
137 (88%). The H. pylori-negative group was significantly associated with AGC and
tumor histology. H. pylori infection was significantly correlated with type I/IIa
in EGC and type III/IV/V in AGC. AGC was significantly correlated with larger
tumor size, lymphatic invasion, perineural invasion, and H. pylori infection
based on univariate and multivariate analyses. CONCLUSIONS: We report the
prevalence of H. pylori based on the RUT in gastric cancer patients. H. pylori
infection influences the tumor histology, progression, and growth type of gastric
cancer.
PMID- 22076183
TI - The diagnostic accuracy of endoscopic biopsy for gastric dysplasia.
AB - PURPOSE: There is controversy over the treatment for low grade dysplasia, while
resection is recommended for high grade dysplasia. But the concordance of the
grade of dysplasia between pre- and post-resection is low because of sampling
errors with endoscopic biopsy. We attempted to establish a clearer direction for
the treatment of dysplasia by clarifying the discrepancy between the pre- and
post-resection diagnoses. MATERIALS AND METHODS: We performed a retrospective
review of 126 patients who had undergone resection with the diagnosis of
dysplasia on biopsy at Bundang CHA Hospital from 1999 to 2009. RESULTS: Seventy
patients were diagnosed with low grade dysplasia and 56 patients were diagnosed
with high grade dysplasia. Among the 33 patients who received gastrectomy with
lymph node dissection, 30 patients were revealed to have invasive cancers and 4
patients showed lymph node metastasis. Discordance between the diagnoses from
biopsy and resection occurred in 55 patients (44%). There was no correlation on
the comparative analysis between the size, location or gross type of lesion and
the grade of dysplasia. CONCLUSIONS: The rate of discordance between the
diagnoses of endoscopic biopsy and the post resection pathologic report was as
high as 44%. Endoscopic mucosal resection was not sufficient for some patients
who were diagnosed with dysplasia on biopsy due to the presence of lymph node
metastasis. It is necessary to be prudent when determining the follow-up and
treatment based solely on the result of the biopsy.
PMID- 22076184
TI - Is Surgical Treatment Necessary after Non-curative Endoscopic Resection for Early
Gastric Cancer?
AB - PURPOSE: Additional surgery is commonly recommended in gastric cancer patients
who have a high risk of lymph node metastasis or a positive resection margin
after endoscopic resection. We conducted this study to determine factors related
to residual cancer and to determine the appropriate treatment strategy. MATERIALS
AND METHODS: A total of 28 patients who underwent curative gastrectomy due to non
curative endoscopic resection for early gastric cancer between January 2006 and
June 2009 were enrolled in this study. Their clinicopathological findings were
reviewed retrospectively and analyzed for residual cancer. RESULTS: Of the 28
patients, surgical specimens showed residual cancers in eight cases (28.6%) and
lymph node metastasis in one case (3.8%). Based on results of the endoscopic
resection method, the rate of residual cancer was significantly different between
the en-bloc resection group (17.4%) and the piecemeal resection group (80.0%).
The rate of residual cancer was significantly different between the diffuse type
group (100%) and the intestinal type group (20%). The rate of residual cancer in
the positive lateral margin group (25.0%) was significantly lower than that in
the positive vertical margin group (33.3%) or in the positive lateral and
vertical margin group (66.7%). CONCLUSIONS: We recommended that patients who were
lateral and vertical margin positive, had a diffuse type, or underwent piecemeal
endoscopic resection, should be treated by surgery. Minimal invasive procedures
can be considered for patients who were lateral margin positive and intestinal
type through histopathological examination after en-bloc endoscopic resection.
PMID- 22076185
TI - Image-based Approach for Surgical Resection of Gastric Submucosal Tumors.
AB - PURPOSE: This study was done to evaluate the usefulness of preoperative computed
tomography (CT) and intraoperative laparoscopic ultrasound to facilitate
treatment of gastric submucosal tumors. MATERIALS AND METHODS: The feasibility of
laparoscopic wedge resection as determined by CT findings of tumor size,
location, and growth pattern was correlated with surgical findings in 89
consecutive operations. The role of laparoscopic ultrasound for tumor
localization was analyzed. RESULTS: Twenty-three patients were considered
unsuitable for laparoscopic wedge resection because of large tumor size (N=13) or
involvement of the gastroesophageal junction (N=9) or pyloric channel (N=1).
Laparoscopic wedge resection was not attempted in 11 of these patients because of
large tumor size. Laparoscopic wedge resection was successfully performed in 65
of 66 (98.5%) patients considered suitable for this procedure. Incorrect
interpretation of preoperative CT resulted in a change of surgery type in seven
patients (7.9%): incorrect CT diagnosis on gastroesophageal junction involvement
(N=6) and on growth pattern (N=1). In 18 patients without an exophytic growth
pattern, laparoscopic ultrasound was necessary and successfully localized all
lesions. CONCLUSIONS: Preoperative CT and laparoscopic ultrasound are useful for
surgical planning and tumor localization in laparoscopic wedge resection.
PMID- 22076186
TI - Prognostic significance of preoperative blood transfusion in stomach cancer.
AB - PURPOSE: We did a retrospective study to understand the prognostic effects of
preoperative blood transfusions in stomach cancer surgery. MATERIALS AND METHODS:
Data for 1,360 patients who underwent gastrectomy for stomach cancer between 2001
and 2009 were retrospectively reviewed. We analyzed factors that affect
preoperative transfusion and clinicopathologic features. We also analyzed 5-year
and overall survival rates of the transfusion and non transfusion subgroups.
RESULTS: Sixty patients (4.4%) required blood transfusion within the preoperative
period. The transfused group included patients who took aspirin or clopidogrel
(P<0.001), with more advanced T stages (P<0.001), with more advanced nodal
metastasis (P=0.00), and with more advanced stages (P=0.00) than the non
transfusion group. On multivariate analysis, preoperative transfusion was a
statistically significant negative influence on 5-year survival and overall
survival rates (58.2% vs 79.9% (P=0.00), 58.2% vs 76.8% (P=0.00)). Applying Cox
regression analyses, blood transfusion did appear to have an effect on prognosis
and on 5-year and overall survival rates. CONCLUSIONS: We found a direct negative
relation between preoperative transfusion and long term prognosis in patients
receiving gastric cancer surgery.
PMID- 22076187
TI - The feasibility of short term prophylactic antibiotics in gastric cancer surgery.
AB - PURPOSE: Most surgeons administer prophylactic antibiotics for 3 to 5 days
postoperatively. However, the Center for Disease Control (CDC) guideline
recommends antibiotic therapy for 24 hours or less in clean/uncontaminated
surgery. Thus, we prospectively studied the use of short term prophylactic
antibiotic therapy after gastric cancer surgery. MATERIALS AND METHODS: A total
of 103 patients who underwent gastric cancer surgery between October 2007 and
June 2008 were prospectively enrolled in a short term prophylactic antibiotics
program. One gram of cefoxitin was administered 30 minutes before the incision,
and one additional gram was administered intraoperatively for cases with an
operation time over 3 hours. Postoperatively, one gram was administered 3 times,
every 8 hours. Patients were checked routinely for fever. All cases received open
surgery, and the surgical wounds were dressed and checked for Surgical Site
Infection (SSI) daily. RESULTS: Of the 103 patients, 15 were dropped based on
exclusion criteria (severe organ dysfunction, combined resection of the colon,
etc). The remaining 88 patients were included in the short-term program of
prophylactic antibiotic use. Of these patients, SSIs were detected in 8 (9.1%)
and fever after 2 postoperative days was detected in 11 (12.5%). The incidence of
SSIs increased with patient age, and postoperative fever correlated with
operation time. CONCLUSIONS: Short term prophylactic antibiotic usage is feasible
in patients who undergo gastric cancer surgery, and where there are no grave
comorbidities or combined resection.
PMID- 22076188
TI - Clinicopathological Features of Upper Third Gastric Cancer during a 21-Year
Period (Single Center Analysis).
AB - PURPOSE: The aim of this study was to determine proportions of upper third
gastric cancer (UTG) among all gastric cancers and analyze clinicopathological
features of the disease. MATERIALS AND METHODS: The medical records of 12,300
patients who underwent gastric surgery between 1986 and 2006 at Seoul National
University Hospital (SNUH) were retrospectively reviewed. Clinicopathological
features of 1,260 patients with UTG and 9,929 patients with middle or lower third
gastric cancer (MLG) were compared, and annual proportions of UTG were evaluated.
RESULTS: The proportion of patients with UTG rapidly increased from 2.6% in 1986
to 12.5% in 1992. However, linear regression analysis showed that the rate of
increase was reduced (0.21%/year) after 1992 (12.5% to 14.2% from 1992 to 2006).
Compared with the MLG group, the UTG group had a lower proportion of (22.3% vs.
39.7%, P<0.001) and a greater proportion of stage III/IV disease (39.4% vs.
31.7%, P<0.001). The UTG group also had larger tumors than the MLG group in
stages I/II and III (3.5 cm/5.3 cm/6.5 cm vs. 3.2 cm/5.0 cm/5.8 cm, P=0.020/0.028
/<0.001), a higher proportion of undifferentiated cancer (63.1% vs. 53.7%,
P<0.001), and less intestinal Lauren's type (38.8% vs. 47.4%, P<0.001). The 5
year survival rate of the UTG group was significantly lower than that of the MLG
group in stages I/II and III (85.6%/63.1%/34.2% vs. 91.6%/ 69.2%/44.7%,
P<0.001/0.028/0.006). CONCLUSIONS: The proportion of UTGs has increased over the
last two decades at SNUH, but the rate of increase has been greatly reduced since
1992. The UTG group showed a poorer prognosis compared with the MLG group in
stages I/II and III.
PMID- 22076189
TI - Clinicopathological characteristics and prognosis of remnant gastric cancer.
AB - PURPOSE: The long-term survival rate of gastric cancer patients after surgery has
recently increased as a result of making an early diagnosis of gastric cancer.
Therefore, the incidence of remnant gastric cancer is increasing. This study was
performed to evaluate the clinicopathological characteristics and prognosis of
patients with remnant gastric cancer. MATERIALS AND METHODS: From January 2005 to
December 2009, twenty-nine patients with remnant gastric cancer and who underwent
surgery at Pusan National University Hospital were enrolled in this study. We
retrospectively reviewed and analyzed their medical records. We also divided them
into two groups: the remnant gastric cancer (RGC)-B group (first operation for
benign disease) and the RGC-M group (first operation for malignant disease).
RESULTS: The RGC-B group included ten patients and the RGC-M group included
nineteen patients. The mean interval between the first and second operations was
17 years. The curative resection rate was 93.1% (27/29). The postoperative
complication rate was 20.7% (6/29) and there was no perioperative mortality. Ten
(37%) of twenty-seven patients experienced recurrence after curative resection
and eight patients (27.6%) expired due to aggravation of remnant stomach cancer.
An advanced TNM stage and non-curative resection were the negative prognostic
factors for survival for patients with remnant stomach cancer (P=0.0453 and
P<0.001). The RGC-M group showed a shorter interval (P<0.001) and the RGC-B group
had more advanced TNM stage (P=0.003). CONCLUSIONS: Long-term follow-up should be
considered not only for patients who undergo an operation for malignant disease,
but also for the patients who underwent an operation for benign disease. When
remnant gastric cancer is diagnosed, curative resection is essential to improve
the survival.
PMID- 22076190
TI - Which Is the Optimal Extent of Resection in Middle Third Gastric Cancer between
Total Gastrectomy and Subtotal Gastrectomy?
AB - PURPOSE: In resectable gastric cancer, choice regarding the extent of resection
depends on tumor size, location, and distance from resection margin. However,
there remains controversy for choice of resection for tumors in the middle third
of the stomach. This study investigated patients who underwent gastrectomy in
order to analyze the differences between total gastrectomy (TG) and subtotal
gastrectomy (STG). MATERIALS AND METHODS: From 2000 to 2006, 125 patients with a
tumor in the middle third of the stomach underwent radical gastric resection at
EUMC. We retrospectively conducted comparative analysis for the differences in
clinicopathological characteristics and prognosis between TG and STG. RESULTS:
The average tumor size was 6.7 cm for TG, and 4.1 cm for STG. The number of
metastatic lymph nodes were 13.3 for TG, and 3.7 for STG. Patients with more
advanced cancer were more likely to receive TG. The 5-year survival rate for TG
was lower (38.1%) than STG (69.0%). However, if tumor stages were stratified,
there was no significant difference in the survival rate. Histologically, for the
undifferentiated type of cancer (Stage 1, 2), the 5-year survival rate of STG was
higher (88.1%) than TG (75.0%). CONCLUSIONS: Comparing patients with tumors in
the middle third of the stomach who underwent TG and STG, there was no
statistically significant difference in the 5-year survival rate. If stages were
stratified, the clinicopathological characteristic becomes a key factor in
deciding the prognosis, rather than the choice of resection. Thus if the radical
resection margin can be obtained for a tumor in the middle third of the stomach,
STG is considered instead of TG.
PMID- 22076191
TI - Feasibility of gastric cancer surgery at low volume hospitals.
AB - PURPOSE: Most gastric cancer patients undergo operations at large tertiary
hospitals in Korea. However, some patients are treated at low volume hospitals.
We investigated patient outcomes after gastric surgery at a secondary hospital
and compared with outcomes of large volume centers. MATERIALS AND METHODS: We
included 184 patients who underwent gastric surgery for gastric cancer at our
hospital from January 2003 to December 2008. We conducted a retrospective study
and evaluated the clinicopathological characteristics, clinical outcomes and
survival rate of patients. RESULTS: Mean age was 61.7 years old. Male to female
ratio was 2.2 : 1. Proportion of early gastric cancer was 38.6% and that of
advanced gastric cancer was 61.4%. The 5 year overall survival rate of 184
patients was 66.3%. The overall survival rate was significantly lower for people
over 62 years old. The morbidity rate and mortality at our hospital were 10.3%
and 0.5%, respectively. CONCLUSIONS: The overall survival rate, morbidity and
mortality were similar to those of the previous reports from Korea. Treatment of
gastric cancer at a secondary hospital is feasible and safe. Standardization of
operations and management of gastric cancer patients of the Korean Gastric Cancer
Association is the most important factor to achieve these outcomes.
PMID- 22076192
TI - The Early Experience of Laparoscopy-assisted Gastrectomy for Gastric Cancer at a
Low-volume Center.
AB - PURPOSE: Laparoscopy-assisted gastrectomy (LAG) has become a technically feasible
and safe procedure for early gastric cancer treatment. LAG is being increasingly
performed in many centers; however, there have been few reports regarding LAG at
low-volume centers. The aim of this study was to report our early experience with
LAG in patients with gastric cancer at a low-volume center. MATERIALS AND
METHODS: The clinicopathologic data and surgical outcomes of 39 patients who
underwent LAG for gastric cancer between April 2007 and March 2010 were
retrospectively reviewed. RESULTS: The mean age was 68.3 years. Thirty-one
patients had medical co-morbidities. The mean patient ASA score was 2.0. Among
the 39 patients, 4 patients underwent total gastrectomies and 35 patients
underwent distal gastrectomies. The mean blood loss was 145.4 ml and the mean
operative time was 259.4 minutes. The mean time-to-first flatus, first oral
intake, and the postoperative hospital stay was 2.8, 3.1, and 9.3 days,
respectively. The 30-day mortality rate was 0%. Postoperative complications
developed in 9 patients, as follows: anastomotic leakage, 1; wound infection, 1;
gastric stasis, 2; postoperative ileus, 1; pneumonia, 1; cerebral infarction, 1;
chronic renal failure, 1; and postoperative psychosis, 1. CONCLUSIONS: LAG is
technically feasible and can be performed safely at a low-volume center, but an
experienced surgical team and careful patient selection are necessary.
Furthermore, for early mastery of the learning curve for LAG, surgeons need
education and training in addition to an accumulation of cases.
PMID- 22076193
TI - Comparison of Learning Curves and Clinical Outcomes between Laparoscopy-assisted
Distal Gastrectomy and Open Distal Gastrectomy.
AB - PURPOSE: Most stomach surgeons have been educated sufficiently in conventional
open distal gastrectomy (ODG) but insufficiently in laparoscopy-assisted distal
gastrectomy (LADG). We compared learning curves and clinical outcomes between ODG
and LADG by a single surgeon who had sufficient education of ODG and insufficient
education of LADG. MATERIALS AND METHODS: ODG (90 patients, January through
September, 2004) and LADG groups (90 patients, June 2006 to June 2007) were
compared. The learning curve was assessed with the mean number of retrieved lymph
nodes, operation time, and postoperative morbidity/mortality. RESULTS: Mean
operation time was 168.3 minutes for ODG and 183.6 minutes for LADG. The mean
number of retrieved lymph nodes was 37.9. Up to about the 20th to 25th cases, the
slope decrease in the learning curve for LADG was more apparent than for ODG,
although they both reached plateaus after the 50th cases. The mean number of
retrieved lymph nodes reached the overall mean after the 30th and 40th cases for
ODG and LADG, respectively. For ODG, complications were evenly distributed
throughout the subgroups, whereas for LADG, complications occurred in 10 (33.3%)
of the first 30 cases. CONCLUSIONS: Compared with conventional ODG, LADG is
feasible, in particular for a surgeon who has had much experience with
conventional ODG, although LADG required more operative time, slightly more time
to get adequately retrieved lymph nodes and more complications. However, there
were more minor problems in the first 30 LADG than ODG cases. The unfavorable
results for LADG can be overcome easily through an adequate training program for
LADG.
PMID- 22076194
TI - Gastric lipomatosis.
AB - Gastric lipomatosis is an extremely rare condition. We present a case of a 69
year-old woman admitted with epigastric soreness. Computerized tomography (CT)
revealed extrinsically compressing, fat-containing mass lesions on the entire
gastric wall of the antrum and body except for the lesser curvature. A subtotal
gastrectomy was performed. Pathology findings confirmed a gastric lipomatosis
with multiple gastric ulcerations and extensive disruptions of the muscular
layers. This case and reports of other gastric lipomatosis cases indicate that CT
should be used to characterize large submucosal masses because CT can show the
specific nature and extent of the disease. We believe that surgical treatment is
the most appropriate treatment for symptomatic gastric lipomatosis that shows
extensive gastric involvement, or when there are multiple gastric lipomas.
PMID- 22076195
TI - Recent evolution of surgical treatment for gastric cancer in Korea.
AB - Gastric cancer is the most common malignancy and the incidence is steadily
increasing in Korea. The principal treatment modality for gastric cancer is
surgical extirpation of tumor along with draining lymph nodes. Gastrectomy with
D2 lymph node dissection has been well established as a standard of surgery and
improved the survival of gastric cancer patients. Recently, technological
advances are drastically reshaping the landscape of surgical treatment of gastric
cancer. One of the most notable trends is that minimal access surgery becomes
dominating the treatment of early stage diseases. For advanced diseases, the
standard access surgery is considered a reference treatment. Although there is a
pilot study underway to evaluate the feasibility of the application of minimal
access surgery to advanced gastric cancer (AGC), the evidence for oncological
safety is not yet provided sufficiently. Based on the recent randomized
controlled trials, the extent of surgery for AGC has re-defined as para-aortic
lymph node dissection dose not add any survival benefit while increasing surgery
related morbidities. In addition, it is now accepted as a standard operation
omitting unnecessary procedures such as splenectomy and/or distal pancreatectomy
for prophylactic lymph node dissection. Conceptual and technical innovation has
contributed to decreasing morbidity and mortality without impairing oncological
safety. All these recent advances in the field of gastric cancer surgery would be
concluded in maximizing therapeutic index for gastric cancer while improving
quality of life.
PMID- 22076196
TI - Prevalence, pathophysiology, screening and management of osteoporosis in gastric
cancer patients.
AB - Osteoporosis in gastric cancer patients is often overlooked or even neglected
despite its high prevalence in these patients. Considering that old age,
malnutrition, chronic disease, chemotherapy, decreased body mass index and
gastrectomy are independent risk factors for osteoporosis, it is reasonable that
the prevalence of osteoporosis in gastric cancer patients would be high. Many
surviving patients suffer from back pain and pathological fractures, which are
related to osteoporosis. Fractures have obvious associated morbidities, negative
impact on quality of life, and impose both direct and indirect costs. In the era
of a >55.6% 5-year survival rate of gastric cancer and increased longevity in
gastric cancer patients, it is very important to eliminate common sequelae such
as osteoporosis. Fortunately, the diagnosis of osteoporosis is well established
and many therapeutic agents have been shown to be effective and safe not only in
postmenopausal females but also in elderly males. Recently, effective treatments
of gastric cancer patients with osteoporosis using bisphosphonates, which are
commonly used in postmenopausal woman, were reported.
PMID- 22076197
TI - Eupatilin Inhibits Gastric Cancer Cell Growth by Blocking STAT3-Mediated VEGF
Expression.
AB - PURPOSE: Eupatilin is an antioxidative flavone and a phytopharmaceutical derived
from Artemisia asiatica. It has been reported to possess anti-tumor activity in
some types of cancer including gastric cancer. Eupatilin may modulate the
angiogenesis pathway which is part of anti-inflammatory effect demonstrated in
gastric mucosal injury models. Here we investigated the anti-tumor effects of
eupatilin on gastric cancer cells and elucidated the potential underlying
mechanism whereby eupatilin suppresses angiogenesis and tumor growth. MATERIALS
AND METHODS: The impact of eupatilin on the expression of angiogenesis pathway
proteins was assessed using western blots in MKN45 cells. Using a chromatin
immunoprecipitation assay, we tested whether eupatilin affects the recruitment of
signal transducer and activator of transcription 3 (STAT3), aryl hydrocarbon
receptor nuclear translocator (ARNT) and hypoxia-inducible factor-1alpha (HIF
1alpha) to the human VEGF promoter. To investigate the effect of eupatilin on
vasculogenesis, tube formation assays were conducted using human umbilical vein
endothelial cells (HUVECs). The effect of eupatilin on tumor suppression in mouse
xenografts was assessed. RESULTS: Eupatilin significantly reduced VEGF, ARNT and
STAT3 expression prominently under hypoxic conditions. The recruitment of STAT3,
ARNT and HIF-1alpha to the VEGF promoter was inhibited by eupatilin treatment.
HUVECs produced much foreshortened and severely broken tubes with eupatilin
treatment. In addition, eupatilin effectively reduced tumor growth in a mouse
xenograft model. CONCLUSIONS: Our results indicate that eupatilin inhibits
angiogenesis in gastric cancer cells by blocking STAT3 and VEGF expression,
suggesting its therapeutic potential in the treatment of gastric cancer.
PMID- 22076198
TI - Clinicopathological characteristics of alpha-fetoprotein-producing gastric
cancer.
AB - PURPOSE: alpha-fetoprotein (AFP)-producing gastric cancer is a rare tumor with
high rates of liver metastasis and a poor prognosis. Many studies have been
performed but there have been no comprehensive investigations of the
clinicopathological and prognosis. MATERIALS AND METHODS: Six hundred ninety four
patients with gastric cancer who underwent a curative gastric resection in
Hanyang University Hospital from February 2001 to December 2008 were evaluated
retrospectively after excluding active or chronic hepatits, liver cirrhosis and
preoperative distant metastasis. Among them, thirty five patients had an elevated
serum level of AFP (>7 ng/ml) preoperatively. The clinicopathological features of
AFP-producing gastric cancer were analyzed. RESULTS: There was poorer
differentiation, a higher incidence of lymph node metastasis, more marked
lymphatic and vascular invasion in the AFP-positive group than in the AFP
negative group. The 5-year survival rate of the AFP-positive group was
significantly poorer than that in the AFP-negative group (66% vs. 80%, P=0.002).
A significantly higher incidence of liver metastasis was observed in the AFP
positive group than in the AFP-negative group (14.3% vs. 3.6%, P=0.002) with a
shorter median time period from the operation to the metachronous liver
metastasis (3.7 months vs. 14.1 months, P=0.043). Multivariate survival analysis
revealed the depth of invasion, degree of lymph node metastasis and AFP
positivity to be the independent prognostic factors. CONCLUSIONS: AFP-producing
gastric cancers have an aggressive behavior with a high metastatic potential to
the liver. In addition, their clinicopathological features are quite different
from the more common AFP-negative gastric cancer.
PMID- 22076199
TI - Metachronous ovarian metastases following resection of the primary gastric
cancer.
AB - PURPOSE: We performed this study to evaluate the clinical presentation as well as
the proper surgical intervention for ovarian metastasis from gastric cancers and
these tumors were identified during postoperative follow-up. This will help
establish the optimal strategy for improving the survival of patients with this
entity. MATERIALS AND METHODS: 22 patients (3.2%) with ovarian metastasis were
noted when performing a retrospective chart review of (693) females patients who
had undergone a resection for gastric cancer between 1981 and 2008. The
covariates used for the survival analysis were the patient age at the time of
ovarian relapse, the size of the tumor, the initial TNM stage of the gastric
cancer, the interval to metastasis and the presence of gross residual disease
after treatment for Krukenberg tumor. The cumulative survival curves for the
patient groups were calculated with the Kaplan-Meier method and they were
compared by means of the Log-Rank test. RESULTS: The average age of the patients
was 48.6 years (range: 24 to 78 years) and the average survival time of the 22
patients was 18.8 months (the estimated 3-year survival rate was 15.8%) with a
range of 2 to 59 months after the diagnosis of Krukenberg tumor. The survival
rate for patients without gross residual disease was longer than that of the
patients with gross residual disease (P=0.0003). In contrast, patient age, the
size of ovarian tumor, the initial stage of gastric adenocarcinoma, the interval
to metastasis and adjuvant chemotherapy were not prognostic indicators for
survival after the development of ovarian metastasis. CONCLUSIONS: Early
diagnosis and complete resection are the only possible hope to improve survival.
As the 3-year survival rate after resection of Krukenberg tumor is 15.8%, it
seems worthwhile to consider performing tumorectomy as the second cytoreduction.
PMID- 22076200
TI - Bone metastasis in gastric cancer patients.
AB - PURPOSE: Bone metastasis from stomach cancer occurs only rarely and it is known
to have a very poor prognosis. This study examined the clinical characteristics
and prognosis of patients who were diagnosed with stomach cancer and bone
metastasis. MATERIALS AND METHODS: The subjects were 19 patients who were
diagnosed with stomach cancer at Hanyang University Medical Center from June 1992
to August 2010 and they also had bone metastasis. The survival rate according to
many clinicopathologic factors was retrospectively analyzed. RESULTS: 11 patients
out of 18 patients (61%) who received an operation were in stage IV and the most
common bone metastasis location was the spine. Bone scintigraphy was mostly used
for diagnosing bone metastasis and PET-CT and magnetic resonance imaging were
used singly or together. The serum alkaline phosphatase at the time of diagnosis
had increased in 12 cases and there were clinical symptoms (bone pain) in 16
cases. Treatment was given to 14 cases and it was mostly radiotherapy. There were
2 cases of discovering bone metastasis at the time of diagnosing stomach cancer.
The interval after operation to the time of diagnosing bone metastasis for the 18
cases that received a stomach cancer operation was on average 14.9+/-17.3 months
and the period until death after the diagnosis of bone metastasis was on average
3.8+/-2.6 months. As a result of univariate survival rate analysis, the group
that was treated for bone metastasis had a significantly better survival period
when the bone metastasis was singular rather than multiple, as compared to the
non-treatment group, yet both factors were not independent prognosis factors on
multivariate survival analysis. CONCLUSIONS: An examination to confirm the status
of bone metastasis when conducting a radio-tracer test after the initial
diagnosis and also after an operation is needed for stomach cancer patients, and
bone scintigraphy is the most helpfully modality. Making the diagnosis at the
early stage and suitable treatments are expected to enhance the survival rate and
improve the quality of life even for the patients with bone metastasis.
PMID- 22076201
TI - Clinicopathologic Characteristics of Gastric Cancer Patients according to the
Timing of the Recurrence after Curative Surgery.
AB - PURPOSE: There are few studies that have focused on the predictors of recurrence
after gastrectomy for gastric carcinoma. This study analyzed the patients who
died of recurrent gastric carcinoma and we attempted to clarify the
clinicopathologic factors that are associated with the timing of recurrence.
MATERIALS AND METHODS: From June 1992 to March 2009, 1,795 patients underwent
curative gastric resection at the Department of Surgery, Hanyang University
College of Medicine. Among them, 428 patients died and 311 of these patients who
died of recurrent gastric carcinoma were enrolled in this study. The
clinicopathologic findings were compared between the 72 patients who died within
one year after curative gastrectomy (the early recurrence group) and the 92
patients who died 3 years after curative gastrectomy (the late recurrence group).
RESULTS: Compared with the late recurrence group, the early recurrence group
showed an older age, a more advanced stage, a poorly differentiated type of
cancer and a significantly higher tendency to have lymphatic invasion, vascular
invasion and perineural invasion.Especially in the gastric cancer patients with a
more advanced stage (stage III and IV), the early recurrence group was
characterized by a significantly higher preoperative serum carcino embryonic
antigen level, perineural invasion and a relatively small number of dissected
lymph nodes. CONCLUSIONS: The clinicopathologic characteristics of recurrent
gastric cancer are significantly different according to the stage of disease, and
even in the same stage. For the early detection of recurrence after curative
surgery, it is important to recognize the clinicopathological factors that
foretell a high risk of recurrence. It is mandatory to make an individualized
surveillance schedule according to the clinicopathologic factors.
PMID- 22076202
TI - Synchronous Adenocarcinoma and Gastrointestinal Stromal Tumor of the Stomach
Treated by a Combination of Laparoscopy-assisted Distal Gastrectomy and Wedge
Resection.
AB - The simultaneous occurrence of a gastrointestinal stromal tumor (GIST) and a
gastric adenocarcinoma is uncommon, and has rarely been reported in the
literature. The present report describes the case of a 74-year-old male patient
who initially presented with an adenocarcinoma that had invaded the antral
mucosa. Computed tomography then revealed the presence of a suspected GIST, in
the form of a 2*2 cm mass at the hilum of the spleen. In view of the advanced age
of the patient, a surgical approach that would minimize risk and maximize quality
of life was preferred. The patient therefore underwent simultaneous laparoscopy
assisted distal gastrectomy for the adenocarcinoma and wedge resection for the
GIST. This approach was only chosen after confirming that it would be possible to
preserve three or more of the short gastric arteries that supply the area below
the wedge resection site. This may be considered a feasible approach to the
management of the simultaneous occurrence of a mid-to-low gastric body
adenocarcinoma and a high gastric body GIST.
PMID- 22076203
TI - An Insufficient Preoperative Diagnosis of Borrmann Type 4 Gastric Cancer in Spite
of EMR.
AB - Borrmann type 4 gastric cancers are notorious for the difficulty of finding
cancer cells in the biopsy samples obtained from gastrofiberscopy. It is
important to obtain the biopsy results for making surgical decisions. In cases
with Borrmann type 4 gastric cancer, even though the radiological findings (such
as an upper gastrointestinal series, abdominal computed tomography and positron
emission tomography/computed tomography) or the macroscopic findings of a
gastrofiberscopy examination imply a high suspicion of cancer, there can be
difficulty in getting the definite pathologic results despite multiple biopsies.
In these cases, we have performed endoscopic mucosal resection under
gastrofiberscopy as an alternative to simple biopsies. Here we report on a case
in which no cancer cells were found even in the endoscopic mucosal resection
specimen, but the radiologic evidence and clinical findings were highly
suspicious for gastric cancer. The patient finally underwent total gastrectomy
with lymph node resection, and she was pathologically diagnosed as having stage
IV gastric cancer postoperatively.
PMID- 22076204
TI - Intrahepatic splenosis mimicking liver metastasis in a patient with gastric
cancer.
AB - A 54 year old man was referred to our hospital with gastric cancer. The patient
had a history of splenectomy and a left nephrectomy as a result of a traffic
accident 15 years earlier. The endoscopic findings were advanced gastric cancer
at the lower body of the stomach. Abdominal ultrasonography (USG) and magnetic
resonance imaging demonstrated a metastatic nodule in the S2 segment of the
liver. Eventually, the clinical stage was determined to be cT2cN1cM1 and a
radical distal gastrectomy, lateral segmentectomy of the liver were performed.
The histopathology findings confirmed the diagnosis of intrahepatic splenosis,
omental splenosis. Hepatic splenosis is not rare in patients with a history of
splenic trauma or splenectomy. Nevertheless, this is the first report describing
a patient with gastric cancer and intrahepatic splenosis that was misinterpreted
as a liver metastatic nodule. Intra-operative USG guided fine needle aspiration
should be considered to avoid unnecessary liver resections in patients with a
suspicious hepatic metastasis.
PMID- 22076205
TI - Gold-mediated bifunctional modification of oligosaccharides via a three-component
coupling reaction.
AB - An efficient modular approach for single-site incorporation of two independent
functionalities (amines and alkynes) into aldehyde-containing oligosaccharides
concurrently by using a one-pot gold-mediated three-component coupling reaction
in aqueous medium under mild conditions has been developed.
PMID- 22076206
TI - Clinicopathological features and surgical treatment of gastric cancer in South
Korea: the results of 2009 nationwide survey on surgically treated gastric cancer
patients.
AB - PURPOSE: In an effort to examine the clinicopathological characteristics of GC
and the status of its surgical treatment, the Korean Gastric Cancer Association
(KGCA) conducted a nationwide survey targeting surgically-treated gastric cancer
patients in 2009. MATERIALS AND METHODS: A standardized electrical case report
was sent to every member institution of the KGCA via E-mail with detailed
instructions regarding the survey data. Completed data forms were retrieved from
each institution and analyzed by the KGCA information committee. RESULTS: Data on
14,658 patients was collected from 59 institutions. The mean patient age was
59.2+/-11.9 years with a male to female ratio of 2.05 : 1. Lower third cancer
(56.0%) was the most common among all gastric cancers. The histological type
revealed poorly differentiated adenocarcinoma (34.1%) to be the most common, and
the Lauren classification revealed the intestinal type (50.0%) to be the most
prevalent. Curative surgery was performed in 92.4% of patients with laparoscopic
surgery in 25.8% of patients. A Billroth I reconstruction was performed most
frequently after a distal gastrectomy (63.4% of distal gastrectomy). T1 cancers
accounted for 57.6% of all cases, and 62.6% of patients showed no lymph node
metastasis. Compared to previous reports, it was found that patients are becoming
older, laparoscopic surgery is being performed increasingly, and the proportion
of T1 cancer is increasing with time. CONCLUSIONS: This survey presented the
clinicopathological characteristics and current status of the surgical treatment
of gastric cancer in Korea. This survey is expected aid research studies as well
as planning and evaluation programs targeting cancer control.
PMID- 22076207
TI - Evaluation of the 7th UICC TNM Staging System of Gastric Cancer.
AB - Since January of 2010, the seventh edition of UICC tumor node metastasis (TNM)
Classification, which has recently been revised, has been applied to almost all
cases of malignant tumors. Compared to previous editions, the merits and demerits
of the current revisions were analyzed. Many revisions have been made for
criteria for the classification of lymph nodes. In particular, all the cases in
whom the number of lymph nodes is more than 7 were classified as N3 without being
differentiated. Therefore, the coverage of the N3 was broad. Owing to this, there
was no consistency in predicting the prognosis of the N3 group. By determining
the positive cases to a distant metastasis as TNM stage IV, the discrepancy in
the TNM stage IV compared to the sixth edition was resolved. In regard to the
classification system for an esophagogastric (EG) junction carcinoma, it was
declared that cases of an invasion to the EG junction should follow the
classification system for esophageal cancer. A review of clinical cases reported
from Asian patients suggests that it would be more appropriate to follow the
previous editions of the classification system for gastric cancer. In addition,
in the classification of the TNM stages in the overall cases, the discrepancy in
the prognosis between the different stages and the consistency in the prognosis
between the same TNM stages were achieved to a lesser extent as compared to that
previously. Accordingly, further revisions are needed to develop a purposive
classification method where the prognosis can be predicted specifically to each
variable and the mode of the overall classification can be simplified.
PMID- 22076208
TI - Clinical significance of the pattern of lymph node metastasis depending on the
location of gastric cancer.
AB - PURPOSE: When performing a laparoscopic assisted gastrectomy, a function
preserving gastrectomy is performed depending on the location of the primary
gastric cancer. This study examined the incidence of lymph node metastasis by the
lymph node station number by tumor location to determine the optimal extent of
the lymph node dissection. MATERIALS AND METHODS: The subjects consisted of 1,510
patients diagnosed with gastric cancer who underwent a gastrectomy between 1996
and 2005. The patients were divided into three groups: upper, middle and lower
third, depending on the location of the primary tumor. The lymph node metastasis
patterns were analyzed in the total and early gastric cancer patients. RESULTS:
In all patients, lymph node station numbers 1, 2, 3, 7, 10 and 11 metastases were
dominant in the cancer originating in the upper third, whereas station numbers 4,
5, 6 and 8 were dominant in the lower third. In early gastric cancer patients,
the station number of lymph nodes with a metastasis did not show a significant
difference in stage pT1a disease. On the other hand, a metastasis in lymph node
station number 6 was dominant in stage pT1b disease that originated in the lower
third of the stomach. CONCLUSIONS: When performing a laparoscopic-assisted
gastrectomy for early gastric cancer, a limited lymphadenectomy is considered
adequate during a function-preserving gastrectomy in mucosal (T1a) cancer. On the
other hand, for submucosal (T1b) cancer, a number 6 node dissection should be
performed when performing a pylorus preserving gastrectomy.
PMID- 22076209
TI - Evaluation of the 7th AJCC TNM Staging System in Point of Lymph Node
Classification.
AB - PURPOSE: The 7th AJCC tumor node metastasis (TNM) staging system modified the
classification of the lymph node metastasis widely compared to the 6th edition.
To evaluate the prognostic predictability of the new TNM staging system, we
analyzed the survival rate of the gastric cancer patients assessed by the 7th
staging system. MATERIALS AND METHODS: Among 2,083 patients who underwent
resection for gastric cancer at the department of surgery, Hanyang Medical Center
from July 1992 to December 2009, This study retrospectively reviewed 5-year
survival rate (5YSR) of 624 patients (TanyN3M0: 464 patients, TanyNanyM1: 160
patients) focusing on the number of metastatic lymph node and distant metastasis.
We evaluated the applicability of the new staging system. RESULTS: There were no
significant differences in 5YSR between stage IIIC with more than 29 metastatic
lymph nodes and stage IV (P=0.053). No significant differences were observed
between stage IIIB with more than 28 metastatic lymph nodes and stage IV
(P=0.093). Distinct survival differences were present between patients who were
categorized as TanyN3M0 with 7 to 32 metastatic lymph nodes and stage IV. But
patients with more than 33 metastatic lymph nodes did not show any significant
differences compared to stage IV (P=0.055). Among patients with TanyN3M0,
statistical significances were seen between patients with 7 to 30 metastatic
lymph nodes and those with more than 31 metastatic lymph nodes. CONCLUSIONS: In
the new staging system, modifications of N classification is mandatory to improve
prognostic prediction. Further study involving a greater number of cases is
required to demonstrate the most appropriate cutoffs for N classification.
PMID- 22076210
TI - Feasibility study of early oral intake after gastrectomy for gastric carcinoma.
AB - PURPOSE: Despite the compelling scientific and clinical data supporting the use
of early oral nutrition after major gastrointestinal surgery, traditional bowel
rest and intravenous nutrition for several postoperative days is still being used
widely after gastric cancer surgery. MATERIALS AND METHODS: A phase II study was
carried out to evaluate the feasibility and safety of postoperative early oral
intake (water intake on postoperative days (POD) 1-2, and soft diet on POD 3)
after a gastrectomy. The primary outcome was morbidity within 30 postoperative
days, which was targeted at <25% based on pilot study data. RESULTS: The study
subjects were 90 males and 42 females with a mean age 61.5 years. One hundred and
four (79%) and 28 (21%) patients underwent a distal and total gastrectomy,
respectively. The postoperative morbidity rate was within the targeted range
(15.2%, 95% CI, 10.0~22.3%), and there was no hospital mortality. Of the 132
patients, 117 (89%) successfully completed a postoperative early oral intake
regimen without deviation; deviation in 10 (8%) due to gastrointestinal symptoms
and in five (4%) due to the management of postoperative complications. The mean
times to water intake and a soft diet were 1.0+/-0.2 and 3.2+/-0.7 days,
respectively, and the mean hospital stay was 10.0+/-6.1 days. CONCLUSIONS:
Postoperative early oral intake after a gastrectomy is feasible and safe, and can
be adopted as a standard perioperative care after a gastrectomy. Nevertheless,
further clinical trials will be needed to evaluate the benefits of early oral
nutrition after upper gastrointestinal surgery.
PMID- 22076211
TI - Efficacy of endoscopic ultrasonography for prediction of tumor depth in gastric
cancer.
AB - PURPOSE: As the proportion of early gastric cancer (EGC) has recently been
increased, minimally invasive treatment is currently accepted as main therapy for
EGC. Accurate preoperative staging is very important in determining treatment
options. To know the accuracy of endoscopic ultrasonography (EUS), we compared
the depth of invasion of the tumor with preoperative EUS and postoperative
pathologic findings. MATERIALS AND METHODS: We retrospectively analyzed 152
patients who underwent EUS before laparoscopic gastrectomy. The preoperative EUS
results were compared with the pathological findings. RESULTS: The overall
proportion of coincidence for depth of invasion between EUS and pathologic
results was 41.4%. Univariate analysis showed that the rate of corrected
prediction of EUS for tumor depth significantly decreased for the lesions more
than 3cm in diameter (P=0.033), and those with a depressed morphology (P=0.035).
In multivariate analysis, the depressed type (P=0.029, OR=2.873) and upper lesion
(P=0.035, OR=2.151) was the significantly independent factors influencing the
inaccurate prediction of EUS for tumor depth. CONCLUSIONS: When we decide the
treatment modality considering the clinical depth of invasion by EUS, the
possibility of discordance with pathologic results should be considered for the
lesions located in the upper third of the stomach and with a depressed
morphology.
PMID- 22076213
TI - Mixed exocrine and endocrine carcinoma in the stomach: a case report.
AB - We report a rare case of the coexistence of a gastric small cell neuroendocrine
carcinoma with a gastric adenocarcinoma. A 62-year-old man presented with
epigastric soreness for 1 month. Esophagogastroduodenoscopy revealed a Borrmann
type I tumor at the lesser curvature of the lower body of the stomach. The
patient underwent a distal gastrectomy with D2 lymph node dissection and the
resected specimen exhibited a 3.5*3.5 cm sized, fungating lesion. Two separated,
not intermingling, lesions with non-adenocarcinoma components encircled by well
differentiated adenocarcinoma components were identified microscopically. The non
adenocarcinoma component showed neuroendocrine features, such as a solid and
trabecular pattern, and the tumor cells showed a high nuclear grade with minimal
cytoplasm, indistinct nucleoli, and positive response for synaptophysin, CD56.
The final pathological diagnosis was a gastric mixed exocrine-endocrine carcinoma
(MEEC) composed of an adenocarcinoma and small cell neuroendocrine carcinoma of
the collision type.
PMID- 22076212
TI - Operative risk factors in gastric cancer surgery for elderly patients.
AB - PURPOSE: Gastric cancer surgery is a common operation in East Asia, such as Korea
and Japan, and there has been a significant increase in the need for this
procedure due to the aging population. As a result, surgery for the treatment of
gastric cancer for elderly patients is expected to increase. This study examined
the effect of old age on gastric cancer surgery, and analyzed the operative risk
factors for elderly patients. MATERIALS AND METHODS: From November 2008 to August
2010, 590 patients, who underwent a curative resection for gastric cancers, were
enrolled. Patients who underwent palliative or emergency surgery were excluded. A
retrospective analysis of the correlation between surgical outcomes and age was
performed. The elderly were defined as patients who were over the age of 65
years. RESULTS: The mean age of all patients was 58.3 years, and complications
occurred in 87 cases (14.7%). The most common complication was wound infection
and severe complications requiring surgical, endoscopic, or radiologic
intervention developed in 52 cases (8.8%). The rate of complications increased
with increasing age of the patients. Univariate analysis revealed age,
comorbidity, extent of resection, operation time, and combined resection to be
associated with surgical complications. In particular, age over 75 years old,
operation time, and comorbidity were predictive factors in multivariate analysis.
In the elderly, only comorbidity was associated with surgical complications.
CONCLUSIONS: The patients' age is the most important factor for predicting
surgical complications. Surgeons should pay an attention to the performance of
gastric cancer surgery on elderly patients. In particular, it must be performed
carefully for elderly patients with a comorbidity.
PMID- 22076214
TI - Composite neuroendocrine carcinoma with adenocarcinoma of the stomach
misdiagnosed as a giant submucosal tumor.
AB - A composite glandular/exocrine-endocrine carcinoma of the gastrointestinal tract
is characterized by the co-existence of two adjacent, but histologically-distinct
tumors in an organ. Composite glandular/exocrine-endocrine carcinomas are a
special type of tumor comprised of common adenocarcinomas and neuroendocrine
components that account for at least one-third of the entire tumor area.
Composite tumors have been reported in a range of organs, but are relatively rare
in the stomach. We report a case of a composite neuroendocrine carcinoma with an
adenocarcinoma of the stomach (mixed exocrine-endocrine carcinoma), which was
misdiagnosed as a giant submucosal tumor preoperatively based on
esophagogastroduodenoscopy and a contrast-enhanced axial computed tomographic
scan.
PMID- 22076215
TI - Laparoscopic gastric wedge resection and prophylactic antireflux surgery for a
submucosal tumor of gastroesophageal junction.
AB - A laparoscopic wedge resection for a submucosal tumor, which is close to the
gastroesophageal junction, is technically challenging. This can be a dilemma to
both patients and surgeons when the tumor margin involves the gastroesophageal
junction because a wedge resection in this situation might result in a deformity
of the gastroesophageal junction or an injury to the lower esophageal sphincter,
which ultimately results in lifelong gastroesophageal reflux disease. The patient
was a 42 year-old male, whose preoperative endoscopic ultrasonographic finding
did not rule out a gastrointestinal stromal tumor. He underwent a laparoscopic
gastric wedge resection and prophylactic anterior partial fundoplication (Dor)
and was discharged from hospital on the fifth postoperative day without any
complications. There were no symptoms of reflux 5 months after surgery. A
laparoscopic wedge resection and prophylactic anti-reflux surgery might be a good
surgical option for a submucosal tumor at the gastroesophageal junction.
PMID- 22076216
TI - Prospective evaluation of supportive care with or without CVD chemotherapy as a
second-line treatment in advanced melanoma by patient's choice: a multicentre
Dermatologic Cooperative Oncology Group trial.
AB - This prospective, nonrandomized multicentre, phase III study compared best
supportive care (BSC) alone with cisplatin, vindesine and dacabazine-based (CVD)
chemotherapy and BSC in patients with advanced melanoma. A total of 117
pretreated patients with metastatic melanoma were evaluated, 34 patients in arm A
(BSC) and 83 in arm B (BSC and CVD). Primary endpoint was overall survival and
secondary endpoints were disease control rate and quality of life (European
Organisation for Research and Treatment of Cancer QLQ-C30). Owing to sparse
recruitment of patients for randomization, the protocol has been changed based on
patients' choice. Baseline characteristics were imbalanced with respect to the
Karnofsky Performance Index (P=0.001), the existence of brain metastases
(P=0.035) and earlier application of chemoimmunotherapy (P=0.038). Disease
control was observed in 8.8% of patients in arm A and in 28.9% of patients in arm
B (P=0.028). Median overall survival time was 137 days in arm A and 229 days in
arm B (P=0.014). Multivariate analyses could not ascribe this prognostic benefit
to CVD treatment. No significant difference in the quality of life could be
found. This study could not detect clear survival benefits for polychemotherapy
with CVD compared with BSC alone in patients with advanced metastatic melanoma.
Interestingly, having the choice of chemotherapy or BSC alone in a second-line
situation, more than 70% of patients chose polychemotherapy.
PMID- 22076217
TI - Gastric cancer epidemiology in Korea.
AB - Gastric cancer has been the most commonly diagnosed cancer in Korea although the
age-standardized mortality and incidence has decreased gradually during last two
decades. Helicobacter pylori infection and cigarette smoking are well-established
risk factors, and the role of dietary factors, such as salted foods, fresh
vegetables and fruits, soy foods, and processed or grilled meats on gastric
carcinogenesis has been suggested. In this review, we review national and
international gastric cancer statistics, studies on environmental risk factors
conducted in the Korean population, and gastric cancer screening activities.
PMID- 22076218
TI - Interobserver Variation in the Diagnosis of Gastric Epithelial Dysplasia and
Carcinoma between Two Pathologists in Japan and Korea.
AB - Although the biological potential of gastric epithelial dysplasia (GED) as a
precursor of gastric cancer has never been in doubt, the classification of these
lesions has been controversial and fraught with marked variations in approach to
diagnosis across the world. The complexity of cyto-architectural features has
been considered to be of paramount importance for the diagnosis of carcinoma in
Japan, while breach of the basement membrane and invasion into the lamina propria
has been considered the sine qua non of malignancy and hence a pre-requisite for
the diagnosis of cancer in the West. In Korea, although the incidence of gastric
cancer is similar to Japan, the diagnostic approach to GED or cancer seems to lie
midway between Western and Japanese criteria. In this review, we will discuss the
difference in the diagnosis of GED and cancer between two pathologists working in
the comprehensive cancer center located in Japan and Korea, one of the most
prevalent areas in the world for gastric cancer.
PMID- 22076219
TI - Endoscopic treatment for early gastric cancer.
AB - Endoscopic resection has been accepted as a curative modality for early gastric
cancer (EGC). Since conventional endoscopic mucosal resection (EMR) has been
introduced, many improvements in endoscopic accessories and techniques have been
achieved. Recently, endoscopic submucosal dissection (ESD) using various
electrosurgical knives has been performed for complete resection of EGC and
enables complete resection of EGC, which is difficult to completely resect in the
era of conventional EMR. Currently, ESD is accepted as the standard method for
endoscopic resection of EGC in indicated cases. In this review, the history of
endoscopic treatment for EGC, overall ESD procedures, and indications and
clinical results of endoscopic treatment will be presented.
PMID- 22076220
TI - Frozen Section Biopsy to Evaluation of Obscure Lateral Resection Margins during
Gastric Endoscopic Submucosal Dissection for Early Gastric Cancer.
AB - PURPOSE: To determine the diagnostic utility of a frozen section biopsy in
patients undergoing endoscopic submucosal dissection (ESD) for early gastric
neoplasms with obscure margins even with chromoendoscopy using acetic acid and
indigo carmine (AI chromoendoscopy). MATERIALS AND METHODS: The lateral spread of
early gastric neoplasms was unclear even following AI chromoendoscopy in 38
patients who underwent ESD between June 2007 and May 2011. Frozen section
biopsies were obtained by agreement of the degree of lateral spread between two
endoscopists. Thus, frozen section biopsies were obtained from 23 patients (FBx
group) and not in the other 15 patients (AI group). RESULTS: No significant
differences were observed for size, histology, invasive depth, and location of
lesions between the AI and FBx groups. No false positive or false negative
results were observed in the frozen section diagnoses. Adenocarcinoma was
revealed in three patients and tubular adenoma in one, thereby changing the
delineation of lesion extent and achieving free lateral margins. The rates of
free lateral resection margins and curative resection were significantly higher
in the FBx group than those in the AI group. CONCLUSIONS: Frozen section biopsy
can help endoscopists perform more safe and accurate ESD in patients with early
gastric neoplasm.
PMID- 22076221
TI - Clinical Outcomes of Gastrectomy after Incomplete EMR/ESD.
AB - PURPOSE: Endoscopic resection is widely accepted as standard treatment for early
gastric cancer (EGC) without lymph node metastasis. The procedure is minimally
invasive, safe, and convenient. However, surgery is sometimes needed after
endoscopic mucosal resection (EMR)/endoscopic submucosal dissection (ESD) due to
perforation, bleeding, or incomplete resection [corrected]. We evaluated the role
of surgery after incomplete resection. MATERIALS AND METHODS: We retrospectively
studied 29 patients with gastric cancer who underwent a gastrectomy after
incomplete EMR/ESD from 2006 to 2010 at Korea University Hospital. RESULTS: There
were 13 incomplete resection cases, seven bleeding cases, three metachronous
lesion cases, three recurrence cases, two perforation cases, and one lymphatic
invasion case. Among the incomplete resection cases, a positive vertical margin
was found in 10, a positive lateral margin in two, and a positive vertical and
lateral margin in one case. Most cases (9/13) were diagnosed as mucosal tumors by
endoscopic ultrasonography, but only three cases were confirmed as mucosal tumors
on final pathology. The positive residual tumor rate was two of 13. The lymph
node metastasis rate was three of 13. All lymph node metastasis cases were
submucosal tumors with positive lymphatic invasion and no residual tumor in the
gastrectomy specimen. No cases of recurrence were observed after curative
resection. CONCLUSIONS: A gastrectomy is required for patients with incomplete
resection following EMR/ESD due to the risk of residual tumor and lymph node
metastasis.
PMID- 22076222
TI - Clinical outcomes according to primary treatment in gastric cancer patients with
peritoneal seeding.
AB - PURPOSE: Peritoneal seeding of gastric cancer is known to have a poor prognosis.
With the diagnosis of peritoneal seeding, there is no effective treatment
modality. Gastrectomy with chemotherapy or primary chemotherapy is basically one
of major options for this condition. This study was conducted to compare the
clinical outcomes of these treatments and to identify the better way to improve
the prognosis of patients with peritoneal seeding. MATERIALS AND METHODS: Between
2001 and 2007, gastric cancer patients with peritoneal seeding by preoperative or
intraoperative diagnosis were reviewed retrospectively. The enrolled patients
were divided as primary gastrectomy and primary chemotherapy group.
Clinicopathologic characteristics and clinical outcomes of groups were analyzed
and compared. RESULTS: Fifty-four patients were enrolled. 21 patients belonged to
the group of primary gastrectomy and 33 patients were to the primary chemotherapy
group. Among 33 patients of the primary chemotherapy group, 17 patients were
received only chemotherapy and 16 patients were received gastrectomy due to the
good responses of primary chemotherapy. The 3 years survival rates were 14% in
primary gastrectomy group, 55% in patients who received gastrectomy after primary
chemotherapy, and 0% in patients with primary chemotherapy only. CONCLUSIONS:
Although this study had many limitations, some valuable information was produced.
In terms of survival benefits for the gastric cancer patients with peritoneal
seeding, primary gastrectomy and additional gastrectomy after primary
chemotherapy revealed the better clinical outcomes. But, prospective randomized
clinical study and multi-center study should be performed to decide proper
treatment for gastric cancer patients with peritoneal seeding [corrected].
PMID- 22076223
TI - Role of F-fluoro-2-deoxyglucose Positron Emission Tomography in Gastric GIST:
Predicting Malignant Potential Pre-operatively.
AB - PURPOSE: It is difficult to obtain biopsies from gastrointestinal stromal tumors
(GISTs) prior to surgery because GISTs are submucoal tumors, despite being the
most common nonepithelial neoplasms of the gastrointestinal tract. Unlike
anatomic imaging techniques, PET-CT, which is a molecular imaging tool, can be a
useful technique for assessing tumor activity and predicting the malignant
potential of certain tumors. Thus, we aimed to evaluate the usefulness of PET-CT
as a pre-operative prognostic factor for GISTs by analyzing the correlation
between the existing post-operative prognostic factors and the maximum SUV uptake
(SUVmax) of pre-operative 18F-fluoro-2-deoxyglucose (FDG) PET-CT. MATERIALS AND
METHODS: The study was conducted on 26 patients who were diagnosed with gastric
GISTs and underwent surgery after being examined with pre-operative FDG PET-CT.
An analysis of the correlation bewteen (i) NIH risk classfication and the Ki-67
proliferation index, which are post-operative prognostic factors, and (ii) the
SUVmax of PET-CT, which is a pre-operative prognostic factor, was performed.
RESULTS: There were significant correlations between (i) SUVmax and (ii) Ki-67
index, tumor size, mitotic count, and NIH risk group (r=0.854, 0.888, 0.791, and
0.756, respectively). The optimal cut-off value for SUVmax was 3.94 between "low
risk malignancy" and "high-risk malignancy" groups. The sensitivity and
specificity of SUVmax for predicting the risk of malignancy were 85.7% and 94.7%,
respectively. CONCLUSIONS: The SUVmax of PET-CT is associated with Ki-67 index,
tumor size, mitotic count, and NIH classification. Therefore, it is believed that
PET-CT is a relatively safe, non-invasive diagnostic tool for assessing malignant
potential pre-operatively.
PMID- 22076224
TI - An effect of letrozole on gastric cancer?
AB - Letrozole is a drug used in the treatment of postmenopausal women with breast and
ovarian tumours. There is no evidence in the literature indicating its use in
treating gastric cancer. We present a 68 year old lady admitted from the
emergency department with weight loss, malaise and anaemia. Investigations
confirmed the presence of two different primary tumours in the left breast and
the stomach. Following that this patient with oestrogen receptor positive breast
cancer and oestrogen receptor negative gastric cancer was treated with letrozole
for her breast cancer followed by a gastric resection. Independent histology by
two pathologists pre-operatively diagnosed gastric adenocarcinoma. Post
operatively, independent analysis of the resected stomach, omentum and lymph
nodes revealed no evidence of gastric cancer. Therefore we conclude that there is
a possibility of letrozole having an effect on gastric cancer. Further studies
are needed.
PMID- 22076225
TI - Acute gastric necrosis due to gastric outlet obstruction accompanied with gastric
cancer and trichophytobezoar.
AB - Gastric necrosis due to gastric outlet obstruction is a very rare condition, but
it might be fatal if missed or if diagnosis is delayed. Our patient was a 73-year
old male complaining of abdominal pain, distension and dyspnea for 1 day. In
plain radiography and computed tomography, a markedly distended stomach and
decreased enhancement at the gastric wall were noted. He underwent explo
laparotomy, and near-total gastric mucosal necrosis accompanied by sludge from
the soaked laver was noted. A total gastrectomy with esophagojejunostomy was
performed, and he recovered without sequelae. Final pathologic examination
revealed advanced gastric cancer at the antrum with near-total gastric mucosal
necrosis.
PMID- 22076226
TI - Early gastric cancer with signet ring cell histology remained unresected for 53
months.
AB - The natural course of untreated patients with signet ring cell carcinoma of the
stomach remains poorly understood while assumptions have been made to distinguish
it from other types of gastric cancer. A 74-year-old Korean woman was diagnosed
with early gastric cancer with signet ring cell histology and refused surgery. A
satellite lesion was identified 46 months after the initial diagnosis. The
patient finally agreed to undergo distal subtotal gastrectomy 53 months following
the initial diagnosis. Postoperative histological examination of both lesions
confirmed signet ring cell carcinoma associated with submucosal invasion. There
was no evidence of lymph node metastasis.
PMID- 22076227
TI - Glucose-regulated protein 78 positivity as a predictor of poor survival in
patients with renal cell carcinoma.
AB - INTRODUCTION: Glucose-regulated protein 78 (GRP78), a chaperone for newly formed
proteins during folding and glycosylation, is associated with resistance to
apoptosis in some forms of cancer. We assessed GRP78 expression and its
correlation with clinicopathological parameters and survival. PATIENTS AND
METHODS: Immunohistochemistry was performed using formalin-fixed, paraffin
embedded specimens: 128 primary renal cell carcinoma (RCC) specimens (120
conventional and 8 other cell types) and 9 metastatic specimens. GRP78 positivity
was determined based on intensity of staining and percentage of cells stained.
Correlation of GRP78 positivity with clinicopathological parameters including
patients' survival was evaluated. RESULTS: A statistically significant
association was found between GRP78 positivity and higher tumor grade (G3; p
<0.0001), advanced T stage (>=pT3; p = 0.0002), lymphovascular invasion
(positive; p <0.0001), regional nodal involvement (>=N1; p = 0.0086), and distant
metastases at presentation (M1; p = 0.001). Positivity of GRP78 expression was
significantly associated with shorter disease-specific survival and shorter
progression-free survival. Cox proportional hazard model showed that strong GRP78
positivity was an independent predictor of shortened progression-free survival in
N0M0 RCC patients. CONCLUSIONS: There was a significant relationship between
GRP78 expression levels and aggressiveness of RCC. Increased expression of GRP78
might be a useful parameter to predict shortened survival in patients with RCC.
PMID- 22076229
TI - Prevalence and related risk behaviors of HIV, syphilis, and anal HPV infection
among men who have sex with men from Beijing, China.
AB - Specific risk behaviors related to different sexually transmitted infections have
not been widely evaluated among men who have sex with men in China. In the
present study, a total of 302 MSM were recruited from Beijing with a prevalence
of HIV, syphilis, and anal HPV infection as 9.9, 19.2 and 71.4%, respectively.
Lower education level was observed to be related to higher infection rate of HIV
and syphilis. "Ever found sexual partners in gay venues" was significantly
associated with HIV infection as well. "Taking anilinction as regular sexual
behavior" was observed to be a significant predictor for anal HPV infection.
PMID- 22076230
TI - Take the wind out of asthma.
AB - A common cause of emergency department visits, asthma is on the rise. Find out
how to keep patients breathing easy.
PMID- 22076233
TI - Propofol.
PMID- 22076234
TI - A multidisciplinary care approach to Guillain-Barre syndrome.
AB - Guillain-Barre syndrome (GBS) is a complicated degenerative disorder. Its
etiology is unclear, although it has been associated with both cell and humoral
mediated autoimmune mechanisms. The disease causes ascending paralysis and loss
of cranial nerve function. Manifestations may be acute or chronic, and temporary
or permanent, depending on the degree of neuronal destruction. Because of GBS's
pervasive nature, health care is a challenge. Patient care focuses on risks
related to ineffective airway clearance and impaired mobility. Psychosocial care
and patient education are also integral components of care.
PMID- 22076236
TI - The role of beta-blockers in the management of patients with heart failure.
AB - More than 400,000 new cases of heart failure are diagnosed each year, making it
one of the largest problems in health care today, particularly among the elderly.
Primary care providers must be aware of the benefits of early recognition and
treatment of heart failure and asymptomatic left ventricular systolic
dysfunction. Standard therapy has included ACE inhibitors, digoxin, and diuretics
for volume overload. More recently, large clinical trials have prompted the
recommendation to add beta-blockers to this regimen. This article reviews heart
failure pathophysiology, management, and the consensus recommendations for adding
beta-blockers to heart failure therapy.
PMID- 22076237
TI - Need critical care nurses? Inquire within.
AB - A serious shortage of critical care nurses encouraged a nurse-manager to develop
intensive care unit nurses through an innovative preceptor program.
PMID- 22076238
TI - Nurses and informed consent, part 1.
PMID- 22076239
TI - Family advocates: caring for families in crisis.
AB - Families of patients unexpectedly brought to the emergency department or
transferred to the intensive care unit are families in crisis. In the greater
scheme of things, they may not be a priority. This article discusses a program in
which family advocates care for families just as nurses and physicians care for
the critically ill patient.
PMID- 22076241
TI - Should continuous lateral rotation therapy replace manual turning?
AB - Continuous lateral rotation therapy (CLRT) has been proposed as a replacement for
standard manual turning to decrease pulmonary complications in critically ill
patients. Findings on the effectiveness of CLRT in decreasing pulmonary
complications are promising, but some serious gaps exist, such as when to start
and end therapy. This article reviews and analyzes the research on CLRT and
proposes directions for future research.
PMID- 22076242
TI - Dealing with medical futility.
PMID- 22076243
TI - Preparation of a composite fibrous membrane loaded with mesalazine and
metronidazole by interlaced electrospinning.
AB - Novel composite fibrous membranes loaded with mesalazine and metronidazole were
fabricated via interlaced electrospinning. The fibers were characterized by
scanning electron microscopy and FTIR transmission spectra techniques. These
characterizations were performed in the aim of optimizing the experimental
conditions which allowed us to obtain good morphology of fibrous membrane loaded
drugs. The in vitro release experiments revealed that mesalazine and
metronidazole were released continuously from the loaded drug fibrous membrane.
The fibrous membrane-loaded drugs also showed excellent stability. Compared to
those of other drug delivery systems, the main advantage of these two fibrous
membrane-loaded drugs is that they can be directly implanted as a lesion after
surgery to inhibit recurrence in Crohn's disease.
PMID- 22076244
TI - Selective cell cycle arrest and induction of apoptosis in human prostate cancer
cells by a polyphenol-rich extract of Solanum nigrum.
AB - Progression of prostate cancer is associated with escape of tumor cells from cell
cycle arrest and apoptosis. Agents capable of selectively eliminating cancer
cells by cell cycle arrest and/or induction of apoptosis offer a highly desirable
approach. Here we demonstrate that a polyphenolic extract derived from ripe
berries of Solanum nigrum (SN) differentially causes cell cycle arrest and
apoptosis in various human prostate cancer cells without affecting normal
prostate epithelial cells. Virally transformed normal human prostate epithelial
PZ-HPV-7 cells and their cancer counterpart CA-HPV-10 cells, were used to
evaluate the growth-inhibitory effects of the SN extract. SN treatment (5-20
ug/ml) of PZ-HPV-7 cells resulted in growth inhibitory responses of low
magnitude. In sharp contrast, SN treatment of CA-HPV-10 cells increased
cytotoxicity, decreased cell viability and induced apoptosis. Similar results
were noted in the human prostate cancer LNCaP, 22Rv1, DU145 and PC-3 cell lines,
where significant reductions in cell viability and induction of apoptosis was
observed in all these cells, an effect independent of disease stage and androgen
association. Cell cycle analysis revealed that SN treatment (5-20 ug/ml) resulted
in a dose-dependent G2/M phase arrest and subG1 accumulation in the CA-HPV-10 but
not in the PZ-HPV-7 cell line. Our results, for the first time, demonstrate that
the SN extract is capable of selectively inhibiting cellular proliferation and
accelerating apoptotic events in prostate cancer cells. SN may be developed as a
promising therapeutic and/or preventive agent against prostate cancer.
PMID- 22076245
TI - Effects of alcohol (BAC 0.50/00) and ecstasy (MDMA 100 mg) on simulated driving
performance and traffic safety.
AB - RATIONAL: An increasing number of fatal road-accidents have been reported in
which ecstasy was found in the blood of drivers. Although, ecstasy is frequently
found to have been used in combination with alcohol, studies on the acute effects
of ecstasy co-administered with alcohol on driving performance are relatively
rare. OBJECTIVE: The present study was designed to establish the extent of driver
impairment as a consequence of ecstasy or combined ecstasy and alcohol use as
compared to driving under the influence of 0.30/00, 0.50/00 and 0.80/00 alcohol.
Furthermore, subjective performance was also assessed. RESULTS: Alcohol and
ecstasy mainly influenced automated driving performance such as lateral and speed
control. However, small to no effects of the substances were found on more
complex driving behaviour. Overall, variance within the different driving
measures was high especially when participants were treated with 3.4
methylenedioxy-methamphetamine (MDMA) and alcohol. Furthermore, equivalence
testing showed that combined use may lead to impaired driving for some, but not
all, drivers. Participants rated their own performance to be slightly worse than
normal in both studies. Since driving was actually seriously deteriorated, this
was a falsely positive assessment of their condition. CONCLUSIONS: The
dissociation between subjective perceptions and objective performance decrements
are important notions for traffic safety since this may affect a driver's
judgement of whether or not it is safe to drive. For example, an intoxicated
individual might decide to drive because the feelings of alertness caused by MDMA
cloud the impairing effects of other drugs such as alcohol, thereby creating a
potentially serious risk for traffic safety.
PMID- 22076246
TI - Effects of dexamphetamine with and without alcohol on simulated driving.
AB - RATIONALE: In party circuits dexamphetamine is frequently used in combination
with alcohol. It is hypothesized that co-administration of dexamphetamine to
alcohol might reduce the sedative effects of alcohol, but may potentiate risk
taking behaviour. OBJECTIVES: The study was aimed at assessing the effects of
alcohol, dexamphetamine and the combination of both on simulated driving and
cognitive performance. METHOD: Eighteen subjects participated in a randomized,
crossover, placebo-controlled study employing four conditions: 10 mg
dexamphetamine, 0.8 g/kg alcohol, 10 mg dexamphetamine + 0.8 g/kg alcohol, and
placebo. Fundamental driving skills and risk-taking behaviour were assessed in a
driving simulator. Subjects also completed vigilance and divided attention tasks,
and subjective ratings. RESULTS: Mean BAC levels during simulated driving were
between 0.910/00 and 0.640/00. Subjects using alcohol showed a significantly
larger mean standard deviation of lateral position and shorter accepted gap time
and distance. Use of alcohol or dexamphetamine + alcohol was associated with a
higher frequency of red light running and collisions than the dexamphetamine or
placebo conditions. Performance of vigilance and divided attention tasks was
significantly impaired in the alcohol condition and, to a lesser degree, in the
dexamphetamine + alcohol condition. CONCLUSION: Single doses of 0.8 g/kg alcohol
increased risk-taking behaviours and impaired tracking, attention and reaction
time during a 3-h period after drinking when BACs declined from 0.9 to 0.2 mg/ml.
The stimulatory effects of co-administration of dexamphetamine 10 mg were not
sufficient to overcome the impairing effects of alcohol on skills related to
driving.
PMID- 22076247
TI - Adsorptive loss of secreted recombinant proteins in transgenic rice cell
suspension cultures.
AB - Adsorptive loss of human cytotoxic T-lymphocyte antigen 4-immunoglobulin
(hCTLA4Ig) in transgenic rice cell suspension cultures was investigated using
glass flasks, plastic flasks, disposable vessels, and stainless steel vessels.
When hCTLA4Ig was added to the glass flasks containing sterile AA medium, a rapid
decrease in the concentration of hCTLA4Ig, independent on pH, was observed
resulting in more than 90% of the protein loss within 1 h due to the surface
adsorption. When the same experiments were performed on four different types of
culture equipments mentioned above, the lowest adsorption level was observed in
the plastic flasks and the highest level was observed in the glass flasks. The
use of the plastic flasks retarded the adsorptive loss of hCTLA4Ig at the early
stage of the protein production. There was a significant increase in the
production of hCTLA4Ig when the flasks were coated with bovine serum albumin.
However, the spike test of purified hCTLA4Ig at two different concentrations of
15 and 100 mg L(-1) in 500-mL spinner flasks confirmed that the amount of
hCTLA4Ig adsorbed was dependent on the surface area of the flasks but not on the
concentrations. In conclusion, although the protein adsorption affected the total
amount of the protein yielded to some extent, it could be regarded as a minor
factor in transgenic plant cell cultures with higher titer.
PMID- 22076248
TI - Expansins are involved in cell growth mediated by abscisic acid and indole-3
acetic acid under drought stress in wheat.
AB - Expansin protein is a component of the cell wall generally accepted to be the key
regulator of cell wall extension during plant growth. Plant hormones regulate
expansin gene expression as well as plant growth during drought stress. However,
the relationship between expansin and plant hormone is far from clear. Here, we
studied the involvement of expansin in plant cell growth mediated by the hormones
indole-3-acetic acid (IAA) and abscisic acid (ABA) under osmotic stress which was
induced by polyethylene glycol (PEG)-6000. Wheat coleoptiles from a drought
resistant cultivar HF9703 and a drought-sensitive cultivar 921842 were used to
evaluate cell growth and expansin activity. Osmotic stress induced the
accumulation of ABA. ABA induced expansin activity mainly by enhancing expansin
expression, since ABA induced cell wall basification via decreasing plasma
membrane H(+)-ATPase activity, which was unfavorable for expansin activity.
Although ABA induced expansin activity and cell wall extension, treatment with
exogenous ABA and/or fluridone (FLU, an ABA inhibitor) suggested that ABA was
involved in the coleoptile growth inhibition during osmotic stress. IAA
application to detached coleoptiles also enhanced coleoptile growth and increased
expansin activity, but unlike ABA, IAA-induced expansin activity was mainly due
to the decrease of cell wall pH by increasing plasma membrane H(+)-ATPase
activity. Compared with drought-sensitive cultivar, the drought-resistant
cultivar could maintain greater expansin activity and cell wall extension, which
was contributive to its resultant faster growth under water stress.
PMID- 22076249
TI - Adrenergic stress reveals septal hypertrophy and proteasome impairment in
heterozygous Mybpc3-targeted knock-in mice.
AB - Hypertrophic cardiomyopathy (HCM) is characterized by asymmetric septal
hypertrophy and is often caused by mutations in MYBPC3 gene encoding cardiac
myosin-binding protein C. In contrast to humans, who are already affected at the
heterozygous state, mouse models develop the phenotype mainly at the homozygous
state. Evidence from cell culture work suggested that altered proteasome function
contributes to the pathogenesis of HCM. Here we tested in two heterozygous Mybpc3
targeted mouse models whether adrenergic stress unmasks a specific cardiac
phenotype and proteasome dysfunction. The first model carries a human Mybpc3
mutation (Het-KI), the second is a heterozygous Mybpc3 knock-out (Het-KO). Both
models were compared to wild-type (WT) mice. Mice were treated with a combination
of isoprenaline and phenylephrine (ISO/PE) or NaCl for 1 week. Whereas ISO/PE
induced left ventricular hypertrophy (LVH) with increased posterior wall
thickness to a similar extent in all groups, it increased septum thickness only
in Het-KI and Het-KO. ISO/PE did not affect the proteasomal chymotrypsin-like
activity or beta5-subunit protein level in Het-KO or wild-type mice (WT). In
contrast, both parameters were markedly lower in Het-KI and negatively correlated
with the degree of LVH in Het-KI only. In conclusion, adrenergic stress revealed
septal hypertrophy in both heterozygous mouse models of HCM, but proteasome
dysfunction only in Het-KI mice, which carry a mutant allele and closely mimic
human HCM. This supports the hypothesis that proteasome impairment contributes to
the pathophysiology of HCM.
PMID- 22076250
TI - Transient diplopia with ipsilateral abducent nerve palsy and ptosis following a
maxillary local anesthetic injection: a case report and review of literature.
AB - BACKGROUND: The posterior superior alveolar (PSA) nerve block is commonly used in
dentistry for treatment of the maxillary molars. Although this procedure is
associated with many complications, ocular complications have been rarely
reported. CASE REPORT: This report details an iatrogenic paresis of the abducent
nerve and partial palsy of the oculomotor nerve leading to diplopia, strabismus
and ptosis following a PSA nerve block and extraction of maxillary right second
molar. The patient was treated symptomatically, and the recovery was uneventful.
Relevant anatomical pathways with review of literature are discussed. DISCUSSION:
Although rare, the dentist should be aware of these complications to avoid being
perplexed by this unexpected circumstance, thus adversely affecting the doctor
patient trust.
PMID- 22076251
TI - The human sex odds at birth after the atmospheric atomic bomb tests, after
Chernobyl, and in the vicinity of nuclear facilities: comment.
AB - The recent claim made in this journal that nuclear bomb tests and the Chernobyl
disaster caused distortions in the secondary sex ratio is shown to be a likely
artifact of data mining, misused statistics, and misreading of the evidence. In
particular, the concept of statistical "significance" and its limitations do not
seem to be fully understood, and important confounding factors have not been
accounted for.
PMID- 22076252
TI - Quantitative structure-retention relationship for retention behavior of organic
pollutants in textile wastewaters and landfill leachate in LC-APCI-MS.
AB - INTRODUCTION: A quantitative structure-retention relation (QSRR) study was
conducted on the retention times of organic pollutants in textile wastewaters and
landfill leachate which was obtained by liquid chromatography-reversed phase
atmospheric pressure chemical ionization-mass spectrometry. METHODS: The genetic
algorithm was used as descriptor selection and model development method. Modeling
of the relationship between selected molecular descriptors and retention time was
achieved by linear (partial least square) and nonlinear (Levenberg-Marquardt
artificial neural network, L-M ANN) methods. Linear and nonlinear models provide
good results whereas more accurate results were obtained by the L-M ANN model.
CONCLUSION: This is the first research on the QSRR of the organic pollutants in
textile wastewaters and landfill leachate against the retention time.
PMID- 22076254
TI - Exchange bias in iron oxide nanoclusters.
AB - Iron oxide nanoclusters have been prepared by the gas-phase aggregation technique
to form thin film structures with very high exchange bias values (up to 3000 Oe
at low temperatures). Composition has been analysed by x-ray absorption and
Mossbauer spectroscopies in order to elucidate the actual origin of the observed
magnetic behaviour. The formation of a metal-oxide core-shell arrangement to
explain the observed exchange bias has to be discarded since results show no
metallic iron content and the main presence of alpha-Fe(2)O(3). The observed weak
ferromagnetism and exchange bias are in agreement with the obtained size of alpha
Fe(2)O(3) nanoparticles: weak ferromagnetism because of the well-known spin
canting in this antiferromagnetic structure and exchange bias because of the
interaction between different spin sublattice configurations promoted by the
modification of iron coordination in alpha-Fe(2)O(3) nanoparticles. Moreover, the
preparation method is proposed for tuning both magnetization and exchange bias
values by modification of the preparation conditions of alpha-Fe(2)O(3)
nanoparticles, which open new possibilities in the design of new materials with
required properties.
PMID- 22076253
TI - Uptake of a randomized breast cancer prevention trial comparing letrozole to
placebo in BRCA1/2 mutations carriers: the LIBER trial.
AB - Women with germline BRCA1 or BRCA2 (BRCA1/2) mutations are considered as an
extreme risk population for developing breast cancer. Prophylactic mastectomy
provides a valid option to reduce such risk, impacting however, the quality of
life. Medical prevention by aromatase inhibitor that has also recently shown to
have preventive effect may thus be considered as an alternative. LIBER is an
ongoing double-blind, randomized phase III trial to evaluate the efficacy of 5
year letrozole versus placebo to decrease breast cancer incidence in post
menopausal BRCA1/2 mutation carriers (NCT00673335). We present data on the uptake
of this trial. We compared characteristics of women in the LIBER trial (n = 113)
to those of women enrolled in the prospective ongoing national GENEPSO cohort (n
= 1,505). Uptake was evaluated through a survey sent to all active centres, with
responses obtained from 17 to the 20 (85%) centres. According to the
characteristics of the women enrolled in the GENEPSO cohort and the survey,
approximately one-third of BRCA1/2 mutation carriers were eligible for the trial.
Five hundred and thirty-four women eligible from chart review have been informed
by mail about the prevention trial and were invited to an oral information by
participating centres. Forty-four percentage of them came to the dedicated
medical visit. Uptake of drug prevention trial was 32% among women informed
orally and 15% of all the eligible women. The main reasons of refusal were:
potential side effects, probability to receive the placebo and lack of support
from their physicians. Additionally, we noticed that prior prophylactic
oophorectomy and previous unilateral breast cancer were more frequent in women
enrolled in the LIBER trial than in the French cohort (93% vs. 60% and 50% vs.
39%, respectively). Based on an overall 15% uptake among all eligible subjects,
greater and wider information of the trial should be offered to women with
BRCA1/2 mutation to improve recruitment. Women with previous unilateral breast
cancer or prior prophylactic oophorectomy are more likely to enter a medical
prevention trial.
PMID- 22076256
TI - Method of targeted delivery of laser beam to isolated retinal rods by fiber
optics.
AB - A method of controllable light delivery to retinal rod cells using an optical
fiber is described. Photo-induced current of the living rod cells was measured
with the suction electrode technique. The approach was tested with measurements
relating the spatial distribution of the light intensity to photo-induced
current. In addition, the ion current responses of rod cells to polarized light
at two different orientation geometries of the cells were studied.
PMID- 22076255
TI - CSF levels of oligomeric alpha-synuclein and beta-amyloid as biomarkers for
neurodegenerative disease.
AB - Protein misfolding and aggregation is a critically important feature in many
devastating neurodegenerative diseases, therefore characterization of the CSF
concentration profiles of selected key forms and morphologies of proteins
involved in these diseases, including beta-amyloid (Abeta) and alpha-synuclein (a
syn), can be an effective diagnostic assay for these diseases. CSF levels of tau
and Abeta have been shown to have great promise as biomarkers for Alzheimer's
disease. However since the onset and progression of many neurodegenerative
diseases have been strongly correlated with the presence of soluble oligomeric
aggregates of proteins including various Abeta and a-syn aggregate species,
specific detection and quantification of levels of each of these different toxic
protein species in CSF may provide a simple and accurate means to
presymptomatically diagnose and distinguish between these diseases. Here we show
that the presence of different protein morphologies in human CSF samples can be
readily detected using highly selective morphology specific reagents in
conjunction with a sensitive electronic biosensor. We further show that these
morphology specific reagents can readily distinguish between post-mortem CSF
samples from AD, PD and cognitively normal sources. These studies suggest that
detection of specific oligomeric aggregate species holds great promise as
sensitive biomarkers for neurodegenerative disease.
PMID- 22076257
TI - Model of bleaching and acquisition for superresolution microscopy controlled by a
single wavelength.
AB - We consider acquisition schemes that maximize the fraction of images that contain
only a single activated molecule (as opposed to multiple activated molecules) in
superresolution localization microscopy of fluorescent probes. During a
superresolution localization microscopy experiment, irreversible photobleaching
destroys fluorescent molecules, limiting the ability to monitor the dynamics of
long-lived processes. Here we consider experiments controlled by a single
wavelength, so that the bleaching and activation rates are coupled variables. We
use variational techniques and kinetic models to demonstrate that this coupling
of bleaching and activation leads to very different optimal control schemes,
depending on the detailed kinetics of fluorophore activation and bleaching.
Likewise, we show that the robustness of the acquisition scheme is strongly
dependent on the detailed kinetics of activation and bleaching.
PMID- 22076258
TI - Femtosecond infrared intrastromal ablation and backscattering-mode adaptive
optics multiphoton microscopy in chicken corneas.
AB - The performance of femtosecond (fs) laser intrastromal ablation was evaluated
with backscattering-mode adaptive-optics multiphoton microscopy in ex vivo
chicken corneas. The pulse energy of the fs source used for ablation was set to
generate two different ablation patterns within the corneal stroma at a certain
depth. Intrastromal patterns were imaged with a custom adaptive-optics
multiphoton microscope to determine the accuracy of the procedure and verify the
outcomes. This study demonstrates the potential of using fs pulses as surgical
and monitoring techniques to systematically investigate intratissue ablation.
Further refinement of the experimental system by combining both functions into a
single fs laser system would be the basis to establish new techniques capable of
monitoring corneal surgery without labeling in real-time. Since the
backscattering configuration has also been optimized, future in vivo
implementations would also be of interest in clinical environments involving
corneal ablation procedures.
PMID- 22076259
TI - Double-clad fiber with a tapered end for confocal endomicroscopy.
AB - We present a double-clad fiber coupler (DCFC) for use in confocal endomicroscopy
to reduce speckle contrast, increase signal collection while preserving optical
sectioning. The DCFC is made by incorporating a double-clad tapered fiber (DCTF)
to a fused-tapered DCFC for achromatic transmission (from 1265 nm to 1325 nm) of
> 95% illumination light trough the single mode (SM) core and collection of > 40%
diffuse light through inner cladding modes. Its potential for confocal
endomicroscopy is demonstrated in a spectrally-encoded imaging setup which shows
a 3 times reduction in speckle contrast as well as 5.5 * increase in signal
collection compared to imaging with a SM fiber.
PMID- 22076260
TI - Photoacoustic section imaging with an integrating cylindrical detector.
AB - A piezoelectric detector with a cylindrical shape is investigated for
photoacoustic section imaging. Images are acquired by rotating a sample in front
of the cylindrical detector. With its length exceeding the size of the imaging
object, it works as an integrating sensor and therefore allows reconstructing
section images with the inverse Radon transform. Prior to the reconstruction the
Abel transform is applied to the measured signals to improve the accuracy of the
image. A resolution of about 100 um within a section and of 500 um between
sections is obtained. Additionally, a series of images of a zebra fish is shown.
PMID- 22076261
TI - 3D imaging of biofilms on implants by detection of scattered light with a
scanning laser optical tomograph.
AB - Biofilms - communities of microorganisms attached to surfaces - are a constant
threat for long-term success in modern implantology. The application of laser
scanning microscopy (LSM) has increased the knowledge about microscopic
properties of biofilms, whereas a 3D imaging technique for the large scale
visualization of bacterial growth and migration on curved and non-transparent
surfaces is not realized so far.Towards this goal, we built a scanning laser
optical tomography (SLOT) setup detecting scattered laser light to image biofilm
on dental implant surfaces. SLOT enables the visualization of living biofilms in
3D by detecting the wavelength-dependent absorption of non-fluorescent stains
like e.g. reduced triphenyltetrazolium chloride (TTC) accumulated within
metabolically active bacterial cells. Thus, the presented system allows the large
scale investigation of vital biofilm structure and in vitro development on
cylindrical and non-transparent objects without the need for fluorescent vital
staining. We suggest SLOT to be a valuable tool for the structural and volumetric
investigation of biofilm formation on implants with sizes up to several
millimeters.
PMID- 22076262
TI - Spectroscopic-speckle variance OCT for microvasculature detection and analysis.
AB - We propose and studied optical coherence tomography (OCT) combining spectroscopic
(SOCT) and speckle variance (svOCT) functions to effectively detect locations of
microvasculatures and assess blood oxygen saturation level. Chorioallantoic
membrane of a chick embryo was imaged in vivo to perform the analysis of the
system. We also studied the effect of speckle in spectral domain using
experimental data and performed time-averaging to reduce speckle noise locally.
We combined SOCT and svOCT images using hue, saturation and value (HSV) color map
to show the localized spectroscopic property of blood. Results show distinct
spectroscopic properties between arterial blood and capillary blood.
PMID- 22076263
TI - Photon efficient double-helix PSF microscopy with application to 3D photo
activation localization imaging.
AB - We present a double-helix point spread function (DH-PSF) based three-dimensional
(3D) microscope with efficient photon collection using a phase mask fabricated by
gray-level lithography. The system using the phase mask more than doubles the
efficiency of current liquid crystal spatial light modulator implementations. We
demonstrate the phase mask DH-PSF microscope for 3D photo-activation localization
microscopy (PM-DH-PALM) over an extended axial range.
PMID- 22076264
TI - Imaging workflow and calibration for CT-guided time-domain fluorescence
tomography.
AB - In this study, several key optimization steps are outlined for a non-contact,
time-correlated single photon counting small animal optical tomography system,
using simultaneous collection of both fluorescence and transmittance data. The
system is presented for time-domain image reconstruction in vivo, illustrating
the sensitivity from single photon counting and the calibration steps needed to
accurately process the data. In particular, laser time- and amplitude
referencing, detector and filter calibrations, and collection of a suitable
instrument response function are all presented in the context of time-domain
fluorescence tomography and a fully automated workflow is described. Preliminary
phantom time-domain reconstructed images demonstrate the fidelity of the workflow
for fluorescence tomography based on signal from multiple time gates.
PMID- 22076265
TI - Micrometer axial resolution OCT for corneal imaging.
AB - An optical coherence tomography (OCT) for high axial resolution corneal imaging
is presented. The system uses 375 nm bandwidth (625 to 1000 nm) from a broadband
supercontinuum light source. The system was developed in free space to minimize
image quality degradation due to dispersion. A custom-designed spectrometer based
on a Czerny Turner configuration was implemented to achieve an imaging depth of 1
mm. Experimentally measured axial resolution was 1.1 MUm in corneal tissue and
had a good agreement with the theoretically calculated resolution from the
envelope of the spectral interference fringes. In vivo imaging was carried out
and thin corneal layers such as the tear film and the Bowman's layer were
quantified in normal, keratoconus, and contact lens wearing eyes, indicating the
system's suitability for several ophthalmic applications.
PMID- 22076266
TI - Tissue oximetry: a comparison of mean values of regional tissue saturation,
reproducibility and dynamic range of four NIRS-instruments on the human forearm.
AB - We compared absolute values of regional tissue hemoglobin saturation (StO(2)),
reproducibility, and dynamic range of four different instruments on the forearm
of adults. The sensors were repositioned 10 times on each subject. Dynamic range
was estimated by exercise with subsequent arterial occlusion. Mean StO(2) was
70.1% +/- 6.7 with INVOS 5100, 69.4% +/- 5.0 with NIRO 200 NX, 63.4% +/- 4.5 with
NIRO 300, and 60.8% +/- 3.6 with OxyPrem. The corresponding reproducibility S(w)
was 5.4% (CI 4.4-6.9), 4.4% (CI 3.5-5.2), 4.1% (CI 3.3-4.9), and 2.7% (CI 2.2
3.2), respectively. The dynamic ranges DeltaStO(2) were 45.0%, 46.8%, 44.8%, and
27.8%, respectively. In conclusion, the three commercial NIRS instruments showed
different absolute values, whereas reproducibility and dynamic range were quite
similar.
PMID- 22076267
TI - Effects of probe geometry on transscleral diffuse optical spectroscopy.
AB - The purpose of this study was to investigate how the geometry of a fiber optic
probe affects the transmission and reflection of light through the scleral eye
wall. Two geometrical parameters of the fiber probe were investigated: the source
detector distance and the fiber protrusion, i.e. the length of the fiber
extending from the flat surface of the fiber probe. For optimization of the fiber
optic probe geometry, fluorescence stained choroidal tumor phantoms in ex vivo
porcine eyes were measured with both diffuse reflectance- and laser-induced
fluorescence spectroscopy. The strength of the fluorescence signal compared to
the excitation signal was used as a measure for optimization. Intraocular
pressure (IOP) and temperature were monitored to assess the impact of the probe
on the eye. For visualizing any possible damage caused by the probe, the scleral
surface was imaged with scanning electron microscopy after completion of the
spectroscopic measurements. A source-detector distance of 5 mm with zero fiber
protrusion was considered optimal in terms of spectroscopic contrast, however, a
slight fiber protrusion of 0.5 mm is argued to be advantageous for clinical
measurements. The study further indicates that transscleral spectroscopy can be
safely performed in human eyes under in vivo conditions, without leading to an
unacceptable IOP elevation, a significant rise in tissue temperature, or any
visible damage to the scleral surface.
PMID- 22076268
TI - Thermal intravascular photoacoustic imaging.
AB - Intravascular photoacoustics (IVPA)-a minimally invasive imaging technique with
contrast related to optical absorption properties of tissue, can be used to
visualize atherosclerotic plaques. However, the amplitude of photoacoustic
signals is also related to a temperature dependent, tissue specific parameter-the
Gruneisen parameter. Therefore, photoacoustic signals measured at different
temperatures may reveal information about tissue composition. In this study,
thermal IVPA (tIVPA) imaging was introduced. The imaging studies were performed
using an ex vivo atherosclerotic rabbit aorta. Temperature dependent
photoacoustic responses from lipid in plaques and lipid in periadventitial tissue
were different, thus allowing tIVPA images to delineate the location of lipid
rich plaques. The results indicate that tIVPA imaging has a potential to
characterize tissue composition in atherosclerotic vessels.
PMID- 22076269
TI - Measurement of cerebral microvascular compliance in a model of atherosclerosis
with optical coherence tomography.
AB - Optical coherence tomography (OCT) has recently been used to produce 3D
angiography of microvasculature and blood flow maps of large vessels in the
rodent brain in-vivo. However, use of this optical method for the study of
cerebrovascular disease has not been fully explored. Recent developments in
neurodegenerative diseases has linked common cardiovascular risk factors to
neurodegenerative risk factors hinting at a vascular hypothesis for the
development of the latter. Tools for studying cerebral blood flow and the
myogenic tone of cerebral vasculature have thus far been either highly invasive
or required ex-vivo preparations therefore not preserving the delicate in-vivo
conditions. We propose a novel technique for reconstructing the flow profile over
a single cardiac cycle in order to evaluate flow pulsatility and vessel
compliance. A vascular model is used to simulate changes in vascular compliance
and interpret OCT results. Comparison between atherosclerotic and wild type mice
show a trend towards increased compliance in the smaller arterioles of the brain
(diameter < 80MUm) in the disease model. These results are consistent with
previously published ex-vivo work confirming the ability of OCT to investigate
vascular dysfunction.
PMID- 22076270
TI - Novel non-contact retina camera for the rat and its application to dynamic
retinal vessel analysis.
AB - We present a novel non-invasive and non-contact system for reflex-free retinal
imaging and dynamic retinal vessel analysis in the rat. Theoretical analysis was
performed prior to development of the new optical design, taking into account the
optical properties of the rat eye and its specific illumination and imaging
requirements. A novel optical model of the rat eye was developed for use with
standard optical design software, facilitating both sequential and non-sequential
modes. A retinal camera for the rat was constructed using standard optical and
mechanical components. The addition of a customized illumination unit and
existing standard software enabled dynamic vessel analysis. Seven-minute in-vivo
vessel diameter recordings performed on 9 Brown-Norway rats showed stable
readings. On average, the coefficient of variation was (1.1 +/- 0.19) % for the
arteries and (0.6 +/- 0.08) % for the veins. The slope of the linear regression
analysis was (0.56 +/- 0.26) % for the arteries and (0.15 +/- 0.27) % for the
veins. In conclusion, the device can be used in basic studies of retinal vessel
behavior.
PMID- 22076271
TI - In vivo microstructural and microvascular imaging of the human corneo-scleral
limbus using optical coherence tomography.
AB - The corneo-scleral limbus contains several biological components, which are
important constituents for understanding, diagnosing and managing several ocular
pathologies, such as glaucoma and corneal abnormalities. An anterior segment
optical coherence tomography (AS-OCT) system integrated with optical
microangiography (OMAG) is used in this study to non-invasively visualize the
three-dimensional microstructural and microvascular properties of the limbal
region. Advantages include first the ability to correct optical distortion of
microstructural images enabling quantification of relationships in the anterior
chamber angle. Second, microvascular images enable the visualization of the
microcirculation in the limbal area without the use of exogenous contrast agents.
Third, by combining the microstructural and microvascular information, the
aqueous outflow pathway can be identified. The proposed AS-OCT can serve as a
useful tool for ophthalmological research to determine normal and pathologic
changes in the outflow system. As a clinical tool it has the potential to detect
early aqueous outflow system abnormalities that lead to the pressure elevation in
glaucoma. Recent surgical innovations and their implementations also rely on an
assessment of outflow system structure and function, which can be revealed by AS
OCT.
PMID- 22076272
TI - Spectrally encoded fiber-based structured lighting probe for intraoperative 3D
imaging.
AB - Three dimensional quantification of organ shape and structure during minimally
invasive surgery (MIS) could enhance precision by allowing the registration of
multi-modal or pre-operative image data (US/MRI/CT) with the live optical image.
Structured illumination is one technique to obtain 3D information through the
projection of a known pattern onto the tissue, although currently these systems
tend to be used only for macroscopic imaging or open procedures rather than in
endoscopy. To account for occlusions, where a projected feature may be hidden
from view and/or confused with a neighboring point, a flexible multispectral
structured illumination probe has been developed that labels each projected point
with a specific wavelength using a supercontinuum laser. When imaged by a
standard endoscope camera they can then be segmented using their RGB values, and
their 3D coordinates calculated after camera calibration. The probe itself is
sufficiently small (1.7 mm diameter) to allow it to be used in the biopsy channel
of commonly used medical endoscopes. Surgical robots could therefore also employ
this technology to solve navigation and visualization problems in MIS, and help
to develop advanced surgical procedures such as natural orifice translumenal
endoscopic surgery.
PMID- 22076273
TI - Swept source optical coherence tomography as a tool for real time visualization
and localization of electrodes used in electrophysiological studies of brain in
vivo.
AB - In studies of in vivo extracellular recording, we usually penetrate electrodes
almost blindly into the neural tissue, in order to detect the neural activity
from an expected target location at a certain depth. After the recording, it is
necessary for us to determine the position of the electrodes precisely.
Generally, to identify the position of the electrode, one method is to examine
the postmortem tissue sample at micron resolution. The other method is using MRI
and it does not have enough resolution to resolve the neural structures. To solve
such problems, we propose swept source optical coherence tomography (SS-OCT) as a
tool to visualize the cross-sectional image of the neural target structure along
with the penetrating electrode. We focused on a rodent olfactory bulb (OB) as the
target. We succeeded in imaging both the OB layer structure and the penetrating
electrode, simultaneously. The method has the advantage of detecting the
electrode shape and the position in real time, in vivo. These results indicate
the possibility of using SS-OCT as a powerful tool for guiding the electrode into
the target tissue precisely in real time and localizing the electrode tip during
electrophysiological recordings.
PMID- 22076274
TI - Measurement and correction of in vivo sample aberrations employing a nonlinear
guide-star in two-photon excited fluorescence microscopy.
AB - We demonstrate that sample induced aberrations can be measured in a nonlinear
microscope. This uses the fact that two-photon excited fluorescence naturally
produces a localized point source inside the sample: the nonlinear guide-star (NL
GS). The wavefront emitted from the NL-GS can then be recorded using a Shack
Hartmann sensor. Compensation of the recorded sample aberrations is performed by
the deformable mirror in a single-step. This technique is applied to fixed and in
vivo biological samples, showing, in some cases, more than one order of magnitude
improvement in the total collected signal intensity.
PMID- 22076275
TI - Measurement of tissue scattering properties using multi-diameter single fiber
reflectance spectroscopy: in silico sensitivity analysis.
AB - Multiple diameter single fiber reflectance (MDSFR) measurements of turbid media
can be used to determine the reduced scattering coefficient (MU'(s)) and a
parameter that characterizes the phase function (gamma). The MDSFR method
utilizes a semi-empirical model that expresses the collected single fiber
reflectance intensity as a function of fiber diameter (d(fiber)), MU'(s), and
gamma. This study investigated the sensitivity of the MDSFR estimates of MU'(s)
and gamma to the choice of fiber diameters and spectral information incorporated
into the fitting procedure. The fit algorithm was tested using Monte Carlo
simulations of single fiber reflectance intensities that investigated
biologically relevant ranges of scattering properties (MU'(s) ? [0.4 - 4]mm(-1))
and phase functions (gamma ? [1.4 - 1.9]) and for multiple fiber diameters
(d(fiber) ? [0.2 - 1.5] mm). MDSFR analysis yielded accurate estimates of MU'(s)
and gamma over the wide range of scattering combinations; parameter accuracy was
shown to be sensitive to the range of fiber diameters included in the analysis,
but not to the number of intermediate fibers. Moreover, accurate parameter
estimates were obtained without a priori knowledge about the spectral shape of
gamma. Observations were used to develop heuristic guidelines for the design of
clinically applicable MDSFR probes.
PMID- 22076276
TI - Fabrication of microscale medical devices by two-photon polymerization with
multiple foci via a spatial light modulator.
AB - Two-photon polymerization is an appealing technique for producing microscale
devices due to its flexibility in producing structures with a wide range of
geometries as well as its compatibility with materials suitable for biomedical
applications. The greatest limiting factor in widespread use of two-photon
polymerization is the slow fabrication times associated with line-by-line, high
resolution structuring. In this study, a recently developed technology was used
to produce microstructures by two-photon polymerization with multiple foci, which
significantly reduces the production time. Computer generated hologram pattern
technology was used to generate multiple laser beams in controlled positions from
a single laser. These multiple beams were then used to simultaneously produce
multiple microstructures by two-photon polymerization. Arrays of micro-Venus
structures, tissue engineering scaffolds, and microneedle arrays were produced by
multifocus two-photon polymerization. To our knowledge, this work is the first
demonstration of multifocus two-photon polymerization technology for production
of a functional medical device. Multibeam fabrication has the potential to
greatly improve the efficiency of two-photon polymerization production of
microscale devices such as tissue engineering scaffolds and microneedle arrays.
PMID- 22076277
TI - Bioluminescence tomography using eigenvectors expansion and iterative solution
for the optimized permissible source region.
AB - A reconstruction algorithm for bioluminescence tomography (BLT) has been
developed. The algorithm numerically calculates the Green's function at different
wavelengths using the diffusion equation and finite element method. The optical
properties used in calculating the Green's function are reconstructed using
diffuse optical tomography (DOT) and assuming anatomical information is provided
by x-ray computed tomography or other methods. A symmetric system of equations is
formed using the Green's function and the measured light fluence rate and the
resulting eigenvalue problem is solved to get the eigenvectors of this symmetric
system of equations. A space can be formed from the eigenvectors obtained and the
reconstructed source is written as an expansion of the eigenvectors corresponding
to non-zero eigenvalues. The coefficients of the expansion are found to obtain
the reconstructed BL source distribution. The problem is solved iteratively by
using a permissible source region that is shrunk by removing nodes with low
probability to contribute to the source. Throughout this process the permissible
region shrinks from the entire object to just a few nodes. The best estimate of
the reconstructed source is chosen that which minimizes the difference between
the calculated and measured light fluence rates. 3D simulations presented here
show that the reconstructed source is in good agreement with the actual source in
terms of locations, magnitudes, sizes, and total powers for both localized
multiple sources and large inhomogeneous source distributions.
PMID- 22076278
TI - Tissue-mimicking phantoms for photoacoustic and ultrasonic imaging.
AB - In both photoacoustic (PA) and ultrasonic (US) imaging, overall image quality is
influenced by the optical and acoustical properties of the medium. Consequently,
with the increased use of combined PA and US (PAUS) imaging in preclinical and
clinical applications, the ability to provide phantoms that are capable of
mimicking desired properties of soft tissues is critical. To this end, gelatin
based phantoms were constructed with various additives to provide realistic
acoustic and optical properties. Forty-micron, spherical silica particles were
used to induce acoustic scattering, Intralipid((r)) 20% IV fat emulsion was
employed to enhance optical scattering and ultrasonic attenuation, while India
Ink, Direct Red 81, and Evans blue dyes were utilized to achieve optical
absorption typical of soft tissues. The following parameters were then measured
in each phantom formulation: speed of sound, acoustic attenuation (from 6 to 22
MHz), acoustic backscatter coefficient (from 6 to 22 MHz), optical absorption
(from 400 nm to 1300 nm), and optical scattering (from 400 nm to 1300 nm).
Results from these measurements were then compared to similar measurements, which
are offered by the literature, for various soft tissue types. Based on these
comparisons, it was shown that a reasonably accurate tissue-mimicking phantom
could be constructed using a gelatin base with the aforementioned additives.
Thus, it is possible to construct a phantom that mimics specific tissue
acoustical and/or optical properties for the purpose of PAUS imaging studies.
PMID- 22076279
TI - High-performance image reconstruction in fluorescence tomography on desktop
computers and graphics hardware.
AB - Image reconstruction in fluorescence optical tomography is a three-dimensional
nonlinear ill-posed problem governed by a system of partial differential
equations. In this paper we demonstrate that a combination of state of the art
numerical algorithms and a careful hardware optimized implementation allows to
solve this large-scale inverse problem in a few seconds on standard desktop PCs
with modern graphics hardware. In particular, we present methods to solve not
only the forward but also the non-linear inverse problem by massively parallel
programming on graphics processors. A comparison of optimized CPU and GPU
implementations shows that the reconstruction can be accelerated by factors of
about 15 through the use of the graphics hardware without compromising the
accuracy in the reconstructed images.
PMID- 22076280
TI - Light assisted antibody immobilization for bio-sensing.
AB - Ultrashort UV pulses at 258 nm with repetition rate of 10 kHz have been used to
irradiate buffer solution of antibody. The tryptophan residues strongly absorb
this radiation thus becoming capable to disrupt the disulfide bridges located
next to them. Due to their high reactivity the opened bridges can anchor a gold
plate more efficiently than other sites of the macromolecule giving rise to
preferential orientations of the variable part of the antibody. UV irradiation
has been applied to anchor antiIgG antibody to the electrode of a Quartz Crystal
Microbalance (QCM) that lends itself as a sensor, the antibody acting as the bio
receptor. An increase of the QCM sensitivity and of the linear range has been
measured when the antibody is irradiated with UV laser pulses. The photo-induced
reactions leading to disulfide bridge breakage have been analyzed by means of a
chemical assay that confirms our explanation. The control of disulfide bridges by
UV light paves the way to important applications for sensing purpose since
cysteine in combination with tryptophan can act as a hook to link refractory bio
receptors to surfaces.
PMID- 22076283
TI - Partial sensitization of human bladder cancer cells to a gene-therapeutic
adenovirus carrying REIC/Dkk-3 by downregulation of BRPK/PINK1.
AB - REIC/Dkk-3 is a tumor suppressor gene that was first identified as a gene
downregulated in association with immortalization of normal human fibroblasts. We
have demonstrated that an adenovirus carrying REIC/Dkk-3 (Ad-REIC) showed a tumor
specific killing effect on a wide range of cancers. However, some human cancers,
bladder cancers in particular, are resistant to Ad-REIC. In this study, we
investigated the combination effect of downregulation of BRPK/PINK1 (PINK1) and
Ad-REIC on bladder cancer cells. Five bladder cancer cell lines among six cell
lines examined were resistant to Ad-REIC. Among the cell lines, the resistance of
two cell lines was probably due to low infection efficiency of the adenovirus.
PINK1-specific siRNA remarkably downregulated Bcl-xL and TRAP1 proteins and
upregulated BAX protein expression. Finally, downregulation of PINK1 partially
sensitized the other three cell lines that were resistant to Ad-REIC. This
sensitization was associated with increasing production of reactive oxygen
species (ROS). These results indicate that PINK1 is one of the key molecules for
the mitochondrial protection system and that PINK1 can be a new target molecule
to sensitize bladder cancer cells that are resistant to Ad-REIC.
PMID- 22076284
TI - Rapid synthesis of highly functionalised alpha-amino amides and medium ring
lactones using multicomponent reactions of amino alcohols and isocyanides.
AB - Four-component reactions between amino alcohols, aldehydes, isocyanides and
thiols proceed rapidly under microwave or conventional heating at 60 degrees C
in methanol. The reaction is successful with a wide range of components and gives
access to potentially drug-like products containing amine, amide and thioether
functionality in moderate to excellent yield. The reaction conditions are also
applicable to the synthesis of a range of 8-10 membered medium ring lactones via
three-component reactions of amino alcohols, isocyanides and acid-aldehydes.
Incorporation of L-prolinol as the amino alcohol component in each case gives
access to multicomponent products with moderate to high diastereoselectivity.
PMID- 22076285
TI - Fecal occult blood testing when colonoscopy capacity is limited.
AB - BACKGROUND: Fecal occult blood testing (FOBT) can be adapted to a limited
colonoscopy capacity by narrowing the age range or extending the screening
interval, by using a more specific test or hemoglobin cutoff level for referral
to colonoscopy, and by restricting surveillance colonoscopy. Which of these
options is most clinically effective and cost-effective has yet to be
established. METHODS: We used the validated MISCAN-Colon microsimulation model to
estimate the number of colonoscopies, costs, and health effects of different
screening strategies using guaiac FOBT or fecal immunochemical test (FIT) at
various hemoglobin cutoff levels between 50 and 200 ng hemoglobin per mL,
different surveillance strategies, and various age ranges. We optimized the
allocation of a limited number of colonoscopies on the basis of incremental cost
effectiveness. RESULTS: When colonoscopy capacity was unlimited, the optimal
screening strategy was to administer an annual FIT with a 50 ng/mL hemoglobin
cutoff level in individuals aged 45-80 years and to offer colonoscopy
surveillance to all individuals with adenomas. When colonoscopy capacity was
decreasing, the optimal screening adaptation was to first increase the FIT
hemoglobin cutoff value to 200 ng hemoglobin per mL and narrow the age range to
50-75 years, to restrict colonoscopy surveillance, and finally to further
decrease the number of screening rounds. FIT screening was always more cost
effective compared with guaiac FOBT. Doubling colonoscopy capacity increased the
benefits of FIT screening up to 100%. CONCLUSIONS: FIT should be used at higher
hemoglobin cutoff levels when colonoscopy capacity is limited compared with
unlimited and is more effective in terms of health outcomes and cost compared
with guaiac FOBT at all colonoscopy capacity levels. Increasing the colonoscopy
capacity substantially increases the health benefits of FIT screening.
PMID- 22076286
TI - Less is more: not "going the distance" and why.
PMID- 22076288
TI - Near-infrared autofluorescence and indocyanine green angiography in central
serous chorioretinopathy.
AB - PURPOSE: To evaluate the diagnostic potential of near-infrared (NIR)
autofluorescence (AF) in central serous chorioretinopathy (CSC) and to present a
method to analyze NIR-AF findings quantitatively. PROCEDURES: NIR-AF images,
indocyanine green (ICG) angiograms, blue-light autofluorescence (BL-AF) images
and fluorescein angiograms were recorded with the use of a confocal scanning
laser ophthalmoscope in 19 eyes of 17 patients with CSC. Gray-value ratios were
calculated to compare the methods. RESULTS: Using NIR-AF, hypofluorescent spots
were observed at the leakage site in 18 of 19 eyes (94.7%). The mean gray-value
ratio (+/-SD) was 0.55 +/- 0.22 for the site of the leakage point with NIR-AF and
0.65 +/- 0.35 with BL-AF. ICG angiography showed a gray-value ratio of 2.37 +/-
2.07. Fluorescein angiography had the best contrast, with a gray-value ratio of
13.44 +/- 15.02. CONCLUSION: NIR-AF demonstrated CSC in 94.7% of the cases. NIR
AF may be a noninvasive alternative to detect CSC.
PMID- 22076289
TI - Beta-blocker therapy for hypertension.
AB - Hypertension has been linked to many diseases, including coronary heart disease,
myocardial infarction, renal failure, and stroke. Treatment involves lowering
blood pressure (BP) and altering the sequelae. Medications such as beta-blockers
reduce BP and prevent complications such as ventricular remodeling, endothelial
impairment, and renal insufficiency. This article describes the pathophysiology
of hypertension, complications, and theories of primary hypertension. Critical
care nurses will learn about the pharmacodynamics and latest research on beta
blocker therapy and critical decision making for patients taking these
medications.
PMID- 22076292
TI - Celecoxib.
PMID- 22076293
TI - Acute ischemic stroke.
AB - Acute ischemic stroke, also known as brain attack, is the third-leading cause of
death and the leading cause of adult disability in the United States. However,
early management of this emergency can improve patient outcomes. This article
reviews the types of stroke, prevention, signs and symptoms, and management.
Guidelines for assessment and emergency care for patients with acute ischemic
stroke also are provided.
PMID- 22076294
TI - How to respond to shock.
AB - This article describes three common types of shock-hypovolemic, septic, and
cardiogenic-and how to recognize and respond appropriately. Shock has many
causes, but the underlying disease process is the same, and prompt, aggressive
intervention is the key to improved patient outcomes.
PMID- 22076295
TI - Nurses and informed consent, part 2.
PMID- 22076296
TI - Six critical thinking skills for at-the-bedside success.
PMID- 22076297
TI - Using variance tracking to improve outcomes and reduce costs.
AB - Clinical pathways outline patient-care delivery over time for specific patient
populations, but their true utility is derived from information obtained through
variance tracking-documenting when and why a patient's care varies from the
clinical pathway. This article describes one health care facility's variance
tracking for pulmonary, medical oncology, and nephrology patients and their
associated measured outcomes. Avoidable hospital days were tracked and a
performance improvement initiative, focused on the pathways most often used on
the medical unit, was undertaken, resulting in decreased length of patient stay
and cost savings of more than $160,000.
PMID- 22076299
TI - Comparing tympanic membrane and pulmonary artery catheter temperatures.
AB - Studies comparing tympanic membrane thermometry with other thermometry methods
have had conflicting results. Our hospital's nursing practice council sponsored
this tympanic thermometry study in our cardiac surgical intensive care unit to
assess the feasibility of increasing tympanic thermometer use in our hospital.
Our results suggest clinically significant discrepancies between tympanic
membrane and pulmonary artery catheter temperatures. These discrepancies preclude
expanding tympanic thermometer use in our hospital.
PMID- 22076300
TI - Finding a common ground.
PMID- 22076302
TI - Characterizing the phylogenetic tree-search problem.
AB - Phylogenetic trees are important in many areas of biological research, ranging
from systematic studies to the methods used for genome annotation. Finding the
best scoring tree under any optimality criterion is an NP-hard problem, which
necessitates the use of heuristics for tree-search. Although tree-search plays a
major role in obtaining a tree estimate, there remains a limited understanding of
its characteristics and how the elements of the statistical inferential procedure
interact with the algorithms used. This study begins to answer some of these
questions through a detailed examination of maximum likelihood tree-search on a
wide range of real genome-scale data sets. We examine all 10,395 trees for each
of the 106 genes of an eight-taxa yeast phylogenomic data set, then apply
different tree-search algorithms to investigate their performance. We extend our
findings by examining two larger genome-scale data sets and a large disparate
data set that has been previously used to benchmark the performance of tree
search programs. We identify several broad trends occurring during tree-search
that provide an insight into the performance of heuristics and may, in the
future, aid their development. These trends include a tendency for the true
maximum likelihood (best) tree to also be the shortest tree in terms of branch
lengths, a weak tendency for tree-search to recover the best tree, and a tendency
for tree-search to encounter fewer local optima in genes that have a high
information content. When examining current heuristics for tree-search, we find
that nearest-neighbor-interchange performs poorly, and frequently finds trees
that are significantly different from the best tree. In contrast, subtree-pruning
and-regrafting tends to perform well, nearly always finding trees that are not
significantly different to the best tree. Finally, we demonstrate that the
precise implementation of a tree-search strategy, including when and where
parameters are optimized, can change the character of tree-search, and that good
strategies for tree-search may combine existing tree-search programs.
PMID- 22076303
TI - Ginsenoside-Rd potentiates apoptosis induced by hydrogen peroxide in basilar
artery smooth muscle cells through the mitochondrial pathway.
AB - Our previous studies showed that ginsenoside-Rd, a purified component from Panax
notoginseng, inhibited cell proliferation and reversed basilar artery remodeling.
The aim of this study was to investigate whether ginsenoside- Rd influences
H(2)O(2)-induced apoptosis in basilar artery smooth muscle cells (BASMCs). The
results showed that ginsenoside-Rd significantly potentiated H(2)O(2)-induced
cell death and cell apoptosis. This resulted in a concentration-dependent
reduction of the cell viability. Ginsenoside-Rd further increased cytochrome C
release and caspase-9/caspase-3 activations, and reduced the stability of
mitochondrial membrane potential (MMP) and the ratio of Bcl-2/Bax. Cyclosporine
A, an inhibitor of mitochondrial-permeability transition, inhibited alteration of
mitochondrial permeability induced by H(2)O(2) and reversed the effect of
ginsenoside-Rd on MMP. Our data strongly suggest that ginsenoside-Rd potentiated
H(2)O(2)-induced apoptosis of BASMCs through the mitochondria-dependent pathway.
PMID- 22076304
TI - Pleomorphic adenoma gene-like 2 regulates expression of the p53 family member,
p73, and induces cell cycle block and apoptosis in human promonocytic U937 cells.
AB - The proto-oncogene, pleomorphic adenoma gene-like 2 (PLAGL2), is implicated in a
variety of cancers including acute myeloid leukemia (AML), malignant glioma,
colon cancer, and lung adenocarcinoma. There is additional evidence that PLAGL2
can function as a tumor suppressor by initiating cell cycle arrest and apoptosis.
Interestingly, PLAGL2 has also been implicated in human myelodysplastic syndrome,
a disease that is characterized by ineffective hematopoiesis and can lead to
fatal cytopenias (low blood counts) as a result of increased apoptosis in the
marrow, or, in about one-third of cases, can progress to AML. To gain a better
understanding of the actions of PLAGL2 in human myeloid cells, we generated a
stable PLAGL2-inducible cell line, using human promonocytic U937 cells. PLAGL2
expression inhibited cell proliferation which correlated with an accumulation of
cells in G1, apoptotic DNA-laddering, an increase in caspase 3, 8, and 9
activity, and a loss of mitochondrial transmembrane potential. There was
significant increase in the p53 homologue, p73, with PLAGL2 expression, and
consistent with mechanisms of p73-regulated cell cycle control and apoptosis,
there was increased expression of known p73 target genes p21, DR5, TRAIL, and
Bax. PLAGL2-induced cell cycle block was abolished in the presence of p73 siRNA.
Together, these data support a role for PLAGL2 in cell cycle regulation and
apoptosis via activation of p73.
PMID- 22076301
TI - Accuracy and precision of species trees: effects of locus, individual, and base
pair sampling on inference of species trees in lizards of the Liolaemus darwinii
group (Squamata, Liolaemidae).
AB - Molecular phylogenetics has entered a new era in which species trees are
estimated from a collection of gene trees using methods that accommodate their
heterogeneity and discordance with the species tree. Empirical evaluation of
species trees is necessary to assess the performance (i.e., accuracy and
precision) of these methods with real data, which consists of gene genealogies
likely shaped by different historical and demographic processes. We analyzed 20
loci for 16 species of the South American lizards of the Liolaemus darwinii
species group and reconstructed a species tree with *BEAST, then compared the
performance of this method under different sampling strategies of loci,
individuals, and sequence lengths. We found an increase in the accuracy and
precision of species trees with the number of loci, but for any number of loci,
accuracy substantially decreased only when using only one individual per species
or 25% of the full sequence length (~ 147 bp). In addition, locus
"informativeness" was an important factor in the accuracy/precision of species
trees when using a few loci, but it became increasingly irrelevant with
additional loci. Our empirical results combined with the previous simulation
studies suggest that there is an optimal range of sampling effort of loci,
individuals, and sequence lengths for a given speciation history and information
content of the data. Future studies should be directed toward further assessment
of other factors that can impact performance of species trees, including gene
flow, locus "informativeness," tree shape, missing data, and errors in species
delimitation.
PMID- 22076305
TI - Connectivity gradients between the default mode and attention control networks.
AB - Functional imaging studies have shown reduced activity within the default mode
network during attention-demanding tasks. The network circuitry underlying this
suppression remains unclear. Proposed hypotheses include an attentional switch in
the right anterior insula and reciprocal inhibition between the default mode and
attention control networks. We analyzed resting state blood oxygen level
dependent (BOLD) data from 1278 subjects from 26 sites and constructed whole
brain maps of functional connectivity between 7266 regions of interest (ROIs)
covering the gray matter at ~5 mm resolution. ROIs belonging to the default mode
network and attention control network were identified based on correlation to six
published seed locations. Spatial heterogeneity of correlation between the
default mode and attention control networks was observed, with smoothly varying
gradients in every hub of both networks that ranged smoothly from weakly but
significantly anticorrelated to positively correlated. Such gradients were
reproduced in 3 separate groups of subjects. Anticorrelated subregions were
identified in major hubs of both networks. Between-network connectivity gradients
strengthen with age during late adolescence and early adulthood, with associated
sharpening of the boundaries of the default mode network, integration of the
insula and cingulate with frontoparietal attentional regions, and decreasing
correlation between the default mode and attention control networks with age.
PMID- 22076306
TI - Molecular biology of oncogenic inflammatory processes. I. Non-oncogenic and
oncogenic pathogens, intrinsic inflammatory reactions without pathogens, and
microRNA/DNA interactions (Review).
AB - In some inflammasomes tumor cells are generated. The internal environment of the
inflammasome is conducive to the induction of malignant transformation.
Epigenetic changes initiate this process. The subverted stromal connective tissue
cells act to promote and sustain the process of malignant trans-formation. In its
early stages, the premalignant cells depend on paracrine circuitries for the
reception of growth factors. The ligands are derived from the connective tissue,
and the receptors are expressed on the recipient premalignant cells. The initial
events are not a direct attack on the proto-oncogenes, and thus it may be
entirely reversible. Epigenetic processes of hypermethylation of the genes at the
promoters of tumor suppressor genes (to silence them), and deacetylation of the
histones aimed at the promoters of proto-oncogenes (to activate them) are on
going. A large number of short RNA sequences (interfering, micro-, short hairpin,
non-coding RNAs) silence tumor suppressor genes, by neutralizing their mRNAs. In
a serial sequence oncogenes undergo amplifications, point-mutations,
translocations and fusions. In its earliest stage, the process is reversible by
demethylation of the silenced suppressor gene promoters (to reactivate them), or
re-acetylation of the histones of the oncogene promoters, thus de-activating
them. The external administration of histone deacetylase inhibitors usually leads
to the restoration of histone acetylation. In time, the uncorrected processes
solidify into constitutive and irreversible gene mutations. Some of the pathogens
inducing inflammations with consquential malignant transformation contain
oncogenic gene sequences (papilloma viruses, Epstein-Barr virus, Kaposi's sarcoma
associated herpesvirus, hepatitis B and C viruses, Merkel cell polyoma virus,
Helicobacter pylori, enterotoxigenic Bacteroides fragilis). These induced
malignancies may be multifocal. Other pathogens are devoid of any known oncogenic
genomic sequences (mycoplasma vav-carcinogenesis, chlamydia MALT-lymphoma
genesis). In these cases the host's inflammatory reactions induce the malignant
transformation in serial sequences of gene alterations initiated by hypoxia and
reactive oxygen and nitrogen species generation. Carcinogenic intrinsic
inflammatory processes endogenously initiated without a pathogen are recognized.
Chronic inflammatory processes signal the RNA/DNA complex. In response, the DNA
may revert into its ancient primordial 'immortal' format, which the clinics
recognize as 'oncogenesis'. The DNA remains the ultimate master of bioengineering
in order to sustain life. A discussion on the most versatile and resistant
primordial RNA/DNA complex and the pre-, proto-, and unicellular world in which
they co-existed is included.
PMID- 22076307
TI - Characteristics of premenopausal and postmenopausal women with acquired,
generalized hypoactive sexual desire disorder: the Hypoactive Sexual Desire
Disorder Registry for women.
AB - OBJECTIVE: Little is known about the natural history of hypoactive sexual desire
disorder (HSDD). We examined the sociodemographic, relationship, help seeking,
sexual function, and medical characteristics of women with a clinical diagnosis
of generalized, acquired HSDD by menopause status. METHODS: This study was a
cross-sectional baseline data analysis from the HSDD Registry for Women (N =
1,574, from 33 US clinical sites). HSDD was clinically diagnosed and confirmed.
Validated measures of sexual function, relationship factors, and health, as well
as newly developed questions on help seeking were assessed using the
questionnaire. RESULTS: Participants were predominantly married or living with a
partner (81.7%) and represented a range of race/ethnic backgrounds and ages (mean
+/- SD, 42.9 +/- 11.9 y). Most (56.8%) described their HSDD severity as "moderate
to severe," with 26.5% rating the problem severe. Nonetheless, most women (69.8%)
reported being happy in their relationship, and 61.8% were satisfied with their
partner communication. Postmenopausal women had lower Female Sexual Function
Index total scores, indicating worse sexual function (14.0 +/- 7.5) than
premenopausal women (16.7 +/- 6.8, P < 0.001), although both groups had similarly
low scores on the sexual desire domain (3.4 +/- 1.3 vs 3.3 +/- 1.4). Less than
half of the overall sample had sought professional help, among whom hormonal
treatments had been used by 23.7% of postmenopausal women and by 7.6% of
premenopausal women. CONCLUSIONS: Most women with HSDD were in long-term partner
relationships with high levels of overall relationship satisfaction.
Postmenopausal women were more likely to seek help for their disorder, despite
similarly high levels of distress associated with HSDD. Further research is
needed to examine treatment outcomes.
PMID- 22076308
TI - Association of leisure physical activity and sleep with cardiovascular risk
factors in postmenopausal women.
AB - OBJECTIVE: The aim of this study was to examine the individual and combined
associations of leisure-time physical activity and sleep with cardiovascular risk
factors in postmenopausal women. METHODS: We analyzed 48-month cross-sectional
follow-up data from 393 participants of the Women on the Move Through Activity
and Nutrition Study, a behavioral weight loss trial. Leisure-time physical
activity data were collected with the past-year Modifiable Activity
Questionnaire, whereas sleep data were collected with the Pittsburgh Sleep
Quality Index. We compared physical activity and sleep categories using analysis
of variance, post hoc Scheffe tests, and multivariate analyses based on groups
above/below the median leisure-time physical activity level, above/below the
sleep quality value of 5, and above/below the sleep duration of 7 hours/day.
RESULTS: The average sleep quality and sleep duration did not significantly
differ between women with high and women with low physical activity levels. When
women with good sleep quality were compared, higher physical activity levels were
associated with lower body mass index (2.0 kg/m; 25, 75 quartiles, 0.3, 3.6),
waist circumference (6.3 cm; 1.7, 10.9), and total body fat (2.1%; 0.3, 4.0; P <
0.05). When participants with poor sleep quality were compared, highly active
women had lower trunk fat, total body fat, and insulin levels than less active
women did (P < 0.05). In multivariate analysis, physical activity was
significantly associated with high-density lipoprotein level, trunk fat, and
total body fat after controlling for sleep quality, sleep duration, age, hormone
therapy and smoking status, and body mass index. CONCLUSIONS: The combined
associations of leisure-time physical activity and sleep suggest that
cardiovascular risk factors are more favorable in highly active women relative to
less active women regardless of sleep.
PMID- 22076309
TI - Neuroprotection of desferrioxamine in lipopolysaccharide-induced nigrostriatal
dopamine neuron degeneration.
AB - Inflammation and iron accumulation in the substantia nigra (SN) are implicated in
the pathogenesis of Parkinson's disease (PD). However, the relationship between
neuroinflammation and iron mismanagement remain largely unknown. In the present
study, an animal model induced by lipopolysaccharide (LPS) was used to evaluate
iron concentration in the ventral midbrain with or without neuroinflammation.
Furthermore, the iron chelator desferrioxamine (DFO) was used to explore its
neuroprotective property against LPS-induced nigrostriatal degeneration. Adult
C57BL/6 mice were treated with DFO (2.5 ug) commenced 3 days prior to or
following microinjection of LPS into the striatum. Animal behavioral tests, as
well as pathological and biochemical assays were performed to evaluate the
nigrostriatal dopamine neuron degeneration and neuroprotective effects of DFO.
Here, we report that the iron concentration in the ventral midbrain significantly
increased following intrastriatal injection of LPS, and administration of DFO
improved behavior deficits, attenuated dopamine (DA) neuron loss and striatal DA
reduction, and alleviated microglial activation in the SN. These results suggest
that DFO may possess neuroprotective effect against LPS-induced nigrostriatal
dopamine neuron degeneration.
PMID- 22076310
TI - The autotrophic contribution to soil respiration in a northern temperate
deciduous forest and its response to stand disturbance.
AB - The goal of this study was to evaluate the contribution of oak trees (Quercus
spp.) and their associated mycorrhizal fungi to total community soil respiration
in a deciduous forest (Black Rock Forest) and to explore the partitioning of
autotrophic and heterotrophic respiration. Trees on twelve 75 * 75-m plots were
girdled according to four treatments: girdling all the oaks on the plot (OG),
girdling half of the oak trees on a plot (O50), girdling all non-oaks on a plot
(NO), and a control (C). In addition, one circular plot (diameter 50 m) was
created where all trees were girdled (ALL). Soil respiration was measured before
and after tree girdling. A conservative estimate of the total autotrophic
contribution is approximately 50%, as indicated by results on the ALL and OG
plots. Rapid declines in carbon dioxide (CO(2)) flux from both the ALL and OG
plots, 37 and 33%, respectively, were observed within 2 weeks following the
treatment, demonstrating a fast turnover of recently fixed carbon. Responses from
the NO and O50 treatments were statistically similar to the control. A non
proportional decline in respiration rates along the gradient of change in live
aboveground biomass complicated partitioning of the overall rate of soil
respiration and indicates that belowground carbon flux is not linearly related to
aboveground disturbance. Our findings suggest that in this system there is a
threshold disturbance level between 35 and 74% of live aboveground biomass loss,
beyond which belowground dynamics change dramatically.
PMID- 22076311
TI - Wasting disease regulates long-term population dynamics in a threatened seagrass.
AB - The role of disease in the long-term dynamics of threatened species is poorly
quantified, as well as being under-represented in ecology and conservation
management. To understand persistent host-pathogen interaction operating in a
vulnerable habitat, we quantified dynamics driving patterns of seagrass density
using a longitudinal study in a relatively pristine site (Isles of Scilly, UK).
Replicated samples of eelgrass (Zostera marina) density and wasting disease
prevalence, presumably caused by Labyrinthula zosterae, were taken from five
meadows at the height of the growing season, over the years 1997-2010. Data were
used to parameterise a population dynamic model, incorporating density-dependent
factors and sea temperature records. We found that direct density and disease
mediated feedback operate within a network of local populations. Furthermore, our
results indicate that the strength of limitation to seagrass growth by disease
was increased at higher temperatures. This modification of the coupled host
pathogen dynamics forms a novel hypothesis to account for dramatic die-backs of
Z. marina widely reported elsewhere. Our findings highlight the importance of
disease in structuring distributions of vulnerable species, as well as the
application of population modelling in order to reveal ecological processes and
prioritize future mechanistic investigation.
PMID- 22076312
TI - Development of a bar adsorptive micro-extraction-large-volume injection-gas
chromatography-mass spectrometric method for pharmaceuticals and personal care
products in environmental water matrices.
AB - The combination of bar adsorptive micro-extraction using activated carbon (AC)
and polystyrene-divinylbenzene copolymer (PS-DVB) sorbent phases, followed by
liquid desorption and large-volume injection gas chromatography coupled to mass
spectrometry, under selected ion monitoring mode acquisition, was developed for
the first time to monitor pharmaceutical and personal care products (PPCPs) in
environmental water matrices. Assays performed on 25 mL water samples spiked (100
ng L(-1)) with caffeine, gemfibrozil, triclosan, propranolol, carbamazepine and
diazepam, selected as model compounds, yielded recoveries ranging from 74% to 99%
under optimised experimental conditions (equilibrium time, 16 h (1,000 rpm);
matrix characteristics: pH 5, 5% NaCl for AC phase; LD: methanol/acetonitrile
(1:1), 45 min). The analytical performance showed good precision (RSD < 18%),
convenient detection limits (5-20 ng L(-1)) and excellent linear dynamic range
(20-800 ng L(-1)) with remarkable determination coefficients (r(2) > 0.99), where
the PS-DVB sorbent phase showed a much better efficiency. By using the standard
addition methodology, the application of the present analytical approach on tap,
ground, sea, estuary and wastewater samples allowed very good performance at the
trace level. The proposed method proved to be a suitable sorption-based micro
extraction alternative for the analysis of priority pollutants with medium-polar
to polar characteristics, showing to be easy to implement, reliable, sensitive
and requiring a low sample volume to monitor PPCPs in water matrices.
PMID- 22076313
TI - Catecholamine analysis with strong cation exchange column liquid chromatography
peroxyoxalate chemiluminescence reaction detection.
AB - A liquid chromatography-chemiluminescence detection method was developed and
validated for the determination of catecholamines (norepinephrine, epinephrine,
and dopamine) in mouse brains. Chromatography was performed on a strong cation
exchange column (150 * 2.0-mm id) using an isocratic mobile phase of 65 mM
potassium acetate/75 mM potassium phosphate (95:5, pH 3.5) at a flow rate of 0.2
mL/min following post-column fluorescence derivatization of catecholamines with
ethylenediamine and peroxyoxalate chemiluminescence reaction detection. The
recovery of catecholamines added to mouse brain samples was more than 95.0%,
while intra- and inter-day precision of the assay were <4.8%. The validated
method was used to determine norepinephrine and dopamine concentrations in mouse
brains without prior sample purification.
PMID- 22076314
TI - Racial and ethnic disparities in the continuation of community-based children's
mental health services.
AB - This paper examines racial and ethnic disparities in continuation of mental
health services for children and youth in California and how English language
proficiency moderates the effect of race/ethnicity on the continuation of
service. While previous research indicated racial/ethnic or geographic
disparities in accessing mental health services among children and youth, few
studies specifically focused on the continuation of mental health care. The
authors used administrative data from California county mental health services
users under age 25. Applying logistic regression, English language proficiency
was found to be the major determinant of continuation of mental health services
in this age group. With the exception of children of Asian descent, non-English
speaking children and youth of diverse racial/ethnic background were
significantly less likely to continue receiving mental health services compared
with White English-speaking peers, even after controlling for sociodemographic,
clinical and county characteristics.
PMID- 22076315
TI - Discrepancy in diagnosis and treatment of post-traumatic stress disorder (PTSD):
treatment for the wrong reason.
AB - In primary care (PC), patients with post-traumatic stress disorder (PTSD) are
often undiagnosed. To determine variables associated with treatment, this cross
sectional study assessed 592 adult patients for PTSD. Electronic medical record
(EMR) review of the prior 12 months assessed mental health (MH) diagnoses and MH
treatments [selective serotonin reuptake inhibitor (SSRI) and/or >=1 visit with
MH professional]. Of 133 adults with PTSD, half (49%; 66/133) received an SSRI
(18%), a visit with MH professional (14%), or both (17%). Of those treated, 88%
(58/66) had an EMR MH diagnosis, the majority (71%; 47/66) depression and (18%;
12/66) PTSD. The odds of receiving MH treatment were increased 8.2 times (95% CI
3.1-21.5) for patients with an EMR MH diagnosis. Nearly 50% of patients with PTSD
received MH treatment, yet few had this diagnosis documented. Treatment was
likely due to overlap in the management of PTSD and other mental illnesses.
PMID- 22076316
TI - Adult cerebellar glioblastoma cases have different characteristics from
supratentorial glioblastoma.
AB - This study is a histological and clinical investigation of four cases of
cerebellar glioblastoma, a rare tumor. The cases included three males and one
female, from 33 to 67 years in age (mean 49 years). Tumor resection,
postoperative irradiation and chemotherapy were performed in all cases. Two
patients died of local tumor recurrence after 14 and 27 months. Another patient
relapsed after 10 months; however, after additional tumor resection and second
line chemotherapy, she remains disease-free 41 months after the initial
treatment. The fourth patient has not relapsed in the 6 months since initial
treatment. The histopathology of all cases was glioblastoma with pseudopalisading
necrosis. However, low-grade glioma histopathology was found in three patients.
All glioblastomas were immunopositive for p53 and immunonegative for epidermal
growth factor receptor (EGFR) and isocitrate dehydrogenase 1 (IDH1). These adult
cerebellar glioblastoma cases had similar clinical and pathological
characteristics, and had different characteristics compared with supratentorial
glioblastomas.
PMID- 22076318
TI - Expression of ERalpha, its ERalphaDelta3 Splice Variant and gamma-SYNUCLEIN in
Ovarian Cancer: A Pilot Study.
AB - AIMS: Ovarian cancer has the highest mortality of any gynaecological malignancy;
this is due to rapid peritoneal spread of tumour cells and neovascularization.
Understanding the mechanisms underlying this is critical to developing early
diagnostic or treatment strategies. We devised a pilot study to examine the role
of gamma-SYNUCLEIN (gamma-SYN), oestrogen receptor (ER)alpha, and the splice
variant ERalphaDelta3. METHODOLOGY: With ethical approval, ovarian tissue was
collected from patients (n=24) undergoing oopherectomy for non-ovarian pathology
or primary surgery for suspected ovarian cancer. Quantitative gene expression
analysis was employed for gamma-SYN, ERalpha, and ERalphaDelta3. To identify the
in situ localization, immunofluorescence for gamma-syn was carried out. RESULTS:
Ovarian tumour tissue exhibited an elevated expression of gamma-SYN and high
grade tumours had an elevated ERalphaDelta3:ERalpha ratio compared with benign
tissue. The majority of previous studies point to the gamma-syn protein being
present in epithelial cells of high-grade disease. Our study supports this, but
additionally we conclusively identify its presence in the endothelial cells of
vasculature surrounding low-grade disease; immunofluorescence was strongest in
the apical cells surrounding the lumen. CONCLUSION: Our results demonstrate for
the first time that there are readily-expressed levels of gamma-SYN and
ERalphaDelta3 in normal ovarian tissue and ovarian tumours. In high-grade
disease, gamma-syn and an elevated ERalphaDelta3:ERalpha ratio might confer
metastatic potential to the tumourigenic cells and promote neoangiogenesis.
Future in vitro studies might be necessary to delineate such a mechanism, which
could potentially be the basis of early intervention.
PMID- 22076317
TI - The added value of quantitative multi-voxel MR spectroscopy in breast magnetic
resonance imaging.
AB - OBJECTIVE: To determine whether quantitative multivoxel MRS improves the accuracy
of MRI in the assessment of breast lesions. METHODS: Twenty-five consecutive
patients with 26 breast lesions >= 1 cm assessed as BI-RADS 3 or 4 with
mammography underwent quantitative multivoxel MRS and contrast-enhanced MRI. The
choline (Cho) concentration was calculated using the unsuppressed water signal as
a concentration reference. ROC analysis established the diagnostic accuracy of
MRI and MRS in the assessment of breast lesions. RESULTS: Respective Cho
concentrations in 26 breast lesions re-classified by MRI as BI-RADS 2 (n = 5), 3
(n = 8), 4 (n = 5) and 5 (n = 8) were 1.16 +/- 0.43 (mean +/- SD), 1.43 +/- 0.47,
2.98 +/- 2.15 and 4.94 +/- 3.10 mM. Two BI-RADS 3 lesions and all BI-RADS 4 and 5
lesions were malignant on histopathology and had Cho concentrations between 1.7
and 11.8 mM (4.03 +/- 2.72 SD), which were significantly higher (P = 0.01) than
that in the 11 benign lesions (0.4-1.5 mM; 1.19 +/- 0.33 SD). Furthermore, Cho
concentrations in the benign and malignant breast lesions in BI-RADS 3 category
differed (P = 0.01). The accuracy of combined multivoxel MRS/breast MRI BI-RADS
re-classification (AUC = 1.00) exceeded that of MRI alone (AUC = 0.96 +/- 0.03).
CONCLUSIONS: These preliminary data indicate that multivoxel MRS improves the
accuracy of MRI when using a Cho concentration cut-off <= 1.5 mM for benign
lesions. KEY POINTS: Quantitative multivoxel MR spectroscopy can improve the
accuracy of contrast-enhanced breast MRI. Multivoxel-MRS can differentiate breast
lesions by using the highest Cho-concentration. Multivoxel-MRS can exclude
patients with benign breast lesions from further invasive diagnostic procedures.
PMID- 22076319
TI - Beyond the drive to satisfy needs: in the context of health care.
AB - In the context of health care the aim of the article is to bring another meaning
to the concept "need" that goes beyond the human activity; the drive to satisfy
needs. Another meaning incorporates an ethical and existential nature of life
phenomena. An example from empirical research on living with a chronic disease as
seen from the patient's point of view provides the basis for arguing another
meaning of the concept "need". The meanings and nuances in the life phenomena of
hope, doubt and life courage are exemplified in qualitative interviews with
chronic sufferers. A combination of empirical research and Danish life
philosophy. Research has shown that the interaction between the professional
health care provider and the patient and family may lead to a more or less
unconscious and inappropriate administration of power. Research also indicates
that by overlooking or ignoring the existential qualities in human life and
suffering, the professional health care provider may deprive the patient and
family of their room for action. To add a deeper understanding of the existential
meaning of being a person with an illness, the article shows the different human
dimensions concerning life phenomena and needs. Developing sensitive, situation
specific attention offers a response to the challenge faced by health care
providers in collaboration with the patient: How can we open our eyes to the most
significant features of the situation which arise on the onset of illness.
PMID- 22076320
TI - Pathophysiology of rosacea: introduction.
PMID- 22076322
TI - Rosacea as a disease of cathelicidins and skin innate immunity.
AB - Rosacea is a common and chronic inflammatory skin disease most frequently seen in
groups of genetically related individuals. Although the symptoms of rosacea are
heterogeneous, they are all related by the presence of characteristic facial or
ocular inflammation involving both the vascular and tissue stroma. Until
recently, the pathophysiology of this disease was limited to descriptions of a
wide variety of factors that exacerbate or improve disease. Recent molecular
studies show a common link between the triggers of rosacea and the cellular
response, and these observations suggest that an altered innate immune response
is involved in disease pathogenesis. Understanding rosacea as a disorder of
innate immunity explains the benefits of current treatments and suggests new
therapeutic strategies for alleviating this disease.
PMID- 22076321
TI - Clinical, cellular, and molecular aspects in the pathophysiology of rosacea.
AB - Rosacea is a chronic inflammatory skin disease of unknown etiology. Although
described centuries ago, the pathophysiology of this disease is still poorly
understood. Epidemiological studies indicate a genetic component, but a rosacea
gene has not been identified yet. Four subtypes and several variants of rosacea
have been described. It is still unclear whether these subtypes represent a
"developmental march" of different stages or are merely part of a syndrome that
develops independently but overlaps clinically. Clinical and histopathological
characteristics of rosacea make it a fascinating "human disease model" for
learning about the connection between the cutaneous vascular, nervous, and immune
systems. Innate immune mechanisms and dysregulation of the neurovascular system
are involved in rosacea initiation and perpetuation, although the complex network
of primary induction and secondary reaction of neuroimmune communication is still
unclear. Later, rosacea may result in fibrotic facial changes, suggesting a
strong connection between chronic inflammatory processes and skin fibrosis
development. This review highlights recent molecular (gene array) and cellular
findings and aims to integrate the different body defense mechanisms into a
modern concept of rosacea pathophysiology.
PMID- 22076323
TI - Epidermal proteases in the pathogenesis of rosacea.
AB - A number of different proteases and their inhibitors have a role in skin
physiology and in the pathophysiology of inflammatory skin diseases. Proteases
are important in the desquamation process and orderly regulation of the skin's
barrier function. On the basis of the catalytic domain, proteases are classified
into aspartate-, cysteine-, glutamate-, metallo-, serine-, and threonine
proteases. Particularly, serine proteases (SPs) contribute to epidermal
permeability barrier homeostasis, as acute barrier disruption increases SP
activity in skin and inhibition by topical SP inhibitors accelerated recovery of
barrier function after acute abrogation. In rosacea, increased levels of the
vasoactive and inflammatory host-defense peptide cathelicidin LL-37 and its
proteolytic peptide fragments were found, which were explained by an abnormal
production of tryptic activity originating from kallikrein-related peptidase
(KLK) 5. It is therefore possible that also other proteases, even from microbial
or parasite origin, have a role in rosacea by forming alternate angiogenic and
proinflammatory cathelicidin peptides. Further, the regulation of protease
activity, in particular KLK-5 activity, might have a role in rosacea. This review
briefly summarizes our current knowledge about keratinocyte-derived proteases and
protease inhibitors, which might have a role in the pathophysiology of rosacea.
PMID- 22076324
TI - The cutaneous vascular system in chronic skin inflammation.
AB - The blood and lymphatic vasculature have an important role in skin homeostasis.
Angiogenesis and lymphangiogenesis-the growth of new vessels from existing ones
have received tremendous interest because of their role in promoting cancer
spread. However, there is increasing evidence that both vessel types also have a
major role in acute and chronic inflammatory disorders. Vessels change their
phenotype during inflammation (vascular remodeling). In inflamed skin, vascular
remodeling consists of a hyperpermeable, enlarged network of vessels with
increased blood flow, and influx of inflammatory cells. During chronic
inflammation, the activated endothelium expresses adhesion molecules, cytokines,
and other molecules that lead to leukocyte rolling, attachment, and migration
into the skin. Recent studies reveal that inhibition of blood vessel activation
exerts potent anti-inflammatory properties. Thus, anti-angiogenic drugs might be
used to treat inflammatory conditions. In particular, topical application of anti
angiogenic drugs might be ideally suited to circumvent the adverse effects of
systemic therapy with angiogenesis inhibitors. Our recent results indicate that
stimulation of lymphatic vessel growth and function unexpectedly represents a new
approach for treating chronic inflammatory disorders.
PMID- 22076325
TI - Neurovascular aspects of skin neurogenic inflammation.
AB - Neurogenic inflammation is involved in skin inflammation. It is hypothesized that
it is involved in the pathogenesis of the common chronic cutaneous vascular
disorder rosacea, but the exact mechanism of action is currently unknown.
Transient receptor potential vanilloid 1 (TRPV1) and ankyrin 1 (TRPA1) are widely
expressed on primary sensory neuron endings and non-neuronal cells such as
keratinocytes. Here we describe the potential for TRPV1 and TRPA1 receptors to be
involved in the pathophysiology of rosacea due to their polymodal activation,
including cold and hot temperature, pungent products from vegetable and spices,
reactive oxygen species, and mechanical stimuli. We discuss the role of both
receptors and the sensory neuropeptides that they release in inflammation and
pain sensation and evidence suggesting that both TRPV1 and TRPA1 receptors may be
promising therapeutic targets for the treatment of the inflammatory symptoms of
rosacea.
PMID- 22076327
TI - The role of chronic inflammation in cutaneous fibrosis: fibroblast growth factor
receptor deficiency in keratinocytes as an example.
AB - Fibrosis is associated with a variety of skin diseases and causes severe
aesthetic and functional impairments. Functional studies in rodents, together
with clinical observations, strongly suggest a crucial role of chronic injury and
inflammation in the pathogenesis of fibrotic diseases. The phenotype of mice
lacking fibroblast growth factor (FGF) receptors 1 and 2 in keratinocytes
supports this concept. In these mice, a defect in keratinocytes alone initiated
an inflammatory response, which in turn caused keratinocyte hyperproliferation
and dermal fibrosis. As the mechanism underlying this phenotype, we identified a
loss of FGF-induced expression of claudins and occludin, which caused
abnormalities in tight junctions with concomitant deficits in epidermal barrier
function. This resulted in severe transepidermal water loss and skin dryness. In
turn, activation of keratinocytes and epidermal gammadelta T cells occurred,
which produced IL-1 family member 8 and S100A8 and S100A9. These cytokines
attracted immune cells and activated fibroblasts, resulting in a double paracrine
loop through production of keratinocyte mitogens by dermal cells. In addition, a
profibrotic response was induced in fibroblasts. Our results highlight the
importance of an intact epidermal barrier for the prevention of inflammation and
fibrosis and the role of chronic inflammation in the pathogenesis of fibrotic
diseases.
PMID- 22076326
TI - Rosacea: The cytokine and chemokine network.
AB - Rosacea is one of the most common dermatoses of adults. Recent studies have
improved our understanding of the pathophysiology of rosacea. Current concepts
suggest that known clinical trigger factors of rosacea such as UV radiation,
heat, cold, stress, spicy food, and microbes modulate Toll-like receptor
signaling, induce reactive oxygen species, as well as enhance antimicrobial
peptide and neuropeptide production. Downstream of these events cytokines and
chemokines orchestrate an inflammatory response that leads to the recruitment and
activation of distinct leukocyte subsets and induces the characteristic
histopathological features of rosacea. Here we summarize the current knowledge of
the cytokine and chemokine network in rosacea and propose pathways that may be of
therapeutic interest.
PMID- 22076328
TI - Neurovascular and neuroimmune aspects in the pathophysiology of rosacea.
AB - Rosacea is a common skin disease with a high impact on quality of life.
Characterized by erythema, edema, burning pain, immune infiltration, and facial
skin fibrosis, rosacea has all the characteristics of neurogenic inflammation, a
condition induced by sensory nerves via antidromically released neuromediators.
To investigate the hypothesis of a central role of neural interactions in the
pathophysiology, we analyzed molecular and morphological characteristics in the
different subtypes of rosacea by immunohistochemistry, double immunofluorescence,
morphometry, real-time PCR, and gene array analysis, and compared the findings
with those for lupus erythematosus or healthy skin. Our results showed
significantly dilated blood and lymphatic vessels. Signs of angiogenesis were
only evident in phymatous rosacea. The number of mast cells and fibroblasts was
increased in rosacea, already in subtypes in which fibrosis is not clinically
apparent, indicating early activation. Sensory nerves were closely associated
with blood vessels and mast cells, and were increased in erythematous rosacea.
Gene array studies and qRT-PCR confirmed upregulation of genes involved in
vasoregulation and neurogenic inflammation. Thus, dysregulation of mediators and
receptors implicated in neurovascular and neuroimmune communication may be
crucial at early stages of rosacea. Drugs that function on neurovascular and/or
neuroimmune communication may be beneficial for the treatment of rosacea.
PMID- 22076329
TI - Magnetic manipulation and spatial patterning of multi-cellular stem cell
aggregates.
AB - The controlled assembly and organization of multi-cellular systems to mimic
complex tissue structures is critical to the engineering of tissues for
therapeutic and diagnostic applications. Recent advances in micro-scale
technologies to control multi-cellular aggregate formation typically require
chemical modification of the interface between cells and materials and lack multi
scale flexibility. Here we demonstrate that simple physical entrapment of
magnetic microparticles within the extracellular space of stem cells spheroids
during initial formation enables scaffold-free immobilization, translocation and
directed assembly of multi-cellular aggregates across multiple length and time
scales, even under dynamic suspension culture conditions. The response of
aggregates to externally applied magnetic fields was a direct function of
microparticle incorporation, allowing for rapid and transient control of the
extracellular environment as well as separation of heterogeneous populations. In
addition, spatial patterning of heterogeneous spheroid populations as well as
individual multi-cellular aggregates was readily achieved by imposing temporary
magnetic fields. Overall, this approach provides novel routes to examine stem
cell differentiation and tissue morphogenesis with applications that encompass
the creation of new model systems for developmental biology, scaffold-free tissue
engineering strategies and scalable bioprocessing technologies.
PMID- 22076330
TI - Enhanced mitophagy in Sertoli cells of ethanol-treated rats: morphological
evidence and clinical relevance.
AB - Although chronic ethanol consumption results in Sertoli cell vacuolization and
augmented testicular germ cell apoptosis via death receptor and mitochondrial
pathways, Sertoli cells are resistant to apoptosis. The aim of this study was to
examine whether the activation of autophagy in the Sertoli cells of ethanol
treated rats (ETR) may have a role in their survival. Adult Wistar rats were fed
either 5% ethanol in Lieber-DeCarli liquid diet or an isocaloric control diet for
12 weeks. The TUNEL method demonstrated that Sertoli cells were always TUNEL
negative despite the presence of many apoptotic germ cells in ETR, supporting our
previous studies. Electron microscopy revealed the presence of large numbers of
autophagic vacuoles (AVs) in Sertoli cells of ETR compared to few AVs in control
testes. Most of the AVs in Sertoli cells of ETR enveloped and sequestered damaged
and abnormally shaped mitochondria, without cytoplasm, indicating mitochondrial
autophagy (mitophagy). Immuno-electron microscopy showed the localization of LC3,
a specific marker of early AVs (autophagosomes), around AVs sequestering
mitochondria in Sertoli cells of ETR. Immunohistochemical staining of LC3
demonstrated a punctate pattern in Sertoli cells of ETR, confirming the formation
of autophagosomes, while LC3 puncta were almost absent in control testes.
Moreover, increased immunoreactivity of LAMP-2, a lysosomal membrane protein and
marker of late AVs (autolysosomes), was mainly observed in Sertoli cells of ETR,
with weaker expression in control testes. Via the deletion of pro-apoptotic
damaged mitochondria, enhanced Sertoli cell mitophagy in ETR may be an anti
apoptotic mechanism that is essential for spermatogenesis.
PMID- 22076331
TI - Sensitive electrochemical detection of the hydroxyl radical using enzyme
catalyzed redox cycling.
AB - Enzyme-catalyzed signal amplification was introduced to the electrochemical
detection of the OH radical. In the presence of phenol as a trapping agent,
glucose as a substrate, and pyrroloquinoline quinone-containing glucose
dehydrogenase (PQQ-GDH) as a catalyst, the current signal for the trapping
adducts (catechol and hydroquinone) produced by the hydroxylation of phenol could
be amplified and detected sensitively. The limit of detection (S/N = 3) for
catechol was 8 nM. The trapping efficiency of phenol was also estimated.
PMID- 22076332
TI - Sequential injection immunoassay for environmental measurements.
AB - Sequential injection immunoassay systems for environmental measurements based on
the selective immunoreaction between antigen and antibody were described. A
sequential injection analysis (SIA) technique is suitable to be applied for the
procedure of enzyme-linked immunosorbent assay (ELISA), because the washing and
the addition of reagent solutions can be automated by using a computer-controlled
syringe pump and switching valve. We selected vitellogenin (Vg), which is a
biomarker for evaluating environmental risk caused by endocrine-disrupting
chemicals in the hydrosphere, and linear alkylbenzene sulfonates (LAS) and
alkylphenol polyethoxylates (APEO), which are versatile surfactants, as target
analytes in the flow immunoassay systems. For Vg monitoring, SIA systems based
on spectrophotometric, chemiluminescence, and electrochemical determinations were
constructed. On the other hand, chemiluminescence determination was applied to
the detection of LAS and APEO. For APEO, an SIA system combined with surface
plasmon resonance (SPR) sensor was also developed. These new sequential
injection immunoassay systems are expected to be useful systems for environmental
analysis.
PMID- 22076333
TI - UV Raman markers for structural analysis of aromatic side chains in proteins.
AB - UV Raman spectroscopy is a powerful tool for investigating the structures and
interactions of the aromatic side chains of Phe, Tyr, Trp, and His in proteins.
This is because Raman bands of aromatic ring vibrations are selectively enhanced
with UV excitation, and intensities and wavenumbers of Raman bands sensitively
reflect structures and interactions. Interpretation of protein Raman spectra is
greatly assisted by using empirical correlations between spectra and structure.
Many Raman bands of aromatic side chains have been proposed to be useful as
markers of structures and interactions on the basis of empirical correlations.
This article reviews the usefulness and limitations of the Raman markers for
protonation/deprotonation, conformation, metal coordination, environmental
polarity, hydrogen bonding, hydrophobic interaction, and cation-pi interaction of
the aromatic side chains. The utility of Raman markers is demonstrated through
an application to the structural analysis of a membrane-bound proton channel
protein.
PMID- 22076334
TI - Development of a simple vent-free interface for capillary gas chromatography-mass
spectrometry.
AB - A novel and simple interface for capillary gas chromatography-mass spectrometry
(GC-MS) was developed using a piece of deactivated stainless-steel tubing. This
interface eliminated the need to vent the MS ion source when changing columns.
Various chromatographic performance indicators, such as inertness, and thermal
and chemical stability, were confirmed to be unaffected by using this interface
at an elevated temperature of around 300 degrees C. The new interface should
facilitate the characterization of polymeric materials using analytical pyrolysis
techniques in which frequent switching is required in the measuring mode, such as
evolved gas analysis-MS and flash pyrolysis-GC-MS.
PMID- 22076335
TI - Analysis of bromate in drinking water using liquid chromatography-tandem mass
spectrometry without sample pretreatment.
AB - An analytical method for determining bromate in drinking water was developed
using liquid chromatography-tandem mass spectrometry (LC-MS/MS). The (18)O
enriched bromate was used as an internal standard. The limit of quantification
(LOQ) of bromate was 0.2 ug/L. The peak of bromate was separated from those of
coexisting ions (i.e., chloride, nitrate and sulfate). The relative and absolute
recoveries of bromate in two drinking water samples and in a synthesized ion
solution (100 mg/L chloride, 10 mg N/L nitrate, and 100 mg/L sulfate) were 99-105
and 94-105%, respectively. Bromate concentrations in 11 drinking water samples
determined by LC-MS/MS were <0.2-2.3 ug/L. The results of the present study
indicated that the proposed method was suitable for determining bromate
concentrations in drinking water without sample pretreatment.
PMID- 22076336
TI - Moment analysis of chromatographic behavior of superficially porous particles.
AB - Peak parking experiments were conducted to study the chromatographic behavior in
a RPLC system consisting of a column packed with superficially porous C(18)
particles and a mixture of methanol and water (70/30, v/v). The values of the
surface diffusion coefficient and the retention equilibrium constant of a column
packed with superficially porous C(18)-particles were comparable to those of
columns packed with a C(18)-silica monolith and full-porous C(18)-silica gel
particles. The flow-rate dependence of HETP was hypothetically calculated by
using moment equations to clarify the influence of the structural characteristics
on the chromatographic behavior. The column efficiency of a column packed with
the superficially porous particles is higher in the high flow-rate range than
that with full-porous spherical particles. This is attributed to the smaller
contribution of the intraparticulate mass transfer in the superficially porous
particles to band broadening. The moment equations are effective for the
quantitative analysis of chromatographic behavior of superficially porous
particles.
PMID- 22076337
TI - Sol-gel titania-coated needles for solid phase dynamic extraction-GC/MS analysis
of desomorphine and desocodeine.
AB - Novel sol-gel titania film coated needles for solid-phase dynamic extraction
(SPDE)-GC/MS analysis of desomorphine and desocodeine are described. The high
thermal stability of titania film permits efficient extraction and analysis of
poorly volatile opiate drugs. The influences of sol-gel reaction time, coating
layer, extraction and desorption time and temperature on the SPDE needle
performance were investigated. The deuterium labeled internal standard was
introduced either during the extraction of analyte or directly injected to GC
after the extraction process. The latter method was shown to be more sensitive
for the analysis of water and urine samples containing opiate drugs. The proposed
conditions provided a wide linear range (from 5-5000 ppb), and satisfactory
linearity, with R(2) values from 0.9958 to 0.9999, and prominent sensitivity,
LOQs (1.0-5.0 ng/g). The sol-gel titania film coated needle with SPDE-GC/MS will
be a promising technique for desomorphine and desocodeine analysis in urine.
PMID- 22076338
TI - Determination of isoliquiritigenin and its distribution in mice by synchronous
fluorescence spectrometry.
AB - The aim of the present work was to develop a new method using synchronous
fluorescence spectrometry (SFS) to determine the concentration of
isoliquiritigenin (ISL) in mouse blood and tissues, and to investigate ISL's
distribution among organs after an intraperitoneal (IP) dose of ISL. The
synchronous fluorescence method was optimized with the sample pH, stability,
metal ions, concentration of Al(3+), and surfactants. The proposed method was
used to determine the ISL concentration in mouse blood, brain, heart, kidney,
liver, spleen and lung after an IP injection of ISL. The optimal conditions for
the determination of ISL using SFS were found to be: excitation and emission
wavelengths of 469 and 557 nm, respectively; the use of 3% AlCl(3) as a
fluorescence intensity enhancer; measuring samples within 1 h of collection,
sample pH 7-8, isolation of samples from surfactants; and wavelength interval
(Deltalambda) = 70 nm. After IP injection, the distribution of ISL in mouse
organs was: liver > kidney > spleen > blood > lung > brain > heart. The blood
concentration of ISL peaked at 60 min; concentrations of ISL in liver, kidney and
spleen achieved maxima at 120 min. SFS provides a simple, but effective
analytical method that will benefit the study of in vivo biological effects of
ISL, including absorption, distribution, metabolism, and excretion.
PMID- 22076339
TI - Determination of dissolved oxygen in water based on its quenching effect on the
fluorescent intensity of bis(2,2'-bipyridine)-5-amino-1,10-phenanthroline
ruthenium complex.
AB - A water-soluble fluorescence dye, bis(2,2'-bipyridine)-5-amino-1,10
phenanthroline ruthenium complex (Ru(bpy)(2)(5-NH(2)-1,10-phen)), was synthesized
and used as a fluorescence probe for detecting dissolved oxygen in water. The
fluorescence intensity of the probe in different dissolved-oxygen concentrations
was investigated. The sensitivity of the probe was evaluated in terms of the
ratio I(N(2))/I(O(2)), where I(N(2)) and I(O(2)) correspond to the detected
fluorescence intensity of nitrogen and oxygen-saturated solutions, respectively.
The experimental results showed that the probe yielded a linear Stern-Volmer
plot, and had a I(N(2))/I(O(2)) ratio of about 5.2. The detection limit, defined
as three-times the standard deviation, was 8.6 * 10(-7) mol L(-1) after eleven
determinations of nitrogen-saturated blank solutions. Additionally, the probe
was pH-insensitive and ionic strength-independent with good characteristics of
practicality and selectivity.
PMID- 22076340
TI - Quantitation of surface-bound proteins on biochips using MALDI-TOF MS.
AB - We report on a novel method for the quantitation of proteins specifically bound
on a ligand-presenting biochip by matrix-assisted laser desorption/ionization
time-of-flight (MALDI-TOF) mass spectrometry (MS). The bound protein was
digested by trypsin, and the resulting peptide fragments were analyzed by MALDI
TOF MS in the presence of an isotope-labeled internal standard (IS). The IS has
the same sequence as a reference peptide (RP) of the target protein digest, but a
different molecular weight. The absolute amount of the specifically bound
protein on a biochip is then quantitated by comparison of mass intensities
between the RP and the IS. Because they have the same molecular milieu, the mass
intensities of these two analytes represent the real amounts of analytes on the
chip. As a model system, we tested glutathione s-transferase (GST) and a GST
fusion protein, which were captured on glutathione-presenting biochips. We
observed that the glutathione densities on biochips showed a good correlation
with the absolute quantity of the proteins. We believe that our method will
provide an alternative to currently existing tools for the absolute quantitation
of surface-bound proteins.
PMID- 22076341
TI - Sorption characteristics of caffeine onto untreated polyurethane foam:
application to its determination in human plasma.
AB - In the present paper, the sorption properties of caffeine (CAF) onto polyether
type polyurethane foam (PUF) as solid phase sorbent were investigated with UV
determination at 274.3 nm. Batch and column methods were used to optimize
chemical, flow, kinetic and isothermal conditions for preconcentration of CAF.
Results indicated quantitative sorption of CAF at pH 8 and 30 min shaking time.
The maximum sorption capacity was found to be 4.1 mg g(-1). Column
preconcentration was recommended at a flow rate of 1.5 mL min(-1) and desorption
with 4 mL from 0.15 mol L(-1) hydrochloric acid. The procedure provided a linear
analytical range of 0.05-30 mg L(-1). The detection and quantification limits are
0.016 and 0.047 mg L(-1), respectively. The procedure was applied to
determination of CAF in spiked human plasma. The obtained recoveries were 98-101%
and RSD values were from 0.05 to 9.5%.
PMID- 22076342
TI - Pressure-induced structural and hydration changes of proteins in aqueous
solutions.
AB - The effects of elevated hydrostatic pressure on four representative proteins,
lysozyme, human serum albumin, ubiquitin and RNase A, were investigated by using
Fourier transform infrared (FTIR) spectroscopy, by principal component analysis
(PCA) and by moving-window two-dimensional (MW2D) correlation analysis. In
addition, we revealed the pressure-induced changes of secondary structure
elements using curve fitting. With pressure increase, the amide I band shifted
to lower wavenumbers, with a transition at 200 MPa, which was indicative of
hydration enhancement. Moreover, the pressure-induced behavior of pure water was
studied, similar transition pressure was observed with protein in aqueous
solution, suggesting that structure change of water around 200 MPa caused a
hydration enhancement of protein. Under pressure higher than 200 MPa, the
structural changes of the four proteins were obviously different except for the
common features shifting to lower wavenumbers with pressure, basically due to the
distinct structural differences among them.
PMID- 22076343
TI - Sensitivity variation for H, B, Si, Cl, Ca and Cd in solid materials by prompt
gamma-ray analysis with a special emphasis on the presence of hydrogen.
AB - The effects of neutron scattering by matrix hydrogen in geological samples were
examined in order to accurately determine their chemical compositions by neutron
induced prompt gamma-ray analysis (PGA). Three different matrix materials
including basaltic reference rock sample (JB-2) mixed with chemical reagents
including H-containing ones were analyzed by using thermal and/or cold neutron
guided beams of JRR-3 at Japan Atomic Energy Agency. The sensitivity change of
elements with the variation of the H concentrations was evaluated for disk and
spherical target geometries. The results show that the analytical sensitivities
of B, Cl and Cd in disk samples seem to increase with increasing the matrix H
concentrations by the irradiation of both thermal and cold-neutron beams. The
sensitivity enhancement of B for disk-shaped JB-2 mixed with up to 2% mass H is
within the analytical uncertainty associated with PGA with a thermal-neutron
beam.
PMID- 22076344
TI - Development of a certified reference material (NMIJ CRM 7505-a) for the
determination of trace elements in tea leaves.
AB - A certified reference material (CRM) for trace elements in tea leaves has been
developed in National Metrology Institute of Japan (NMIJ). The CRM was provided
as a dry powder (<90 um) after frozen pulverization of washed and dried fresh tea
leaves from a tea plant farm in Shizuoka Prefecture, Japan. Characterization of
the property value for each element was carried out exclusively by NMIJ with at
least two independent analytical methods, including inductively coupled plasma
mass spectrometry (ICP-MS), high-resolution (HR-) ICP-MS, isotope-dilution (ID-)
ICP-MS, inductively coupled plasma optical emission spectrometry (ICP-OES),
graphite-furnace atomic-absorption spectrometry (GF-AAS) and flame atomic
absorption spectrometry (FAAS). Property values were provided for 19 elements
(Ca, K, Mg, P, Al, B, Ba, Cd, Cu, Fe, Li, Mn, Na, Ni, Pb, Rb, Sr, Zn and Co) and
informative values for 18 elements (Ti, V, Cr, Y, and all of the lanthanides,
except for Pm whose isotopes are exclusively radioactive). The concentration
ranges of property values and informative values were from 1.59% (mass) of K to
0.0139 mg kg(-1) of Cd and from 0.6 mg kg(-1) of Ti to 0.0014 mg kg(-1) of Lu,
respectively. Combined relatively standard uncertainties of the property values
were estimated by considering the uncertainties of the homogeneity, analytical
methods, characterization, calibration standard, and dry-mass correction factor.
The range of the relative combined standard uncertainties was from 1.5% of Mg and
K to 4.1% of Cd.
PMID- 22076345
TI - Voltammetric evaluation on poly alpha-aspartic acid-zinc ion complex in the helix
coil transition pH region.
AB - Helix-coil transitions of poly alpha-aspartic acid (PASP) were studied by dc
polarography in the presence of Zn(2+) as a marker attached to the polymer. The
diffusion current (i(d)) of Zn(2+) declined markedly in the pH range of 3.5-7.4
due to a formation of metal ion-PASP macromolecular complexes. The complex
formation also reflects on an increase of the magnitude at ca. 222 nm of CD
spectrum, suggesting that PASP forms the helix structure by coordination of
Zn(2+) in the corresponding pH region. Helix content, determined by the decrease
in i(d) of Zn(2+), corresponds favorably to that by CD measurements. In the lower
acidic pH region, the coordination mode of Zn(2+) to PASP is different from that
at neutral pH region. The decrease in i(d) of Zn(2+) is independent of the
further formation of helix structure. Zn(2+) coordinates with sparsely
dissociated carboxylate groups of the helical part of PASP, which bring about an
aggregation of polypeptide strands. The diffusion current of the ion attached to
the polymer, therefore, is a parameter sensitive to conformational changes of
PASP from acidic through neutral pH region.
PMID- 22076346
TI - Rethinking the science system.
PMID- 22076351
TI - Environmental science. China to spend billions cleaning up groundwater.
PMID- 22076353
TI - Atmospheric science. NSF to turn tank killer into storm chaser.
PMID- 22076352
TI - Sustainability. Seafood eco-label grapples with challenge of proving its impact.
PMID- 22076354
TI - Newsmaker interview: Henry Markram. Blue Brain founder responds to critics,
clarifies his goals. Interview by Greg Miller.
PMID- 22076355
TI - U.S. science and austerity. Fewer dollars, forced choices.
PMID- 22076356
TI - U.S. science and austerity. Setting priorities has never been fun.
PMID- 22076357
TI - U.S. science and austerity. Darwinism vs. social engineering at NIH.
PMID- 22076358
TI - U.S. science and austerity. Commitments, ideology clash over DOE research
spending.
PMID- 22076359
TI - U.S. science and austerity. NSF goes back to basics to preserve basic research.
PMID- 22076360
TI - U.S. science and austerity. A difficult view from space for NOAA.
PMID- 22076361
TI - U.S. science and austerity. Will tight budgets sink NASA flagships?
PMID- 22076362
TI - Competencies: a cure for pre-med curriculum.
PMID- 22076363
TI - Drawing attention to diagram use.
PMID- 22076365
TI - Climate change. Is weather event attribution necessary for adaptation funding?
PMID- 22076366
TI - Evolution. Living fossil younger than thought.
PMID- 22076367
TI - Materials science. Toward high-throughput zeolite membranes.
PMID- 22076368
TI - Cell biology. Anatomy of prostaglandin signals.
PMID- 22076369
TI - Cell biology. Pyro-technic control of metabolism.
PMID- 22076370
TI - Ecology. Mosquito trials.
PMID- 22076371
TI - Direct observation of molecular preorganization for chirality transfer on a
catalyst surface.
AB - The chemisorption of specific optically active compounds on metal surfaces can
create catalytically active chirality transfer sites. However, the mechanism
through which these sites bias the stereoselectivity of reactions (typically
hydrogenations) is generally assumed to be so complex that continued progress in
the area is uncertain. We show that the investigation of heterogeneous asymmetric
induction with single-site resolution sufficient to distinguish stereochemical
conformations at the submolecular level is finally accessible. A combination of
scanning tunneling microscopy and density functional theory calculations reveals
the stereodirecting forces governing preorganization into precise chiral modifier
substrate bimolecular surface complexes. The study shows that the chiral modifier
induces prochiral switching on the surface and that different prochiral ratios
prevail at different submolecular binding sites on the modifier at the reaction
temperature.
PMID- 22076373
TI - Forecasting fire season severity in South America using sea surface temperature
anomalies.
AB - Fires in South America cause forest degradation and contribute to carbon
emissions associated with land use change. We investigated the relationship
between year-to-year changes in fire activity in South America and sea surface
temperatures. We found that the Oceanic Nino Index was correlated with
interannual fire activity in the eastern Amazon, whereas the Atlantic
Multidecadal Oscillation index was more closely linked with fires in the southern
and southwestern Amazon. Combining these two climate indices, we developed an
empirical model to forecast regional fire season severity with lead times of 3 to
5 months. Our approach may contribute to the development of an early warning
system for anticipating the vulnerability of Amazon forests to fires, thus
enabling more effective management with benefits for climate and air quality.
PMID- 22076372
TI - N2reduction and hydrogenation to ammonia by a molecular iron-potassium complex.
AB - The most common catalyst in the Haber-Bosch process for the hydrogenation of
dinitrogen (N(2)) to ammonia (NH(3)) is an iron surface promoted with potassium
cations (K(+)), but soluble iron complexes have neither reduced the N-N bond of
N(2) to nitride (N(3-)) nor produced large amounts of NH(3) from N(2). We report
a molecular iron complex that reacts with N(2) and a potassium reductant to give
a complex with two nitrides, which are bound to iron and potassium cations. The
product has a Fe(3)N(2) core, implying that three iron atoms cooperate to break
the N-N triple bond through a six-electron reduction. The nitride complex reacts
with acid and with H(2) to give substantial yields of N(2)-derived ammonia. These
reactions, although not yet catalytic, give structural and spectroscopic insight
into N(2) cleavage and N-H bond-forming reactions of iron.
PMID- 22076374
TI - Phase transition of FeO and stratification in Earth's outer core.
AB - Light elements such as oxygen in Earth's core influence the physical properties
of the iron alloys that exist in this region. Describing the high-pressure
behavior of these materials at core conditions constrains models of core
structure and dynamics. From x-ray diffraction measurements of iron monoxide
(FeO) at high pressure and temperature, we show that sodium chloride (NaCl)-type
(B1) FeO transforms to a cesium chloride (CsCl)-type (B2) phase above 240
gigapascals at 4000 kelvin with 2% density increase. The oxygen-bearing liquid in
the middle of the outer core therefore has a modified Fe-O bonding environment
that, according to our numerical simulations, suppresses convection. The phase
induced stratification is seismologically invisible but strongly affects the
geodynamo.
PMID- 22076375
TI - Aerosol indirect effect on biogeochemical cycles and climate.
AB - The net effect of anthropogenic aerosols on climate is usually considered the sum
of the direct radiative effect of anthropogenic aerosols, plus the indirect
effect of these aerosols through aerosol-cloud interactions. However, an
additional impact of aerosols on a longer time scale is their indirect effect on
climate through biogeochemical feedbacks, largely due to changes in the
atmospheric concentration of CO(2). Aerosols can affect land and ocean
biogeochemical cycles by physical forcing or by adding nutrients and pollutants
to ecosystems. The net biogeochemical effect of aerosols is estimated to be
equivalent to a radiative forcing of -0.5 +/- 0.4 watts per square meter, which
suggests that reaching lower carbon targets will be even costlier than previously
estimated.
PMID- 22076376
TI - Global DNA demethylation during mouse erythropoiesis in vivo.
AB - In the mammalian genome, 5'-CpG-3' dinucleotides are frequently methylated,
correlating with transcriptional silencing. Genome-wide demethylation is thought
to occur only twice during development, in primordial germ cells and in the pre
implantation embryo. These demethylation events are followed by de novo
methylation, setting up a pattern inherited throughout development and modified
only at tissue-specific loci. We studied DNA methylation in differentiating mouse
erythroblasts in vivo by using genomic-scale reduced representation bisulfite
sequencing (RRBS). Demethylation at the erythroid-specific beta-globin locus was
coincident with global DNA demethylation at most genomic elements. Global
demethylation was continuous throughout differentiation and required rapid DNA
replication. Hence, DNA demethylation can occur globally during somatic cell
differentiation, providing an experimental model for its study in development and
disease.
PMID- 22076377
TI - Influence of inositol pyrophosphates on cellular energy dynamics.
AB - With its high-energy phosphate bonds, adenosine triphosphate (ATP) is the main
intracellular energy carrier. It also functions in most signaling pathways, as a
phosphate donor or a precursor for cyclic adenosine monophosphate. We show here
that inositol pyrophosphates participate in the control of intracellular ATP
concentration. Yeasts devoid of inositol pyrophosphates have dysfunctional
mitochondria but, paradoxically, contain four times as much ATP because of
increased glycolysis. We demonstrate that inositol pyrophosphates control the
activity of the major glycolytic transcription factor GCR1. Thus, inositol
pyrophosphates regulate ATP concentration by altering the
glycolytic/mitochondrial metabolic ratio. Metabolic reprogramming through
inositol pyrophosphates is an evolutionary conserved mechanism that is also
preserved in mammalian systems.
PMID- 22076378
TI - Sirt5 is a NAD-dependent protein lysine demalonylase and desuccinylase.
AB - Silent information regulator 2 (Sir2) proteins (sirtuins) are nicotinamide
adenine dinucleotide-dependent deacetylases that regulate important biological
processes. Mammals have seven sirtuins, Sirt1 to Sirt7. Four of them (Sirt4 to
Sirt7) have no detectable or very weak deacetylase activity. We found that Sirt5
is an efficient protein lysine desuccinylase and demalonylase in vitro. The
preference for succinyl and malonyl groups was explained by the presence of an
arginine residue (Arg(105)) and tyrosine residue (Tyr(102)) in the acyl pocket of
Sirt5. Several mammalian proteins were identified with mass spectrometry to have
succinyl or malonyl lysine modifications. Deletion of Sirt5 in mice appeared to
increase the level of succinylation on carbamoyl phosphate synthase 1, which is a
known target of Sirt5. Thus, protein lysine succinylation may represent a
posttranslational modification that can be reversed by Sirt5 in vivo.
PMID- 22076379
TI - tRNAs marked with CCACCA are targeted for degradation.
AB - The CCA-adding enzyme [ATP(CTP):tRNA nucleotidyltransferase] adds CCA to the 3'
ends of transfer RNAs (tRNAs), a critical step in tRNA biogenesis that generates
the amino acid attachment site. We found that the CCA-adding enzyme plays a key
role in tRNA quality control by selectively marking structurally unstable tRNAs
and tRNA-like small RNAs for degradation. Instead of adding CCA to the 3' ends of
these transcripts, CCA-adding enzymes from all three kingdoms of life add CCACCA.
In addition, hypomodified mature tRNAs are subjected to CCACCA addition as part
of a rapid tRNA decay pathway in vivo. We conjecture that CCACCA addition is a
universal mechanism for controlling tRNA levels and preventing errors in
translation.
PMID- 22076381
TI - Attention but not awareness modulates the BOLD signal in the human V1 during
binocular suppression.
AB - Although recent psychophysical studies indicate that visual awareness and top
down attention are two distinct processes, it is not clear how they are neurally
dissociated in the visual system. Using a two-by-two factorial functional
magnetic resonance imaging design with binocular suppression, we found that the
visibility or invisibility of a visual target led to only nonsignificant blood
oxygenation level-dependent (BOLD) effects in the human primary visual cortex
(V1). Directing attention toward and away from the target had much larger and
robust effects across all study participants. The difference in the lower-level
limit of BOLD activation between attention and awareness illustrates dissociated
neural correlates of the two processes. Our results agree with previously
reported V1 BOLD effects on attention, while they invite a reconsideration of the
functional role of V1 in visual awareness.
PMID- 22076380
TI - A Burkholderia pseudomallei toxin inhibits helicase activity of translation
factor eIF4A.
AB - The structure of BPSL1549, a protein of unknown function from Burkholderia
pseudomallei, reveals a similarity to Escherichia coli cytotoxic necrotizing
factor 1. We found that BPSL1549 acted as a potent cytotoxin against eukaryotic
cells and was lethal when administered to mice. Expression levels of bpsl1549
correlate with conditions expected to promote or suppress pathogenicity. BPSL1549
promotes deamidation of glutamine-339 of the translation initiation factor eIF4A,
abolishing its helicase activity and inhibiting translation. We propose to name
BPSL1549 Burkholderia lethal factor 1.
PMID- 22076382
TI - Survivin deficiency induces apoptosis and cell cycle arrest in HepG2
hepatocellular carcinoma cells.
AB - The postulated dual roles of survivin as an anti-apoptotic factor and a mitotic
inducer have placed this factor in the spotlight of cancer research. The purpose
of this study was to investigate whether survivin might connect the cell cycle
with apoptosis. Here, by simultaneously monitoring survivin deficiency-induced
morphological changes of HepG2 cells using time-lapse imaging as well as
determining apoptosis progression, we observed synchronized defective mitosis
characterized by multinucleated and polyploid cells and cell cycle arrest at S
phase or G2/M phase followed by apoptosis, the processes of which depended on the
simultaneous destruction of specialized subcellular compartments of survivin and
activation of caspase-3-like protease. These findings showed that the survivin
protein acted as mitotic regulator and apoptosis inhibitor, but may also possess
the role of a bridge in integrating apoptosis and cell division. An essential
prerequisite of this pathway was the specialized subcellular localization of
survivin. The overexpression of survivin was required to maintain cell viability
and proper cell cycle transitions, and to preserve genetic fidelity during cell
division in HepG2 cells.
PMID- 22076383
TI - Surface acoustic wave induced particle manipulation in a PDMS channel--principle
concepts for continuous flow applications.
AB - A device for acoustic particle manipulation in the 40 MHz range for continuous
flow operation in a 50 MUm wide PDMS channel has been evaluated. Unidirectional
interdigital transducers on a Y-cut Z-propagation lithium nixobate wafer were
used to excite a surface acoustic wave that generated an acoustic standing wave
inside the microfluidic channel. It was shown that particle alignment nodes with
different inter-node spacing could be obtained, depending on device design and
driving frequency. The observed inter-node spacing differed from the standard
half-wavelength inter-node spacing generally employed in bulk acoustic transducer
excited resonant systems. This effect and the related issue of acoustic node
positions relative the channel walls, which is fundamental for most continuous
flow particle manipulation operations in channels, was evaluated in measurements
and simulations. Specific applications of particle separation and alignment where
these systems can offer benefits relative state-of the art designs were
identified.
PMID- 22076385
TI - Uric acid level and its association with carotid intima-media thickness in
patients with cardiac syndrome X.
AB - OBJECTIVE: The aim of our study was to evaluate serum uric acid level and its
relationship with carotid intima-media thickness (CIMT) in patients with cardiac
syndrome X (CSX). SUBJECTS AND METHODS: A total of 50 patients with CSX (28
females/22 males, 51.0 +/- 10.9 years) and 40 controls (27 females/13 males, 53.0
+/- 10.2 years) were included in the study. All subjects underwent a noninvasive
stress test and conventional coronary angiography. Serum uric acid levels were
measured and B mode ultrasonography was performed to assess CIMT in all subjects.
RESULTS: Serum uric acid levels were higher in patients with CSX than in the
control subjects (5.1 +/- 1.8 vs. 3.9 +/- 1.3 mg/dl; p = 0.002). The CIMT was
higher in patients with CSX than in the control subjects (0.75 +/- 0.18 vs. 0.63
+/- 0.09 mm; p < 0.001). A significant correlation was found between serum uric
acid values and CIMT measurements in patients with CSX (r = 0.666, p < 0.001).
CONCLUSIONS: Serum uric acid levels were higher in patients with CSX and elevated
serum uric acid levels were associated with carotid atherosclerosis, thereby
indicating that elevated serum uric acid levels might contribute to the
development of subclinical atherosclerosis in CSX patients.
PMID- 22076386
TI - Angelica sinensis suppresses human lung adenocarcinoma A549 cell metastasis by
regulating MMPs/TIMPs and TGF-beta1.
AB - In this study we investigated the potential effects of Angelica sinensis on the
growth and metastasis in human lung adenocarcinoma A549 cells. In vitro the Cck-8
assays showed that Angelica sinensis had weak antiproliferative effect on A549
cells only at high concentration. The cell adhesion assay showed that Angelica
sinensis decreased the adhesive ability of A549 cells in a dose- and time
dependent manner. Transwell invasion and migration assay showed that Angelica
sinensis reduced the invasive and migratory abilities of A549 cells in a dose
dependent manner. In vivo the animal experiments showed that Angelica sinensis
suppressed lung metastasis of nude mice at high concentration. Then, we attempted
to clarify the mechanisms of anti-metastatic activities of Angelica sinensis. The
results showed Angelica sinensis inhibited the enzymatic activity of matrix
metalloproteinase-2 (MMP-2) and matrix metalloproteinase-9 (MMP-9), it involved
the down-regulation of the expressions of MMP-2 and MMP-9 at both the protein and
mRNA levels, which may be associated with Angelica sinensis suppressing the
expression of TGF-beta1. It also involved the increase of the tissue inhibitors
of metalloproteinases TIMP-2, but TIMP-1 decreased upon incubation of A549 cells
with Angelica sinensis. The results suggest that Angelica sinensis might exert
anti-growth and anti-metastasis activity against lung cancer cells through the
decrease of MMP-2, MMP-9, TGF-beta1 and TIMP-1 and increase of TIMP-2.
PMID- 22076387
TI - The role of the tumor suppressor RUNX3 in giant cell tumor of the bone.
AB - RUNX3 is a tumor suppressor gene localized in 1p36. In various human tumors, the
region is frequently inactivated through hypermethylation, histone modulation and
other processes. Recent studies have suggested that loss of RUNX3 expression is
involved in stomach, colon and breast cancer. However, the relationship between
RUNX3 expression and giant cell tumor of the bone (GCTB) remains elusive. The aim
of our study was to elucidate the roles of RUNX3 expression in carcinogenesis and
progression of giant cell tumor of the bone. The levels of RUNX3 mRNA and protein
were evaluated in human GCTB specimens and cell lines. To assess RUNX3
methylation we employed methylation-specific polymerase chain reaction using GCTB
specimens and cell lines. In addition, to examine the roles of RUNX3 in giant
cell tumor of the bone, GCTB cells were transfected with pcDNA3.1-RUNX3 (RUNX3
was cloned into the pcDNA3.1 plasmid). Flow cytometry (FCM) was used to analyze
the apoptosis and cell cycle. The mobility of cells was tested by transwell
migration assay. The expression rates of RUNX3 in patients with GCTB were
significanly lower than normal bone tissues. Thirty of 47 human cancer specimens
exhibited suppression (P<0.05). Down-regulation of RUNX3 mRNA in the same GCTB
cell lines was associated with RUNX3 DNA methylation. In in vitro experiments,
exogenous expression of RUNX3 strongly inhibited cell growth in GCTB by MTT
(P<0.05), induced apoptosis as evidenced by Annexin V-FITC and increased G1 phase
ratio by PI (P<0.05). Transwell migration assay showed that less RUNX3 positive
cells migrated to the lower side of the membrane than negative ones (P<0.05).
These results show that RUNX3 is a tumor suppressor in GCTB. RUNX3 DNA
methylation may be the molecular basis for its lower expression. These data may
be applied in GCTB for diagnostics and therapeutics.
PMID- 22076389
TI - Anemias: road signs to the real problems.
AB - Anemia comes in many forms, always indicating an underlying problem. Expand your
ability to recognize and cope with this condition by learning the main types of
anemia along with their causes, distinguishing traits, and treatments.
PMID- 22076392
TI - Fosphenytoin.
PMID- 22076388
TI - Pulmonary toxicities from targeted therapies: a review.
AB - Pulmonary toxicity is rarely seen with most commonly used targeted therapies. The
endothelial growth factor receptor (EGFR) small-molecule tyrosine kinase
inhibitors (TKIs) gefitinib and erlotinib can cause interstitial lung disease
(ILD). BCR-ABL tyrosine kinase inhibitors imatinib and dasatinib can cause
pleural effusions. Infusion-related bronchospasm is common with the monoclonal
antibodies to EGFR cetuximab and panitumumab, and case reports of bronchiolitis
and pulmonary fibrosis have been described. Up to one-sixth of patients taking
mammalian target of rapamycin (mTOR) inhibitors get a reversible interstitial
pneumonitis. Bevacizumab, the monoclonal antibody to vascular endothelial growth
factor (VEGF), has been associated with hemoptysis and pulmonary embolism
particularly in patients with squamous cell lung cancer. Infusion-related
bronchospasms, acute respiratory distress syndrome (ARDS), and interstitial
pneumonitis can be seen with the anti-lymphocyte monoclonal antibodies rituximab,
ofatumumab, and alemtuzumab. While most pulmonary toxicities from these therapies
are mild and resolve promptly with dose reduction or discontinuation, it is
important for the clinician to recognize these potential toxicities when faced
with treatment-related complications. Discerning these pulmonary adverse effects
may help in making decisions on diagnostic testing and therapy, particularly for
those with pulmonary and cardiovascular co-morbidities.
PMID- 22076393
TI - Assessing and managing the patient with headaches.
AB - Headaches are considered the most common type of pain; more than 40 million
Americans seek treatment each year. A clear understanding of the types and
possible causes of headache pain is essential to adequately assess and manage the
patient with headaches. Headaches can be categorized as either primary or
secondary to an underlying and usually treatable cause. Most headaches are
primary; this includes migraine and variants, and cluster and tension-type
headaches. Before assuming a primary diagnosis, however, the clinician must rule
out headaches secondary to an underlying cause so that further investigation,
treatment, or referral may be initiated.
PMID- 22076394
TI - Recognizing the various presentations of appendicitis.
AB - Appendicitis must be considered in the differential diagnosis of any patient
presenting with abdominal pain. But inconsistencies in presentation, especially
among the young and the elderly, can lead to errors in diagnosis. This article
describes the pathophysiology, diagnosis, and treatment of appendicitis.
PMID- 22076395
TI - The loyal treatment: how dedicated employees benefit managers and organizations.
AB - Despite employees' diminished loyalty to health care organizations, nurse
managers can earn employees' devotion and transfer the benefits to the
organization.
PMID- 22076396
TI - Plunging into preload and afterload.
AB - Tools for teaching new nurses surround you in the intensive care unit. This
article presents a simple exercise using readily available supplies to help
nurses gain a solid understanding of preload and afterload.
PMID- 22076397
TI - Resources for managing migraines.
PMID- 22076399
TI - Tips for succeeding at Internet courses.
AB - Ready to go to "cyberschool"? Here's practical advice on making it work for you.
PMID- 22076400
TI - Head of bed elevation, early walking, and patient comfort after percutaneous
transluminal coronary angioplasty.
AB - Several studies have investigated head elevation, early walking, and patient
comfort after percutaneous transluminal coronary angioplasty. This research
analysis reviews this procedure's current practices and related complications.
The authors analyzed the studies' methodologies, grouped the findings according
to similar objectives, and highlighted implications for nursing practice and
patient care.
PMID- 22076401
TI - Antibiotic resistance: time to fight back.
PMID- 22076402
TI - Fault diagnosis of a benchmark fermentation process: a comparative study of
feature extraction and classification techniques.
AB - This paper investigates fault diagnosis in batch processes and presents a
comparative study of feature extraction and classification techniques applied to
a specific biotechnological case study: the fermentation process model by Birol
et al. (Comput Chem Eng 26:1553-1565, 2002), which is a benchmark for advanced
batch processes monitoring, diagnosis and control. Fault diagnosis is achieved
using four approaches on four different process scenarios based on the different
levels of noise so as to evaluate their effects on the performance. Each approach
combines a feature extraction method, either multi-way principal component
analysis (MPCA) or multi-way independent component analysis (MICA), with a
classification method, either artificial neural network (ANN) or support vector
machines (SVM). The performance obtained by the different approaches is assessed
and discussed for a set of simulated faults under different scenarios. One of the
faults (a loss in mixing power) could not be detected due to the minimal effect
of mixing on the simulated data. The remaining faults could be easily diagnosed
and the subsequent discussion provides practical insight into the selection and
use of the available techniques to specific applications. Irrespective of the
classification algorithm, MPCA renders better results than MICA, hence the
diagnosis performance proves to be more sensitive to the selection of the feature
extraction technique.
PMID- 22076403
TI - Functional consequences of the lack of amyloid precursor protein in the mouse
dentate gyrus in vivo.
AB - The amyloid precursor protein (APP) plays a crucial role in the pathogenesis of
Alzheimer's disease. Here, we studied whether the lack of APP affects the
synaptic properties in the dentate gyrus by measuring granule cell field
potentials evoked by perforant path stimulation in anesthetized 9-11-month-old
APP-deficient mice in vivo. We found decreased paired-pulse facilitation,
indicating altered presynaptic short-term plasticity in the APP-deficient dentate
gyrus. In contrast, excitatory synaptic strength and granule cell firing were
unchanged in APP knockout mice. Likewise, long-term potentiation (LTP) induced by
a theta-burst stimulation protocol was not impaired in the absence of APP. These
findings suggest that the deletion of APP may affect presynaptic plasticity of
synaptic transmission at the perforant path-granule cell synapse but leaves
synaptic efficacy intact and LTP preserved, possibly due to functional redundancy
within the APP gene family.
PMID- 22076404
TI - Tuning of the excitability of transcortical cutaneous reflex pathways during
mirror-like activity.
AB - Voluntary contraction of a muscle generates electromyographic (EMG) activity in
the homologous muscle on the opposite side (mirror-like activity), not only in
pathological states and in infants but also in healthy adults. Few studies have
examined whether the cutaneous reflexes during the preparatory period of a
reaction time task are affected by mirror-like activity. In the present study, we
investigated the modulation of the cutaneous reflexes in the left first
interosseous (FDI) muscle in 9 healthy subjects while they performed a quick
abduction of the right index finger during a reaction time task. Cutaneous
reflexes were elicited by applying non-noxious electrical stimulation to the left
index finger. We found that mirror-like activity occurred in the left FDI at
approximately the onset of EMG activity in the right FDI. The excitatory E2
component was selectively increased at ~75 ms after the "Go" signal, which
corresponded to the onset of mirror-like activity. The inhibitory I2 (~90 ms)
component was tuned consistently into excitation after the "Go" signal. These
findings suggest that long latency reflexes, possibly transcortical cutaneous
reflexes, are finely tuned in relation to mirror-like activity.
PMID- 22076405
TI - Event-related potentials in adolescents with different cognitive styles: field
dependence and field independence.
AB - Field dependence/independence (FD/FI) is an important dimension of personality
and cognitive styles. Different ability in mobilizing and/or allocating mental
attentional capacity was considered to be the most possible explanation for the
FDI cognitive style. Many studies on characterizing the functional neuroanatomy
of attentional control indicated the existence of a dissociable sub-process of
conflict-monitoring and "cognitive control" system. However, little was known
about it. We might dissociate "cognitive control" system from conflict processing
by taking advantage of the variable of the FDI cognitive style. In addition,
essentially cognitive styles (FDI) are often widely studied in psychological and
educational fields, but hardly in neuroscience. We speculated that ERP components
could help to explain the difference between how FD and FI individuals process
information. The purpose of the reported study was to explore the possible
relation between the "cognitive control" system and the conflict processing
system during stimulus-matching task. We first characterized the standard FD/FI
of senior-high-school Han students in grade two in Beijing, China, based on 160
students with similar age, education, living and cultural background. Twenty-six
adolescents were selected and divided into two groups (extreme FD group and
extreme FI group) according to their Group Embedded Figures Test (GEFT) results
(FD: 5-8; FI: 17-19). They were tested on both Wechsler Adult Intelligence Scale
(WAIS) and stimulus-matching task. ERP was measured while the subjects performed
the stimulus-matching tasks by categorizing two figures that were presented
sequentially either as a match (same shape) or as a conflict (different shape)
conditions. The results showed that the mean amplitude of N270 in FI group was
higher relative to that in FD group at nearly all centrofrontal areas in the
conflict condition. We conclude that the FDI cognitive styles could influence the
conflict processing by the "cognitive control" system due to the different
abilities of FD and FI subjects in mobilizing and/or allocating attentional
resources, which can be indexed by N270.
PMID- 22076406
TI - Interlimb differences of directional biases for stroke production.
AB - Directional preferences during center-out horizontal shoulder-elbow movements
were previously characterized for the dominant arm. These preferences were
attributed to a tendency to actively accelerate one joint, while exploiting
largely passive motion at the other joint. Since the non-dominant arm is known
for inefficient coordination of inter-segmental dynamics, here we hypothesized
that directional preferences would differ between the arms. A center-out free
stroke drawing task was used that allowed freedom in the selection of movement
directions. The task was performed both with and without a secondary cognitive
task that has been shown to increase directional biases of the dominant arm.
Mirror-symmetrical directional preferences were observed in both arms, with
similar bias strength and secondary task effects. The preferred directions were
characterized by maximal exploitation of interaction torques for movement
production, but only in the dominant arm. The non-dominant arm failed to benefit
from interaction torques. The results point to a hierarchical architecture of
control. At the higher level, a movement capable to perform the task while
satisfying preferences in joint control is specified through forward dynamic
transformations. This process is mediated for both arms from a common neural
network adapted to the dominant arm and, specifically, to its ability to exploit
interaction torques. Dynamic transformations that determine actual control
commands are specified at the lower level of control. An alternative
interpretation that strokes might be planned evenly across directions, and biases
emerge during movement execution due to anisotropic resistance of intrinsic
factors that do not depend on arm dominance is also discussed.
PMID- 22076407
TI - Effects of Galvanic vestibular stimulation on cognitive function.
AB - Although imaging studies suggest activation of cortical areas by vestibular
input, there is little evidence of an adverse effect of non-veridical vestibular
input on cognitive function. To test the hypothesis that degraded vestibular
afferent input adversely affects cognition, we compared performance on a
cognitive test battery in a group undergoing suprathreshold bilateral bipolar
Galvanic vestibular stimulation (GVS) with a control group receiving no GVS or
subthreshold stimulation. The battery consisted of six cognitive tests as
follows: reaction time, dual tasking, Stroop, mental rotation, perspective-taking
and matching-to-sample, as well as a simple visuomotor (manual tracking) task.
Subjects performed the test battery before, during and after suprathreshold GVS
exposure or subthreshold stimulation. Suprathreshold GVS significantly increased
error rate for the match-to-sample and perspective-taking tasks relative to the
subthreshold group, demonstrating a negative effect of non-veridical vestibular
input in these specific cognitive tasks. Reaction time, dual tasking, mental
rotation and manual tracking were unaffected by GVS exposure. The adverse effect
of suprathreshold GVS on perspective taking but not mental rotation is consistent
with imaging studies, which have demonstrated that egocentric mental
transformations (perspective taking) occur primarily in cortical areas that
receive vestibular input (the parietal-temporal junction and superior parietal
lobule), whereas object-based transformations (mental rotation) occur in the
frontoparietal region. The increased error rate during the match-to-sample task
is likely due to interference with hippocampal processing related to spatial
memory, as suggested by imaging studies on vestibular patients.
PMID- 22076409
TI - Cognitive and neurological outcome at the age of 5-8 years of preterm infants
with post-hemorrhagic ventricular dilatation requiring neurosurgical
intervention.
AB - BACKGROUND: Preterm infants with progressive post-hemorrhagic ventricular
dilatation (PHVD) in the absence of associated parenchymal lesions may have a
normal neurodevelopmental outcome. OBJECTIVES: To evaluate neurodevelopmental and
cognitive outcomes among preterm infants with severe intraventricular hemorrhage
(IVH) and PHVD requiring neurosurgical intervention. METHODS: 32 preterm infants
were admitted to a neonatal intensive care unit with PHVD requiring neurosurgical
intervention, and were seen in the follow-up clinic for standardized cognitive,
behavioral and neurological assessments between 5 and 8 years of age. Only
preterm infants with a gestational age (GA) of <30 weeks, as well as preterm and
full-term infants with PHVD and full-term infants with perinatal asphyxia are
seen in our follow-up clinic at this age. There were 23 infants with a GA of <30
weeks in this study population. For these 23, matched controls were available and
compared with the IVH group. RESULTS: The majority (59.4%) had no impairments.
None of the children with grade III and 8 of the 15 children (53%) with grade IV
hemorrhage developed cerebral palsy. More subtle motor problems assessed with the
Movement-ABC score were seen in 39% (n = 9); the mean IQ of all children was
93.4, and 29% of the children had an IQ of <85 (-1 SD). Timing of intervention
did not have a beneficial effect on outcome. With respect to cognition, no
significant differences were found between the IVH and the control group.
CONCLUSION: The majority of the children in our population had no impairments.
Cerebral palsy was not seen in any of the infants with a grade III hemorrhage.
PMID- 22076410
TI - Pseudomonas aeruginosa inhibits the growth of Cryptococcus species.
AB - Pseudomonas aeruginosa is a ubiquitous and opportunistic bacterium that inhibits
the growth of different microorganisms, including Gram-positive bacteria and
fungi such as Candida spp. and Aspergillus fumigatus. In this study, we
investigated the interaction between P. aeruginosa and Cryptococcus spp. We found
that P. aeruginosa PA14 and, to a lesser extent, PAO1 significantly inhibited the
growth of Cryptococcus spp. The inhibition of growth was observed on solid medium
by the visualization of a zone of inhibition of yeast growth and in liquid
culture by viable cell counting. Interestingly, such inhibition was only observed
when P. aeruginosa and Cryptococcus were co-cultured. Minimal inhibition was
observed when cell-cell contact was prevented using a separation membrane,
suggesting that cell contact is required for inhibition. Using mutant strains of
Pseudomonas quinoline signaling, we showed that P. aeruginosa inhibited the
growth of Cryptococcus spp. by producing antifungal molecules pyocyanin, a redox
active phenazine, and 2-heptyl-3,4-dihydroxyquinoline (PQS), an extracellular
quorum-sensing signal. Because both P. aeruginosa and Cryptococcus neoformans are
commonly found in lung infections of immunocompromised patients, this study may
have important implication for the interaction of these microbes in both an
ecological and a clinical point of view.
PMID- 22076411
TI - Neonatal intensive care unit candidemia: epidemiology, risk factors, outcome, and
critical review of published case series.
AB - Evaluation of epidemiological trends, risk factors, and clinical outcome
associated with candidemia at a neonatal intensive care unit is reported. From
January 2005 to December 2009, forty candidemia cases were identified. C.
albicans and C. parapsilosis were the most common species recovered (69 and 24%,
respectively). All C. parapsilosis strains were susceptible to antifungals,
whereas, C. albicans exhibited higher resistance rates to azoles. Low birth
weight, low gestational age, presence of central lines, endotracheal intubation,
total parenteral nutrition, previous use of antibiotics, steroids, previous
episode(s) of bacteremia and prolonged stay in intensive care unit were common
features associated with candidemia. C. albicans was most often isolated from
extremely low birth weight neonates as compared to non-albicans Candida (P <
0.01). Mortality rate was 35.7% and was associated with low gestational age (P <
0.01), low birth weight (P < 0.01), and presence of renal failure (P < 0.05).
Furthermore, a critical review of recent published case series is presented.
PMID- 22076412
TI - Color vision in an elderly patient with protanopic genotype and successfully
treated unilateral age-related macular degeneration.
AB - We investigated differences in color discrimination between the fellow eye and
the affected eye successfully treated for unilateral age-related macular
degeneration (AMD) in a 69-year-old male patient with protanopia. His best
corrected visual acuity (BCVA) was 1.2 in the right eye (RE) and 0.2 in the left
eye (LE). Fundus and angiographic findings showed classic choroidal
neovascularization (CNV) secondary to AMD in the LE. BCVA of the LE improved to
0.4, and CNV resolved by 15 months after initiating combined anti-vascular
endothelial growth factor and photodynamic therapies. After CNV closure, the
Farnsworth dichotomous was performed, showing confusion patterns of the protan
axis in either eye. The Farnsworth-Munsell 100-hue test showed a total error
score of 520 in the LE, much higher than the score of 348 in the RE. Complete
genotypes of the long-wavelength-sensitive (L-) cone and middle-wavelength
sensitive (M-) cone opsin genes were determined by polymerase chain reaction,
revealing that the patient had a single 5' L-M 3' hybrid gene (encoding an M-cone
opsin), with this genotype responsible for protanopia (the L-cone opsin gene was
non-functional), instead of the L-cone and M-cone opsin gene arrays. Poorer color
vision discrimination in the LE than the RE remained present despite closure of
CNV. The presence and type of congenital color vision defect can be confirmed
using molecular genetic testing even if complications of acquired retinal
diseases such as AMD are identified.
PMID- 22076414
TI - Risk factors for persistent candidemia infection in a neonatal intensive care
unit and its effect on mortality and length of hospitalization.
AB - OBJECTIVE: Candida infections cause substantial morbidity and mortality in
neonates. Persistent candidemia has not been associated with increased risk of
mortality compared with candidemia of shorter duration. This study sought to
determine whether persistent candidemia was associated with increased length of
hospitalization or mortality in neonates. STUDY DESIGN: A chart review was
conducted of neonates with Candida bloodstream infections (n=37). Demographic,
laboratory, pharmacy, nutrition and discharge data were abstracted. Contingency
table analysis and logistic regression were used to analyze variables associated
with persistent candidemia and mortality. The relationship between length of
hospitalization and persistent candidemia was assessed with k-sample equality of
medians test. RESULT: Nine patients (24%) had persistent candidemia. Increased
time between blood culture draw and initial antifungal therapy was associated
with increased incidence of persistent candidemia (P=0.03). Five patients (14%)
died before hospital discharge; however, no deaths were attributed to persistent
candidemia. Length of hospitalization was not increased with persistent
candidemia. A decrease in the ratio of enteral feeding days to hyperalimentation
days before collection of the first positive blood culture was significantly
associated with an increase in all-cause mortality (P=0.03) and death attributed
to candidemia (P=0.04). The risk of all-cause mortality decreased with a history
of receiving any enteral feedings before the first positive blood culture
(P=0.04), as did death attributed to candidemia (P=0.02). CONCLUSION: A duration
of >1 day between the time of blood culture and the initial dose of systemic
antifungal treatment places neonates at increased risk for developing persistent
candidemia; however, this is not associated with increased mortality.
PMID- 22076413
TI - Causes of community stillbirths and early neonatal deaths in low-income countries
using verbal autopsy: an International, Multicenter Study.
AB - OBJECTIVE: Six million stillbirths (SB) and early neonatal deaths (END) occur
annually worldwide, mostly in rural settings distant from health facilities. We
used verbal autopsy (VA), to understand causes of non-hospital, community-based
SB and END from four low-income countries. STUDY DESIGN: This prospective
observational study utilized the train-the-trainer method. VA interviewers
conducted standardized interviews; in each country data were reviewed by two
local physicians who assigned an underlying causes of deaths (COD). RESULT: There
were 252 perinatal deaths (118 END; 134 SB) studied from pooled data. Almost half
(45%) the END occurred on postnatal day 1, 19% on the second day and 16% the
third day. Major early neonatal COD were infections (49%), birth asphyxia (26%),
prematurity (17%) and congenital malformations (3%). Major causes of SB were
infection (37%), prolonged labor (11%), antepartum hemorrhage (10%), preterm
delivery (7%), cord complications (6%) and accidents (5%). CONCLUSION: Many of
these SB and END were from easily preventable causes. Over 80% of END occurred
during the first 3 days of postnatal life, and >90% were due to infection, birth
asphyxia and prematurity. The causes of SB were more varied, and maternal
infections were the most common cause. Increased attention should be targeting at
interventions that reduce maternal and neonatal infections and prevent END,
particularly during the first 3 days of life.
PMID- 22076415
TI - A randomized, double-blind, placebo-controlled, prospective study of bosentan for
the treatment of persistent pulmonary hypertension of the newborn.
AB - OBJECTIVE: To assess the efficacy and safety of bosentan as an adjuvant therapy
of persistent pulmonary hypertension of the newborn (PPHN). STUDY DESIGN: Forty
seven neonates with PPHN were randomly assigned to receive either bosentan (n=24)
or placebo (n=23). Efficacy was evaluated with a favorable outcome defined as
fulfilling all the following criteria (for example, oxygenation index <15, normal
pulmonary artery pressure (<20 mm Hg) and no premature discontinuation of the
drug because of drug-related toxicity or lack of efficacy). Evaluation of safety
was done by monitoring drug-related adverse events. RESULT: Bosentan treatment
was superior to placebo with a favorable response in 87.5% of patients treated
with bosentan as compared with 20% of those who received placebo (P<0.0001). None
of patients in the bosentan group had drug-related clinical or laboratory adverse
events. CONCLUSION: Bosentan may be a useful adjuvant therapy of PPHN.
PMID- 22076416
TI - The impact of maternal characteristics on the moderately premature infant: an
antenatal maternal transport clinical prediction rule.
AB - OBJECTIVE: Moderately premature infants, defined here as those born between 300/7
and 346/7 weeks gestation, comprise 3.9% of all births in the United States and
32% of all preterm births. Although long-term outcomes for these infants are
better than for less mature infants, morbidity and mortality are still
substantially increased in comparison with infants born at term. There is an
added survival benefit resulting from birth at a tertiary neonatal care center,
and although many of these infants require tertiary level care, delivery at lower
level hospitals and subsequent neonatal transfer are still common. Our primary
aim was to determine the impact of maternal characteristics and antenatal medical
management on the early neonatal course of the moderately premature infant. The
secondary aim was to create a clinical prediction rule to determine which infants
require intubation and mechanical ventilation in the first 24 h of life. Such a
prediction rule could inform the decision to transfer maternal-fetal patients
before delivery to a facility with a Level III neonatal intensive care unit
(NICU), where optimal care could be provided without the requirement for a
neonatal transfer. STUDY DESIGN: Data for this analysis came from the cohort of
infants in the Moderately Premature Infant Project (MPIP) database, a multicenter
cohort study of 850 infants born at gestational age 300/7 and 346/7 weeks, with
birth weight between 591 to 3540 g. [corrected], who were discharged to home
alive. We built a logistic regression model to identify maternal characteristics
associated with need for tertiary care, as measured by administration of
surfactant. Using statistically significant covariates from this model, we then
created a numerical decision rule to predict need for tertiary care. RESULT: In
multivariate modeling, four factors were associated with reduction in the need
for tertiary care, including non-White race (odds ratio (OR)=0.5, (0.3, 0.7)),
older gestational age, female gender (OR=0.6 (0.4, 0.8)) and use of antenatal
corticosteroids (OR=0.5, (0.3, 0.8)). The clinical prediction rule to
discriminate between infants who received surfactant, versus those who did not,
had an area under the curve of 0.77 (0.73, 0.8). CONCLUSION: Four antenatal risk
factors are associated with a requirement for Level III NICU care as defined by
the need for surfactant administration. Future analyses will examine a broader
spectrum of antenatal characteristics and revalidate the prediction rule in an
independent cohort.
PMID- 22076417
TI - Neonates presenting with bloody stools and eosinophilia can progress to two
different types of necrotizing enterocolitis.
AB - OBJECTIVE: We hypothesized that neonates with bloody stools and concomitant
eosinophilia are likely to have atopic enteropathy rather than necrotizing
enterocolitis (NEC). STUDY DESIGN: This was a retrospective cross-sectional study
using electronic medical records and paper charts. Records of neonates admitted
to any Intermountain Healthcare NICU between 1 January 2005 and 30 June 2010 were
eligible if 'bloody stools' were listed in any archive. Qualifying records were
divided into two groups depending on whether or not within 72 h of passing bloody
stool eosinophil counts were above the 95th percentile reference range limit for
age. RESULT: Bloody stools were identified in 275 predominantly Caucasian
neonates. Fifty-four of these had eosinophilia and 221 had normal eosinophil
counts. Those with eosinophilia were born at a slightly younger gestational age
(31.3 +/- 4.6 vs 32.6 +/- 4.0 weeks, mean +/- s.d., P=0.032). Contrary to our
hypothesis, those with eosinophilia did not have a lower rate of pneumatosis or
bowel resection, or death ascribed to NEC. Eosinophilia was more common among
those who had a red blood cell (RBC) transfusion within 48 h before passing
bloody stools (P<0.001). Those with a recent RBC transfusion were the only
neonates to have NEC surgery or to die from NEC. Preceding the bloody stools,
those with no antecedent transfusion had been fed a larger volume (P=0.014), and
had trends toward receiving calorically enriched feedings (P=0.055) and recent
addition of human milk fortifier (P=0.060). Eosinophil counts following RBC
transfusion tended to increase for 3-6 days, but when bloody stools were not
preceded by transfusion the eosinophil counts were more static over that period.
CONCLUSION: In this predominantly Caucasian group of neonates with bloody stools,
the presence of eosinophilia did not identify a benign condition distinct from
NEC. A total of 44% of these neonates had transfusion-associated NEC. Eosinophils
could have a previously unrecognized role in the pathogenesis of this NEC
subtype.
PMID- 22076418
TI - Meniscus induced self organization of multiple deep concave wells in a
microchannel for embryoid bodies generation.
AB - Embryonic stem cells (ESCs) have attracted great interest in the fields of tissue
engineering, regenerative medicine, and organogenesis for their pluripotency and
ability to self-renew. ESC aggregation, which produces an embryoid body (EB), has
been widely utilized as a trigger of in vitro directed differentiation. In this
paper, we propose a novel method for constructing large numbers of deep concave
wells in PDMS microfluidic chips using the meniscus induced by the surface
tension of a liquid PDMS prepolymer, and applied this chip for the mass
production of uniform sized EBs. To investigate if the microenvironment in the
deep concave well is suitable for ES cells, the oxygen diffusion to the deep
concave well was analyzed by CFD simulation. Murine EBs were successfully formed
in the deep concave wells without loss of cells and laborious careful
intervention to refresh culture media. The size of the EBs was uniform, and
retrieving of EBs was done just by flipping over the chip. All the processes
including EB formation and harvest are easy and safe to cells, and their
viability after completion of all processes was over 95%. The basic properties of
the EBs were generated and their capacity to differentiate into 3 germ layers was
investigated by analyzing the gene expression profile. The harvested EBs were
found to differentiate into cardiac cells and neurons, and neurofilaments formed
branches of elongated extensions more than 1.0 mm in length.
PMID- 22076419
TI - A novel model and molecular therapy for Z alpha-1 antitrypsin deficiency.
AB - Animal models that closely resemble human disease can present a challenge.
Particularly so in alpha-1 antitrypsin deficiency (alpha(1)ATD), as the mouse
alpha-1 antitrypsin (alpha(1)AT) cluster encodes five highly related genes
compared with the one in humans. The mouse PI2 homologue is closest to the
alpha(1)AT human gene. We have changed the equivalent mouse site that results in
the Z variant in man (Glu342Lys) and made both the "M" and "Z" mouse PI2
alpha(1)AT proteins. We have tested the ability of a small-molecular-weight
compound CG to alleviate polymerisation of these mouse alpha(1)AT proteins as it
has been shown to reduce aggregates of Z alpha(1)AT in man. We found that (1) CG
specifically reduces the formation of polymers of recombinant mouse "Z" protein
but not "M" protein; (2) whereas there is significantly more alpha(1)AT secreted
from Chinese Hamster Ovary cells transfected with the mouse "M" alpha(1)AT gene
than with the "Z" (20.8 +/- 3.9 and 6.7 +/- 3.6, respectively; P < 0.005), CG
increased the alpha(1)AT levels secreted from "Z" cells (21.2 +/- 0.01) to that
of "M" (20.2 +/- 0.02). The data support the concept that the murine "Z" gene is
a potential model for the study of alpha(1)ATD and that mice expressing this gene
would be relevant for testing treatments in vivo.
PMID- 22076420
TI - Annotation of the domestic dog genome sequence: finding the missing genes.
AB - There are over 350 genetically distinct breeds of domestic dog that present
considerable variation in morphology, physiology, and disease susceptibility. The
genome sequence of the domestic dog was assembled and released in 2005, providing
an estimated 20,000 protein-coding genes that are a great asset to the scientific
community that uses the dog system as a genetic biomedical model and for
comparative and evolutionary studies. Although the canine gene set had been
predicted using a combination of ab initio methods, homology studies, motif
analysis, and similarity-based programs, it still requires a deep annotation of
noncoding genes, alternative splicing, pseudogenes, regulatory regions, and gain
and loss events. Such analyses could benefit from new sequencing technologies
(RNA-Seq) to better exploit the advantages of the canine genetic system in
tracking disease genes. Here, we review the catalog of canine protein-coding
genes and the search for missing genes, and we propose rationales for an accurate
identification of noncoding genes though next-generation sequencing.
PMID- 22076421
TI - Hemodynamic influence of tilting disc valve type on pump performance with the
NIPRO-ventricular assist device.
AB - The NIPRO-ventricular assist device (NIPRO-VAD) is an external pulsatile flow
pump. Formerly, Sorin Carbocast, a monoleaflet tilting disc valve (SC valve), was
used at the inlet/outlet parts of the pump, but Medtronic Hall (MH valve) is now
used. We studied the differences in performance among pumps with different
artificial valves. Six NIPRO pumps with SC valves and six with MH valves were
examined using mock circuits. The systolic flow of the pump was measured with the
ultrasonic flowmeter by changing the systolic fraction. Six patients wearing the
NIPRO-VAD underwent periodic pump exchange from a pump with an SC valve to the
one with an MH valve. The pump blood flow was measured at pre- and post-pump
exchanges using an ultrasonic flowmeter. Blood pressure, serum LDH and AST levels
were also compared before and after the pump exchange. Blood flow was
significantly increased by using the NIPRO-VAD with the MH valve as compared to
the SC valve in vitro. Under the same drive conditions pump flow tended to
increase in six patients. No difference was found in patients' blood pressure,
serum LDH or AST levels when using the SC or MH valve. From these results, the
hemodynamic influence on patients due to replacement of the SC valve with the MH
valve in the NIPRO-VAD is considered to be insignificant.
PMID- 22076422
TI - Virtual histology evaluation of atherosclerosis regression during atorvastatin
and ezetimibe administration: HEAVEN study.
AB - BACKGROUND: There is no study focusing on changes in coronary atherosclerosis
during dual lipid-lowering therapy with statin and ezetimibe. METHODS AND
RESULTS: Eighty-nine patients with stable angina randomized in a 1:1 ratio to
Group A (aggressive therapy: atorvastatin 80mg, ezetimibe 10mg) and Group S
(standard therapy) were analyzed. Treatment period was 12 months. Coronary
arteries were examined by intravascular ultrasound and virtual histology. We
found a decrease in the percent atheroma volume (PAV) (-0.4%) in Group A compared
with an increase (+1.4%) in Group S (P=0.014) and this was accompanied by an
increased frequency of combined atherosclerosis regression (increased lumen
volume+decreased PAV) in group A (40.5%) compared with group S (14.9%) (P=0.007).
The target low-density lipoprotein cholesterol level <2mmol/L, presence of at
least 4 of 5 atherosclerotic risk factors, and decreased level of vascular
cellular adhesive molecule were independent predictors of plaque regression.
There were no significant differences in plaque composition between the 2 groups
over the study duration. However, during analysis of the 2 groups together,
fibrous and fibro-fatty tissues decreased and dense calcification and necrotic
core increased during follow-up. CONCLUSIONS: Dual lipid-lowering therapy starts
atherosclerosis regression, but does not lead to significant changes in plaque
composition. The continuous shift in plaque from fibro and fibro-fatty to
necrotic with calcification was present in both groups.
PMID- 22076423
TI - Current status and trends in the treatment of acute pulmonary thromboembolism.
AB - Untreated acute pulmonary thromboembolism (APTE) is associated with high
mortality, which is reduced by prompt treatment. Anticoagulation is fundamental
in the treatment of APTE and should be initiated from suspicion. The efficacy and
safety of novel anticoagulant drugs, such as oral anti-Xa and anti-IIa
inhibitors, are topics in the treatment of APTE and are now under investigation.
Thrombolytic therapy is a widely accepted treatment strategy for massive APTE,
but its use for submassive APTE is controversial. Catheter intervention,
percutaneous cardiopulmonary support and surgical embolectomy are also necessary
and effective for some patients with APTE. A retrievable inferior vena cava
filter is preferred for transient protection against APTE. Some studies have
demonstrated the feasibility of outpatient treatment in patients with APTE after
risk stratification.
PMID- 22076425
TI - Epigenetic alterations in sperm DNA associated with testicular cancer treatment.
AB - DNA methylation, a key component of the epigenome involved in regulating gene
expression, is initially acquired in the germ line at millions of sites across
the genome. Altered sperm methylation patterns are associated with infertility
and transgenerational effects in humans and rodents. Testicular cancer is the
most common form of cancer among men of reproductive age and has a high cure rate
associated with chemotherapy treatment with bleomycin, etoposide, and cis
platinum (BEP). Although these drugs result in improved survival, they also
affect the number and quality of germ cells. Our goal was to assess germ cell
methylation patterns in a rodent model emulating the BEP treatment regimens used
in human testicular cancer treatment. Animals were treated with control, or 0.3*
(low) or 0.6* (high) dose of BEP, where a 1* dose is equivalent to human
treatment regimens. Both dose-dependent and germ cell-dependent DNA methylation
alterations were found at numerous loci throughout the genome. Of about 3000 loci
tested, 42 loci were affected by BEP at the round spermatid stage of germ cell
development, whereas 101 loci were affected in spermatozoa; 15 loci were
consistently altered in spermatozoa of all high dose-treated rats. Both hyper-
and hypomethylation were detected, suggesting either an interference with normal
methylation patterning or abnormal repair of damaged patterns during
spermatogenesis. The results indicate that a combination chemotherapy regimen
used for testicular cancer treatment can result in altered DNA methylation
patterns in spermatozoa and that some loci are more susceptible to damage than
others.
PMID- 22076426
TI - Bone development in children and adolescents with PKU.
AB - INTRODUCTION: Individuals with phenylketonuria (PKU, OMIM 261600) have shown bone
disease from childhood. Factors such as non-adherence to treatment, nutritional
inadequacy, and high phenylalanine levels are associated with bone disease in
several studies. This research aimed to describe the impact of dietary factors
(consumption of energy, protein, calcium, phosphorus, and phenylalanine), and the
control of plasma phenylalanine levels on bone age (BA) and bone mineral density
(BMD). METHODOLOGY: Thirteen patients of both genders, from 8 to 16 years old
participated in this study. Control data were collected of phenylalanine levels,
food frequency and record, hand and fist X-rays, and spinal bone densitometry.
RESULTS: In children group (CG), individuals non-adherent to diet (NAD) consumed
lower amounts of calcium (472 +/- 100 mg/day) and energy (1743 +/- 486 Kcal);
they had higher rates of phenylalanine (564 +/- 94 MUmol/L) in blood, intake
phenylalanine (701 +/- 334 mg/g), and higher protein intake from free foods (14
+/- 6.67 g/day); bone age (BA) values higher than the chronological age (CA) and
less BMD values (-0.7 +/- 1.6 SD) also were verified. In adolescent group (AG, N
= 8) of NAD, values were lower for energy intake (1379 +/- 258 Kcal), calcium
(801 +/- 152 mg/day), phosphorus (657 +/- 102 mg/day), food protein (25 +/- 7.6
g/day), and intake phenylalanine (1067 +/- 382 mg/day) than recommended. Higher
levels of plasma phenylalanine (851 +/- 244 MUmol/L), bone age greater than
chronological age and lower BMD values (-2.4 +/- -2.5 SD) were observed.
CONCLUSION: The results suggest effects on BA and on BMD, in both children and
adolescent groups. The bone development is expressed differently in children and
adolescents. The non-adherence to the diet verified in both groups and the
consequent imbalance in the nutrients intake involved in bone metabolism suggest
that these factors influence the failure to thrive in children and reduced bone
mineralization in adolescents.
PMID- 22076424
TI - Disturbed-flow-mediated vascular reactive oxygen species induce endothelial
dysfunction.
AB - Emerging evidence is revealing the different roles of steady laminar flow (s
flow) and disturbed flow (d-flow) in the regulation of the vascular endothelium.
s-flow is atheroprotective while d-flow creates an atheroprone environment. Most
recently, we found unique atheroprone signals, which involve protein kinase C
(PKC)zeta activation, elicited by d-flow. We and others have defined a novel role
for PKCzeta as a shared mediator for tumor necrosis factor alpha (TNF alpha) and
d-flow, which cause pro-inflammatory and pro-apoptotic events in endothelial
cells (ECs) in the atheroprone environment. Under such conditions, ONOO(-)
formation is increased in a d-flow-mediated PKCzeta-dependent manner. Here, we
propose a new signaling pathway involving d-flow-induced EC inflammation via
PKCzeta-ERK5 interaction-mediated downregulation of KLF2/eNOS stability, which
leads to PKCzeta-mediated p53-SUMOylation and EC apoptosis. In addition, we
highlight several mechanisms contributing to endothelial dysfunction, focusing on
the relations between flow patterns and activation of reactive oxygen species
generating enzymes.
PMID- 22076427
TI - Bronchoepithelial expression of CXCR1 and CXCR2 does not facilitate
transepithelial migration of neutrophils.
AB - BACKGROUND: Neutrophilic airway inflammation is one of the key features of
chronic obstructive pulmonary disease (COPD). The chemokine receptors 1 (CXCR1)
and 2 (CXCR2) are expressed in the bronchial mucosa during chronic inflammation
and might be of importance for transepithelial migration of neutrophils.
OBJECTIVES: This study addressed the role of bronchoepithelial CXCR1 and CXCR2
expression with respect to transepithelial migration of neutrophils. METHODS:
Primary bronchial epithelial cells (PBECs) derived from COPD patients and healthy
controls as well as transiently CXCR1- and CXCR2-transfected Calu-6 cells were
used for transepithelial migration assays of neutrophils under various
conditions. Epithelial CXCR1 and CXCR2 expression was verified by means of flow
cytometry. RESULTS: Transepithelial migration of neutrophils was significantly
increased following lipopolysaccharide pretreatment of epithelial cells.
Transient transfection of CXCR1 and CXCR2 neither augmented the transepithelial
migration of neutrophils, nor did the selective blockade of CXCR1 and CXCR2 have
any significant effect on neutrophilic transepithelial migration. In addition, no
differences were found in PBECs and neutrophils derived from healthy controls and
COPD patients. CONCLUSIONS: The data of the present study do not support the
hypothesis that bronchoepithelial expression of CXCR1 and/or CXCR2 facilitate
transepithelial migration of neutrophils.
PMID- 22076428
TI - Extracellular fluid volume and glomerular filtration rate in 1878 healthy
potential renal transplant donors: effects of age, gender, obesity and scaling.
AB - Aim. The aim of this study was to investigate the influence of age, gender,
obesity and scaling on glomerular filtration rate (GFR) and extracellular fluid
volume (ECV) in healthy subjects. METHODS: This is a retrospective multi-centre
study of 1878 healthy prospective kidney transplant donors (819 men) from 15
centres. Age and body mass index (BMI) were not significantly different between
men and women. Slope-intercept GFR was measured (using Cr-51-EDTA in 14 centres;
Tc-99m-DTPA in one) and scaled to body surface area (BSA) and lean body mass
(LBM), both estimated from height and weight. GFR was also expressed as the slope
rate constant, with one-compartment correction (GFR/ECV). ECV was measured as the
ratio, GFR to GFR/ECV. RESULTS: ECV was age independent but GFR declined with
age, at a significantly faster rate in women than men. GFR/BSA was higher in men
but GFR/ECV and GFR/LBM were higher in women. Young women (<30 years) had higher
GFR than young men but the reverse was recorded in the elderly (>65 years). There
was no difference in GFR between obese (BMI>30 kg/m2) and non-obese men. Obese
women, however, had lower GFR than non-obese women and negative correlations were
observed between GFR and both BMI and %fat. The decline in GFR with age was no
faster in obese versus non-obese subjects. ECV/BSA was higher in men but ECV/LBM
was higher in women. ECV/weight was almost gender independent, suggesting that
fat-free mass in women contains more extracellular water. BSA is therefore a
misleading scaling variable. CONCLUSION: There are several significant
differences in GFR and ECV between healthy men and women.
PMID- 22076429
TI - What about the renal function during childhood of children born from dialysed
mothers?
AB - INTRODUCTION: Pregnancy during dialysis is a high-risk condition which is
becoming more and more common. The renal outcome of children born from such
pregnancies needs to be investigated since renal development may be affected
(i.e. exposure to uraemic toxins, therapies, intermittent haemodynamic changes
during sessions, prematurity, growth retardation). METHODS: We performed a single
centre prospective global and renal evaluation (inulin clearance or 2009 Schwartz
formula in children <4 years) in 10 children from 7 mothers who underwent
haemodialysis during pregnancy. RESULTS: The median (range) age of mothers at the
beginning of pregnancy was 30 (22-33) years, with maximal weekly haemodialysis
duration of 18 (12-30) h. Systemic arterial hypertension was reported in 4 of 10
pregnancies, polyhydramnios in 3 and oligohydramnios in 1. The median (range)
gestational age was 32 (29-39) weeks of gestation (WG). Seven children were born
before 36 WG. The median (range) birth weight (BW) was 1735 (930-3430)g, and
eight children had a BW <2500 g. One child had a PAX2 mutation requiring early
renal transplantation and was thus excluded from further analysis. Even though
glomerular filtration rate and blood pressure were normal in all other children,
a significant urine albumin-to-creatinine ratio was found in three children and
an increased urine beta-2-microglobulin concentration in an additional one,
questioning the presence of an underlying silent reduction in nephron number.
CONCLUSIONS: Despite the small number of patients, this pilot study highlights
the potential risk of renal impairment in children born from dialysed mothers.
Further studies are required but until then, careful monitoring of these children
is important.
PMID- 22076430
TI - Amiloride off-target effect inhibits podocyte urokinase receptor expression and
reduces proteinuria.
AB - The urokinase receptor (uPAR) and its soluble form play a key role in the
pathogenesis of focal segmental glomerulosclerosis (FSGS). The modification of
uPAR pathological actions on podocytes will become an important task for the
development of improved nephroprotective therapeutics. Here we show that podocyte
uPAR expression can be reduced using amiloride. Amiloride has a significant role
in the reduction of podocyte cell motility in vitro and proteinuria in mice.
Amiloride inhibited the induction of uPAR protein and PLAUR messenger RNA
(encoding uPAR) and with that it reduced uPAR-mediated beta3 integrin activation
in lipopolysaccharide (LPS)-treated podocytes. Transwell migration assay and
wound healing assay showed that directed and random podocyte motility of LPS
treated podocytes were increased and substantially reduced by amiloride. The off
target effect of amiloride was independent of its function as epithelial sodium
channel blocker and different from triamterene. Amiloride was also effective in
the LPS mouse model of transient proteinuria (LPS mice) and in the 5/6
nephrectomy rat FSGS model (NTX) by significantly inhibiting podocyte uPAR
induction, reducing proteinuria. In addition, amiloride attenuated
glomerulosclerosis, as determined by glomerulosclerotic index. Thus, our
observations show that amiloride inhibits podocyte uPAR induction and reduces
proteinuria in NTX rats and LPS mice. Given the pathological relevance of the
uPAR-beta3 integrin signaling axis in FSGS, amiloride may be utilized in patients
with FSGS.
PMID- 22076431
TI - Long-term follow-up after rituximab for steroid-dependent idiopathic nephrotic
syndrome.
AB - BACKGROUND: In patients with refractory steroid-sensitive nephrotic syndrome
(SSNS), treatment with rituximab has shown encouraging results; however, long
term follow-up data are not available. METHODS: We performed a retrospective
analysis of 37 patients (25 boys) with steroid-dependent nephrotic syndrome who
were treated with rituximab (375 mg/m(2) given weekly for one to four courses).
Long-term follow-up data (>2 years, median 36, range 24-92.8 months) are
available for 29 patients (12 boys). RESULTS: Twenty-six of 37 (70.3%) patients
remained in remission after 12 months. Relapses occurred in 24 (64.8%) patients
after a median of 9.6 (range 5.2-64.1) months. Time to first relapse was
significantly shorter in patients receiving one or two compared to three or four
initial infusions. In the 29 patients with long-term follow-up for >2 years, 12
(41%) patients remained in remission after the initial rituximab course for >24
months, 7 (24.1%) patients without further maintenance immunosuppression.
Nineteen children received two to four repeated courses of rituximab increasing
the total number of patients with long-term remission to 20 (69%), remission
including 14 (48%) patients off immunosuppression. The proportion of patients
with long-term remission was not related to the number of initial rituximab
applications. No serious side effects were noted. CONCLUSION: Rituximab is an
effective treatment option in the short- and long-term control of treatment
refractory SSNS. Further controlled studies are needed to address optimal patient
selection, dose and safety of rituximab infusions.
PMID- 22076432
TI - Kidney and liver transplantation in patients with autosomal recessive polycystic
kidney disease: a multicentric study.
AB - BACKGROUND AND OBJECTIVES: In contrast to the improvement in our understanding of
the pathogenesis and presentation of autosomal recessive polycystic kidney
disease (ARPKD), data regarding the issue of kidney and liver transplantation in
patients with ARPKD remain particularly scarce. Here, we report the results and
outcome of renal and/or liver transplantation in a series of patients with ARPKD.
METHODS: Fourteen ARPKD patients (age: 3-25 years) who underwent renal
transplantation with or without liver transplantation were retrospectively
identified in five French nephrology departments. The patients' medical charts
were reviewed and relevant data were collected. RESULTS: The clinical and
radiological presentation of the 14 patients was highly variable illustrating the
heterogeneity of ARPKD. Six patients underwent kidney and/or liver
transplantation in adulthood. First renal graft survival was 92, 78 and 14% at 1,
5 and 10 years after renal transplantation, respectively. Mortality rate was
relatively high (3/14; 21%) in these young patients and was directly related to
infectious complications (recurrent angiocholitis) of severe Caroli's disease
(dilatation of intra- and/or extra-hepatic bile ducts), a typical feature of
ARPKD. CONCLUSIONS: Our data suggest that ARPKD patients evaluated for renal
transplantation should be carefully screened for severe Caroli's disease. Even
though the limited number of patients included in our study precludes any
definite recommendation, pre-emptive liver transplantation may be a therapeutic
option in ARPKD patients with severe Caroli's disease evaluated for renal
transplantation.
PMID- 22076433
TI - Inhibition of the p38 MAPK pathway ameliorates renal fibrosis in an NPHP2 mouse
model.
AB - BACKGROUND: Nephronophthisis (NPHP), the most frequent genetic cause of end-stage
kidney disease in children and young adults, is characterized by a variable
number of renal cysts associated with cortical tubular atrophy and interstitial
fibrosis. The p38 mitogen-activated protein kinase (MAPK) pathway is an important
intracellular signaling pathway involved in the production of profibrotic
mediators. The relationship between p38 MAPK and renal fibrosis in NPHP2 is
unknown. METHODS: We administered a selective p38 MAPK inhibitor, FR167653, in a
NPHP2 mouse model (inv/inv, invDeltaC mice) from 3 to 6 weeks old, and the
kidneys were examined at 6 weeks of age. Phosphorylation of p38 MAPK (p-p38 MAPK)
protein levels, the degree of renal fibrosis, messenger RNA (mRNA) levels for
extracellular matrix genes and mRNA levels for transforming growth factor in the
kidneys were studied. Effect of an extracellular signal-regulated protein kinase
(ERK) kinase (MEK) inhibitor on renal fibrosis was also evaluated. RESULTS:
Expression of extracellular matrix genes and p-p38 MAPK were increased in the
NPHP2 mouse model kidney. FR167653 successfully decreased p-p38 MAPK levels, the
degree of fibrosis and extracellular matrix gene expressions. However, the
FR167653 did not prevent cyst expansion, abnormal cell proliferation and
acceleration of apoptosis and did not influence ERK activation. In contrast, MEK
inhibition reduced both cyst expansion and fibrosis without affecting p38 MAPK
activation. CONCLUSIONS: These results suggest that inhibition of p38 MAPK
reduced renal fibrosis but not cyst expansion, cell proliferation and apoptosis
in NPHP2 model mice. Our results suggest that p38 MAPK and ERK signaling pathways
independently affect renal fibrosis in inv mutant mice.
PMID- 22076434
TI - High-fat diet-induced renal cell apoptosis and oxidative stress in spontaneously
hypertensive rat are ameliorated by fenofibrate through the PPARalpha-FoxO3a-PGC
1alpha pathway.
AB - BACKGROUND: The peroxisome proliferator-activated receptor-alpha (PPARalpha) is a
lipid-sensing transcriptional factor that has a role in gluco-oxidative stress
and lipotoxicity. Forkhead box O (FoxO)s and peroxisome proliferator-activated
receptor-gamma coactivator (PGC)-1alpha are also known to regulate cell
metabolism, cell cycle arrest, apoptosis and oxidative stress during stressful
conditions. We evaluated whether PPARalpha-FoxOs-PGC-1alpha signaling in overfed
spontaneously hypertensive rats (SHR) has a protective role in the kidney.
METHODS: Male SHR and Wistar-Kyoto rats (WKY) fed a high-fat diet (HFD) received
treatment with fenofibrate, PPARalpha agonist or tempol, antioxidants for 12
weeks and were evaluated about the PPARalpha-FoxOs-PGC-1alpha pathway. RESULTS:
The SHRs with an HFD had an elevated systolic pressure, plasma insulin, free
fatty acid (FFA) and triglyceride (TGs) levels, and they had induced glucose
intolerance as well as albuminuria, glomerular expansion and renal inflammation.
An HFD caused the accumulation of intra-renal FFA and TGs and this was related to
a decrease in the PPARalpha expression, the activation of phosphatidylinositol 3
kinase (PI3K)-Akt, phosphorylation of FoxO3a and decreases in the PGC-1alpha and
estrogen-related receptor (ERR)-1alpha expressions, which suppressed the
superoxide dismutase (SOD2) and Bcl-2 expressions and led to increases in
oxidative stress and the number of apoptotic renal cells. Interestingly,
administering fenofibrate or tempol to the HFD-induced SHRs reversed all of the
renal phenotypes by increasing the PPARalpha expression with concomitant
inactivation of the PI3K-Akt pathway, dephosphorylation of FoxO3a and activation
of PGC-1alpha-ERR-1alpha signaling, and this all resulted in ameliorating the
oxidative stress and apoptotic cell death. CONCLUSION: Our results demonstrated
that PPARalpha agonists or antioxidants are associated with improvement of the
circulating FFA and TGs levels and this prevents HFD-induced renal lipotoxicity
and hypertension by the activation of PPARalpha and its downstream signals of
both FoxO3a and PGC-1alpha.
PMID- 22076435
TI - Proteomic analysis reveals overexpression of moesin and cytokeratin 17 proteins
in colorectal carcinoma.
AB - The study of tumor biomarkers was gradually facilitated by the adoption of
proteomic strategies due to less invasiveness and higher sensitivity. Colorectal
cancer is one of the most commonly occurring cancers worldwide and its incidence
has markedly increased in Korea. While the adoption of proteomic strategies
facilitated the study of tumor biomarkers, to date, no common agreement has been
derived from proteomic investigations regarding tumor markers of colorectal
cancer. This study was designed to find molecules differentially expressed in
colorectal cancer compared to non-tumor mucosa. Four colorectal adenocarcinoma
and corresponding non-tumor tissue samples were analyzed to find previously
unknown proteins via two-dimensional electrophoresis and MALDI-TOF/MS
spectrometry. Western blot assays and tissue microarray (TMA)
immunohistochemistry were performed to validate the identified proteins. Among
the twelve up-regulated and one down-regulated proteins identified, moesin,
cytokeratin (KRT) 17 and carbonic anhydrase I were validated by western blot
analysis and/or immunohistochemistry. On immunohistochemistry, both moesin and
KRT17 demonstrated a tendency of increased expression as pT stage advanced. Both
moesin and KRT17 were not expressed in normal colorectal epithelium. These two
proteins may play a role in cancer invasion and/or metastasis in colorectal
carcinoma, and could be candidate biomarkers for the diagnosis and prognosis of
colorectal cancer.
PMID- 22076436
TI - CCL21 modulates the migration of NSCL cancer by changing the concentration of
intracellular Ca2+.
AB - Recurrence and metastasis are the major factors associated with the poor
prognosis of non-small cell lung cancer (NSCLC). It has been shown that multiple
chemokines and their receptors are related to the progression and metastasis of
NSCLC. The aim of this study was to conduct an investigation into whether CCL21
and its receptor, CCR7, play a role in NSCLC invasion and metastasis. We used
Western blotting, immunocytochemistry and flow cytometry to detect CCR7 protein
expression in four NSCLC cell lines EKVX, HOP-62, NCI-H23 and Slu-01; and we
conducted a cell migration experiment to observe the pseudopodia formation and
mobility of the lung cancer cells. The concentration of intracellular calcium was
measured by fluorescence microscopy. CCR7 protein was positively expressed in the
four NSCLC cell lines EKVX, HOP-62, NCI-H23 and Slu-01. Following CCL21
stimulation, obvious pseudopodia formation of lung cancer cells was observed. The
cell migration experiment showed that following incubation with CCL21, the number
of EKVX cells which passed through the polycarbonate micro-porous filter
membranes also increased to an obvious extent. After CCL21 incubation, the
intracellular Ca2+ level of the EKVX cells increased to an obvious extent.
Chemokine CCL21 facilitates the migration of lung cancer by changing the
concentration of intracellular Ca2+. The CCL21-CCR7 axis may play an important
role in NSCLC invasion and metastasis. It may also be a potential target for
NSCLC therapy or for prevention of the recurrence and metastasis of NSCLC.
PMID- 22076437
TI - Methods to limit attrition in longitudinal comparative effectiveness trials:
lessons from the Lithium Treatment - Moderate dose Use Study (LiTMUS) for bipolar
disorder.
AB - BACKGROUND: High attrition rates, which occur frequently in longitudinal clinical
trials of interventions for bipolar disorder, limit the interpretation of
results. PURPOSE: The aim of this article is to present design approaches that
limited attrition in the Lithium Treatment - Moderate dose Use Study (LiTMUS) for
bipolar disorder. METHODS: LiTMUS was a 6-month randomized, longitudinal
multisite comparative effectiveness trial that enrolled bipolar participants who
were at least mildly ill. Participants were randomized to either low to moderate
doses of lithium or no lithium; other treatments needed for mood stabilization
were administered in a guideline-informed, empirically supported, and
personalized fashion to participants in both treatment arms. RESULTS: Components
of the study design that may have contributed to low attrition (16%) among 283
participants randomized included the use of (1) an intent-to-treat design, (2) a
randomized adjunctive single-blind design, (3) participant reimbursement, (4)
assessment of intent to attend the next study visit (included a discussion of
attendance obstacles when intention was low), (5) quality care with limited
participant burden, and (6) target windows for study visits. LIMITATIONS: The
relationships between attrition and effectiveness and tolerability of treatment
have not been analyzed yet. CONCLUSIONS: These components of the LiTMUS design
may have limited attrition and may inform the design of future randomized
comparative effectiveness trials among similar patients and those from other
difficult-to-follow populations.
PMID- 22076439
TI - ErbB4 modulates tubular cell polarity and lumen diameter during kidney
development.
AB - ErbB4 receptor tyrosine kinase contributes to the development of the heart, the
central nervous system, and the lactating mammary gland, but whether it has a
role in the development of the kidney epithelium is unknown. Here, we found that
expression of Erbb4 isoforms JM-a CYT-1 and JM-a CYT-2 was first detectable
around embryonic day 13 in the mouse, mainly in the collecting ducts and both the
proximal and distal tubules. In vitro, overexpression of a relevant ErbB4 isoform
promoted proliferation and disturbed polarization of kidney epithelial cells when
cultured as three-dimensional structures. We examined ErbB4 function in
developing kidney tubules in vivo with Pax8-Cre-mediated conditional
overexpression of Rosa26 locus-targeted ERBB4 and with conditional Erbb4 knock
out mice. The Pax8-Cre-driven ERBB4 overexpression enhanced proliferation in the
collecting ducts, reduced the size of epithelial duct lumens, and promoted
formation of cortical tubular cysts. These defects were associated with changes
in the subcellular distribution of markers of epithelial cell polarity.
Similarly, the Pax8-Cre-mediated Erbb4 knock-out mice manifested dysfunctional
kidneys with larger duct lumens and epithelial cell mispolarization. Taken
together, these data suggest that ErbB4 signaling modulates proliferation and
polarization, cellular functions critical for the development of epithelial ducts
in the kidney.
PMID- 22076440
TI - Selective neuroprotective effects of the S18Y polymorphic variant of UCH-L1 in
the dopaminergic system.
AB - Genetic studies have implicated the neuronal ubiquitin C-terminal hydrolase (UCH)
protein UCH-L1 in Parkinson's disease (PD) pathogenesis. Moreover, the function
of UCH-L1 may be lost in the brains of PD and Alzheimer's disease patients. We
have previously reported that the UCH-L1 polymorphic variant S18Y, potentially
protective against PD in population studies, demonstrates specific antioxidant
functions in cell culture. Albeit genetic, biochemical and neuropathological data
support an association between UCH-L1, PD, synaptic degeneration and oxidative
stress, the relationship between the dopaminergic system and UCH-L1 status
remains obscure. In the current study, we have examined the dopaminergic system
of mice lacking endogenous UCH-L1 protein (gracile axonal dystrophy mice). Our
findings show that the lack of wild-type (WT) UCH-L1 does not influence to any
significant degree the dopaminergic system at baseline or following injections of
the neurotoxin methyl-4-phenyl-1,2,3,6-tetrahydropyridine (MPTP). Furthermore,
using a novel intrastriatal adenoviral injection protocol, we have found that
mouse nigral neurons retrogradely transduced with S18Y UCH-L1, but not the WT
protein, are significantly protected against MPTP toxicity. Overall, these data
provide evidence for an antioxidant and neuroprotective effect of the S18Y
variant of UCH-L1, but not of the WT protein, in the dopaminergic system, and may
have implications for the pathogenesis of PD or related neurodegenerative
conditions, in which oxidative stress might play a role.
PMID- 22076441
TI - A glial origin for periventricular nodular heterotopia caused by impaired
expression of Filamin-A.
AB - Periventricular nodular heterotopia (PH) is a human brain malformation caused by
defective neuronal migration that results in ectopic neuronal nodules lining the
lateral ventricles beneath a normal appearing cortex. Most affected patients have
seizures and their cognitive level varies from normal to severely impaired.
Mutations in the Filamin-A (or FLNA) gene are the main cause of PH, but the
underlying pathological mechanism remains unknown. Although two FlnA knockout
mouse strains have been generated, none of them showed the presence of ectopic
nodules. To recapitulate the loss of FlnA function in the developing rat brain,
we used an in utero RNA interference-mediated knockdown approach and successfully
reproduced a PH phenotype in rats comparable with that observed in human
patients. In FlnA-knockdown rats, we report that PH results from a disruption of
the polarized radial glial scaffold in the ventricular zone altering progression
of neural progenitors through the cell cycle and impairing migration of neurons
into the cortical plate. Similar alterations of radial glia are observed in human
PH brains of a 35-week fetus and a 3-month-old child, harboring distinct FLNA
mutations not previously reported. Finally, juvenile FlnA-knockdown rats are
highly susceptible to seizures, confirming the reliability of this novel animal
model of PH. Our findings suggest that the disorganization of radial glia is the
leading cause of PH pathogenesis associated with FLNA mutations. Rattus
norvegicus FlnA mRNA (GenBank accession number FJ416060).
PMID- 22076443
TI - Refinement of the associations between risk of colorectal cancer and
polymorphisms on chromosomes 1q41 and 12q13.13.
AB - In genome-wide association studies (GWASs) of colorectal cancer, we have
identified two genomic regions in which pairs of tagging-single nucleotide
polymorphisms (tagSNPs) are associated with disease; these comprise chromosomes
1q41 (rs6691170, rs6687758) and 12q13.13 (rs7163702, rs11169552). We investigated
these regions further, aiming to determine whether they contain more than one
independent association signal and/or to identify the SNPs most strongly
associated with disease. Genotyping of additional sample sets at the original
tagSNPs showed that, for both regions, the two tagSNPs were unlikely to identify
a single haplotype on which the functional variation lay. Conversely, one of the
pair of SNPs did not fully capture the association signal in each region. We
therefore undertook more detailed analyses, using imputation, logistic
regression, genealogical analysis using the GENECLUSTER program and haplotype
analysis. In the 1q41 region, the SNP rs11118883 emerged as a strong candidate
based on all these analyses, sufficient to account for the signals at both
rs6691170 and rs6687758. rs11118883 lies within a region with strong evidence of
transcriptional regulatory activity and has been associated with expression of
PDGFRB mRNA. For 12q13.13, a complex situation was found: SNP rs7972465 showed
stronger association than either rs11169552 or rs7136702, and GENECLUSTER found
no good evidence for a two-SNP model. However, logistic regression and haplotype
analyses supported a two-SNP model, in which a signal at the SNP rs706793 was
added to that at rs11169552. Post-GWAS fine-mapping studies are challenging, but
the use of multiple tools can assist in identifying candidate functional variants
in at least some cases.
PMID- 22076444
TI - Brain activation during oral exercises used for dysphagia rehabilitation in
healthy human subjects: a functional magnetic resonance imaging study.
AB - Oral exercises, including tongue, lip, and jaw movements, are commonly used in
clinical practice as training to improve oral and pharyngeal swallowing in
dysphagia patients. These rehabilitation exercises are believed to affect the
peripheral and central nervous system at various levels. However, few studies
have examined healthy subjects' brain activity while performing oral exercises
used in dysphagia rehabilitation. The current study sought to measure brain
activation during oral exercises in healthy subjects using functional magnetic
resonance imaging (fMRI). Lip-pursing and lip-stretching, tongue protrusion,
lateral tongue movement, and oral ball-rolling were selected as tongue and lip
exercise tasks. The tasks were performed by eight healthy subjects, and the fMRI
data were submitted to conjunction analyses. The results confirmed that head
movements during all tasks exhibited translation of <1.0 mm and rotation of <1.0
degrees in x, y, and z coordinates. We found several clear regions of increased
brain activity during all four oral exercises. Commonly activated regions during
tongue and lip exercises included the precentral gyrus and cerebellum. Brain
activation during ball-rolling was more extensive and stronger compared to the
other three oral exercises.
PMID- 22076445
TI - Cell phone use among homeless youth: potential for new health interventions and
research.
AB - Cell phone use has become nearly ubiquitous among adolescents in the United
States. Despite the potential for cell phones to facilitate intervention,
research, and care for homeless youth, no data exists to date on cell phone use
among this population. In 2009, a survey of cell phone use was conducted among a
non-probability sample of 169 homeless youth in Los Angeles, CA. Levels of
ownership and use, instrumental uses (connecting to case workers, employers) and
patterns of connecting to various network types were assessed (family, home-based
peers, street-based peers). Differences in socio-demographic characteristics and
cell phone ownership were assessed via t test and chi-square statistics. Sixty
two percent of homeless youth own a cell phone; 40% have a working phone.
Seventeen percent used their phone to call a case manager, 36% to call either a
potential or current employer. Fifty-one percent of youth connected with home
based peers on the phone and 41% connected to parents. Cell phones present new
opportunities for intervention research, connecting homeless youth to family and
home-based peers who can be sources of social support in times of need. Moreover,
cell phones provide researchers and providers with new avenues to maintain
connections with these highly transient youth.
PMID- 22076442
TI - A GWAS follow-up study reveals the association of the IL12RB2 gene with systemic
sclerosis in Caucasian populations.
AB - A single-nucleotide polymorphism (SNP) at the IL12RB2 locus showed a suggestive
association signal in a previously published genome-wide association study (GWAS)
in systemic sclerosis (SSc). Aiming to reveal the possible implication of the
IL12RB2 gene in SSc, we conducted a follow-up study of this locus in different
Caucasian cohorts. We analyzed 10 GWAS-genotyped SNPs in the IL12RB2 region (2309
SSc patients and 5161 controls). We then selected three SNPs (rs3790567,
rs3790566 and rs924080) based on their significance level in the GWAS, for follow
up in an independent European cohort comprising 3344 SSc and 3848 controls. The
most-associated SNP (rs3790567) was further tested in an independent cohort
comprising 597 SSc patients and 1139 controls from the USA. After conditional
logistic regression analysis of the GWAS data, we selected rs3790567 [P(MH)= 1.92
* 10(-5) odds ratio (OR) = 1.19] as the genetic variant with the firmest
independent association observed in the analyzed GWAS peak of association. After
the first follow-up phase, only the association of rs3790567 was consistent
(P(MH)= 4.84 * 10(-3) OR = 1.12). The second follow-up phase confirmed this
finding (P(chi2) = 2.82 * 10(-4) OR = 1.34). After performing overall pooled
analysis of all the cohorts included in the present study, the association found
for the rs3790567 SNP in the IL12RB2 gene region reached GWAS-level significant
association (P(MH)= 2.82 * 10(-9) OR = 1.17). Our data clearly support the
IL12RB2 genetic association with SSc, and suggest a relevant role of the
interleukin 12 signaling pathway in SSc pathogenesis.
PMID- 22076446
TI - Identification of a methylation hotspot in the death receptor Fas/CD95 in bladder
cancer.
AB - We characterized Fas immunoreactivity, functionality and its role in the response
to mitomycin-C (MMC) chemotherapy in vitro in cell lines and in vivo in bladder
washings from 23 transitional cell carcinoma of the bladder (TCCB) patients,
harvested prior to and during MMC intravesical treatment. Having established the
importance of functional Fas, we investigated the methylation and exon 9 mutation
as mechanisms of Fas silencing in TCCB. For the first time, we report p53 up
regulation in 9/14 and Fas up-regulation in 7/9 TCCB patients during intravesical
MMC treatment. Fas immunoreactivity was strong in the TCCB cell line T24 and in
17/20 (85%) tumor samples from patients with advanced TCCB. T24 and HT1376 cells
were resistant to MMC and recombinant Fas ligand, whilst RT4 cells were
responsive to Fas ligand and MMC. Using RT4 cells as a model, siRNA targeting p53
significantly reduced MMC-induced p53 and Fas up-regulation and stable DN-FADD
transfection decreased MMC-induced apoptosis, suggesting that functional Fas
enhances chemotherapy responses in a p53-dependent manner. In HT1376 cells, 5-aza
2-deoxycytidine (12 uM) induced Fas immunoreactivity and reversed methylation at
CpG site -548 within the Fas promoter. This site was methylated in 13/24 (54%)
TCCB patient samples assessed using Methylation-Specific Polymerase Chain
Reaction. There was no methylation at either the p53 enhancer region within the
first intron or at the SP-1 binding region in the promoter and no mutation within
exon 9 in tumor DNA extracted from 38 patients. Methylation at CpG site -548 is a
potential target for demethylating drugs.
PMID- 22076447
TI - The pharmacokinetic-pharmacodynamic assessment of the hypotensive effect after
coadministration of losartan and hydrochlorothiazide in spontaneously
hypertensive rats.
AB - The interactive hypotensive effect of the combination treatment of losartan (LOS)
and hydrochlorothiazide (HCTZ) was assessed using a pharmacokinetic
pharmacodynamic (PK-PD) model in spontaneously hypertensive rats. Intravenous
coadministration of these drugs showed a prolonged and enhanced time-course of
the hypotensive effect. A population PK analysis revealed the delayed elimination
of LOS after coadministration. The time-course of the plasma renin activity (PRA)
was measured, and showed a more continuative time profile after coadministration
compared with the administration of LOS alone. An indirect response model was
applied to describe the relationship between the PK of LOS and the PRA profile,
and the E(max) value for the increase of the PRA by LOS was increased with the
dose of HCTZ. Blood pressure was linked to the PRA through an effect compartment.
The model successfully described the relationship between the doses of LOS and
HCTZ and their interactive hypotensive effect. These results indicate that the
interaction for blood pressure in the combination treatment of LOS and HCTZ can
be estimated using the doses of the drugs and the PRA-mediated PK-PD model.
PMID- 22076448
TI - Polycyclic aromatic hydrocarbons activate CYP3A4 gene transcription through human
pregnane X receptor.
AB - Aryl hydrocarbon receptor (AhR) activators have been shown to induce members of
the cytochrome P450 (P450) 1 family. Here we demonstrate that the AhR activators
induce CYP3A4 through human pregnane X receptor (PXR). AhR activators, polycyclic
aromatic hydrocarbons (PAHs) and 2,3,7,8-tetrachlorodibenzo-p-dioxin (TCDD)
increased CYP3A4 reporter activity and CYP3A4 mRNA expression in HepG2 cells. The
CYP3A4 reporter activity was also increased by treatment with cigarette tar. The
increased CYP3A4 reporter activity was clearly knocked down by the introduction
of human PXR-small interfering RNA, but not by that of human AhR-small
interfering RNA. The CYP3A4 reporter activity enhanced by overexpression of human
PXR was further increased by treatment with PAHs and TCDD as well as by treatment
with rifampicin. These results suggest that PAHs contained in cigarette smoke
induce CYP3A4 in human liver.
PMID- 22076449
TI - Relation between bevacizumab dose intensity and high-grade glioma survival: a
retrospective study in two large cohorts.
AB - Bevacizumab is one of the rare drugs that could improve high-grade glioma outcome
after failure of chemoradiotherapy. However, to date, there is no biomarker
predictive for efficacy of bevacizumab therapy in terms of survival improvement
for patients with high-grade glioma. We performed a retrospective analysis of
clinical factors associated with patient survival using a training cohort of 110
consecutive patients treated with bevacizumab for recurrent high-grade glioma and
an independent validation cohort of 109 patients. In the training cohort, 110
consecutive patients received bevacizumab-based therapy. The number of
chemotherapy cycles delivered was 1,411. Median follow-up was 12 months. Thirty
four patients (31%) had objective partial response and 24% had stable disease on
magnetic resonance imaging evaluation. Median progression-free survival (PFS) and
overall survival (OS) were 4.3 and 9.2 months, respectively. On univariate
analysis, among classical prognosis factors, only Karnofsky status >=70% was
associated with improved outcome. Surprisingly, patients with low bevacizumab
dose intensity (<5 mg/kg/week) had better PFS (12 vs. 2 months, P < 0.0001) and
OS (16 vs. 6 months, P = 0.0002). On multivariate analysis, low bevacizumab dose
intensity was the most significant independent prognostic factor of survival.
Analysis of the validation cohort yielded similar results, externally validating
this observation. This large retrospective study using two independent cohorts of
high-grade glioma suggests that the currently recommended dosage of bevacizumab
(5 mg/kg/week) is not optimal. Further prospective randomized trials using lower
dosages are warranted.
PMID- 22076450
TI - Sporadic CNS hemangioblastomatosis, response to sunitinib and secondary
polycythemia.
PMID- 22076451
TI - Managing burn emergencies.
AB - Nursing interventions in the first crucial hours after a burn injury can improve
the patient's chances of survival and a good recovery. This article describes how
to rapidly assess and intervene, in the field and in the hospital.
PMID- 22076454
TI - The etiology of thrombocytopenia.
AB - Hemostasis depends on an adequate number of well-functioning platelets in the
circulating blood; decreasing platelet levels raise the risk for bleeding.
Thrombocytopenia is a laboratory finding, not a diagnosis, and once identified, a
detailed history, physical examination, and further laboratory tests are used to
establish an etiology. This article reviews the assessment, diagnosis, and
treatment of thrombocytopenia.
PMID- 22076455
TI - Caring for the woman with migraine headaches.
AB - About 16% of American women experience migraine headaches. These debilitating
headaches cause lost time from family, social activities, and work. Although
migraines are thought to be a result of shifting menstrual and perimenopausal
hormones, a physiologic connection has not been well established. This article
approaches premenstrual and perimenopausal migraine headaches from a chronic
disease perspective, focusing on self-care and the use of prescription and
nonprescription therapies. Implications for practice and future research also are
discussed.
PMID- 22076456
TI - Ground zero: building a cardiac surgery program.
AB - More and more community hospitals are opening cardiovascular surgery programs to
provide a broader spectrum of services closer to home. This article leads the
reader through one hospital's experience in opening a new heart center and
highlights the philosophy, triaging of issues, and staff preparation needed to
achieve successful patient outcomes. Our case study can serve as a guide for
other hospitals as they take on the challenge of opening new programs.
PMID- 22076457
TI - How a work group facilitator can help units reach goals.
AB - Nurse managers have many demands on their time, and many unit and organizational
goals to meet. This article describes skills for facilitating work groups and
reaching goals by focusing others' talents.
PMID- 22076459
TI - Critical care nursing orientation in the rural community hospital.
AB - Hospitals of all sizes should provide an orientation for their newly hired
critical care nurses-but when the hospital is a rural community facility,
resources for such programs may be in especially short supply. In this article,
we describe how our hospital overcame staffing and funding shortages to create a
successful, collaborative orientation program for its critical care nurses.
PMID- 22076460
TI - Bed rest after percutaneous transluminal coronary angioplasty: how much is
enough?
AB - This literature review explores current research on the amount of bed rest
necessary after PTCA. Because of the wide variation in postprocedure bed rest
requirements, more research is needed in this area to help advanced practice
nurses better care for post-PTCA patients by adapting nursing protocols to
reflect evidence-based practice.
PMID- 22076461
TI - Education: the key to retention.
PMID- 22076462
TI - Neural control of olfaction and tentacle movements by serotonin and dopamine in
terrestrial snail.
AB - We investigated the role of serotonin (5HT) and dopamine (DA) in the regulation
of olfactory system function and odor-evoked tentacle movements in the snail
Helix. Preparations of the posterior tentacle (including sensory pad, tentacular
ganglion and olfactory nerve) or central ganglia with attached posterior
tentacles were exposed to cineole odorant and the evoked responses were affected
by prior application of 5HT or DA or their precursors 5-hydroxytryptophan (5HTP)
and L: -DOPA, respectively. 5HT applications decreased cineole-evoked responses
recorded in the olfactory nerve and hyperpolarized the identified tentacle
retractor muscle motoneuron MtC3, while DA applications led to the opposite
changes. 5HTP and L: -DOPA modified MtC3 activity comparable to 5HT and DA
action. DA was also found to decrease the amplitude of spontaneous local field
potential oscillations in the procerebrum, a central olfactory structure. In vivo
studies demonstrated that injection of 5HTP in freely moving snails reduced the
tentacle withdrawal response to aversive ethyl acetate odorant, whereas the
injection of L: -DOPA increased responses to "neutral" cineole and aversive ethyl
acetate odorants. Our data suggest that 5HT and DA affect the peripheral (sensory
epithelium and tentacular ganglion), the central (procerebrum), and the single
motor neuron (withdrawal motoneuron MtC3) level of the snail's nervous system.
PMID- 22076463
TI - Venous thromboembolism in patients with essential thrombocythemia and
polycythemia vera.
AB - Polycythemia vera (PV) and essential thrombocythemia (ET) are myeloproliferative
neoplasms (MPNs), which generally follow a benign and indolent clinical course.
However, venous thromboses are common and constitute the main cause of morbidity
and mortality. The discovery of the JAK2V617F mutation and other biomarkers has
advanced our understanding of these diseases. There is a strong association
between the presence of the JAK2V617F mutation and the development of thrombosis
in ET. If venous thrombosis presents with unusual manifestations, the diagnosis
of a MPN, such as PV or ET, should be part of the differentials. Treatment of
venous thrombosis in MPN follows the same principle as in other patients with
venous thrombosis, but careful attention to primary and secondary prophylaxis in
addition to heparin-induced thrombocytopenia should be given. Cytoreductive
therapy is indicated in high-risk subgroups of PV and ET patients, and
alternative therapeutic agents have different effects on risk of venous
thrombosis. New therapeutic approaches are emerging, and JAK2 inhibitors, histone
deacetylase inhibitors and next-generation anticoagulants are in various stages
of clinical development for the treatment of MPN, but their exact role in
thrombosis prevention and treatment remains unclear.
PMID- 22076464
TI - Identification of germline susceptibility loci in ETV6-RUNX1-rearranged childhood
acute lymphoblastic leukemia.
AB - Acute lymphoblastic leukemia (ALL) is a malignant disease of the white blood
cells. The etiology of ALL is believed to be multifactorial and likely to involve
an interplay of environmental and genetic variables. We performed a genome-wide
association study of 355 750 single-nucleotide polymorphisms (SNPs) in 474
controls and 419 childhood ALL cases characterized by a t(12;21)(p13;q22) - the
most common chromosomal translocation observed in childhood ALL - which leads to
an ETV6-RUNX1 gene fusion. The eight most strongly associated SNPs were followed
up in 951 ETV6-RUNX1-positive cases and 3061 controls from Germany/Austria and
Italy, respectively. We identified a novel, genome-wide significant risk locus at
3q28 (TP63, rs17505102, P(CMH)=8.94 * 10(-9), OR=0.65). The separate analysis of
the combined German/Austrian sample only, revealed additional genome-wide
significant associations at 11q11 (OR8U8, rs1945213, P=9.14 * 10(-11), OR=0.69)
and 8p21.3 (near INTS10, rs920590, P=6.12 * 10(-9), OR=1.36). These associations
and another association at 11p11.2 (PTPRJ, rs3942852, P=4.95 * 10(-7), OR=0.72)
remained significant in the German/Austrian replication panel after correction
for multiple testing. Our findings demonstrate that germline genetic variation
can specifically contribute to the risk of ETV6-RUNX1-positive childhood ALL. The
identification of TP63 and PTPRJ as susceptibility genes emphasize the role of
the TP53 gene family and the importance of proteins regulating cellular processes
in connection with tumorigenesis.
PMID- 22076465
TI - Glycolysis inhibition targets Mcl-1 to restore sensitivity of lymphoma cells to
ABT-737-induced apoptosis.
PMID- 22076466
TI - Nilotinib in patients with Ph+ chronic myeloid leukemia in accelerated phase
following imatinib resistance or intolerance: 24-month follow-up results.
AB - Nilotinib (Tasigna) is a potent and selective BCR-ABL inhibitor approved for use
in patients with newly diagnosed chronic myeloid leukemia (CML) in chronic phase
(CML-CP) and in patients with CML-CP and accelerated phase (CML-AP) who are
resistant to or intolerant of imatinib. Patients with CML-AP (N = 137) with at
least 24 months of follow-up or who discontinued early were evaluated to
determine the efficacy and tolerability of nilotinib. The majority (55%) of
patients achieved a confirmed hematologic response, and 31% attained a confirmed
complete hematologic response on nilotinib treatment. Overall, 32% of patients
achieved major cytogenetic responses (MCyR), with most being complete cytogenetic
responses. Responses were durable, with 66% of patients maintaining MCyR at 24
months. The estimated overall and progression-free survival rates at 24 months
were 70% and 33%, respectively. Grade 3/4 neutropenia and thrombocytopenia were
each observed in 42% of patients. Non-hematologic adverse events were mostly mild
to moderate; the safety profile of nilotinib has not changed with longer follow
up. In all, 20 (15%) patients remained on study at data cutoff. In summary,
nilotinib has a manageable safety profile, and can provide favorable long-term
outcomes in the pretreated CML-AP patient population for whom treatment options
are limited.
PMID- 22076467
TI - Human skeletal muscle stem cell antiinflammatory activity ameliorates clinical
outcome in amyotrophic lateral sclerosis models.
AB - Mesenchymal stem cell (MSC) therapy is considered one of the most promising
approaches for treating different neurodegenerative disorders, including
amyotrophic lateral sclerosis (ALS). We previously characterized a subpopulation
of human skeletal muscle-derived stem cells (SkmSCs) with MSC-like
characteristics that differentiate into the neurogenic lineage in vitro. In the
present study, we evaluated the SkmSC therapeutic effects in the most
characterized model of spontaneous motor neuron degeneration, the Wobbler (Wr)
mouse. Before evaluating the therapeutic efficacy in the Wr mouse, we followed
the route of Skm-SCs at different times after intracerebroventricular injection.
Two exogenous tracers, superparamagnetic iron oxide (SPIO) nanoparticles and
Hoechst 33258, were used for the in vivo and ex vivo tracking of SkmSCs. We found
that the loading of both Hoechst and SPIO was not toxic and efficiently labeled
SkmSCs. The magnetic resonance imaging (MRI) system 7 Tesla allowed us to
localize transplanted SkmSCs along the whole ventricular system up to 18 wks
after injection. The ex vivo Hoechst 33258 visualization confirmed the in vivo
results obtained by MRI analyses. Behavioral observations revealed a fast and
sustained improvement of motor efficacy in SkmSC-treated Wr mice associated with
a relevant protection of functional neuromuscular junctions. Moreover, we found
that in SkmSC-treated Wr mice, a significant increase of important human
antiinflammatory cytokines occurred. This evidence is in accordance with previous
findings showing the bystander effect of stem cell transplantation in
neurodegenerative disorders and further strengthens the hypothesis of the
possible link between inflammation, cytotoxicity and ALS.
PMID- 22076468
TI - High mobility group box protein 1 (HMGB1)-partner molecule complexes enhance
cytokine production by signaling through the partner molecule receptor.
AB - The nuclear protein high mobility group box protein 1 (HMGB1) promotes
inflammation upon extracellular release. HMGB1 induces proinflammatory cytokine
production in macrophages via Toll-like receptor (TLR)-4 signaling in a redox
dependent fashion. Independent of its redox state and endogenous cytokine
inducing ability, HMGB1 can form highly immunostimulatory complexes by
interaction with certain proinflammatory mediators. Such complexes have the
ability to enhance the induced immune response up to 100-fold, compared with
induction by the ligand alone. To clarify the mechanisms for these strong
synergistic effects, we studied receptor requirements. Interleukin (IL)-6
production was assessed in supernatants from cultured peritoneal macrophages from
mice each deficient in one of the HMGB1 receptors (receptor for advanced
glycation end products [RAGE], TLR2 or TLR4) or from wild-type controls. The
cultures were stimulated with the TLR4 ligand lipopolysaccaride (LPS), the TLR2
ligand Pam3CysSerLys4 (Pam3CSK4), noninflammatory HMGB1 or each TLR ligand in
complex with noninflammatory HMGB1. The activity of the HMGB1-TLR ligand
complexes relied on engagement of the same receptor as for the noncomplexed TLR
ligand, since HMGB1-LPS complexes used TLR4 and HMGB1-Pam3CSK4 complexes used
TLR2. Deletion of any of the intracellular adaptor molecules used by TLR2
(myeloid differentiation factor-88 [MyD88], TIR domain-containing adaptor protein
[TIRAP]) or TLR4 (MyD88, TIRAP, TIR domain-containing adaptor-inducing interferon
beta [TRIF], TRIF-related adaptor molecule [TRAM]) had similar effects on HMGB1
complex activation compared with noncomplexed LPS or Pam3CSK4. This result
implies that the enhancing effects of HMGB1-partner molecule complexes are not
regulated by the induction of additional signaling cascades. Elucidating HMGB1
receptor usage in processes where HMGB1 acts alone or in complex with other
molecules is essential for the understanding of basic HMGB1 biology and for
designing HMGB1-targeted therapies.
PMID- 22076469
TI - Postnatal rosiglitazone administration to neonatal rat pups does not alter the
young adult metabolic phenotype.
AB - BACKGROUND: Rosiglitazone (RGZ), a peroxisome proliferator-activated receptor
gamma (PPARgamma) agonist, significantly enhances lung maturation without
affecting blood biochemical and metabolic profiles in the newborn period.
However, whether this exposure to RGZ in neonatal life alters the adult metabolic
phenotype is not known. OBJECTIVE: To determine the effects of early postnatal
administration of RGZ on the young adult metabolic phenotype. METHODS: Newborn
rat pups were administered either saline or RGZ for the first 7 days of life. At
11-14 weeks, glucose and insulin tolerance tests and deuterium labeling were
performed. Blood and tissues were analyzed for various metabolic parameters.
RESULTS: Overall, there was no effect of early postnatal RGZ administration on
young adult body weight, glucose and insulin tolerance, plasma cholesterol and
triglyceride profiles, insulin, glucagon, cardiac troponin, fatty acid synthesis,
or tissue adipogenic differentiation. CONCLUSIONS: Treatment with RGZ in early
neonatal life does not alter later developmental metabolic programming or lead to
an altered metabolic phenotype in the young adult, further re-enforcing the
safety of PPARgamma agonists as a novel lung-protective strategy.
PMID- 22076470
TI - Improving molecular docking through eHiTS' tunable scoring function.
AB - We present three complementary approaches for score-tuning that improve docking
performance in pose prediction, virtual screening and binding affinity
assessment. The methodology utilizes experimental data to customize the scoring
function for the system of interest considering the specific docking scenario.
The tuning approach, which has been implemented as an automated utility in eHiTS,
is introduced as a solution to one of the conundrums of the molecular docking
paradigm, namely, the lack of a universally well performing scoring function. The
accuracy of scoring functions has been shown to be generally system-dependent,
and particularly lacking for binding energy and bio-activity predictions. In the
proposed approach, pose and energy predictions are enhanced by adjusting the
relative weights of the eHiTS energy terms to improve score-RMSD or score
affinity correlations. In a virtual screening context ligand-based similarity is
used to rescale the docking score such that better enrichment factors are
achieved. We discuss the algorithmic details of the methods, and demonstrate the
effects of score tuning on a variety of targets, including CDK2, BACE1 and
neuraminidase, as well as on the popular benchmarks--the Directory of Useful
Decoys and the PDBBind database.
PMID- 22076471
TI - Molecular dynamics of Mycobacterium tuberculosis KasA: implications for inhibitor
and substrate binding and consequences for drug design.
AB - Inhibition of the production of fatty acids as essential components of the
mycobacterial cell wall has been an established way of fighting tuberculosis for
decades. However, increasing resistances and an outdated medical treatment call
for the validation of new targets involved in this crucial pathway. In this
regard, the beta-ketoacyl ACP synthase KasA is a promising enzyme. In this study,
three molecular dynamics simulations based on the wildtype crystal structures of
inhibitor bound and unbound KasA were performed in order to investigate the
flexibility and conformational space of this target. We present an exhaustive
analysis of the binding-site flexibility and representative pocket conformations
that may serve as new starting points for structure-based drug design. We also
revealed a mechanism which may account for the comparatively low binding affinity
of thiolactomycin. Furthermore, we examined the behavior of water molecules
within the binding pocket and provide recommendations how to handle them in the
drug design process. Finally, we analyzed the dynamics of a channel that
accommodates the long-chain fatty acid substrates and, thereby, propose a
mechanism of substrate access to this channel and how products are most likely
released.
PMID- 22076472
TI - Robotic extravesical anti-reflux operations in complex cases: technical
considerations and preliminary results.
AB - OBJECTIVES: To evaluate technical aspects and outcome of robotic laparoscopic
extravesical anti-reflux surgery in the treatment of high-grade vesicoureteral
reflux (VUR) with associated complicating conditions. MATERIALS AND METHODS:
Retrospective database and chart reviews were performed to identify a subgroup of
patients with high-grade VUR who underwent robot-assisted anti-reflux surgery
using the extravesical Lich-Gregoir repair and who additionally had
preoperatively known complicating factors. Five such patients were operated on
from 2005 to 2009. All had bilateral VUR, bladder dysfunction, breakthrough
infections, renal scarring or at least one of the following complicating factors:
posterior urethral valve bladders, duplex systems or para-ostial diverticula.
Outcome and surgical aspects were assessed. RESULTS: At follow-up 9 of 10 ureters
were free of reflux and diverticulae had disappeared completely. No lasting
urinary retentions occurred but two boys needed reinsertion of a catheter for 24
h after surgery. No further complications were noted. There were no signs of
obstruction, infections did not persist and there was no negative effect on
bladder function. Dissection of para-ostial diverticula seemed the only
additional technical challenge. CONCLUSIONS: Robot-assisted extravesical anti
reflux surgery seems a promising technique in the operative management of this
unfavorable subset of patients. Reflux cure rate is higher than expected using
injection therapy and at the same time morbidity seems lower than with open
surgery. Further experience is needed to confirm these first impressions.
PMID- 22076473
TI - PI-PLCbeta1 gene copy number alterations in breast cancer.
AB - Deregulation of signal transduction pathways frequently confers selective
biological advantages to tumors. Phosphoinositides play an essential role in
numerous cellular functions and, among the enzymes implicated in these processes,
phosphoinositide-specific phospholipase C beta1 (PI-PLCbeta1) is one of the key
regulators. In the present study, a fluorescence in situ hybridization (FISH)
approach was used to investigate PI-PLCbeta1 gene copy number alterations in
various types of breast cancer differing in their invasiveness and proliferative
activity, according to their mitotic index. At the molecular level, we also
performed both real-time PCR and immunohistochemical analyses on PI-PLCbeta1 to
further investigate its expression in primary breast cancers. Finally, we
analyzed the correlation between PI-PLCbeta1 gene copy number and
clinicopathological parameters. Our results show that most of our cases had
aneusomies on the PI-PLCbeta1 locus (20p12) and amplification of this specific
region was the most frequent alteration observed. Our findings also indicate that
the amplification of the region containing the PI-PLCbeta1 gene was mostly
related to the mitotic index, rather than to the invasion status. Finally, even
though our case series is limited, PI-PLCbeta1 gene amplification seems to be
correlated to clinicopathological parameters.
PMID- 22076474
TI - Role of p21 as a determinant of 1,6-Bis[4-(4-amino-3-hydroxyphenoxy)phenyl]
diamantane response in human HCT-116 colon carcinoma cells.
AB - 1,6-Bis[4-(4-amino-3-hydroxyphenoxy)phenyl]diamantane (DPD) induces growth
inhibition in human cancer cells. In our previous study, we discovered that DPD
irreversibly inhibits the growth of Colo 205 colon cancer cells at the G0/G1
phase and induces cell differentiation. However, the detailed mechanism is still
unknown. In this study, we examined the functional importance of p21 and p53 in
DPD-induced anticancer effects. We used three isogenic cell lines, HCT-116, HCT
116 p53-/- and HCT-116 p21-/-, to evaluate the roles of p21 and p53 in the in
vitro anticancer effects of DPD. The in vivo anti-proliferative effect of DPD was
demonstrated by HCT-116 and HCT-116 p21-/- xenograft models. DPD significantly
inhibited the growth as well as increased the number of HCT-116 cells in the
G0/G1 phase, but not in HCT-116 p53-/- and HCT-116 p21-/- cells examined by flow
cytometry. Additionally, western blot analysis showed that DPD treatment induced
p21, but not p53 protein expression in HCT-116 cells. The p21-associated cell
cycle regulated proteins, such as cyclin D, CDK4 and pRb were decreased after DPD
treatment in HCT-116 cells. The DPD-increased G0/G1 phase and induced cell cycle
regulated protein expression were not observed in HCT-116 p21-/- and HCT-116 p53
/- cells. DPD decreased cell migration in HCT-116 and HCT-116 p53-/- but not in
HCT-116 p21-/- cells. p21 was required for the DPD-induced in vitro anti-colon
cancer effect. The in vivo study also showed that DPD significantly inhibited
tumor growth through p21 signaling. Our results clearly demonstrate that DPD
induced in vitro and in vivo anticancer effects through the activation of p21 in
HCT-116 cells.
PMID- 22076475
TI - An electrochemical gas sensor based on paper supported room temperature ionic
liquids.
AB - A sensitive and fast-responding membrane-free amperometric gas sensor is
described, consisting of a small filter paper foil soaked with a room temperature
ionic liquid (RTIL), upon which three electrodes are screen printed with carbon
ink, using a suitable mask. It takes advantage of the high electrical
conductivity and negligible vapour pressure of RTILs as well as their easy
immobilization into a porous and inexpensive supporting material such as paper.
Moreover, thanks to a careful control of the preparation procedure, a very close
contact between the RTIL and electrode material can be achieved so as to allow
gaseous analytes to undergo charge transfer just as soon as they reach the three
phase sites where the electrode material, paper supported RTIL and gas phase
meet. Thus, the adverse effect on recorded currents of slow steps such as analyte
diffusion and dissolution in a solvent is avoided. To evaluate the performance of
this device, it was used as a wall-jet amperometric detector for flow injection
analysis of 1-butanethiol vapours, adopted as the model gaseous analyte, present
in headspace samples in equilibrium with aqueous solutions at controlled
concentrations. With this purpose, the RTIL soaked paper electrochemical detector
(RTIL-PED) was assembled by using 1-butyl-3-methylimidazolium
bis(trifluoromethanesulfonyl)imide as the wicking RTIL and printing the working
electrode with carbon ink doped with cobalt(II) phthalocyanine, to profit from
its ability to electrocatalyze thiol oxidation. The results obtained were quite
satisfactory (detection limit: 0.5 MUM; dynamic range: 2-200 MUM, both referring
to solution concentrations; correlation coefficient: 0.998; repeatability: +/-7%
RSD; long-term stability: 9%), thus suggesting the possible use of this device
for manifold applications.
PMID- 22076477
TI - Risk prediction models of breast cancer: a systematic review of model
performances.
AB - The number of risk prediction models has been increasingly developed, for
estimating about breast cancer in individual women. However, those model
performances are questionable. We therefore have conducted a study with the aim
to systematically review previous risk prediction models. The results from this
review help to identify the most reliable model and indicate the strengths and
weaknesses of each model for guiding future model development. We searched
MEDLINE (PubMed) from 1949 and EMBASE (Ovid) from 1974 until October 2010.
Observational studies which constructed models using regression methods were
selected. Information about model development and performance were extracted.
Twenty-five out of 453 studies were eligible. Of these, 18 developed prediction
models and 7 validated existing prediction models. Up to 13 variables were
included in the models and sample sizes for each study ranged from 550 to
2,404,636. Internal validation was performed in four models, while five models
had external validation. Gail and Rosner and Colditz models were the significant
models which were subsequently modified by other scholars. Calibration
performance of most models was fair to good (expected/observe ratio: 0.87-1.12),
but discriminatory accuracy was poor to fair both in internal validation
(concordance statistics: 0.53-0.66) and in external validation (concordance
statistics: 0.56-0.63). Most models yielded relatively poor discrimination in
both internal and external validation. This poor discriminatory accuracy of
existing models might be because of a lack of knowledge about risk factors,
heterogeneous subtypes of breast cancer, and different distributions of risk
factors across populations. In addition the concordance statistic itself is
insensitive to measure the improvement of discrimination. Therefore, the new
method such as net reclassification index should be considered to evaluate the
improvement of the performance of a new develop model.
PMID- 22076476
TI - The CIRAS study: a case control study to define the clinical, immunologic, and
radiographic features of aromatase inhibitor-induced musculoskeletal symptoms.
AB - Aromatase inhibitors (AIs) are widely prescribed for post-menopausal hormone
receptor-positive breast cancer; however, musculoskeletal symptoms limit their
tolerability. The purpose of this study was to determine whether joint pain in
women receiving AIs is associated with inflammatory arthritis as measured by the
disease activity score-28 (DAS-28), and to evaluate association with
tenosynovitis on ultrasound. A total of 48 postmenopausal women with stage I-III
breast cancer and hand pain were recruited from the Lombardi Comprehensive Cancer
Center. Those receiving AIs were cases (n = 25), and those not receiving AIs were
controls (n = 23). During a single study visit, subjects underwent blinded
rheumatologic evaluation, DAS-28, health assessment questionnaires,
autoantibodies, inflammatory markers, hand X-ray, and hand Duplex ultrasound.
There were no significant differences between cases and controls in DAS-28, or
inflammatory markers. A positive ANA (titer > 1:160) was found in ten patients,
four of whom met criteria for autoimmune disease (two with rheumatoid arthritis
and two with Sjogren's syndrome, equally distributed among cases and controls).
This highlights the importance of considering underlying autoimmune disease in
subjects with musculoskeletal complaints. Morning stiffness was more prolonged in
women receiving AIs, but this did not reach statistical significance (P = 0.07).
Ultrasound evidence of flexor tenosynovitis was common in both groups. Although
tenosynovitis was not correlated with AI use (P = 0.26), there was a trend toward
an association between tenosynovitis and morning stiffness (P = 0.089). While
aromatase inhibitor-induced musculoskeletal symptoms (AIMSS) were more common in
subjects receiving AIs, they were not unique to AI users. There was no
association between presence of AIMSS features and other chemotherapy or
medication exposures. Although the majority of subjects had been using AIs for
more than 6 months, this study did not find evidence for inflammatory arthritis
in women with hand pain receiving AIs. Further studies are needed to develop a
case definition of AIMSS, and to confirm whether these symptoms are attributable
to AI use.
PMID- 22076479
TI - Correlation of white female breast cancer incidence trends with nitrogen dioxide
emission levels and motor vehicle density patterns.
AB - The long-term trend of female breast cancer incidence rates in the United States
and some European countries demonstrates a similar pattern: an increasing trend
in the last century followed by a declining trend in this century. The well-known
risk factors cannot explain this trend. We compared the breast cancer incidence
trends obtained from SEER data with the trend of nitrogen dioxides (NOx) emission
and monitoring data as well as motor vehicle density data. The upward followed by
downward trend of NOx is similar to the breast cancer incidence trend but with an
offset of 20 years earlier. Motor vehicles are the major source of NOx emissions.
The geographic distribution of motor vehicles density in 1970 in the observed US
counties is positively correlated with breast cancer incidence rates (R(2)
0.8418, the correlation coefficient = 0.9175) in 1980-1995. Because both the time
trend and geographic pattern are associated with breast cancer incidence rates,
further studies on the relationship between breast cancer and air pollution are
needed.
PMID- 22076480
TI - Paclitaxel sensitivity of breast cancer cells requires efficient mitotic arrest
and disruption of Bcl-xL/Bak interaction.
AB - Taxanes are being used for the treatment of breast cancer. However, cancer cells
frequently develop resistance to these drugs with the subsequent recurrence of
the tumor. MDA-MB-231 and T-47D breast cancer cell lines were used to assess the
effect of paclitaxel treatment on apoptosis and cell cycle, the possible
mechanisms of paclitaxel resistance as well as the enhancement of paclitaxel
induced apoptosis based on its combination with phenylethyl isothiocyanate
(PEITC). T-47D cells undergo apoptosis in response to paclitaxel treatment. The
induction of apoptosis was associated with a robust mitotic arrest and the
disruption of Bcl-xL/Bak interaction. By contrary, MDA-MB-231 cells were
insensitive to paclitaxel-induced apoptosis and this was associated with a high
percentage of cells that slip out of paclitaxel-imposed mitotic arrest and also
with the maintenance of Bcl-xL/Bak interaction. The sequential treatment of MDA
MB-231 cells with PEITC followed by paclitaxel inhibited the slippage induced by
paclitaxel and increased the apoptosis induction achieved with any of the drugs
alone. In breast cancer tissues, high Bcl-xL expression was correlated with a
shorter time of disease-free survival in patients treated with a chemotherapeutic
regimen that contains paclitaxel, in a statistically significant way. Thus,
resistance to paclitaxel in MDA-MB-231 cells is related to the inability to
disrupt the Bcl-xL/Bak interaction and increased slippage. In this context, the
combination of a drug that induces a strong mitotic arrest, such as paclitaxel,
with another that inhibits slippage, such as PEITC, translates into increased
apoptotic induction.
PMID- 22076478
TI - A short-term biomarker modulation study of simvastatin in women at increased risk
of a new breast cancer.
AB - Observational studies have demonstrated a decreased incidence of cancers among
users of HMG CoA reductase inhibitors (statins) and a reduced risk of recurrence
among statin users diagnosed with early stage breast cancer. We initiated a
prospective study to identify potential biomarkers of simvastatin chemopreventive
activity that can be validated in future trials. The contralateral breast of
women with a previous history of breast cancer was used as a high-risk model.
Eligible women who had completed all planned treatment of a prior stage 0-III
breast cancer received simvastatin 40 mg orally daily for 24-28 weeks. At
baseline and end-of-study, we measured circulating concentrations of high
sensitivity C-reactive protein (hsCRP), estrogens, and fasting lipids; breast
density on contralateral breast mammogram; and quality of life by Rand Short Form
36-Item health survey. Fifty women were enrolled with a median age of 53 years.
Total cholesterol, LDL cholesterol, triglyceride, and hsCRP fell significantly
during the study (P values < 0.001, <0.001, 0.003, and 0.05, respectively).
Estrone sulfate concentrations decreased with simvastatin treatment (P = 0.01
overall), particularly among post-menopausal participants (P = 0.006). We did not
observe a significant change in circulating estradiol or estrone concentrations,
contralateral mammographic breast density, or reported physical functioning or
pain scores. This study demonstrates the feasibility of short-term biomarker
modulation studies using the contralateral breast of high-risk women. Simvastatin
appears to modulate estrone sulfate concentrations and its potential
chemopreventive activity in breast cancer warrants further investigation.
PMID- 22076481
TI - Expression of SPRR3 is associated with tumor cell proliferation in less advanced
stages of breast cancer.
AB - Small proline rich repeat protein 3 (SPRR3), a member of the SPRR family of
cornified envelope precursor proteins, is a marker for terminal squamous cell
differentiation. Previously, this laboratory showed that SPRR3 is strongly
upregulated in colorectal tumors, and is involved in the tumorigenesis. The
current study was performed to investigate the expression status and effect of
SPRR3 in breast cancers (BCs). SPRR3 expression was examined by
immunohistochemistry in 241 tumor samples from BC patients. SPRR3 was
overexpressed in more than half of all BC samples. SPRR3 overexpression was
significantly associated with less advanced stage (0-1 vs. II-III) and the
absence of lymph node metastasis (P = 0.004 and 0.013, respectively). HER2/neu
overexpression was closely correlated with SPRR3 overexpression in a multivariate
analysis (OR, 3.23, P = 0.017). To assess the influence of SPRR3 on cell
proliferation and related signaling pathways, SPRR3-transfected clones from the
SPRR3-negative T-47D human BC cell line were generated. Among the total of six
SPRR3-overexpressing clones, five showed marked proliferation compared with SPRR3
nonexpressing control cells from day 3 of culture (P < 0.001). The SPRR3
overexpressing BC clones showed increased phosphorylation of AKT and MDM2, p21
overexpression, and p53 downregulation. Furthermore, phosphorylation of MEK and
MAPK was markedly increased. This study demonstrates that SPRR3 promotes BC cell
proliferation by enhancing p53 degradation via the AKT and MAPK pathways and is,
therefore, a potential novel therapeutic target for less advanced stages of BC.
PMID- 22076482
TI - The assessment of colour perception, naming and knowledge: a new test device with
a case study.
AB - Besides ocular diseases, also cerebral damage may cause colour vision deficits;
cerebral lesions may be associated with a variety of clinical conditions that
impair colour processing. This study presents procedures and normative data for a
rapid, comprehensive seven-test battery aimed at assessing colour perception,
colour naming and object colour knowledge. The norms, obtained from 96 healthy
Italian participants, allow normality/pathology judgements on the basis of one
sided tolerance limits, after adjusting the score of each test for the
demographic variables of the proband subjects. We also report, as an example, use
of the battery in a stroke patient; this patient was chosen because her lesion
affected the left temporal-occipital cortex, an area sometimes associated with a
deficit of colour processing. The patient resulted normal on colour perception
and colour name retrieval, but defective on object colour knowledge probed using
the stimulus name. For the sound definition of the functional locus of cognitive
impairment at the single case level, a multi-faceted set of tasks is necessary.
PMID- 22076485
TI - Oil-water interfacial self-assembly: a novel strategy for nanofilm and nanodevice
fabrication.
AB - How to integrate individual nanostructures into macroscopic thin films has become
one of the most intriguing fields in nanoscience and nanotechnology due to the
unique properties and important applications of these functional films. Since
being discovered in 2004, oil-water interfacial self-assembly of nanostructures
has become a novel strategy for fabrication of nanofilms. It is a powerful bottom
up approach for film fabrication due to the low cost and high efficiency, and is
simple and universal for almost all low-dimensional nanostructures. In this
article, we provide a critical review of the state-of-the-art research activities
in this burgeoning self-assembly strategy. We first discuss the thermodynamic
mechanism of the oil-water interfacial self-assembly, then the self-assembly of
various low-dimensional nanostructures including nanoparticles, one-dimensional
(1D) nanostructures, two-dimensional (2D) nanostructures at an oil-water
interface developed so far to fabricate high-quality nanofilms. Finally, we
present some progress on the construction of functional nanofilm-based
nanodevices from this novel strategy based on our research. We conclude this
review with critical comments on advantages and the experimental challenges, and
further propose the future research and development of this self-assembly
strategy for nanodevice construction (105 references).
PMID- 22076483
TI - Immersion autometallographic demonstration of pathological zinc accumulation in
human acute neural diseases.
AB - Zinc is the second most prevalent trace element in the body and is present in
particularly large concentrations in the mammalian brain. In animal experiments,
several lines of studies show that zinc is involved in the pathological process
of ischemia, epilepsy, and mechanical head trauma. However, little is known about
the change of zinc in the human neural diseases. In the present study, using
immersion autometallography, we found that pathological zinc accumulation was
extensively present in the human neurons following ischemia, epilepsy, and
mechanical head trauma. Under H&E, apparently damaged neurons were observed in
all of the brain regions that showed zinc ions labeled neuronal somata. In
conclusion, the data provide the evidence of an active involvement of zinc in
neuronal damage.
PMID- 22076484
TI - Induction of oxidative stress and inhibition of superoxide dismutase expression
in rat cerebral cortex and cerebellum by PTU-induced hypothyroidism and its
reversal by curcumin.
AB - The present study was carried out to elucidate the effectiveness of curcumin in
ameliorating the expression of superoxide dismutase (SOD) in cerebral cortex and
cerebellum of rat brain under 6-propyl-2-thiouracil (PTU)-induced hypothyroidism.
Induction of hypothyroidism in adult rats by PTU resulted in augmentation of
lipid peroxidation (LPx), an index of oxidative stress in cerebellum but not in
cerebral cortex. Curcumin-supplementation to PTU-treated (hypothyroid) rats
showed significant reduction in the level of LPx in both the regions of brain.
The decreased translated products (SOD1 and SOD2) and the unchanged activity of
SOD in cerebral cortex of PTU-treated rats were increased on supplementation of
curcumin to the hypothyroid rats. Declined translated products of SOD1 and SOD2
in cerebellum of PTU-treated rats were alleviated on administration of curcumin
to hypothyroid rats. On the other hand, the decreased activity of SOD in
cerebellum of PTU-treated rats was further declined on administration of curcumin
to the hypothyroid rats. Results of the present investigation indicate that
curcumin differentially modulates the expression of superoxide dismutase in rat
brain cortex and cerebellum under PTU-induced hypothyroidism.
PMID- 22076486
TI - The role of magnocellular signals in oculomotor attentional capture.
AB - While it is known that salient distractors often capture covert and overt
attention, it is unclear whether salience signals that stem from magnocellular
visual input have a more dominant role in oculomotor capture than those that
result from parvocellular input. Because of the direct anatomical connections
between the magnocellular pathway and the superior colliculus, salience signals
generated from the magnocellular pathway may produce greater oculomotor capture
than those from the parvocellular pathway, which could be potentially harder to
overcome with "top-down," goal-directed guidance. Although previous research has
addressed this with regard to magnocellular transients, in the current research,
we investigated whether a static singleton distractor defined along a dimension
visible to the magnocellular pathway would also produce enhanced oculomotor
capture. In two experiments, we addressed this possibility by comparing a parvo
biased singleton condition, in which the distractor was defined by isoluminant
chromatic color contrast, with a magno + parvo singleton condition, in which the
distractor also differed in luminance from the surrounding objects. In both
experiments, magno + parvo singletons elicited faster eye movements than parvo
only singletons, presumably reflecting faster information transmission in the
magnocellular pathway, but magno + parvo singletons were not significantly more
likely to produce oculomotor capture. Thus, although magnocellular salience
signals are available more rapidly, they have no sizable advantage over
parvocellular salience signals in controlling oculomotor orienting when all
stimuli have a common onset.
PMID- 22076487
TI - EventRiver: visually exploring text collections with temporal references.
AB - Many text collections with temporal references, such as news corpora and weblogs,
are generated to report and discuss real life events. Thus, event-related tasks,
such as detecting real life events that drive the generation of the text
documents, tracking event evolutions, and investigating reports and commentaries
about events of interest, are important when exploring such text collections. To
incorporate and leverage human efforts in conducting such tasks, we propose a
novel visual analytics approach named EventRiver. EventRiver integrates event
based automated text analysis and visualization to reveal the events motivating
the text generation and the long term stories they construct. On the
visualization, users can interactively conduct tasks such as event browsing,
tracking, association, and investigation. A working prototype of EventRiver has
been implemented for exploring news corpora. A set of case studies, experiments,
and a preliminary user test have been conducted to evaluate its effectiveness and
efficiency.
PMID- 22076488
TI - Visual reasoning about social networks using centrality sensitivity.
AB - In this paper, we study the sensitivity of centrality metrics as a key metric of
social networks to support visual reasoning. As centrality represents the
prestige or importance of a node in a network, its sensitivity represents the
importance of the relationship between this and all other nodes in the network.
We have derived an analytical solution that extracts the sensitivity as the
derivative of centrality with respect to degree for two centrality metrics based
on feedback and random walks. We show that these sensitivities are good
indicators of the distribution of centrality in the network, and how changes are
expected to be propagated if we introduce changes to the network. These metrics
also help us simplify a complex network in a way that retains the main structural
properties and that results in trustworthy, readable diagrams. Sensitivity is
also a key concept for uncertainty analysis of social networks, and we show how
our approach may help analysts gain insight on the robustness of key network
metrics. Through a number of examples, we illustrate the need for measuring
sensitivity, and the impact it has on the visualization of and interaction with
social and other scale-free networks.
PMID- 22076489
TI - Boceprevir.
AB - Boceprevir is a hepatitis C virus (HCV) serine protease NS3 inhibitor that has
recently been approved by the U.S. Food and Drug Administration, the European
Medicines Agency and Health Canada for the treatment of chronic genotype 1 HCV
infection. It has potent in vitro antiviral activity against HCV genotypes 1a and
1b and is primarily metabolized via the aldoketoreductase pathway with minor
cytochrome P450 3A4 metabolism. Boceprevir is well tolerated with few drug-drug
interactions which are easy to manage; no dose adjustment is required in patients
with hepatic or renal impairment. Phase I trials of boceprevir demonstrated
favorable pharmacokinetic, metabolic and safety profiles. Phase II and III trials
of boceprevir confirmed the antiviral activity of the drug and its use at a dose
of 800 mg three times daily. Clinical trials in treatment-naive and previously
treated HCV-infected patients demonstrated a 26% and 45% (respectively)
improvement in sustained viral response when boceprevir was added to standard
pegylated interferon and ribavirin anti-HCV therapy. Boceprevir is the first-in
class of an exciting new phase of HCV treatment.
PMID- 22076490
TI - Edoxaban for the prevention of thromboembolic events after surgery.
AB - Without thromboprophylaxis, hospital-acquired deep vein thrombosis following
major orthopedic surgery occurs with an incidence of approximately 40-60%, which
is why thromboprophylaxis has been standard of care in these cases for more than
20 years. Edoxaban (DU-176b; Lixiana) is a novel, once-daily, orally active
antithrombotic agent that directly inhibits factor Xa activity in a potent and
selective way. It was recently approved in Japan for the prevention of venous
thromboembolism after total knee replacement, total hip replacement and hip
fracture surgery. In phase III trials performed in patients eligible for total
knee replacement or total hip replacement, edoxaban 30 mg demonstrated a
statistically significant reduction in venous thromboembolic events compared with
enoxaparin, with no difference between both treatments in the incidence of major
bleeding events. Edoxaban is safe and well tolerated, with predictable
pharmacokinetics (low intersubject variability and low protein binding),
suggesting that coagulation monitoring may not be required.
PMID- 22076491
TI - Tramadol hydrochloride/acetaminophen combination for the relief of acute pain.
AB - Tramadol hydrochloride/acetaminophen is a combination drug containing tramadol
hydrochloride 37.5 mg and acetaminophen 325 mg. The use of 25% less tramadol in
the combination product reduces the incidence of tramadol-related adverse events,
while the addition of acetaminophen reduces the onset time of analgesia and
improves the degree of analgesia. However, there was no clinically significant
difference in the pharmacokinetic parameters of tramadol or acetaminophen when
the fixed-dose combination was compared with the individual agents after multiple
dose administration. The onset time of tramadol hydrochloride/acetaminophen was
the fastest at only 17 minutes compared 51 minutes for tramadol and 18 minutes
for acetaminophen. This combination has been found to be effective in the
management of postoperative pain. It improves pain relief and provides a faster
onset and longer duration of action with fewer adverse events than either
component separately. It also reduces the severity of pain, photophobia and
phonophobia associated with migraine headache. Tramadol
hydrochloride/acetaminophen has been shown to be most effective in patients with
mild to moderate pain and has a lower risk of serious adverse events.
PMID- 22076492
TI - Current treatment options for neuroendocrine tumors.
AB - Neuroendocrine tumors are heterogeneous in their clinical behavior and require
therapies specially tailored according to staging and grading, origin and
expression of peptide receptors. Somatostatin analogues act as antisecretory and
antiproliferative agents. Chemotherapy is mandatory for poorly differentiated
neuroendocrine carcinomas and is also effective in neuroendocrine tumors of the
pancreas and of the bronchial system. For localized neuroendocrine tumors,
surgery should be performed with curative intent and is also an option in
advanced or metastasized neuroendocrine tumors with the goal to debulk tumor
masses. Local ablative therapies may be applied to decrease tumor load in the
liver; however, results are often of short duration. Peptide receptor
radiotherapy is a new treatment method applying radionuclide-targeted
somatostatin receptor agonists for internal cytotoxic radiotherapy in
somatostatin receptor-expressing neuroendocrine tumors. Retrospective and
prospective clinical studies indicate prolonged progression-free survival and
overall survival of patients responding by stable disease or any kind of
remission with this innovative treatment, which is, however, available only in a
few specialized centers. Finally, small-molecule inhibitors of vascular
endothelial growth factor and serine/threonine-protein kinase mTOR pathways have
been shown to delay progression in patients with neuroendocrine tumors. In
summary, treatment options for neuroendocrine tumors have expanded considerably
in the last years leading to prolonged overall survival.
PMID- 22076493
TI - A report from the European Society of Cardiology Congress 2011 (August 27-31,
2011 -- Paris, France).
AB - Paris, known as the city of light or the illuminated city, is, thus, an
appropriate site for a major international conference in which advances in
research and new findings and observations are reported and discussed, as was the
case during this year's European Society of Cardiology meeting. Indeed, not only
were new results from major clinical trials presented, but initial clinical and
preclinical research results were also reported during the meeting oral and
poster sessions which, in many cases, represent major steps towards improved
cardiovascular pharmacotherapy for many common diseases shortening the lives of
people around the world. For those who could not attend the meeting, Drugs of
Today has wrapped up the major take-home messages from the meeting in the
following report.
PMID- 22076494
TI - Mechanistic links between oxidative/nitrosative stress and tumor necrosis factor
alpha in letrozole-induced murine polycystic ovary: biochemical and pathological
evidences for beneficial effect of pioglitazone.
AB - This study aimed to investigate the possible relationship between ovarian
functionality and the oxidative response during cystogenesis induced by
hyperandrogenization with letrozole and examine protective effect of the
peroxisome proliferator-activated receptor gamma (PPAR-gamma) agonist,
pioglitazone (PIO), in polycystic ovary (PCO). Ovarian cysts were induced by oral
administration of letrozol (1 mg/kg/day) for 21 consecutive days in the female
rats. Effective dose of PIO (20 mg/kg/day) was administrated orally for 21 days.
Serum estradiol (E), progesterone (P), testosterone (T), and the ovarian
immunomodulator prostaglandin E (PGE) were analyzed as biomarkers of ovarian
function. To determine the role of oxidative stress in PCO, the level of cellular
lipid peroxidation (LPO), superoxide dismutase (SOD), catalase (CAT), glutathione
peroxidase (GPx), and peroxynitrite (ONOO), and tumor necrosis factor alpha (TNF
alpha) as a marker of inflammation and apoptosis were measured in serum and the
ovaries. Letrozole-induced PCO in rats exhibited a significant increase in LPO
and ONOO in serum and ovary while significantly decreased serum and ovarian SOD,
CAT, and GPx. Serum T and TNF-alpha, and ovarian PGE were increased in animals
with cysts compared with healthy controls, while E and P diminished. When
compared to control group, letrozole-treated group showed irregular sexual
cycles, polycystic ovaries characterized by high incidence of sub-capsular
ovarian cyst with diminished or scant granulosa cell layer, increased number of
atretic pre-antral and antral follicles and absence of corpus luteum. There were
almost no primary, secondary, and tertiary follicles observed in PCO rats. All
measured parameters were improved by PIO and reached close to normal levels. The
present study further supports the role of oxidative/nitrosative stress and
infiammatory responses in the pathogenesis of letrozole-induced hyperandrogenic
PCO rats. Results indicate that PIO is able to exert direct antioxidative and
anti-inflammatory effects on the endocrine, biochemical, and pathological
alterations independent of its possible effects mediated via increased insulin
sensitivity in hyperandrogenized PCO.
PMID- 22076495
TI - Peripheral blood dendritic cells in alcoholic and autoimmune liver disorders.
AB - Little is known about effects of alcohol consumption on dendritic cell (DC)
function and resultant immune response. However, quantitative and qualitative
disturbances of DCs are speculated to be involved in alcohol-related as well as
in other liver pathology. The present study aimed to evaluate changes in
circulating DC subsets in alcoholic liver disease (N = 43), autoimmune hepatitis
(N = 26) and primary biliary cirrhosis (N = 20). DCs isolated from the peripheral
blood of recruited participants were stained with monoclonal antibodies against
blood dendritic cell antigens (BDCAs) and estimated using the flow cytometry.
Myeloid DCs were defined as BDCA-1(+)/CD19(-) cells, and lymphoid DCs as BDCA
2(+)/CD123(+) cells. Total numbers of circulating DCs in subjects with some liver
diseases were markedly lower than in the healthy participants (p = 0.03). There
was a significantly lower percentage of circulating BDCA-2(+)/CD123(+) (p =
0.02), and a tendency for the percentage of circulating BDCA-1(+)/CD19(-) cells
to decrease in patients with liver diseases compared to the controls (p = 0.09).
These results may suggest that decreased numbers of DCs may be responsible for
reduced adaptive immune responses and increased susceptibility to infections and
cancer development observed in patients exposed to alcohol. Moreover, numerical
abnormalities of DCs may contribute to the breakdown of self-tolerance, a feature
of autoimmune diseases.
PMID- 22076497
TI - Sesamol attenuates oxidative stress-mediated experimental acute pancreatitis in
rats.
AB - Acute pancreatitis is a potentially fatal disease with no known cure. The initial
events in acute pancreatitis may occur within the acinar cells. We examined the
effect of sesamol on (i) a cerulein-induced pancreatic acinar cancer cell line,
AR42J, and (ii) cerulein-induced experimental acute pancreatitis in rats. Sesamol
inhibited amylase activity and increased cell survival. It also inhibited medium
lipid peroxidation and 8-hydroxydeoxyguanosine in AR42J cells compared with the
cerulein-alone groups. In addition, in cerulein-treated rats, sesamol inhibited
serum amylase and lipase levels, pancreatic edema, and lipid peroxidation, but it
increased pancreatic glutathione and nitric oxide levels. Thus, we hypothesize
that sesamol attenuates cerulein-induced experimental acute pancreatitis by
inhibiting the pancreatic acinar cell death associated with oxidative stress in
rats.
PMID- 22076496
TI - Long-term exposure to nonylphenol affects insulin signaling in the liver of adult
male rats.
AB - In the present study, we sought to investigate the long-term effects of
nonylphenol (NP) on insulin signaling and glucose metabolism in liver.
Furthermore, reactive oxygen species (ROS) in liver was evaluated as it is known
to induce insulin resistance. Rats were administered NP by oral gavage at the
doses of 15, 150 and 1500 MUg/ kg body weight per day for 45 days. Hydrogen
peroxide (H(2)O(2)) generation and lipid peroxidation were increased, and the
activities of antioxidant enzymes were decreased in the liver of NP-treated rats.
NP increased the plasma glucose and insulin levels and altered the enzymes of
carbohydrate metabolism. Decrease in the protein levels of insulin signaling
molecules insulin receptor (IR), IR substrate (IRS)-1, IRS-2 and
phosphatidylinositol-3-kinase were observed with parallel increase in H(2)O(2)
levels in the liver of NP-treated rats. These results suggest that NP
downregulates insulin signaling in liver, which could be due to ROS production
and oxidative damage.
PMID- 22076498
TI - Reassortment Networks and the evolution of pandemic H1N1 swine-origin influenza.
AB - Prior research developed Reassortment Networks to reconstruct the evolution of
segmented viruses under both reassortment and mutation. We report their
application to the swine-origin pandemic H1N1 virus (S-OIV). A database of all
influenza A viruses, for which complete genome sequences were available in
Genbank by October 2009, was created and dynamic programming was used to compute
distances between all corresponding segments. A reassortment network was created
to obtain the minimum cost evolutionary paths from all viruses to the exemplar S
OIV A/California/04/2009. This analysis took 35 hours on the Cray Extreme
Multithreading (XMT) supercomputer, which has special hardware to permit
efficient parallelization. Six specific H1N1/H1N2 bottleneck viruses were
identified that almost always lie on minimum cost paths to S-OIV. We conjecture
that these viruses are crucial to S-OIV evolution and worthy of careful study
from a molecular biology viewpoint. In phylogenetics, ancestors are typically
medians that have no functional constraints. In our method, ancestors are not
inferred, but rather chosen from previously observed viruses along a path of
mutation and reassortment leading to the target virus. This specificity and
functional constraint render our results actionable for further experiments in
vitro and in vivo.
PMID- 22076499
TI - Repulsive guidance molecules, novel bone morphogenetic protein co-receptors, are
key regulators of the growth and aggressiveness of prostate cancer cells.
AB - Repulsive guidance molecule (RGM) family members RGMA, RGMB and RGMC are GPI
linked membrane proteins recently identified as co-receptor of bone morphogenetic
proteins (BMPs). BMPs are a group of proteins enriched in bone and play important
roles in prostate cancer. The current study aimed to investigate roles played by
RGMs in prostate cancer. Expression of RGMs was examined in prostate cancer cell
lines and prostate cancer tissues using RT-PCR and immunohistochemical staining.
Knockdown of each RGM in prostate cancer cells was performed using the respective
anti-RGMA, RGMB and RGMC transgenes. A variety of in vitro function tests were
employed to analyze the influence on cancer cell functions by RGM knockdown. The
implications of RGM knockdown in BMP signalling were also examined using both
Western blot and real-time quantitative PCR. Knockdown of RGMA had no effect on
cell growth, migration and invasion, but promoted cell-matrix adhesion. Knockdown
of RGMB and RGMC increased growth and adhesion, but only RGMB knockdown increased
capacities of migration and invasion in PC-3 cells. Further investigations showed
an increase in Smad-3 activation and reduced levels of Smad-1 in PC-3 cells by
RGMB and RGMC knockdown, and also an up-regulation of ID1, a BMP target gene
particularly in exposure to BMP7. RGMs play inhibitory roles in prostate cancer
by suppressing cell growth, adhesion, migration and invasion. RGMs can coordinate
Smad-dependent signalling of BMPs in prostate cancer cells.
PMID- 22076500
TI - Intermittent hypobaric hypoxia preconditioning induced brain ischemic tolerance
by up-regulating glial glutamate transporter-1 in rats.
AB - Several studies showed that the up-regulation of glial glutamate transporter-1
(GLT-1) participates in the acquisition of brain ischemic tolerance induced by
cerebral ischemic preconditioning or ceftriaxone pretreatment in rats. To explore
whether GLT-1 plays a role in the acquisition of brain ischemic tolerance induced
by intermittent hypobaric hypoxia (IH) preconditioning (mimicking 5,000 m high
altitude, 6 h per day, once daily for 28 days), immunohistochemistry and western
blot were used to observe the changes in the expression of GLT-1 protein in
hippocampal CA1 subfield during the induction of brain ischemic tolerance by IH
preconditioning, and the effect of dihydrokainate (DHK), an inhibitor of GLT-1,
on the acquisition of brain ischemic tolerance in rats. The basal expression of
GLT-1 protein in hippocampal CA1 subfield was significantly up-regulated by IH
preconditioning, and at the same time astrocytes were activated by IH
preconditioning, which appeared normal soma and aplenty slender processes. The
GLT-1 expression was decreased at 7 days after 8-min global brain ischemia. When
the rats were pretreated with the IH preconditioning before the global brain
ischemia, the down-regulation of GLT-1 protein was prevented clearly.
Neuropathological evaluation by thionin staining showed that 200 nmol DHK blocked
the protective role of IH preconditioning against delayed neuronal death induced
normally by 8-min global brain ischemia. Taken together, the up-regulation of GLT
1 protein participates in the acquisition of brain ischemic tolerance induced by
IH preconditioning in rats.
PMID- 22076501
TI - Epicatechin inhibits human plasma lipid peroxidation caused by haloperidol in
vitro.
AB - Epicatechin belongs to flavonoids protecting cells against oxidative/nitrative
stress. Oxidative/nitrative stress observed in schizophrenia may be caused
partially by the treatment of patients with various antipsychotics. The aim of
our study was to establish the effects of epicatechin and antipsychotics action
(the first generation antipsychotic (FGA)--haloperidol and the second generation
antipsychotic (SGA)--amisulpride) on peroxidation of plasma lipids in vitro.
Lipid peroxidation in human plasma was measured by the level of thiobarbituric
acid reactive species (TBARS). The properties of epicatechin were also compared
with the action of a well characterized antioxidative commercial polyphenol
resveratrol (3,4',5-trihydroxystilbene) and quercetin (3,5,7,3',4'
pentahydroxyflavone). Amisulpride, contrary to haloperidol (after 1 and 24 h)
does not significantly influence the increase of plasma TBARS level in comparison
with control samples (P > 0.05). After incubation (1 and 24 h) of plasma with
haloperidol in the presence of epicatechin we observed a significantly decreases
the level of TBARS (P < 0.001, P < 0.001, respectively). In our other
experiments, we found that epicatechin also decreased the amount of TBARS in
human plasma treated with amisulpride. In conclusion, the presented results
indicate that epicatechin-the major polyphenolic component of green tea reduced
significantly human plasma lipid peroxidation caused by haloperidol. Moreover,
epicatechin was found to be a more effective antioxidant, than the solution of
pure resveratrol or quercetin.
PMID- 22076502
TI - FoxO3a changes in pyramidal neurons and expresses in non-pyramidal neurons and
astrocytes in the gerbil hippocampal CA1 region after transient cerebral
ischemia.
AB - The forkhead box O (FoxO) proteins regulate processes ranging from cell longevity
to cell apoptosis and function as transcription factors. FoxO3a is expressed
throughout the brain including the hippocampus. In the present study, we
investigated the changes in FoxO3a immunoreactivity and its protein levels in the
gerbil hippocampal CA1 region after 5 min of transient global cerebral ischemia.
FoxO3a immunoreactivity and protein levels in the ischemic CA1 region, which is
very vulnerable to ischemic damage, were slightly decreased from 3 h after
ischemia-reperfusion (I-R) and maintained until 12 h after I-R. One and 2 days
after I-R, FoxO3a immunoreactivity and protein levels were similar to those in
the sham-operated group. At 3 days after I-R, FoxO3a immunoreactivity and protein
levels were markedly increased in the CA1 region. FoxO3a immunoreactivity was
hardly detected in pyramidal neurons from 5 days after I-R; however, at 5 days
after I-R, FoxO3a immunoreactivity was detected in astrocytes and GABAergic
interneurons of the ischemic CA1 region. These results indicate that both FoxO3a
immunoreactivity and protein levels are distinctively altered in the ischemic CA1
region after transient cerebral ischemia, and that the changes in FoxO3a
expression may be related to the ischemia-induced delayed neuronal death.
PMID- 22076503
TI - Blunting half of the double-edged sword: potential use of interleukin-10 to
protect bone marrow-derived cells after myocardial infarction.
PMID- 22076504
TI - Opening the field of integrin biology to "biased agonism".
PMID- 22076505
TI - Widespread promiscuous genetic information transfer from DNA to RNA.
PMID- 22076506
TI - Meeting report for Basic Cardiovascular Sciences 2011 Scientific Sessions: from
concept to clinic: leading cardiovascular translational science.
PMID- 22076507
TI - Grown up mice from gene-corrected iPS cells.
PMID- 22076508
TI - Methylation profiles of the BRCA1 promoter in hereditary and sporadic breast
cancer among Han Chinese.
AB - The development of breast cancer is a multistep process associated with complex
changes in host gene expression patterns including inactivation of tumor
suppressor genes and activation of oncogenes. Critically, hereditary
predisposition plays a significant role in cancer susceptibility. However,
mutation of the BRCA1 gene is found only in the minority of hereditary breast
cancer, which indicates that there might be alternative, novel mechanisms
contributing to inactivation of the BRCA1 gene. Studies have shown that aberrant
methylation of genomic DNA plays an important role in carcinogenesis. The aim of
this study was to investigate whether DNA methylation may be an alternative
mechanism for the inactivation of BRCA1 as an epigenetic modification of the
genome and whether hereditary breast cancer has a different BRCA1 methylation
phenotype pattern than sporadic breast cancer. The pattern of CpG island
methylation within the promoter region of BRCA1 was assessed by bisulfite
sequencing DNA from peripheral blood cells of 72 patients with hereditary
predisposition but without BRCA1 mutations and 30 sporadic breast cancer
controls. The overall methylation level in patients with hereditary
predisposition was significantly lower than that in the sporadic control group.
However, patients with hereditary predisposition showed a significantly higher
methylation susceptibility for the sites -518 when compared to controls. These
results suggest that there might be different BRCA1 promoter methylation levels
and patterns in sporadic and hereditary breast cancer in peripheral blood DNA.
These findings may facilitate the early diagnosis of hereditary breast cancer.
PMID- 22076510
TI - EU bans embryonic stem cell patents but decision may have limited implications.
PMID- 22076511
TI - Managing febrile seizures in children.
AB - A febrile seizure is a benign convulsion that occurs in infants or small children
and is brought on by fever without evidence of meningitis or encephalitis. Little
is known about the etiology, but pharmacologic treatment should be easy to
administer, have virtually no adverse effects, and be efficacious. Supporting the
family is also crucial during this stressful event.
PMID- 22076514
TI - Carvedilol: a countermeasure to heart failure.
AB - Initially used primarily as an antihypertensive, the beta-blocker carvedilol is
now being used to alleviate heart failure, significantly reducing
hospitalizations and mortality. In this article, we discuss the pathophysiology
of heart failure; carvedilol's action, long-term benefits, and administration;
and potential short-term adverse effects.
PMID- 22076509
TI - Induced pluripotent stem cells--opportunities for disease modelling and drug
discovery.
AB - The ability to generate induced pluripotent stem cells (iPSCs) from patients, and
an increasingly refined capacity to differentiate these iPSCs into disease
relevant cell types, promises a new paradigm in drug development - one that
positions human disease pathophysiology at the core of preclinical drug
discovery. Disease models derived from iPSCs that manifest cellular disease
phenotypes have been established for several monogenic diseases, but iPSCs can
likewise be used for phenotype-based drug screens in complex diseases for which
the underlying genetic mechanism is unknown. Here, we highlight recent advances
as well as limitations in the use of iPSC technology for modelling a 'disease in
a dish' and for testing compounds against human disease phenotypes in vitro. We
discuss how iPSCs are being exploited to illuminate disease pathophysiology,
identify novel drug targets and enhance the probability of clinical success of
new drugs.
PMID- 22076515
TI - What nursing has learned from famous traumas.
AB - The deaths of three celebrities shed light on where trauma care needs to improve
and remind us how far we have already come.
PMID- 22076516
TI - The effects of an advanced practice nurse-directed heart failure program.
AB - This study sought to determine if an inpatient heart failure program directed by
an advanced practice nurse could affect length of hospital stay, mortality,
readmission rates, and adherence to the recommended clinical regimen.
PMID- 22076517
TI - Fomepizole.
PMID- 22076518
TI - Evaluating a nurse practitioner service.
AB - Increasingly, nurse practitioners are filling resident and house staff shortages
by moving into acute care settings. This article compares a nurse
practitioner/attending collaborative service with a traditional physician-based
service in terms of patient outcomes and patient, physician, and staff
satisfaction.
PMID- 22076519
TI - On hold in the ED.
PMID- 22076520
TI - Professional boundaries: Staying in the lines.
AB - Nurses must set professional boundaries for their relationships with patients.
Managers can teach nurses the meaning of boundary crossings, boundary violations,
and staying in the lines.
PMID- 22076522
TI - The bloodborne pathogens standard, 2001: what's changed?
AB - Learn how to facilitate implementation of OSHA's strengthened sharps safety
requirements.
PMID- 22076523
TI - A transitional feeding protocol for critically ill patients.
AB - Critically ill patients often are malnourished and in protein catabolism,
impairing their ability to heal. A transitional feeding protocol, aimed at
keeping patients adequately nourished while they move from parenteral or enteral
nutrition to an oral diet, can improve outcomes for surgical and medical
intensive care unit patients.
PMID- 22076524
TI - A ladder or a tree?
PMID- 22076525
TI - The risk of a second hip fracture in patients after their first hip fracture.
AB - We investigated the incidence of additional fractures and the rate of
prescription of osteoporotic pharmacotherapy after an initial hip fracture. We
surveyed female patients aged 65 and over who sustained their first hip fracture
between January 1, 2006, and December 31, 2007, treated at 25 hospitals in five
geographic areas in Japan. Data for 1 year after the first hip fracture were
collected from medical records, and questionnaires were mailed to all patients.
In total, 2,663 patients were enrolled, and 335 patients were excluded based on
exclusion criteria. The analysis was performed on 2,328 patients. During the 1
year follow-up period 160 fractures occurred in 153 patients and 77 subsequent
hip fractures occurred in 77 patients. The incidence of all additional fractures
among patients who sustained their first hip fracture was 70 (per 1,000 person
year) and that for second hip fracture was 34. In comparison to the general
population, women >=65 years of age who sustained an initial hip fracture were
four times as likely to sustain an additional hip fracture. Antiosteoporosis
pharmacotherapy was prescribed for 436 patients (18.7%), while 1,240 patients
(53.3%) did not receive any treatment during the 1-year period. Patients who have
sustained one hip fracture have a higher risk of a second hip fracture compared
to the general population, and most of these women receive no pharmaceutical
treatment for osteoporosis.
PMID- 22076526
TI - Association study of polymorphisms in the SOST gene region and parameters of bone
strength and body composition in both young and elderly men: data from the Odense
Androgen Study.
AB - By means of different genetic association studies the SOST gene, encoding
sclerostin, has repeatedly been suggested to regulate bone mineral density (BMD)
and osteoporosis susceptibility. This study aimed at a further understanding of
the importance of two previously studied single-nucleotide polymorphisms in the
SOST gene, rs10534024 (SRP3) and rs9902563 (SRP9), in the Odense Androgen Study
(OAS) cohort. This cohort includes a total of 1,383 Danish men from two different
age groups, 20-29 years (n = 783) and 60-74 years (n = 600), and is well
characterized. Subjects were phenotyped for BMD at several sites and additionally
for body composition and hip geometric parameters. In a combined analysis of the
young and the elderly OAS, no associations were found for SRP3 either with BMD or
with hip geometry. Instead, we found that this polymorphism had a relatively
large effect on weight (-1.149 kg) and body mass index (-0.389 kg/m(2)) (P =
0.021 and 0.006 under a codominant model). For SRP9, a significant association
was found for femoral neck BMD (+0.020 g/cm(2), P = 0.020) and a trend toward
significance for hip geometry (buckling ratio of the narrow neck) but only when
considering a recessive effect of the minor allele (C). No age-specific effects
were found for either of the two SNPs. In summary, we are the first to find
interesting associations between SRP3 and body composition. For SRP9, we
replicated a site-specific association with femoral neck BMD. In addition, we
report a novel association for this polymorphism with hip geometry.
PMID- 22076527
TI - Optimization of macroporous 3-D silk fibroin scaffolds by salt-leaching procedure
in organic solvent-free conditions.
AB - A novel all-aqueous process is described to form three-dimensional porous silk
fibroin (SF) scaffolds, which not only avoided the use of organic solvents or
harsh chemicals, but also can form scaffolds with various sizes and in large
quantities. The scaffolds show a rough surface on the pores and the pores are
highly interconnected. The porosity of the scaffolds, which varied between a
large range (67.6~99.3%), can be controlled by the SF concentrations and the
salt/fibroin ratio. The results of measurements indicated that this novel process
can improve and enforce the transformation in SF structure from a random coil to
a beta-sheet. Swelling studies showed that the scaffold has excellent properties
of hydrophilicity. The cell culture experiments demonstrated that the scaffolds
facilitated the human osteosarcoma cells attachment and proliferation in vitro.
PMID- 22076528
TI - Mullins effect behaviour under compression in micelle-templated silica and
micelle-templated silica/agarose systems.
AB - The mechanical properties of bioceramic conformed pieces based on micelle
templated silica (MTS) such as SBA15, MCM41 and MCM48 as well as MTS/agarose
systems have been evaluated under static and cyclic compressive tests. The MTS
pieces exhibited a brittle behaviour. Agarose, a biocompatible and biodegradable
hydrogel, has been used to shape ceramic-agarose pieces following a low
temperature shaping method. Agarose conferred toughness, ductility and a rubbery
consistency up to a 60% strain in ceramic MTS/agarose systems leading to a
maximum strength of 10-50 MPa, without losing their initial cylindrical
structure. This combination of ceramic and organic matrix contributes to avoiding
the inherent brittleness of the bioceramic and enhances the compression
resistance of hydrogel. The presence of mechanical hysteresis, permanent
deformation after the first cycle and recovery of the master monotonous curve of
MTS/agarose systems indicate a Mullins-like effect similar to that found in
carbon-filled rubber systems. We report this type of mechanical behaviour, the
Mullins effect, for the first time in MTS bioceramics and MTS bioceramic/agarose
systems.
PMID- 22076529
TI - PAN hollow fiber membranes elicit functional hippocampal neuronal network.
AB - This study focuses on the development of an advanced in vitro biohybrid culture
model system based on the use of hollow fibre membranes (HFMs) and hippocampal
neurons in order to promote the formation of a high density neuronal network.
Polyacrylonitrile (PAN) and modified polyetheretherketone (PEEK-WC) membranes
were prepared in hollow fibre configuration. The morphological and metabolic
behaviour of hippocampal neurons cultured on PAN HF membranes were compared with
those cultured on PEEK-WC HF. The differences of cell behaviour between HFMs were
evidenced by the morphometric analysis in terms of axon length and also by the
investigation of metabolic activity in terms of neurotrophin secretion. These
findings suggested that PAN HFMs induced the in vitro reconstruction of very
highly functional and complex neuronal networks. Thus, these biomaterials could
potentially be used for the in vitro realization of a functional hippocampal
tissue analogue for the study of neurobiological functions and/or
neurodegenerative diseases.
PMID- 22076530
TI - Symptomatic low-grade carotid stenosis with intraplaque hemorrhage and expansive
arterial remodeling is associated with a high relapse rate refractory to medical
treatment.
AB - BACKGROUND: Carotid plaque characteristics influence future risk of stroke
considerably. However, the severity of stenosis does not accurately reflect
plaque burden in patients with expansive arterial remodeling. OBJECTIVE: To
determine the therapeutic outcome of symptomatic carotid low-grade stenosis with
vulnerable plaque based on magnetic resonance imaging (MRI) characterization.
METHODS: We studied 25 (male, n = 23; age, 74.2 +/- 5.6 years) of 29 consecutive
patients with symptomatic carotid low-grade stenosis (<50%) and both high-signal
plaque and expansive remodeling on T1-weighted MRIs. The remaining 4 were
excluded because of impending stroke. A single antithrombotic and statin were
administered, and recurrent ischemic stroke was treated with dual
antithrombotics. We considered carotid endarterectomy when recurrence was
refractory to aggressive medical treatment. RESULTS: During a 31.3 +/- 16.4-month
follow-up, 11 of the 25 patients developed a total of 30 recurrent ischemic
events (46.0% per patient-year). The patients' characteristics did not differ
significantly between the groups with and without recurrence (n = 11 and n = 14,
respectively). Seven of 11 patients in the recurrence group treated with carotid
endarterectomy remained free of ischemic events during a postoperative follow-up
of 19.1 +/- 14.6 months. CONCLUSION: Symptomatic low-grade carotid stenosis with
vulnerable plaque confirmed by MRI was associated with a high rate of stroke
recurrence that was refractory to aggressive medical treatment. However, carotid
endarterectomy was safe and effective for such patients. Plaque characterization
by MRI has the potential for more accurate stroke risk stratification in the
management of carotid low-grade stenosis.
PMID- 22076531
TI - Are initial radiographic and clinical scales associated with subsequent
intracranial pressure and brain oxygen levels after severe traumatic brain
injury?
AB - BACKGROUND: Prediction of clinical course and outcome after severe traumatic
brain injury (TBI) is important. OBJECTIVE: To examine whether clinical scales
(Glasgow Coma Scale [GCS], Injury Severity Score [ISS], and Acute Physiology and
Chronic Health Evaluation II [APACHE II]) or radiographic scales based on
admission computed tomography (Marshall and Rotterdam) were associated with
intensive care unit (ICU) physiology (intracranial pressure [ICP], brain tissue
oxygen tension [PbtO2]), and clinical outcome after severe TBI. METHODS: One
hundred one patients (median age, 41.0 years; interquartile range [26-55]) with
severe TBI who had ICP and PbtO2 monitoring were identified. The relationship
between admission GCS, ISS, APACHE II, Marshall and Rotterdam scores and ICP,
PbtO2, and outcome was examined by using mixed-effects models and logistic
regression. RESULTS: Median (25%-75% interquartile range) admission GCS and
APACHE II without GCS scores were 3.0 (3-7) and 11.0 (8-13), respectively.
Marshall and Rotterdam scores were 3.0 (3-5) and 4.0 (4-5). Mean ICP and PbtO2
during the patients' ICU course were 15.5 +/- 10.7 mm Hg and 29.9 +/- 10.8 mm Hg,
respectively. Three-month mortality was 37.6%. Admission GCS was not associated
with mortality. APACHE II (P = .003), APACHE-non-GCS (P = .004), Marshall (P <
.001), and Rotterdam scores (P < .001) were associated with mortality. No
relationship between GCS, ISS, Marshall, or Rotterdam scores and subsequent ICP
or PbtO2 was observed. The APACHE II score was inversely associated with median
PbtO2 (P = .03) and minimum PbtO2 (P = .008) and had a stronger correlation with
amount of time of reduced PbtO2. CONCLUSION: Following severe TBI, factors
associated with outcome may not always predict a patient's ICU course and, in
particular, intracranial physiology.
PMID- 22076532
TI - Diurnal and nocturnal intraocular pressure fluctuations after trabeculectomy.
AB - PURPOSE: The aim of this study was to compare diurnal and nocturnal intraocular
pressure (IOP) fluctuations before and after trabeculectomy, and to evaluate the
potential of trabeculectomy to even out IOP peaks. MATERIALS AND METHODS:
Retrospectively, 35 diurnal and nocturnal IOP curves of patients (35 eyes) who
underwent trabeculectomy were analyzed. Diurnal and nocturnal IOP profiles were
recorded from 06:00 to 23:59 and 00:00 to 06:00, respectively. Follow-up was at
least 300 days. Two criteria for success were defined: (1) IOP <=21 mm Hg and at
least a 20% IOP reduction from baseline; (2) <18 mm Hg without medication.
RESULTS: The preoperative maximum diurnal and nocturnal IOP (IOP(max)) were 26.5
+/- 5.9 and 23.4 +/- 5.2 mm Hg, respectively. The postoperative diurnal and
nocturnal IOP(max) were 16 +/- 4.4 and 16 +/- 5.4 mm Hg, respectively. Pre- to
postoperative IOP reductions were statistically different (day 40% and night 32%;
p < 0.001). Diurnal fluctuation was reduced significantly from 12.1 +/- 4.2 mm Hg
preoperatively to 5.6 +/- 2.2 mm Hg postoperatively (reduction of 54%), and
nocturnal fluctuation from 7.1 +/- 4.5 to 3.9 +/- 4.1 mm Hg (reduction of 46%,
statistically insignificant due to large SD), respectively. Success criteria 1
and 2 were achieved in 71 and 54% of patients at daytime and in 63 and 57% at
nighttime (no statistically significant difference detectable). Follow-up was 2.1
+/- 1.7 years. CONCLUSIONS: Trabeculectomy achieves a leveling of IOP(max) in the
diurnal-nocturnal comparison. The preoperative fluctuations could be nearly
bisected. Relating to the success criteria, the statistical analysis did not
reveal a difference in the diurnal-nocturnal comparison.
PMID- 22076533
TI - Stress-induced rise in body temperature is repeatable in free-ranging Eastern
chipmunks (Tamias striatus).
AB - In response to handling or other acute stressors, most mammals, including humans,
experience a temporary rise in body temperature (T(b)). Although this stress
induced rise in T(b) has been extensively studied on model organisms under
controlled environments, individual variation in this interesting phenomenon has
not been examined in the field. We investigated the stress-induced rise in T(b)
in free-ranging eastern chipmunks (Tamias striatus) to determine first if it is
repeatable. We predicted that the stress-induced rise in T(b) should be
positively correlated to factors affecting heat production and heat dissipation,
including ambient temperature (T(a)), body mass (M(b)), and field metabolic rate
(FMR). Over two summers, we recorded both T(b) within the first minute of
handling time (T(b1)) and after 5 min of handling time (T(b5)) 294 times on 140
individuals. The mean ?T(b) (T(b5) - T(b1)) during this short interval was 0.30
+/- 0.02 degrees C, confirming that the stress-induced rise in T(b) occurs in
chipmunks. Consistent differences among individuals accounted for 40% of the
total variation in ?T(b) (i.e. the stress-induced rise in T(b) is significantly
repeatable). We also found that the stress-induced rise in T(b) was positively
correlated to T(a), M(b), and mass-adjusted FMR. These results confirm that
individuals consistently differ in their expression of the stress-induced rise in
T(b) and that the extent of its expression is affected by factors related to heat
production and dissipation. We highlight some research constraints and
opportunities related to the integration of this laboratory paradigm into
physiological and evolutionary ecology.
PMID- 22076534
TI - An enzymatic bridge between carbohydrate and amino acid metabolism: regulation of
glutamate dehydrogenase by reversible phosphorylation in a severe hypoxia
tolerant crayfish.
AB - Glutamate dehydrogenase (GDH) (EC 1.4.1.3) is a crucial enzyme involved in
bridging two metabolic pathways, gating the use of glutamate for either amino
acid metabolism, or carbohydrate metabolism. The present study investigated GDH
from tail muscle of the freshwater crayfish Orconectes virilis exploring changes
to kinetic properties, phosphorylation levels and structural stability between
two forms of the enzyme (aerobic control and 20-h severe hypoxic). Evidence
indicated that GDH was converted to a high phosphate form under oxygen
limitation. ProQ Diamond phosphoprotein staining showed a 42% higher bound
phosphate content on GDH from muscle of severely hypoxic crayfish compared with
the aerobic form, and treatment of this GDH with commercial phosphatase (alkaline
phosphatase), and treatments that stimulated the activities of different
endogenous protein phosphatases (stimulating PP1 + PP2A, PP2B, and PP2C) yielded
significant increases in the fold activation by ADP of GDH from both control and
severe hypoxic conditions. By contrast, stimulation of the activities of
endogenous protein kinases (AMPK, PKA or CaMK) significantly reduced the ADP fold
activation from control animals. The physiological consequence of severe hypoxia
induced GDH phosphorylation may be to suppress GDH activity under low oxygen,
shutting off this critical bridge point between two metabolic pathways.
PMID- 22076535
TI - MiR-25 regulates apoptosis by targeting Bim in human ovarian cancer.
AB - MicroRNAs (miRNAs) are emerging as a class of small regulatory RNAs whose
alterations are implicated in the initiation and progression of human cancers.
Our study showed that miR-25 was highly expressed both in clinical ovarian cancer
samples and cell lines. Down-regulation of miR-25 in ovarian cancer cells induced
apoptosis whereas overexpression of miR-25 enhanced cell proliferation. The
effects of miR-25 abrogation were partly mediated by the intrinsic apoptosis
pathway. Many pro-apoptotic proteins such as Bim, Bax and caspase-3 were up
regulated after transfection. Furthermore, luciferase assays demonstrated that
Bim was the direct target of miR-25. Introducing Bim cDNA without 3'UTR abrogated
miR-25-induced cell survival. Finally, there was an inverse relationship between
Bim and miR-25 expression in ovarian cancer tissues. Taken together, these data
indicate that miR-25 directly regulates apoptosis by targeting Bim in ovarian
cancer and that miR-25 could be a potential therapeutic target for ovarian cancer
intervention.
PMID- 22076536
TI - Ferrofluid mediated nanocytometry.
AB - We present a low-cost, flow-through nanocytometer that utilizes a colloidal
suspension of non-functionalized magnetic nanoparticles for label-free
manipulation and separation of microparticles. Our size-based separation is
mediated by angular momentum transfer from magnetically excited ferrofluid
particles to microparticles. The nanocytometer is capable of rapidly sorting and
focusing two or more species, with up to 99% separation efficiency and a
throughput of 3 * 10(4) particles/s per mm(2) of channel cross-section. The
device is readily scalable and applicable to live cell sorting with biocompatible
ferrofluids, offering competitive cytometer performance in a simple and
inexpensive package.
PMID- 22076537
TI - The global histone modification pattern correlates with overall survival in
metachronous liver metastasis of colorectal cancer.
AB - Post-translational histone modifications are known to be altered in cancer
tissues, and differences in the histone modification levels have recently been
used to predict the clinical outcome in patients with certain types of cancer. In
this study, we evaluated the immunohistochemical staining patterns of histone H3
dimethylation and acetylation in metachronous liver metastasis of colorectal
carcinomas and examined its correlation with patient prognosis. Double 2 mm core
tissue microarrays were made from 54 paraffin-embedded samples of liver
metastasis from colorectal adenocarcinoma, and were examined by an
immunohistochemical analysis of histone H3 lysine 4 (H3K4) dimethylation,
histone, H3 lysine 9 (H3K9) dimethylation and histone H3 lysine 9 (H3K9)
acetylation. Positive tumor cell staining for each histone modification was used
to classify patients into low- and high-staining groups, which were then examined
for correlations with the clinicopathological parameters and clinical outcome.
Dimethylation of H3K4 correlated with the tumor histological type (P=0.043), and
acetylation of H3K9 correlated with the tumor histological type (P=0.016). In
addition, lower levels of H3K4 dimethylation correlated with a poor survival rate
(P=0.035). The multivariate survival analysis showed that the H3K4 dimethylation
status is an independent prognostic factor for colorectal cancer patients
(P=0.011). We suggest that the pattern of histone modification as detected by
immunohistochemistry may be an independent prognostic factor for metachronous
liver metastasis of colorectal carcinomas.
PMID- 22076538
TI - Is octreotide treatment useful in patients with congenital chylothorax?
AB - BACKGROUND: Congenital chylothorax (CC) is a severe congenital disorder
characterized by the collection of chyle in the pleural space. Recent case
reports suggest that the use of octreotide in the treatment of CC may be useful.
OBJECTIVE: To evaluate the effect of octreotide on pleural effusions in CC.
METHODS: Hospital records of 7 patients with a CC, who were admitted to the
Neonatal Intensive Care Unit of the Radboud University Nijmegen Medical Centre
between 2006 and 2010 and were treated with octreotide, were retrospectively
evaluated. RESULTS: There was no clear and consistent effect of octreotide
treatment on pleural effusions. Pleural effusions eventually decreased in all
patients after reaching a dose of 5-6 MUg/kg/min, but this could also reflect the
natural history of CC. Out of 7 patients, 4 were diagnosed with persistent
pulmonary hypertension of the newborn. No other known side effects of octreotide
were found. There was a mortality rate of 30%. CONCLUSIONS: No clear and
consistent effect of octreotide was identified. Pulmonary hypertension was a
common problem in this patient group. It is not clear whether or not this was
caused or maintained by octreotide treatment. A randomized controlled trial is
needed to investigate the safety and usefulness of octreotide. Until then,
clinicians should be careful in using octreotide, especially when persistent
pulmonary hypertension is present.
PMID- 22076539
TI - Ischemic changes on rubidium-82 positron emission tomography imaging are
associated with left ventricular functional and volumetric change independent of
metabolic properties and echocardiographic functional variables in ischemic
cardiomyopathy.
AB - Positron emission tomography (PET) imaging allows identification of stress
induced ischemia and myocardial viability in patients with ischemic
cardiomyopathy. We assessed the left ventricular (LV) functional response to
vasodilator stress in patients with advanced ischemic cardiomyopathy undergoing
pharmacologic stress (PET) perfusion and metabolic imaging. Additionally, we
aimed to determine if mitral regurgitation (MR), right ventricular (RV)
dysfunction and diastolic function influenced the observed LV responses to
pharmacologic stress. In 161 patients (81% men; 65 +/- 13 years), PET and
echocardiography were performed within a week for noninvasive evaluation of
myocardial ischemia and viability (scored using 17-segment model), as well as
ventricular and valvular function. Patients were stratified based on ischemic
defects in any segments versus hibernation/scar defects only. The LV volumes, EF
by gating and transient ischemic dilatation (TID) index were generated
automatically. Wall thickening (WT) scores were determined visually. The subgroup
with reversible/ischemic segments on PET imaging (N = 55) exhibited greater end
systolic (ESV) and end-diastolic volume (EDV) enlargement during stress (13 +/-
22 and 16 +/- 43 ml increase respectively, vs. 0 +/- 18 ml [P < 0.0001] and 2 +/-
24 ml [P = 0.01]), a decrease in LVEF during stress (mean -3% vs. +2%), and
greater TID indices (mean 1.13 +/- 0.18 vs. 1.02 +/- 0.12) compared to
hibernation/scar only (N = 92). In addition, mean WT scores during stress
declined significantly only in the ischemic subgroup (P < 0.0001 for regional
LAD, non-LAD and global wall thickening scores). The prevalence and the severity
of MR and RV dysfunction did not differ between groups. By univariate analysis,
global and LAD territory segmental ischemia, global sum stress score (SSS), TID
index, resting EF, ESV enlargement during stress, as well as global WT changes
correlated with post-stress LVEF decline. Multivariate predictors included SSS,
resting LVEF, and ESV change. Ischemic changes on vasodilator PET imaging are
associated with global LV functional decline and volumetric dilatation,
presumably due to stunning effect. Severity of RV dysfunction and MR had limited
impact on the above changes.
PMID- 22076540
TI - Management and outcome of CSF-JC virus PCR-negative PML in a natalizumab-treated
patient with MS.
AB - OBJECTIVE: To describe the diagnosis and management of a 49-year-old woman with
multiple sclerosis (MS) developing a progressive hemiparesis and expanding MRI
lesion suspicious of progressive multifocal leukoencephalopathy (PML) 19 months
after starting natalizumab. RESULTS: Polyomavirus JC (JCV)-specific qPCR in CSF
was repeatedly negative, but JCV-specific antibodies indicated intrathecal
production. Brain biopsy tissue taken 17 weeks after natalizumab discontinuation
and plasmapheresis was positive for JCV DNA with characteristic rearrangements of
the noncoding control region, but histology and immunohistochemistry were not
informative except for pathologic features compatible with immune reconstitution
inflammatory syndrome. A total of 22 months later, the clinical status had
returned close to baseline level paralleled by marked improvement of
neuroradiologic abnormalities. CONCLUSIONS: This case illustrates diagnostic
challenges in the context of incomplete suppression of immune surveillance and
the potential of recovery of PML associated with efficient immune function
restitution.
PMID- 22076541
TI - Cortical lesions in radiologically isolated syndrome.
AB - OBJECTIVE: To assess the presence of cortical lesions (CLs) as detected by MRI in
subjects with radiologically isolated syndrome (RIS). METHODS: Fifteen subjects
with RIS underwent an MRI examination, including a double inversion recovery
sequence for CL assessment. T2-hyperintense white matter (WM) lesion volume (LV)
and normalized volumes of brain and cortex were also obtained. RESULTS: Thirty
four CLs were identified in 6 of 15 (40%) subjects with RIS and predominantly
distributed in frontotemporal lobes. CLs were frequent in subjects with RIS with
immunoglobulin G oligoclonal bands on CSF, cervical cord lesions, and
dissemination in time on brain MRI. WM LV was higher in subjects with CLs than in
those without CLs (11.5 +/- 10.1 vs 3.9 +/- 2.8 cm(3), p = 0.04). Indeed, CL
number and volume correlated with WM LV (r = 0.57, p = 0.03 and r = 0.61, p =
0.01). All subjects with CLs were classified in a previous study as having a very
high probability of having relapsing-remitting multiple sclerosis (MS) on a
logistic regression analysis of quantitative MRI indices. CONCLUSIONS: We found
CLs in subjects with RIS, a condition characterized by the unanticipated MRI
finding of WM lesions highly suggestive of MS in the absence of a clinical
scenario. CLs were mainly localized to the frontotemporal lobes and were
associated with important markers of evolution to MS.
PMID- 22076542
TI - Increased risk of leg motor restlessness but not RLS in early Parkinson disease.
AB - OBJECTIVE: This study explores the risk and correlates of leg restlessness in
drug-naive patients with Parkinson disease (PD) as compared to control subjects
matched for age and gender. METHODS: A total of 200 drug-naive patients with
early, unmedicated PD derived from a population-based incident cohort and 173 age
and gender-matched control subjects were assessed for leg restlessness by
structured interviews, clinical examination, and blood samples. All subjects were
Caucasian. Restless legs syndrome (RLS) was diagnosed according to the essential
diagnostic criteria. RESULTS: More patients (81 of 200, 40.5%) than controls (31
of 173, 17.9%) reported leg restlessness (p < 0.001). Thirty-one (15.5%) of these
patients with PD and 16 (9.2%) control subjects met RLS criteria (p = 0.07). A
total of 21 (12.5%) patients and 12 (6.9%) controls with RLS remained after the
exclusion of potential RLS mimics and 26 patients vs 10 control subjects with leg
motor restlessness (LMR), leading to a relative risk for RLS of 1.76 (95%
confidence interval [CI] 0.90-3.43, p = 0.089) and 2.84 for LMR (95% CI 1.43
5.61, p = 0.001) in PD. Except for increased sleep disturbances in patients with
RLS and increased Montgomery and Asberg Depression Rating Scale scores for
patients with RLS or LMR there were no other major differences in relevant blood
tests, motor or cognitive function between PD with and without RLS or LMR.
CONCLUSION: LMR and not RLS occurs with a near 3-fold higher risk as compared to
controls in early PD. The findings underline a need for more accurate assessments
of RLS in PD and support the notion that RLS and PD are different entities.
PMID- 22076544
TI - Incidence of dementia in oldest-old with amnestic MCI and other cognitive
impairments.
AB - OBJECTIVE: To examine the incidence of dementia among the oldest-old people with
normal cognition and different types of cognitive impairment. METHODS: This study
included 395 participants without dementia (mean age 93.3 years) from The 90+
Study, a prospective, population-based study of aging and dementia in people aged
90 years and older. The participants had evaluations for dementia every 6 months,
and their average follow-up was 2.5 years. We examined the incidence of all-cause
dementia in participants stratified into 4 cognitive groups: normal, amnestic
mild cognitive impairment (aMCI), nonamnestic mild cognitive impairment (naMCI),
and other cognitive impairment (OCI). RESULTS: Dementia incidence was highest for
participants with aMCI (31.4% per year) and OCI (39.9% per year). Participants
with naMCI had an incidence of 14.1% per year, and participants with normal
cognition had an incidence of 8.4% per year. Dementia incidence was associated
with increasing age in both normal and cognitively impaired participants;
however, an APOE4 allele was associated with a higher dementia incidence only in
participants with baseline cognitive impairment. CONCLUSIONS: The risk of
developing dementia in the oldest-old is high and increases to higher rates when
cognitive impairment is present. Similar to results of studies in younger elderly
individuals, cognitive impairment and increasing age were related to increased
dementia incidence. High dementia incidence rates in the oldest-old individuals,
particularly when cognitively impaired, emphasize the need to further study
cognitive impairment and dementia in this rapidly expanding age group.
PMID- 22076545
TI - Not all that goes "bump in the night" is RLS: leg motor restlessness in PD.
PMID- 22076543
TI - Acute myeloid leukemia in Italian patients with multiple sclerosis treated with
mitoxantrone.
AB - OBJECTIVES: To evaluate the incidence and dose-dependency of mitoxantrone (MTX)
associated acute myelocytic leukemia (AML) in the network of Italian multiple
sclerosis (MS) clinics. METHODS: We performed a multicenter retrospective cohort
study of patients treated with MTX in MS centers under the Italian national
health care system between 1998 and 2008. Demographic, disease, treatment, and
follow-up information were collected using hospital records. RESULTS: Data were
available for 3,220 patients (63% women) from 40 Italian centers. Follow-up (mean
+/- SD) was 49 +/- 29 months (range 12-140 months). We observed 30 cases of AML
(incidence 0.93% [95% confidence interval 0.60%-1.26%]). The mean cumulative dose
was higher in patients with AML (78 vs 65 mg/m(2), p = 0.028). The median
interval from the start of therapy to AML diagnosis was longer than expected at
33 months (range 13-84 months); 8 patients (27%) developed AML 4 years or more
after the first MTX infusion. The rate of mortality associated with AML was 37%.
CONCLUSIONS: This higher than expected risk of AML and related mortality requires
that treatment decisions must be made jointly between clinicians and patients who
understand their prognosis, treatment options, and treatment-related risks. The
now large exposed MS population must be monitored for hematologic abnormalities
for at least 6 years from the end of therapy, to ensure the rapid actions needed
for early diagnosis and treatment of AML.
PMID- 22076546
TI - Intrathecal effects of daclizumab treatment of multiple sclerosis.
AB - OBJECTIVES: We previously reported that daclizumab, a humanized monoclonal
antibody against CD25, reduced contrast-enhancing lesions (CEL) in patients with
multiple sclerosis (MS) who were suboptimal responders to interferon-beta and
that this response correlated with expansion of CD56(bright) NK cells. These data
have been reproduced in a placebo-controlled multicenter trial (CHOICE study).
The current study investigates whether daclizumab monotherapy reduces CEL in
untreated patients with relapsing-remitting MS (RRMS) and the effects of
daclizumab on the intrathecal immune system. METHODS: Sixteen patients with RRMS
with high inflammatory activity were enrolled in an open-label, baseline-vs
treatment, phase II trial of daclizumab monotherapy for 54 weeks and followed by
serial clinical and MRI examinations and immunologic biomarkers measured in the
whole blood and CSF. RESULTS: The trial achieved predefined outcomes. There was
an 87.7% reduction in brain CEL (primary) and improvements in Multiple Sclerosis
Functional Composite (secondary), Scripps Neurologic Rating Scale, and Expanded
Disability Status Scale (tertiary) outcomes. There was significant expansion of
CD56(bright) NK cells in peripheral blood and CSF, with resultant decrease in T
cells/NK cells and B cells/NK cells ratios and IL-12p40 in the CSF. Surprisingly,
CD25 Tac epitope was equally blocked on the immune cells in the CSF and in
peripheral blood. CONCLUSIONS: Daclizumab monotherapy inhibits formation of MS
plaques in patients with RRMS and immunoregulatory NK cells may suppress
activation of pathogenic immune responses directly in the CNS compartment.
CLASSIFICATION OF EVIDENCE: The study provides Class III evidence that daclizumab
reduces the number of contrast-enhancing lesions in treatment-naive patients with
RRMS over a 54-week period.
PMID- 22076547
TI - The manifold faces of PML and the challenge of diagnosis.
PMID- 22076548
TI - Spinal subtraction MRI for diagnosis of epidural leakage in SIH.
AB - OBJECTIVE: To explore the efficacy of spinal MRI study with subtraction analysis
as a rapid, reliable, and noninvasive procedure to detect epidural CSF collection
in spontaneous intracranial hypotension (SIH) syndrome. METHODS: Seventeen
patients (mean age 42 years, age range 17-65 years; 11 female) with SIH diagnosed
using the International Classification of Headache Disorders criteria and 13 age
matched control subjects underwent standard sagittal spinal MRI. Postprocessing
image analysis with subtraction of T1-weighted from T2-weighted MRI scans was
performed and tested for the detection of the CSF leak. RESULTS: The CSF epidural
collection was visible in all patients with SIH and was detected at the dorsal
(16 of 17), cervical (13 of 17), lumbar (13 of 17), and sacral (12 of 17) levels.
None of the control subjects showed a CSF leak. Diverticula were present in 23%
of patients, whereas the actual site of the CSF leak was recognized in only one
patient. Eight patients were treated conservatively, whereas 9 patients required
an epidural blood patch, performed at a fixed L2-L3 or L3-L4 spinal level, with
complete recovery. CONCLUSIONS: Spinal MRI with dedicated subtraction analysis
could represent the first-line diagnostic tool in the management of patients with
SIH, thus leaving invasive investigation for selected patients, such those
requiring dural surgery.
PMID- 22076549
TI - Clinical characteristics of pediatric-onset neuro-Behcet disease.
AB - OBJECTIVES: Neurologic involvement in the pediatric population with Behcet
disease (BD) is limited to case reports. The aim of this study is to examine the
frequency and type of neurologic involvement in pediatric patients with BD.
METHODS: Medical records of 728 patients with a diagnosis of neuro-BD (NBD) of 2
large BD cohorts followed in Istanbul University were included in the study.
Patients with an onset of both systemic and neurologic symptoms at or before age
16 (pediatric neuro-BD) were identified. Demographic and clinical characteristics
of pediatric patients with NBD were compared with adult patients with NBD.
RESULTS: There were 26 cases with pediatric BD (3.6%) and 702 (96.4%) adult-onset
patients. Gender ratio was equal in the general pediatric BD cohort, whereas
male/female ratio was 5.5/1 in pediatric NBD cases. Mean age at BD onset and
neurologic involvement onset were 13.0 +/- 3.0 and 13.5 +/- 2.4, respectively,
and in the adult population mean age at onset of BD was 26.7 +/- 8.0 and
neurologic involvement occurred a mean of 5.3 +/- 4.5 years later. Clinical and
MRI evaluation revealed that 3 children had CNS parenchymal involvement and 23
had dural venous sinus thrombosis (88.5%). We observed parenchymal involvement in
74.8% of the adults, contrary to the low 17.2% of cases with venous sinus
thrombosis. CONCLUSIONS: Pediatric NBD comprises 3.6% of our whole NBD cohort,
with a male predominance, mainly in the form of dural venous sinus thrombosis,
whereas in the adult NBD population the dominant form of neurologic involvement
is parenchymal, suggesting that the pathogenesis of NBD may be different
according to the age at disease onset.
PMID- 22076550
TI - Evaluation of various cytokines elicited during antigen-specific recall as
potential risk indicators for the differential development of leprosy.
AB - Leprosy is a dermato-neurological disease caused by Mycobacterium leprae
infection that manifests across a wide range of clinical and immunological
outcomes. Diagnosis is still currently based on clinical manifestations and
simple tests are needed. This study investigated whether biomarkers induced by
defined M. leprae proteins in 24-h whole blood assays (WBA) could discriminate
active leprosy patients from at-risk contacts. Newly diagnosed, untreated
paucibacillary (PB; tuberculoid leprosy/borderline tuberculoid [TT/BT]) and
multibacillary (MB; borderline lepromatous/lepromatous leprosy [BL/LL]) leprosy
patients, as well as healthy household contacts (HHC) of MB patients, were
recruited in central western Brazil (Goiania/Goias). Cell-based responses to the
ML0276, ML1623, ML0405, ML1632, 92f, and ML1011 antigens were measured by Luminex
14-plex assays detecting eotaxin, IFNgamma, IL-2, IL-4, IL-5, IL-6, IL-10, IL
12p70, IL-15, IL-17A, IL-23, IL-31, IP-10, and TNFalpha. Our data reinforce that
IFNgamma is currently the best indicator of the antigen-specific cellular immune
response of TT/BT leprosy and demonstrate that the same antigens promote the
secretion of IL-4 in blood from BL/LL leprosy patients. While none of the
biomarkers tested could discriminate leprosy patients from HHC, our data indicate
that, although most HHC antigen-specific responses are qualitatively similar to
TT/BT patients, some HHC can respond similarly to BL/LL patients.
PMID- 22076551
TI - Are enterococci playing a role in postoperative peritonitis in critically ill
patients?
AB - This prospective non-interventional study is aimed at evaluating the role of
enterococci in the postoperative course of postoperative peritonitis (POP) and
the predictive factors for isolating Enterococcus spp. All adult patients,
hospitalized in intensive care, who had POP between September 2006 and February
2010 were analysed. The patients' baseline clinical characteristics and
microbiological and surgical characteristics of the first episode of POP were
recorded. The rates of surgical and non-surgical complications and mortality were
studied. A total of 139 patients were analysed and Enterococcus spp. were
recovered in 61 patients (43%). The presence of enterococci was associated with
significantly more intra-abdominal abscesses (26% vs 12%, p=0.025), but did not
affect the rate of reoperation or mortality. Antibiotic use before reoperation
was the only independent predictive factor for isolating enterococci (OR=2.19,
CI95%: 1.02-4.70, p<0.043). Although mortality was not affected by the presence
of Enterococcus spp., a higher rate of intra-abdominal abscess was found,
suggesting that enterococci play a significant role in postoperative peritonitis,
but the need to treat them remains to be determined. Previous antibiotic use
before reoperation was a key factor in predicting the subsequent recovery of
enterococci.
PMID- 22076552
TI - Alternative energy input: mechanochemical, microwave and ultrasound-assisted
organic synthesis.
AB - Microwave, ultrasound, sunlight and mechanochemical mixing can be used to augment
conventional laboratory techniques. By applying these alternative means of
activation, a number of chemical transformations have been achieved thereby
improving many existing protocols with superior results when compared to
reactions performed under traditional conditions. The purpose of this critical
review is to highlight the advances in this general area by presenting such newer
applications in organic synthesis (175 references).
PMID- 22076553
TI - Involvement of concentrative nucleoside transporter 1 in intestinal absorption of
trifluorothymidine, a novel antitumor nucleoside, in rats.
AB - alphaalphaalpha-Trifluorothymidine (TFT), an anticancer nucleoside analog, is a
potent thymidylate synthase inhibitor. TFT exerts its antitumor activity
primarily by inducing DNA fragmentation after incorporation of the triphosphate
form of TFT into the DNA. Although an oral combination of TFT and a thymidine
phosphorylase inhibitor has been clinically developed, there is little
information regarding TFT absorption. Therefore, we investigated TFT absorption
in the rat small intestine. After oral administration of TFT in rats, more than
75% of the TFT was absorbed. To identify the uptake transport system, uptake
studies were conducted by using everted sacs prepared from rat small intestines.
TFT uptake was saturable, significantly reduced under Na(+)-free conditions, and
strongly inhibited by the addition of an endogenous pyrimidine nucleoside. From
these results, we suggested the involvement of concentrative nucleoside
transporters (CNTs) in TFT absorption into rat small intestine. In rat small
intestines, the mRNAs coding for rat CNT1 (rCNT1) and rCNT2, but not for rCNT3,
were predominantly expressed. To investigate the roles of rCNT1 and rCNT2 in TFT
uptake, we conducted uptake assays by using Xenopus laevis oocytes injected with
rCNT1 complementary RNA (cRNA) and rCNT2 cRNA. TFT uptake by X. laevis oocytes
injected with rCNT1 cRNA, and not rCNT2 cRNA, was significantly greater than that
by water-injected oocytes. In addition, in situ single-pass perfusion experiments
performed using rat jejunum regions showed that thymidine, a substrate for CNT1,
strongly inhibited TFT uptake. In conclusion, TFT is absorbed via rCNT1 in the
intestinal lumen in rats.
PMID- 22076554
TI - Change in self-efficacy, autonomous and controlled motivation predicting smoking.
AB - Although motivational interviewing (MI) has been shown to be effective in
changing health behaviors, its effects on smoking cessation have been mixed. The
purpose of the present study is to assess factors of motivation and self-efficacy
as they mediate the relationship between MI and smoking cessation. This is a
secondary analysis of an MI based smoking cessation randomized trial. MI
counseling was associated with change in smoking behaviors during a 12 months
intervention but was not related to autonomous motivation, controlled motivation,
or self-efficacy at baseline and 6 months, the hypothesized mediators. This study
confirmed the pathway to quit smoking through increase in self-efficacy.
PMID- 22076555
TI - Cationic nanomicelles for delivery of plasmids encoding interleukin-4 and
interleukin-10 for prevention of autoimmune diabetes in mice.
AB - PURPOSE: To evaluate the in vivo transfection efficiency of N-acyl derivatives of
low-molecular weight chitosan (LMWC) to deliver pVIVO2-mIL4-mIL10 plasmid
encoding interleukin-4 (IL-4) and interleukin-10 (IL-10) in multiple, low-dose
streptozotocin induced diabetic mouse model. METHODS: N-acyl LMWC nanomicelles
were characterized for size and charge. The pVIVO2-mIL4-mIL10/N-acyl LMWC
polyplexes were injected intramuscularly in mice and compared for transfection
efficiency with naked DNA and FuGENE(r) HD. Bicistronic pVIVO2-mIL4-mIL10 plasmid
was compared with individual plasmids encoding IL-4 and IL-10 for efficacy. The
levels of blood glucose and serum IL-4, IL-10, TNF-alpha and IFN-gamma were
monitored. The ability of plasmid administration to protect from insulitis and
biocompatibility of N-acyl LMWC were studied. RESULTS: The N-acyl LMWC led to
significantly higher (p < 0.05) expression of IL-4 and IL-10 and reduced the
levels of blood glucose, TNF-alpha and IFN-gamma, especially in animals treated
with pVIVO2-mIL4-mIL10 plasmid. The pancreas of pDNA/N-acyl LMWC polyplex treated
animals exhibited protection from insulitis and the delivery systems were found
to be biocompatible. CONCLUSIONS: N-acyl derivatives of LMWC are efficient and
biocompatible gene delivery vectors, and the administration of bicistronic pVIVO2
mIL4-mIL10 plasmid polyplexes can protect the pancreatic islets from insulitis,
possibly due to the synergistic effect of IL-4 and IL-10 encoding plasmids.
PMID- 22076557
TI - Mucosal immunology: the 'AHR diet' for mucosal homeostasis.
PMID- 22076556
TI - Towards a systems understanding of MHC class I and MHC class II antigen
presentation.
AB - The molecular details of antigen processing and presentation by MHC class I and
class II molecules have been studied extensively for almost three decades.
Although the basic principles of these processes were laid out approximately 10
years ago, the recent years have revealed many details and provided new insights
into their control and specificity. MHC molecules use various biochemical
reactions to achieve successful presentation of antigenic fragments to the immune
system. Here we present a timely evaluation of the biology of antigen
presentation and a survey of issues that are considered unresolved. The
continuing flow of new details into our understanding of the biology of MHC class
I and class II antigen presentation builds a system involving several cell
biological processes, which is discussed in this Review.
PMID- 22076559
TI - Autoimmunity: Linking commensals with autoimmunity.
PMID- 22076558
TI - Peptidoglycan recognition proteins: modulators of the microbiome and
inflammation.
AB - All animals, including humans, live in symbiotic association with microorganisms.
The immune system accommodates host colonization by the microbiota, maintains
microbiota-host homeostasis and defends against pathogens. This Review analyses
how one family of antibacterial pattern recognition molecules - the peptidoglycan
recognition proteins - has evolved a fascinating variety of mechanisms to control
host interactions with mutualistic, commensal and parasitic microorganisms to
benefit both invertebrate and vertebrate hosts.
PMID- 22076560
TI - Novel role of c-jun N-terminal kinase in regulating the initiation of cap
dependent translation.
AB - Initiation of protein translation by the 5' mRNA cap is a tightly regulated step
in cell growth and proliferation. Aberrant activation of cap-dependent
translation is a hallmark of many cancers including non-small cell lung cancer.
The canonical signaling mechanisms leading to translation initiation include
activation of the Akt/mTOR pathway in response to the presence of nutrients and
growth factors. We have previously observed that inhibition of c-jun N-terminal
kinase (JNK) leads to inactivation of cap-dependent translation in mesothelioma
cells. Since JNK is involved in the genesis of non-small cell lung cancer
(NSCLC), we hypothesized that JNK could also be involved in activating cap
dependent translation in NSCLC cells and could represent an alternative pathway
regulating translation. In a series of NSCLC cell lines, inhibition of JNK using
SP600125 resulted in inhibition of 4E-BP1 phosphorylation and a decrease in
formation of the cap-dependent translation complex, eIF4F. Furthermore, we show
that JNK-mediated inhibition of translation is independent of mTOR. Our data
provide evidence that JNK is involved in the regulation of translation and has
potential as a therapeutic target in NSCLC.
PMID- 22076561
TI - Clinical trials during pregnancy: what has been done.
AB - OBJECTIVE: We describe clinical trials conducted in pregnant women. METHODS: We
searched PubMed database for articles related to clinical trials between
01/01/2000 and 31/12/2009 involving pregnant women by using the preferred terms
"pregnancy", "human", and "clinical trials". RESULTS: Of 1,264 retrieved
publications, 762 (60%) were excluded, leaving 502 for analysis: 53% were
preventive studies in maternal or fetal conditions; 47% were therapeutic trials,
mostly focused on acute obstetric diseases; 66% were assigned a pharmacological
intervention. The studied drugs were 16% for labour induction and 15% for
abortive procedures, followed by multivitamins and micronutrients, labour
analgesia and anesthesia, antibiotics, tocolytics, and antimalarial drugs. The
main objectives of the studies were focused on efficacy (54%) and efficacy and
safety (26%); 81% of the studies were controlled, randomized and parallel-design
trials; 19% were blinded. CONCLUSION: Clinical trials in pregnant women are
mainly conducted with an efficacy objective regarding maternal-fetal prevention
and in obstetric diseases to study labor induction and abortive measures. This is
in line with the type of intervention and drugs involved.
PMID- 22076562
TI - Evaluation of lansoprazole as a probe for assessing cytochrome P450 2C19 activity
and genotype-phenotype correlation in childhood.
AB - PURPOSE: Lansoprazole, a cytochrome P450 2C19 (CYP2C19) substrate, has been
widely used in children to manage acid-related diseases. CYP2C19 exhibits marked
genetic polymorphisms, and distribution of these polymorphisms varies among
different ethnic groups. There is limited data regarding the use of probe drugs
for determining CYP2C19 activity in children. The aim of this study was to
evaluate lansoprazole as an in vivo phenotyping probe for assessing CYP2C19
activity in children. METHODS: The CYP2C19*2, *3, and *17 variants were
determined in 244 children. Three hours after a single oral dose of lansoprazole
(n = 94) or omeprazole (n = 19), plasma lansoprazole and 5-hydroxy lansoprazole
or omeprazole and 5-hydroxy omeprazole concentrations were analyzed by high
performance liquid chromatography. RESULTS: The CYP2C19*17 was the most frequent
variant allele (24.4%). The group of patients with CYP2C19*17*17 genotype had a
70% lower (p < 0.05) mean lansoprazole plasma concentration compared with the
CYP2C19*1*1 genotype group, whereas the CYP2C19*2*2 group had 6.9-fold higher (p
< 0.01) mean lansoprazole plasma concentration. Lansoprazole metabolic ratios
(lansoprazole/5-hydroxy-lansoprazole) were found to be significantly lower in the
*17*17 [mean +/- standard deviation (SD); 2.8 +/- 2.1] group and higher in the
*2*2 group (63.5 +/- 12.2) compared with that of the *1*1 genotype group (6.1 +/-
4.5). CONCLUSION: According to our results from a Turkish pediatric population,
lansoprazole is a suitable probe drug for phenotyping CYP2C19. The CYP2C19*2 and
*17 variants should be taken into consideration in predicting the clinical
outcome of therapy with lansoprazole in the pediatric population.
PMID- 22076563
TI - Synergistic effects of octylphenol and isobutyl paraben on the expression of
calbindin-D9k in GH3 rat pituitary cells.
AB - Endocrine disruptors (EDs) have estrogenic activity and can cause physiological
estrogenic responses. Octylphenol (OP) is one of the alkylphenolic compounds
known as environmental xenoestrogens because they strongly compete with
endogenous estrogens to bind to estrogen receptors (ERs). Isobutyl paraben (IBP),
a widely used preservative, also exhibits estrogenic activity. Calbindin-D9k
(CaBP-9k) is a novel biomarker for the detection of EDs used in our previous
studies. In this study, the CaBP-9k gene was utilized as a marker for the
estrogenic activity of combined OP and IBP to investigate possible additive,
synergistic or antagonistic effects of these compounds in GH3 rat pituitary
cells. GH3 cells were treated with different individual or combined doses of OP
and IBP. In addition, the antiestrogen ICI 182,780 was used to examine the
potential involvement of ERs in the induction of CaBP-9k expression by EDs. It
was found that CaBP-9k expression was significantly increased at a high-dose of
OP (1 uM) combined with each dose of IBP (0.1, 1 or 10 uM) compared to all single
doses of IBP and OP. A synergistic increase in luciferase activity and CaBP-9k
expression was observed following combination treatment with OP and IBP.
Expression of the progesterone receptor (PR) gene was similarly induced by
combined treatment with OP and IBP. In addition, pre-treatment with ICI 182,780,
an estrogen antagonist, significantly blunted ED-induced CaBP-9k and PR
expression. In summary, the expression of CaBP-9k and PR was induced more
potently by combined OP and IBP than by treatment with either ED alone. ICI
182,780 treatment reversed ED-induced CaBP-9k and PR expression in these cells.
Taken together, these results indicate that combined exposure to OP and IBP has a
synergistic effect on the induction of CaBP-9k and PR gene expression via an ER
dependent pathway in GH3 cells.
PMID- 22076564
TI - Recurrences after surgical resection of intraductal papillary mucinous neoplasm
of the pancreas: a single-center study of recurrence predictive factors.
AB - OBJECTIVE: The detection of intraductal papillary mucinous neoplasms (IPMN) has
increased over the last decade, but still, management remains controversial. The
main problems are their potential for malignancy and risk of recurrence. The
purpose of this study was to determine the predictive factors of recurrence after
surgical resection. METHODS: All patients with IPMN who underwent pancreatectomy
with curative intent were considered. Data were collected from a prospective
base. RESULTS: From 1994 to 2009, 104 patients underwent pancreatectomy. Twenty
one (20%) had recurrence, 15 on remnant pancreas (none on pancreatic cut surface)
and 6 with distant metastases. Twelve patients had total pancreatectomy (1
awaiting surgery). Thirteen (38.2%) of 34 patients with invasive IPMN and 20
(25.9%) of 77 with main duct involvement (including combined type) had
recurrence. In univariate analysis, American Society of Anesthesiologist score
and histological and duct type had a significant impact on recurrence rate. In
multivariate analysis, histological type (invasiveness) was the only significant
predictive factor for recurrence. CONCLUSION: The risk of recurrence of IPMN
after resection depends on the histological type. According to surgical margin,
invasiveness, and the type of duct involved, we identified a high-risk group with
invasive main duct lesion and a low-risk group with noninvasive branch duct
lesion.
PMID- 22076565
TI - The neurotransmitter ATP triggers Ca2+ responses promoting coordination of
pancreatic islet oscillations.
AB - OBJECTIVES: Pulsatile insulin release into the portal vein is critically
dependent on entrainment of the islets in the pancreas into a common oscillatory
phase. Because the pulses reflect periodic variations of the cytoplasmic Ca
concentration ([Ca]i), we studied whether the neurotransmitters adenosine
triphosphate (ATP) and acetylcholine promote synchronization of [Ca]i
oscillations between islets lacking contact. METHODS: Medium-sized and small
mouse islets and cell aggregates were used for measuring [Ca]i with the indicator
fura-2. RESULTS: Exposure to acetylcholine resulted in an initial [Ca]i peak
followed by disappearance of the [Ca]i oscillations induced by 11-mmol/L glucose.
The effect of ATP was often restricted to an elusive [Ca]i peak. The incidence of
distinct [Ca]i responses to ATP increased under conditions (accelerated
superfusion, small islets, or cell aggregates) intended to counteract
purinoceptor desensitization owing to intercellular accumulation of ATP. Attempts
to imitate neural activity by brief (15 seconds) exposure to ATP or acetylcholine
resulted in temporary synchronization of the glucose-induced [Ca]i oscillations
between islets lacking contact. CONCLUSIONS: The data support the idea that
purinergic signaling has a key role for coordinating the oscillatory activity of
the islets in the pancreas, reinforcing previous arguments for the involvement of
nonadrenergic, noncholinergic neurons.
PMID- 22076566
TI - Mitomycin C treatment significantly reduces central damage of islets in culture.
AB - OBJECTIVES: We recently reported that mitomycin C (MMC) treatment and subsequent
culture of islets significantly prolongs graft survival in allotransplantation
and xenotransplantation models. The present study was performed to determine the
changes in morphology and signal transduction in pancreatic islets after MMC
treatment. METHODS: Freshly isolated rat islets were treated with 10 MUg/mL MMC
for 30 minutes and then cultured for up to 3 days. The samples were processed for
immunohistologic studies and electron microscopic examination at various times
after treatment. A DNA fragmentation assay was performed to detect apoptotic cell
death. Western blotting was performed to determine the effects of MMC on signal
transduction. RESULTS: As early as 4 hours after culture, the islets showed
central damage; most cells were necrotic and stained with anti-high mobility
group box 1 antibody, and a few were apoptotic. The ratio of the damaged area to
the whole area was significantly decreased after MMC treatment. Western blotting
showed that MMC treatment increased the levels of activated forms of p53 and p21,
whereas levels of the activated forms of Akt and caspase-3 were unchanged.
CONCLUSIONS: Mitomycin C treatment protects islets from the progression of
central damage during culture. The p53-p21 pathway might be involved in these
effects. ABBREVIATIONS: MMC - mitomycin C, HMGB1 - high mobility group box 1.
PMID- 22076567
TI - Proteomic assessment of markers for malignancy in the mucus of intraductal
papillary mucinous neoplasms of the pancreas.
AB - OBJECTIVES: Intraductal papillary mucinous neoplasms (IPMN) of the pancreas
evolve from dysplasia to invasive adenocarcinoma. The aims of this study were to
look for candidate protein profiles in IPMN mucus according to histological
grade, using a differential proteomic technique, and to highlight protein peaks
associated with malignant transformation. METHODS: Forty-three mucus samples
obtained from surgically resected IPMN and categorized as benign (low/moderate
dysplasia) or malignant (severe dysplasia/invasive adenocarcinoma) in 21 and 22
patients, respectively. A surface-enhanced laser desorption ionization time-of
flight mass spectrometry was used to determine candidate protein expression
profiles. Protein peaks that significantly differed between benign/malignant IPMN
(area under curve > 0.88; P < 10; high intensity) were identified using adapted
software. RESULTS: Among 952 protein peaks, 31 were differentially expressed in
benign/malignant IPMN (P < 0.001). Among them, 5 candidate proteins of interest
(mass-to-charge ratio [m/z]: 5217, 6326, 6719, 10,453, and 10,849 d) were
selected by their high diagnostic accuracy and ability to distinguish between
malignant and benign tumors. No correlation was found between peak profiles and
duct involvement. CONCLUSIONS: Carcinogenic process in IPMN is associated with
changes in mucus proteome with characteristic peaks that could be potential
candidate biomarkers of malignancy. ABBREVIATIONS: IPMN - intraductal papillary
mucinous neoplasm, EPC - extrapancreatic cancer, MRI - magnetic resonance
imaging, ERCP - endoscopic retrograde cholangiopancreatography.
PMID- 22076568
TI - Combination of hedgehog signaling blockage and chemotherapy leads to tumor
reduction in pancreatic adenocarcinomas.
AB - OBJECTIVES: Activation of the hedgehog signal transduction pathway, triggered by
hedgehog binding to the transmembrane receptor patched 1 (PTCH1) or by mutations
in the PTCH1 gene, plays an important role in the development of various tumors.
METHODS: To investigate whether the Hedgehog signaling pathway is also active in
human pancreatic adenocarcinomas, we determined the expression levels of the
known Hedgehog target genes PTCH1 and GLI-1 in pancreatic tumors. To determine
whether alterations in the PTCH1 gene are responsible for this pathway
activation, we screened pancreatic carcinomas for mutations in PTCH. To
investigate the contribution of hedgehog signaling to the tumorigenicity of
pancreatic tumor cells, we blocked the Hedgehog pathway in cultured tumor cells
and xenografts using the steroidal alkaloid cyclopamine and the small-molecule
Hedgehog inhibitor Hh-Antag. RESULTS: We identified single nucleotide
polymorphisms (SNPs) within the PTCH1 gene but no somatic PTCH1 mutations.
Pathway-blockage resulted in a significant dose-dependent reduction of tumor cell
growth in vitro and in vivo. Moreover, combined treatment with cyclopamine and
the conventional antimetabolite gemcitabine revealed a synergistic effect on the
reduction of tumor growth in pancreatic adenocarcinoma xenografts. CONCLUSIONS:
Inhibition of Hedgehog signaling could be a promising approach for the treatment
of pancreatic adenocarcinomas.
PMID- 22076570
TI - Amide temperature coefficients in the protein G B1 domain.
AB - Temperature coefficients have been measured for backbone amide (1)H and (15)N
nuclei in the B1 domain of protein G (GB1), using temperatures in the range 283
313 K, and pH values from 2.0 to 9.0. Many nuclei display pH-dependent
coefficients, which were fitted to one or two pK(a) values. (1)H coefficients
showed the expected behaviour, in that hydrogen-bonded amides have less negative
values, but for those amides involved in strong hydrogen bonds in regular
secondary structure there is a negative correlation between strength of hydrogen
bond and size of temperature coefficient. The best correlation to temperature
coefficient is with secondary shift, indicative of a very approximately uniform
thermal expansion. The largest pH-dependent changes in coefficient are for amides
in loops adjacent to sidechain hydrogen bonds rather than the amides involved
directly in hydrogen bonds, indicating that the biggest determinant of the
temperature coefficient is temperature-dependent loss of structure, not hydrogen
bonding. Amide (15)N coefficients have no clear relationship with structure.
PMID- 22076569
TI - Activation of the BMP4 pathway and early expression of CDX2 characterize non
specialized columnar metaplasia in a human model of Barrett's esophagus.
AB - BACKGROUND: A human model of gastroesophageal reflux disease was used to examine
the contribution of a non-specialized columnar type of metaplasia (NSCM) and key
molecular events (BMP4 and CDX2) in the development of Barrett's esophagus.
METHODS: Biopsies of the remnant esophagus from 18 patients undergoing
esophagectomy with gastric preservation were taken at 6-36-month intervals
postoperatively and examined for activation of the BMP pathway (BMP4/P-Smad
1/5/8) and CDX2 and CDX1 expression by imunohistochemistry, quantitative real
time PCR, Western blot, and in situ hybridization. RESULTS: A short segment (mean
15.6 mm) of NSCM was detected in 10 (56%) patients, with an increasing prevalence
from 17% at 6 months to 62% at 36 months. Nuclear expression of P-Smad 1/5/8 in
the squamous epithelium close to the anastomosis with strong expression in all
epithelial cells of NSCM areas was found. Forty-eight (63%) biopsies with NSCM
showed scattered nuclear expression of CDX2. Two cases showed isolated glands at
18, 24, and 36 months that fully expressed CDX2 and co-expressed CDX1. BMP4 mRNA
and CDX2 mRNA levels were significantly greater in NSCM than in squamous
epithelium. CONCLUSIONS: BMP4 activation in NSCM and early expression of CDX2 are
involved in the columnar epithelial differentiation of Barrett's esophagus.
PMID- 22076571
TI - A vector design that allows fast and convenient production of differently tagged
proteins.
AB - Recombinant-tagged proteins have a widespread use in experimental research as
well as in clinical diagnostic and therapeutic approaches. Well-stocked sets of
differently tagged variants of a same protein would be of great help. However,
the construction of differently tagging vectors is a demanding task since cloning
procedures need several tailored DNA inserts. In this study, we describe a novel
vector system that allows a cost- and time-effective production of differently
tagged variants of a same protein by using the same DNA fragment and a set of
vectors each carrying a different tag. The design of these expression vectors is
based on an intronic region that becomes functional upon cloning the insert
sequence, splicing of which attaches a certain tag to the protein termini. This
strategy allows for the cloning of the fragment that codes for the protein of
interest, without any further modification, into different vectors, previously
built and ready-to-use, each carrying a tag that will be joined to the protein.
Proof of principle for our expression system, presented here, is shown through
the production of a functional anti-GD2 Fab fragment tagged with biotin or
polyhistidine, or a combination of both, followed by the demonstration of the
functional competencies of both the protein and the tags.
PMID- 22076572
TI - Scleral buckling with a non-contact wide-angle viewing system.
AB - PURPOSE: To assess the outcome of scleral buckling surgery using a non-contact
wide-angle viewing system for fundus visualization in patients with
rhegmatogenous retinal detachment without proliferative vitreoretinopathy.
PATIENTS AND METHODS: Sixteen eyes of 16 patients underwent scleral buckling
using a non-contact wide-angle viewing system combined with a 25-gauge
illumination fibre inserted into the sclera at the pars plana. RESULTS: The mean
age of the patients was 53.6 +/- 13.7 years and the mean follow-up time was 13.4
+/- 2.8 months. Retinal reattachment was achieved in 13 of the 16 eyes (81%).
Three eyes underwent vitrectomy with silicone oil injection because of
development of proliferative vitreoretinopathy in 2 eyes and scleral perforation
due to excessive indentation during cryoretinopexy in 1 eye. Two eyes developed
limited subretinal haemorrhage during subretinal fluid drainage. CONCLUSION:
Simultaneous use of a non-contact wide-angle viewing system combined with a 25
gauge light fibre illumination for fundus visualization brings the advantages of
microsurgery and indirect ophthalmoscopy into scleral buckling surgery.
PMID- 22076573
TI - Clinicopathologic outcomes of curative resection for sarcomatoid carcinoma of the
lung.
AB - OBJECTIVES: Sarcomatoid carcinoma of the lung is a very rare and aggressive
subtype of non-small cell lung cancer. We explored the clinicopathologic
characteristics and surgical outcome of this tumor. METHODS: Among 4,212 patients
who underwent curative resection for non-small cell lung cancer from September
1994 to December 2009, 99 patients had sarcomatoid carcinoma. Medical records of
patients were reviewed retrospectively. RESULTS: The mean follow-up period was
16.07 months. Thirty-six patients had pathologic stage I disease, and 63 had more
advanced disease. Surgery included 2 wedge resections, 67 lobectomies, 17
bilobectomies, and 13 pneumonectomies. There were 90 pleomorphic carcinomas, 6
spindle cell carcinomas, 1 giant cell carcinoma, 1 carcinosarcoma, and 1
pulmonary blastoma. Overall 5-year survival was 54.3%. Forty-three patients
experienced recurrence and 42 of these died of the cancer. Pathologic T stage as
defined by the 7th TNM staging system was significantly associated with survival
and recurrence (p = 0.004 and 0.002, respectively). Mean positron emission
tomography uptake was significantly higher than in other types of lung cancer (p
< 0.0001). CONCLUSIONS: Our results implied that surgery for sarcomatoid
carcinoma must be carefully planned after extensive preoperative evaluation.
Efforts should be made for accurate preoperative histological diagnosis of large
peripheral tumor with exceedingly high positron emission tomography uptake.
PMID- 22076574
TI - A case of severe aortic stenosis with severe coronary artery disease that was
successfully treated by balloon aortic valvuloplasty and percutaneous coronary
intervention.
AB - We describe an 85-year-old woman with severe aortic stenosis, who also had severe
coronary artery disease. She suffered from dyspnea on exertion and frequent
syncope. Echocardiography revealed an immobile and heavily calcified aortic
valve, and coronary angiography revealed two-vessel disease including chronic
total occlusion. Open-heart surgery was refused and she was referred to our
department. She underwent percutaneous coronary intervention (PCI) for the right
coronary artery and left anterior descending artery. Following PCI, percutaneous
balloon aortic valvuloplasty (BAV) was performed on the same day. We chose
balloons of 15 * 60 mm, 18 * 60 mm, and 20 * 60 mm, respectively. Improvement in
the mean aortic valve pressure gradient (PG) and calculated aortic valve area
(mean PG 48-23 mmHg, 0.8-1.2 cm(2), respectively) was observed after the final
balloon dilatation. No significant complications occurred. The combination of BAV
with PCI may be a useful treatment for relief of the associated symptoms of
severe aortic stenosis and coronary artery disease, though it does not improve
the long-term prognosis.
PMID- 22076576
TI - Current therapies in the management of systolic and diastolic dysfunction.
AB - Heart failure, especially caused by systolic dysfunction, is the most common
cause of hospitalization in the elderly population and the annual expenditure
required for its management is at least $10 billion. The critical care nurse can
play an active role in recognizing the differences between systolic and diastolic
dysfunction, and teaching the patient and family that improper management or
underuse of therapies can lead to disease progression.
PMID- 22076575
TI - EGCG ameliorates the suppression of long-term potentiation induced by ischemia at
the Schaffer collateral-CA1 synapse in the rat.
AB - The function of Epigallocatechin gallate (EGCG), a main component of green tea,
has been widely investigated, amelioration of synaptic transmission and
neuroprotective effects against ischemia-induced brain damage among others.
However, the mechanism underlying is still unveiled. We investigated the effects
of EGCG on high frequency stimulation-induced long-term potentiation (LTP) in the
Schaffer collateral-CA1 synapse with or without cerebral ischemia injury induced
by middle cerebral artery occlusion (MCAO) in vivo to examine the possible
relations between EGCG and synaptic transmission. Application of EGCG modulated
synaptic transmission and produced a dose-dependent improvement of the induction
of LTP. However, relative high-dose EGCG can block the induction of LTP at the
Schaffer collateral-CA1 synapse in normal rat in vivo. In addition, the effects
of EGCG were observed on the infarct volume and neurological deficit in rats
subjected to MCAO; furthermore, the cell viability of primary cultured rat
hippocampal and cortical neurons suffered from oxygen-glucose deprivation were
evaluated with MTT and LDH assay, which showed significant neuroprotective
properties in vitro. Surprisingly, the contents of the glutamate (Glu), glycine
(Gly), and gamma-aminobutyric acid amino acids were totally disequilibrated
before and after cerebral ischemia injury and could be rebalanced to original
level by application of EGCG. Our results suggest that EGCG is able to improve
the efficiency of synaptic transmission in cerebral ischemia injury with
attenuated effect related to the neuroprotection of EGCG through regulating
excitatory and inhibitory amino acid balance.
PMID- 22076579
TI - Dealing with coagulopathies of pregnancy-induced hypertension.
AB - A woman with pregnancy-induced hypertension may experience a potentially fatal
bleeding disorder. This article describes how to recognize trouble and intervene
appropriately.
PMID- 22076580
TI - Tenecteplase: a promising new fibrinolytic agent.
AB - Acute coronary syndrome can mean anything from unstable angina to acute
myocardial infarction (MI) with ST-segment elevation. Tenecteplase, a new
fibrinolytic agent used to treat ST-segment elevation acute MI, has some
advantages over other fibrinolytic agents. This article describes tenecteplase's
actions, indications, contraindications, and use.
PMID- 22076581
TI - Communicating to get results: an interview with Jacob Weisberg.
AB - An expert describes ways to improve communication skills and save time, solve
problems, and motivate employees.
PMID- 22076582
TI - Malnutrition in the elderly: what nurses need to know.
AB - Identifying nutritional deficit vulnerability and early and advanced malnutrition
states in the elderly can be challenging. This article provides a clinical
evaluation guide for identifying risks and diagnosing incipient and advanced
malnutrition. Diagnosis and intervention can prevent loss of function and
independence and decrease morbidity and mortality in the elderly.
PMID- 22076583
TI - Braced for disaster.
AB - Organized disaster or mass casualty incident planning is typically limited to
prehospital, emergency department, operating room, and intensive care unit
personnel. Such planning can be transferred to acute care situations as well.
PMID- 22076584
TI - Measuring "hang time": contamination of intra-arterial flush solutions over time.
AB - This study reports on improved patient care through procedures that reduce the
likelihood of hospital-acquired infections. This investigation found that longer
"hang times" for nurse-prepared intra-arterial flush solutions did not
significantly increase the patient's risk of infection. In addition, the article
details cost savings and procedures to better manage nurses' time.
PMID- 22076585
TI - Bioterrorism: the new threat.
PMID- 22076586
TI - Enhancement of cytotoxic and pro-apoptotic effects of 2-aminophenoxazine-3-one on
the rat hepatocellular carcinoma cell line dRLh-84, the human hepatocellular
carcinoma cell line HepG2, and the rat normal hepatocellular cell line RLN-10 in
combination with 2-deoxy-D-glucose.
AB - The cytotoxic and pro-apoptotic effects of a single dose of 2-aminophenoxazine-3
one (Phx-3) or 2-deoxyglucose (2-DG) or of a combined dose of Phx-3 and 2-DG were
studied in the rat hepatocellular carcinoma cell line dRLh-84, the human
hepatocellular carcinoma cell line HepG2 and the rat normal hepatocellular cell
line RLN-10. The number of viable cells decreased in a dose-dependent manner,
when dRLh-84, HepG2 or RLN-10 cells were treated with 2-DG (0.5-20 mM) or Phx-3
(1-50 uM) alone at 37C for 48 h. When these cells were treated with 10 mM 2-DG
and different concentrations of Phx-3, the number of viable cells decreased dose
dependently and in an additive manner for these agents. A single dose of 2 or 10
uM Phx-3 induced apoptotic morphology characterized by nuclear condensation and
cell shrinkage in dRLh-84, HepG2 and RLN-10 cells, while a single dose of 10 mM 2
DG did not. When Phx-3 (2 or 10 uM) treatment was combined with 2-DG (10 mM)
treatment in these three cell lines, the cells with apoptotic morphology
increased extensively, which was confirmed by flow cytometric analysis. In
addition, autophagic morphology characterized by cytosolic vacuole formation was
significantly increased in the hepatocellular carcinoma cell lines dRLh-84 and
HepG2 but not in the normal hepatocellular cell line RLN-10 after a single dose
of Phx-3 or 2-DG or a combined dose of Phx-3 and 2-DG. Furthermore, when dRLh-84
and HepG2 cells were treated with Phx-3 alone or a combined dose of Phx-3 and 2
DG, depolarization of the mitochondria was extensive, but that of the normal cell
line RLN-10 was not. These results may imply that the mechanism for the apoptosis
of hepatocellular carcinoma cells caused by Phx-3 alone or a combined dose of Phx
3 and 2-DG differs from that of the normal cell line RLN-10. The present results
demonstrate that Phx-3 alone may be beneficial for targeting liver cancer and
that its anticancer activity may be enhanced by 2-DG. However, a combined dose of
Phx-3 and 2-DG may exert adverse effects on normal liver cells, as evidenced by
the cytotoxic and pro-apoptotic effects of the combined treatment in the rat
normal hepatocellular cell line RLN-10.
PMID- 22076587
TI - Resonant Mie scattering (RMieS) correction applied to FTIR images of biological
tissue samples.
AB - Recently a resonant Mie scattering (RMieS) correction approach has been developed
and demonstrated to be effective for removing the baseline distortions that
compromise the raw data in individual spectra. In this paper RMieS correction is
extended to FTIR images of a tissue section from biopsy of the human cervical
transformation zone and a coronal tissue section of a Wistar rat brain and
compared to the uncorrected images. It is shown that applying RMieS correction to
FTIR images a) removes baseline distortions from the image spectra and thus
reveals previously hidden information on spatial variation of chemical contents
within the tissue and b) can lead to improved automatic tissue feature
classification through multivariate cluster analysis.
PMID- 22076589
TI - Comparison of shear bond strengths of ceramic brackets after different time lags
between lasing and debonding.
AB - Laser use is effective in the debonding of ceramic brackets. However, a
standardization of the laser debonding techniques used has not yet been
implemented. The purpose of this study was to evaluate the effect of the time lag
elapsed between lasing and shearing on debonding of ceramic brackets. One hundred
polycrystalline ceramic brackets were placed on human premolar teeth, which were
randomly divided into five groups of 20. One group was assigned as the control.
The Er-YAG laser was applied on each bracket in four experimental groups at 5 W
for 6 s with the scanning method. Debonding was performed 1 s, 18 s, 30 s, or 60
s after laser exposure. Shear bond strengths and adhesive remnant index scores
were measured. Statistically significant difference was observed between the
control and experimental groups when the data for the shear bond strengths was
considered (p < 0.05). Adhesive remnant index scores of the groups were not
statistically different (p > 0.05). Debonding ceramic brackets after 18 s when
lased 6 s using an Er-YAG laser with the scanning method is safe and also
suitable for clinical use since three brackets can be debonded at a time in
succession.
PMID- 22076590
TI - Expression of seed dormancy in grain sorghum lines with contrasting pre-harvest
sprouting behavior involves differential regulation of gibberellin metabolism
genes.
AB - Grain sorghum [Sorghum bicolor (L) moench] exhibits intraspecific variability for
the rate of dormancy release and pre-harvest sprouting behavior. Two inbred lines
with contrasting sprouting response were compared: IS9530 (resistant) and
RedlandB2 (susceptible). Precocious dormancy release in RedlandB2 is related to
an early loss of embryo sensitivity to ABA and higher levels of gibberellins in
imbibed grains as compared with IS9530. With the aim of identifying potential
regulatory sites for gibberellin metabolism involved in the expression of
dormancy in immature grains of both lines, we carried out a time course analysis
of transcript levels of putative gibberellin metabolism genes and hormone content
(GA(1), GA(4), GA(8) and GA(34)). A lower embryonic GA(4) level in dormant IS9530
was related to a sharp and transient induction of two SbGA2-oxidase
(inactivation) genes. In contrast, these genes were not induced in less dormant
RedlandB2, while expression of two SbGA20-oxidase (synthesis) genes increased
together with active GA(4) levels before radicle protrusion. Embryonic levels of
GA(4) and its catabolite GA(34) correlated negatively. Thus, in addition to the
process of gibberellin synthesis, inactivation is also important in regulating
GA(4) levels in immature grains. A negative regulation by gibberellins was
observed for SbGA20ox2, SbGA2ox1 and SbGA2ox3 and also for SbGID1 encoding a
gibberellin receptor. We propose that the coordinated regulation at the
transcriptional level of several gibberellin metabolism genes identified in this
work affects the balance between gibberellin synthesis and inactivation
processes, controlling active GA(4) levels during the expression of dormancy in
maturing sorghum grains.
PMID- 22076588
TI - Temozolomide responsiveness in aggressive corticotroph tumours: a case report and
review of the literature.
AB - Pituitary carcinoma occurs in ~0.2% of resected pituitary tumours and carries a
poor prognosis (mean survival <4 years), with standard chemotherapy regimens
showing limited efficacy. Recent evidence suggests that temozolomide (TMZ), an
orally-active alkylating agent used principally in the management of
glioblastoma, may also be effective in controlling aggressive/invasive pituitary
adenomas/carcinomas. A low level of expression of the DNA-repair enzyme O6
methylguanine-DNA methyltransferase (MGMT) predicts TMZ responsiveness in
glioblastomas, and a similar correlation has been observed in the majority of
aggressive pituitary adenomas/carcinomas reported to date. Here, we report a case
of a silent pituitary corticotroph adenoma, which subsequently re-presented with
Cushing's syndrome due to functioning hepatic metastases. The tumour exhibited
low immunohistochemical MGMT expression in both primary (pituitary) and secondary
(hepatic) lesions. Initial TMZ therapy (200 mg/m2 for 5 days every 28 days-seven
cycles) resulted in marked clinical, biochemical [>50% fall in
adrenocorticotrophic hormone (ACTH)] and radiological [partial RECIST (response
evaluation criteria in solid tumors) response] improvements. The patient then
underwent bilateral adrenalectomy. However, despite reintroduction of TMZ therapy
(further eight cycles) ACTH levels plateaued and no further radiological
regression was observed. We review the existing literature reporting TMZ efficacy
in pituitary corticotroph tumours, and highlight the pointers/lessons for
treating aggressive pituitary neoplasia that can be drawn from experience of
susceptibility and evolving resistance to TMZ therapy in glioblastoma. Possible
strategies for mitigating resistance developing during TMZ treatment of pituitary
adenomas/carcinomas are also considered.
PMID- 22076591
TI - Urinary exosomal WT1 in childhood nephrotic syndrome.
AB - BACKGROUND: Recently, urinary exosomal WT1 has been proposed as a novel biomarker
for simple podocyte injury. We investigated urinary exosomal WT1 to confirm its
role as a non-invasive biomarker for predicting steroid responsiveness or renal
pathological conditions in patients with idiopathic nephrotic syndrome (NS). CASE
DIAGNOSIS: Forty children with active NS were recruited. Twenty-eight (70%) were
steroid-sensitive, including 3 with minimal change NS (MCNS) and 1 with focal
segmental glomerulosclerosis (FSGS). The remaining 12 (30%) were steroid
resistant, including 8 with FSGS and 4 with MCNS. Urinary exosomes were isolated
by a differential centrifugation method, and WT1 was measured by Western blot
analysis. RESULTS: WT1 was detected in 25 patients (62.5%). There was no
significant difference in the proportion of the patients with a detectable amount
of WT1 according to steroid responsiveness or renal pathological condition, the
amount of WT1 showed no significant difference according to steroid
responsiveness or renal pathological condition, and there was no significant
difference in the amount of proteinuria between patients with or without
detectable WT1. CONCLUSIONS: Urinary exosomal WT1 was detected in some patients
with NS. However, its role as an appropriate biomarker in childhood NS was not
verified in this study.
PMID- 22076592
TI - Outcome of children with heavy non-nephrotic proteinuria in Henoch-Schonlein
nephritis.
PMID- 22076593
TI - Evaluating the "greenness" of chemical processes and products in the
pharmaceutical industry--a green metrics primer.
AB - This tutorial review presents an overview of the main metrics that have been used
to test and compare the 'greenness' of processes and products, primarily in the
pharmaceutical industry. The green metrics cover areas of resources, materials,
processing, cleaning, life cycle assessment, renewability, amongst others.
Application examples of these metrics are also presented to illustrate key points
and concepts.
PMID- 22076594
TI - Relapse to smoking and postpartum weight retention among women who quit smoking
during pregnancy.
AB - Postpartum weight retention contributes to obesity risk in women. Given that most
women who quit smoking as a result of pregnancy will resume smoking within 6
months postpartum and that there is a robust association between smoking and
weight, we sought to evaluate postpartum weight retention as a function of
postpartum smoking status among women who had quit smoking during pregnancy.
Women (N = 183) with biochemically confirmed cigarette abstinence at the end of
pregnancy were recruited between February 2003 and November 2006. Women self
reported demographic information and weight before pregnancy. Smoking status and
weight were documented at the end of pregnancy and at 6, 12, and 24 weeks
postpartum. Breastfeeding was reported at 6 weeks postpartum. Differences in
weight retention by relapse status at each assessment were evaluated. To examine
weight retention in the presence of conceptually relevant covariates, mixed
models with log-transformed weight data were used. At 24 weeks postpartum, 34.6%
of women remained abstinent. Women who remained abstinent throughout the 24-week
period retained 4.7 +/- 2.1 kg more than did women who had relapsed by 6 weeks
postpartum, P = 0.03. This difference in postpartum weight retention was
significant after controlling for relevant covariates (age, race, breastfeeding,
and pregravid BMI). Resumption of smoking within the first 6 weeks following
childbirth is associated with decreased postpartum weight retention, even after
controlling for breastfeeding and pregravid weight. Interventions to sustain
smoking abstinence postpartum might be enhanced by components designed to
minimize weight retention.
PMID- 22076595
TI - Randomized controlled trial of chewing gum for weight loss.
AB - The possible effects on body weight of chewing gum on a regular schedule have not
been tested in a randomized controlled trial (RCT). We conducted an 8-week RCT in
201 overweight and obese adults to test the hypothesis that receiving printed
material on good nutrition and chewing gum for a minimum of 90 min/day (n = 102)
would lead to greater weight loss than receiving printed nutrition information
only (n = 99). Changes in BMI, waist circumference, and blood pressure were
secondary outcomes. Adherence to the gum-chewing protocol in the intervention
group was >95%. In the intention-to-treat analysis, there were virtually no
changes in weight or BMI in either group between baseline and the end of the
intervention at 8 weeks. Waist circumference decreased significantly in the
intervention group between baseline and 8 weeks (mean +/- SD change = -1.4 +/-
5.3 cm; P = 0.0128); however, there was no significant difference in change in
waist circumference comparing the groups. Similarly, systolic and diastolic blood
pressure decreased significantly in the intervention group between baseline and 8
weeks (-3.0 +/- 9.9 mm Hg; P = 0.0032 and -3.2 +/- 7.3 mm Hg; P = 0.0001,
respectively); however, there were no significant differences in the changes in
systolic or diastolic blood pressure between the groups. Analyses including
completers only produced essentially the same results. We conclude that chewing
gum on a regular schedule for 8 weeks did not facilitate weight loss in these
overweight and obese adults.
PMID- 22076596
TI - Exenatide as a weight-loss therapy in extreme pediatric obesity: a randomized,
controlled pilot study.
AB - The objective of this pilot study was to evaluate the effects of exenatide on BMI
(primary endpoint) and cardiometabolic risk factors in nondiabetic youth with
extreme obesity. Twelve children and adolescents (age 9-16 years old) with
extreme obesity (BMI >=1.2 times the 95th percentile or BMI >=35 kg/m(2)) were
enrolled in a 6-month, randomized, open-label, crossover, clinical trial
consisting of two, 3-month phases: (i) a control phase of lifestyle modification
and (ii) a drug phase of lifestyle modification plus exenatide. Participants were
equally randomized to phase-order (i.e., starting with control or drug therapy)
then crossed-over to the other treatment. BMI, body fat percentage, blood
pressure, lipids, oral glucose tolerance tests (OGTT), adipokines, plasma
biomarkers of endothelial activation, and endothelial function were assessed at
baseline, 3-, and 6-months. The mean change over each 3-month phase was compared
between treatments. Compared to control, exenatide significantly reduced BMI (
1.7 kg/m(2), 95% confidence interval (CI) (-3.0, -0.4), P = 0.01), body weight (
3.9 kg, 95% CI (-7.11, -0.69), P = 0.02), and fasting insulin (-7.5 mU/l, 95% CI
(-13.71, -1.37), P = 0.02). Significant improvements were observed for OGTT
derived insulin sensitivity (P = 0.02) and beta-cell function (P = 0.03).
Compliance with the injection regimen was excellent (>=94%) and exenatide was
generally well-tolerated (the most common adverse event was mild nausea in 36%).
These preliminary data suggest that exenatide should be evaluated in larger, well
controlled trials for its ability to reduce BMI and improve cardiometabolic risk
factors in youth with extreme obesity.
PMID- 22076598
TI - Enhancement of static incubation time in microfluidic cell culture platforms
exploiting extended air-liquid interface.
AB - Microfluidics based cell culture applications have facilitated the study of
cellular dynamics at the single entity level. Yet, long term versions of such
applications in a static framework suffer from the fast exhaustion of available
oxygen, dissolved in the limited media volume available per cell, within the
microconfined environment. In order to circumvent such drawbacks, we have
improvised a microfluidic cell culture platform for prolonged sustenance of
adherent mammalian cells by formation of an air-liquid interface through
functionalizing inner surfaces of a polydimethylsiloxane (PDMS) based
microdevice. We have demonstrated an augmented static incubation time for
different cell lines using this approach.
PMID- 22076597
TI - Immunological characteristics and two novel mutations in TACI in a cohort of 28
pediatric patients with common variable immunodeficiency.
AB - Common variable immunodeficiency (CVID) is a heterogeneous syndrome characterized
by impaired immunoglobulin production. Mutations in the gene encoding TACI
(TNFRSF13B) were previously found to be associated with CVID. Previous studies
have identified a variety of sequence variants in TACI where A181E and C104R were
the most common, with variable frequencies in different ethnic populations. So
far, no mutations were identified in the recently reported "TACI highly
conserved" (THC) cytoplasmic domain, important for the induction of class switch
recombination. Our study evaluated immunological and clinical data on a cohort of
28 Argentinean pediatric CVID patients and allowed the identification of two
novel mutations in TNFRSF13B, including one, S231R, affecting the highly
conserved THC domain. In contrast, none of the patients presented with A181E and
C104R mutations.
PMID- 22076599
TI - The metabolic syndrome of fructose-fed rats: effects of long-chain
polyunsaturated omega3 and omega6 fatty acids. IV. D-glucose metabolism by
isolated pancreatic islets.
AB - The major aim of the present study was to search for changes of D-glucose
metabolism in isolated pancreatic islets possibly involved in the alteration of
their secretory response to the hexose, as observed when comparing rats exposed
for 8 weeks to diets containing either starch and sunflower oil or fructose and
sunflower oil, as well as rats exposed to diets containing fructose, sunflower
oil and either salmon oil or safflower oil. The substitution of starch by
fructose in the diet affected unfavourably D-glucose phosphorylation by the
isolated islets. In the fructose-fed rats, there was a close parallelism between
D-[5-3H]glucose utilization and the dietary omega3/omega6 fatty acid ratio. There
was little to distinguish, however, between the four groups of rats in terms of D
[U-14C]glucose oxidation. The paired ratio between D-[U-14C]glucose oxidation and
D-[5-3H]glucose utilization, which always increased as the concentration of the
hexose was raised from 2.8 to 8.3 and 16.7 mM, was tightly related, in the
fructose-fed rats, to the HOMA index for insulin resistance.
PMID- 22076600
TI - Giving the wrong impression: food and beverage brand impressions delivered to
youth through popular movies.
AB - BACKGROUND: Marketing on television showcases less-healthful options, with
emerging research suggesting movies promote similar products. Given the obesity
epidemic, understanding advertising to youth should be a public health
imperative. The objective of this study was to estimate youth impressions to food
and beverages delivered through movies. METHODS: Impressions were calculated by
dividing US receipts annually into average movie ticket prices, then multiplying
this by the number of brand appearances. Examination by ratings, product types
and ages were conducted by Spearman rank correlation coefficient tests. RESULTS:
Youth in the USA saw over 3 billion food, beverage or food-retail establishment
(FRE) impressions on average, annually from 1996 to 2005. Those aged 12-18 viewed
over half of all impressions, with PG-13-rated movies containing 61.5% of
impressions. There were no significant trends in brand appearances by food,
beverage or FRE impressions over the decade, although there was a decreasing
trend in R-rated impressions for both foods (P< 0.01) and beverages (P< 0.01),
but not FREs (P= 0.08). CONCLUSIONS: Movies promote billions of food and beverage
impressions annually to youth. Given the public health crisis of obesity, future
research should further investigate these trends, as well as the potential
association of these unhealthy exposures in youth.
PMID- 22076601
TI - Lipid, lipoproteins, total antioxidant status and organ changes in rats
administered high doses of cadmium chloride.
AB - OBJECTIVE: To determine the possible association between cadmium (Cd) exposure
and alterations in plasma lipid and lipoprotein levels and total antioxidant
status (TAS) in rats. MATERIALS AND METHOD: Twenty male albino rats assigned to 2
groups of 10 rats each (test and control) were used for the study. Each test
animal model was given 1.0 mg CdCl(2)/kg body weight, administered
intraperitoneally for 4 weeks. Blood samples obtained from both groups at the
beginning (baseline) and after 4 weeks of Cd exposure were analysed for
triglycerides (TG), total cholesterol (TC), high-density lipoprotein cholesterol
(HDLC), low-density lipoprotein cholesterol (LDLC), TAS and Cd. Kidney and liver
sections from the rats were examined. RESULTS: The plasma TG, TC and LDLC levels
were significantly higher in exposed rats than controls (p < 0.0001, p < 0.0001
and p < 0.001, respectively). Plasma HDLC was reduced in the exposed rats
compared to controls (0.41 +/- 0.22 and 0.68 +/- 0.14 mmol/l, respectively).
CONCLUSION: The observed dyslipidaemia and decrease in TAS could be due to
increased free radical production causing oxidative stress. Damage to liver and
kidney sections in the exposed group suggests that Cd toxicity results in
detrimental histological changes and may be implicated in the aetiology of
cerebrovascular diseases.
PMID- 22076602
TI - Is there still any role for minilaparoscopic-cholecystectomy? A general surgeons'
last five years experience over 932 cases.
AB - Laparoscopy has rapidly emerged as the preferred surgical approach in a number of
different diseases because it ensures correct diagnoses and appropriate
treatment. The use of mini-instruments (5 mm or less in diameter) and, when
possible, the reduction of the number of trocars used might be its natural
evolution. Laparoscopic cholecystectomy is a gold standard technique. The aim of
the present work is to illustrate the results of the prospective experience of
minilaparoscopic cholecystectomy (5 mm MLC) performed at our institution. Between
August 2005 and July 2010 a total of 932 patients (mean age 45 years) underwent a
laparoscopic cholecystectomy. Amongst them, 887 (95.1%) were operated on with a 5
mm-three trocar approach and in the remaining 45 cases (4.8%) a 3 mm trocar was
used. The primary endpoint was the feasibility rate of the techniques. Secondary
endpoints were safety and the impact of the techniques on duration of
laparoscopy. In two cases conversion to laparotomy was necessary. We needed to
add a fourth-5 mm trocar in the 10.7% of the cases (95 patients) in the 5 mm MLC.
There were two cases of redo-laparoscopy in this group due to bile leakage from
the cystic duct in one case, and to bleeding from the gallbladder bed in the
other. Minor occurrence ranged as high as 2.1% in the 5 mm-MLC group, while it
was nil in the 3 mm-MLC patients. The present experience shows that the 5 mm
three trocars MLC is a safe, easy, effective and reproducible approach to
gallbladder diseases. Such features make the technique a challenging alternative
to conventional laparoscopy both in the acute and the scheduled setting. We
consider the 3 mm-MLC approach suitable only in selected cases, young and thin
patients, due to the fragility of the smaller instruments.
PMID- 22076604
TI - Evidence for a general factor model of ADHD in adults.
AB - OBJECTIVE: To examine factor structures of Diagnostic and Statistical Manual of
Mental Disorders (4th ed.) symptoms of ADHD in adults. METHOD: Two sets of models
were tested: (a) models with inattention and hyperactivity/impulsivity as
separate but correlated latent constructs and (b) hierarchical general factor
models with a general factor for all symptoms and separate specific factors for
inattention and hyperactivity/impulsivity. Participants were 751 adults with
ADHD. Two models with correlated factors and two general factor models of ADHD
symptoms were tested. RESULTS: The general factor model provided a better fit of
the data than the correlated models. The general factor model with one general
and three (inattention, motoric, and verbal hyperactivity/impulsivity) specific
factors best accounted for the adults' symptoms. CONCLUSION: These results
suggest a unitary component to ADHD symptoms as well as dimensional specific
factors. The replication of a general factor in adults suggests continuity of
symptom presentation from childhood into adulthood. Clinical implications are
discussed.
PMID- 22076605
TI - Methylation profiling in non-small cell lung cancer: clinical implications.
AB - The aim of this study was to identify a panel of methylation markers that
distinguish non-small cell lung cancers (NSCLCs) from normal lung tissues. We
also studied the relation of the methylation profile to clinicopathological
factors in NSCLC. We collected a series of 46 NSCLC samples and their
corresponding control tissues and analyzed them to determine gene methylation
status using the Illumina GoldenGate Methylation bead array, which screens up to
1505 CpG sites from 803 different genes. We found that 120 CpG sites,
corresponding to 88 genes were hypermethylated in tumor samples and only 17 CpG
sites (16 genes) were hypomethylated when compared with controls. Clustering
analysis of these 104 genes discriminates almost perfectly between tumors and
normal samples. Global hypermethylation was significantly associated with a worse
prognosis in stage IIIA NSCLC patients (P=0.012). Moreover, hypermethylation of
the CALCA and MMP-2 genes were statistically associated to a poor clinical
evolution of patients, independently of TNM tumor stage (P=0.06, RR=2.64; P=0.04,
RR=2.96, respectively). However, hypermethylation of RASSF1 turned out to be a
protective variable (P=0.02; RR=0.53). In conclusion, our results could be useful
for establishing a gene methylation pattern for the detection and prognosis of
NSCLC.
PMID- 22076607
TI - shRNA against CD44 inhibits cell proliferation, invasion and migration, and
promotes apoptosis of colon carcinoma cells.
AB - CD44 is a causal factor for tumor invasion, metastasis and acquisition of
resistance to apoptosis. CD44 knockdown using inducible short hairpin RNA (shRNA)
significantly reduces cell growth and invasion. Short hairpin RNA against CD44
and pGFP-V-RS-vector was used for knockdown of CD44 expression in SW620 colon
cancer cells. Cell growth, invasion and migration assay, immunofluorescence for
beta-catenin expression and western blotting for Wnt signaling molecules were
analyzed. Cell cycle analysis and western blot analysis for apoptotic molecules
were evaluated. Short hairpin RNA against CD44 reduced the expression of CD44.
Cell proliferation, migration and invasion were markedly inhibited and apoptosis
was increased in shRNA CD44-transfected cells. Knockdown of CD44 decreased the
phosphorylation of PDK1, Akt and GSK3beta, and beta-catenin levels. Decreased
phosphorylated Akt led to an increase in phosphorylated FoxO1 and induced cell
cycle arrest in the G0-G1 phase and a decrease in the S phase. The levels of Bcl
2 and Bcl-xL expression were down-regulated, while the levels of BAX expression
and cleaved caspase-3, -8 and -9 were increased. CD44 knockdown by way of shRNA
inhibited cell proliferation and induced cell apoptosis. This can be used as a
therapeutic intervention with the anti-survival/pro-apoptotic machinery in human
colon cancer.
PMID- 22076608
TI - DNA methylation patterns of Brachypodium distachyon chromosomes and their
alteration by 5-azacytidine treatment.
AB - Sequential immunolocalisation of 5-methylcytosine (5-MeC) and fluorescence in
situ hybridisation with chromosome-specific BAC clones were performed on
Brachypodium distachyon mitotic metaphase chromosomes to determine specific DNA
methylation patterns of each chromosome in the complement. In the majority of
cells examined, chromosomes Bd4 and Bd5, which bear the loci of 5S and 35S
ribosomal DNA, respectively, had characteristic 5-MeC patterns. In contrast, the
distribution of 5-MeC along the metacentric chromosome pairs Bd1, Bd2 and Bd3 was
more variable. There were numerous differences in distribution of methylated
sites between homologous chromosomes as well as between chromosome arms. Some
chromosome sites, such as pericentromeric regions, were highly methylated in all
chromosomes. Additionally, the influence of a hypomethylating agent, 5
azacytidine, on B. distachyon chromosome methylation patterns was confirmed. It
was found that some chromosome pairs underwent demethylation more easily than
others, but there was no apparent regularity in demethylation of particular
chromosome segments.
PMID- 22076609
TI - Feasibility of enhanced recovery programme in various patient groups.
AB - INTRODUCTION: An accelerated multi-modal rehabilitation programme may improve the
recuperation and reduce the complication rate in patients undergoing colorectal
surgery. The aim of this study was to see whether fast-track recovery is feasible
in various patient groups. PATIENTS AND METHODS: Data on all patients operated
for intestinal pathology from July 2006-April 2008 were prospectively collected
for this prospective study. All included patients entered a multi-modal
rehabilitation programme. Peri- and postoperative complications and readmissions,
pathology reports and operation characteristics were recorded prospectively.
RESULTS: Three hundred and forty-eight patients underwent colorectal surgery. No
difference in readmission rate was found between various patient groups. The only
significant differences after multivariate regression analysis were in re
operation rate and length of stay in favour of the elective surgery group.
CONCLUSIONS: Fast-track modalities can be introduced with a low complication rate
in all patient groups. Length of stay in elderly patients averages 10 days,
implying that this group cannot be considered as "fast track", although the same
protocol can also be applied in this group. Better organization of the aftercare
might however considerably change the length of stay of elderly patients, since
postoperative complications do not differ between old and young patients.
PMID- 22076606
TI - Serotonin and prefrontal cortex function: neurons, networks, and circuits.
AB - Higher-order executive tasks such as learning, working memory, and behavioral
flexibility depend on the prefrontal cortex (PFC), the brain region most
elaborated in primates. The prominent innervation by serotonin neurons and the
dense expression of serotonergic receptors in the PFC suggest that serotonin is a
major modulator of its function. The most abundant serotonin receptors in the
PFC, 5-HT1A, 5-HT2A and 5-HT3A receptors, are selectively expressed in distinct
populations of pyramidal neurons and inhibitory interneurons, and play a critical
role in modulating cortical activity and neural oscillations (brain waves).
Serotonergic signaling is altered in many psychiatric disorders such as
schizophrenia and depression, where parallel changes in receptor expression and
brain waves have been observed. Furthermore, many psychiatric drug treatments
target serotonergic receptors in the PFC. Thus, understanding the role of
serotonergic neurotransmission in PFC function is of major clinical importance.
Here, we review recent findings concerning the powerful influences of serotonin
on single neurons, neural networks, and cortical circuits in the PFC of the rat,
where the effects of serotonin have been most thoroughly studied.
PMID- 22076610
TI - Clinicopathologic features and prognostic analysis of MSI-high colon cancer.
AB - PURPOSE: The objectives of the study were to estimate the incidence and clarify
the clinicopathologic feature of sporadic microsatellite instability (MSI)-high
(MSI-H) colon cancer. Furthermore, the role of MSI in colon cancer prognosis was
also investigated. METHODS: Microsatellite status was identified by genotyping.
The clinicopathologic differences between two groups (MSI-H vs. MSI-L/S) and the
prognostic value of MSI were analyzed. RESULTS: From 1993 to 2006, 709 sporadic
colon cancer patients were enrolled. MSI-H colon cancers showed significant
association with poorly differentiated (28.3% vs. 7.2%, p = 0.001), proximally
located (76.7% vs. 34.5%, p = 0.001), more high mucin-containing tumor (10.0% vs.
5.1%, p = 0.001) and female predominance (56.7% vs. 30.2%, p = 0.001). In
multivariate analysis, MSI-H is an independent factor for better overall survival
(HR, 0.459; 95% CI, 0.241-0.872, p = 0.017). CONCLUSIONS: Based on the hospital
based study, MSI-H colon cancers demonstrated distinguished clinicopathologic
features from MSI-L/S colon cancers. MSI-H is an independent favorable prognostic
factor for overall survival in colon cancer.
PMID- 22076611
TI - DNA topoisomerase II alpha: a favorable prognostic factor in colorectal caner.
AB - PURPOSE: There is a lack of study concerning expression of Topoisomerase IIalpha
(Topo IIalpha) and long-term results in colorectal cancer patients. We aimed to
investigate the relationship between expression of Topo IIalpha and
clinicopathological parameters including overall survival in colorectal cancer.
METHODS: Paraffin-fixed specimens from a large prospective cohort of colorectal
cancer patients who had been followed up for 4 years were assayed
immunohistochemically. RESULTS: Of 490 colorectal cancer patients accessible for
Topo IIalpha expression, expression of Topo IIalpha was scored as (-) in 4 (0.8%)
patients, (+) in 41 (8.4%) patients, (++) in 396 (80.8%) patients, and (+++) in
49 (10.0%) patients. Overexpression of Topo IIalpha was found to be related with
lower T stage (p = 0.042), lower N stage (p = 0.038), and a lower incidence of
recurrence with nearly significance (p = 0.053). Kaplan-Meier analyses showed
that overexpression of Topo IIalpha was related with prolonged overall survival
(p = 0.022) and disease-free survival (p = 0.036). Multivariate analyses showed
that elevated serum CEA (p < 0.001), elevated serum CA199 (p = 0.002), poor
differentiation (p = 0.001), advanced Dukes stage (p < 0.001), and lower
expression of Topo IIalpha (p = 0.017) were independent predictive factors for
poor prognosis. CONCLUSIONS: Topo IIalpha expression is a valuable prognostic
indicator for colorectal cancer and would be useful in treatment selection for
early colorectal cancer and malignant colorectal polyps resected under endoscopy,
especially when it is used in combination with serum CEA, CA199, and
differentiation.
PMID- 22076612
TI - Meta-analysis of the association between progression-free survival and overall
survival in metastatic colorectal cancer.
AB - PURPOSE: The validity of progression-free survival (PFS) as a surrogate endpoint
for overall survival (OS) in metastatic colorectal cancer (mCRC) trials has been
studied, primarily in first-line treatment. The relationship between PFS and OS
has not been well studied in later lines of treatment. METHODS: We conducted a
systematic literature review of mCRC phase 2 and 3 clinical trials that reported
OS and PFS (or time-to-progression [TTP]) data. Correlation between endpoints
(either PFS alone or PFS aggregated with TTP [PFS_TTP]) was estimated within
treatment arms. Treatment effect was the ratio of the median time to OS, PFS, or
PFS_TTP in the "control" versus "experimental" arm. We conducted meta-regression
analyses and performed receiver-operating characteristic (ROC) analysis. RESULTS:
We analyzed data from 62 articles (23,527 patients). A high positive correlation
was found between median PFS_TTP and median OS within treatment arms (r = 0.87;
95% confidence interval [CI], 0.82-0.91) and also between the median OS and
median PFS (r = 0.89, 95% CI, 0.83-0.93)]. R(2) was 0.48 for PFS_TTP and 0.59 for
PFS; R (2) for PFS_TTP was higher for first-line (R(2) = 0.54) than second-line
studies (R(2) = 0.38). The ROC analysis is presented as a conceptual tool for
evaluating the performance of PFS as a surrogate for OS at various thresholds.
CONCLUSIONS: The correlation of PFS, alone or aggregated with TTP, with OS in
clinical trials of patients with mCRC is robust across lines of therapy and
provides a useful means of predicting improvements in OS using PFS data.
PMID- 22076613
TI - Tissue factor/activated factor VIIa induces matrix metalloproteinase-7 expression
through activation of c-Fos via ERK1/2 and p38 MAPK signaling pathways in human
colon cancer cell.
AB - PURPOSE: Increased expression of tissue factor (TF) is associated with tumor
invasion and metastasis in human colorectal cancer. We have previously observed
that TF/FVIIa upregulates matrix metalloproteinase-7 (MMP-7) expression at the
transcriptional level in colon cancer cells. MMP-7 overexpression is believed to
play an important role in tumor invasion and metastasis. The aim of this study is
to elucidate the molecular mechanisms by which TF/FVIIa induced MMP-7 expression
and cell invasion in vitro. METHODS: Reverse transcription polymerase chain
reaction, Western blot, luciferase assay, and chromatin immunoprecipitation
(ChIP) were used to determine the potential mechanism and signaling pathways by
which TF/FVIIa induced MMP-7 expression and cell invasion in LoVo cells. Small
interfering RNA (siRNA) and cell invasion assay was used to examine whether
blocking c-Fos expression could abolish FVIIa-mediated upregulation of MMP-7 and
cell invasion in vitro. RESULTS: The results showed that FVIIa induced the
upregulation of MMP-7 both at the mRNA and protein levels in a time- and dose
dependent manner and increased the invasive behavior of LoVo cells. FVIIa
enhanced the promoter activity of MMP-7, and the activator protein-1 (AP-1)
binding site was responsible for the activation. Site mutation of the AP-1
binding site in the promoter almost completely abolished FVIIa-mediated response.
Furthermore, ChIP assay confirmed that FVIIa promoted the direct binding of c-Fos
with the MMP-7 promoter in vivo. FVIIa also induced the expression and nuclear
accumulation of the AP-1 subunit c-Fos. siRNA-mediated knockdown of c-Fos
eliminated FVIIa-stimulated MMP-7 expression and cell migration in vitro. In
addition, selective mitogen-activated protein kinase (MAPK) kinase (MEK1/2)
inhibitor (PD98059) and p38 MAPK inhibitor SB203580 suppressed MMP-7 upregulation
induced by FVIIa. CONCLUSIONS: Our data suggest that a novel TF/FVIIa/MAPK/c
Fos/MMP-7 axis plays an important role in modulating the invasion of colon cancer
cells and blockage of this pathway holds promise to treat colon cancer
metastasis.
PMID- 22076614
TI - Developing luminescent silver nanodots for biological applications.
AB - Though creation and characterization of water soluble luminescent silver nanodots
were achieved only in the past decade, a large variety of emitters in diverse
scaffolds have been reported. Photophysical properties approach those of
semiconductor quantum dots, but relatively small sizes are retained. Because of
these properties, silver nanodots are finding ever-expanding roles as probes and
biolabels. In this critical review we revisit the studies on silver nanodots in
inert environments and in aqueous solutions. The recent advances detailing their
chemical and physical properties of silver nanodots are highlighted with an
effort to decipher the relations between their chemical/photophysical properties
and their structures. The primary results about their biological applications are
discussed here as well, especially relating to their chemical and photophysical
behaviours in biological environments (216 references).
PMID- 22076616
TI - Using the inflating syringe as a safety valve to limit laryngeal mask airway cuff
pressure.
AB - OBJECTIVE: Hyperinflation of the laryngeal mask airway (LMA) cuff is thought to
be the etiology underlying many of the complications associated with the use of
this device. Until now, there has not been a clinically acceptable method
(besides direct measurement) to assure that the cuff pressure is maintained less
than the recommended maximum value of 44 mm Hg (60 cm H(2)O). METHODS: We
inflated sizes #2 and #5 LMAs with air to 40, 60, or 120 mm Hg starting
pressures, using 30- and 60-ml BDTM and B BraunTM syringes; we then allowed the
syringe plungers to recoil to equilibrium before removing the syringe from the
LMA inflation port. Residual LMA cuff pressures following complete passive recoil
were measured and recorded. RESULTS: A number of combinations of syringes (30 and
60 ml) and starting pressures (40, 60, 120 mm Hg) resulted in safe residual (#2
and #5 LMA) cuff pressures of <44 mm Hg. CONCLUSION: When using specific
combinations of syringes, LMA sizes and inflation pressures, these data
demonstrate an efficient, practical and easy method to achieve an initial
equilibrium recoil LMA cuff pressure that is less than, or very near to, the
recommended upper safe limit of 44 mm Hg.
PMID- 22076615
TI - Comparison of SNAPTM II and BIS Vista indices during normothermic cardiopulmonary
bypass under isoflurane anesthesia.
AB - OBJECTIVE: Processed EEG monitoring during cardiopulmonary bypass (CPB) may help
determine loss of consciousness and depth of anesthesia. This study compared the
SNAP(TM) II and BIS Vista monitors in patients undergoing isoflurane anesthesia
with normothermic CPB. METHODS: 40 subjects undergoing CPB with isoflurane
anesthesia were enrolled. Subjects were premedicated with 1-2 mg midazolam
approximately 5 min prior to acquisition of baseline index values and anesthesia
induced with midazolam and fentanyl. Anesthesia was maintained with isoflurane,
midazolam, and fentanyl and a cis-atracurium infusion. SNAP(TM) II (version 1.2.9
algorithm 1.88) and BIS Vista (application version 3.00 platform version 2.03)
indices were recorded at baseline, pre-induction, post-intubation, incision,
start of CPB, every 15 min during CPB, end of CPB, and end of case. Agreement
between methods was determined using Pearson correlation and the Bland-Altman
method with repeated observa- tions. RESULTS: Twenty-four male and 12 female
subjects completed the analysis. The correlation between SNAP(TM) II and BIS
Vista index values was 0.61 (P < 0.005). A linear relationship between the
difference in the indices and the average index values was observed following the
induction of anesthesia. In awake subjects, the bias between the SNAP(TM) II and
BIS Vista was 5 (95% CI 3-7). The limits of agreement were 23 (95% CI 19-26) and
13 (95% CI -9--16). During anesthesia, the mean difference on a log scale was
0.11 (95% CI 0.09-0.12). The limits of agreement were 0.43 (95% CI 0.40-0.45) and
-0.21 (95% CI -0.18--0.24). The antilog of the mean difference demonstrated that
the SNAP(TM) II value was 28% (95% CI 24-33%) higher than the BIS Vista value
following induction of anesthesia. CONCLUSIONS: The SNAP(TM) II monitor
demonstrates a consistently positive bias during cardiopulmonary bypass under
isoflurane anesthesia compared with the BIS Vista.
PMID- 22076617
TI - Optimality and thermodynamics determine the evolution of transcriptional
regulatory networks.
AB - Transcriptional motifs are small regulatory interaction patterns that regulate
biological functions in highly-interacting cellular networks. Recently, attempts
have been made to explain the significance of transcriptional motifs through
dynamic function. However, fundamental questions remain unanswered. Why are
certain transcriptional motifs with similar dynamic function abundant while
others occur rarely? What are the criteria for topological generalization of
these motifs into complex networks? Here, we present a novel paradigm that
combines non-equilibrium thermodynamics with multiobjective-optimality for
network analysis. We found that energetic cost, defined herein as specific
dissipation energy, is minimal at the optimal environmental conditions and it
correlates inversely with the abundance of the network motifs obtained
experimentally for E. coli and S. cerevisiae. This yields evidence that
dissipative energetics is the underlying criteria used during evolution for motif
selection and that biological systems during transcription tend towards
evolutionary selection of subgraphs which produces minimum specific heat
dissipation under optimal conditions, thereby explaining the abundance/rare
occurrence of some motifs. We show that although certain motifs had similar
dynamical functionality, they had significantly different energetic cost, thus
explaining the abundance/rare occurrence of these motifs. The presented insights
may establish global thermodynamic analysis as a backbone in designing and
understanding complex networks systems, such as metabolic and protein interaction
networks.
PMID- 22076618
TI - Clobetasol down-regulates SLPI expression in U937 monocytoid cells.
AB - In order to investigate how glucocorticoids affect the expression of secretory
leukocyte peptidase inhibitor (SLPI), which is overexpressed in a variety of
cancers, clobetasol was added to cell culture medium of U937 cells and the SLPI
mRNA levels were examined. The in vitro effect of the treatment on SLPI
expression was detected by reverse transcriptase-polymerase chain reaction.
Clobetasol treatment of U937 cells induced an up- and down-regulation of SLPI
expression in a dose-dependent manner. Western blotting confirmed the down
regulation of SLPI protein expression. We hypothesized a loop formation in the
SLPI genome domain, in which the glucocorticoid receptor regulates bi-directional
transcriptional activity.
PMID- 22076619
TI - In response to "Clinical features and management of carboplatin-related
hypersensitivity reactions in pediatric low grade glioma".
PMID- 22076620
TI - Cancer as biographical disruption: constructions of living with cancer.
AB - PURPOSE: From a cancer survivor perspective, the purpose of this paper is to
explore what has changed in their lives that they attribute to the disease. The
rationale for the study is that evidence of the extent to which cancer disrupts
people's lives in the longer term is contradictory. METHODS: Written accounts
from 40 cancer survivors were analysed using interpretative methods. The
researchers drew on the concept of biographical disruption as a framework for
analysis. RESULTS: Cancer survivors construct cancer as a biographically
disruptive event with ongoing physical and psychosocial impacts. Cancer is
constructed as a permanent threat to life which is responsible for increasing
their awareness of their own mortality and invoking positive changes to self.
These formulations of living with cancer were found across a range of
participants, including those who defined themselves as currently free of cancer,
those who had recurrence, those who had been diagnosed 5 years ago and those who
had been free of cancer for a long time. CONCLUSIONS: This study adds to the body
of literature exploring how to enhance supportive care for cancer survivors by
reflecting on biographical disruption and continuity, and the complexities within
individual constructions of changes in life that they attribute to cancer. Cancer
survivors should be given opportunities to articulate the impact of cancer, thus
giving legitimate space to talk about cancer's ongoing resonance on life so that
problems and difficulties are not dismissed or trivialised.
PMID- 22076621
TI - Bridging the distance: a prospective tele-oncology study in Northern Norway.
AB - PURPOSE: The University Hospital of North Norway (UNN) is a tertiary-level
hospital and has the main responsibility of providing specialized cancer health
care in the remote area of Northern Norway. Weekly videoconferences (VCs) have
been established to enable clinicians at a local hospital and primary cancer
health care providers in five different communities to discuss cases with
specialist cancer care services at UNN. In this study, we aimed to evaluate the
feasibility of these VCs. METHODS: This is a prospective registration study.
Descriptive data were collected at UNN, and for each patient discussed at the VC,
a survey was completed by the local health care provider responsible for the
patient. RESULTS: During an 18-month period, 167 cases were discussed (101
patients). A median of 7 health care providers participated in each VC. According
to the local physicians and nurses, the VCs contributed in 96% of cases to give
"quite a bit" or "very much" confidence in adequate patient care. They reported
that patient care in 85% of cases would be improved "quite a bit" or "very much"
due to the VC. The mean number of days waiting for VC were 2.0 days (range, 0-7;
SD, 2.0) and was significantly shorter (P < 0.001) than the estimated time
waiting if alternative consultations were to be used (mean, 10.2 days (range, 0
30; SD, 5.8)). CONCLUSION: VC may be a useful supplemental tool to support
primary health care providers at local hospitals and remote communities in their
effort to offer efficient and high-quality cancer care.
PMID- 22076622
TI - Identification and phylogenetic characterization of a new subfamily of alpha
amylase enzymes from marine microorganisms.
AB - A gene encoding a starch-hydrolyzing enzyme was isolated from a marine
metagenomic library and overexpressed in Escherichia coli. The enzyme, designated
AmyP, shows very low similarity to full-length sequences of known alpha-amylases,
although a catalytic domain correlated with the alpha-amylase superfamily was
identified. Based on the range of substrate hydrolysis and the product profile,
the protein was clearly defined as a saccharifying-type alpha-amylase. Sequence
comparison indicated that AmyP was related to four putative glycosidases
previously identified only in bacterial genome sequences. They were all from
marine bacteria and formed a new subfamily of glycoside hydrolase GH13. Moreover,
this subfamily was closely related to the probable genuine bacterial alpha
amylases (GH13_19). The results suggested that the subfamily may be an
independent clade of ancestral marine bacterial alpha-amylases.
PMID- 22076623
TI - [Anniversaries: Assistenza Infermieristica e Ricerca, but not only].
AB - The 30th anniversary of Assistenza Infermieristica e Ricerca is the occasion for
a reflection on the past and future of a journal whose aim is to publish but,
above all, to promote research in/on nursing care, stimulating confrontations,
trying to describe and to find answers to unmet needs.
PMID- 22076624
TI - [A prospective study on the effectiveness of a project for early discharge of
elderly and frail patients from the emergency department].
AB - OBJECTIVE: Frail patients have increased chances of access to Emergency
Department (ED) and hospital admission. ED discharge of frail patients (FP)
implies high risk of poor outcome. A program of early ED discharge of FPs managed
by community nurses (CN) for patients whose hospitalization is not indicated, but
cannot be discharged is described. METHODS: Prospective observational study. A
CN, in cooperation with other professionals, assessed the feasibility of
discharge and activated the appropriate facilities for a safe patient return to
the community. Patients' length of stay in ED, mortality and readmission rate to
ED 3 months after discharge were measured. RESULTS: The mean age of the 210
enrolled patients was 82.9 years. The reasons for ED access were either acute or
worsened chronic diseases, mainly related to orthopedic/traumatic events and
pain. Ninety-two persons were sent back home and 118 to residential facilities.
In the following 3 months, mortality was 15.7%; almost 36% of discharged patients
had at least one access to hospital and the overall readmission rate was 55.7%,
higher in sent home group. DISCUSSION: CNs, when integrated with other ED staff,
can successfully manage early discharges of 'at risk' patients. The high
readmission rate may reflect some enduring difficulties to manage in the
community, problems traditionally treated in hospital.
PMID- 22076625
TI - [Intention to leave the hospital among nurses with <= 3 years of work experience:
an exploratory study].
AB - INTRODUCTION: The retention of nursing workforce is one of the challenges of
nursing managers. Since the turnover intention is associated to the real
turnover, a deeper understanding of reasons why young nurses would leave the
hospital could give some hints to help to retain them. AIM: To explore the
factors that affect the turnover intention in nurses with a work experience <3
years. METHODS: Nurses with <3 years of experience, working in 3 large Italian
hospitals completed a questionnaire aimed at exploring the turnover intention,
job satisfaction, perception of self-competence, organizational commitment,
perception of organizational support and of the quality of delivered care, asking
to express their agreement on a likert scale from 1 to 5. RESULTS: The results
showed that 34.4% of the nurses intended to leave the hospital within 1 year,
43.8% of them had already asked to be transferred a to another hospital. The
majority of nurses who intended to leave were male, with a full time employment
and living farther than 30 kilometers from the hospital. Moreover they were less
satisfied with their current job, for the relationship with the colleagues, the
Nurse Manager and the physicians, perceived themselves less competent, less
committed to their unit and less supported by the organization compared with than
nurses who intended to stay. CONCLUSIONS: This study supports the importance of
increasing job satisfaction and organizational commitment for nurses'retention.
PMID- 22076626
TI - [The validation of C-POT (Critical-Care Pain Observation Tool) scale: a tool for
assessing pain in intensive care patients].
AB - Pain in intensive care units is a frequent and often undermanaged problem, mainly
because appropriate pain assessment tools for non communicative patients are
still missing. The Critical-Care Pain Observation Tool (C-POT) is currently
considered one of the best scales, both for psychometric properties and clinical
feasibility. AIM: To preliminarily analyze the reliability and validity of the C
POT in a hospital setting, and its clinical feasibility. METHODS: 50 nursing
staff members from three different critical care settings of Vicenza Hospital
administered the C-POT to 121 in patients, at rest and after usual nursing care
activities. In addition, NOPPAIN forms were completed during care activities and
communicative patients were asked to rate their pain using numerical rating scale
0-10. Reliability, with Cronbach's alfa and inter-rater agreement (Spearman's non
parametric rank correlation), as well as criterion, concurrent and discriminant
validity were determined. RESULTS: A good internal consistency and good levels of
agreement between independent raters were observed (rhoSpearman 0.55 at rest and
0.66 during activity). Moderate correlations between C-POT and numerical rating
scale 0-10, and between C-POT and NOPPAIN were found. Moreover, C-POT scores
varied from rest to activities, and from non painful to painful procedures.
DISCUSSION: C-POT showed good psychometric properties in terms of reliability and
validity; these results, added to positive nurses evaluations, support its
utility and use in the clinical setting.
PMID- 22076628
TI - [For a responsible, and detached, professional work in times of crisis. A very
technical update: remembering "Essay on lucidity and blindness of J. Saramago].
AB - The leading question of this paper is whether it makes any sense, is credible or
feasible to adopt aims of sensibleness and rationalization in the health care
system (so strictly connected to the social and economical sector) while the
criteria used by the social, economical and cultural contexts (nationally and
internationally) are based on the denial of evidences, on misinterpretation of
data. Some arbitrary and difficult to justify decisions are glossed over with
labels of rigour and planning. Four scenarios (the role of the evidences; the
global health, the mental health and the role of citizens-leading or passive
role) allow to propose some provocative reflections, backed up by the most recent
articles published on the most important international medical journals.
PMID- 22076627
TI - [The management of insulin administration: systematic review and
recommendations].
AB - AIMS: In spite of the large prevalence and increasing incidence of diabetes,
recommendations on how to correctly administer the insulin are lacking. METHODS;
A systematic literature review was performed starting from a list of clinical
questions collected from patients, clinical experts (doctors and nurses) and
others involved in diabetic patients care. The main databases were searched with
the following key-words: Insulin/administration and dosage[Mesh]; "Injections,
Subcutaneous/instrumentation"[Mesh]; "Injections, Subcutaneous/methods"[Mesh];
insulin administration modalities [All Fields]; insulin administration technique
[All Fields]; insulin adsorption[All Fields]; Patient education as a
topic/methods [Mesh]. The articles were independently analyzed by three authors
and discrepancies discussed. A list of recommendations was produced weighting the
evidences according to the Italian National Program for Guidelines method.
RESULTS: Over 94 eligible articles, 71 were included. Recommendations for the
following areas were produced: self management and education; tools and methods
for insulin administration; injection sites; injection techniques; prevention and
management of complications; insulin storage; management of IV infusions. Most
recommendations are of level II (a single RCT with adequate design) or III
(cohort non randomized studies with concurrent or historical controls).
CONCLUSIONS: Although several recommendations were identified (e.g., the
importance of rotation of injection sites, the criteria for choosing the right
needle and injection site according to the type of insulin and speed of
absorbtion), several questions are still unanswered and would warrant further and
more specific studies.
PMID- 22076629
TI - [1982-2012: Thirty years of Nursing and Research].
PMID- 22076630
TI - AINTEGUMENTA-LIKE6 regulates cellular differentiation in flowers.
AB - During flower development, pluripotent stem cells within the floral meristem give
rise to proliferative precursor cells whose progeny eventually acquire
specialized functions within each floral organ. The regulatory mechanisms by
which plant cells transition from a proliferating state to a differentiated state
are not well characterized. Several members of the AINTEGUMENTA-LIKE/PLETHORA
(AIL/PLT) transcription factor family, including AINTEGUMENTA (ANT) and
AIL6/PLT3, are important regulators of cell proliferation in flowers. To further
investigate the role of AIL6 during flower development, we have characterized
transgenic plants in which the coding region of AIL6 was expressed under the
control of the constitutive 35S promoter (35S:cAIL6). These plants display
changes in floral organ size and morphology that are associated with alterations
in the pattern and duration of cell divisions within developing organs. In
addition, we find that very high levels of AIL6 expression inhibit cellular
differentiation. In contrast, ant ail6 double mutants display premature
differentiation of floral meristem cells. These results indicate that these two
transcription factors regulate both proliferation and differentiation in flowers.
PMID- 22076631
TI - The invention of WUS-like stem cell-promoting functions in plants predates
leptosporangiate ferns.
AB - The growth of land plants depends on stem cell-containing meristems which show
major differences in their architecture from basal to higher plant species. In
Arabidopsis, the stem cell niches in the shoot and root meristems are promoted by
WUSCHEL (WUS) and WOX5, respectively. Both genes are members of a non-ancestral
clade of the WUS-related homeobox (WOX) gene family, which is absent in extant
bryophytes and lycophytes. Our analyses of five fern species suggest that a
single WUS orthologue was present in the last common ancestor (LCA) of
leptosporangiate ferns and seed plants. In the extant fern Ceratopteris
richardii, the WUS pro-orthologue marks the pluripotent cell fate of immediate
descendants of the root apical initial, so-called merophytes, which undergo a
series of stereotypic cell divisions and give rise to all cell types of the root
except the root cap. The invention of a WUS-like function within the WOX gene
family in an ancestor of leptosporangiate ferns and seed plants and its
amplification and sub-functionalisation to different stem cell niches might
relate to the success of seed plants, especially angiosperms.
PMID- 22076632
TI - Reflections on charge state distributions, protein structure, and the mystical
mechanism of electrospray ionization.
AB - The connection between charge state distributions, protein structure, and
mechanistic details of electrospray are discussed in relation to the emerging
field of gas phase structural biology. Comparisons are drawn with the established
area of enzymatic catalysis in organic solvents, which shares many similar
challenges. Charge solvation emerges as a dominant force in both systems that
must be dealt with to enable kinetic trapping of native structures in foreign
environments. Potential methods for mediating unfavorable charge solvation
effects are discussed and, ironically, do not include partial solvation by water.
The importance of timescale in relation to the evolution of protein structure
during the process of electrospray ionization is discussed. Finally several
prospects for future endeavors are highlighted.
PMID- 22076633
TI - Identification of two new arthritis severity loci that regulate levels of
autoantibodies, interleukin-1beta, and joint damage in pristane- and collagen
induced arthritis.
AB - OBJECTIVE: Cia3 is a locus on rat chromosome 4 that regulates severity and joint
damage in collagen- and pristane-induced arthritis (CIA and PIA). This study was
undertaken to refine the Cia3 gene-containing interval toward gene identification
and obtain insights into its mode of action. METHODS: Five DA.F344(Cia3)
subcongenic rat strains were generated and studied using the PIA and CIA models.
Levels of antibodies against type II collagen (both allo- and autoantibodies)
were measured. Joints and synovial tissue were collected 32 days after the
induction of PIA (chronic stage) for histologic and quantitative polymerase chain
reaction analysis of interleukin-1beta (IL-1beta) and matrix metalloproteinase
(MMP) levels. RESULTS: Three subcongenic strains sharing the centromeric Cia3d
interval were protected and 2 subcongenic strains sharing the telomeric Cia3g
interval, which did not overlap with Cia3d, were also protected, developing
significantly less severe CIA and PIA. Normal joint architecture was preserved in
DA.F344(Cia3) and DA.F344(Cia3d) congenic rats with PIA, while DA rats had
pronounced synovial hyperplasia, angiogenesis, inflammatory infiltration, and
bone or cartilage erosions. The DA.F344(Cia3d) and DA.F344(Cia3g) strains had
significantly lower synovial levels of IL-1beta (5-fold and nearly 2-fold,
respectively [the latter not reaching statistical significance]), MMP-1
(expressed predominantly in DA rats), MMP-3 (79-fold and 8-fold, respectively),
and MMP-14 (21-fold and 1.4-fold, respectively) and reduced levels of pathogenic
autoantibodies against type II collagen, compared with DA rats. CONCLUSION: We
have identified 2 new arthritis severity and articular damage loci within Cia3.
These loci regulate pathogenic processes in 2 different models of rheumatoid
arthritis, and the identification of these genes has the potential to generate
new targets for therapies aimed at reducing disease severity and articular
damage, and may additionally have prognostic value.
PMID- 22076634
TI - Adrenergic signaling controls RGK-dependent trafficking of cardiac voltage-gated
L-type Ca2+ channels through PKD1.
AB - RATIONALE: The Rad-Gem/Kir-related family (RGKs) consists of small GTP-binding
proteins that strongly inhibit the activity of voltage-gated calcium channels.
Among RGKs, Rem1 is strongly and specifically expressed in cardiac tissue.
However, the physiological role and regulation of RGKs, and Rem1 in particular,
are largely unknown. OBJECTIVE: To determine if Rem1 function is physiologically
regulated by adrenergic signaling and thus impacts voltage-gated L-type calcium
channel (VLCC) activity in the heart. METHODS AND RESULTS: We found that
activation of protein kinase D1, a protein kinase downstream of alpha(1)
adrenergic signaling, leads to direct phosphorylation of Rem1 at Ser18. This
results in an increase of the channel activity and plasma membrane expression
observed by using a combination of electrophysiology, live cell confocal
microscopy, and immunohistochemistry in heterologous expression system and
neonatal cardiomyocytes. In addition, we show that stimulation of alpha(1)
adrenergic receptor-protein kinase D1-Rem1 signaling increases transverse-tubule
VLCC expression that results in increased L-type Ca(2+) current density in adult
ventricular myocytes. CONCLUSION: The alpha(1)-adrenergic stimulation releases
Rem1 inhibition of VLCCs through direct phosphorylation of Rem1 at Ser18 by
protein kinase D1, resulting in an increase of the channel activity and
transverse-tubule expression. Our results uncover a novel molecular regulatory
mechanism of VLCC trafficking and function in the heart and provide the first
demonstration of physiological regulation of RGK function.
PMID- 22076635
TI - Frizzled 4 regulates arterial network organization through noncanonical
Wnt/planar cell polarity signaling.
AB - RATIONALE: A growing body of evidence supports the hypothesis that the Wnt/planar
cell polarity (PCP) pathway regulates endothelial cell proliferation and
angiogenesis, but the components that mediate this regulation remain elusive.
OBJECTIVE: We investigated the involvement of one of the receptors, Frizzled4
(Fzd4), in this process because its role has been implicated in retinal vascular
development. METHODS AND RESULTS: We found that loss of fzd4 function in mice
results in a striking reduction and impairment of the distal small artery network
in the heart and kidney. We report that loss of fzd4 decreases vascular cell
proliferation and migration and decreases the ability of the endothelial cells to
form tubes. We show that fzd4 deletion induces defects in the expression level of
stable acetylated tubulin and in Golgi organization during migration. Deletion of
fzd4 favors Wnt noncanonical AP1-dependent signaling, indicating that Fzd4 plays
a pivotal role favoring PCP signaling. Our data further demonstrate that Fzd4 is
predominantly localized on the top of the plasma membrane, where it
preferentially induces Dvl3 relocalization to promote its activation and alpha
tubulin recruitment during migration. In a pathological mouse angiogenic model,
deletion of fzd4 impairs the angiogenic response and leads to the formation of a
disorganized arterial network. CONCLUSIONS: These results suggest that Fzd4 is a
major receptor involved in arterial formation and organization through a Wnt/PCP
pathway.
PMID- 22076638
TI - Complications and legal outcomes of tonsillectomy malpractice claims.
AB - OBJECTIVES/HYPOTHESIS: To review malpractice cases involving complications
following tonsillectomy. STUDY DESIGN: Retrospective analysis at a tertiary
medical center of jury verdict reports within the LexisNexis (Dayton, OH)
database submitted after tonsillectomy malpractice cases. METHODS: The LexisNexis
MEGA Jury Verdicts and Settlements database was reviewed from 1984 through 2010
for complications resulting from tonsillectomy. Data including year of case,
surgical complication, injury, case result, and judgment awarded were collected
and analyzed. RESULTS: One hundred seventy-eight reports met inclusion criteria
and were reviewed. Postoperative bleeding was the most common complication
(33.7%), followed by anoxic events (16.9%), and impaired function (15.7%).
Patient death occurred in 40.4% of reports and was most frequently associated
with postoperative bleeding (54.2%), followed by anoxic events (18.1%), and
postoperative medication issues (16.7%). Monetary awards were available in 24.7%
of reports. Anoxic event was noted to have the highest median award at
$3,051,296, followed by postoperative medication at $950,000. CONCLUSIONS:
Tonsillectomy carries a large amount of risk from a malpractice standpoint.
Postoperative bleeding is the complication most commonly associated with
malpractice claims, but may not carry the greatest overall risk from a patient
care or monetary standpoint. Hypoxic and anoxic events, although less common,
appear to carry more morbidity for the patient and are associated with greater
settlements and judgments in malpractice claims. Tonsillectomy continues to carry
a significant mortality risk, albeit infrequent, and a high level of vigilance
should be employed to help reduce these risks.
PMID- 22076637
TI - The perlecan fragment LG3 is a novel regulator of obliterative remodeling
associated with allograft vascular rejection.
AB - RATIONALE: Endothelial apoptosis is increased in association with acute and
chronic vascular rejection (VR) of solid allografts. Apoptotic endothelial cells
(EC) release LG3, a C-terminal fragment of perlecan of potential importance in
vascular remodeling and neointima formation. OBJECTIVE: Our 2 goals were to
determine whether circulating levels of LG3 are increased in association with
acute VR of renal allografts and to evaluate the impact of LG3 on vascular
remodeling. METHODS AND RESULTS: We conducted a case-control study to compare
serum LG3 levels in human renal transplant patients with acute VR, tubulo
interstitial rejection (ATIR) and normal graft function. Aorta transplantation
between fully MHC-mismatched mice in association with intravenous LG3 injection
was used to characterize the impact of LG3 on vascular remodeling. Scratch assays
evaluated the promigratory activity of LG3 on vascular smooth muscle cells (VSMC)
in vitro. Serum LG3 levels were significantly elevated in human renal transplant
patients with acute VR (n = 16) compared to ATIR (n = 16) and normal graft
function (n = 32, P = 0.004). In patients with acute VR, graft loss was
associated with elevated LG3 levels. Increasing LG3 serum levels in aortic
allograft recipients significantly increased neointima formation. LG3 injection
fostered accumulation of alpha-smooth muscle actin-positive cells and decreased
the number of CD31 positive EC. LG3 increased the migration of VSMC through
extracellular signal-regulated kinases 1/2-dependent pathways. CONCLUSION: These
results indicate that LG3 is a novel regulator of obliterative vascular
remodeling during rejection.
PMID- 22076639
TI - An O2 smart plastic film for packaging.
AB - The preparation and characterisation of a novel, water-proof, irreversible,
reusable, UV-activated, O(2) sensitive, smart plastic film is described. A
pigment, consisting of a redox dye, methylene blue (MB), and a sacrificial
electron donor, DL-threitol, coated onto an inorganic support with semiconductor
functionality, TiO(2), has been extruded in low-density polyethylene (LDPE). The
blue-coloured indicator is readily photobleached in <90 s using UVA light (4 mW
cm(-2)), whereby MB is converted to its colourless, leuco form, leuco-methylene
blue (LMB). This form persists in the absence of oxygen, but is re-oxidised to MB
in ~2.5 days in air under ambient conditions (~21 degrees C, ~65% RH) within the
O(2) smart plastic film. The rate of recovery is linearly dependent upon the
ambient level of O(2). At the lower temperature of 5 degrees C, the kinetics of
the photobleaching activation step is largely unchanged, whereas that of recovery
is markedly reduced to t(1/2) = 36 h at 5 degrees C (cf. 9 h at 21 degrees C);
the activation energy for the recovery step was calculated as 28 kJ mol(-1). The
O(2)-sensitive recovery step was found to be moderately dependent upon humidity
at 21 degrees C, but not significantly dependent upon humidity at 5 degrees C.
The possible application of this type of indicator in food packaging is
illustrated and discussed briefly.
PMID- 22076636
TI - Integration of repulsive guidance cues generates avascular zones that shape
mammalian blood vessels.
AB - RATIONALE: Positive signals, such as vascular endothelial growth factor, direct
endothelial cells (ECs) to specific locations during blood vessel formation. Less
is known about repulsive signal contribution to shaping vessels. Recently,
"neuronal guidance cues" have been shown to influence EC behavior, particularly
in directing sprouting angiogenesis by repelling ECs. However, their role during
de novo blood vessel formation remains unexplored. OBJECTIVE: To identify signals
that guide and pattern the first mammalian blood vessels. METHODS AND RESULTS:
Using genetic mouse models, we show that blood vessels are sculpted through the
generation of stereotyped avascular zones by EC-repulsive cues. We demonstrate
that Semaphorin3E (Sema3E) is a key factor that shapes the paired dorsal aortae
in mouse, as sema3E(-/-) embryos develop an abnormally branched aortic plexus
with a markedly narrowed avascular midline. In vitro cultures and avian grafting
experiments show strong repulsion of ECs by Sema3E-expressing cells. We further
identify the mouse notochord as a rich source of multiple redundant neuronal
guidance cues. Mouse embryos that lack notochords fail to form cohesive aortic
vessels because of loss of the avascular midline, yet maintain lateral avascular
zones. We demonstrate that lateral avascular zones are directly generated by the
lateral plate mesoderm, a critical source of Sema3E. CONCLUSIONS: These findings
demonstrate that Sema3E-generated avascular zones are critical regulators of
mammalian cardiovascular patterning and are the first to identify a repulsive
role for the lateral plate mesoderm. Integration of multiple, and in some cases
redundant, repulsive cues from various tissues is critical to patterning the
first embryonic blood vessels.
PMID- 22076640
TI - Preparation of diastereomerically pure dilignol model compounds.
AB - A gram-scale synthetic access to diastereomerically pure dilignol beta-O-4 type
model compounds, which represent valuable candidates for studies of lignin
cleavage and valorization, is described. Following a straightforward procedure
both diastereoisomers of 1,3-dilignols can be prepared. In the key-step, tert
butyl aryloxy esters are used as enolate precursors for additions on aldehydes.
After separation, the resulting erythro and threo beta-hydroxy esters are
independently reduced to afford the target compounds in high yields.
PMID- 22076641
TI - Design and synthesis of new 1,3-benzdiazinan-4-one derivatives as selective
cyclooxygenase (COX-2) inhibitors.
AB - A new group of regioisomeric 2,3-diaryl-1,3-benzdiazinan-4-ones, possessing a
methyl sulfonyl pharmacophore, were synthesized and their biological activities
were tested for cyclooxygenase-2 (COX-2) inhibitory activity. In vitro COX-1/COX
2 inhibition studies identified 3-(p-fluorophenyl)-2-(4-methylsulfonylphenyl)-1,3
benzdiazinane-4-one (2b) as a potent and highly selective (IC(50) = 0.07 uM;
selectivity index = 572.8) COX-2 inhibitor.
PMID- 22076643
TI - Evidence-based recommendations for spine surgery.
PMID- 22076644
TI - Surgery for failed cervical spine reconstruction.
AB - STUDY DESIGN: Review article. OBJECTIVE: To review the indications, operative
strategy, and complications of revision cervical spine reconstruction. SUMMARY OF
BACKGROUND DATA: With many surgeons expanding their indications for cervical
spine surgery, the number of patients being treated operatively has increased.
Unfortunately, the number of patients requiring revision procedures is also
increasing, but very little literature exists reviewing changes in the
indications or operative planning for revision reconstruction. METHODS: Narrative
and review of the literature. RESULTS: In addition to the well-accepted
indications for primary cervical spine surgery (radiculopathy, myelopathy,
instability, and tumor), we have used the following indications for revision
surgery: pseudarthrosis, adjacent segment degeneration, inadequate decompression,
iatrogenic instability, and deformity. Our surgical goal for pseudarthrosis is
obviously to obtain a fusion, which can usually be performed with an approach not
done previously. Our surgical goals for instability and deformity are more
complex, with a focus on decompression of any neurologic compression, correction
of deformity, and stability. CONCLUSION: Revision cervical spine reconstruction
is safe and effective if performed for the appropriate indications and with
proper planning.
PMID- 22076645
TI - Transmission of force in the lumbosacral spine during backward falls.
AB - STUDY DESIGN: Mathematical model, combined with and verified using human subject
data. OBJECTIVE: (1) To develop and verify a lumped-parameter mathematical model
for prediction of spine forces during backward falls; (2) to use this model to
evaluate the effect of floor stiffness on spine forces during falls; and (3) to
compare predicted impact forces with forces previously measured to fracture the
spine. SUMMARY OF BACKGROUND DATA: Vertebral fractures are the most common
osteoporotic fractures and commonly result from falls from standing height.
Compliant flooring reduces the force at the ground during a backward fall from
standing; however, the effect on spine forces is unknown. METHODS: A 6-df model
of the body was developed and verified using data from 10 human subjects falling
from standing onto 3 types of compliant floors (soft: 59 kN/m, medium: 67 kN/m,
and firm: 95 kN/m). The simulated ground forces were compared with those measured
experimentally. The model was also used to assess the effect of floor stiffness
on spine forces at various intervertebral levels. RESULTS: There was less than
14% difference between model predictions and experimentally measured peak ground
reaction forces, when averaged over all floor conditions. When compared with the
rigid floor, average peak spine force attenuations of 46%, 43%, and 41% were
achieved with the soft, medium, and firm floors, respectively (3.7, 3.9, 4.1 kN
vs. 6.9 kN at L4/L5). Spine forces were lower than those at the ground and
decreased cranially (4.9, 3.9, 3.7, 3.5 kN at the ground, L5/S1, L4/L5, and
L3/L4, respectively, for the soft floor). CONCLUSION: Lowering the floor
stiffness (from 400 to 59 kN/m) can attenuate peak lumbosacral spine forces in a
backward fall onto the buttocks from standing by 46% (average peak from 6.9 to
3.7 kN at L4/L5) to values closer to the average tolerance of the spine to
fracture (3.4 kN).
PMID- 22076646
TI - Regression of giant cell tumor of the cervical spine with bisphosphonate as
single therapy.
AB - STUDY DESIGN: A case report. OBJECTIVE: To describe a case of regression of a
giant cell tumor (GCT) of the cervical spine, which was treated with zoledronic
acid as single therapy. SUMMARY OF BACKGROUND DATA: Bisphosphonates are
antiresorptive drugs used in patients with myeloma and bone metastases to treat
pain and skeletal events. Despite the emerging evidence of antitumoral effects in
adjuvant therapy for GCT of bone, the use of bisphosphonates as a single agent
has not been described. METHODS: Case study with follow-up examination and
radiological control 36 months after the beginning of therapy. A review of the
literature is also provided. RESULTS: The imaging data at admission evidenced an
extensive osteolytic lesion on C5 and C6 vertebral bodies. An open biopsy
confirmed the diagnosis of GCT. It was decided to immobilize the cervical spine
with rigid collar and to start monthly intravenous zoledronic acid. The
subsequent clinical and radiological follow-up during 3 years revealed a marked
regression of the lesion. CONCLUSION: The use of a bisphosphonate agent for GCT
of the cervical spine showed potential therapeutic benefits as previously
described for other osteolytic disorders. This finding could lead to further
investigation on the role and true value of these drugs as possible adjuvants in
the management of GCT of bone.
PMID- 22076647
TI - Grafton and local bone have comparable outcomes to iliac crest bone in
instrumented single-level lumbar fusions.
AB - STUDY DESIGN: Prospective multicenter randomized clinical trail. OBJECTIVE: The
goal of our 2-year prospective study was to perform a randomized clinical trial
comparing the outcomes of Grafton demineralized bone matrix (DBM) Matrix with
local bone with that of iliac crest bone graft (ICBG) in a single-level
instrumented posterior lumbar fusion. SUMMARY OF BACKGROUND DATA: There has been
extensive research and development in identifying a suitable substitute to
replace autologous ICBG that is associated with known morbidities. DBMs are a
class of commercially available grafting agents that are prepared from allograft
bone. Many such products have been commercially available for clinical use;
however, their efficacy for spine fusion has been mostly based on anecdotal
evidence rather than randomized controlled clinical trials. METHODS: Forty-six
patients were randomly assigned (2:1) to receive Grafton DBM Matrix with local
bone (30 patients) or autologous ICBG (16 patients). The mean age was 64 (females
[F] = 21, males [M] = 9) in the DBM group and 65 (F = 9, M = 5) in the ICBG
group. An independent radiologist evaluated plain radiographs and computed
tomographic scans at 6-month, 1-year, and 2-year time points. Clinical outcomes
were measured using Oswestry Disability Index (ODI) and Medical Outcomes Study 36
Item Short Form Health Survey. RESULTS: Forty-one patients (DBM = 28 and ICBG =
13) completed the 2-year follow-up. Final fusion rates were 86% (Grafton Matrix)
versus 92% (ICBG) (P = 1.0 not significant). The Grafton group showed slightly
better improvement in ODI score than the ICBG group at the final 2-year follow-up
(Grafton [16.2] and ICBG [22.7]); however, the difference was not statistically
significant (P = 0.2346 at 24 mo). Grafton showed consistently higher physical
function scores at 24 months; however, differences were not statistically
significant (P = 0.0823). Similar improvements in the physical component summary
scores were seen in both the Grafton and ICBG groups. There was a statistically
significant greater mean intraoperative blood loss in the ICBG group than in the
Grafton group (P < 0.0031). CONCLUSION: At 2-year follow-up, subjects who were
randomized to Grafton Matrix and local bone achieved an 86% overall fusion rate
and improvements in clinical outcomes that were comparable with those in the ICBG
group.
PMID- 22076648
TI - Culture and ethnicity influence outcomes of the Scoliosis Research Society
Instrument in adolescent idiopathic scoliosis.
AB - STUDY DESIGN: Retrospective comparative study. OBJECTIVE: To report preoperative
differences in the Scoliosis Research Society Outcomes Instrument (SRS-30)
between multiple US ethnicities and native Japanese and Korean children with
adolescent idiopathic scoliosis (AIS). SUMMARY OF BACKGROUND DATA: The SRS-24 was
developed in a US cohort with AIS. Comparative studies using the SRS-24 between
US and Japanese patients showed differences, suggesting that culture might affect
functional outcome. METHODS: Preoperative SRS-30 outcomes were collected from
1853 children with AIS from 6 different ethnic groups: US white (1234), black
(213), Hispanic (78), and Asian (29), as well as native Japanese (192) and
Koreans (107). Analysis of covariance of 4 SRS-30 domains (pain, appearance,
activity, and mental) was compared between groups adjusting for differences in
age, sex, major curve magnitude, and body mass index. Pairwise comparisons of the
4 SRS-30 domains were adjusted for multiple comparisons, using Bonferroni
correction. A P value of less than 0.05 was considered significant. RESULTS:
Significant differences between ethnicities were found in all domains (P <
0.001). Whites reported more pain than Japanese or Koreans (Japanese = 4.52,
Korean = 4.47, white = 4.04). Korean and Japanese patients had the lowest
appearance scores (Japanese = 2.89, Korean = 2.73, US Asian = 3.55, Hispanic =
3.11, black = 3.47, white = 3.29). Koreans also had the lowest activity (Korean =
3.64, Japanese = 4.24, US Asian = 4.07, Hispanic = 4.02, black = 4.06, white =
4.16), mental (Korean = 3.70, Japanese = 4.23, US Asian = 4.05, Hispanic = 3.75,
black = 4.03, white = 3.94), and total scores (Korean = 3.63, Japanese = 3.92, US
Asian = 4.02, Hispanic = 3.75, black = 3.92, and white = 3.84). CONCLUSION:
Culture and ethnicity influence SRS-30 outcomes in AIS. Whites reported more pain
than Japanese and Koreans. Japanese and Koreans had the lowest appearance scores.
Koreans additionally were distinguished by the lowest activity, mental, and total
scores. These cultural and ethnic differences must be taken into account when
counseling patients with AIS and studying functional outcomes.
PMID- 22076649
TI - Intrinsic gemcitabine resistance in a novel pancreatic cancer cell line is
associated with cancer stem cell-like phenotype.
AB - Pancreatic ductal adenocarcinoma (PDA) remains one of the most lethal
malignancies in the world, often diagnosed at an advanced stage, resistant to
conventional chemotherapy and having high invasive and metastatic potential. The
mechanism of drug resistance of PDA is still not clear. In the present study, we
established two novel pancreatic cancer cell lines PAXC-002 and PAXC-003 from
human primary xenograft models. The cell lines were characterized by morphology,
karyotype, pancreatic cancer marker and short tandem repeat (STR) analysis, and
growth kinetics and tumorigenicity. The in vitro anti-proliferation test revealed
that PAXC-002 cell was intrinsically resistant to the standard of care
chemotherapy-gemcitabine, compared with that of PAXC-003 and other widely used
pancreatic cancer cell lines. Interestingly, the gemcitabine resistant PAXC-002
cell line was more potent in forming colonies in 3-Dimensional matrigel culture
conditions and had a higher percentage of CD133 positive cells, which is
recognized as a cancer stem cell marker, compared to the gemcitabine-sensitive
PAXC-003 cell line. In this study, we present two novel pancreatic cancer cell
lines which could be used for gemcitabine resistance investigation, mechanism
identification of pancreatic cancer and anticancer drug screening. The
preliminary data indicate that the drug resistance of pancreatic carcinoma cells
is associated with a cancer stem cell-like phenotype.
PMID- 22076651
TI - Differential pathotropism of non-immortalized and immortalized human neural stem
cell lines in a focal demyelination model.
AB - Cell therapy is reaching the stage of phase I clinical trials for post-traumatic,
post-ischemic, or neurodegenerative disorders, and the selection of the
appropriate cell source is essential. In order to assess the capacity of
different human neural stem cell lines (hNSC) to contribute to neural tissue
regeneration and to reduce the local inflammation after an acute injury, we
transplanted GMP-grade non-immortalized hNSCs and v-myc (v-IhNSC), c-myc T58A (T
IhNSC) immortalized cells into the corpus callosum of adult rats after 5 days
from focal demyelination induced by lysophosphatidylcholine. At 15 days from
transplantation, hNSC and T-IhNSC migrated to the lesioned area where they
promoted endogenous remyelination and differentiated into mature
oligodendrocytes, while the all three cell lines were able to integrate in the
SVZ. Moreover, where demyelination was accompanied by an inflammatory reaction, a
significant reduction of microglial cells' activation was observed. This effect
correlated with a differential migratory pattern of transplanted hNSC and IhNSC,
significantly enhanced in the former, thus suggesting a specific NSC-mediated
immunomodulatory effect on the local inflammation. We provide evidence that, in
the subacute phase of a demyelination injury, different human immortalized and
non-immortalized NSC lines, all sharing homing to the stem niche, display a
differential pathotropism, both through cell-autonomous and non-cell autonomous
effects. Overall, these findings promote IhNSC as an inexhaustible cell source
for large-scale preclinical studies and non-immortalized GMP grade hNSC lines as
an efficacious, safe, and reliable therapeutic tool for future clinical
applications.
PMID- 22076650
TI - Macrophage-tumor crosstalk: role of TAMR tyrosine kinase receptors and of their
ligands.
AB - Ample clinical and preclinical evidence indicates that macrophages interact with
tumor cells as well as with virtually all populations of host cells present in
the tumor microenvironment. This crosstalk can strongly promote malignancy, but
also has in principle the potential to inhibit tumor growth. Thus, it is of the
utmost importance to improve our understanding of the mechanisms driving the pro-
and antimalignant behavior of tumor-associated macrophages (TAMs) in order to
develop better anticancer therapies. In this review, we discuss the biological
consequences of reciprocal interactions between TAMs, cancer cells, endothelial
cells, fibroblasts and other leukocyte subfractions within tumors. It was
recently elucidated that tumors specifically educate macrophages to secrete
growth arrest-specific gene 6 (Gas6), the common ligand of the Tyro3, Axl, Mer
receptor (TAMR) family. In turn, Gas6 fosters tumor growth by promoting cancer
cell proliferation. Therefore, the Gas6-TAMR axis might represent a novel target
for disrupting tumor-macrophage crosstalk. We summarize here what is known about
TAMR and their ligands in (human) cancer biology. In order to shed more light on
the role of macrophages in human cancer, we additionally provide an overview of
what is currently known about the prognostic impact of TAMs in human cancer.
PMID- 22076652
TI - Genetic alterations of PTEN in human melanoma.
AB - The PTEN gene is one of the most frequently inactivated tumor suppressor genes in
sporadic cancers. Inactivating mutations and deletions of the PTEN gene are found
in many types of cancers, including melanoma. However, the exact frequency of
PTEN alteration in melanoma is unknown. In this study, we comprehensively
reviewed 16 studies on PTEN genetic changes in melanoma cell lines and tumor
biopsies. To date, 76 PTEN alterations have been reported in melanoma cell lines
and 38 PTEN alterations in melanoma biopsies. The rate of PTEN alterations in
melanoma cell lines, primary melanoma, and metastatic melanoma is 27.6, 7.3, and
15.2%, respectively. Three mutations were found in both melanoma cell lines and
biopsies. These mutations are scattered throughout the gene, with the exception
of exon 9. A mutational hot spot is found in exon 5, which encodes the
phosphatase activity domain. Evidence is also presented to suggest that numerous
homozygous deletions and missense variants exist in the PTEN transcript. Studying
PTEN functions and implications of its mutations and other genes could provide
insights into the precise nature of PTEN function in melanoma and additional
targets for new therapeutic approaches.
PMID- 22076653
TI - Functional mechanisms of the cellular prion protein (PrP(C)) associated anti-HIV
1 properties.
AB - The cellular prion protein PrP(C)/CD230 is a GPI-anchor protein highly expressed
in cells from the nervous and immune systems and well conserved among
vertebrates. In the last decade, several studies suggested that PrP(C) displays
antiviral properties by restricting the replication of different viruses, and in
particular retroviruses such as murine leukemia virus (MuLV) and the human
immunodeficiency virus type 1 (HIV-1). In this context, we previously showed that
PrP(C) displays important similarities with the HIV-1 nucleocapsid protein and
found that PrP(C) expression in a human cell line strongly reduced HIV-1
expression and virus production. Using different PrP(C) mutants, we report here
that the anti-HIV-1 properties are mostly associated with the amino-terminal 24
KRPKP-28 basic domain. In agreement with its reported RNA chaperone activity, we
found that PrP(C) binds to the viral genomic RNA of HIV-1 and negatively affects
its translation. Using a combination of biochemical and cell imaging strategies,
we found that PrP(C) colocalizes with the virus assembly machinery at the plasma
membrane and at the virological synapse in infected T cells. Depletion of PrP(C)
in infected T cells and microglial cells favors HIV-1 replication, confirming its
negative impact on the HIV-1 life cycle.
PMID- 22076654
TI - Unassigned codons, nonsense suppression, and anticodon modifications in the
evolution of the genetic code.
AB - The origin of the genetic code is a central open problem regarding the early
evolution of life. Here, we consider two undeveloped but important aspects of
possible scenarios for the evolutionary pathway of the translation machinery: the
role of unassigned codons in early stages of the code and the incorporation of
tRNA anticodon modifications. As the first codons started to encode amino acids,
the translation machinery likely was faced with a large number of unassigned
codons. Current molecular scenarios for the evolution of the code usually assume
the very rapid assignment of all codons before all 20 amino acids became encoded.
We show that the phenomenon of nonsense suppression as observed in current
organisms allows for a scenario in which many unassigned codons persisted
throughout most of the evolutionary development of the code. In addition, we
demonstrate that incorporation of anticodon modifications at a late stage is
feasible. The wobble rules allow a set of 20 tRNAs fully lacking anticodon
modifications to encode all 20 canonical amino acids. These observations have
implications for the biochemical plausibility of early stages in the evolution of
the genetic code predating tRNA anticodon modifications and allow for effective
translation by a relatively small and simple early tRNA set.
PMID- 22076655
TI - Participation and attrition in a coping skills intervention for adolescent girls
with inflammatory bowel disease.
AB - The current study examined factors associated with adolescent and parent
participation in a coping skills intervention for adolescent girls with
inflammatory bowel disease (IBD) and examined factors associated with attrition
related to intermittent missing data. Thirty-one adolescent girls with IBD and
their parents enrolled in the intervention. Psychosocial and disease factors
related to participation in the 6-week web component of the coping skills
intervention were examined as were baseline group differences between those who
provided post-treatment data and those who did not. Adolescents experiencing more
difficulties related to their disease and psychosocial functioning participated
less in the web component of the treatment intervention. Families who attrited
had higher baseline levels of parental catastrophic thoughts, parenting stress,
and adolescent depression. Families experiencing greater levels of psychological
and disease-related difficulties may be at risk for low participation and
eventual dropout from pediatric IBD psychological treatment interventions.
PMID- 22076657
TI - G-protein-coupled receptor-mediated MAPK and PI3-kinase signaling is maintained
in Chinese hamster ovary cells after gamma-irradiation.
AB - To expedite G-protein-coupled receptor (GPCR) drug screening studies, cell lines
amenable to transfection (e.g. CHO cells) have been widely used as cellular
models. These cells can be frozen in a ready-to-use format, allowing screening of
a single batch of cells and validation of the cellular material prior to the
screening run. A common method used to deliver frozen cells to screening programs
is to gamma-irradiate the cells, abrogating cell division after thawing and
ensuring consistency in the number of cells analyzed per well. With the
recognition that signaling proteins such as ERK and Akt are important markers of
GPCR activation, along with the availability of suitable assays for their
measurement, these outputs have become important for GPCR screening programs.
Here we show that several gamma-irradiated and frozen CHO-K1 cell lines
expressing transfected GPCRs, initially optimized for performing cAMP or
AequoScreen calcium flux assays, can be used for the measurement of GPCR-mediated
ERK and Akt phosphorylation. Furthermore, CHO-K1 cells transfected with NOP or
GAL(1) receptors show pharmacology for a number of agonists and antagonists that
is consistent with non-irradiated cultured lines. These data indicate that gamma
irradiated CHO-K1 cells can be reliably used for the measurement of GPCR-mediated
kinase signaling outputs.
PMID- 22076658
TI - Original report of bilateral carotid body tumors with 2 rare concomitant anatomic
findings, an ectopic parathyroid gland and cervical thymus, with literature
review.
AB - BACKGROUND: Carotid body tumors are uncommon neoplasms with unique epidemiology
and management demands. Cervical embryology is complex. Developmental
abnormalities can result in ectopic displacement of native tissues. METHODS: We
present the case of a 21-year-old female with bilateral carotid body
paragangliomas who presented to our clinic seeking excision of the symptomatic
right-sided tumor. RESULTS: The patient was successfully treated with surgical
excision. Two rare anatomic variants were identified in her surgical specimen: a
carotid sheath parathyroid gland and ectopic thymus tissue. CONCLUSIONS: This
patient represents an unreported combination of pathologic and anatomic
phenomena. The paraganglioma resulted from a familial genetic mutation that is
well studied in this patient's ethnic population (Dutch), and the literature on
this topic is reviewed herein. The 2 anatomic variants likely represent a single,
embryologic glitch that will carry no physiologic sequelae. The clinical
application of this ectopic anatomy and the common embryologic origins are
discussed.
PMID- 22076656
TI - Perfectionism dimensions and dependency in relation to personality vulnerability
and psychosocial adjustment in patients with coronary artery disease.
AB - The present study sought to illuminate self-criticism and personal standards
dimensions of perfectionism and dependency as specific cognitive-personality
vulnerability factors that might contribute to a better understanding of numerous
psychosocial problem areas that are relevant to coronary artery disease (CAD).
One hundred and twenty-three patients diagnosed with clinically significant CAD
completed self-report questionnaires. Zero-order correlations and factor analysis
results revealed that self-criticism was primarily related to personality
vulnerability (aggression/anger/hostility, Type D negative affectivity) and
psychosocial maladjustment (depressive symptoms, worry, avoidant coping, support
dissatisfaction), whereas personal standards was primarily related to adaptive
coping (problem-focused coping, positive reinterpretation) and dependency was
primarily related to worry. Hierarchical regression results demonstrated the
incremental utility of self-criticism, personal standards, and dependency in
relation to (mal)adjustment over and above aggression/anger/hostility, negative
affectivity, and social inhibition. Continued efforts to understand the role of
perfectionism dimensions and dependency in CAD appear warranted.
PMID- 22076659
TI - Protein disorder in the centrosome correlates with complexity in cell types
number.
AB - Here we study the properties and the evolution of proteins that constitute the
Centrosome, the complex molecular assembly that regulates the division and
differentiation of animal cells. We found that centrosomal proteins are predicted
to be significantly enriched in disordered and coiled-coil regions, more
phosphorylated and longer than control proteins of the same organism.
Interestingly, the ratio of these properties in centrosomal and control proteins
tends to increase with the number of cell-types. We reconstructed indels
evolution, finding that indels significantly increase disorder in both
centrosomal and control proteins, at a rate that is typically larger along
branches associated with a large growth in cell-types number, and larger for
centrosomal than for control proteins. Substitutions show a similar trend for
coiled-coil, but they contribute less to the evolution of disorder. Our results
suggest that the increase in cell-types number in animal evolution is correlated
with the gain of disordered and coiled-coil regions in centrosomal proteins,
establishing a connection between organism and molecular complexity. We argue
that the structural plasticity conferred to the Centrosome by disordered regions
and phosphorylation plays an important role in its mechanical properties and its
regulation in space and time.
PMID- 22076660
TI - Palladium-catalyzed oxidative arylalkylation of activated alkenes: dual C-H bond
cleavage of an arene and acetonitrile.
AB - Not one but two: The title reaction proceeds through the dual C-H bond cleavage
of both aniline and acetonitrile. The reaction affords a variety of cyano-bearing
indolinones in excellent yield. Mechanistic studies demonstrate that this
reaction involves a fast arylation of the olefin and a rate-determining C-H
activation of the acetonitrile.
PMID- 22076661
TI - Psychiatric adverse drug reactions reported during a 10-year period in the
Swedish pediatric population.
AB - PURPOSE: Psychiatric Adverse Drug Reactions (ADRs) are frequent in the pediatric
population. The aim of the present study was to analyze spontaneously reported
psychiatric ADRs in children during a 10-year period. METHODS: All spontaneously
reported Individual Case Safety Reports (ICSRs) concerning children (<18 years
old) and psychiatric adverse reactions assessed as at least possible, registered
in the Swedish Drug Information System (SWEDIS) during the period 2001-2010, were
extracted and characterized. Age and sex distribution and labeling/registration
status were studied. RESULTS: A total of 600 ICSRs concerning 744 psychiatric
adverse reactions were identified and included in the analysis. Boys were
overrepresented among included ICSRs (60.3% vs. 39.7%; p < .001). After exclusion
of vaccines, the three most frequently suspected drugs were montelukast,
centrally working sympathomimetic drugs, and inhaled glucocorticoids. Serious
adverse reactions were reported more frequently for drugs used off-label than for
drugs used according to the Swedish Physician's Desk Reference. Aggressiveness
was reported more frequently for boys than for girls as were suicidal conditions.
CONCLUSIONS: Psychiatric ADRs in the pediatric population have been reported for
a wide range of reactions and drugs and display age and sex differences including
a higher number of suicidal reactions in boys. An association was seen between
serious reactions and off-label drug use. Further studies are needed to elucidate
safety aspects of unlicensed drugs and drugs used off-label and whether there are
differences in children's susceptibility to develop ADRs.
PMID- 22076662
TI - The moderating role of father's care on the onset of binge eating symptoms among
female late adolescents with insecure attachment.
AB - The present study examined the association between quality of attachment,
perception of the father's bond, and binge eating symptoms in a sample of female
late adolescents. In total, 233 female students aged between 18 and 20 years
completed measures on binge eating, quality of attachment and parent-child
relationship. Data showed that respondents with binge symptoms reported lower
scores on secure attachment and father's care, and higher scores on preoccupied
and fearful attachment. Binge eating symptoms were associated with father's care,
but not with father's overprotection. Also, binge symptoms were negatively
associated with secure attachment styles, and positively with preoccupied and
fearful attachment. The data, finally, provided evidence that at higher levels of
preoccupied attachment, the impact of binge symptoms tended to be lower when
father's care was high.
PMID- 22076663
TI - Fattening performance of purebred and crossbred lambs from fat-tailed Kurdi ewes
mated to four Iranian native ram breeds.
AB - A crossbreeding experiment was carried out to determine the sire breed effects on
fattening performance of fat-tailed lambs. Rams from four Iranian fat-tailed
sheep breeds, namely Kurdi (K), Afshari (A), Chaal (C) and Sanjabi (S) were mated
to Kurdi ewes producing 454 progeny of four genotype groups (KK, AK, CK and SK).
A total of 80 lambs comprising ten female and ten male lambs from each genotype
group were used in a fattening experiment during a period of 88 days. Genotype of
the lamb had significant effect on average dry matter intake (ADMI) (P < 0.05).
SK lambs had the lowest ADMI. Average daily gain (ADG) and food conversion ratio
(FCR) were not influenced by genotype of the lamb. Sex of the lamb had
significant effect on both ADG and FCR (P < 0.01). Slaughter weight (SLW), hot
carcass weight (HCW), cold carcass weight (CCW) and daily carcass gain (DCG) were
not affected by lamb's genotype. However, genotype had significant effect on
dressing percentage, carcass loss percentage (P < 0.01), carcass length (CL), leg
circumference (LC), carcass compactness (CC) (P < 0.01) and carcass density (CD)
(P < 0.05). Carcass width (CW) and leg length (LL) were not affected by lamb's
genotype. Sex of the lamb had significant effects on CL, CW, LC, CC, CD (P <
0.01) and LL (P < 0.05). The results indicated the existence of sire breed
difference for daily dry matter intake and carcass conformation in the fat-tailed
sheep.
PMID- 22076664
TI - Luis Manuel Justino Schwalbach (1966-2011).
PMID- 22076665
TI - Synthesis and herbicidal activities of novel 3-(substituted benzyloxy or phenoxy)
6-methyl-4-(3-trifluoromethylphenyl)pyridazine derivatives.
AB - BACKGROUND: 4-(3-Trifluoromethylphenyl)pyridazine represents a new series of
compounds with bleaching and herbicidal activities. RESULTS: A total of 43 novel
3-(substituted benzyloxy or phenoxy)-6-methyl-4-(3
trifluoromethylphenyl)pyridazine derivatives were synthesised, and their
bleaching and herbicidal activities were evaluated through Spirodela polyrrhiza
and greenhouse tests. Some compounds exhibited excellent herbicidal activities,
even at a dose of 7.5 g ha(-1). CONCLUSION: The results showed that a substituted
phenoxy group at the 3-position of the pyridazine ring and the electron
withdrawing group at the para-position on the benzene ring were essential for
high herbicidal activity.
PMID- 22076666
TI - Portal vein resection in pancreaticoduodenectomy (with video).
AB - Superior mesenteric vein (SMV) resection during pancreaticoduodenectomy (PD) for
pancreatic cancer was first reported by Moore in 1951. In Japan, utilization of
portal vein resection (PVR) became popular beginning in the late 1970s and has
resulted in an improved resection rate for pancreatic cancer. Outcomes of PVR
differ according to the reported year and institution. In a recent report of meta
analysis, there was no difference in outcomes after PVR if R0 (negative surgical
margins) resection was possible. Pancreatic surgery including vascular resection
must be re-evaluated in light of recent advances in diagnostic imaging and
surgical techniques, lower mortality and morbidity after PVR, and improvements in
adjuvant and neo-adjuvant therapy. Isolated portal vein involvement should not be
a contraindication to resection. Portal vein resection should be considered after
appropriate patient selection based on an accurate diagnosis, provided that safe
R0 resection is possible. We describe technical details and considerations for
PVR during PD in this paper.
PMID- 22076667
TI - Distal pancreatectomy (with video).
AB - Distal pancreatectomy is indicated for lesions in the pancreatic body and tail.
Understanding of the anatomical structure of the pancreas and its surroundings is
required in various situations in left upper abdominal surgery including the
laparoscopic approach. Spleen-preserving distal pancreatectomy is indicated for
lesions confined to the pancreas. Two major spleen-preserving procedures reported
are the Warshaw procedure that conserves the spleen by blood flow from the short
gastric vessels and the Kimura procedure that preserves the spleen with splenic
vessels. Considering the laparoscopic approach, the surgeon may preserve splenic
vessels from the median toward the splenic hilum without mobilization of the
spleen. A standard distal pancreatectomy using the medial approach is presented
on video. The intraoperative complications of distal pancreatectomy can be
minimized by avoiding splenic capsule injury, by careful differentiation of the
splenic artery from the common hepatic artery, and by secure closure of the
splenic vein stump. The incidence of postoperative pancreatic fistula following
distal pancreatectomy is reported to be 13% in a nationwide pancreatic cancer
registry. Based on the results of an international randomized trial of hand-sewn
and staple closure of the pancreatic stump, the closure method of the pancreatic
stump can be the surgeon's choice.
PMID- 22076668
TI - Stented pancreaticojejunostomy (with video).
AB - BACKGROUND/PURPOSE: Using a standardized technique for pancreaticojejunostomy
that we term "pair-watch suturing technique", we prospectively analyzed the
effects of a pancreatic stent tube for preventing pancreatic fistula and
furthermore evaluated which perioperative factors had an influence on the
development of pancreatic fistula. OPERATIVE PROCEDURE: Before anastomosis, we
imagine the faces of a pair of wristwatches on the jejunal hole and pancreatic
duct. The first stitch was put between 9 o'clock on the pancreatic side and 3
o'clock on the jejunal side, and a total of 7 stitches were put in the posterior
wall, followed by 5 stitches in the anterior wall. Using this technique, twelve
stitches can be sutured in the first layer anastomosis regardless of the caliber
of the pancreatic duct. PATIENTS AND METHODS: From March 2007 to April 2009, 55
consecutive patients who underwent the pair-watch suturing technique were divided
into two groups: stent (n = 28) and no-stent (n = 27). The incidence rate of
pancreatic fistula was statistically analyzed. From March 2007 to March 2011, 102
consecutive patients were retrospectively divided into two groups according to
the International Study Group on Pancreatic Fistula criteria: postoperative
pancreatic fistula (POPF) and non-POPF. RESULTS: Perioperative factors were
almost the same between the stent and no-stent groups, and the incidence of
pancreatic fistula was very similar: 10.7% in the stent group and 14.8% in the no
stent group. Additionally, all patients who developed pancreatic fistula belonged
to grade A. Among 102 patients, 15 (14.7%) were identified as having pancreatic
fistula: 9 (8.8%) in grade A, 5 (4.9%) in grade B, and 1 (0.9%) in grade C.
Comparing the POPF and non-POPF groups, we could not detect any significant risk
factors for the development of pancreatic fistula. CONCLUSION: We consider that
the pair-watch suturing technique is less susceptible to any factors, providing
reliable anastomosis for any size of pancreatic duct and any texture of remnant
pancreas.
PMID- 22076669
TI - Important technical remarks on distal pancreatectomy with en-bloc celiac axis
resection for locally advanced pancreatic body cancer (with video).
AB - BACKGROUND: We have already reported the feasibility, safety, and excellent long
term results of distal pancreatectomy with en-bloc celiac axis resection (DP-CAR)
for locally advanced pancreatic body cancer. An international standard for the
surgical technique of DP-CAR has yet to be established. METHODS: DP-CAR was
carefully performed in 42 patients in Hokkaido University Hospital from 1998 to
July 2007. Arterial blood flow alteration and collateral flow development toward
the liver and stomach was obtained following preoperative routine transcatheter
arterial embolization of the common hepatic artery. The right-sided approach to
the superior mesenteric artery and celiac artery, and the preservation of the
inferior pancreatoduodenal artery during the dissection of the plexus around the
pancreatic head, are the key techniques in DP-CAR. RESULTS: The operative
morbidity and mortality were 43 and 4.8%, respectively. R0 resection could be
done in 39 (93%) patients. Median operation time and intraoperative blood loss
were 478 min and 1030 ml, respectively. Ischemic gastropathy was complicated in 5
(12%) patients, but liver abscess was found in only one patient and no liver
failure was encountered. CONCLUSIONS: We emphasize again the feasibility and
safety of DP-CAR; it should be a treatment of choice for locally advanced
pancreatic body cancer.
PMID- 22076670
TI - Left hepatectomy or left trisectionectomy with resection of the caudate lobe and
extrahepatic bile duct for hilar cholangiocarcinoma (with video).
AB - PURPOSE: Although left-sided hepatectomy, such as a left hepatectomy or left
trisectionectomy with resection of the caudate lobe and extrahepatic bile duct,
is used to treat hilar cholangiocarcinoma predominantly involving the left side
of the hepatic hilum, it is associated with several difficult technical points.
The important points during left-sided hepatectomy are described here.
TECHNIQUES: There are anatomical variations of the sectional artery and bile
duct. It is essential to understand the individual intrahepatic and hilar anatomy
preoperatively. Surgical procedures consist of lymph node clearance, dissection
of the distal bile duct, skeletonization resection of the hepatoduodenal
ligament, mobilization of the liver and liver resection, dissection of the
intrahepatic bile ducts, and biliary reconstruction. During lymph node dissection
and skeletonization resection of the hepatoduodenal ligament, the nerve plexus
around the hepatic artery is dissected, and its adventitia is exposed with great
care to avoid injuring the hepatic artery. Mobilization of the caudate lobe is
performed only from the left side. There is no clear landmark between the caudate
lobe and the right posterior section during liver resection. In the final step of
liver resection, it progresses toward the right edge of the inferior vena cava.
When dividing intrahepatic bile ducts, extreme care should be used to avoid
injury to the corresponding hepatic arteries, especially the anomalous
supraportal posterior sectional artery. CONCLUSIONS: Left-sided hepatectomy for
hilar cholangiocarcinoma should be considered a more complicated and technically
demanding procedure than right-sided hepatectomy. Surgeons need to pay close
attention to anatomical variations in order to perform a left-sided hepatectomy
safely and successfully.
PMID- 22076671
TI - Pancreatic dissection in the procedure of pancreaticoduodenectomy (with videos).
AB - The procedure of pancreaticoduodenectomy consists of three parts: resection,
lymph node dissection, and reconstruction. A transection of the pancreas is
commonly performed after a maneuver of the pancreatic head, exposing of the
portal vein or lymph node dissection, and it should be confirmed as a safe method
for pancreatic transection for decreasing the incidence of pancreatic fistula.
However, there are only a few clinical trials with high levels of evidence for
pancreatic surgery. In this report, we discuss the following issues: dissection
of peripancreatic tissue, exposing the portal vein, pancreatic transection,
dissection of the right hemicircle of the peri-superior mesenteric artery
including plexus and lymph nodes, and dissection of the pancreatic parenchyma.
PMID- 22076672
TI - Cortical excitability in chronic migraine.
AB - A proportion of episodic migraine patients experiences a progressive increase in
attack frequency leading to chronic migraine (CM). The most frequent external
factor that leads to headache chronification is medication overuse. The
neurobiological bases of headache chronification and of the vicious circle of
medication overconsumption are not completely elucidated. More recently, the same
neurophysiological methods used to study episodic migraine were applied to CM and
medication-overuse headache (MOH). Studies of cortical responsivity tend overall
to indicate an increase in excitability, in particular of somatosensory and
visual cortices, reflected by increased amplitude of evoked responses, decreased
activity of inhibitory cortical interneurons reflected in the smaller magnetic
suppression of perceptual accuracy, and, at least for visual responses, an
increase in habituation. In MOH, overconsumption of triptans or NSAIDs influences
cortical excitability differently. Generalized central sensitization is suggested
to play an important role in the pathophysiology of headache chronification.
PMID- 22076673
TI - Obesity and chronic daily headache.
AB - Obesity may be the greatest epidemic of modern times. It leads to diabetes and
heart disease and shortens lifespan. Although not a risk factor for migraine, it
is associated with an increased frequency and intensity of migraine. Obesity is
also comorbid with chronic daily headache and is a major risk factor for
chronification of episodic migraine in adults and children. Although obesity is
not a factor in the effectiveness of migraine treatment, it does increase the
peripheral and central events in migraine, ultimately increasing the neurologic
potential for migraine. Although evidence suggests that obesity is a modifiable
risk factor for migraine progression, it is unknown if weight loss is related to
decrease in headache frequency. Recent surgical results suggest that this is
true. We suggest all possible effective techniques aimed at weight loss be
undertaken for migraineurs, especially obese migraineurs, and that carefully
monitoring weight changes should be routinely done as part of their migraine
care.
PMID- 22076674
TI - Pathophysiology of medication-overuse headache: implications from animal studies.
AB - Recent animal experiments have shown that chronic medication exposure profoundly
affects the function of several areas in the nervous system related to headache
pathogenesis. These changes include upregulation of calcitonin gene-related
peptide, substance P, and nitric oxide synthase in trigeminal ganglia; expansion
of receptive field and decreased nociceptive threshold of central trigeminal
neurons; decrease in diffuse noxious inhibitory control; and increased
susceptibility to develop cortical spreading depression (CSD). These changes
indicate an increase in excitability of cortical and trigeminal neurons. The
neuronal hyperexcitability may be the result of derangement of a central,
possibly serotonin (5-HT)-dependent, modulating control system. Experiments with
animals with low 5-HT showed that the processes of CSD and trigeminal nociception
are enhanced in this condition. Derangement in the central 5-HT-dependent
modulating system as a result of chronic medication use may underlie the
chronification of headache as observed in patients with medication-overuse
headache.
PMID- 22076675
TI - Zinc and its role in age-related inflammation and immune dysfunction.
AB - Zinc is an essential micronutrient required for many cellular processes,
especially for the normal development and function of the immune system. Zinc
homeostasis and signaling are critical in immune activation, and an imbalance in
zinc homeostasis is associated with the development of chronic diseases. Zinc
deficiency causes significant impairment in both adaptive and innate immune
responses, and promotes systemic inflammation. The elderly are a population
particularly susceptible to zinc deficiency. National surveys indicate that a
significant portion of the aged population has inadequate zinc intake, and a
decline in zinc status is observed with age. There are remarkable similarities
between the hallmarks of zinc deficiency and immunological dysfunction in aged
individuals. Both zinc deficiency and the aging process are characterized by
impaired immune responses and systemic low grade chronic inflammation. It has
been hypothesized that age-related zinc deficiency may be an important factor
contributing to immune dysfunction and chronic inflammation during the aging
process. In this review, we discuss the effects of zinc status on aging,
potential molecular and epigenetic mechanisms contributing to age-related decline
in zinc status, and the role of zinc in age-related immune dysfunction and
chronic inflammation.
PMID- 22076677
TI - Clinical results of posterior stabilization without decompression for
thoracolumbar burst fractures: is decompression necessary?
AB - The purpose of this study is to investigate the clinical outcome of posterior
stabilization without decompression for thoracolumbar burst fractures. Thirty-one
consecutive cases of thoracolumbar fractures involving T11-L2 stabilized by a
pedicle screw system were reviewed. Neither reduction of the height of a
fractured body nor any decompression procedure was added during surgery. Twenty
two patients had incomplete paraplegia; one patient had complete paraplegia.
Neurological recovery and remodeling of the spinal canal were evaluated.
Neurological status was evaluated at the time of injury, just before and after
surgery, and at final follow-up. The degree of spinal canal compromise was
assessed using axial CT scan images. The duration of follow-up averaged 39.6
months. The mean spinal canal compromise at the time of injury was 41.6%, and no
significant correlation was observed between the degree of canal compromise and
the severity of the neurological deficit. Within 2-3 weeks, spinal canal
remodeling had started in all patients whose spinal canal compromise was more
than 30%, and canal compromise had decreased significantly 3-4 weeks after
injury. Seventeen of 22 patients with incomplete paraplegia had already shown
partial neurological recovery even before surgery. At the final follow-up, all
patients with incomplete paraplegia had improved by at least one modified Frankel
grade. This study suggests that the effect of decompressing thoracolumbar
fractures with neurological deficits remains unclear and questions the need to
operate simply to remove retropulsed bone fragments. Posterior stabilization
without decompression should constitute appropriate surgical treatment for these
fractures.
PMID- 22076676
TI - Metabolic remodeling precedes mitochondrial outer membrane permeabilization in
human glioma xenograft cells.
AB - Glioma cancer cells adapt to changing microenvironment and shift from
mitochondrial oxidative phosphorylation to aerobic glycolysis for their metabolic
needs irrespective of oxygen availability. In the present study, we show that
silencing MMP-9 in combination with uPAR/cathepsin B switch the glycolytic
metabolism of glioma cells to oxidative phosphorylation (OXPHOS) and generate
reactive oxygen species (ROS) to predispose glioma cells to mitochondrial outer
membrane permeabilization. shRNA for MMP-9 and uPAR (pMU) as well as shRNA for
MMP-9 and cathepsin B (pMC) activated complexes of mitochondria involved in
OXPHOS and inhibited glycolytic hexokinase expression. The decreased interaction
of hexokinase 2 with mitochondria in the treated cells indicated the inhibition
of glycolysis activation. Overexpression of Akt reversed the pMU- and pMC
mediated OXPHOS to glycolysis switch. The OXPHOS un-coupler oligomycin A altered
the expression levels of the Bcl-2 family of proteins; treatment with pMU or pMC
reversed this effect and induced mitochondrial outer membrane permeabilization.
In addition, our results show changes in mitochondrial pore transition to release
cytochrome c due to changes in the VDAC-Bcl-XL and BAX-BAK interaction with pMU
and pMC treatments. Taken together, our results suggest that pMU and pMC
treatments switch glioma cells from the glycolytic to the OXPHOS pathway through
an inhibitory effect on Akt, ROS induction and an increase of cytosolic
cytochrome c accumulation. These results demonstrate the potential of pMU and pMC
as therapeutic candidates for the treatment of glioma.
PMID- 22076678
TI - Intra-operative high frequency ultrasound improves surgery of intramedullary
cavernous malformations.
AB - Intra-operative ultrasound (ioUS) is a very useful tool in surgery of spinal
lesions. Here we focus on modern ioUS to analyze its use for localisation,
visualisation and resection control in intramedullary cavernous malformations
(IMCM). A series of 35 consecutive intradural lesions were operated in our
hospital in a time period of 24 months using modern ioUS with a high frequency 7
15 MHz transducer and a true real time 3D transducer (both Phillips iU 22
ultrasound system). Six of those cases were treated with the admitting diagnosis
of a deep IMCM (two cervical, four thoracic lesions). IoUS images were performed
before and after the IMCM resection. Pre-operative and early postoperative MRI
images were performed in all patients. In all six IMCM cases a complete removal
of the lesion was achieved microsurgically resulting in an improved neurological
status of all patients. High frequency ioUS emerged to be a very useful tool
during surgery for localization and visualization. Excellent resection control by
ultrasound was possible in three cases. Minor resolution of true real time 3D
ioUS decreases the actual advantage of simultaneous reconstruction in two planes.
High frequency ioUS is the best choice for intra-operative imaging in deep IMCM
to localize and to visualize the lesion and to plan the perfect surgical
approach. Additionally, high frequency ioUS is suitable for intra-operative
resection control of the lesion in selected IMCM cases.
PMID- 22076679
TI - Catalytic hydroboration by an imido-hydrido complex of Mo(IV).
AB - The imido-hydrido complex (ArN)Mo(H)(Cl)(PMe(3))(3) catalyses a variety of
hydroboration reactions, including the first example of catalytic addition of
HBCat to nitriles to form the bis(borylated) amines RCH(2)N(BCat)(2). The latter
species easily undergoes chemoselective coupling with aldehydes R'C(O)H to yield
imines RCH(2)N=C(H)R'.
PMID- 22076680
TI - Spatial and visuospatial working memory tests predict performance in classic
multiple-object tracking in young adults, but nonspatial measures of the
executive do not.
AB - An individual-differences approach was used to investigate the roles of
visuospatial working memory and the executive in multiple-object tracking. The
Corsi Blocks and Visual Patterns Tests were used to assess visuospatial working
memory. Two relatively nonspatial measures of the executive were used: operation
span (OSPAN) and reading span (RSPAN). For purposes of comparison, the digit span
test was also included (a measure not expected to correlate with tracking). The
tests predicted substantial amounts of variance (R (2) = .33), and the
visuospatial measures accounted for the majority (R (2) = .30), with each making
a significant contribution. Although the executive measures correlated with each
other, the RSPAN did not correlate with tracking. The correlation between OSPAN
and tracking was similar in magnitude to that between digit span and tracking (p
< .05 for both), and when regression was used to partial out shared variance
between the two tests, the remaining variance predicted by the OSPAN was minimal
(sr ( 2 ) = .029). When measures of spatial memory were included in the
regression, the unique variance predicted by the OSPAN became negligible (sr ( 2
) = .000004). This suggests that the executive, as measured by tests such as the
OSPAN, plays little role in explaining individual differences in multiple-object
tracking.
PMID- 22076681
TI - Effects of temporal shapes of sound markers on the perception of interonset time
intervals.
AB - This study investigated how the temporal characteristics, particularly durations,
of sounds affect the perceived duration of very short interonset time intervals
(120-360 ms), which is important for rhythm perception in speech and music. In
four experiments, the subjective duration of single time intervals marked by two
sounds was measured utilizing the method of adjustment, while the markers'
durations, amplitude difference (which accompanied the duration change), and
sound energy distribution in time were varied. Lengthening the duration of the
second marker in the range of 20-100 ms increased the subjective duration of the
time interval in a stable manner. Lengthening the first marker tended to increase
the subjective duration, but unstably; an opposite effect sometimes appeared for
the shortest time interval of 120 ms. The effects of varying the amplitude and
the sound energy distribution in time of either marker were very small in the
present experimental conditions, thus proving the effects of marker durations per
se.
PMID- 22076682
TI - Effects of dietary copper on life-history traits of a tropical freshwater
cladoceran.
AB - Life-history parameters of Ceriodaphnia cornuta (Cladocera: Daphniidae) fed on
Pseudokirchneriella subcapitata (Chlorophyceae) exposed to different copper
concentrations were investigated. C. cornuta individuals were reared in four
treatments: (a) reconstituted water and non-contaminated algae (RW); (b)
reconstituted water and copper-contaminated algae with either 1.28 * 10(-13) (10(
7)Cu) or (c) 1.93 * 10(-13) g Cu cell(-1) (10(-6)Cu); and (d) natural water from
a local reservoir and non-contaminated algae (NW). Copper content in C. cornuta
individuals increased as diet-borne exposure increased (RW < 10(-7)Cu < NW < 10(
6)Cu), except for NW individuals, which exhibited higher copper body burden than
RW and 10(-7)Cu individuals, suggesting that some copper was available in the
natural water. The results suggest that subacute levels of dietary copper
stimulated C. cornuta's growth and reproduction, whereas organisms reared on
reconstituted water showed nutritional deficiency. Depending on copper exposure
concentration, either growth (lower Cu concentration) or reproduction (higher Cu
concentration) was further stimulated, suggesting that an alteration of resource
allocation is involved in diet-borne copper exposure. Because differences among
treatments were only significantly different after day 12 of the experiment, our
results reinforce that full life-cycle tests are more appropriate than the
standard 7 day or three-brood chronic bioassays used to evaluate dietary copper
effects at low, chronic copper inputs and that the use of standard test-organisms
may not address site-specific situations for tropical environments.
PMID- 22076683
TI - Geraniol, E-3,7-dimethyl-2,6-octadien-1-ol, as the alarm pheromone of the
sycamore lace bug Corythucha ciliata (Say).
AB - Although adult sycamore lace bugs Corythucha ciliata (Say) show no sign of
aggregation, nymphs at all developing stages are gregarious. When an individual
nymph in the center of a colony was squashed with a needlepoint, proximate nymphs
showed evasive behavior. The same evasive reaction was produced by exposing
aggregated nymphs to nymph hexane extract. The active component, E-3,7-dimethyl
2,6-octadien-1-ol, geraniol, was responsible for the evasive behavior, and
identified as the alarm pheromone. One nanogram of the alarm pheromone elicited
activity similar to that in a third instar nymph. Presence of 2-acylcyclohexane
1,3-diones and their 4-hydroxy analogues are reconfirmed as nymph-specific
components, though their biological significance remains unknown.
PMID- 22076684
TI - A rapid, sensitive, and selective method for quantitation of lamprey migratory
pheromones in river water.
AB - The methodology of using fish pheromones, or chemical signatures, as a tool to
monitor or manage species of fish is rapidly gaining popularity. Unequivocal
detection and accurate quantitation of extremely low concentrations of these
chemicals in natural waters is paramount to using this technique as a management
tool. Various species of lamprey are known to produce a mixture of three
important migratory pheromones; petromyzonol sulfate (PS), petromyzonamine
disulfate (PADS), and petromyzosterol disulfate (PSDS), but presently there are
no established robust methods for quantitation of all three pheromones. In this
study, we report a new, highly sensitive and selective method for the rapid
identification and quantitation of these pheromones in river water samples. The
procedure is based on pre-concentration, followed by liquid chromatography/tandem
mass spectrometry (LC/MS/MS) analysis. The method is fast, with unambiguous
pheromone determination. Practical quantitation limits of 0.25 ng/l were achieved
for PS and PADS and 2.5 ng/l for PSDS in river water, using a 200-fold pre
concentration, However, lower quantitation limits can be achieved with greater
pre-concentration. The methodology can be modified easily to include other
chemicals of interest. Furthermore, the pre-concentration step can be applied
easily in the field, circumventing potential stability issues of these chemicals.
PMID- 22076685
TI - Fire injury reduces inducible defenses of lodgepole pine against Mountain pine
beetle.
AB - We examined the effect of wildfire injury on lodgepole pine chemical defenses
against mountain pine beetle. We compared the constitutive phloem chemistry among
uninjured, lightly-, moderately-, and severely-injured trees, and the induced
chemistry elicited by simulated beetle attack, among these same categories. We
also compared the entry rates of caged female beetles into trees of these
categories. The volatiles we studied included thirteen monoterpene hydrocarbons,
four allylic monoterpene alcohols, one ester, and one phenyl propanoid, of which
the monoterpene hydrocarbons always comprised 96% or more of the total. Fire
injury reduced the total concentration of these compounds in the induced but not
constitutive phloem tissue of lodgepole pines. Fire injury also affected the
relative composition of some volatiles in both induced and constitutive phloem.
For example, increased fire injury reduced 4-allylanisole, a host compound that
inhibits mountain pine beetle aggregation. Increased fire injury also increased (
) alpha-pinene, which can serve as precursor of pheromone communication. However,
it also reduced myrcene and terpinolene, which can serve as stimulants and
synergists of pheromone communication. Beetle entry did not show statistical
differences among fire injury categories, although there was a trend to increased
entry with fire injury. These results suggest that the reduced ability of trees
to mobilize induced chemical defenses is an important mechanism behind the higher
incidence of attack on fire-injured trees in the field. Future studies should
concentrate on whether beetles that enter fire-injured trees are more likely to
elicit aggregation, based on the differences we observed in volatile composition.
PMID- 22076686
TI - The RABL5 homolog IFT22 regulates the cellular pool size and the amount of IFT
particles partitioned to the flagellar compartment in Chlamydomonas reinhardtii.
AB - Cilia and flagella, sensory and motile structures protruding from the cell body,
rely on the continuous bidirectional traffic of intraflagellar transport (IFT)
particles to ferry flagellar precursors into flagella for assembly. Cells
synthesize a large pool of IFT particle proteins in the cell body, but only a
small portion engages in active transport within the flagella at any given time.
The atypical small G protein Rab-like 5 (RABL5) has been shown to move in an IFT
like manner in the flagella, but its function in ciliogenesis is controversial.
In this report, we demonstrate that IFT22, the Chlamydomonas reinhardtii homolog
of RABL5, is a bona fide IFT particle complex B subunit. Although the amount of
IFT22 remains unaffected by depletion of either complex A or B, depletion of
IFT22 leads to a smaller pool of both complex A and B. Strikingly, the smaller
cellular pool of IFT particles does not lead to a reduced distribution of IFT
particles to flagella. Instead, the amount of IFT particle proteins, including
IFT22 itself, increase in the flagella. Moreover, cells over-expressing IFT22
also accumulate IFT particles in their flagella. Taken together, these data
indicate that, in C. reinhardtii, IFT22 controls the cellular levels of both
complex A and B, thus plays a critical role in determining the cellular
availability of IFT particles. In addition, although IFT22 may not directly carry
any precursors for flagellar assembly, it controls how many IFT particles
participate in ferrying precursors into flagella.
PMID- 22076688
TI - The caudal space in fetuses: an anatomical study.
AB - PURPOSE: The caudal epidural space is a popular site for analgesia in pediatrics.
High variation in blind needle placement is common during caudal epidurals,
increasing the risk of intravascular and intrathecal spread. Knowledge of safe
distances and angles for accessing the caudal epidural space in premature infants
can improve the safety of caudal epidural blocks. METHODS: Thirty-nine fetuses
with crown-heel length between 33 and 50 cm, corresponding to gestational age of
7-9 months, were included. The dorsal surface of the sacrum from the fourth
lumbar vertebra to the tip of the coccyx was dissected, following which
measurements were taken on dorsal surface and midsagittal sections. The angle of
depression of the needle was measured using a goniometer following the two-step
method of needle insertion. RESULTS: Right and left sacral cornua were palpable
in 23 of 39 fetuses (58.97%). Termination of dural sac was at S2 in most of the
fetuses (53.84%), whereas the apex of the sacral hiatus was at S3 in most
(58.97%). The distance from the apex of the hiatus to the termination of dura
ranged from 3 to 13 mm; the anteroposterior distance of the canal at the apex of
the hiatus ranged from 1.72 to 4.38 mm. All sacral parameters correlated with
crown-heel length except inter-cornual distance, depth of canal at hiatus, and
height of sacral hiatus. CONCLUSION: Distances and angles for accessing the
caudal epidural space in fetuses do not provide all parameters for safe
performance of caudal epidural blocks in premature and low birth weight infants
because the apex of the sacral hiatus and the termination of the dura show wide
variation in location.
PMID- 22076689
TI - Is there a high-risk subtype of depression in patients with coronary heart
disease?
AB - Depression is a risk factor for cardiac morbidity and mortality in patients with
coronary heart disease, especially in those with a recent history of acute
coronary syndrome. To improve risk stratification and treatment planning, it
would be useful to identify the characteristics or subtypes of depression that
are associated with the highest risk of cardiac events. This paper reviews the
evidence concerning several putative depression subtypes and symptom patterns
that may be associated with a high risk of morbidity and mortality in cardiac
patients, including single-episode major depressive disorder, depression that
emerges after a cardiac event, somatic symptoms of depression, and treatment
resistant depression.
PMID- 22076690
TI - Ligation of the intersphincteric fistula tract (LIFT) to treat anal fistula:
early results from a prospective observational study.
AB - BACKGROUND: Ligation of the intersphincteric tract (LIFT), a novel sphincter
saving technique, has been recently described with promising results. Literature
data are still scant. In this prospective observational study, we present our
experience with this technique. METHODS: Between October 2010 and April 2011, 18
patients with 'complex' fistulas underwent LIFT. All patients were enrolled in
the study after a physical examination including digital examination and
proctoscopy. For the purpose of this pilot study, fistulas were classified as
complex if any of the following conditions were present: tract crossing more than
30% of the external sphincter, anterior fistula in a woman, recurrent fistula or
pre-existing incontinence. Endpoints were healing time, presence of recurrence,
faecal incontinence and surgical complications. RESULTS: Ten patients were men
and 8 were women; mean age was 39 years; minimum follow-up was 4 months. Three
patients required drainage seton insertion and delayed LIFT. After LIFT, 1
patient experienced haemorrhoidal thrombosis. At the end of the follow-up, 15
patients (83%) healed with no recurrence. Three patients had persistent symptoms
and required further surgical treatment. We did not observe postoperative
worsening of continence. CONCLUSIONS: Results from our pilot study indicate that
this novel sphincter-saving approach is effective and safe for treating complex
anal fistula.
PMID- 22076691
TI - Diastereoselective methylation of bis(N-confused porphyrinatonickel(II)): access
to configurationally stable chiral bis(porphyrinoid) and non-symmetric dimers.
AB - Bis- and tris(methylated) derivatives of 3,3'-bis(N-confused porphyrin) were
obtained. Methylation of C21 stabilizes the geometry of the axially chiral system
allowing separation of enantiomers and assignment of an absolute configuration by
X-ray methods.
PMID- 22076692
TI - Serological diagnosis of echinococcosis: the diagnostic potential of native
antigens.
AB - PURPOSE: Human alveolar (AE) and cystic echinococcosis (CE) caused by the
metacestode stages of Echinococcus multilocularis and E. granulosus,
respectively, lack pathognomonic clinical signs. Diagnosis therefore relies on
the results of imaging and serological studies. The primary goal of this study
was to evaluate the efficacy of several easy-to-produce crude or partially
purified E. granulosus and E. multilocularis metacestode-derived antigens as
tools for the serological diagnosis and differential diagnosis of patients
suspicious for AE or CE. METHODS: The sera of 51 treatment-naive AE and 32 CE
patients, 98 Swiss blood donors and 38 patients who were initially suspicious for
echinococcosis but suffering from various other liver diseases (e.g., liver
neoplasia, etc.) were analysed. RESULTS: According to the results of enzyme
linked immunosorbent assays (ELISA), metacestode-derived antigens of E.
granulosus had sensitivities varying from 81 to 97% and >99.9% for the diagnosis
of CE and AE, respectively. Antigens derived from E. multilocularis metacestodes
had sensitivities ranging from 84 to 91% and >99.9% for the diagnosis of CE and
AE, respectively. Specificities ranged from 92 to >99.9%. Post-test probabilities
for the differential diagnosis of AE from liver neoplasias, CE from cystic liver
lesions, and screening for AE in Switzerland were around 95, 86 and 2.2%,
respectively. Cross-reactions with antibodies in sera of patients with other
parasitic affections (fasciolosis, schistosomosis, amebosis, cysticercosis, and
filarioses) did occur at variable frequencies, but could be eliminated through
the use of confirmatory testing. CONCLUSIONS: Different metacestode-derived
antigens of E. granulosus and E. multilocularis are valuable, widely accessible,
and cost-efficient tools for the serological diagnosis of echinococcosis.
However, confirmatory testing is necessary, due to the lack of species
specificity and the occurrence of cross-reactions to other helminthic diseases.
PMID- 22076693
TI - Eclecticism as the foundation of meta-theoretical, mixed methods and
interdisciplinary research in social sciences.
AB - This article examines the value of "eclecticism" as the foundation of meta
theoretical, mixed methods and interdisciplinary research in social sciences. On
the basis of the analysis of the historical background of the concept, it is
first suggested that eclecticism-based theoretical scholarship in social sciences
could benefit from the more systematic research method that has been developed
for synthesizing theoretical works under the name metatheorizing. Second, it is
suggested that the mixed methods community could base its research approach on
philosophical eclecticism instead of pragmatism because the basic idea of
eclecticism is much more in sync with the nature of the combined research
tradition. Finally, the Kuhnian frame is used to support the argument for
interdisciplinary research and, hence, eclecticism in social sciences (rather
than making an argument against multiple paradigms). More particularly, it is
suggested that integrating the different (inter)disciplinary traditions and
schools into one is not necessarily desirable at all in social sciences because
of the complexity and openness of the research field. If it is nevertheless
attempted, experience in economics suggests that paradigmatic unification comes
at a high price.
PMID- 22076694
TI - Effects of water stress, organic amendment and mycorrhizal inoculation on soil
microbial community structure and activity during the establishment of two heavy
metal-tolerant native plant species.
AB - Our aim was to examine the effect of water stress on plant growth and development
of two native plant species (Tetraclinis articulata and Crithmum maritimum) and
on microbial community composition and activity in the rhizosphere soil,
following the addition of an organic amendment, namely sugar beet residue (SBR),
and/or the inoculation with an arbuscular mycorrhizal (AM) fungus, namely Glomus
mosseae, in a non-sterile heavy metal-polluted soil. The AM inoculation did not
have any significant effect on plant growth of both species. In T. articulata,
SBR increased shoot growth, foliar P, total phospholipid fatty acids (PLFA),
fungi-related PLFA, AM fungi-related neutral lipid fatty acid, bacterial gram
positive/gram-negative PLFA ratio and the beta-glucosidase and dehydrogenase
activities. SBR and AM inoculation increased phosphatase activity in T.
articulata plants grown under drought conditions. In both plants, there was a
synergistic effect between AM inoculation and SBR on mycorrhizal colonisation
under drought conditions. In C. maritimum, the increase produced by the SBR on
total amounts of PLFA, bacterial gram-positive-related PLFA and bacterial gram
negative-related PLFA was considerably higher under drought conditions. Our
results suggest that the effectiveness of the amendment with regard to
stimulating microbial communities and plant growth was largely limited by
drought, particularly for plant species with a low degree of mycorrhizal
colonisation.
PMID- 22076695
TI - Update on thermal ablation of renal cell carcinoma: oncologic control, technique
comparison, renal function preservation, and new modalities.
AB - Recent studies report mid- and long-term oncologic control with thermal ablation
for small renal tumors to be equivalent to surgery. Comparisons of cryoablation,
radiofrequency ablation (RFA), and laparoscopic approaches to percutaneous
approaches report equivalent results. Studies report little or no decrease in
renal function after ablation of renal tumors. These studies support the use of
percutaneous thermal ablation for treatment of small renal malignancies. Studies
also report that percutaneous ablation is a safe and durable treatment of the
primary tumor in stage IV patients, ultrasound guidance for percutaneous ablation
can be effective, and chyluria is relatively common after RFA. Results were
disappointing for newer ablation techniques, including microwave, irreversible
electroporation, and high-intensity focused ultrasound. These techniques require
improvements before their use in place of RFA and cryoablation. The rates of
diagnostic and subtype-specific renal tumor biopsies can be improved by using
both aspirate and core techniques.
PMID- 22076696
TI - Behavioral medicine and the health of our nation: accelerating our impact.
AB - PURPOSE: A key goal of this paper is to illustrate the impact of behavioral
medicine on the factors that influence population health. A second goal is to
consider the delicate balance between relevance and excellence as we bring our
science to bear on important social and public health problems. If we are to
increase the translation of our evidence and accelerate our impact, we must
increase our relevance while maintaining excellence in our scientific methods.
METHODS: What are the pressing questions facing those that we would like to use
our work, and how we can increase our relevance to theirs? We must work on the
marriage of relevance and excellence-use rigorous methodologies, but be flexible
in our approach, using study designs and methods that will get rapid yet rigorous
answers to the questions that are facing practice and policy settings.
CONCLUSION: We have the tools and the knowledge to impact the health of our
nation.
PMID- 22076698
TI - Magnetic resonance spectroscopic methods for the assessment of metabolic
functions in the diseased brain.
AB - Magnetic resonance spectroscopy (MRS) is a non-invasive technique that can be
used to detect and quantify multiple metabolites. This chapter will review some
of the applications of MRS to the study of brain functions. Typically, (1)H-MRS
can detect metabolites reflecting neuronal density and integrity, markers of
energy metabolism or inflammation, as well as neurotransmitters. The complexity
of the proton spectrum has however led to the development of other nuclei-based
methods, such as (31)P- and (13)C-MRS, which offer a broader chemical shift range
and therefore can provide more detailed information at the level of single
metabolites. The versatility of MRS allows for a wide range of clinical
applications, of which neurodegeneration is an interesting target for
spectroscopy-based studies. In particular, MRS can identify patterns of altered
brain chemistry in Alzheimer's patients and can help establish differential
diagnosis in Alzheimer's and Parkinson's diseases. Using MRS to follow less
abundant neurotransmitters is currently out of reach and will most likely depend
on the development of methods such as hyperpolarization that can increase the
sensitivity of detection. In particular, dynamic nuclear polarization has opened
up a new and exciting area of medical research, with developments that could
greatly impact on the real-time monitoring of in vivo metabolic processes in the
brain.
PMID- 22076697
TI - Benefits of adherence to psychotropic medications on depressive symptoms and
antiretroviral medication adherence among men and women living with HIV/AIDS.
AB - BACKGROUND: Psychotropic medications are commonly used for depressive symptoms
among people living with HIV/AIDS. PURPOSE: We examined the relationships between
adherence to psychotropic medications, depressive symptoms, and antiretroviral
adherence. METHODS: We assessed depressive symptoms among 324 people living with
HIV/AIDS across a 3-month period (70% men; mean age 45 years; 90% African
American). Psychotropic and antiretroviral adherence was assessed using monthly,
unannounced telephone pill counts. Multiple-regression and mediation analyses
were utilized to examine associations under investigation. RESULTS: Greater
depressive symptoms were associated with lower antiretroviral and psychotropic
medication adherence. Greater adherence to psychotropic medications regardless of
medication class was positively related to higher antiretroviral adherence.
Greater adherence to psychotropic medications also significantly mediated the
association between depressive symptoms and antiretroviral adherence.
CONCLUSIONS: This study demonstrates the benefits of adherence to psychotropic
medications on both depressive symptoms and antiretroviral adherence. Future work
examining psychotropic medication adherence on disease outcomes in people living
with HIV/AIDS is warranted.
PMID- 22076699
TI - Porous SnO2/layered titanate nanohybrid with enhanced electrochemical performance
for reversible lithium storage.
AB - A porous hybrid of titanate nanosheets with SnO(2) nanoparticles has been
realized by an exfoliation and reassembling route. The present nanohybrid shows a
large reversible capacity of 860 mA h g(-1) with a good capacity retention (about
60% retention of the initial capacity after 50 cycles).
PMID- 22076700
TI - Clinical images: peripheral retinal neovascularization in the antiphospholipid
antibody syndrome.
PMID- 22076701
TI - Retraction.
PMID- 22076703
TI - Sialadenoma papilliferum of the parotid gland: case report and review of
literature.
AB - Sialadenoma papilliferum is a rare benign salivary gland tumor. We present an
unusual case of sialadenoma papilliferum of the parotid gland, discuss clinical
presentation, diagnostic challenges, and review of the literature. A 65-year-old
male smoker presented with a large, exophytic, fungating, painless mass in the
tail parotid for 8 years. The tumor developed an exophytic component 2 years
before presentation. The patient subsequently underwent superficial parotidectomy
with facial nerve preservation. Initial pathological analysis suggested a variant
of Warthin's tumor. The pathology underwent extensive internal and external
review. Final diagnosis was consistent with sialadenoma papilliferum. We present
the fourth reported case of sialadenoma papilliferum within the parotid gland and
only the second that has presented with breach of the overlying skin. The
clinical presentation may mimic an advanced parotid malignancy. However, facial
nerve preservation and good surgical outcomes can be obtained.
PMID- 22076704
TI - Prognostic implication of earthquake-related loss and depressive symptoms in
patients with heart failure following the 2008 earthquake in Sichuan.
AB - BACKGROUND: Earthquake exposure is associated with adverse consequences for
cardiovascular disease. However, in the context of depressive symptoms, the
prognostic significance of heart failure (HF) related to earthquake-related loss
has not been characterized before. HYPOTHESIS: To determine the prognostic impact
of earthquake-related loss on event-free survival in patients with HF, with
depression as a modifying factor. METHODS: Depressive symptoms were assessed by
using the Zung Self-Rating Depression Scale in 404 HF patients who were followed
up for 2 years after the earthquake to collect data on mortality and readmission.
The Kaplan-Meier method was used to compare event-free survival between patients
with and without earthquake-related loss. Cox proportional hazard regression
modeling was used to examine the predicted outcomes for baseline variables.
RESULTS: The proportion of patients with moderate/severe depressive symptoms
among the HF patients with earthquake-related loss is much higher than their
counterparts (27.038% vs 17.84%, P = 0.039). Heart failure patients without loss
experienced longer event-free survival than patients with loss (P = 0.002),
especially among patients without depressive symptoms (P = 0.003). Meanwhile, in
a Cox proportional hazard regression model, the event-free survival was
associated with earthquake-related loss, left ventricular ejection fraction,
depressive symptoms, and chronic obstructive pulmonary disease or asthma.
CONCLUSIONS: Heart failure patients without earthquake-related loss experienced
longer event-free survival than did HF patients with severe loss. Earthquake
related loss was a predictor of poor outcomes in HF patients, particularly in
patients without depression.
PMID- 22076705
TI - Design, synthesis and cytotoxicity of novel chalcone analogs derived from 1
cyclohexylpyrrolidin-2-one and 2,3-dihydrobenzo[f]chromen-1-one.
AB - Two divergent series of novel chalcone analogs, one derived from 1
cyclohexylpyrrolidin-2-one and the other derived from 1-benzo[f]chromanone, were
designed, synthesized and evaluated for cytotoxicity against two murine cancer
cell lines. Two 1-benzo[f]chromanone analogs, 4g and 4j yielded moderate toxicity
against both melanoma B16 and lymphoma L1210 cell lines with IC(50) values
between the range of 5 and 6 uM. With an IC(50) value of 3.4 uM, compound 4g was
also active against human MDA-MB-435 melanoma cells. X-ray structures of the beta
hydroxy ketone product (4a) and the alpha,beta-unsaturated ketone (4h) were
collected, and confirm the syn-configuration between the carbonyl moiety and the
beta-vinylic proton in 4h. X-ray structures of two 1-cyclohexylpyrrolidin-2-one
derivatives were also obtained, and both showed an E-configuration for the double
bond.
PMID- 22076706
TI - Physionomics and metabolomics-two key approaches in herbicidal mode of action
discovery.
AB - BACKGROUND: For novel herbicides identified in greenhouse screens, efficient
research is important to discover and chemically optimise new leads with new
modes of action (MoAs). RESULTS: The metabolic and physiological response pattern
to a herbicide can be viewed as the result of changes elicited in the molecular
and biochemical process chain. These response patterns are diagnostic of a
herbicide's MoA. At the starting point of MoA characterisation, an array of
bioassays is used for comprehensive physiological profiling of herbicide effects.
This physionomics approach enables discrimination between known, novel or
multiple MoAs of a compound and provides a first clue to a new MoA. Metabolic
profiling is performed with the use of treated Lemna paucicostata plants. After
plant extraction and chromatography and mass spectrometry, changes in levels of
approximately 200 identified and 300 unknown analytes are quantified. Check for
known MoA assignment is performed by multivariate statistical data analyses.
Distinct metabolite changes, which can direct to an affected enzymatic step, are
visualised in a biochemical pathway view. Subsequent target identification
includes metabolite feeding and molecular, biochemical and microscopic methods.
CONCLUSION: The value of this cascade strategy is exemplified by new herbicides
with MoAs in plastoquinone, auxin or very-long-chain fatty acid synthesis.
PMID- 22076707
TI - Lanthanide polyoxocationic complexes: experimental and theoretical stability
studies and Lewis acid catalysis.
AB - The [epsilon-PMo(V)(8)Mo(VI)(4)O(36)(OH)(4){Ln(III)(H(2)O)}(4)](5+) (Ln=La, Ce,
Nd, Sm) polyoxocations, called epsilonLn(4), have been synthesized at room
temperature as chloride salts soluble in water, MeOH, EtOH, and DMF. Rare-earth
metals can be exchanged, and (31)P NMR spectroscopic studies have allowed a
comparison of the affinity of the reduced {epsilon-PMo(12)} core, thus showing
that the La(III) ions have the highest affinity and that rare earths heavier than
Eu(III) do not react with the epsilon-Keggin polyoxometalate. DFT calculations
provide a deeper insight into the geometries of the systems studied, thereby
giving more accurate information on those compounds that suffer from disorder in
crystalline form. It has also been confirmed by the hypothetical La->Gd
substitution reaction energy that Ln ions beyond Eu cannot compete with La in
coordinating the surface of the epsilon-Keggin molybdate. Two of these clusters
(Ln=La, Ce) have been tested to evidence that such systems are representative of
a new efficient Lewis acid catalyst family. This is the first time that the
catalytic activity of polyoxocations has been evaluated.
PMID- 22076708
TI - Validation of genetic sequence variants as prognostic factors in early-stage head
and neck squamous cell cancer survival.
AB - PURPOSE: From the published literature, we identified 23 germ line sequence
variants in 17 genes from hypothesis-generating studies that were associated with
prognosis of head and neck cancer, including sequence variants of DNA repair
(ERCC1, ERCC4, ERCC5, MSH2, XPA, ERCC2, XRCC1, XRCC3), DNA methylation (DNMT3B),
cell cycle and proliferation (CCND1, TP53), xenobiotic metabolism (GSTM1, GSTT1,
CYP2D6), metastatic -potential (MMP3), immunologic (CTLA4), and growth factor
pathways (FGFR4). The purpose of this study was to validate the role of these 23
sequence variants for overall (OS) and disease-free survival (DFS) in a large,
comprehensive, well-annotated data set of patients with head and neck cancer.
EXPERIMENTAL DESIGN: We genotyped these sequence variants in 531 patients with
stage I and II radiation-treated head and neck cancer (originally recruited for
an alpha-tocopherol/beta-carotene placebo-controlled secondary prevention study),
and analyzed using Cox proportional hazards models, stratified by treatment arm,
adjusting for clinical prognostic factors. RESULTS: Two OS associations were
statistically significant for each variant allele when compared with the wild
type: CTLA4: A49G [rs231775; adjusted HR (aHR), 1.32 (1.1-1.6); P = 0.01] and
XRCC1: Arg339Gln [rs25487; aHR, 1.28 (1.05-1.57); P = 0.02]. Both of these
sequence variants had significant results in the opposite direction as prior
published literature. Two DFS associations were of borderline significance in the
same direction as prior literature: ERCC2: Lys751Gln [rs13181; aHR, 0.80 (0.6
1.0); P = 0.05] and TP53: Arg72Pro [rs1042522; aHR, 1.28 (1.0-1.6); P = 0.03],
comparing number of variant alleles with reference of zero variants. CONCLUSIONS:
None of the prognostic sequence variants previously published was validated for
OS in our patients with early-stage radiation-treated head and neck cancer,
though rs1381and rs1042522 had borderline significant association with DFS.
PMID- 22076709
TI - Solution-processed reduced graphene oxide films as electronic contacts for
molecular monolayer junctions.
PMID- 22076710
TI - Innovation and creativity in education curricula for the medical home.
PMID- 22076711
TI - Medical student awareness of the Patient-centered Medical Home.
AB - BACKGROUND AND OBJECTIVES: The Patient-centered Medical Home (PCMH) has come to
the forefront of primary care practice redesign and can potentially improve
health care outcomes and reduce costs. There are several initiatives in medical
schools to teach concepts of the PCMH to students, but it is unknown what
knowledge and attitudes medical students currently possess. We report students'
awareness and opinions at two medical schools without comprehensive PCMH
curricula. METHODS: A total of 1,408 first- through fourth-year students at both
schools were invited to participate. We distributed an electronic survey to all
students via institutional e-mail listserves. Descriptive statistics were used.
RESULTS: A total of 359 students participated, for a response rate of 25.5%.
Despite no comprehensive curricula, 40.9% students had still encountered the
topic of the PCMH. Family medicine and primary care clerkships serve as the most
frequent point of exposure for students. Although many students reported not
understanding the overall PCMH concept, most cited "some" understanding when
presented with individually defined PCMH principles with the one exception: Value
based Payment. A significant portion of first-, second-, and third-year students
rated learning about the PCMH by graduation as "important," while most fourth
year students rated this as "somewhat important." Students performed well on one
knowledge question about PCMH principles; however, 29.6% of respondents believed
that primary care physicians function as gatekeepers in the PCMH model.
CONCLUSIONS: Medical students appear to have limited exposure and knowledge of
the PCMH concept, suggesting the need to develop curricula about the PCMH in
medical schools.
PMID- 22076712
TI - An evaluation of family medicine residents' attitudes before and after a PCMH
innovation for patients with chronic pain.
AB - BACKGROUND AND OBJECTIVES: Prior research indicates that primary care physicians
have predominantly negative attitudes toward chronic pain patients, and chronic
pain patients have predominantly low satisfaction with the care and treatment
they receive in primary care. This current state of affairs highlights the need
for Patient-centered Medical Home (PCMH) innovations for the treatment of chronic
pain in primary care. The purpose of this study was to determine if a PCMH
innovation for the treatment of chronic pain in a family medicine residency
program can improve resident attitudes toward chronic pain patients. METHODS:
From January 2010 to December 2010, 30 family medicine residents (two--three per
month) participated in twice-a-month PCMH innovation for the treatment of chronic
pain in primary care ("pain clinic"). De-identified data from a Likert-type
measure of negative attitudes toward chronic pain patients were extracted from
pain clinic evaluation information that was collected shortly before (pretest)
and shortly after (posttest) the residents' pain clinic participation. Using
these data, we conducted a paired-samples t test to determine if residents'
negative attitudes toward chronic pain patients had improved. RESULTS: The
difference between residents' pretest scores (M=51.2, SD=10.9) and posttest
scores (M=45.2, SD=9.2) was significant, suggesting that residents' negative
attitudes toward chronic pain patients improved after participating in pain
clinic. CONCLUSIONS: A PCMH innovation for the treatment of chronic pain in
primary care can improve family medicine residents' attitudes toward chronic pain
patients.
PMID- 22076713
TI - Evaluation of a group prenatal care-based curriculum in a family medicine
residency.
AB - BACKGROUND: There is a growing trend within family medicine residency training
programs to implement group prenatal care programs. While the clinical benefits
of group prenatal care have been well documented, there have been no published
studies to date evaluating the educational impact of using group prenatal care in
residency training programs. METHODS: A retrospective cohort study of both
patient care performance and outcome measures over a 4-year time span in a pre-
and post-intervention design in a single family medicine training program was
used. RESULTS: A total of 184 women were cared for by residents educated under
the old curriculum, and 195 women were cared for under the new curriculum.
Patients cared for by residents under the new curriculum had significantly fewer
cesarean sections compared to patients cared for under the old curriculum (17.53%
versus 26.92%) and also trended toward having a lower rate of preterm births
(4.15% versus 8.33%) that reached significance when controlled for parity and
insurance status. CONCLUSIONS: The ultimate measure of how well we train our
residents is how well they care for their patients. Our evaluation of teaching
residents maternity care through group prenatal visits and the IMPLICIT quality
improvement initiative found that we improved not only several processes of care
outcomes but most importantly the key maternity care outcomes of cesarean section
and preterm birth rates.
PMID- 22076714
TI - The Patient-centered Medical Home: a new perspective for the family medicine
clerkship.
AB - BACKGROUND AND OBJECTIVES: The Patient-centered Medical Home (PCMH) concept,
essential functions of primary care, and an appropriate primary care workforce
have been shown to improve health outcomes and reduce health care costs. This
paper describes a curriculum that uses the PCMH and related health policy
concepts as the foundation for didactic, clinical, and self-directed elements.
The PCMH-focused curriculum improved overall student clerkship ratings and
maintained student performance on the National Board of Medical Education subject
exam.
PMID- 22076715
TI - Shared decision making: skill acquisition for year III medical students.
AB - BACKGROUND: A foundation of care within a Patient-centered Medical Home (PCMH) is
respect for patients' values and preferences. Shared decision making (SDM)
involves a set of principles and approaches to working with patients that
integrates medical information and data with the preferences, values, and support
systems of individual patients facing medical decisions. The value of SDM is
increasingly evidenced by the incorporation of principles of SDM into the
definitions of patient-centered care and PCMHs, accountable care organizations,
and the language of the Health Reform Act of 2010. METHODS: We developed and
integrated a curriculum on SDM in the third-year Family Medicine Clerkship at
Dartmouth Medical School. The curriculum consisted of a mix of experiential,
classroom, and online experiences designed to provide students with opportunities
to learn content, practice skills, and share observations from their
preceptorships. RESULTS: Student feedback was an important component of
evaluating the SDM curriculum. Themes identified from students' reflections on
their own behavior in a Simulated Patient Encounter included an increase in
confidence and competence in their ability to use SDM, while noting the
disconnect that may exist between what is taught in the clerkship and what they
experience in their preceptorships. CONCLUSIONS: As this curriculum has
developed, we have acquired a deep appreciation of the benefits and challenges of
attempting to teach sophisticated communication and decision-making precepts to
medical students who are working to master fundamentals of clinical work and who
may or may not see such precepts reinforced in practice.
PMID- 22076716
TI - Applying health information technology and team-based care to residency
education.
AB - BACKGROUND AND OBJECTIVES: Training physicians capable of practicing within the
Patient-centered Medical Home (PCMH) is an emerging area of scholarly inquiry
within residency education. This study describes an effort to integrate PCMH
principles into teaching practices within a university-based residency setting
and evaluates the effect on clinical performance. METHODS: Using participant
feedback and clinical data extracted from an electronic clinical quality
management system, we retrospectively examined performance outcomes at two family
medicine residency clinics over a 7-year period. Instructional approaches were
identified and clinical performance patterns analyzed. RESULTS: Alumni ratings of
the practice-based curriculum increased following institution of the PCMH model.
Clinical performance outcomes indicated improvements in the delivery of clinical
care to patients. Implementation of instructional methodologies posed some
challenges to residency faculty, particularly in development of consistent
scheduling of individualized feedback sessions. Residents required the greatest
support and guidance in managing point-of-care clinical reminders during patient
encounters. CONCLUSIONS: Teaching practices that take into consideration the
integration of team-based care and use of electronic health technologies can
successfully be used to deliver residency education in the context of the PCMH
model. Ongoing assessment provides important information to residency directors
and faculty in support of improving the quality of clinical instruction.
PMID- 22076717
TI - Assessing teamwork: a reliable five-question survey.
AB - BACKGROUND AND OBJECTIVES: Currently available tools to measure teamwork, an
essential component of primary care, are generally very resource intensive and
thus cannot be administered frequently. To explore the possibility of developing
a brief teamwork-assessment instrument, we first administered 29 questions about
teamwork from the Practice Environment Checklist (PEC) to all members of six
clinical teams in a residency outpatient practice (n=56). We found that the scale
assessed a single dimension of teamwork and that a five item survey has
acceptable reliability (Cronbach alpha=0.89). In a subsequent validation study
among an expanded sample of clinic staff (n=89), we found that the five-item
questionnaire could be completed in less than 3 minutes. It continued to have an
acceptable internal consistency (Cronbach alpha=0.82) and that all five items had
sizeable item-total correlations. The resulting short form of the PEC may be
useful for frequent assessment of team function.
PMID- 22076718
TI - Performance enhancement using a balanced scorecard in a Patient-centered Medical
Home.
AB - BACKGROUND AND OBJECTIVES: Oregon Health & Science University Family Medicine
implemented a balanced scorecard within our clinics that embraces the inherent
tensions between care quality, financial productivity, and operational
efficiency. This data-driven performance improvement process involved: (1)
consensus-building around specific indicators to be measured, (2) developing and
refining the balanced scorecard, and (3) using the balanced scorecard in the
quality improvement process. Developing and implementing the balanced scorecard
stimulated an important culture shift among clinics; practice members now
actively use data to recognize successes, understand emerging problems, and make
changes in response to these problems. Our experience shows how Patient-centered
Medical Homes can be enhanced through use of information technology and evidence
based tools that support improved decision making and performance and help
practices develop into learning organizations.
PMID- 22076719
TI - Make room for teaching in the Patient-centered Medical Home.
PMID- 22076720
TI - Meeting women's needs in the PCMH: stories from practice.
PMID- 22076721
TI - How to integrate the PCMH concept.
PMID- 22076722
TI - New ACGME resident duty hour impacts neurology and family medicine residents
alike.
PMID- 22076724
TI - Controlled synthesis of novel cyanopropyl polysilsesquioxane hollow spheres
loaded with highly dispersed Au nanoparticles for catalytic applications.
AB - The design and synthesis of novel cyanopropyl polysilsesquioxane hollow spheres
lead to production of a highly active and stable catalyst in the reduction of 4
nitrophenol catalyzed by Au nanoparticles.
PMID- 22076723
TI - Visualization and functional analysis of the oligomeric states of Escherichia
coli heat shock protein 70 (Hsp70/DnaK).
AB - The molecular chaperone DnaK binds to exposed hydrophobic segments in proteins,
protecting them from aggregation. DnaK interacts with protein substrates via its
substrate-binding domain, and the affinity of this interaction is allosterically
regulated by its nucleotide-binding domain. In addition to regulating interdomain
allostery, the nucleotide state has been found to influence homo-oligomerization
of DnaK. However, the architecture of oligomeric DnaK and its potential
functional relevance in the chaperone cycle remain undefined. Towards that goal,
we examined the structures of DnaK by negative stain electron microscopy. We
found that DnaK samples contain an ensemble of monomers, dimers, and other small,
defined multimers. To better understand the function of these oligomers, we
stabilized them by cross-linking and found that they retained ATPase activity and
protected a model substrate from denaturation. However, these oligomers had a
greatly reduced ability to refold substrate and did not respond to stimulation by
DnaJ. Finally, we observed oligomeric DnaK in Escherichia coli cellular lysates
by native gel electrophoresis and found that these structures became noticeably
more prevalent in cells exposed to heat shock. Together, these studies suggest
that DnaK oligomers are composed of ordered multimers that are functionally
distinct from monomeric DnaK. Thus, oligomerization of DnaK might be an important
step in chaperone cycling.
PMID- 22076727
TI - Perception of social participation in borderline personality disorder.
AB - Interpersonal dysfunction is a key feature of borderline personality disorder
(BPD). Distorted perception of participation in social situations and altered
emotional responses could contribute to these typical interpersonal problems in
BPD. Thirty patients with BPD were compared with a healthy control group (n = 30)
in their perceptions and subjective emotional reactions to situations of social
inclusion and exclusion. Participants played Cyberball, a virtual ball-tossing
paradigm, in which social inclusion and exclusion are experimentally induced.
Control participants reacted with an increase in sadness, anger and overall
negative affect when excluded. In BPD patients, social exclusion also induced
anger, while general participating in the game seemed to reduce levels of
sadness. Compared with healthy controls, BPD patients felt more readily excluded
independent of the condition of inclusion or exclusion. In conclusion, patients
with BPD displayed a negative bias toward perceived participation in social
situations. Key PRACTITIONER MESSAGE: Patients with BPD have a biased perception
for exclusion. Virtual ball-tossing games can be used to induce anger in patients
with BPD. Participating in a virtual ball-tossing game may reduce levels of
sadness in BPD in the short term.
PMID- 22076726
TI - Rituximab therapy for systemic vasculitis associated with rheumatoid arthritis:
Results from the AutoImmunity and Rituximab Registry.
AB - OBJECTIVE: Rituximab improves articular symptoms in rheumatoid arthritis (RA) and
it recently has been shown to be an effective induction therapy for
antineutrophil cytoplasmic antibody-associated vasculitis. We assessed the
efficacy and safety of rituximab in a real-life clinical setting among patients
with systemic rheumatoid vasculitis (SRV). METHODS: We analyzed data from the
AutoImmunity and Rituximab registry, which includes patients with autoimmune
diseases treated with rituximab. RESULTS: Of the 1,994 patients with RA enrolled
in the registry, 17 were treated with rituximab for active SRV. At baseline, the
mean Birmingham Vasculitis Activity Score for RA (BVAS/RA) was 9.6, with a mean
prednisone dosage of 19.2 mg/day. After 6 months of rituximab therapy, 12
patients (71%) achieved complete remission of their vasculitis, 4 had a partial
response, and 1 died with uncontrolled vasculitis. Mean BVAS/RA was reduced to
0.6 and mean prednisone dosage to 9.7 mg/day. At 12 months, 14 patients (82%)
were in sustained complete remission. Severe infection occurred in 3 patients,
corresponding to a 6.4 per 100 patient-years rate. In the 6 patients who received
further rituximab as maintenance therapy between months 6 and 12, no relapse of
vasculitis was observed. However, among the 9 patients who did not, a relapse was
observed in 3 patients who were treated with methotrexate alone. Remission was
reestablished by reintroducing rituximab in 2 cases. CONCLUSION: Complete
remission of SRV was achieved in nearly three-fourths of patients receiving
rituximab in daily practice, with a significant decrease in daily prednisone
dosage and an acceptable toxicity profile. Rituximab represents a suitable
therapeutic option to induce remission in SRV, but maintenance therapy seems to
be necessary.
PMID- 22076728
TI - Intraosseous capillary haemangioma of a metacarpal bone.
PMID- 22076729
TI - First interosseous-plasty: a technique to reconstruct the radial collateral
ligament of the MCP-joint of the index finger.
PMID- 22076730
TI - Finger replantations after ring avulsion amputations.
AB - The aim of this retrospective cross-sectional study was to assess vascular repair
modalities and function in type IV ring finger replantations. Thirty-seven of 43
patients with complete ring avulsion amputations were replanted. After resection
of the damaged arterial segments under microscopic magnification the arterial
flow pattern was evaluated. The type of repair was chosen according to the
adequacy of arterial flow and the defect between the vessels. The methods of
bridging the arterial defect consisted of digital artery transfer from adjacent
digit in 21 fingers, vein graft interposition in six fingers and end to end
anastomosis in ten fingers. Thirty-one of the 37 fingers survived. The failures
were due to four arterial and two venous insufficiencies. In our opinion, radical
resection of damaged zones of vessels is important to evaluate the proximal flow
pattern and decide which treatment modality is necessary for healthy vascular
anastomosis.
PMID- 22076731
TI - The effects of humidity and serum on the surface microhardness and morphology of
five retrograde filling materials.
AB - The purpose of this study was to compare the surface morphology and surface
hardness of five materials 24 h after filling, in conditions of 100% humidity,
and fetal bovine serum. The five materials were ProRoot Mineral Trioxide
Aggregate (MTA), Super-EBA, Intermediate Restorative Materials (IRM), Zinc Oxide
Eugenol (ZOE), and Amalgam. The microhardness of these materials was evaluated by
Vickers microhardness test, and their morphologies were compared by using
scanning electron microscopy (SEM). To evaluate the microhardness, the mixed five
materials were measured with Vickers microhardness test. Differences between the
experimental groups were analyzed by two-way ANOVA and Duncan's multiple
comparison tests. All analyses were performed using the Statistical Package for
the Social Sciences (SPSS Inc., Chicago, IL). For the microstructural
morphological evaluation, the cross cut and root-end cavity prepared surfaces
followed by retrograde filling with five different materials were observed under
a Scanning Electron Microscope (Steroscan 440; Leica, Cambridge, England) at
*500. To summarize, Super EBA was less influenced by storage medium than the
other materials, especially MTA. However, further long-term studies considering
other factors, such as biocompatibility (i.e. cellular toxicity) and retention,
are needed to be collaborated with these findings in the clinical context.
PMID- 22076732
TI - Metal element excretion in 24-h urine in patients with Wilson disease under
treatment of D-penicillamine.
AB - Wilson disease is an inherited autosomal recessive disorder causing copper
accumulation and consequent toxicity. D-Penicillamine, a potent metal chelator,
is an important therapy for Wilson disease. To investigate the changes of metal
elements under the treatment of D-penicillamine, we determined the levels of Cu,
Zn, Mg, Ca, Fe, Se, Mn, Pb, Hg, Cd, As, Tl, and Al by ICP-MS in 24-h urine of 115
Wilson disease patients who had received treatment with D: -penicillamine for 1
month to 22 years at maintenance doses, as well as 115 age-matched, healthy
controls. The levels of Cu, Mg, Ca, Zn, Hg, Pb, Tl, Cd, and Mn in the 24-h urine
of the cases were significantly higher than those of the controls (P < 0.05), and
the observed increases in the levels of Mg, Ca, and Zn were directly correlated
with the treatment duration with Pearson Correlation Coefficient (R) of 0.356
(Mg), 0.329 (Ca), and 0.313 (Zn), respectively (P < 0.05). On the other hand, the
levels of Al and As in the 24-h urine were lower than those of the controls (P <
0.05) and were negatively correlated with the treatment time with R of -0.337
(Al) and -0.398 (As), respectively, (P < 0.05). Thus, this study indicates that
the levels of metal elements may be altered in patients with Wilson disease under
the treatment of D-penicillamine.
PMID- 22076733
TI - Effects of added CeCl3 on resistance of fifth-instar larvae of silkworm to Bombyx
mori nucleopolyhedrovirus infection.
AB - One of the most important agents causing lethal disease in the silkworm is the
Bombyx mori nucleopolyhedrovirus (BmNPV), while low-dose rare earths are
demonstrated to increase immune capacity in animals. However, very little is
known about the effects of added CeCl(3) on decreasing BmNPV infection of
silkworm. The present study investigated the effects of added CeCl(3) to an
artificial diet on resistance of fifth-instar larvae of silkworm to BmNPV
infection. Our findings indicated that added CeCl(3) significantly decreased
inhibition of growth and mortality of fifth-instar larvae caused by BmNPV
infection. Furthermore, the added CeCl(3) obviously decreased lipid peroxidation
level and accumulation of reactive oxygen species such as O(2)(-), H(2)O(2),
(.)OH, and NO and increased activities of the antioxidant enzymes including
superoxide dismutase, catalase, ascorbate peroxidase, glutathione peroxidase,
ascorbate, and glutathione contents in the BmNPV-infected fifth-instar larvae. In
addition, the added CeCl(3) could significantly promote acetylcholine esterase
activity and attenuate the activity of inducible nitric oxide synthase in the
BmNPV-infected fifth-instar larvae. These findings suggested that added CeCl(3)
may relieve oxidative damage and neurotoxicity of silkworm caused by BmNPV
infection via increasing antioxidant capacity and acetylcholine esterase
activity.
PMID- 22076734
TI - Cadmium stimulates the osteoclastic differentiation of RAW264.7 cells in presence
of osteoblasts.
AB - Low level of cadmium exposure may have direct effects on bone. But the probable
mechanism is far from clarified. Using a co-culture system, the present study
investigated the effects of low level of cadmium exposure on osteoclast
differentiation in the presence of osteoblasts. Primary osteoblasts were isolated
from calvarial bone of newborn Sprague Dawley rats. Primary osteoblasts and
RAW264.7 cells were exposed to cadmium (0-60 nmol/l) in a co-culture system.
Then, osteoblast viability was observed by MTT (3-(4, 5-dimethylthiazol-2-yl)-2,
5-diphenyltetrazolium bromide) assay. Osteoclast formation and tartrate-resistant
acid phosphatase 5b levels were determined by tartrate-resistant acid phosphatase
staining and enzyme-linked immunosorbent assay. Osteoprotegerin and receptor
activator of NF-kB ligand mRNA expression in osteoblasts were studied via reverse
transcription polymerase chain reaction. Viability of osteoblast was obviously
decreased by Cd exposure (P < 0.05). Cadmium significantly stimulated the
formation of osteoclasts in co-culture system (7.5-60 nmol/l) compared with the
control. The levels of tartrate-resistant acid phosphatase 5b in RAW264.7 cells
co-cultured with osteoblasts were significantly enhanced by cadmium exposure
compared with that without cadmium. The mRNA expression of receptor activator of
NF-kB ligand was upregulated by cadmium at 15 and 60 nmol/l. But cadmium had no
obvious influence on osteoprotegerin mRNA expression. This data suggested that
osteoblasts might be involved in the progress of cadmium effects on osteoclasts.
PMID- 22076735
TI - Robotic thyroid surgery: our experience with the infraclavicular approach.
AB - BACKGROUND: Robot-assisted endoscopic transaxillary thyroidectomy is an emerging
surgical technique. Despite promising results it presents some limitations and
remains controversial in terms of usefulness and validity. We developed an
alternative robotic technique using a gasless infraclavicular approach. METHODS:
We tested this approach using cadaveric dissection, then we performed the
technique on a series of 21 patients from October 2009 to July 2010. RESULTS:
Sixteen patients underwent total thyroidectomy and 5 patients underwent
lobectomies. Mean total operative time was 197 minutes. We observed postoperative
complications in 4 patients. Pathologic diagnoses included: benign follicular
adenoma (19 patients) and single papillary carcinoma <1 cm in diameter pT1a (2
patients). CONCLUSIONS: The infraclavicular approach for robotic thyroidectomy is
feasible, but is not safe enough to be recommended, based on our experience and
on the technical difficulties encountered. The use of 2 robotic arms instead of 3
is a major limitation for this technique.
PMID- 22076736
TI - Molecular characterization of boscalid- and penthiopyrad-resistant isolates of
Didymella bryoniae and assessment of their sensitivity to fluopyram.
AB - BACKGROUND: Didymella bryoniae has a history of developing resistance to single
site fungicides. A recent example is with the succinate-dehydrogenase-inhibiting
fungicide (SDHI) boscalid. In laboratory assays, out of 103 isolates of this
fungus, 82 and seven were found to be very highly resistant (B(VHR) ) and highly
resistant (B(HR) ) to boscalid respectively. Cross-resistance studies with the
new SDHI penthiopyrad showed that the B(VHR) isolates were only highly resistant
to penthiopyrad (B(VHR) -P(HR) ), while the B(HR) isolates appeared sensitive to
penthiopyrad (B(HR) -P(S) ). In this study, the molecular mechanism of resistance
in these two phenotypes (B(VHR) -P(HR) and B(HR) -P(S) ) was elucidated, and
their sensitivity to the new SDHI fluopyram was assessed. RESULTS: A 456 bp cDNA
amplified fragment of the succinate dehydrogenase iron sulfur gene (DbSDHB) was
initially cloned and sequenced from two sensitive (B(S) -P(S) ), two B(VHR)
P(HR) and one B(HR) -P(S) isolate of D. bryoniae. Comparative analysis of the
DbSDHB protein revealed that a highly conserved histidine residue involved in the
binding of SDHIs and present in wild-type isolates was replaced by tyrosine
(H277Y) or arginine (H277R) in the B(VHR) -P(HR) and B(HR) -P(S) variants
respectively. Further examination of the role and extent of these alterations
showed that the H/Y and H/R substitutions were present in the remaining B(VHR)
P(HR) and B(HR) -P(S) variants respectively. Analysis of the sensitivity to
fluopyram of representative isolates showed that both SDHB mutants were sensitive
to this fungicide as the wild-type isolates. CONCLUSION: The genotype-specific
cross-resistance relationships between the SDHIs boscalid and penthiopyrad and
the lack of cross-resistance between these fungicides and fluopyram should be
taken into account when selecting SDHIs for gummy stem blight management.
PMID- 22076737
TI - Group 4 dimethylsilylenebisamido complexes bearing the 6-[2
(diethylboryl)phenyl]pyrid-2-yl motif: synthesis and use in tandem ring-opening
metathesis/vinyl-insertion copolymerization of cyclic olefins with ethylene.
AB - Two novel Zr(IV)- and Hf(IV)-based bisamido complexes bearing the 6-[2
(diethylboryl)phenyl]pyrid-2-yl motif, that is, [ZrCl(2){Me(2)Si(DbppN)(2)}(thf)]
(9) and [HfCl(2){Me(2)Si(DbppN)(2)}(thf)(2)] (10) (DbppN=6-[2
(diethylboryl)phenyl]pyridine-2-amido) have been prepared. Their reactivities
have been compared with that of a model precatalyst that does not bear the
aminoborane motif. Upon activation with methylalumoxane, precatalysts 9 and 10
are active in the homopolymerization of ethylene (E) yielding high-density
polyethylene (HDPE). In the copolymerization of E with cyclopentene (CPE), for
example by the action of 9, the presence of CPE resulted in a dramatic increase
in the polymerization activity of E, while CPE incorporation remained close to or
at zero. In the vinyl-insertion copolymerization of norborn-2-ene (NBE) with E by
the action of 9, statistical cyclic olefin copolymers of these two monomers were
obtained. At higher NBE concentrations, however, 9 gave rise to reversible ring
opening metathesis (ROMP)/vinyl-insertion polymerization (VIP) of NBE with E,
resulting in the formation of multi-block copolymers of the general formula
poly(NBE)(ROMP)-co-poly(NBE)(VIP)-co-poly(E). This particular feature of
precatalyst 9, that is, the ability to induce a reversible alpha-H
elimination/alpha-H addition reaction, is attributed to the unique role of the 6
[2-(diethylboryl)phenyl]pyrid-2-yl ligand. Accordingly, a model precatalyst
lacking this ligand does not have the ability to induce alpha-H elimination/alpha
H addition reactions. The different (11)B NMR shifts of various
diethylborylphenylpyrid-2-ylamines and -amides permit a ranking of the strengths
of the B-N bonds in these compounds. This strength of the B-N bond is correlated
with the propensity of 9/MAO to produce poly(NBE)(ROMP)-co-poly(NBE)(VIP)-co
poly(E) at different temperatures.
PMID- 22076738
TI - Gold-catalyzed intermolecular [4+2] and [2+2+2] cycloadditions of ynamides with
alkenes.
PMID- 22076739
TI - A multifunctional nanocarrier based on nanogated mesoporous silica for enhanced
tumor-specific uptake and intracellular delivery.
AB - A multifunctional drug delivery system based on MCM-41-type mesoporous silica
nanoparticles is described that behaves as if nanogates were covalently attached
to the outlets of the mesopores through a highly acid-sensitive benzoic-imine
linker. Tumor-specific uptake and intracellular delivery results from the pH
dependent progressive hydrolysis of the benzoic-imine linkage that starts at
tumor extracellular pH = 6.8 and increases with decreasing pH. The cleavage of
the benzoic-imine bond leads to the removal of the polypseudorotaxane caps and
subsequent release of the payload drugs at tumor sites. At the same time, the
carrier surface becomes positively charged, which further facilitates cellular
uptake of the nanocarriers, thus offering a tremendous potential for targeted
tumor therapy.
PMID- 22076741
TI - Mercury and carbon dioxide emissions: uncoupling a toxic relationship.
PMID- 22076742
TI - Target recycling amplification for sensitive and label-free impedimetric
genosensing based on hairpin DNA and graphene/Au nanocomposites.
AB - The presence of exonuclease III leads to direct recycling and reuse of the target
DNA, which in turn results in substantial signal amplification for highly
sensitive, label-free impedimetric detection of specific DNA sequences.
PMID- 22076743
TI - [Phacolysis after blunt trauma].
PMID- 22076744
TI - Stable fluorophosphines: predicted and realized ligands for catalysis.
PMID- 22076745
TI - Economical challenges to microbial producers of butanol: feedstock, butanol ratio
and titer.
AB - Butanol is an important solvent and transport fuel additive, and can be produced
by microbial fermentation. Attempts to generate a superior microbial producer of
butanol have been made through different metabolic engineering strategies.
However, to date, butanol bio-production is still not economically competitive
compared to petrochemical-derived production because of its major drawbacks, such
as, high cost of the feedstocks, low butanol concentration in the fermentation
broth and the co-production of low-value by-products acetone and ethanol. Here we
analyze the main bottlenecks in microbial butanol production and summarize
relevant advances from recently reported studies. Further needs and directions
for developing real industrially applicable strains in butanol production are
also discussed.
PMID- 22076747
TI - Experimental comparison of aerial larvicides and habitat modification for
controlling disease-carrying Aedes vigilax mosquitoes.
AB - BACKGROUND: Microbial and insect-growth-regulator larvicides dominate current
vector control programmes because they reduce larval abundance and are relatively
environmentally benign. However, their short persistence makes them expensive,
and environmental manipulation of larval habitat might be an alternative control
measure. Aedes vigilax is a major vector species in northern Australia. A field
experiment was implemented in Darwin, Australia, to test the hypotheses that (1)
aerial microbial larvicide application effectively decreases Ae. vigilax larval
presence, and therefore adult emergence, and (2) environmental manipulation is an
effective alternative control measure. Generalised linear and mixed-effects
modelling and information-theoretic comparisons were used to test these
hypotheses. RESULTS: It is shown that the current aerial larvicide application
campaign is effective at suppressing the emergence of Ae. vigilax, whereas
vegetation removal is not as effective in this context. In addition, the results
indicate that current larval sampling procedures are inadequate for quantifying
larval abundance or adult emergence. CONCLUSIONS: This field-based comparison has
shown that the existing larviciding campaign is more effective than a simple
environmental management strategy for mosquito control. It has also identified an
important knowledge gap in the use of larval sampling to evaluate the
effectiveness of vector control strategies.
PMID- 22076748
TI - Joint loading decreased by inexpensive and minimalist footwear in elderly women
with knee osteoarthritis during stair descent.
AB - OBJECTIVE: Previous studies indicate that flexible footwear, which mimics the
biomechanics of walking barefoot, results in decreased knee loads in patients
with knee osteoarthritis (OA) during walking. However, the effect of flexible
footwear on other activities of daily living, such as descending stairs, remains
unclear. Our objective was to evaluate the influence of inexpensive and
minimalist footwear (Moleca) on knee adduction moment (KAM) during stair descent
of elderly women with and without knee OA. METHODS: Thirty-four elderly women
were equally divided into an OA group and a control group (CG). Stair descent was
evaluated in barefoot condition, while wearing the Moleca, and while wearing
heeled shoes. Kinematics and ground reaction forces were measured to calculate
KAM by using inverse dynamics. RESULTS: The OA group experienced a higher KAM
during midstance under the barefoot condition (233.3%; P = 0.028), the Moleca
(379.2%; P = 0.004), and heeled shoes (217.6%; P = 0.007). The OA group had a
similar knee load during early, mid, and late stance with the Moleca compared
with the barefoot condition. Heeled shoes increased the knee loads during the
early-stance (versus barefoot [16.7%; P < 0.001] and versus the Moleca [15.5%; P
< 0.001]), midstance (versus barefoot [8.6%; P = 0.014] and versus the Moleca
[9.5%; P = 0.010]), and late-stance phase (versus barefoot [10.6%; P = 0.003] and
versus the Moleca [9.2%; P < 0.001]). In the CG, the Moleca produced a knee load
similar to the barefoot condition only during the early-stance phase. CONCLUSION:
Besides the general foot protection, the inexpensive and minimalist footwear
contributes to decreasing knee loads in elderly women with OA during stair
descent. The loads are similar to the barefoot condition and effectively
decreased when compared with heeled shoes.
PMID- 22076749
TI - Recurrent laryngeal nerve landmarks revisited.
AB - BACKGROUND: The aim of this work was to evaluate, to prove their reliability, the
different surgical landmarks previously proposed as a mean to locate the
recurrent laryngeal nerve (RLN). METHODS: The necks of 143 (68 male and 76
female) human adult embalmed cadavers were examined. RLN origin and length and
its relationship to different landmarks were recorded and results compared with
those previously reported. Statistical comparisons were performed using the chi
square test (significance, p <= .05). RESULTS: Mostly, RLN is located anterior to
the tracheoesophageal sulcus (41.6%), posterior to the inferior thyroid artery
(35.8%), lateral to Berry's ligament (88.1%), below the inferior rim of the
inferior constrictor muscle (90.4%), and entering the larynx before its terminal
division (54.6%). CONCLUSIONS: The position of the RLN in relation to those
structures classically considered as landmarks is highly variable. The most
reliable relationships are those with Berry's ligament or the inferior
constrictor muscle.
PMID- 22076750
TI - Detection of erythrocytes in patient with iron deficiency anemia using atomic
force microscopy.
AB - PURPOSE: Iron deficiency anemia (IDA) disturbs the morphology and function of
erythrocytes at molecular level. The purpose of this study was to show that
pathophysiological changes to erythrocytes could be detected at the nanometer
scale, which is important for the early diagnosis and effective therapies of the
anemia. METHODS: Blood samples were taken from six healthy volunteers and six
patients with IDA before and after therapy. Changes in the morphological and
biomechanical properties of erythrocytes were studied at the nanometer level
using atomic force microscopy (AFM). RESULTS: There were dramatic overall shape
and surface membrane deformations of the erythrocytes associated with pre- and
post-therapeutic IDA compared with healthy erythrocytes. Healthy and pathological
erythrocytes of IDA could be distinguished by the morphologic parameters of
width, length, the ratio of length to width, valley, peak, valley-to-peak,
standard deviations, and surface fluctuation. Treatment effectiveness also could
be evaluated by these parameters. CONCLUSIONS: AFM is a good tool for IDA study.
Erythrocyte morphology is an important determinant for diagnosing and evaluating
therapeutic effect of IDA disease.
PMID- 22076751
TI - Effects of preoperative short term use of atorvastatin on endothelial progenitor
cells after coronary surgery: a randomized, controlled trial.
AB - OBJECTIVES: We investigated the effects of short-term use of atorvastatin on
CD34+/VEGF-R2+/CD133+/CD45- endothelial progenitor cell (EPC) count after on-pump
coronary artery bypass surgery (CABG). METHODS: Between Feb-2010 and May-2010, we
randomly assigned, in a placebo-controlled, double-blind study, 60 consecutive
patients who underwent isolated, first-time CABG to receive either 14-day
atorvastatin (40 mg/day) or placebo preoperatively. Urgent CABG and recent
myocardial infarction were excluded. EPCs were quantified (cells/MUl) by flow
cytometric phenotyping obtained from venous blood samples collected
preoperatively (T(1)), 6-hours (T(2)), and on the 5th day postoperatively (T(3)).
Levels of markers of inflammation and serum cardiac troponin I were also measured
preoperatively and daily until day-5 after surgery. RESULTS: There were no
differences in baseline risk factors including cholesterol profiles, and
EuroSCORES between the groups. The composite primary end-point, favored statin
group with higher amount of circulating, early EPC count (cells/MUl) at all time
points compared with placebo (T(1), 2.30+/-0.02 versus 1.58+/-0.03, p<0.001;
T(2), 5.00+/-0.06 versus 2.19+/-0.06, p<0.001; T(3), 3.03+/-0.08 versus 1.78+/
0.02, p<0.001). Postoperative hsCRP rise were inversely correlated with EPC
count, and were significantly lower in the statin group (T(1), 0.8 +/- 0.1 versus
2.2+/-1.5, p<0.001; T(2), 72.9+/-3.2 versus 96.0+/-3.6, p<0.001; T(3), 4.3+/-1.2
versus 11.4+/-4.1, p<0.001). Furthermore, the incidence of postoperative atrial
fibrillation was significantly lower in the statin group compared to placebo
(3.3% versus 23%, p=0.02). CONCLUSIONS: Short-term atorvastatin use increases
circulating early EPCs both pre- and post-operatively and is associated with
better preservation of sinus rhythm and reduced hsCRP levels. (ClinicalTrials.gov
number, NCT01096875).
PMID- 22076753
TI - Peering from the shadows: stem cell research and the quest for regulation in
Argentina.
PMID- 22076752
TI - Differentiation and transplantation of human induced pluripotent stem cell
derived hepatocyte-like cells.
AB - The generation of human induced pluripotent stem cells (hiPSCs) with a high
differentiation potential provided a new source for hepatocyte generation not
only for drug discovery and in vitro disease models, but also for cell
replacement therapy. However, the reported hiPSC-derived hepatocyte-like cells
(HLCs) were not well characterized and their transplantation, as the most
promising clue of cell function was not reported. Here, we performed a growth
factor-mediated differentiation of functional HLCs from hiPSCs and evaluated
their potential for recovery of a carbon tetrachloride (CCl4)-injured mouse liver
following transplantation. The hiPSC-derived hepatic lineage cells expressed
hepatocyte-specific markers, showed glycogen and lipid storage activity,
secretion of albumin (ALB), alpha-fetoprotein (AFP), urea, and CYP450 metabolic
activity in addition to low-density lipoprotein (LDL) and indocyanin green (ICG)
uptake. Similar results were observed with human embryonic stem cell (hESC)
derived HLCs. The transplantation of hiPSC-HLCs into a CCl4-injured liver showed
incorporation of the hiPSC-HLCs into the mouse liver which resulted in a
significant enhancement in total serum ALB after 1 week. A reduction of total
serum LDH and bilirubin was seen when compared with the control and sham groups 1
and 5 weeks post-transplantation. Additionally, we detected human serum ALB and
ALB-positive transplanted cells in both the host serum and livers, respectively,
which showed functional integration of transplanted cells within the mouse
livers. Therefore, our results have opened up a proof of concept that functional
HLCs can be generated from hiPSCs, thus improving the general condition of a CCl4
injured mouse liver after their transplantation. These results may bring new
insights in the clinical applications of hiPSCs once safety issues are overcome.
PMID- 22076754
TI - Different rearrangement behaviour of the cation or anion derived from the Diels
Alder adduct of 9-ferrocenylanthracene and 1,4-benzoquinone: ring-opening or
paddlewheel formation.
AB - Prototropic rearrangement of the Diels-Alder adduct (3a) of 9
ferrocenylanthracene and 1,4-benzoquinone potentially furnishes 9-ferrocenyl-1,4
dihydroxytriptycene (3b) incorporating a C(2v) symmetrical paddlewheel moiety.
However, reaction of 3a with HBF(4) unexpectedly yields instead 9-ferrocenyl-10
(2,5-dihydroxyphenyl)anthracene (4) via cleavage of the C9-C12 bond to generate
initially a ferrocenyl-stabilized cation. Treatment of 3a with sodium hydride and
iodomethane yields 1,4-dimethoxy-9-ferrocenyltriptycene (3c) in high yield but,
surprisingly, also leads to fission of the C9-C12 bond resulting, after
methylation, in the formation of 9-hydroxy-9-ferrocenyl-10-(2-hydroxy-5
methoxyphenyl)dihydroanthracene (12), which readily dehydrates on silica to form
9-ferrocenyl-10-(2-hydroxy-5-methoxyphenyl)anthracene (8). The X-ray crystal
structures of 3a, 3c and 4 are reported.
PMID- 22076755
TI - Enriching modern pharmacotherapy through synergy assessment for the combination
of natural products and synthetic drugs.
AB - 5-O-methylglovanon (5-O-MG) is a bioactive compound first isolated and
characterized from Glycosmis plants. In this issue, Zhou et al. evaluated the
anti-staphylococcal effects of 5-O-MG against ampicillin-resistant isolates of
Staphylococcus aureus and S. epidermidis. The authors showed that the combination
of 5-O-MG and ampicillin significantly increased the susceptibility of
Staphylococcus strains to the drugs by decreasing MICs with a comparable anti
staphylococcal effect to that of beta-lactamase inhibitors, suggesting that
herbal compounds such as 5-O-MG may be potential candidates for the inhibitor of
beta-lactamases. This study is another example of synergy assessment of natural
products in drug development to likely enrich modern pharmacotherapy.
PMID- 22076756
TI - A novel approach to cancer therapy using PX-478 as a HIF-1alpha inhibitor.
AB - Hypoxia-inducible factor-1alpha (HIF-1alpha) is a transcription factor produced
by tumor cells under hypoxic conditions, and a key regulator of a number of genes
important in cancer biology. Over-expression of HIF-1alpha in human tumors is
associated with poor prognosis and poor therapeutic outcomes and HIF-1alpha has
been suggested as a novel target for cancer therapy. This article provides a
review of PX-478 as the first novel HIF-1alpha inhibitor in clinical stage for
the treatment of solid tumors.
PMID- 22076757
TI - A new furostanol saponin from Asparagus cochinchinensis.
AB - A new furostanol saponin, (25S)-26-O-beta-D-glucopyranosyl-5beta-furost-20(22)-en
3beta, 15beta,26-triol-3-O-[alpha-L-rhamnopyranosyl-(1-4)]-beta-D:
glucopyranoside, namely, aspacochioside D (1) were isolated from Asparagus
cochinchinensis (Lour.) Merr, along with three known saponins, aspacochioside C
(2), (25S)-5beta-spirostan-3beta-yl-O-[O-alpha-L-rhamnopyranosyl-(1-4)]-beta-D
glucopyranoside (3), and pseudoprotoneodioscin (4). The structure of 1 was
elucidated on the basis of chemical reactions and spectral analysis (IR, GC, ESI
MS, (1)H-NMR, (13)C-NMR, DEPT, HMBC, HMQC and NOESY). The antiproliferative
effects of 1-4 were evaluated in a cytotoxicity assay against the human tumor
cell line, A549. Compound 2 (Aspacochioside C) exhibited moderate cytotoxicity
against A-549, with an IC(50) value of 3.87 MUg/mL.
PMID- 22076758
TI - Anti-inflammatory activity on LPS-stimulated dendritic cells of lupanetype
triterpenoids from the leaves of Acanthopanax koreanum.
AB - Acanthopanax koreanum is well known herb in traditional Korean, Chinese, and
Japanese anti-inflammatory action without any adverse effects. In the current
study, we investigated the inhibitory effects of isolated compounds 1-13 from the
leaves of A. koreanum on the lipopolysaccharide-stimulated production of pro
inflammatory cytokines in bone marrow-derived dendritic cells. Of these lupane
type triterpenoids, 1 exhibited particularly high inhibitory effect on
lipopolysaccharide-stimulated TNF-alpha, IL-6, and IL-12 production with the
values ranging from 45.0 to 84.5% at a concentration of 50 MUM. These results
warrant further studies concerning the potential anti-inflammatory benefits of
medicinal foods containing the leaves of A. koreanum.
PMID- 22076759
TI - Isolation of phytosterols and antihyperlipidemic activity of Lagenaria siceraria.
AB - Lagenaria siceraria (Molina) Standl in the Cucurbitaceae family is a large,
pubescent, climbing, or trailing herb cultivated throughout India and the
tropical regions of the world. Phytochemical investigation of the methanol
extract obtained from the fruits of the plant L. siceraria showed a positive
Liebermann-Burchard test for sterols. The white sterol crystals or phytosterols
from the methanol extract were isolated for the first time and identified as a
mixture of four sterols, including fucosterol (1), racemosol (2), stigmasterol
(3), and stigmasta-7,22-dien-3beta,4beta-diol (4). These compounds were
identified by spectroscopic evidence including FTIR, (1)H-NMR, MS, and GC. The
white sterol crystals, which are the mixture of four sterols, were evaluated for
antihyperlipidemic activity in Wistar rats. The blood samples were collected from
the retro-orbital plexus and serum was separated and analyzed for lipid profiles.
These sterol crystals (30 mg/kg) showed significant reductions in lipid profiles
which included cholesterol, triglycerides, LDL and VLDL. In addition, a
significant increase in HDL cholesterol observed, which is a good cholesterol
that protects hearts from coronary artery diseases. These sterol crystals or
phytosterols can be used as an antihyperlipidemic agent to treat the
hyperlipidemic.
PMID- 22076760
TI - Synthesis of novel quinoxalinone derivatives by conventional and microwave
methods and assessing their biological activity.
AB - In this study, twenty-one arylaminoquinoxalinone derivatives were synthesized and
their antibacterial activities against Staphylococci aureus, Pseudomonas aureus,
Escherichia coli, Bacillus subtilis, Salmonella typhi, and Shigella pneumoniae
were evaluated relative to known antibiotics; augmentin, ampicillin, and
chloramphenicol. The insecticidal activities of the prepared compounds were also
investigated against Tribolium castaneum using permethrin as a standard
insecticide. The derivatives were synthesized using both conventional and
microwave techniques. Their structures were confirmed using spectral techniques
and elemental analysis.
PMID- 22076761
TI - Synthesis of novel isatin-thiazoline and isatin-benzimidazole conjugates as anti
breast cancer agents.
AB - A series of new isatin-thiazoline 3a-h and isatin-benzimidazole 4a-h derivatives
were synthesized via condensation of isatin Mannich bases 2a-h with either 2
aminothiazoline or 2-aminobenzimidazole. The structures of the newly synthesized
compounds were characterized by spectral data. The anti-breast cancer activity of
some of the synthesized compounds was assessed in the MCF-7 human breast cancer
cell line. The results showed that compounds 4b, 4d and 4g possess significant
antiproliferative activity against MCF-7 cells.
PMID- 22076762
TI - DNA binding, antiviral activities and cytotoxicity of new furochromone and
benzofuran derivatives.
AB - Bromination of visnagin (1) afforded 9-bromovisnagin (2) which on its alkaline
hydrolysis afforded the 3-acetyl benzofuran derivative (3). The condensation of
(3) with hydrazine hydrate, phenylhydrazine and/or hydroxylamine hydrochloride
afforded the corresponding pyrazole derivatives (4a, b) and isoxazole derivative
(4c). On the other hand, when compound 3 was condensed with some aromatic
aldehydes, this yielded corresponding alpha, beta-unsaturated keto derivatives
(5a-e). Furthermore, when 1 was subjected to chlorosulfonation, the
visnaginsulfonylchloride derivative 6 was afforded, which on amidation using
morpholine, a sulonamido derivative (7) was obtained. Alkaline hydrolysis of the
latter compound yielded 7-N-morpholinosulsamidobenzofuran (8) which was condensed
with some aromatic aldehydes to yield the corresponding chalcone compounds (9a
e). Demethylation of visnagin afforded norvisnagin (10). The reaction of 10 with
ethylbromoacetate in dry acetone yielded the ester benzopyran derivative (11)
which reacted with hydrazine hydrate to afford the corresponding hydrazide
derivative (12) and this was condensed with 3,4,5-trimethoxybenzaldehyde to give
the corresponding hydrazone (13). A thaizolidinone derivative (14) was obtained
by condensation of (13) with thioglycolic acid. Chloromethylation of norvisnagin
afforded a 4-chloromethyl derivative (15) which reacted with different primary
and secondary amines to yield the corresponding ethylamino derivative (16a, b).
Moreover, mannich bases (16a, b) and (17a-c) were obtained by reacting
norvisnagin with different primary and secondary amines in the presence of
formalin but benzoylation of (16a, b) and (17a-c) afforded 4-oxybenzoyl
derivative (18a-e). The prepared compounds were tested for their interaction with
DNA; bromovisnagin 2 showed the highest affinity and compounds 6, 15, 8a, > 14, >
16b, 17a, and 16a showed moderate activity in decreasing potency. Moreover,
compound 2 also was the most active as antiviral agent toward HS-I virus and
compounds 6, 7, 15, 14, 16a, and 18a were found to be moderately active. CD(50)
of the active compounds were also measured.
PMID- 22076763
TI - A beta-resorcylic macrolide from the seagrass-derived fungus Fusarium sp. PSU
ES73.
AB - A new beta-resorcylic macrolide, 5'-hydroxyzearalenone (1), and six known beta
resorcylic macrolides were isolated from the seagrass-derived fungus Fusarium sp.
PSU-ES73. Their structures were established by analysis of spectral data. All of
the isolated compounds were evaluated for their antibacterial activity against
Staphylococcus aureus, both standard and methicillin-resistant strains, as well
as their antifungal activity against Cryptococcus neoformans. Only the known
compound zearalenone (2) displayed weak antibacterial and antifungal activities.
PMID- 22076764
TI - Synthesis of novel 4-(1H-benzimidazol-2-yl)benzene-1,3-diols and their cytotoxic
activity against human cancer cell lines.
AB - One-pot synthesis of new biologically active 4-(1H-benzimidazol-2-yl)benzene-l,3
diols has been developed. The compounds were prepared by the reaction of aryl
modified sulfinylbis[(2,4-dihydroxyphenyl)methanethione]s with benzene-l,2
diamines. Their structures were identified using elemental, IR, (1)H-NMR, and
mass spectra analyses. The developed method offers short reaction times,
relatively large-scale synthesis, easy and quick isolation of the products, and
good yields. The cytotoxicity in vitro against the 4 human cancer cell lines:
SW707 (rectal), HCV29T (bladder), A549 (lung), and T47D (breast) was determined.
The antiproliferative properties of some compounds studies were stronger than
those of cisplatin, which was used as a comparator drug.
PMID- 22076765
TI - Synthesis and antifungal activity of novel triazole derivatives.
AB - A series of novel azoles (a-v), which are analogues of fluconazole, have been
designed and synthesized as potential antifungal agents by the click reaction.
The click reaction approach toward the synthesis of novel 1,2,3-triazolyl linked
triazole antifungal derivatives a-v was achieved by Cu(I)-catalyzed 1,3-dipolar
cycloaddition of propargylated intermediate 5 with substituted azidomethyl
benzene. In addition, the target compounds tested can increase antifungal
activity.
PMID- 22076766
TI - Effects of vegetative and flowering stages on the biosynthesis of artemisinin in
Artemisia species.
AB - Artemisinin is an endoperoxide sesquiterpene lactone, and has been proven to be
very effective in treating drug resistant cases of malaria, cancer, etc. The
compound is obtained from Artemisia species. In the current study, the effects of
vegetative and flowering stages on artemisinin production were studied, to
determine the proper harvesting time of naturally growing Artemisia species with
the highest levels of artemisinin. Eight Artemisia species along with two
varieties were selected for this analytical work. The results showed that
artemisinin content was high in the leaves of Artemisia indica, A. sieversiana,
A. roxburghiana var. roxburghiana, A. roxburghiana var. gratae, and A. parviflora
at the flowering stage. The highest artemisinin content was measured in the
leaves of A. dracunculus var. dracunculus. Upon comparisons of artemisinin
content among the individual plant species, the highest amount of artemisinin was
again in A. dracunculus var. dracunculus followed by A. sieversiana when
harvested at the flowering stage. In overall comparisons, the plants at the
flowering stage showed high levels of artemisinin, which is deemed the optimum
harvesting time of Artemisia species in Pakistan for maximum artemisinin content.
PMID- 22076767
TI - Moxifloxacin-Gelrite in situ ophthalmic gelling system against photodynamic
therapy for treatment of bacterial corneal inflammation.
AB - In this study, six in situ gelling formulations based on Gelrite were prepared
and evaluated for the retained ophthalmic delivery of Moxifloxacin (Mox). The
effectiveness of the best developed formula G5 was compared with photodynamic
therapy (PDT), the recent expanding approach for the treatment of ophthalmologic
disorders after the assessment of optimum photodynamic inactivation parameters
that permit efficient pathogens eradication. It was found that, Staphylococcus
aureus (S. aureus) (Gram-positive) was more susceptible to effective lethal
photosensitization that reaches 93.5% reduction in viable count than Escherichia
coli (E. coli) (Gramnegative) of 76.1% using 3 mg/mL Hematoporphyrin (HP),
illuminated by 630 nm Light Emitting Diode (LED) at 9 J/cm(2) and incubated for
15 min. Following topical instillation of G5 to rabbits corneas, higher amount of
Mox was retained in the aqueous humor up to 24 h with significant 6-fold increase
in the C(max) and AUC((0-infinity)) compared to vigamox commercial eye drops.
After post corneal infection with S. aureus, both approaches were effectively
treating the infection without causing ocular irritation or collateral damage to
corneal tissue where G5 showed remarkable improvement after four days compared to
seven days of PDT treatment.
PMID- 22076768
TI - Anti-P-glycoprotein conjugated nanoparticles for targeting drug delivery in
cancer treatment.
AB - Targeting therapeutics to specific sites can enhance the efficacy of drugs,
reduce required doses as well as unwanted side effects. In this work, using the
advantages of the specific affinity of an immobilized antibody to membrane P-gp
in two different nanoparticle formulations were thus developed for targeted drug
delivery to multi-drug resistant cervical carcinoma (KB-V1) cells. Further, this
was compared to the human drug sensitive cervical carcinoma cell line (KB-3-1)
cells. The two nanoparticle preparations were: NP1, anti-P-gp conjugated with
poly (DL-lactic-coglycolic acid) (PLGA) nanoparticle and polyethylene glycol
(PEG); NP2, anti-P-gp conjugated to a modified poloxamer on PLGA nanoparticles.
The cellular uptake capacity of nanoparticles was confirmed by fluorescent
microscopy. Comparing with each counterpart core particles, there was a higher
fluorescence intensity of the targeted nanoparticles in KBV1 cells compared to KB
3-1 cells suggesting that the targeted nanoparticles were internalized into KB-V1
cells to a greater extent than KB-3-1 cell. The results had confirmed the
specificity and the potential of the developed targeted delivery system for
overcoming multi-drug resistance induced by overexpression of P-gp on the cell
membrane.
PMID- 22076769
TI - Formulation, development, and performance evaluation of metoclopramide HCl oro
dispersible sustained release tablet.
AB - The present study was undertaken to develop and evaluate an oro-dispersible,
sustained release tablet of metoclopramide HCl. The technology was comprised of
developing sustained release microparticles, and compression of resultant
microspheres into a fast dispersible tablet by direct compression. The
microspheres of metoclopramide HCl were prepared by an emulsification-solvent
evaporation method using ethylcellulose as the matrix polymer. The prepared
microspheres were evaluated for morphology, particle size, entrapment efficiency,
and in vitro drug release characteristics. Scanning electron microscopy
demonstrated spherical particles with a mean diameter of 81.27 +/- 5.87 MUm and
the drug encapsulation efficiency was found to be 70.15 +/- 3.06%. The process
and formulation variables such as rotation speed, polymer concentration, and drug
concentration influenced the drug encapsulation efficiency and in vitro drug
release. Optimized microspheres were compressed into tablets which were comprised
of metoclopramide HCl microspheres, 53% (w/v) of D-mannitol granules, 7% (w/w) of
Polyplasdone XL 10, and 0.5% (w/w) of calcium stearate. The tablets demonstrated
a hardness of 59 +/- 3 N, friability of 0.21% and disintegration time of 27 +/- 3
sec. The formulations were subjected to stability studies as per ICH guidelines
and were found to be stable after a 6 month study. In vivo experiments conducted
in rats demonstrated that a constant level of metoclopramide HCl in plasma could
be maintained for up to 20 h at a suitable concentration for antiemetic activity.
An appropriate combination of excipients made it possible to obtain orally
disintegrating sustained release tablets of metoclopramide HCl using simple and
conventional techniques.
PMID- 22076770
TI - Transmucosal delivery of domperidone from bilayered buccal patches: in vitro, ex
vivo and in vivo characterization.
AB - Bilayered mucoadhesive buccal patches for systemic administration of domperidone
(DOM), a dopamine-receptor (D(2)) antagonist, were developed using hydroxy propyl
methyl cellulose and PVPK30 as a primary layer and Eudragit RLPO and PEO as a
secondary layer. Ex vivo drug permeation through porcine buccal membrane was
performed. Bilayered buccal patches were developed by solvent casting technique
and evaluated for in vitro drug release, moisture absorption, mechanical
properties, surface pH, in vitro bioadhesion, in vivo residence time and ex vivo
permeation of DOM through porcine buccal membrane from a bilayered buccal patch.
Formulation DB4 was associated with 99.5% drug release with a higuchi model
release profile and 53.9% of the drug had permeated in 6 h, with a flux of 0.492
mg/h/cm(2) through porcine buccal membrane. DB4 showed 5.58 N and 3.28 mJ peak
detachment force and work of adhesion, respectively. The physicochemical
interactions between DOM and the polymer were investigated by differential
scanning calorimetry (DSC) and fourier transform infrared (FTIR) Spectroscopy.
DSC and FTIR studies revealed no interaction between drug and polymer. Stability
studies for optimized patch DB4 was carried out at 40 degrees C/75% relative
humidity. The formulations were found to be stable over a period of 3 months with
respect to drug content, in vitro release and ex vivo permeation through porcine
buccal membrane. The results indicate that suitable bilayered mucoadhesive buccal
patches with desired permeability could be prepared.
PMID- 22076771
TI - Development of coated nifedipine dry elixir as a long acting oral delivery with
bioavailability enhancement.
AB - To develop the long acting nifedipine oral delivery with bioavailability
enhancement, a nifedipine dry elixir (NDE) containing nifedipine ethanol solution
in dextrin shell was prepared using a spray-dryer, and then coated nifedipine dry
elixir (CNDE) was prepared by coating NDE with Eudragit acrylic resin. The
physical characteristics and bioavailability of NDE and CNDE were evaluated, and
then compared to those of nifedipine powder. NDE and CNDE, which were spherical
in shape, had about 6.64 and 8.68-8.75 MUm of geometric mean diameters,
respectively. The amount of nifedipine dissolved from NDE for 60 min increased
about 7- and 40-fold compared to nifedipine powder in pH 1.2 simulated gastric
fluid and pH 6.8 simulated intestinal fluid, respectively. Nifedipine released
from CNDE was retarded in both dissolution media compared with that from NDE.
After oral administration of NDE, the C(max) and AUC(0->8h) of nifedipine in rat
increased about 13- and 7-fold, respectively, and the Tmax of nifedipine was
reduced significantly compared with those after oral administration of nifedipine
powder alone. The AUC(0->8h) and T(max) of nifedipine in CNDE increased markedly
and the C(max) of nifedipine in CNDE was significantly reduced compared to those
in NDE. It is concluded that CNDE, which could lower the initial burst-out plasma
concentration and maintain the plasma level of nifedipine over a longer period
with bioavailability enhancement, might be one of potential alternatives to the
marketed long acting oral delivery system for nifedipine.
PMID- 22076772
TI - Effect of pluronic P123 and F127 block copolymer on P-glycoprotein transport and
CYP3A metabolism.
AB - The aim of the present study was to evaluate the effect of pluronic P123 (P123)
and pluronic F127 (F127) on intestinal P-glycoprotein (P-gp) and cytochrome P450
3A using the specific substrates rhodamine-123 (R-123) and midazolam,
respectively. Caco-2 cells and everted gut sacs were used as models of intestinal
mucosa to assess intestinal absorption of R-123, while rat intestinal microsomes
were utilized to examine the effect of P123 and F127 on in vitro midazolam
metabolism. P123 and F127 were observed to increase the intracellular
accumulation of R-123 in Caco-2 cells in a dose-dependent manner. P123
significantly lowered the efflux ratio of R-123 at two concentrations in Caco-2
monolayers, whereas F127 lowered the efflux ratio only at 1%. Moreover, both
pluronics markedly enhanced mucosal to serosal absorption of R-123 in excised
ileum of rats. However, no significant difference in relative enzyme activity
were observed between P123- or F127-treated and control groups, regardless of the
concentrations of P123 and F127 studied. Collectively, these results obtained
from the present study demonstrated that P123 and F127 were capable of inhibiting
the intestinal P-gp activity, but had little or no effect on intestinal
cytochrome P450 3A activity, indicating that P123 and F127 can potentially be
used as pharmaceutical ingredients to improve the oral bioavailability of
coadministered P-gp substrates via P-gp efflux pump inhibition.
PMID- 22076773
TI - Effects of morin on the pharmacokinetics of docetaxel in rats with 7,12
dimethylbenz[a]anthracene (DMBA)-induced mammary tumors.
AB - Docetaxel is a P-glycoprotein (P-gp) substrate and metabolized via cytochrome
P450 (CYP) 3A subfamily in rats. Morin is an inhibitor of both CYPs and P-gp.
Hence, the effects of morin on the intravenous and oral pharmacokinetics of
docetaxel were investigated using 7,12-dimethylbenz[a]anthracene (DMBA)-induced
mammary tumor rats (DMBA rats) as an animal model of human breast cancer.
Docetaxel was administered intravenously (4 mg/kg) and orally (20 mg/kg) without
and with morin (15 mg/kg) in DMBA rats. After the intravenous administration of
docetaxel in control and DMBA rats with and without morin, the values of non
renal clearance and area under the plasma concentration-time (AUC) for docetaxel
were comparable. Morin did not increase AUC or the absolute oral bioavailability
(F) for docetaxel after the oral administration of docetaxel in control and DMBA
rats with and without morin. The inhibition of hepatic and intestinal metabolism
of docetaxel by morin and/or DMBA and the effect of intestinal P-gp inhibition by
morin on the pharmacokinetics of docetaxel did not seem to be considerable in
DMBA-induced mammary tumor rats.
PMID- 22076774
TI - Gene network analysis on the effect of Viscum album var. coloratum in T cells
stimulated with anti-CD3/CD28 antibodies.
AB - A galactose- and N-acetyl-D-galactosamine-specific lectin (Viscum album L. var.
coloratum agglutinin, VCA), which is known for its anticancer activity, was
isolated from Korean mistletoe. This study reports a microarray analysis of the
effects of VCA on an activated human T cells under various times and
concentrations. A total of over 3000 genes were identified whose expression
levels were significantly altered against controls after treatment with VCA and
anti-CD3/CD28 antibody stimulation on human T-cells over an 8 h period. An
analysis of the gene expression profile induced by VCA following incubation in
human T cells revealed the activation and inhibition of genes involved in a wide
range of immune functions in line with the broad mechanisms of action of VCA.
These functions include cytokine gene expression, cell adhesion, cell motility,
cell growth and maintenance, cell death, and the response to stress and to
external stimulus. This report is aimed at providing the mistletoe research
community with a robust database on which further studies could be built.
PMID- 22076775
TI - In vitro synergistic interaction of 5-O-methylglovanon and ampicillin against
ampicillin resistant Staphylococcus aureus and Staphylococcus epidermidis
isolates.
AB - 5-O-methylglovanon (5-O-MG) is a bioactive compound that was first isolated and
characterized from Glycosmis plants. In this study, we found that chemically
synthesized 5-O-MG has antimicrobial ability against eleven clinical ampicillin
resistant Staphylococcus aureus and S. epidermidis isolates. The MICs of 5-O-MG
against the S. aureus and S. epidermidis isolates were 12.5-50 MUg/mL and 25-50
MUg/mL, respectively. In combination with ampicillin, a synergistic interaction
between 5-O-MG and ampicillin against the eleven resistant Staphylococcus
isolates was observed, with fractional inhibitory concentration indices of 0.03
0125. Moreover, the anti-staphylococcal activity of 5-O-MG in combination with
ampicillin was comparable with that of clavulanic acid in combination with
ampicillin. The drug combination had no antagonistic effects when tested against
any of the strains. Time-killing assays confirmed the synergy between 5-O-MG and
ampicillin (p < 0.01). The combination of these two agents yielded greater than a
2 log(10) cfu/mL decrease in comparison with 5-O-MG or ampicillin alone. These
findings suggest that 5-O-MG is a promising compound with the potential for
future anti-staphylococcal drug development.
PMID- 22076776
TI - The mechanism of MAP kinase activation under acidic condition in feline
esophageal smooth muscle cells.
AB - Reflux esophagitis results from repeated exposure of the esophagus to acidic
gastric juice or bile-containing duodenal contents. In Barrett's adenocarcinoma,
acid increases proliferation via ERK and p38 MAPK activation. This study was
focused on determination of the mechanism(s) underlying MAPKs (ERK 1/2, p38 MAPK,
and JNK) activation induced by acidic medium at pH 4 in normal feline primary
cultured esophageal smooth muscle cells (FESMCs). We detected ERK 1/2 and p38
MAPK phosphorylation after exposure to pH 4 or neutral media in the presence or
absence of several inhibitors and quantified the MAPK levels using western
blotting analysis and densitometry. Acidic medium markedly increased the
phosphorylation of ERK 1/2 and p38 MAPK within 10 min. Acid-induced ERK 1/2 and
p38 MAPK activation was inhibited by pertussis toxin (PTX-sensitive G(i/o)
protein inhibitor), DEDA (phospholipase (PL) A(2) inhibitor), rhoCMB (PLD
inhibitor), GF109203X (protein kinase C (PKC) inhibitor) and D609
(phosphatidylcholine-specific PLC inhibitor). But, genistein (tyrosine kinase
inhibitor), forskolin (adenylate cyclase activator) and U73122
(phosphatidylinositol-specific PLC inhibitor) had no effect on acid-induced
ERK1/2 and p38 MAPK activation. These findings indicate that the activation of
ERK 1/2 and p38 MAPK pathways by acidic conditions, at least in part, may be
mediated by activation of the G(i/o) protein coupled receptors, PC-PLC, PLD,
PLA(2), and PKC in FESMCs.
PMID- 22076778
TI - Influence of ramiprilat and losartan on ischemia reperfusion injury in rat
hearts.
AB - HYPOTHESIS/INTRODUCTION: Our aim was to investigate whether a non-hypotensive
dose of ramiprilat and losartan has myocardial protective effects during
myocardial ischemia/reperfusion in vivo. MATERIALS AND METHODS: Three groups of
rats were given 10 mg/kg per day of losartan for one (L-1W), four (L-4W) or 10 (L
10W) weeks. Another three groups were given 50 ug/kg per day of ramiprilat for
one (R-1W), four (R-4W) or 10 (R-10W) weeks. The animals underwent 30 min of left
anterior descending artery occlusion and subsequent reperfusion for 120 min.
RESULTS: Myocardial infarct size (IS) was reduced in R-1W (28.4 +/- 6.3%, p <
0.001), R-4W (27.8 +/- 7.4, p < 0.001), L-4W (31.8 +/- 6%, p < 0.05) and L-10W
(25.3 +/- 5.7, p < 0.001) groups compared with a saline group (48.3 +/- 7.8%). A
significant reduction in the number of ventricular ectopic beats (VEBs) was noted
in groups R-1W (209 +/- 41, p < 0.01), R-4W (176 +/- 39, p < 0.01), L-4W (215 +/-
52, p < 0.05) and L-10W (191 +/- 61, p < 0.01 vs. saline 329 +/- 48). The
incidence of irreversible ventricular fibrillation (VF) and mortality were
decreased significantly only in L-10W group. There were no significant decreases
in episodes of VT, the incidence of irreversible VF and mortality in all of the
groups treated with ramiprilat. CONCLUSION: These data indicate that losartan and
ramiprilat protect the heart against ischemia/reperfusion injury independently of
their hemodynamic effects but in a time-dependent manner.
PMID- 22076779
TI - Highly active Pt@Au nanoparticles encapsulated in perfluorosulfonic acid for the
reduction of oxygen.
AB - The Pt@Au catalysts demonstrate remarkably high oxygen reduction reaction (ORR)
activity compared with Pt/C catalysts. The ORR of Pt(2)@Au(1)/C and Pt(1)@Au(2)/C
is 9.5 and 6.6 times that of Pt/C, respectively. This improvement is attributed
to the electronic structure effect of the Au core on the Pt shell and
introduction of PFSA.
PMID- 22076780
TI - [Influence of nosocomial infections on resection of tracheal stenosis after
tracheotomy].
AB - BACKGROUND: Complications located at the tracheostoma often occur in combination
with nosocomial infections. We posed the question: how is the surgical result in
tracheal resections influenced by bacterial contamination with multiresistant
germs? PATIENTS AND METHODS: Between 2005 and 2009 we performed a primary end-to
end-resection of the trachea after tracheotomy in 30 patients. The demographic
basic data, the diagnostic data on tracheal stenosis after decanullation and type
of tracheotomy were documented. Preoperatively all patients underwent a flexible
bronchoscopy with bronchial lavage. All patients received an antibiotic
inhalation therapy postoperatively. RESULTS: 16 patients presented a status post
permanent tracheotomy (PT), in 14 cases after percutaneous dilatative tracheotomy
(PDT). In 64 % of all cases the preoperative bronchial lavage was positive for
bacterial contamination. The major pathogen was with 23 % a multiresistant
Pseudomonas aeruginosa (MR). In three cases long-term-complications occurred, all
of which were bacterially contaminated. CONCLUSION: After long-term intubation a
bacterial contamination is very common and presents a negative predictor for the
outcome of primary tracheal end-to-end resections. A prophylactic postoperative
antibiotic therapy can improve the short- and long-term results.
PMID- 22076781
TI - [Implementing in-patient smoking cessation interventions. Basic characteristics
of smoking patients in a lung health department].
AB - BACKGROUND: Hospitals have a unique key role in promoting smoking cessation.
However, cessation interventions are uncommon in clinical routine despite their
proven effectiveness. For planning a tailored intervention for hospitalised
patients we examined the characteristics of smokers in our department for lung
diseases. METHODS AND PATIENTS: From July to September 2009 we evaluated the
smoking status of all admitted patients. The smoking status was validated by
measuring the CO-Hb. Smokers admitted for the first time on one of our regular
wards received a comprehensive questionnaire. Patients with a duration of stay of
2 days or less and patients with substantial cognitive or linguistic limitations
were excluded. Clinical data was collected from the participating smokers.
RESULTS: 25% of all admitted patients were smokers. The participation rate was
almost 90% of the eligible smokers. Our questionnaire was very well accepted und
provided multitude helpful information for a following cessation counselling. Up
to 3 or 4 smokers per day should be anticipated for a cessation intervention at
an 80-bed-hospital. At least one counselling contact could be enabled. Although
75% of participants had experienced at least one unsuccessful quit attempt, only
a minority used any support or help for cessation so far. CONCLUSIONS: Specific
questionnaires to evaluate the smoking history of patients in hospitals are very
suitable and facilitate a subsequent bedside-counseling. To come up with their
key role in promoting smoking cessation more hospitals as yet should implement
cessation interventions.
PMID- 22076782
TI - [Endoscopy of peripheral lung nodule].
AB - Pulmonary nodules of unknown dignity, often incidental findings, still present a
diagnostic challenge. Next to operative resection, we find minimal invasive
therapies such as transthoracal punctions and bronchoscopy with transbronchial as
further options. By using modern navigation techniques, the validity of
bronchoscopy in diagnosing pulmonary nodules, compared to x-ray fluoroscopy, has
already been improved. Thus, the use of endobronchial ultrasound, electromagnetic
navigation and virtual bronchoscopy--even without x-ray fluoroscopy--is possible
and the combination augments sensitivity. These techniques already rate high in
patients with unclear peripheral pulmonary nodules and high risk profiles to
surgical operations, thus not to be operated, but still in need of further
diagnostic procedures in order to plan therapy options. A future use of
endoscopic therapies may possibly be a first approach.
PMID- 22076783
TI - Multifunctional mesoporous composite nanocapsules for highly efficient MRI-guided
high-intensity focused ultrasound cancer surgery.
AB - Bloodless surgical knife: Nano-biotechnology has been introduced into imaging
guided high-intensity focused ultrasound (HIFU) cancer surgery by adopting
engineered multifunctional manganese-based mesoporous composite nanocapsules as
the contrast agents for T(1)-weighted magnetic resonance imaging (MRI) and
simultaneously as synergistic agents for MRI-guided HIFU cancer surgery.
PMID- 22076784
TI - Increased frequency of complement C4B deficiency in rheumatoid arthritis.
AB - OBJECTIVE: To assess the copy number variation of complement C4A and C4B genes in
patients with rheumatoid arthritis (RA). METHODS: DNA samples were obtained from
299 patients and controls and analyzed for copy number variation of total
complement C4, C4A, and C4B genes. The results were compared by chi-square
analysis, and odds ratios (ORs) and 95% confidence intervals (95% CIs) were
calculated. RESULTS: Chi-square analysis revealed similar distribution patterns
of total C4 alleles in RA patients (n = 160), non-RA patients (n = 88), and
healthy controls (n = 51). There was no trend toward C4A deficiency as in lupus.
Significant differences in C4B distribution were observed in RA patients, in whom
an ~2-fold increase in the frequency of homozygous and/or heterozygous C4B
deficiency (0 or 1 allele) (40%) was present relative to non-RA patients or
healthy controls (both 21.6%). C4B deficiency was more frequent in seropositive
RA patients than in seronegative RA patients (44% versus 31%). The odds of C4B
deficiency were 2.99 (95% CI 1.58-5.65) (P = 0.0006) in seropositive RA patients
relative to non-RA controls. These findings were confirmed in a larger healthy
control cohort, yielding an OR of 1.83 (95% CI 1.21-2.76) (P = 0.0056). The
association of the shared epitope with C4B deficiency was significantly greater
in seropositive RA patients than in non-seropositive RA controls (96% versus
54.5%) (P < 0.0001), suggesting that C4B deficiency interacts with the shared
epitope in the development of seropositive RA. CONCLUSION: Our findings indicate
a relationship between C4B copy number variation and RA that approximates that
seen between C4A copy number variation and lupus. The concurrence of C4B
deficiency and the shared epitope in seropositive RA may have broad implications
for our understanding of RA pathogenesis.
PMID- 22076785
TI - Phase II trial of capecitabine plus cisplatin as first-line therapy in patients
with metastatic nasopharyngeal cancer.
AB - BACKGROUND: Capecitabine is an oral fluoropyrimidine with single-agent activity
in metastatic nasopharyngeal carcinoma (NPC). This multicenter phase II study was
conducted to investigate the efficacy and safety of capecitabine plus cisplatin
as a first-line treatment for metastatic NPC. METHODS: Patients with metastatic
NPC received cisplatin 100 mg/m(2) day 1 plus capecitabine 1000 mg/m(2) twice
daily on days 1 to 14 every 3 weeks for 6-8 cycles. The primary endpoint was
overall response rate. RESULTS: Forty-four patients were enrolled; 39 patients
were evaluable for efficacy. The overall response rate was 53.8% (95% confidence
interval [CI], 37%-70%), including 1 complete response. Median time to tumor
progression was 7.3 months (95% CI, 5.6-9.9 months) and median overall survival
was 28.0 months (95% CI, 14.5 months-not reached). Common grade 3/4 adverse
events were neutropenia (50%), vomiting (11%), thrombocytopenia (9%), and nausea
(7%). CONCLUSIONS: Capecitabine plus cisplatin is an active first-line
combination in metastatic NPC that requires a short hospital stay.
PMID- 22076786
TI - Is the Nail Psoriasis Severity Index reliable in the assessment of nail psoriasis
by rheumatologists?
AB - OBJECTIVE: To determine the agreement and reliability of the Nail Psoriasis
Severity Index (NAPSI) in the assessment of nail involvement in patients with
psoriatic arthritis (PsA) when performed by rheumatologists with no experience in
using this instrument. METHODS: In total, 3 women with PsA, satisfying the
Classification of Psoriatic Arthritis Study Group criteria, with nail involvement
were selected from an outpatient clinic devoted to PsA. The assessors consisted
of 2 groups: 8 expert rheumatologists in the field of PsA who were members of the
Group for Research and Assessment of Psoriasis and Psoriatic Arthritis and had
extensive experience of >10 years, and 69 rheumatologists who had never
previously used the NAPSI. A video showing the nail of each selected patient
(patient A, patient B, and patient C) with the most nail PsA dystrophy was shown
to these 2 groups. The 8 assessors of the first group, previously trained in
using the NAPSI, evaluated the 3 videos independently by using the NAPSI score.
The second group scored the NAPSI after an educational session. This evaluation
was repeated after 6 hours with a different sequence of videos (unpaired
fashion). Interreader and intrareader reliability were estimated by calculating
intraclass correlation coefficients (ICCs) and associated 95% confidence
intervals (95% CIs). RESULTS: The interreader reliability showed ICC 0.934 (95%
CI 0.7504-0.9983). Intrareader reliability showed ICC 0.463 (95% CI 0.134-0.668),
ICC 0.148 (95% CI 0.3767-0.4722), and ICC 0.354 (95% CI 0.0425-0.600) for patient
A, patient B, and patient C, respectively. CONCLUSION: These results show that
the NAPSI may be an unreliable instrument to assess nail involvement when used by
untrained rheumatologists in clinical practice.
PMID- 22076787
TI - Application of a classification system focusing on potential asphyxia for cases
of sudden unexpected infant death.
AB - Current classification schemes for sudden unexpected infant death (SUID) may not
be optimal for capturing scene events that potentially predispose to asphyxia.
(1) To compare causes of death in a group of SUID cases assigned by multiple
reviewers using our recently published classification scheme for SUID that is
based on asphyxial risk at the death scene, and (2) To compare these newly
assigned causes of death to that originally assigned by the medical examiners of
record who performed the autopsies. Five reviewers independently assigned causes
of death for 117 cases of SUID, including 83 originally diagnosed as sudden
infant death syndrome (SIDS), accessioned into the San Diego SIDS/SUDC Research
Project from the San Diego County Medical Examiner's Office. The diagnostic
categories are: A: SIDS; B: Unexplained-Potentially Asphyxia; C: Unexplained
Other Potential Causes of Death; D: Unclassified-Other; E: Unclassified; and F:
Known Cause of Death. The reviewers collectively opined that conditions at the
death scene contributed to or caused death in 32-50% of all of the 117 cases as
well as in 40-59% of the 83 originally diagnosed SIDS cases. Another cause of
death was considered plausible in 2-12% of the SIDS cases. Application of this
new classification system resulted in 55-69% decrease in SIDS diagnoses. Asphyxia
as a potential contributor to, or as the specific cause of death, appears to
exist in a large percentage of cases designated as SIDS using other
classification schemes. When certifiers use a classification system that focuses
upon potential asphyxia in determining the cause of death the incidence of SIDS
dramatically declines.
PMID- 22076788
TI - Sudden unexpected death in infancy (SUDI): suggested classification and
applications to facilitate research activity.
PMID- 22076790
TI - On the mode of action of the herbicides cinmethylin and 5-benzyloxymethyl-1, 2
isoxazolines: putative inhibitors of plant tyrosine aminotransferase.
AB - BACKGROUND: The mode of action of the grass herbicides cinmethylin and 5
benzyloxymethyl-1,2-isoxazolines substituted with methylthiophene (methiozolin)
or pyridine (ISO1, ISO2) was investigated. RESULTS: Physiological profiling using
a series of biotests and metabolic profiling in treated duckweed (Lemna
paucicostata L.) suggested a common mode of action for the herbicides. Symptoms
of growth inhibition and photobleaching of new fronds in Lemna were accompanied
with metabolite changes indicating an upregulation of shikimate and tyrosine
metabolism, paralleled by decreased plastoquinone and carotenoid synthesis.
Supplying Lemna with 10 uM of 4-hydroxyphenylpyruvate (4-HPP) reversed phytotoxic
effects of cinmethylin and isoxazolines to a great extent, whereas the addition
of L-tyrosine was ineffective. It was hypothesised that the herbicides block the
conversion of tyrosine to 4-HPP, catalysed by tyrosine aminotransferase (TAT), in
the prenylquinone pathway which provides plastoquinone, a cofactor of phytoene
desaturase in carotenoid synthesis. Accordingly, enhanced resistance to ISO1
treatment was observed in Arabidopsis thaliana L. mutants, which overexpress the
yeast prephenate dehydrogenase in plastids as a TAT bypass. In addition, the
herbicides were able to inhibit TAT7 activity in vitro for the recombinant enzyme
of A. thaliana. CONCLUSION: The results suggest that TAT7 or another TAT
isoenzyme is the putative target of the herbicides.
PMID- 22076791
TI - Blood exchange and malaria.
PMID- 22076793
TI - FIB preparation and SEM investigations for three-dimensional analysis of cell
cultures on microneedle arrays.
AB - We report the investigation of the interfaces between microneedle arrays and cell
cultures in patch-on-chip systems by using Focused Ion Beam (FIB) preparation and
Scanning Electron Microscopy (SEM). First, FIB preparations of micro chips are
made to determine the size and shape of the designed microneedles. In this essay,
we investigate the cell-substrate interaction, especially the cell adhesion, and
the microneedle's potential cell penetration. For this purpose, cross-sectional
preparation of these hard/soft hybrid structures is performed by the FIB
technology. By applying the FIB technology followed by high-resolution imaging
with SEM, new insights into the cell-substrate interface can be received. One can
clearly distinguish between cells that are only in contact with microneedles and
cells that are penetrated by microneedles. A stack of slice images is collected
by the application of the slice-and-view setup during FIB preparation and is used
for three-dimensional reconstruction of cells and micro-needles.
PMID- 22076792
TI - Multimodal magnetic resonance imaging: The coordinated use of multiple, mutually
informative probes to understand brain structure and function.
AB - Differing imaging modalities provide unique channels of information to probe
differing aspects of the brain's structural or functional organization. In
combination, differing modalities provide complementary and mutually informative
data about tissue organization that is more than their sum. We acquired and
spatially coregistered data in four MRI modalities--anatomical MRI, functional
MRI, diffusion tensor imaging (DTI), and magnetic resonance spectroscopy (MRS)-
from 20 healthy adults to understand how interindividual variability in measures
from one modality account for variability in measures from other modalities at
each voxel of the brain. We detected significant correlations of local volumes
with the magnitude of functional activation, suggesting that underlying variation
in local volumes contributes to individual variability in functional activation.
We also detected significant inverse correlations of NAA (a putative measure of
neuronal density and viability) with volumes of white matter in the frontal
cortex, with DTI-based measures of tissue organization within the superior
longitudinal fasciculus, and with the magnitude of functional activation and
default-mode activity during simple visual and motor tasks, indicating that
substantial variance in local volumes, white matter organization, and functional
activation derives from an underlying variability in the number or density of
neurons in those regions. Many of these imaging measures correlated with measures
of intellectual ability within differing brain tissues and differing neural
systems, demonstrating that the neural determinants of intellectual capacity
involve numerous and disparate features of brain tissue organization, a
conclusion that could be made with confidence only when imaging the same
individuals with multiple MRI modalities.
PMID- 22076794
TI - Biophysical and cellular-uptake properties of mixed-sequence pyrrolidine-amide
oligonucleotide mimics.
AB - Previously we introduced the positively charged pyrrolidine-amide oligonucleotide
mimics (POM), which possess a pyrrolidine ring and amide linkage in place of the
sugar-phosphodiester backbone of natural nucleic acids. Short POM homo-oligomers
have shown promising DNA and RNA recognition properties. However, to better
understand the properties of POM and to assess their potential for use as
modulators of gene expression and bioanalytical or diagnostic tools, more
biologically relevant, longer, mixed-sequence oligomers need to be studied. In
light of this, several mixed-sequence POM oligomers were synthesised, along with
fluorescently labelled POM oligomers and a POM-peptide conjugate. UV thermal
denaturation showed that mixed-sequence POMs hybridise to DNA and RNA with high
affinity but slow rates of association and dissociation. The sequence
specificity, influence of terminal amino acids, and the effect of pH and ionic
strength on the DNA and RNA hybridisation properties of POM were extensively
investigated. In addition, isothermal titration calorimetry (ITC) was used to
investigate the thermodynamic parameters of the binding of a POM-peptide
conjugate to DNA. Cellular uptake experiments have also shown that a
fluorescently labelled POM oligomer is taken up into HeLa cells. These findings
demonstrate that POM has the potential for use in a variety of applications,
alongside other modified nucleic acids developed to date, such as peptide nucleic
acids (PNA) and phosphoramidate morpholino oligomers (PMO).
PMID- 22076795
TI - Pseudorotaxane structure of a fullerene derivative--cyclodextrin 1:2 complex.
AB - X-Ray crystallography revealed that the C(60) derivative.gamma-cyclodextrin
(gamma-CDx) complex has a pseudorotaxane structure and the structure of the
crystal clarified the importance of multi-point hydrogen bonds between two gamma
CDxs for stabilising the 3.gamma-CDx complex.
PMID- 22076796
TI - [Investigation on the 3 D geometric accuracy and on the image quality (MTF, SNR
and NPS) of volume tomography units (CT, CBCT and DVT)].
AB - PURPOSE: The study aims at investigating how far image quality (MTF and NPS)
differs in between CT, CBCT and DVT units and how far the geometrical 3 D
accuracy and the HU calibration differ in respect to surgical or radio
therapeutic planning. MATERIALS AND METHODS: X ray image stacks have been made
using a new designed test device which contains structures for measuring MTF,
NPS, the 3 D accuracy and the Hounsfield calibration (jaw or skull program). The
image stacks of the transversal images were analyzed with a dedicated computer
program. RESULTS: The MTF values are correlated with the physical resolution (CT
and DVT) and are influenced by the used Kernel (CT). The NPS values are limited
to an intra system comparison due to the insufficient HU accuracy. The 3 D
accuracy is comparable in between the system types. CONCLUSIONS: The values of
image quality are not yet correlated with dose values: NPS. Investigations to an
appropriate dosimetry are ongoing to establish the ratio between dose and image
quality (ALARA principle). No fundamental difference between the systems can be
stated in respect radio therapeutic planning: improper HU calibration accuracy in
CBCT and DVT units. The geometric 3 D accuracy of high performance DVT systems is
greater than that of CT Systems.
PMID- 22076797
TI - [Carotid artery agenesis: anatomic normal variant or a risk for the patient?].
PMID- 22076798
TI - Synthesis of 5-alkyl[3,4-c]thienopyrrole-4,6-dione-based polymers by direct
heteroarylation.
PMID- 22076799
TI - Improvement in survival during the past 4 decades among patients with anterior
skull base cancer.
AB - BACKGROUND: The aims of this study were to determine the trends in survival of
patients with anterior skull base cancer over several decades and to identify
time-related changes in the demographic and clinical characteristics of this
population. METHODS: In all, 282 patients who underwent craniofacial resection at
Memorial Sloan-Kettering Cancer Center and Tel Aviv Medical Center were studied.
Patients were categorized in accord with the period of surgery: early (1973-1984,
n = 34), intermediate (1985-1996, n = 72), and later (1997-2008, n = 176).
RESULTS: Patients operated after 1996 had higher rates of comorbidity, dural and
pterygopalatine invasion, and multicompartmental involvement than those operated
before 1996 (p <= .001). There was a significant improvement in 5-year overall
and disease-specific survival, from 55% and 57%, respectively, for patients
operated before 1996, to 66% and 70%, respectively, for those operated after 1996
(p = .02 and p = .006, respectively). On multivariate analysis, surgery after
1996 was an independent predictor of outcome (HR, 0.39, p < .001). CONCLUSIONS:
The survival of patients with anterior skull base cancer is improving. Surgery
after 1996 is an independent prognostic factor for an improved outcome.
PMID- 22076801
TI - Glycotranscriptome study reveals an enzymatic switch modulating glycosaminoglycan
synthesis during B-cell development and activation.
AB - B-cell fate and responses are modulated by soluble mediators and direct cellular
interactions. Migration properties also vary during differentiation, commitment
and activation. In many cells, modulation of responses to stimuli involves cell
surface glycans, whose architecture depends on the simultaneous expression of
multiple enzymes. By looking at the glycosylation-related gene expression
patterns among B-cell populations, we determined in this study that the strongest
variations were observed for CSGalNAcT-1 and EXTL1. These are enzymes involved in
the biosynthesis of alternative forms of glycosaminoglycans (GAGs), namely
chondroitin sulfate and heparan sulfate, respectively. These two enzymes showed
inverse fluctuations in progenitors, resting B cells and activated B cells,
suggesting a developmentally regulated switch between chondroitin and heparan
sulfate synthesis. To explore whether these variations contributed to optimal B
cell differentiation, we overexpressed EXTL1 in the B-cell lineage of transgenic
mice, yielding a partial differentiation blockade at the pro-B to pre-B
transition. In the periphery, this defect was almost fully compensated for in
vivo, with normal-size B-cell compartments and normal serum immunoglobulin levels
in the transgenic EXTL1 mice. The peripheral B cells from EXTL1 transgenics were
only affected with regard to their in vitro responses to polyclonal activation,
showing reduced proliferation. Together the data suggest that despite their low
amounts in lymphocytes, the heparan sulfate chains decorating the endogenous GAGs
appear to be regulators of B-cell physiology.
PMID- 22076800
TI - Suppression of calbindin-D28k expression exacerbates SCA1 phenotype in a disease
mouse model.
AB - Spinocerebellar ataxia type 1 (SCA1) is an autosomal dominant neurological
disorder caused by the expansion of a polyglutamine tract in the mutant protein
ataxin-1. The cerebellar Purkinje cells (PCs) are the major targets of mutant
ataxin-1. The mechanism of PC death in SCA1 is not known; however, previous work
indicates that downregulation of specific proteins involved in calcium
homeostasis and signaling by mutant ataxin-1 is the probable cause of PC
degeneration in SCA1. In this study, we explored if targeted deprivation of PC
specific calcium-binding protein calbindin-D28k (CaB) exacerbates ataxin-1
mediated toxicity in SCA1 transgenic (Tg) mice. Using behavioral tests, we found
that though both SCA1/+ and SCA1/+: CaB null (-/+) double mutants exhibited
progressive impaired performance on the rotating rod, a simultaneous enhancement
of exploratory activity, and absence of deficits in coordination, the double
mutants were more severely impaired than SCA1/+ mice. With increasing age, SCA1/+
mice showed a progressive loss in the expression and localization of CaB and
other PC specific calcium-binding and signaling proteins. In double mutants,
these changes were more pronounced and had an earlier onset. Gene expression
profiling of young mice exhibiting no behavior or biochemical deficits revealed a
differential expression of many genes common to SCA1/+ and CaB-/+ lines, and
unique to SCA1/+: CaB-/+ phenotype. Our study provides further evidence for a
critical role of CaB in SCA1 pathogenesis, which may help identify new
therapeutic targets to treat SCA1 or other cerebellar ataxias.
PMID- 22076802
TI - Microfluidic synthesis of tunable poly-(N-isopropylacrylamide) microparticles via
PEG adjustment.
AB - We present a microfluidic droplet method to synthesize a series of tunable poly(N
isopropylacrylamide) (PNIPAM) microparticles by the addition of polyethylene
glycols (PEGs). The PEGs are used as porogens and could be removed simply by
washing step. By varying molecular weights and concentrations of the PEGs,
morphologies and temperature-sensitive properties of the formed PNIPAM
microparticles are flexibly tuned. It is found that PEG of lower molecular weight
induces smaller micropore sizes, and results in faster response rate. The volume
changes prior to and after shrinkage can also be regulated by the addition of
PEGs due to tuned homogeneities of micropores. The microparticles tuned by
PEG1000 with ratio of added PEGs to NIPAM of 2:1 respond the fastest (120 s),
whereas with ratio of added PEGs to NIPAM of 1:1 display largest volume change
(1/gamma=12.12). This simplicity and controllability of tunable microparticles
synthesis are appealing for various applications ranging from chemical delivery,
drug release control, to optical applications.
PMID- 22076803
TI - Host-guest geometry in pores of zeolite ZSM-5 spatially resolved with multiplex
CARS spectromicroscopy.
PMID- 22076804
TI - [Right coronary artery arising from the left coronary sinus with interarterial
course in an asymptomatic newborn].
PMID- 22076805
TI - A search for SNCA 3' UTR variants identified SNP rs356165 as a determinant of
disease risk and onset age in Parkinson's disease.
AB - Alpha-synuclein gene (SNCA) polymorphisms have been associated with the common
sporadic form of Parkinson's disease (PD). We searched for DNA variants at the
SNCA 3' UTR through single strand conformation analysis and direct sequencing in
a cohort of Spanish PD patients and controls. We have genotyped the rs356165 SNCA
3' UTR polymorphism in a total of 1,135 PD patients and 772 healthy controls from
two Spanish cohorts (Asturias and Navarre). We identified six SNCA 3' UTR
variants. Single nucleotide polymorphism (SNP) rs356165 was significantly
associated with PD risk in the Spanish cohort (p = 0.0001; odd ratio = 1.37,
95%CI = 1.19-1.58). This SNP was also significantly associated with early age at
onset of PD. Our work highlights rs356165 as an important determinant of the risk
of developing PD and early age at onset and encourages future research to
identify a functional effect on SNCA expression.
PMID- 22076807
TI - Well-dispersed bi-component-active CoO/CoFe2O4 nanocomposites with tunable
performances as anode materials for lithium-ion batteries.
AB - CoO/CoFe(2)O(4) nanocomposites, derived from scalably prepared CoFe-layered
double hydroxide (CoFe-LDH) single-resource precursors, exhibit tunable cycle
performances and rate capabilities, which are supported by the homogenous
dispersion of bi-component active CoO and CoFe(2)O(4) phases.
PMID- 22076806
TI - Independent association of serum retinol and beta-carotene levels with
hyperuricemia: A national population study.
AB - OBJECTIVE: Uses of synthetic vitamin A derivatives (e.g., isotretinoin used for
severe acne) and high doses of preformed vitamin A have been implicated in the
pathogenesis of hyperuricemia and gout, whereas a trial reported that beta
carotene may lower serum uric acid (UA) levels. We evaluated the potential
population impact of these factors on serum UA in a nationally representative
sample of US adults. METHODS: Using data from 14,349 participants ages >=20 years
in the Third National Health and Nutrition Examination Survey (1988-1994), we
examined the relationship between serum retinol, beta-carotene, and UA levels
using weighted linear regression. Additionally, we examined the relationship with
hyperuricemia using weighted logistic regression. RESULTS: Serum UA levels
increased linearly with increasing serum retinol levels, whereas serum UA levels
decreased with increasing serum beta-carotene levels. After adjusting for age,
sex, dietary factors, and other potential confounders, the serum UA level
differences from the bottom (referent) to the top quintiles of serum retinol
levels were 0, 0.16, 0.32, 0.43, and 0.71 mg/dl (P for trend <0.001), and for
beta-carotene were 0, -0.15, -0.29, -0.27, and -0.40 mg/dl (P for trend <0.001),
respectively. Similarly, the multivariate odds ratios of hyperuricemia from the
bottom (referent) to top quintiles of serum retinol levels were 1.00, 1.30, 1.83,
2.09, and 3.22 (P for trend <0.001) and for beta-carotene were 1.00, 0.85, 0.68,
0.73, and 0.54 (P for trend <0.001), respectively. The graded associations
persisted across subgroups according to cross-classification by both serum
retinol and beta-carotene levels. CONCLUSION: These nationally representative
data raise concerns that vitamin A supplementation and food fortification may
contribute to the high frequency of hyperuricemia in the US population, whereas
beta-carotene intake may be beneficial against hyperuricemia. The use of beta
carotene as a novel preventive treatment for gout deserves further investigation.
PMID- 22076808
TI - Impairment of executive performance after transcranial magnetic modulation of the
left dorsal frontal-striatal circuit.
AB - The dorsal frontal-striatal circuit is implicated in executive functions, such as
planning. The Tower of London task, a planning task, in combination with off-line
low-frequency repetitive transcranial magnetic stimulation (rTMS), was used to
investigate whether interfering with dorsolateral prefrontal function would
modulate executive performance, mimicking dorsal frontal-striatal dysfunction as
found in neuropsychiatric disorders. Eleven healthy controls (seven females; mean
age 25.5 years) were entered in a cross-over design: two single-session
treatments of low-frequency (1 Hz) rTMS (vs. sham rTMS) for 20 min on the left
dorsolateral prefrontal cortex (DLPFC). Directly following the off-line rTMS
treatment, the Tower of London task was performed during MRI measurements. The
low-frequency rTMS treatment impaired performance, but only when the subjects had
not performed the task before: we found a TMS condition-by-order effect, such
that real TMS treatment in the first session led to significantly more errors (P
= 0.032), whereas this TMS effect was not present in subjects who received real
TMS in the second session. At the neural level, rTMS resulted in decreased
activation during the rTMS versus sham condition in prefrontal brain regions
(i.e., premotor, dorsolateral prefrontal and anterior prefrontal cortices) and
visuospatial brain regions (i.e., precuneus/cuneus and inferior parietal cortex).
The results show that low-frequency off-line rTMS on the DLPFC resulted in
decreased task-related activations in the frontal and visuospatial regions during
the performance of the Tower of London task, with a behavioral effect only when
task experience is limited.
PMID- 22076809
TI - Unexpected influence of stereochemistry on the cytotoxicity of highly efficient
Ti(IV) salan complexes: new mechanistic insights.
AB - The effect of stereochemistry on the cytotoxicity of highly active and
hydrolytically stable N-methylated Ti(IV) salan complexes is reported. Four
bis(isopropoxo) complexes incorporating N-methylated salan ligands with different
aromatic substitution patterns have been prepared in racemic and optically active
forms for the first time by ligand-to-metal chiral induction from trans
diaminocyclohexyl-based chiral ligands. The configuration of the metal center
that derives from that of the ligand has an enormous influence on cytotoxicity,
with the racemic mixture mostly being more active than the single enantiomers
that are of either similar or different activity. This implies that the active
species is a salan-bound heterochiral polynuclear compound, interacting with a
chiral target. Four additional complexes of achiral salan and chiral labile sec
butoxo ligands, analyzed as racemic and as homochiral, revealed no influence of
stereochemistry, supporting early dissociation of the labile ligands to give the
polynuclear products.
PMID- 22076810
TI - Comparative impact of an anthranilic diamide and other insecticidal chemistries
on beneficial invertebrates and ecosystem services in turfgrass.
AB - BACKGROUND: Chlorantraniliprole, the first anthranilic diamide insecticide
labeled for turf, combines strong selective activity against key pests with low
vertebrate toxicity. The hypothesis that it is less disruptive to beneficial
invertebrates and their ecosystem services than are other prevailing insecticide
classes was tested. Plots in golf course settings were treated with
chlorantraniliprole, or with a representative nicotinoid (clothianidin),
pyethroid (bifenthrin) or a combination (clothianidin-bifenthrin) formulation.
Non-target effects were assessed via pitfall traps (epigeal predators), Tullgren
funnel extraction (soil microarthropods), hand sorting (earthworms), counting ant
mounds and earthworm casts on tees and putting greens, assessing predation on
sentinel pest eggs and comparing grass clipping decomposition in treated versus
untreated turf. RESULTS: Chlorantraniliprole had little or, in most cases, no
impact on predatory or soil invertebrates, predation or decomposition. Each of
the other insecticides temporarily reduced abundance and activity of one or more
predator groups. Clothianidin and the clothianidin-bifenthrin combination
retarded grass clipping decomposition, and the combination suppressed earthworms
and casts more than did carbaryl, a toxic standard. CONCLUSION:
Chlorantraniliprole is compatible with conservation biocontrol and a good fit for
industry initiatives to use relatively less toxic pesticides. One caveat is that
its use on golf courses may require targeted management of ant mounds and
earthworm casts that are suppressed as a side effect by some less selective
insecticides.
PMID- 22076811
TI - Dosimetric comparison of three different external beam whole breast irradiation
techniques.
AB - INTRODUCTION: The purpose of this study was to compare the dosimetries of three
different external beam whole breast radiotherapy techniques: two-dimensional RT
(2D-RT), three-dimensional conformal RT (3D-CRT), and field-in-field intensity
modulated RT (FiF-IMRT). In addition, we aimed to evaluate the patients who
needed more or less complex treatment modalities. METHODS: Thirty patients were
included in the study. All the patients had early-stage breast cancer and
conserving surgery had been performed. Plans that employed the three techniques
were generated for each patient. Dosimetric comparisons were conducted, and
correlations with patient characteristics and dosimetric outcomes were analyzed.
RESULTS: The 2D-RT technique was found to be suboptimal for treating the intact
breast. Its dose homogeneity index (DHI) was 20.68. The authors were unable to
define a patient characteristic in which 2D-RT dosimetry would perform better.
FiF-IMRT was found to be the superior technique with a better homogeneity in the
breast (DHI=9.35 and P=0.000002 when compared to 3D-CRT). When compared according
to patient characteristics, again the FiF-IMRT planning is the best for all
subgroups, but the DHI gets worse by increased breast volume and separation.
While FiF-IMRT achieves better DHI in the breast, it has little effect on heart
and lung doses. But the normal tissues' volume (cc) that gets the 100% of the
prescribed dose (V100) was lowered because of the treatment without wedges and
scatter and with less monitor unit. CONCLUSIONS: 2D-RT could not be performed
safely on the intact breast in any of the subgroups. FiF-IMRT is a superior
technique for breast dosimetry, and normal tissue. For patients with large breast
size or separation, further intensive techniques must be investigated.
PMID- 22076812
TI - Stereotactic body radiation therapy for locally recurrent, previously irradiated
nonsquamous cell cancers of the head and neck.
AB - BACKGROUND: Stereotactic body radiotherapy (SBRT) has emerged as a promising
salvage strategy for patients with recurrent, previously irradiated head and neck
cancer; however, data are limited predominantly to squamous cell carcinomas.
Herein, we report the efficacy of SBRT in recurrent, nonsquamous cell cancers of
the head and neck (NSCHNs). METHODS: In all, 34 patients with pathologically
proven NSCHN were re-irradiated with SBRT to a median dose of 40 Gy in 5
fractions (interquartile range, 30-44 Gy). Toxicity and quality of life were
followed prospectively. RESULTS: Median follow-up was 10 months (absolute range,
0-55 months). The 6-month/1-year local control rate was 77/59%, with a 6-month/1
year overall survival of 76/59%. Local control was significantly improved for
tumors <25 mL (p = .030). Acute/late grade 3 toxicity was 15/6%, with no grade 4
5 toxicity. CONCLUSIONS: SBRT for previously irradiated, locally recurrent NSCHN
provides promising local control, especially for tumors <25 mL, with minimal
toxicity. The optimal dose for larger tumors remains to be defined.
PMID- 22076813
TI - Microfabricated particulate drug-delivery systems.
AB - Micro- and nanoparticulate drug-delivery systems (DDSs) play a significant role
in formulation sciences. Most particulate DDSs are scaffold-free, although some
particles are encapsulated inside other biomaterials for controlled release.
Despite rapid progress in recent years, challenges still remain in controlling
the homogenicity of micro-/nanoparticles, especially for two crucial factors in
particulate DDSs: the size and shape of the particles. Recent approaches make use
of microfabrication techniques to generate micro-/nanoparticles with highly
controllable architectures free of scaffolds. This review presents an overview of
a burgeoning field of DDSs, which can potentially overcome some drawbacks of
conventional techniques for particle fabrication and offer better control of
particulate DDSs.
PMID- 22076815
TI - New functionalities in the GROMOS biomolecular simulation software.
AB - Since the most recent description of the functionalities of the GROMOS software
for biomolecular simulation in 2005 many new functions have been implemented. In
this article, the new functionalities that involve modified forces in a molecular
dynamics (MD) simulation are described: the treatment of electronic
polarizability, an implicit surface area and internal volume solvation term to
calculate interatomic forces, functions for the GROMOS coarse-grained
supramolecular force field, a multiplicative switching function for nonbonded
interactions, adiabatic decoupling of a number of degrees of freedom with
temperature or force scaling to enhance sampling, and nonequilibrium MD to
calculate the dielectric permittivity or viscosity. Examples that illustrate the
use of these functionalities are given.
PMID- 22076814
TI - CCL2: a potential prognostic marker and target of anti-inflammatory strategy in
HIV/AIDS pathogenesis.
AB - Chemokines are critical components of the immune system that participate in
immune homeostasis and alterations in chemokine balance can result in severe
inflammatory and autoimmune diseases. The role of chemokines and their receptors
in viral infections including HIV-1 was predicted from the early studies of HIV-1
co-receptor CCR5 and its ligands and a divergent role of C-C chemokines in HIV-1
pathogenesis has been established. For example, CCL3 (MIP-1alpha), CCL4 (MIP
1beta) and CCL5 (RANTES) have been shown to possess antiviral effects by binding
to the HIV-1 co-receptor CCR5, whereas CCL2, a pro-inflammatory chemokine,
supports HIV-1 replication despite being a member of same chemokine family.
Furthermore, the well-established role of CCL2 in driving the Th2 immune response
supports its potential role in HIV-1/AIDS. Recent reports suggest multiple
pathways of CCL2 affect HIV-1 infection. In this review, we provide a
comprehensive overview of the role and potential mechanisms of the HIV-1-CCL2
interplay in driving virus-induced immuno-pathology, suggesting that CCL2 could
be an anti-inflammatory target in the treatment of HIV-1 infection.
PMID- 22076816
TI - A new fluorescent PET probe for hydrogen peroxide and its use in enzymatic assays
for L-lactate and D-glucose.
AB - We present a new probe for the determination of hydrogen peroxide (HP). It is
based on the yellow fluorophore 4-amino-1,8-napththalimide, coupled to p
anisidine (as a redox-active group) to form a probe that is based on photoinduced
electron transfer (PET). The preparation of the probe (which we refer to as "HP
Green") was accomplished in four steps with good yield. Its fluorescence is
independent of pH in the physiological range and quenched by a PET process that
occurs between the p-anisidine redox moiety and the naphthalimide luminophore. If
the p-anisidine group is oxidized by HP, PET is suppressed and fluorescence
intensity is strongly increased. Addition of horseradish peroxidase (HRP)
enhances the oxidation of HP Green and further improves the detection limit of
HP. The use of HRP and HP Green enables the determination of HP concentration in
a range of 0.1 to 5 MUM, with a limit of detection (LOD) as low as 64 nM (16 pmol
per well in microtiter plates). HP Green and HRP also enable sensitive enzymatic
assays of oxidase substrates in a kinetic format, as shown for L-lactate and D
glucose. L-Lactate concentration can be rapidly determined between 0.5 and 10 MUM
after 6 minutes of incubation at 30 degrees C, with an LOD of 164 nm (41 pmol
per well). This LOD is more than sixfold lower than that of the best commercial
assays for lactate. The detection range for D-glucose is 2 to 30 MUm, and the LOD
is 644 nM (161 pmol per well). These are among the lowest concentrations
detectable for oxidase-based assays. The hexanoic acid moiety in HP Green may be
further used to immobilize the probe in order to obtain sensor layers for
continuous assays.
PMID- 22076818
TI - Surface acoustic wave sensing of linear alcohols using para-acylcalix[n]arenes.
AB - para-Hexanoylcalix[4, 6 or 8]arenes have been used as surface acoustic wave
sensor capture layers showing a high efficiency for the detection of linear
alcohols, with high reproducibility and rapid response times.
PMID- 22076819
TI - Practical approach to screening for scleroderma-associated pulmonary arterial
hypertension.
PMID- 22076820
TI - Toxicity and horizontal transfer of chlorantraniliprole against the Asian
subterranean termite Coptotermes gestroi (Wasmann): effects of donor:recipient
ratio, exposure duration and soil type.
AB - BACKGROUND: The effectiveness of chlorantraniliprole and other insecticides
(bifenthrin, fipronil, indoxacarb, imidacloprid and chlorfenapyr) were tested
against Coptotermes gestroi (Wasmann). Four experiments were conducted: a topical
bioassay, a horizontal transfer study, an insecticide bioavailability test and a
feeding bioassay. RESULTS: The topical bioassay showed that chlorantraniliprole
was significantly less active to C. gestroi at 24 h post-treatment compared with
the other insecticides tested. Nevertheless, it is likely that a lesser amount of
chlorantraniliprole was required to cause 50% mortality of C. gestroi at 7 and 14
days post-treatment. The exposure duration and donor:recipient ratio affect the
mortality of recipient termites. Mortality after exposure to chlorantraniliprole
in sandy clay was significantly lower than in sand; however, by 14 days, > 90% of
donor and recipient termites died in both substrates, irrespective of
concentration. Fipronil and imidacloprid showed faster action, and high to
moderate toxicity to C. gestroi. Termite workers also ceased to feed after
exposure for 1 h to 50 mg kg(-1) chlorantraniliprole-treated sandy clay.
CONCLUSION: Chlorantraniliprole demonstrated delayed toxicity at the lowest label
rate (50 mg kg(-1) ) in sandy clay. Its slow action will enable greater transfer
of toxicant between nestmates, while feeding cessation will promote greater
social interaction between healthy and exposed termites.
PMID- 22076821
TI - Catalytic 1,3-difunctionalisation of organic backbones through a highly
stereoselective, one-pot, boron conjugate-addition/reduction/oxidation process.
AB - A simple one-pot, three-step synthetic route to chiral 1,3-amino alcohols and 1,3
diols has been established. Considering the overall stereocontrol of the
synthetic protocol, the first and key step is an enantioselective beta-boration
of alpha,beta-unsaturated imines and ketones, respectively. The
enantioselectivity provided by the Cu(I) catalyst modified with Josiphos- and
Mandyphos-type ligands has been examined. The oxidative substitution of the boryl
unit with a hydroxyl group proceeds with complete retention of configuration at
the C(beta)-atom. In parallel, the stoichiometric reduction of the imino or
carbonyl group provides a second stereogenic centre. Depending on the nature of
the reducing reagent, exceptionally high diastereoselectivity is achieved,
especially for syn-1,3-amino alcohols and 1,3-diols.
PMID- 22076822
TI - An endoscopic endonsal transethmoidal approach to olfactory groove meningioma.
PMID- 22076823
TI - Functional brain connectivity at rest changes after working memory training.
AB - Networks of functional connectivity are highly consistent across participants,
suggesting that functional connectivity is for a large part predetermined.
However, several studies have shown that functional connectivity may change
depending on instructions or previous experience. In the present study, we
investigated whether 6 weeks of practice with a working memory task changes
functional connectivity during a resting period preceding the task. We focused on
two task-relevant networks, the frontoparietal network and the default network,
using seed regions in the right middle frontal gyrus (MFG) and the medial
prefrontal cortex (PFC), respectively. After practice, young adults showed
increased functional connectivity between the right MFG and other regions of the
frontoparietal network, including bilateral superior frontal gyrus, paracingulate
gyrus, and anterior cingulate cortex. In addition, they showed reduced functional
connectivity between the medial PFC and right posterior middle temporal gyrus.
Moreover, a regression with performance changes revealed a positive relation
between performance increases and changes of frontoparietal connectivity, and a
negative relation between performance increases and changes of default network
connectivity. Next, to study whether experience-dependent effects would be
different during development, we also examined practice effects in a pilot sample
of 12-year-old children. No practice effects were found in this group, suggesting
that practice-related changes of functional connectivity are age-dependent.
Nevertheless, future studies with larger samples are necessary to confirm this
hypothesis.
PMID- 22076824
TI - Resolution adapted finite element modeling of radio frequency interactions on
conductive resonant structures in MRI.
AB - Prediction of interactions between the radiofrequency electromagnetic field in
magnetic resonance scanners and electrically conductive material surrounded by
tissue plays an increasing role for magnetic resonance safety. Testing of
conductive implants or instruments is usually performed by standardized
experimental setups and temperature measurements at distinct geometrical points,
which cannot always reflect worst-case situations. A finite element method based
on Matlab (The Mathworks, Natick, MA) and the finite element method program
Comsol Multiphysics (Stockholm, Sweden) with a spatially highly variable mesh
size solving Maxwell's full-wave equations was applied for a comprehensive
simulation of the complete geometrical arrangement of typical birdcage
radiofrequency coils loaded with small conductive structures in a homogenous
medium. Conductive implants like rods of variable length and closed and open ring
structures, partly exhibiting electromagnetic resonance behavior, were modeled
and evaluated regarding the distribution of the B(1)- and E-field, induced
currents and specific absorption rates. Numerical simulations corresponded well
with experiments using a spin-echo sequence for visualization of marked B(1)
field inhomogeneities. Even resonance effects in conductive rods and open rings
with suitable geometry were depicted accurately. The proposed method has high
potential for complementation or even replacement of common experimental magnetic
resonance compatibility measurements.
PMID- 22076826
TI - Quantitative relationship between coronary artery calcium score and hyperemic
myocardial blood flow as assessed by hybrid 15O-water PET/CT imaging in patients
evaluated for coronary artery disease.
AB - BACKGROUND: The incremental value of CAC over traditional risk factors to predict
coronary vasodilator dysfunction and inherent myocardial blood flow (MBF)
impairment is only scarcely documented (MBF). The aim of this study was therefore
to evaluate the relationship between CAC content, hyperemic MBF, and coronary
flow reserve (CFR) in patients undergoing hybrid (15)O-water PET/CT imaging.
METHODS: We evaluated 173 (mean age 56 +/- 10, 78 men) patients with a low to
intermediate likelihood for coronary artery disease (CAD), without a documented
history of CAD, undergoing vasodilator stress (15)O-water PET/CT and CAC scoring.
Obstructive coronary artery disease was excluded by means of invasive (n = 44) or
CT-based coronary angiography (n = 129). RESULTS: 91 of 173 patients (52%) had a
CAC score of zero. Of those with CAC, the CAC score was 0.1-99.9, 100-399.9, and
>=400 in 31%, 12%, and 5% of patients, respectively. Global CAC score showed
significant inverse correlation with hyperemic MBF (r = -0.32, P < .001). With
increasing CAC score, there was a decline in hyperemic MBF on a per-patient basis
[3.70, 3.30, 2.68, and 2.53 mL . min(-1) . g(-1), with total CAC score of 0, 0.1
99.9, 100-399.9, and >=400, respectively (P < .001)]. CFR showed a stepwise
decline with increasing levels of CAC (3.70, 3.32, 2.94, and 2.93, P < .05).
Multivariate analysis, including age, BMI, and CAD risk factors, revealed that
only age, male gender, BMI, and hypercholesterolemia were associated with reduced
stress perfusion. Furthermore, only diabetes and age were independently
associated with CFR. CONCLUSION: In patients without significant obstructive CAD,
a greater CAC burden is associated with a decreased hyperemic MBF and CFR.
However, this association disappeared after adjustment for traditional CAD risk
factors. These results suggest that CAC does not add incremental value regarding
hyperemic MBF and CFR over established CAD risk factors in patients without
obstructive CAD.
PMID- 22076827
TI - Relationship between paraglottic space invasion and cervical lymph node
metastasis in patients undergoing supracricoid partial laryngectomy.
AB - BACKGROUND: To investigate the relationship between paraglottic space (PGS)
invasion and lymph node metastasis in patients undergoing supracricoid partial
laryngectomy. METHODS: The study included 98 subjects with squamous cell
carcinoma of the glottis. RESULTS: The PGS invasion rate was 60.2% (59/98), and a
significant correlation was found between PGS invasion and cervical lymph node
metastasis (p = .022). Fifteen of the 59 patients (25.4%) with PGS invasion, only
3 of the 39 patients (7.7%) without any evidence of PGS invasion had lymph node
metastasis. Furthermore, a significant correlation was also found between PGS
invasion and T classification (p < .001), vocal cord mobility (p < .001), and
subglottic extension (p = .014), and patients with no evidence of PGS invasion
possibly had a survival benefit (5-year disease-specific survival rate, 84% vs
64%; p = .118). CONCLUSION: This study shows that PGS invasion is significantly
related to cervical lymph node metastasis in patients undergoing supracricoid
partial laryngectomy.
PMID- 22076828
TI - The ribosomal exit tunnel as a target for optimizing protein expression in
Escherichia coli.
AB - The folding of many cellular proteins occurs co-translationally immediately
outside the ribosome exit tunnel, where ribosomal proteins and other associated
factors coordinate the synthesis and folding of newly translated polypeptides.
Here, we show that the large subunit protein L29, which forms part of the exit
tunnel in Escherichia coli, is required for the productive synthesis of an array
of structurally diverse recombinant proteins including the green fluorescent
protein (GFP) and an intracellular single-chain Fv antibody. Surprisingly, the
corresponding mRNA transcript level of these proteins was markedly less abundant
in cells lacking L29, suggesting an unexpected regulatory mechanism that links
defects in the exit tunnel to the expression of genetic information. To further
highlight the importance of L29 in maintaining protein expression, we used
mutagenesis and selection to obtain L29 variants that enhanced GFP expression.
Overall, our results suggest that the ribosomal exit tunnel proteins may be key
targets for optimizing the overproduction of active, structurally complex
recombinant proteins in bacterial cells.
PMID- 22076829
TI - Synthetic virus-like particles and conformationally constrained peptidomimetics
in vaccine design.
AB - Conformationally constrained peptidomimetics could be of great value in the
design of vaccines targeting protective epitopes on viral and bacterial
pathogens. But the poor immunogenicity of small synthetic molecules represents a
serious obstacle for their use in vaccine development. Here, we show how a
constrained epitope mimetic can be rendered highly immunogenic through
multivalent display on the surface of synthetic virus-like nanoparticles. The
target epitope is the V3 loop from the gp120 glycoprotein of HIV-1 bound to the
neutralizing antibody F425-B4e8. The antibody-bound V3 loop adopts a beta-hairpin
conformation, which is effectively stabilized by transplantation onto a D-Pro-L
Pro template. The resulting mimetic after coupling to synthetic virus-like
particles elicited antibodies in rabbits that recognized recombinant gp120. The
elicited antibodies also blocked infection by the neutralization sensitive tier-1
strain MN of HIV-1, as well as engineered viruses with the V1V2 loop deleted;
this result is consistent with screening of V3 by the V1V2 loop in intact
trimeric viral gp120 spikes. The results provide new insights into HIV-1 vaccine
design based on the V3 loop, and illustrate how knowledge from structural biology
can be exploited for the design of constrained epitope mimetics, which can be
delivered to the immune system by using a highly immunogenic synthetic
nanoparticle delivery system.
PMID- 22076830
TI - Efficient synthesis of biazoles by aerobic oxidative homocoupling of azoles
catalyzed by a copper(I)/2-pyridonate catalytic system.
AB - A highly efficient and convenient CuCl/2-pyridonate catalytic system for
oxidative homocoupling of azoles affording a biazole product has been developed.
With this system, a variety of biazoles have been effectively synthesized in good
to excellent yields in the presence of a very small amount of copper catalyst
(1.0 mol%). It was feasible to employ air as a green oxidant.
PMID- 22076831
TI - Th1/Th2 cytokine profiles in G+/G- bacteremia in pediatric hematology/oncology
patients.
AB - BACKGROUND: Early diagnosis of infection and appropriate choice of antibiotics
are essential not only to improve the prognosis of the patients but also to
prevent from the abuse of the antibiotics in hematology/oncology children at the
time of neutropenia after intensive chemotherapy. PROCEDURE: We evaluated the
quantification of Th1/Th2 cytokines with flow cytometry bead assay (CBA) in 145
hospitalized febrile hematology/oncology children with positive blood culture to
seek for a rapid diagnostic method to determine the type of infection. RESULTS:
IL-4, IL-6, IL-10, TNF-alpha, and IFN-gamma levels from both G- and G+ bacteremia
groups were significantly higher than those of controls (P < 0.001). The median
levels of IL-6, IL-10, TNF-alpha of Group G- were 525.4, 96.0, and 6.9 pg/ml,
respectively, significantly higher than those of Group G+ (150.0, 22.6, and 4.5
pg/ml, respectively, P < 0.001). According to the different degrees of increased
IL-6 and IL-10 levels, we named the G- bacterial infection related cytokine
profile G- BIRCP and the G+ BIRCP. The specificity and sensitivity of BIRCP
prediction for G- and G+ bacteria cultures were 60.2% and 75.4%, 66.8% and 70.1%,
respectively. Similar therapeutic efficacy was achieved between BIRCP-based and
broad-spectrum antibiotics groups (86.1% vs. 89.3%, P > 0.05), which was
significantly increased as compared with that (65.5%, P < 0.05) of empirical
group. CONCLUSIONS: These results showed the promising use of the IL-6/IL-10/TNF
alpha determination with CBA technology for the early and rapid diagnosis,
evaluation of G+/G- bacteremia in pediatric hematology/oncology patients.
PMID- 22076832
TI - Therapeutic complications in a patient with high-risk acute lymphoblastic
leukemia and undiagnosed hereditary hemochromatosis.
AB - Hereditary hemochromatosis (HH) is an autosomal-recessive disorder of iron
metabolism that most commonly manifests in the fourth or fifth decade of life.
Here, we describe a 14-year-old male who presented with high-risk acute
lymphoblastic leukemia and previously undiagnosed HH. His treatment course was
remarkable for significant therapeutic complications, including iron overload,
hepatic failure, cardiac dysfunction, and death. Postmortem testing revealed
homozygosity for the C282Y mutation, confirming the diagnosis of HH. Since HH
mutations occur commonly in select populations, screening patients with leukemia
for HH may better inform treatment decisions regarding chemotherapy,
transfusions, and/or iron chelation therapy.
PMID- 22076833
TI - Oral low-dose chemotherapy: successful treatment of an alveolar rhabdomyosarcoma
during pregnancy.
AB - We report for the first time the impact of neoadjuvant oral low-dose chemotherapy
consisting of oral trofosfamide, idarubicin, and etoposide (O-TIE) in the case of
alveolar rhabdomyosarcoma (RMS) in the lower jaw of an 18-year-old woman at 27
weeks of gestation, without fetal complications and a highly efficient anti-tumor
response. Our study suggests the possible application of O-TIE treatment in a
neoadjuvant setting during pregnancy and recommends a schedule that can be
considered for the treatment of patients with high-risk sarcomas who cannot be
treated with intensive chemotherapy for various reasons.
PMID- 22076834
TI - Health consequences of obesity.
AB - Epidemiologic studies have established that cardiovascular (CV) risk factors
including obesity are identifiable in childhood. Childhood risk factors are
predictive of adult cardiac risk and even premature death [Franks et al. (2010) N
Engl J Med 362:485-493]. In the United States, CV diseases remains the leading
causes of death. In fact, heart disease has become the major cause of death
worldwide, surpassing undernutrition and infectious diseases, largely related to
obesity in childhood [Wang and Lobstein (2006) Int J Pediatr Obes 1:11-25]. The
concept that adult heart diseases begin in childhood is an outgrowth of extensive
long-term epidemiologic studies in youth, that is, the Bogalusa Heart Study
[Berenson et al. (1986) Causation of cardiovascular risk factors in children:
Perspectives on cardiovascular risk in early life, Raven Press Books Ltd].
PMID- 22076836
TI - Influence of discipline of provider and model of care on an arthritis educational
intervention in primary care.
AB - OBJECTIVE: To identify both provider and organizational characteristics that
predicted outcomes following an educational intervention (9-hour workshop and
followup reinforcement activities) developed to improve the management of
arthritis in primary care. METHODS: Providers completed a survey at baseline and
at 6 months postworkshop, including a case scenario for early rheumatoid
arthritis. Providers were asked how they would manage the case and their
responses were coded to calculate a best practice score, ranging from 0-7. Two
level hierarchical linear modeling was used to determine which of the measured
provider and organizational factors predicted best practice scores at followup.
RESULTS: A total of 275 multidisciplinary providers from 131 organizations
completed both baseline and followup surveys. Best practice scores increased by
17% (P < 0.01); however, the mean score at 6-month followup remained relatively
low (2.68). Significant predictors of best practice scores at followup were
discipline of provider and model of primary care in which they worked (P < 0.05),
adjusting for baseline practice scores and clustering of providers within
organizations. Physicians, nurse practitioners, and rehabilitation therapists
scored higher than nurses, students, and other health care providers (P < 0.01).
Physician networks scored significantly lower than providers from
multidisciplinary-oriented models of care (P = 0.02). CONCLUSION: These results
have implications for the education of health professionals and the design of
models of care to enhance arthritis care delivery.
PMID- 22076835
TI - The endocannabinoid system: role in energy regulation.
AB - Cannabis sativa has been used since antiquity to treat many ailments, including
eating disorders. The primary psychoactive constituent of this plant, Delta(9)
tetrahydrocannabinol (THC) is an FDA approved medication to treat nausea and
emesis caused by cancer chemotherapeutic agents as well as to stimulate appetite
in AIDS patients suffering from cachexia. The effects of THC are mediated through
the endocannabinoid system (ECS), which promotes a positive energy balance
through stimulation of appetite as well as shifting homeostatic mechanisms toward
energy storage. Here we discuss the physiological function of the ECS in energy
balance and the therapeutic potential of targeting this system.
PMID- 22076837
TI - A unified strategy for the asymmetric total syntheses of diversonol and lachnone
C.
AB - A unified synthetic strategy for the asymmetric syntheses of the natural products
diversonol and lachnone C was developed by using the domino vinylogous aldol-oxa
Michael reaction as the enantioselective key step. Further transformations
include dihydroxylation, lactol-opening by a Wittig-reaction, and lactonization.
The obtained chromone lactones, a class of mycotoxins, can further be converted
to tetrahydroxanthones by a Dieckmann condensation. This general method allows
for the first time the enantioselective access to these classes of natural
products and should be applicable to other members of the tetrahydroxanthone and
chromone lactone families.
PMID- 22076838
TI - NMR study on iridium(III) complexes for identifying disulfonate substituted
bathophenanthroline regio-isomers.
AB - A series of novel biscyclometalated iridium (III) complexes with an ancillary
disulfonated bathophenanthroline (DSBP(2-)) ligand, Ir(L)(2)DSBPNa, L = 2
phenylpyridine (ppy), 2,4-difluorophenylpyridine (fppy), and 1-phenylisoquinoline
(piq) were found to have two isomeric forms. The chemical structures of the
isomers were determined by the one- and two-dimensional (1)H and (13)C NMR
studies. The isomeric state was proved to have originated from the disulfonate
related regio-isomer of the DSBP(2-) ligand.
PMID- 22076839
TI - Epidermoid tumor of the cerebellopontine angle presenting with selective sudden
hearing loss. Intraoperative evidence of a pearl tumor infiltrating and
compressing the cochlear nerve.
AB - Epidermoid tumors of the cerebellopontine angle are associated with a variety of
symptoms, usually attributed to compression and displacement of involved cranial
nerves. The authors present a case of a large epidermoid tumor in the left
cerebellopontine angle with sudden hearing loss and tinnitus. The intraoperative
finding of migration of two tumor pearls into the cochlear nerve was the origin
of the clinical sign. The patient improved remarkably after removal of the tumor.
This case demonstrates the mechanism for selective hearing loss associated with
the large cerebellopontine angle.
PMID- 22076840
TI - Common structural correlates of trait impulsiveness and perceptual reasoning in
adolescence.
AB - BACKGROUND: Trait impulsiveness is a potential factor that predicts both
substance use and certain psychiatric disorders. This study investigates whether
there are common structural cerebral correlates of trait impulsiveness and
cognitive functioning in a large sample of healthy adolescents from the IMAGEN
project. METHODS: Clusters of gray matter (GM) volume associated with trait
impulsiveness, Cloningers' revised temperament, and character inventory
impulsiveness (TCI-R-I) were identified in a whole brain analysis using optimized
voxel-based morphometry in 115 healthy 14-year-olds. The clusters were tested for
correlations with performance on the nonverbal tests (Block Design, BD; Matrix
Reasoning, MT) of the Wechsler Scale of Intelligence for Children IV reflecting
perceptual reasoning. RESULTS: Cloningers' impulsiveness (TCI-R-I) score was
significantly inversely associated with GM volume in left orbitofrontal cortex
(OFC). Frontal clusters found were positively correlated with performance in
perceptual reasoning tasks (Bonferroni corrected). No significant correlations
between TCI-R-I and perceptual reasoning were observed. CONCLUSIONS: The neural
correlate of trait impulsiveness in the OFC matches an area where brain function
has previously been related to inhibitory control. Additionally, orbitofrontal GM
volume was associated with scores for perceptual reasoning. The data show for the
first time structural correlates of both cognitive functioning and impulsiveness
in healthy adolescent subjects.
PMID- 22076842
TI - Unpureeing the tomato: layers of information revealed by microdissection and high
throughput transcriptome sequencing.
PMID- 22076841
TI - Comparison of the effects of continuous and pulsatile left ventricular-assist
devices on ventricular unloading using a cardiac electromechanics model.
AB - Left ventricular-assist devices (LVADs) are used to supply blood to the body of
patients with heart failure. Pressure unloading is greater for counter-pulsating
LVADs than for continuous LVADs. However, several clinical trials have
demonstrated that myocardial recovery is similar for both types of LVAD. This
study examined the contractile energy consumption of the myocardium with
continuous and counter-pulsating LVAD support to ascertain the effect of the
different LVADs on myocardial recovery. We used a three-dimensional
electromechanical model of canine ventricles, with models of the circulatory
system and an LVAD. We compared the left ventricular peak pressure (LVPP) and
contractile ATP consumption between pulsatile and continuous LVADs. With the
continuous and counter-pulsating LVAD, the LVPP decreased to 46 and 10%,
respectively, and contractile ATP consumption decreased to 60 and 50%. The small
difference between the contractile ATP consumption of these two types of LVAD may
explain the comparable effects of the two types on myocardial recovery.
PMID- 22076843
TI - Free tissue transfer for head and neck reconstruction in solid organ transplant
patients.
AB - BACKGROUND: Patients with head and neck malignancies who have had solid organ
transplant and require free tissue transfer are a unique population. This study
was performed to evaluate the effect of immunosuppression on the rate of
perioperative complications and the success of free tissue transfer in the head
and neck. METHODS: Complications in solid organ transplant patients undergoing
free tissue transfer for reconstruction of head and neck malignancies from 1998
to 2010 were evaluated. RESULTS: A total of 22 flaps in 17 patients were
performed. Eight patients (11 of 22 flaps) had complications. The median hospital
stay was 6 days (range, 4-26 days). The median length of follow-up was 13.5
months (range, 3.5-49.9 months). CONCLUSIONS: Solid organ transplant patients are
at an increased risk of de novo malignancies due to chronic immunosuppression.
This study demonstrates that free tissue transfer is a viable option in
transplant patients with morbidity similar to nontransplant patients.
PMID- 22076844
TI - Screening of cell-penetrating peptides using mRNA display.
AB - Cell-penetrating peptides (CPPs) are attractive vectors for in vivo and in vitro
cellular uptake. Their use is, however, limited by insufficient understanding of
their preference for a target cell. Here, a new CPP screening method is presented
that uses mRNA display. After incubating the target cell lines, such as human
embryonic kidney 293 (HEK 293) and HeLa cells, with an mRNA display library for 3
h at 37 degrees C, the CPP-mRNA nucleotide conjugates were harvested. These were
amplified with PCR and subsequently sequenced. The screened CPPs for each cell
line were identified after four rounds of selection. Among them, two peptides,
MAMPGEPRRANVMAHKLEPASLQLR NSCA (CPPK) and MAPQRDTVGGRTTPPSWGPAKAQLRNSCA (CPPL)
were selected, and the FITC-labeled peptides were evaluated for their ability to
penetrate cells. The screened CPPs were superior to polyarginine (R(11) ), which
is widely used as a standard peptide and shows good cell penetration efficiency.
Our method can be applied to other target cells for which CPPs have not yet been
elucidated.
PMID- 22076845
TI - A late-stage intermediate in salinomycin biosynthesis is revealed by specific
mutation in the biosynthetic gene cluster.
PMID- 22076846
TI - Dihydronaphthyl-based [60]fullerene bisadducts for efficient and stable polymer
solar cells.
AB - Dihydronaphthyl-based [60]fullerene bisadduct derivative, NC(60)BA, was
synthesized at mild temperature in high yield. NC(60)BA not only possesses a LUMO
energy level 0.16 eV higher than PC(61)BM but also has amorphous nature that can
overcome thermal-driven crystallization. The fabricated P3HT:NC(60)BA-based
polymer solar cells exhibit superior photovoltaic performance and thermal
stability compared to PC(61)BM-based devices under the same conditions.
PMID- 22076847
TI - Consensus treatments for moderate juvenile dermatomyositis: beyond the first two
months. Results of the second Childhood Arthritis and Rheumatology Research
Alliance consensus conference.
AB - OBJECTIVE: To use consensus methods and the considerable expertise contained
within the Childhood Arthritis and Rheumatology Research Alliance (CARRA)
organization to extend the 3 previously developed treatment plans for moderate
juvenile dermatomyositis (DM) to span the full course of treatment. METHODS: A
consensus meeting was held in Chicago on April 23-24, 2010, involving 30
pediatric rheumatologists and 4 lay participants. Nominal group technique was
used to achieve consensus on treatment plans that represented typical management
of moderate juvenile DM. A preconference survey of CARRA, completed by 151 (56%)
of 272 members, was used to provide additional guidance to the discussion.
RESULTS: Consensus was reached on timing and rate of steroid tapering, duration
of steroid therapy, and actions to be taken if patients were unchanged,
worsening, or experiencing medication side effects or disease complications. Of
particular importance, a single consensus steroid taper was developed.
CONCLUSION: We were able to develop consensus treatment plans that describe
therapy for moderate juvenile DM throughout the treatment course. These treatment
plans can now be used clinically, and data collected prospectively regarding
treatment effectiveness and toxicity. This will allow comparison of these
treatment plans and facilitate the development of evidence-based treatment
recommendations for moderate juvenile DM.
PMID- 22076848
TI - Polymer coatings that display specific biological signals while preventing
nonspecific interactions.
AB - Control over cell-material surface interactions is the key to many new and
improved biomedical devices. It can only be achieved if interactions that are
mediated by nonspecifically adsorbed serum proteins are minimized and if cells
instead respond to specific ligand molecules presented on the surface. Here, we
present a simple yet effective surface modification method that allows for the
covalent coupling and presentation of specific biological signals on coatings
which have significantly reduced nonspecific biointerfacial interactions. To
achieve this we synthesized bottle brush type copolymers consisting of
poly(ethylene glycol) methyl ether methacrylate and (meth)acrylates providing
activated NHS ester groups as well as different spacer lengths between the NHS
groups and the polymer backbone. Copolymers containing different molar ratios of
these monomers were grafted to amine functionalized polystyrene cell culture
substrates, followed by the covalent immobilization of the cyclic peptides cRGDfK
and cRADfK using residual NHS groups. Polymers were characterized by GPC and NMR
and surface modification steps were analyzed using XPS. The cellular response was
evaluated using HeLa cell attachment experiments. The results showed strong
correlations between the effectiveness of the control over biointerfacial
interactions and the polymer architecture. They also demonstrate that optimized
fully synthetic copolymer coatings, which can be applied to a wide range of
substrate materials, provide excellent control over biointerfacial interactions.
PMID- 22076849
TI - Conjugated polymer-grafted reduced graphene oxide for nonvolatile rewritable
memory.
PMID- 22076851
TI - [Psychiatry, an attractive career choice?].
PMID- 22076850
TI - Annual change in Friedreich's ataxia evaluated by the Scale for the Assessment
and Rating of Ataxia (SARA) is independent of disease severity.
AB - BACKGROUND: The objective of the study was to evaluate the sensitivity to change
of the Scale for the Assessment and Rating of Ataxia (score, 0-40) in
Friedreich's ataxia. METHODS: This was a follow-up study in adult patients with
genetically confirmed Friedreich's ataxia evaluated at least twice (minimum
interval, 6 months). Participants were outpatients at the Center for
Neurogenetics of the Pitie-Salpetriere Hospital in Paris. RESULTS: We included 84
patients; 60% had 3 or more evaluations. The mean score on first assessment was
22.7 +/- 9, and the mean follow-up was 1.84 +/- 1.10 years. The mean increase was
1.36 +/- 2.3 points/year; this variation was not significantly linked to factors
known to influence disease severity such as age at onset, disease duration, GAA
expansion length, and wheelchair use. CONCLUSIONS: In adult Friedreich's ataxia
patients the Scale for the Assessment and Rating of Ataxia can detect annual
changes independently of disease severity. In future therapeutic trials no
patient stratification is globally required.
PMID- 22076852
TI - [Ethnic differences between pre-trial suspected offenders].
AB - BACKGROUND: Black and minority ethnic (BME) patients with a severe psychiatric
disorder are compulsory admitted to psychiatric hospitals more often than Dutch
native patients. AIM: To describe ethnic differences with regard to (1) the
prevalence of psychiatric disorders, (2) the degree to which 'suspects' are
considered to be accountable for their actions and (3) recommended treatment for
reported pre-trial suspects. METHOD: 14,540 pre-trial reports in the Netherlands
between 2000 and 2006 with a known ethnicity were assessed. Dutch native,
Western, Turkish, Moroccan, Surinamese, Antillean, and other non-Western
defendants were compared with chi-square tests and logistic regression models.
RESULTS: Psychotic and behavioural disorders were more prevalent among bme
suspects, whereas all other psychiatric disorders occurred less frequently in the
BME group. Compared to Dutch native suspects, BME suspects were more often deemed
to be fully accountable for their actions. Antillean, Moroccan, Surinamese, and
other non-Western suspects were more often recommended for compulsory admission
to a psychiatric hospital or received no treatment and much less out-patient
treatment. There were no ethnic differences with regard to the frequency with
which suspects were recommended for compulsory admission to a penitentiary
hospital or with regard to medication. CONCLUSION: Compared to Dutch native
suspects, BME suspects are, on one hand, more often deemed accountable for their
actions but, on the other hand, are more often recommended for compulsory
admission to a psychiatric hospital.
PMID- 22076853
TI - [Mania in late life: bipolar disorder as diagnosis by exclusion].
AB - BACKGROUND: The underlying cause of mania in later life can be an early- or late
onset bipolar disorder or it can be a mood disorder arising from a physical
illness, also known as 'a secondary mania'. Thorough diagnostic tests are needed
to differentiate between the two types of mania. AIM: To discuss the
epidemiology, presentation, diagnostic considerations and treatment options when
a mania develops in later life. METHOD: The literature was studied systematically
with the help of PubMed, the Cochrane Library, specialist manuals, Dutch
guidelines and references. RESULTS: So far, research into the incidence and
prevalence of a mania in later life has been very limited. In making a
differential diagnosis of this kind of mania the clinician has to consider not
only the possibility of a bipolar disorder, severe depression or psychosis but
also the possibility of delirium, dementia or secondary mania. According to some
researchers, a mania can be caused by various neurological, systemic and
pharmacological factors. Patients should be given somatic screening, including
brain imaging. Lithium and antipsychotics are the agents of choice for treating a
mania occurring as part of a bipolar disorder as well as for treating a secondary
mania. CONCLUSION: Epidemiologic research into late-onset mania is limited. In
older patients it is important to identify -or rule out- somatic causes
(secondary mania, dementia, delirium). Symptomatic treatment is more or less on
the same lines as the treatment for mania in young adults. In cases of secondary
mania maintenance treatment is not always necessary, but must be considered if
risk factors for bipolar disorder are present.
PMID- 22076854
TI - [The Netherlands Association for Psychiatry: 140 years of lurching between
differentiation and integration].
AB - BACKGROUND: Over the last 20 years the Netherlands Association for Psychiatry
(Nederlandse Vereniging voor Psychiatrie) has undergone fundamental changes, both
in its internal functioning and in its relationship to society. The 140th
birthday of the Association is a fitting occasion on which to look back on its
history. AIM: o give an overview of the Association's importance for psychiatry,
psychiatrists and psychiatric care and to summarise the aspects that have
continued those that have changed. METHOD: Primary sources (the Association's
archives) and additional literature were studied. results: In view of the
scholarly and professional heterogeneity of the Association it is amazing that
the members have never really split up into factions, the only exception being
the neurologists. CONCLUSION: Through out its history the medical identity of the
Association has been the most important binding factor. Biopsychosocial
generalism was undoubtedly a premise, although, in fact, major changes in
emphasis did occur.
PMID- 22076855
TI - [Conditional shared confidentiality with regard to the exchange of information
between members of a team or network; ethical advice needs to be updated].
AB - BACKGROUND: Care-givers not only work as a team, but increasingly they also
participate in networks. This development represents an ethical challenge to the
exchange of information and to confidentiality. OBJECTIVE: To revise and update
earlier advice formulated by the Ethics Committee for Mental Health Care of the
Brothers of Charity in Flanders, in particular concerning the question of whether
shared confidentiality can be extended from a team to a network. METHOD: The
Ethics Committee applied an appropriate method which combined ethical discussion
and literature research. RESULTS: The earlier advice is no longer adequate
because of certain practical and theoretical grounds. The Ethics Committee
chooses to take a positive view of the developing cooperation between care-givers
and networks. Consequently, the committee proposes shared confidentiality, but
links this to five conditions: (1) the care-givers should participate in a
clearly defined and identifiable team or network, (2) they should have a caring
task in common, (3) they should be pledged to confidentiality, (4) they should
consult with the patient and obtain his/her informed consent, (5) they should
apply 'the filter of relevance'. CONCLUSION: Care-givers can exchange information
with members of a team or network, but this should be done on the basis of
conditional shared confidentiality.
PMID- 22076856
TI - [Parents with severe mental illness. Epidemiological data].
AB - BACKGROUND: It is becoming increasingly clear that people with severe mental
illness (SMI) are in need of support with parenting. So far, however, little is
known about how many persons fall into this category. AIM: To estimate how many
SMI patients aged 18 to 65 are parents with children and how many need help with
parenting. METHOD: We based our estimate on epidemiological studies and on
official records and data relating to SMI patients for the year 2009. RESULTS: We
estimated that 48% of patients with smi had children. The total number of such
patients for the year 2009 was 68,000; this figure represents 0.9% of the Dutch
population in the 18-64 age-group. CONCLUSION: Health professionals and carers
need to be alerted to the fact that almost 50%of the patients with SMI require
possibly help in fulfilling their parental role. Potential problems in the parent
child relationship need to be registered in greater details so that more adequate
care can be provided both at individual level and national level.
PMID- 22076857
TI - [Contact with the child and adolescent psychiatric services is determined by
cultural and socio-economic differences].
AB - BACKGROUND: In the Netherlands access to mental healthcare is not evenly
distributed over ethnic groups. Young persons of non-Dutch origin make only
limited use of Dutch child and adolescent psychiatric services. AIM: To
investigate to what extent differences in the use of child and adolescent
psychiatric services are related to ethnic cultural factors or socio-economic
position. METHOD: On the basis of data from the Rotterdam psychiatric case
register we calculated the incidence-related risks for different ethnic groups
and according to income level. Poisson regression analysis enabled us to take
into account differences in the composition of the groups according to age and
gender. RESULTS: All ethnic groups have less contact than the native population
with the psychiatric services, but there is also an effect of income level,
irrespective of ethnicity. In the native population the number of persons seeking
assistance from the psychiatric services was found to be higher in lower income
categories. CONCLUSION: Access to the child and adolescent psychiatric services
is influenced by both ethnic and socio-cultural differences.
PMID- 22076858
TI - [Narcolepsy in children with a psychiatric disorder].
AB - Children with a psychiatric disorder often have sleep problems. To interpret
these problems correctly one needs to conduct a multimodal investigation at three
levels: internal, psychiatric and neurological. On the basis of a case study we
discuss the differential diagnostic considerations for narcolepsy and a
diagnostic protocol for children.
PMID- 22076859
TI - [Reaction on: vitamin D deficiency and psychiatric patients].
PMID- 22076860
TI - Evidence of frontotemporal structural hypoconnectivity in social anxiety
disorder: A quantitative fiber tractography study.
AB - Investigation of the brain's white matter fiber tracts in social anxiety disorder
(SAD) may provide insight into the underlying pathophysiology. Because models of
pathological anxiety posit altered frontolimbic interactions, the uncinate
fasciculus (UF) connecting (orbito-) frontal and temporal areas including the
amygdala is of particular interest. Microstructural alterations in parts of the
UF have been reported previously, whereas examination of the UF as discrete fiber
tract with regard to more large-scale properties is still lacking. Diffusion
tensor imaging was applied in 25 patients with generalized SAD and 25 healthy
control subjects matched by age and gender. By means of fiber tractography, the
UF was reconstructed for each participant. The inferior fronto-occipital
fasciculus (IFOF), originating from the frontal cortex similarly to the UF, was
additionally included as control tract. Volume and fractional anisotropy (FA)
were compared between the groups for both tracts. Volume of left and right UF was
reduced in patients with SAD, reaching statistical significance for the left UF.
Bilateral IFOF volume was not different between groups. A similar pattern was
observed for FA. Reduced volume of the left UF in SAD fits well into
pathophysiological models of anxiety, as it suggests deficient structural
connectivity between higher-level control areas in the orbitofrontal cortex and
more basal limbic areas like the amygdala. The results point to a specific role
of the left UF with regard to altered white matter volume in SAD. However,
results should be replicated and functional correlates of altered UF volume be
determined in future studies.
PMID- 22076861
TI - Evaluating the suitability of planted forests for African forest monkeys: a case
study from Kakamega forest, Kenya.
AB - As natural forest cover declines, planted forests have come to occupy an
increasing percentage of the earth's surface, yet we know little about their
suitability as alternative habitat for wildlife. Although some primate species
use planted forests, few studies have compared primate populations in natural and
nearby planted forests. From March 2006 to July 2010, we conducted line transect
surveys and assessed group sizes and compositions in natural and nearby 60-70
year old mixed indigenous planted forest to determine the densities of diurnal
primate species (Colobus guereza, Cercopithecus mitis, C. ascanius) in these two
forest types at Isecheno, Kakamega Forest, Kenya. Line transect data were
analyzed using the Encounter Rate, Whitesides, and Distance sampling methods,
which all provided broadly consistent results. We found that all three diurnal
primate species occupy both natural and planted forest at Isecheno. However,
group densities of the two Cercopithecus species were 42-46% lower in planted
than in natural forest. Colobus guereza achieved comparable group densities in
the two forest types, although the species is found in smaller groups, and thus
at lower (35%) individual density, in planted than in natural forest. Following a
logging episode in the planted forest mid-way through our study, Cercopithecus
ascanius group densities fell by 60% while C. mitis and Colobus guereza group
densities remained stable over the next two years. Overall, our results suggest
that while primate species vary in their response to habitat disturbance, planted
forest has the potential to contribute to the conservation of some African monkey
species. Even for the relatively flexible taxa in our study, however, 60-70 year
old mixed indigenous planted forest failed to support densities comparable to
those in nearby natural forest. From the perspective of Kakamega's primates,
planted forests may supplement natural forest, but are not an adequate
replacement for it.
PMID- 22076862
TI - Tracheal intubation in an unanticipated difficult airway by advancing a
bronchoscope and a tracheal tube introducer through a LMA SupremeTM.
PMID- 22076863
TI - Histidine affinity tags affect MSP1(42) structural stability and immunodominance
in mice.
AB - Inclusion of affinity tags has greatly facilitated process development for
protein antigens, primarily for their recovery from complex mixtures. Although
generally viewed as supportive of product development, affinity tags may have
unintended consequences on protein solubility, susceptibility to aggregation, and
immunogenicity. Merozoite surface protein 1 (MSP1), an erythrocytic stage protein
of Plasmodium falciparum and a candidate malaria vaccine, was used to evaluate
the impact of a metal ion affinity-tag on both protein structure and the
induction of immunity. To this end, codon harmonized gene sequences from the P.
falciparum MSP1(42) of FVO and 3D7 parasites were cloned and purified with and
without a histidine (His) tag. We report on the influence of His-affinity tags on
protein expression levels, solubility, secondary structure, thermal denaturation,
aggregation and the impact on humoral and cellular immune responses in mice.
While the overall immunogenicity induced by His-tagged MSP1(42) proteins is
greater, the fine specificity of the humoral and cellular immune responses is
altered relative to anti-parasitic antibody activity and the breadth of T-cell
responses. Thus, the usefulness of protein tags may be outweighed by their
potential impact on structure and function, stressing the need for caution in
their use. See accompanying commentary by Randolph DOI: 10.1002/biot.201100459.
PMID- 22076864
TI - Robotic stereotactic body radiotherapy in the treatment of sinonasal mucosal
melanoma: report of four cases.
AB - BACKGROUND: Sinonasal mucosal melanoma (SNMM) is a rare entity originating from
melanocytes of the sinonasal mucosa. Postoperative radiotherapy is recommended in
all cases to increase local control. However, external radiotherapy is rarely
used as a definitive treatment modality. In this report, we present 4 cases of
SNMM treated with CyberKnife (Accuray, Sunnyvale, CA). METHODS: All patients were
immobilized with a thermoplastic mask. A planning CT scan with 1-mm thickness was
obtained, and these images were fused with MRI for the contouring procedure.
Multiplan (Accuray) inverse planning software was used for treatment planning.
Robotic stereotactic body radiotherapy (SBRT) was delivered with CyberKnife.
RESULTS: Median follow-up was 26 months. Three patients had complete response to
CyberKnife, and 1 patient had partial response. CONCLUSION: Robotic SBRT seems to
be an appealing treatment option for local control. Effective systemic treatment
is required to prevent distant metastases.
PMID- 22076865
TI - A two-color, self-controlled molecular beacon.
AB - Control yourself! A two-color molecular beacon with non-nucleosidic chromophores
in a triplex stem is presented. Pyrene and PDI fluorophores act as mutual
quenchers by formation of a donor-acceptor complex in the closed form.
Hybridization with the target results in two independent fluorescence signals.
The two-color read-out provides a "self-control" feature, which helps to
eliminate false positive signals in imaging and screening applications.
PMID- 22076866
TI - Quantification of protein interaction in living cells by two-photon spectral
imaging with fluorescent protein fluorescence resonance energy transfer pair
devoid of acceptor bleed-through.
AB - Fluorescence resonance energy transfer (FRET) between fluorescent proteins (FPs)
is a powerful method to visualize and quantify protein-protein interaction in
living cells. Unfortunately, the emission bleed-through of FPs limits the usage
of this complex technique. To circumvent undesirable excitation of the acceptor
fluorophore, using two-photon excitation, we searched for FRET pairs that show
selective excitation of the donor but not of the acceptor fluorescent molecule.
We found this property in the fluorescent cyan fluorescent protein (CFP)/yellow
fluorescent protein (YFP) and YFP/mCherry FRET pairs and performed two-photon
excited FRET spectral imaging to quantify protein interactions on the later pair
that shows better spectral discrimination. Applying non-negative matrix
factorization to unmix two-photon excited spectral imaging data, we were able to
eliminate the donor bleed-through as well as the autofluorescence. As a result,
we achieved FRET quantification by means of a single spectral acquisition, making
the FRET approach not only easy and straightforward but also less prone to
calculation artifacts. As an application of our approach, the intermolecular
interaction of amyloid precursor protein and the adaptor protein Fe65 associated
with Alzheimer's disease was quantified. We believe that the FRET approach using
two-photon and fluorescent YFP/mCherry pair is a promising method to monitor
protein interaction in living cells.
PMID- 22076867
TI - Following aptamer-ricin specific binding by single molecule recognition and force
spectroscopy measurements.
AB - Single molecule recognition imaging and dynamic force spectroscopy (DFS) analysis
showed strong binding affinity between an aptamer and ricin, which was comparable
with antibody-ricin interaction. Molecular simulation showed a ricin binding
conformation with aptamers and gave different ricin conformations immobilizing on
substrates that were consistent with AFM images.
PMID- 22076868
TI - Rates and correlates of sexual activity and impairment among women with systemic
sclerosis.
AB - OBJECTIVE: To assess 1) the rates of sexual activity and impairment, 2) clinical
correlates of sexual activity/impairment, and 3) common sources of pain during
and after sex in a large sample of female patients with systemic sclerosis (SSc;
scleroderma). METHODS: We performed a cross-sectional multicenter study of female
SSc patients from the Canadian Scleroderma Research Group Registry. Patients
underwent medical examinations and clinical histories and were asked whether they
had engaged in sexual activities with their partner in the past 4 weeks. Sexually
active patients completed a 9-item version of the Female Sexual Function Index
(FSFI) and items related to problems that may be linked to sexual dysfunction in
SSc. Multivariate logistic regressions assessed independent predictors of
activity/inactivity and sexual dysfunction. RESULTS: A total of 226 (41%) of 547
patients, including 215 (54%) of the 401 patients currently in relationships,
reported having engaged in sexual activities with a partner in the past 4 weeks.
Among 165 sexually active patients with complete data for all variables, 102
(62%) had FSFI total scores <=22.5, indicating impaired function. Seventeen
percent of the patients were sexually active and not impaired. Independent
predictors (P < 0.05) of sexual activity were younger age, fewer gastrointestinal
symptoms, and less severe Raynaud's phenomenon symptoms. Sexual impairment was
independently associated with older age, higher skin scores, and more severe
breathing problems. Vaginal pain was 8 times more likely among women with
impairment. CONCLUSION: Research is needed to compare the extent of activity and
impairment in SSc compared to women without SSc and to develop interventions to
address impaired sexual function in women with SSc.
PMID- 22076869
TI - Myrosinase hydrolysates of Brassica oleraceae L. var. italica reduce the risk of
colon cancer.
AB - By means of liquid chromatography-electrospray ionization (LC-ESI) mass
spectrometry two glucosinolates, glucoiberin and 3-hydroxy,4(alpha-L
rhamnopyranosyloxy) benzyl glucosinolate, were identified in the aqueous extract
of Brassica oleraceae L var. italica. Further, two compounds were isolated after
enzymatic hydrolysis of the aqueous extract by myrosinase, one of them was
identified as 4-vinyl-3-pyrazolidinone. The second compound (sulphoraphane) 1
isothiocyanate-4-methyl-sulphinyl butane, converted to the most stable form of
thiourea (sulphoraphane thiourea). The crude extract (80% alcohol extract) of
broccoli florets was examined for cytotoxic activity against different human
cancer cell lines, it showed good inhibition of colon cancer (IC(50) 3.88 ug/mL).
On the other hand each of the successive extracts (petroleum ether, chloroform,
ethyl acetate and ethanol) showed no significant cytotoxic activity. When
myrosinase hydrolysate was tested for cytotoxic activity on the colon cancer cell
line it showed very high activity - 95% lethality up to 0.78 ug/mL.
PMID- 22076870
TI - Prefrontal alterations in Parkinson's disease with levodopa-induced dyskinesia
during fMRI motor task.
AB - Levodopa-induced dyskinesia represents disabling complication of long-term
therapy with dopaminergic drugs in treating Parkinson's disease (PD). Recently,
our group demonstrated that PD patients with levodopa-induced dyskinesia were
characterized by abnormal volumetric changes in the inferior prefrontal gyrus. In
this study, the functional relevance of this structural abnormality was explored
using functional magnetic resonance imaging. Ten dyskinetic PD patients and 10
nondyskinetic PD patients were studied in the OFF phase with functional magnetic
resonance imaging while performing externally and internally triggered visuomotor
tasks. Although neither group demonstrated behavioral differences during
execution of motor tasks, magnetic resonance imaging analysis detected
significant changes in target cortical regions. In particular, PD patients with
levodopa-induced dyskinesia showed significant overactivity in the supplementary
motor area and underactivity in the right inferior prefrontal gyrus during
execution of both tasks when compared with PD patients without levodopa-induced
dyskinesia. Moreover, these prefrontal functional alterations were significantly
correlated with Abnormal Involuntary Movement Scale scores. This functional
magnetic resonance imaging study together with our previous volumetric findings
highlights the role of the prefrontal cortex in the neuronal mechanisms of
dyskinesia.
PMID- 22076871
TI - Does sleep restore the topology of functional brain networks?
AB - Previous studies have shown that healthy anatomical as well as functional brain
networks have small-world properties and become less optimal with brain disease.
During sleep, the functional brain network becomes more small-world-like. Here we
test the hypothesis that the functional brain network during wakefulness becomes
less optimal after sleep deprivation (SD). Electroencephalography (EEG) was
recorded five times a day after a night of SD and after a night of normal sleep
in eight young healthy subjects, both during eyes-closed and eyes-open resting
state. Overall synchronization was determined with the synchronization likelihood
(SL) and the phase lag index (PLI). From these coupling strength matrices the
normalized clustering coefficient C (a measurement of local clustering) and path
length L (a measurement of global integration) were computed. Both measures were
normalized by dividing them by their corresponding C-s and L-s values of random
control networks. SD reduced alpha band C/C-s and L/L-s and theta band C/C-s
during eyes-closed resting state. In contrast, SD increased gamma-band C/C-s and
L/L-s during eyes-open resting state. Functional relevance of these changes in
network properties was suggested by their association with sleep deprivation
induced performance deficits on a sustained attention simple reaction time task.
The findings indicate that SD results in a more random network of alpha-coupling
and a more ordered network of gamma-coupling. The present study shows that SD
induces frequency-specific changes in the functional network topology of the
brain, supporting the idea that sleep plays a role in the maintenance of an
optimal functional network.
PMID- 22076872
TI - The influence of induction therapy for kidney transplantation after a non-renal
transplant.
AB - BACKGROUND AND OBJECTIVES: Non-renal transplant recipients who subsequently
develop ESRD and undergo kidney transplantation are medically and immunologically
complex due to comorbidities, high cumulative exposure to immunosuppressants, and
sensitization to alloantigen from the prior transplant. Although prior non-renal
transplant recipients are one of the fastest growing segments of the kidney wait
list, minimal data exist to guide the use of antibody induction therapy (IT+) at
the time of kidney after lung (KALu), heart (KAH), and liver (KALi) transplant.
DESIGN, SETTING, PARTICIPANTS, & MEASUREMENTS: This retrospective cohort study
used national registry data to examine IT use and survival after kidney
transplantation. Separate multivariate Cox regression models were constructed to
assess patient survival for IT+ and IT- KALu (n=232), KAH (n=588), and KALi
(n=736) recipients. RESULTS: Use of IT increased during the study period. The
percentage of patients considered highly sensitized (panel reactive antibody
>=20%) was not statistically significant between IT+ and IT- groups. IT+ was not
associated with improvement in 1- and 10-year patient survival for KALu (P=0.20
and P=0.22, respectively) or for KAH (P=0.90 and P=0.14, respectively). However,
IT+ among KALi was associated with inferior patient survival at 1 and 10 years
(P=0.04 and P=0.02, respectively). CONCLUSIONS: Use of IT for kidney
transplantation among prior non-renal transplant recipients may not offer a
survival advantage in KALu or KAH. However, due to limited power, these findings
should be interpreted cautiously. IT+ was associated with inferior outcomes for
KALi. Use of IT should be judicially reconsidered in this complex group of
recipients.
PMID- 22076873
TI - Venous thromboembolism in patients with membranous nephropathy.
AB - BACKGROUND AND OBJECTIVES: The aims of this study were to determine the frequency
of venous thromboembolic events in a large cohort of patients with idiopathic
membranous nephropathy and to identify predisposing risk factors. DESIGN,
SETTING, PARTICIPANTS, & MEASUREMENTS: We studied patients with biopsy-proven
membranous nephropathy from the Glomerular Disease Collaborative Network (n=412)
and the Toronto Glomerulonephritis Registry (n=486) inception cohorts. The
cohorts were pooled after establishing similar baseline characteristics (total
n=898). Clinically apparent and radiologically confirmed venous thromboembolic
events were identified. Potential risk factors were evaluated using multivariable
logistic regression models. RESULTS: Sixty-five (7.2%) subjects had at least one
venous thromboembolic event, and this rate did not differ significantly between
registries. Most venous thromboembolic events occurred within 2 years of first
clinical assessment (median time to VTE = 3.8 months). After adjusting for age,
sex, proteinuria, and immunosuppressive therapy, hypoalbuminemia at diagnosis was
the only independent predictor of a venous thromboembolic event. Each 1.0 g/dl
reduction in serum albumin was associated with a 2.13-fold increased risk of VTE.
An albumin level <2.8 g/dl was the threshold below which risk for a venous
thromboembolic event was greatest. CONCLUSIONS: We conclude that clinically
apparent venous thromboembolic events occur in about 7% of patients with
membranous nephropathy. Hypoalbuminemia, particularly <2.8 g/dl, is the most
significant independent predictor of venous thrombotic risk.
PMID- 22076874
TI - Early renal function decline in type 2 diabetes.
AB - BACKGROUND AND OBJECTIVES: Early decline in GFR may reflect progressive kidney
disease in type 1 diabetes, but its predictive value in type 2 diabetes is
uncertain. DESIGN, SETTING, PARTICIPANTS, & MEASUREMENTS: In this longitudinal
study, GFR was measured serially over approximately 4.0 years in 195 Pima Indians
with type 2 diabetes. Renal function decline (RFD) was defined during this
initial period by an average GFR loss >=3.3%/yr, as defined previously in type 1
diabetes. Subsequently, participants were followed for up to 17.8 years to ESRD
onset, death, or December 31, 2010, whichever came first. RESULTS: RFD prevalence
during the initial period was 32% in 68 participants with normal baseline
albuminuria (albumin/creatinine ratio [ACR] < 30 mg/g), 42% in 88 with
microalbuminuria (ACR 30 to <300 mg/g), and 74% in 39 with macroalbuminuria (ACR
>=300 mg/g; P<0.001). The cumulative incidence of ESRD 10 years after the initial
period was 41% in those with RFD and 15% in those without (P<0.001); 41 of the 49
ESRD cases (83.7%) occurred in participants who had or developed macroalbuminuria
during the initial period. When adjusted for age, sex, diabetes duration, and
hemoglobin A1c, the ESRD hazard rate was 4.78 times (95% confidence interval,
2.39-9.58) as high in those with RFD as in those without; further adjustment for
albuminuria attenuated this association (hazard ratio, 1.79; 95% confidence
interval, 0.82-3.91). CONCLUSIONS: In type 2 diabetes, loss of GFR often occurs
before the onset of macroalbuminuria, but a decline predictive of ESRD is
strongly dependent on progression to macroalbuminuria.
PMID- 22076876
TI - Adding specialized clinics for remote-dwellers with chronic kidney disease: a
cost-utility analysis.
AB - BACKGROUND AND OBJECTIVES: This study aimed to determine whether opening a new
clinic in a remote region would be a cost-effective means of improving care for
remote-dwellers with CKD. DESIGN, SETTING, PARTICIPANTS, & MEASUREMENTS: This
study is a cost-utility analysis from a public payer's perspective over a
lifetime horizon, using administrative data from a large cohort of adults with
stage 3b-4 CKD in Alberta, Canada. The association between the distance from each
simulated patient's residence and the practice location of the closest
nephrologist and clinical outcomes (quality of care, hospitalization, dialysis,
and death) were examined. A Markov 6-month cycle economic decision model was
analyzed; estimates of the effect of a new clinic were based on the association
between residence location, resource use, and outcomes. Costs are reported in
2009 Canadian dollars. RESULTS: The costs for equipping and operating a clinic
for 321 remote-dwelling patients were estimated at $25,000 and $250,000/yr,
respectively. The incremental cost-utility ratios (ICURs) ranged from $4000 to
$8000/quality-adjusted life-year under most scenarios. However, if reducing
distance to nephrologist care does not alter mortality or hospitalization among
remote-dwellers, the cost-effectiveness becomes less attractive. All other one
way sensitivity analyses had negligible effects on the ICUR. CONCLUSIONS: Given
the low costs of equipping and operating new clinics, and the very attractive
ICUR relative to other currently funded interventions, establishing new clinics
for remote-dwellers could play an important role in efficiently improving
outcomes for patients with CKD. High-quality controlled studies are required to
confirm this hypothesis.
PMID- 22076875
TI - Serum fibroblast growth factor-23 and risk of incident chronic kidney disease in
older community-dwelling women.
AB - BACKGROUND AND OBJECTIVES: Elevated circulating fibroblast growth factor 23
(FGF23) predicts progression of CKD, but it is unknown whether circulating FGF23
independently predicts incident CKD. This study aimed to determine whether
circulating FGF23 predicts incident CKD in community-dwelling women. DESIGN,
SETTING, PARTICIPANTS, & MEASUREMENTS: This study examined the relationship of
intact serum FGF23, 1,25-dihydroxyvitamin D(3) (1,25[OH](2)D), 25-hydroxyvitamin
D (25[OH]D), parathyroid hormone, calcium, and phosphate with prevalent and
incident CKD in 701 disabled women, >=65 years of age, from the Women's Health
and Aging Study I in Baltimore, Maryland, from 1993 to 1997. Incident CKD was
defined as a low estimated GFR (eGFR) <60 ml/min per 1.73 m(2) only, low eGFR <60
ml/min per 1.73 m(2) and a >=25% decline in eGFR from baseline, and an increase
in serum creatinine (>=0.4 mg/dl) at follow-up. RESULTS: At baseline, 381 women
(54.3%) had stage 3 CKD. Of 307 women without CKD at baseline, 63 (20.5%)
developed stage 3 CKD over 24 months of follow-up. After excluding prevalent
cases of CKD, FGF23 (per 1 SD increase) was associated with incident stage 3 CKD
(hazard ratio [HR], 1.51; 95% confidence interval [95% CI], 1.06, 2.16; P=0.02),
low and declining eGFR (HR, 3.69; 95% CI, 1.68, 8.11; P=0.001), and increase in
serum creatinine (HR, 5.35; 95% CI, 1.27, 22.54; P=0.02) in respective
multivariable Cox proportional hazards models adjusting for baseline eGFR, age,
race, phosphate, 1,25-dihydroxyvitamin D(3), parathyroid hormone, and other
potential confounders. CONCLUSIONS: Elevated FGF23 is an independent risk factor
for incident CKD in older, disabled, community-dwelling women.
PMID- 22076878
TI - Improving ascertainment of sudden cardiac death in patients with end stage renal
disease.
AB - BACKGROUND AND OBJECTIVES: Data collected by the US Renal Data System (USRDS)
identify sudden cardiac death (SCD) as the leading cause of death among
hemodialysis patients. However, evidence suggests that clinical events captured
on the USRDS death notification form may be inaccurate. A new method for
classifying SCD was recently developed to enhance the accuracy of SCD
classification. This study examined the performance characteristics of this
refined definition using a cohort of hemodialysis patients who experienced a
witnessed SCD as the reference standard. DESIGN, SETTING, PARTICIPANTS, &
MEASUREMENTS: This is a retrospective cohort study of 363 patients who
experienced a witnessed SCD in US Gambro (DaVita) outpatient dialysis clinics.
Sensitivity of SCD defined by death notification forms and SCD defined using
additional administrative sources was compared. Clinical data recorded near time
of death were also examined. RESULTS: Existing USRDS death notification forms
reported 70.8% of witnessed SCD as "cardiac arrest/cause unknown" or
"arrhythmia." The refined definition significantly improved identification to
83.8% of witnessed SCD events (P<0.001). Verified SCD cases that were not
identified by either definition were more likely to be reported on the death
notification form as death due to myocardial infarction, hyperkalemia, sepsis,
malignancy, or unknown cause. CONCLUSIONS: Compared with the death notification
form alone, the refined SCD definition significantly improves the sensitivity of
reporting of witnessed SCD occurring within outpatient hemodialysis clinics. More
accurate reporting of cardiac events by clinicians and refinements to existing
death notification forms may further improve recognition and understanding of
SCD.
PMID- 22076879
TI - Racial differences in the incidence of chronic kidney disease.
AB - BACKGROUND AND OBJECTIVES: The incidence of ESRD is higher in African Americans
than in whites, despite reports of a similar or lower prevalence of CKD. DESIGN,
SETTING, PARTICIPANTS, & MEASUREMENTS: This study compared the incidence of CKD
among young African-American and white adults over 20 years of follow-up in the
community-based Coronary Artery Risk Development in Young Adults study.
Participants included 4119 adults, 18-30 years of age, with an estimated GFR
(eGFR) >=60 ml/min per 1.73 m(2) at baseline. Incident CKD was defined as an eGFR
<60 ml/min per 1.73 m(2) and a >=25% decline in eGFR at study visits conducted
10, 15, and 20 years after baseline. RESULTS: At baseline, the mean age of
African Americans and whites was 24 and 26 years, respectively (P<0.001), and 56%
and 53% of participants, respectively, were women (P=0.06). There were 43
incident cases of CKD during follow-up, 29 (1.4%) among African Americans and 14
(0.7%) among whites (P=0.02). The age- and sex-adjusted hazard ratio (HR) for
incident CKD comparing African Americans to whites was 2.56 (95% confidence
interval [95% CI], 1.35-5.05). After further adjustment for body mass index,
systolic BP, fasting plasma glucose, and HDL cholesterol, the HR was 2.51 (95%
CI, 1.25-5.05). After multivariable adjustment including albuminuria at year 10,
the HR for CKD at year 15 or 20 was 1.12 (95% CI, 0.52-2.41). CONCLUSIONS: In
this study, the 20-year CKD incidence was higher among African Americans than
whites, a difference that is explained in part by albuminuria.
PMID- 22076877
TI - Vascular access sites for acute renal replacement in intensive care units.
AB - BACKGROUND AND OBJECTIVES: Several temporary venous catheterizations are
sometimes required for acute renal replacement therapy (RRT) in the intensive
care unit (ICU). This study compares first and second catheterizations in the
femoral and jugular veins in terms of patient safety. DESIGN, SETTING,
PARTICIPANTS, & MEASUREMENTS: A crossover study from the catheter-dialysis
randomized study (Cathedia), which was conducted among 736 critically ill adults
requiring RRT, was performed. Catheter insertion complications, catheter-tip
colonization, catheter dysfunction and urea reduction ratio (URR) were analyzed
considering the crossover and longitudinal designs. RESULTS: This study analyzed
134 patients who underwent two different sites of catheterization, 57 and 77 of
whom were initially randomized in the femoral and jugular site, respectively.
Using anatomic landmarks, time to insert a femoral catheter was shorter (P=0.01)
and more successful (P=0.003) compared with catheterization in the jugular site.
Time to catheter-tip colonization at removal was not significantly different
between the two sites of insertion (median, 14 days in both groups; hazard ratio,
0.99; 95% confidence interval, 0.61-1.59; P=0.96), as well as time to
dysfunction. URRs were analyzed from 395 dialysis sessions (n=48 patients). No
significant difference (P=0.49) in mean URR was detected between sessions
performed through femoral (n=213; 50.9%) and jugular (n=182; 49.5%) dialysis
catheters. CONCLUSIONS: These results validate prior results of this study group
and extend external validity to the second catheter used for RRT in the ICU.
Femoral and internal jugular acute vascular access sites are both acceptable for
RRT therapy in the ICU.
PMID- 22076880
TI - Predictors of sudden cardiac death: a competing risk approach in the hemodialysis
study.
AB - BACKGROUND AND OBJECTIVES: There are few data on risk factors for sudden cardiac
death (SCD) in patients undergoing hemodialysis (HD). The study objective was to
identify predictors associated with various causes of death in the Hemodialysis
(HEMO) Study and to develop a prediction model for SCD using a competing risk
approach. DESIGN, SETTING, PARTICIPANTS, & MEASUREMENTS: In this analysis of 1745
HEMO participants, all-cause mortality was classified as SCD, non-SCD, and
noncardiac death. Predictors for each cause of death were evaluated using cause
specific Cox proportional hazards models, and a competing risk approach was used
to calculate absolute risk predictions for SCD. RESULTS: During a median follow
up of 2.5 years, 808 patients died. Rates of SCD, non-SCD, and noncardiac death
were 22%, 17%, and 61%, respectively. Predictors of various causes of death
differ somewhat in HD patients. Age, diabetes, peripheral vascular disease,
ischemic heart disease, serum creatinine, and alkaline phosphatase were
independent predictors of SCD. The 3-year C-statistic for SCD was 0.75 (95%
confidence interval, 0.70-0.79), and calibration was good (chi(2)=1.1; P=0.89).
At years 3 and 5 of follow-up, the standard Cox model overestimated the risk for
SCD as compared with the competing risk approach on the relative scale by 25% and
46%, respectively, and on the absolute scale by 2% and 6%, respectively.
CONCLUSIONS: Predictors of various causes of death differ in HD patients. The
proposed prediction model for SCD accounts for competing causes of death.
External validation of this model is required.
PMID- 22076882
TI - Modeling depression in adult female cynomolgus monkeys (Macaca fascicularis).
AB - Depressive disorders are prevalent, costly, and poorly understood. Male rodents
in stress paradigms are most commonly used as animal models, despite the two-fold
increased prevalence of depression in women and sex differences in response to
stress. Although these models have provided valuable insights, new models are
needed to move the field forward. Social stress-associated behavioral depression
in adult female cynomolgus macaques closely resembles human depression in
physiological, neurobiological, and behavioral characteristics, including reduced
body mass, hypothalamic-pituitary-adrenal axis perturbations, autonomic
dysfunction, increased cardiovascular disease risk, reduced hippocampal volume,
altered serotonergic function, decreased activity levels, and increased
mortality. In addition, behaviorally depressed monkeys also have low ovarian
steroid concentrations, even though they continue to have menstrual cycles.
Although this type of ovarian dysfunction has not been reported in depressed
women and is difficult to identify, it may be the key to understanding the high
prevalence of depression in women. Depressive behavior in female cynomolgus
monkeys is naturally occurring and not induced by experimental manipulation.
Different social environmental challenges, including isolation vs. subordination,
may elicit the depression-like response in some animals and not others.
Similarly, social subordination is stressful and depressive behavior is more
common in socially subordinate monkeys. Yet, not all subordinates exhibit
behavioral depression, suggesting individual differences in sensitivity to
specific environmental stressors and enhanced risk of behavioral depression in
some individuals. The behavior and neurobiology of subordinates is distinctly
different than that of behaviorally depressed monkeys, which affords the
opportunity to differentiate between stressed and depressed states. Thus,
behaviorally depressed monkeys exhibit numerous physiological, neurobiological,
and behavioral characteristics same as those of depressed human beings. The
nonhuman primate model represents a new animal model of depression with great
promise for furthering our understanding of this prevalent and debilitating
disease and identifying novel therapeutic targets.
PMID- 22076881
TI - FDG PET in the management of patients with adrenal masses and adrenocortical
carcinoma.
AB - Adrenocortical carcinoma (ACC) is a rare tumor with aggressive behavior, high
recurrence rate, and rapid evolution. Surgery is the only curative modality,
while systemic treatments such as mitotane and chemotherapy associated to
locoregional therapeutic tools remain as palliative options. Imaging has an
important role in the management of patients with ACC both at diagnosis and
during follow-up. First, it is necessary to characterize undetermined adrenal
masses, selecting patients for surgery. Then, in case of malignancy, it is
mandatory to assess disease extension, to detect early relapse during follow-up,
and to evaluate treatment response. Computed tomography scan and magnetic
resonance imaging are actually the most used techniques for these intents as they
are widely available in clinical practice. (18)F-fluorodeoxyglucose positron
emission tomography (FDG PET) is routinely used for other malignancies and, on
the basis of published data, is also becoming a promising tool in the management
of ACC. Not only is it a diagnostic tool complementary to morphological imaging
in the characterization of adrenal masses and in tumoral lesions detection, but
it can be also useful to evaluate tumor response to treatment. New tracers and
indications for the clinical use of FDG PET in this specific disease still have
to be evaluated to assess its role in clinical practice.
PMID- 22076883
TI - High-affinity, selective sigma ligands of the 1,2,3,4-tetrahydro-1,4'
silaspiro[naphthalene-1,4'-piperidine] type: syntheses, structures, and
pharmacological properties.
AB - The 1'-organyl-1,2,3,4-tetrahydrospiro[naphthalene-1,4'-piperidine] derivatives 1
a-4 a [for which organyl=benzyl (1 a), 4-methoxybenzyl (2 a), 2-phenylethyl (3
a), or 3-methylbut-2-enyl (4 a)] are high-affinity, selective sigma1 ligands. The
corresponding sila-analogues 1 b-4 b (replacement of the carbon spirocenter with
a silicon atom) were synthesized in multistep syntheses, starting from
dichlorodivinylsilane, and were isolated as the hydrochlorides 1 b?HCl-4 b?HCl.
Compounds 1 a?HCl-4 a?HCl and 1 b?HCl-4 b?HCl were structurally characterized by
NMR spectroscopy (1H, 13C, 29Si) in solution, and the C/Si analogues 3 a?HCl and
3 b?HCl were studied by single-crystal X-ray diffraction. These structural
investigations were complemented by computational studies. The sigma1 and sigma2
receptor affinities of the C/Si pairs 1 a/1 b-4 a/4 b were studied with
radioligand binding assays. The sigma1 receptor affinity of the silicon compounds
1 b-4 b is slightly higher than that of the corresponding carbon analogues 1 a-4
a. Because affinity for the sigma2 receptor is decreased by the C/Si exchange,
the sigma1/sigma2 selectivity of the silicon compounds is considerably improved,
indicating that the C->Si switch strategy is a powerful tool for modulating both
pharmacological potency and selectivity.
PMID- 22076884
TI - Ischemic pancolitis: recognizing a rare form of acute ischemic colitis.
PMID- 22076885
TI - Duplication of gallbladder.
PMID- 22076886
TI - Bullous dermatosis of hemodialysis: pseudoporphyria.
PMID- 22076887
TI - Primary splenic lymphoma.
PMID- 22076888
TI - [Fever and bilateral cavitation: pulmonary infarction].
PMID- 22076889
TI - [Primary colonic clear cell adenocarcinoma].
PMID- 22076890
TI - Annular pancreas in adults.
PMID- 22076891
TI - [Endometrial adenocarcinoma in young woman].
PMID- 22076892
TI - [Evolution of a refractory cytopenia with multilineage dysplasia and ring
sideroblasts to chronic myelomonocytic leukemia].
PMID- 22076893
TI - [Clinical characteristics of Rett Syndrome].
AB - BACKGROUND: Rett Syndrome is a neurodevelopmental disorder, one of the least
commonly occurring autism spectrum disorders (ASD),affecting mainly females. AIM:
To describe features and molecular specificities of Rett syndrome. METHODS: To
identify articles for this review, a Pubmed search was conducted using the
following keywords: Rett syndrome, regression,mutation, stereotypes. RESULTS:
This syndrome is characterized by cognitive impairment,communication dysfunction,
stereotypic movement disorder, and growth failure. It is generally caused by
mutations in the MECP2 gene. Rett Syndrome has a prevalence ranging from 10-20
000 females. Specific treatment is not available, but patients need a careful
planning for long-term care, with multidisciplinary approaches.
PMID- 22076894
TI - [N-acetylcysteine in septic shock].
AB - AIM: To focus on the various studies evaluating the effects of Nacetylcysteine in
septic shock METHODS: Main references obtained from the medical database Medline
using the keywords: N-acetylcysteine; septic shock, free radicals. RESULTS:
Septic shock remains the leading cause of mortality in intensive care units. The
progressive knowledge of the pathophysiology of septic shock, underline the
production of free radicals and their cellular and microcirculatory effects. The
Nacetylcysteine used mainly in paracetamol poisoning, has properties to control
free radicals. The explosion of free radicals in septic shock has led to multiple
studies assessing the role of N-acetylcysteine as an anti radical, and for its
anti inflammatory action. CONCLUSION: NAC seems to play an important role in
septic shock to control free radicals and the inflammatory response. But these
results remain contradictory. Some larger and more standardized studies should
allow to evaluate the actual effects of NAC in septic shock.
PMID- 22076895
TI - [The causes of death of the women of childbearing age at the hospitals of Sfax].
AB - AIM: To study the principal causes of death of the women dead at an age ranging
between 15 and 49 years old and having been hospitalized in a public medical
structures of the gouvernorat of Sfax. METHODS: Retrospective study concerning
all the included deaths between 1999 and 2007. RESULTS: The study was related to
728 deaths, which correspond to an average of 80.88 deaths FAR per year. Public
highway accidents were the major cause of death (83 cases, 11.4 % of the causes
of death) followed by the burns (37 cases, 5%) and the cerebral vascular
accidents (31 cases, 4.25%). The classification of the causes of death according
to groups of pathologies showed the prevalence of the cardio- vascular diseases
(18.7%), the external causes of death (18.2%), cancers (11.6%) and the causes
related to the pregnancy and the childbirth (8.5%). The maternal death rate was
of 56.91 per 100000. The cause of maternal death was directly related to the
pregnancy in 67.74 % of the cases. The immediate causes were prevailed by the
hemorrhagic causes (27.5%). The death was avoidable in 48.83 % of the cases and
the failures were related to the woman in 60% of the cases. CONCLUSION: The
prevention of new practices of life (female nicotinism, obesity, bad food habits,
lack of vigilance in traffic) and a better practice of the primary and secondary
prevention in medicine could decrease the premature death rates in Tunisia.
PMID- 22076896
TI - [Survival in patients with gastric lymphoma].
AB - BACKGROUND: Mucosa-associated lymphoid tissue lymphoma is a histological type of
marginal zone non-Hodgkin's lymphoma. Its clinical features and prognosis have
seldom been reported because of its indolent clinical course. AIM: To establish
prognostic factors that should be considered for the staging and management of
this disease. METHODS: Clinical data of 40 pathologically confirmed gastric
lymphoma patients, treated during a period of 13 years, were analyzed. RESULTS:
Of the 40 patients, 65% had stage IE - II1E disease and 35% had stage II2E - IV
disease. A total of 18 patients received surgeries.Eighteen patients had
chemotherapy and 10 patients had Helicobacter Pylori eradication therapy. The
complete remission rate after treatment was 50%. The patients were followed up
for a median of 26.75 months. The 5-year overall survival rate was 70%. Early
stage at presentation, surgery, normal lactic dehydrogenase (LDH) levels and
Helicobacter Pylori infection were associated with longer survival in univariate
analysis. CONCLUSION: This study suggested that surgery might be an important
factor predicting the long-term survival of patients with primary gastric
lymphoma. Patients with poor prognostic factors should be treated more
aggressively.
PMID- 22076897
TI - Community-acquired methicillin-resistant Staphylococcus aureus infections
requiring admission to a Tunisian pediatric intensive care unit.
AB - BACKGROUND: There is limited literature describing severe community acquired
methicillin-resistant S aureus (CA-MRSA) in children admitted to an intensive
care unit. AIM: To review clinical features and outcome of children admitted in a
Tunisian pediatric intensive care with CA-MRSA. METHODS: Retrospective chart
review of patients coded for CAMRSA over 10 years. RESULTS: There were 14 (0.32%
of all admissions) patients identified with severe CA-MRSA. The median age was 3
months (range,0.5-156 months). All patients had pulmonary involvement. Six
children (42.8%) developed septic shock. Two (14.3%) patients had multifocal
infection with deep venous thrombosis. Two (14.3%) patients died. CONCLUSION:
Severe CA-MRSA pneumonia dominated presentation. The mortality of CA-MRSA
infection in our series is lower than reported in the literature.
PMID- 22076898
TI - [Obstetrical prognosis following ligation of hypogastric arteries].
AB - BACKGROUND: Ligation of hypogastric arteries is a conservative surgical treatment
in cases of postpartum hemorrhage. AIM: To study the fertility and pregnancies
outcome in women who required hypogastric artery ligation for severe post-partum
haemorrhage in our hospital. METHODS: The fertility and pregnancy outcome
parameters were retrieved from medical files and telephone interviews RESULTS: 34
patients required hypogastric ligation on this period. 39 pregnancies were
observed with 30 term deliveries, 1 ectopic pregnancies and 8 miscarriages. Two
patients had infertility and pregnancy was obtained in less than 10 months most
once desired.Pregnancy outcome was normal. 73,3% of deliveries were by caesarean.
CONCLUSION: Hypogastric artery ligation for post-partum haemorrhage is not
responsible for secondary infertility. Following pregnancies do not suffer
complications from the ligation.
PMID- 22076899
TI - [Epidemiology of domestic accidents of young children in Tunisia: impact of the
training of health professionals on data collection quality].
AB - BACKGROUND: In Tunisia, several studies on domestic injuries have been carried
out on children attending care facilities. Nevertheless,there is a lack of data
on incidence and kinds of child domestic injuries amongst general population
because of absence of a reliable data collect system. AIM: To estimate the
incidence and kinds of domestic injuries through a prospective survey "here
there" within two cohorts of young children attending two mother and child
protection centers (MCPC) in Tunis during the three first years of their life and
to analyze the importance of health professional training in improving data
collect. METHODS: A prospective study "here-there" was carried out on two cohorts
of children in two mother and child protection centers (MCPC) between January
2007 and December 2009. Only children aged less than 3 months at their first
contact with the centers were included and followed up until age of 3 years. In
the MCPC2 (cohort2), health personnel have been trained on data collection
importance related to child domestic accident and asked to monitor accidents by
calling parents while a phone line got available for this aim. In the MCPC1,
health personnel was asked to work as they used to do and to collect data on
child domestic injuries when children attend the center. RESULTS: 192/435
domestic accidents were recorded within cohort 2 vs only 1/686 within cohort 1.
Annual incidence rate was 14.7% for the cohort 2. The kinds of accidents were:
falls 78.2% (falls from high plans 84.6%), injuries15.1% (injury by cutting
things 58.6%),burns 5.7% (burns by hot liquid 54.5%), intoxications 1%. In terms
of damages, we recorded 4 cases of broken bones (thighbone, elbow,handwrist),
stitches in 11 cases, broken teeth in 3 cases and nail pulled out in one case. No
death was recorded. Training impact on accident prevention was not studied.
CONCLUSION: Child domestic accidents are relatively frequent. Health personnel
training allows to improve data. The kinds of recorded accidents indicate the
need to educate parents on best practices towards strengthening prevention.
PMID- 22076900
TI - [Handling the cases of school failure in an educational institute in Zaghouan].
AB - AIM: To assess the main reasons for the school failure in a school in Zaghouan,
how to handle these issues, to evaluate the work of the school social office.
METHODS: A retrospective study included 86 failure cases in a school in Zaghouan,
handled by the school social office for three years (2004 - 2007). He have
detected the principals causes of school failure, detected by the educational
staff or by the listening office. RESULTS: The causes of failure are mainly
social (46%) as family problems and low income. These families received financial
aids and free treatment cards. Discussions have been made with the parents in
order to make them more conscious. The pedagogical reasons (28%) however are
usually relationship' problems between the student and his teacher or the student
and the administration, the three subjects were informed so that attitudes could
be changed in the purpose of helping the student. Twelve students (14%) have a
psychological case, depression and over worrying, led in some cases to
addiction.These cases were diagnosed and transferred to specialized clinics.Sense
and chronic diseases (12%), are considered as health reasons for school failure
and caused several absences in the school. The school physicist took care of
these cases by handling them medical guidance cards while observing the diagnose
progress. As school results, 56 cases turned satisfaisant which is 65 % of all
cases. CONCLUSION: The school failure became a priority of the "School Health"
institute. That puss to create the school social program, his aim is protecting
the students from all dangers, early school leaving and social disintegration,
and delinquency. Thus, all parts must be responsible for the school failure,
teachers, parents, students,psychiatrists and physicists, as well as introducing
the social school work and listening offices and missions to the parents,
students and teachers in order to guarantee the success of the operations.
PMID- 22076901
TI - [Effectiveness of acupuncture in treating schizophrenia: a clinical randomized
trial of 31 patients].
AB - BACKGROUND: Acupuncture is one of the most popular types of
complementary/alternative medicine in the world. It is sometimes used as a
treatment for schizophrenia mainly in China. AIM: To assess the contribution of
acupuncture in the treatment of patients treated for schizophrenia in Tunisian
population. METHODS: Our study is a clinical randomized trial about 31
hospitalized patients with schizophrenia or schizo-affectif disorder (DSM IV).
They were evaluated by the Positive and Negative Syndrome Scale (PANSS), the
Scale for the Assessment of Negative Symptoms (SANS) and the Scale for the
Assessment of Positive Symptoms (SAPS) the first and 23nd day of the study.
Manual acupuncture was used for 10 sessions at the rate of three sessions per
week. All patients were under drug therapy. Among them, 15 were treated by
acupuncture and 16 by sham acupuncture. RESULTS: Scores of the PANSS, SAPS and
SANS were similar in the two groups at the end of the study. CONCLUSION: Our
study did not provide any evidence for the effectiveness of acupuncture in
treating schizophrenic symptoms.
PMID- 22076902
TI - NY-ESO-1 expression and immunogenicity in prostate cancer patients.
AB - BACKGROUND: Prostate cancer is the second leading cause of men cancer-related
death. Cancer immunotherapy has been investigated as a treatment which might be
instituted at the point of detection of androgen-independent metastatic disease.
AIM: to investigate the expression and humoral response against NYESO-1 in
patients with prostate cancer (PC) and to analyze the relationship between
expression of NY-ESO-1 and clinicopathological features. METHODS: NY-ESO-1 mRNA
in surgically resected PC and benign prostatic hyperplasia (BPH) were examined by
reverse transcriptionpolymerase chain reaction. The antibody response to NY-ESO-1
was examined by enzyme-linked Elisa assay using recombinant NYESO-1 protein.
RESULTS: NY-ESO-1 mRNA was detected in 9 of 23 (39%) PC patients. Antibodies
against NY-ESO-1 protein were detected in 12 of 23 (52%) sera of PC patients and
in 5 of 9 (55%) of NY-ESO-1 expressing tumors. However, no mRNA copy or NY-ESO-1
antibodies were detected in all BPH patients tested. CONCLUSION: The present
study has demonstrated the expression of NY-ESO-1mRNA in prostate Cancer patients
and NY-ESO-1 antibody production. Our data suggest that NY-ESO-1 could be used as
a tumor marker and constitute a good candidate for vaccine-based immunotherapy
for hormonal resistant prostate cancer patients.
PMID- 22076903
TI - Venous thromboembolism risk and prophylaxis in the acute hospital care setting
results of the Endorse study in Tunisia.
AB - BACKGROUND: There are not information about the risk of venous thromboembolism
(VTE) and its prophylaxis in Tunisia. AIM: To report the Tunisian results of a
multinational crosssectional study, designed to assess the prevalence of VTE risk
in the acute hospital care setting and to determine the proportion of at risk
patients who receive effective prophylaxis. METHODS: All hospital inpatients aged
40 years or over admitted to a medical ward or these aged 18 years or over
admitted to surgical ward, in 5 Tunisian hospitals were assessed for risk of VTE
on the basis of hospital chart review. The 2004 American College of chest
physicians (ACCP) evidence based consensus guidelines were used to assess VTE
risk and to determine whether patients were received recommended prophylaxis.
RESULTS: 885 were enrolled, 212 (24%) were surgical and 673 (76%) were medical.
408 (44, 9%) judged to be at risk, 95 (44, 8%) are surgical and 313 (46, 5%) are
medical. LWMH are the most used. Mechanical prophylaxis was never used.
CONCLUSION: The percentage of at risk patient in Tunisia is comparable to these
of other countries. The majority of at risk patient are medical. The prophylaxis
was under used. Hospital strategies to assess patient VTE risk and implementation
of prophylaxis protocols are needed.
PMID- 22076904
TI - Sister Mary Joseph's nodule showing adenocarcinoma of pancreas.
PMID- 22076905
TI - [Bellini renal cell carcinoma : diagnosis and treatment. A report of 7 cases].
AB - BACKGROUND: The Bellini collecting duct carcinoma (CDC) is a very rare form of
renal cell carcinoma (1%) associated with an extremely poor prognosis. AIM: To
study clinical and radiological characteristics of CDC. METHODS: From 1993 to
2002, 7 patients with CDC were treated at our institution. The diagnosis of CDC
was made by a nephrectomy specimen in all cases. Demographic, clinical,
pathological and survival data were gathered. RESULTS: They were five men and two
women with an average age of 63 years. Lumbar pain and hematuria were the main
symptoms. At presentation 2 T1N0M0, 1 T2N0M0, 1 T3N0M0, 1 T3N+M0 and 2 T4N+M+
tumors were seen. All patients underwent a radical nephrectomy. The two patients
who had TNM stage I disease survived without evidence of disease at 5 years and
11 years respectively. Patients with a T4N+M+ tumor experienced rapid progression
and died respectively at 3 and 5 months after nephretomy. The 3 other patients
with T3N+M0, T3N0M0 and T2N0M0 disease; respectively; progressed rapidly and were
lost to follow-up after one year. CONCLUSION: CDC is an aggressive variety of
kidney neoplasm that is often associated with nodal and visceral metastases at
presentation.It is associated with poor prognosis. For the majority of patients
surgical treatment will not result in a cure. Early detection may be the best
method for prolonging patient survival.
PMID- 22076906
TI - Aberrant expression of CD133 and musashi-1 in preneoplastic and neoplastic human
oral squamous epithelium and their correlation with clinicopathological factors.
AB - BACKGROUND: The present study focuses on the expression pattern of the stem cell
markers CD133 and Musashi-1 in precancerous and cancerous tissues of oral
epithelium. The study also aims to investigate the correlation of CD133 and
Musashi-1 expression with clinicopathological factors. METHODS:
Immunohistochemical analysis was done to investigate the expression pattern of
CD133 and Musashi-1, whereas, the coexpression of CD133 and Musashi-1 was studied
using immunofluorescence analysis. RESULTS: A gradual increase in the expression
of CD133 and Musashi-1 was observed from normal to dysplasia to carcinoma. In
addition, the expression of CD133 and Musashi-1 shows significant difference
between the stages and histological types of oral carcinoma. Interestingly,
coexpression of CD133 and Musashi-1 was observed in oral carcinoma and CAL27
cells. CONCLUSIONS: A gradual increase in the expression of CD133 and Musashi-1
from normal to dysplasia to carcinoma suggests the possible involvement of these
2 proteins in oral carcinogenesis. The overexpression of CD133 and Musashi-1 in
advanced stages and also in poorly differentiated tumors reveals their
relationship with invasion and differentiation status of oral carcinoma cells.
Moreover, the significant positive correlation between CD133 and Musashi-1
expression suggests that they might have a functional relationship in oral
carcinoma cells, which needs further investigation.
PMID- 22076907
TI - Triazole, benzotriazole, and naphthotriazole as copper corrosion inhibitors: I.
Molecular electronic and adsorption properties.
AB - The gas-phase adsorption of 1,2,3-triazole, benzotriazole, and naphthotriazole
considered as corrosion inhibitors-on copper surfaces was studied and
characterized using density functional theory (DFT) calculations. We find that
the molecule-surface bond strength increases with increasing molecular size, thus
following the sequence: triazole 0.05).
PMID- 22076912
TI - Do levodopa treatments modify the morphology of the parkinsonian brain?
PMID- 22076913
TI - Acute kidney injury during leukocyte engraftment after autologous stem cell
transplantation in patients with light-chain amyloidosis.
AB - Engraftment syndrome (ES) is a complication of hematopoietic stem cell
transplantation characterized by fever, rash, and non-cardiogenic pulmonary
edema. Acute kidney injury (AKI) has been recognized but is considered a minor
criterion in one and excluded another definition of ES. We have noted a high
incidence of AKI in patients with immunoglobulin light-chain amyloidosis (AL)
undergoing autologous stem cell transplant (ASCT) around the time of leukocyte
engraftment. This study was conducted to further investigate the relationship
between AKI and ES. Data were collected from 377 AL patients who underwent ASCT
from 7/1997 to 10/2009. Patients who experienced an elevation of serum creatinine
>0.5 mg/dL within 4 days of leukocyte engraftment and anyone who presented with
signs associated with ES regardless of renal manifestations were included. Forty
one patients met criteria. Twelve were excluded for positive cultures (10), acute
interstitial nephritis (1), and acute cellular rejection (1). In addition to AKI
(93.1%), patients also exhibit fever (82.7%), hypotension (51.7%), rash (48.2%),
edema (93.1%), diarrhea (69.0%), conjunctival hemorrhage (31.0%), pulmonary edema
(31.0%), pulmonary hemorrhage (13.8%), and transient encephalopathy (17.2%).
Patient with pulmonary involvement were more likely to require dialysis but was
not statistically significant. AKI was very common during leukocyte engraftment
in AL patients. While infectious etiology accounted for some of the AKI, most
appeared to be associated with ES. After infection is ruled out, ES should be
considered in the differential diagnosis when evaluating AKI in this population.
PMID- 22076914
TI - Laser tissue welding analyzed using fluorescence, Stokes shift spectroscopy, and
Huang-Rhys parameter.
AB - Near infrared (NIR) continuous wave laser radiation at the 1,450 nm wavelength
was used to weld porcine aorta and skin samples via the absorption of combitional
vibrational modes of native water in the tissues. The fluorescence spectra were
measured from the key native molecules of welded and non-welded tissues at
specific excitation and emission wavelengths from collagen, elastin, and
tryptophan. The changes in the fluorescence intensities and differences in Stokes
shift (Deltanu(ss) ) of key native fluorophores were measured to differentiate
the Huang-Rhys parameter values (S) of the chromophores. The strength of coupling
depends on the local electron-vibration intra-tissue molecular environment and
the amount of polar solvent water surrounding the net charges on collagen,
elastin, and tryptophan. The S values for both non-welded and welded tissues were
almost the same and less than 3, suggesting minimal changes in the local
molecular environment as a result of welding.
PMID- 22076915
TI - In vitro models.
AB - The current resurgence of interest in the cancer stem cell (CSC) hypothesis as
possibly providing a unifying theory of cancer biology is fueled by the growing
body of work on normal adult tissue stem cells and the promise that CSC may hold
the key to one of the central problems of clinical oncology: tumor recurrence.
Many studies suggest that the microenvironment plays a role, perhaps a seminal
one, in cancer development and progression. In addition, the possibility that the
stem cell-like component of tumors is capable of rapid and reversible changes of
phenotype raises questions concerning studies with these populations and the
application of what we learn to the clinical situation. These types of questions
are extremely difficult to study using in vivo models or freshly isolated cells.
Established cell lines grown in defined conditions provide important model
systems for these studies. There are three types of in vitro models for CSCs: (a)
selected subpopulations of existing tumor lines (derived from serum-containing
medium; (b) creation of lines from tumor or normal cells by genetic manipulation;
or (c) direct in vitro selection of CSC from tumors or sorted tumor cells using
defined serum-free conditions. We review the problems associated with creating
and maintaining in vitro cultures of CSCs and the progress to date on the
establishment of these important models.
PMID- 22076916
TI - Functionalized boranes for hydrogen storage.
AB - Using density functional theory, the generalized gradient approximation for the
exchange-correlation potential and Moller-Plesset perturbation theory we study
the hydrogen uptake of Li- and Mg-doped boranes. Specifically, we calculate the
structures and binding energies of hydrogen molecules sequentially attached to
LiB(6)H(7), LiB(12)H(13), Li(2)B(6)H(6), Li(2)B(12)H(12), MgB(6)H(6), and
MgB(12)H(12). Up to three H(2) molecules can be bound quasi-molecularly to each
of the metal cations with binding energies per H(2) molecule ranging between 0.07
eV and 0.27 eV. The corresponding gravimetric densities lie in the range of 3.49
to 12 wt %, not counting the H atoms bound chemically to the B atoms.
PMID- 22076917
TI - Impact of comorbidity on therapeutic decision-making in head and neck cancer:
audit from a comprehensive cancer center in India.
AB - BACKGROUND: Head and neck cancer has increased incidence of comorbidity due to
tobacco and alcohol use. METHODS: Two hundred consecutive patients were included
in this cross-sectional study. Data on clinico-demographic characteristics and
comorbidity was extracted from case records. Comorbidity was assessed with Adult
Comorbidity Evaluation 27 (ACE-27) and Charlson Comorbidity Index (CCI). Change
in therapeutic decision-making from institutional evidence-based guidelines was
classified as low, medium, or high-impact. RESULTS: Of 200 patients, 68(34%) had
comorbidity while 15 had multimorbidity. No change in therapeutic decision-making
was seen in 139 patients (69.5%), 61patients (30.5%) had change from
institutional evidence-based guidelines. There was strong positive correlation
(Spearman's correlation coefficient = 0.80; p < .001) between ACE-27 and change
in therapeutic decision-making. For CCI, there was moderate positive correlation
(Spearman's correlation coefficient = 0.50; p < .001). CONCLUSION: Comorbidity in
patients with head and neck cancer can influence therapeutic decision-making.
Prospective longitudinal rigorous collection of comorbidity data is warranted for
correlation with outcomes. ACE-27 may be a clinically more meaningful tool for
comorbidity assessment.
PMID- 22076918
TI - Lectin-like oxidized low-density lipoprotein receptor 1 signal is a potent
biomarker and therapeutic target for human rheumatoid arthritis.
AB - OBJECTIVE: To determine whether lectin-like oxidized low-density lipoprotein (ox
LDL) receptor 1 (LOX-1) and the soluble form of LOX-1 (sLOX-1) are novel target
molecules for the diagnosis and treatment of rheumatoid arthritis (RA). METHODS:
Expression of ox-LDL and LOX-1 proteins in human RA synovium was evaluated by
immunohistochemistry. Human RA fibroblast-like synoviocytes (FLS) were assessed
for ox-LDL-induced expression of LOX-1 and ox-LDL-induced production of matrix
metalloproteinase 1 (MMP-1) and MMP-3. Levels of sLOX-1 in the plasma and
synovial fluid of patients with RA, compared with patients with osteoarthritis
(OA), were determined by a specific chemiluminescence enzyme-linked immunoassay.
In animal experiments, ox-LDL was injected into the knee joints of mice, with or
without an anti-LOX-1 neutralizing antibody or sLOX-1, and the severity of
arthritis was analyzed by histology and immunohistochemistry. RESULTS: Oxidized
LDL and LOX-1 proteins were detected in the RA synovial tissue. Levels of MMP-1
and MMP-3 were enhanced by stimulation of RA FLS with ox-LDL, and the production
of both MMPs was inhibited by blockade of the ox-LDL-LOX-1 interaction with the
anti-LOX-1 neutralizing antibody or sLOX-1. Levels of sLOX-1 in the plasma and
synovial fluid of RA patients were significantly higher than those in OA patients
and healthy controls and were positively correlated with inflammation markers and
the extent of RA disease activity. In the knees of mice, blockade of the ox-LDL
LOX-1 interaction suppressed arthritic changes and reduced the expression of MMP
3 induced by ox-LDL. CONCLUSION: These findings strongly indicate that sLOX-1 is
a novel biomarker that may be useful for the diagnosis of RA and for the
evaluation of disease activity in RA. Furthermore, the results suggest that LOX-1
may be a potent therapeutic target for RA.
PMID- 22076919
TI - A novel flow cytometric protocol for assessment of yeast cell adhesion.
AB - Microbial adhesion is a field of recognized relevance and, as such, an impressive
array of tools has been developed to understand its molecular mechanisms and
ultimately for its quantification. Some of the major limitations found within
these methodologies concern the incubation time, the small number of cells
analyzed, and the operator's subjectivity. To overcome these aspects, we have
developed a quantitative method to measure yeast cells' adhesion through flow
cytometry. In this methodology, a suspension of yeast cells is mixed with green
fluorescent polystyrene microspheres (uncoated or coated with host proteins).
Within 2 h, an adhesion profile is obtained based on two parameters: percentage
and cells-microsphere population's distribution pattern. This flow cytometry
protocol represents a useful tool to quantify yeast adhesion to different
substrata in a large scale, providing manifold data in a speedy and informative
manner.
PMID- 22076920
TI - MK615, a Prunus mume Steb. Et Zucc ('Ume') extract, attenuates the growth of A375
melanoma cells by inhibiting the ERK1/2-Id-1 pathway.
AB - The Japanese apricot, a commonly consumed food called 'Ume' in Japan, has been
used for a traditional Japanese medicine for centuries. MK615, an extract of
compounds from 'Ume', has strong antitumorigenic and antiinflammatory effects
including the induction of apoptosis and autophagy, and inhibition of cytokine
production mediated via the inhibition of MAPKs signaling including ERK-1/2, JNK
and p38MAPK. The inhibitor of DNA binding 1 (Id-1), a basic helix-loop-helix
(bHLH) transcription factor family, is essential for DNA binding and the
transcriptional regulation of various proteins that play important roles in the
development, progression and invasion of tumors. In melanoma, Id-1 is
constitutively expressed in the late and early stages, suggesting it as a
therapeutic target in patients with melanoma. This study reports that MK615
profoundly reduced both the mRNA- and protein expression levels of Id-1 and
inhibited cell growth in A375 melanoma cells. MK615 markedly inhibited the
phosphorylation of ERK1/2, which is associated with Id-1 protein expression in
A375 cells. Id-1-specific RNAi induced the death of A375 cells. Moreover, the
expression of Bcl-2 was decreased by both MK615 and Id-1-specific RNAi in A375
cells. The results suggest that MK615 is a potential therapeutic agent for
treating malignant melanoma.
PMID- 22076921
TI - Secondary-structure analysis of alcohol-denatured proteins by vacuum-ultraviolet
circular dichroism spectroscopy.
AB - To elucidate the structural characteristics of alcohol-denatured proteins, we
measured the vacuum-ultraviolet circular dichroism (VUVCD) spectra of six
proteins-myoglobin, human serum albumin, alpha-lactalbumin, thioredoxin, beta
lactoglobulin, and alpha-chymotrypsinogen A-down to 170 nm in trifluoroethanol
solutions (TFE: 0-50%) and down to 175 nm in methanol solutions (MeOH: 0-70%) at
pH 2.0 and 25 degrees C, using a synchrotron-radiation VUVCD spectrophotometer.
The contents of alpha-helices, beta-strands, turns, poly-L-proline type II
helices (PPIIs), and unordered structures of these proteins were estimated using
the SELCON3 program, including the numbers of alpha-helix and beta-strand
segments. Furthermore, the positions of alpha-helices and beta-strands on amino
acid sequences were predicted by combining these secondary-structure data with a
neural-network method. All alcohol-denatured proteins showed higher alpha-helix
contents (up to ~ 90%) compared with the native states, and they consisted of
several long helical segments. The helix-forming ability was higher in TFE than
in MeOH, whereas small amounts of beta-strands without sheets were formed in the
MeOH solution. The produced alpha-helices were transformed dominantly from the
beta-strands and unordered structures, and slightly from the turns. The content
and mean length of alpha-helix segments decreased as the number of disulfide
bonds in the proteins increased, suggesting that disulfide bonds suppress helix
formation by alcohols. These results demonstrate that alcohol-denatured proteins
constitute an ensemble of many long alpha-helices, a few beta-strands and PPIIs,
turns, and unordered structures, depending on the types of proteins and alcohols
involved.
PMID- 22076922
TI - Identification of CD146 expression, angiogenesis, and lymphangiogenesis as
progression, metastasis, and poor-prognosis related markers for gallbladder
adenocarcinoma.
AB - Gallbladder cancers (GBC) are associated with high disease-specific mortality
rates because of no means of early detection and effective therapies. In this
study, we investigated CD146 expression, microvessel densities, and lymph vessel
densities in 108 adenocarcinomas, 15 gallbladder polyps, 35 chronic cholecystitis
tissues, and 46 peritumoral tissues using immunohistochemistry. We demonstrated
that positive CD146 expression, and average microvessel and lymph vessel counts
in gallbladder adenocarcinomas were significantly higher than those in
peritumoral tissues, polyps, and chronic cholecystitis (ps < 0.01). Positive
CD146 expression, and average microvessel and lymph vessel counts were also
significantly lower in cases with well-differentiated adenocarcinoma, maximal
tumor diameter <2 cm, no metastasis of lymph node, and no invasion of regional
tissues than in cases with poorly differentiated adenocarcinoma, maximal tumor
diameter >= 2 cm, metastasis in lymph nodes, and invasion of regional tissues (p
< 0.05 or p < 0.01). Univariate Kaplan-Meier analysis showed that increased
expression of CD146 (p = 0.056), higher average microvessel counts (p < 0.05),
and lymph vessel counts (p < 0.05) were associated with decreased overall
survival. Multivariate Cox regression analysis showed that average microvessel
and lymph vessel counts (ps < 0.05) were independent prognostic predictors in
gallbladder adenocarcinoma. Our study suggested that the elevated expression of
CD146, angiogenesis, and lymphangiogenesis might be closely related to
progression, invasion, metastasis, and prognosis of gallbladder adenocarcinoma.
PMID- 22076924
TI - Acquired facial atrophy: a neglected clinical sign of POEMS syndrome.
PMID- 22076923
TI - PEBP4 gene expression and its significance in invasion and metastasis of non
small cell lung cancer.
AB - The goal of this study was to investigate the function of
phosphatidylethanolamine-binding protein 4 (PEBP4) in invasion and metastasis of
non-small cell lung cancer (NSCLC). PEBP4 mRNA and protein expression in 56 cases
of NSCLC tissues were detected using RT-PCR and Western blot, and the
relationship between PEBP4 expression and invasion and metastasis of NSCLC was
analyzed. The change in the invasive ability of human NSCLC cell line HCC827 was
observed after knocking down PEBP4 expression using RNA interference. PEBP4 mRNA
and protein expression in cancer tissues of patients with lymph node metastasis
were significantly higher than those in patients without lymph node metastasis (p
< 0.05). PEBP4 expression significantly decreased in HCC827 cells after
transfection with PEBP4 siRNA (p < 0.01), and the number of HCC827 cells that
migrated through Transwell chambers was significantly lower than that of non
transfected control and transfected control cells (p < 0.01). PEBP4 over
expression may promote the invasion and metastasis of NSCLC.
PMID- 22076925
TI - Novel thermal effect at nanoshell heating by pulsed laser irradiation: hoop
shaped hot zone formation.
AB - Photonic nanotechnologies have good perspectives to be widely used in
biophotonics. In this study we have developed an approach for calculation of
nanoparticle temperature field accounting for absorbed local intensity at pulse
laser radiation of composite spherical nanoparticles (nanoshells). This approach
allowed us to analyze spatial inhomogeneities of light field diffracted into a
nanoshell and corresponding distribution of the absorption energy and to provide
numerical solution of time-dependent heat conduction equation accounting for
corresponding spatially inhomogeneous distribution of heating sources. We were
able to predict the appearance of a novel thermal effect - hoop-shaped hot zone
on the nanoshell surface. The observed effect has potential applications in cell
biology and medicine for controlled cell optoporation and nanosurgery, as well as
cancer cell killing.
PMID- 22076926
TI - Reproductive tissues maintain insulin sensitivity in diet-induced obesity.
AB - Reproductive dysfunction is associated with obesity. We previously showed that
female mice with diet-induced obesity (DIO) exhibit infertility and thus serve as
a model of human polycystic ovary syndrome (PCOS). We postulated that
differential insulin signaling of tissues leads to reproductive dysfunction;
therefore, a comparison of insulin signaling in reproductive tissues and energy
storage tissues was performed. Pituitary-specific insulin receptor knockout mice
were used as controls. High-fat diet-induced stress, which leads to insulin
resistance, was also investigated by assaying macrophage infiltration and
phosphorylated Jun NH(2)-terminal kinase (pJNK) signaling. In lean mice,
reproductive tissues exhibited reduced sensitivity to insulin compared with
peripheral metabolic tissues. However, in obese mice, where metabolic tissues
exhibited insulin resistance, the pituitary and ovary maintained insulin
sensitivity. Pituitaries responded to insulin through insulin receptor substrate
(IRS)2 but not IRS1, whereas in the ovary, both IRS1 and IRS2 were activated by
insulin. Macrophage infiltration and pJNK signaling were not increased in the
pituitary or ovary of lean mice relative to DIO mice. The lack of inflammation
and cytokine signaling in the pituitary and ovary in DIO mice compared with lean
mice may be one of the reasons that these tissues remained insulin sensitive.
Retained sensitivity of the pituitary and ovary to insulin may contribute to the
pathophysiology of PCOS.
PMID- 22076928
TI - Myofibroblast persistence and collagen type I accumulation in the human stenotic
trachea.
AB - BACKGROUND: Postintubation tracheal stenosis (PITS) is associated with an
increased use of assisted ventilation in intensive care units. We investigated
both collagen type I accumulation and myofibroblast localization in human PITS
lesions excised for surgical therapeutic procedures, compared with normal
tracheas. METHODS: We analyzed 2 segments of normal tracheas and 10 segments of
PITS that were stained by hematoxylin-eosin and picrosirius red techniques and
processed for immunohistochemistry using antibodies against both alpha-smooth
muscle actin (alpha-sma) for myofibroblast detection, and collagen type I.
RESULTS: We showed a significant increase in collagen deposition in PITS
specimens compared with normal tracheas. We found spindle-shaped alpha-sma
positive cells (myofibroblasts) in the subepithelial layer of all pathologic
tracheas, and the persistence of an intense myofibroblast network at PITS sites.
CONCLUSIONS: Tracheal wall thickening in PITS is due to a deranged collagen
remodeling that is related to myofibroblast activation.
PMID- 22076927
TI - Inotuzumab ozogamicin murine analog-mediated B-cell depletion reduces anti-islet
allo- and autoimmune responses.
AB - B cells participate in the priming of the allo- and autoimmune responses, and
their depletion can thus be advantageous for islet transplantation. Herein, we
provide an extensive study of the effect of B-cell depletion in murine models of
islet transplantation. Islet transplantation was performed in hyperglycemic B
cell-deficient(MUMT) mice, in a purely alloimmune setting (BALB/c into
hyperglycemic C57BL/6), in a purely autoimmune setting (NOD.SCID into
hyperglycemic NOD), and in a mixed allo-/autoimmune setting (BALB/c into
hyperglycemic NOD). Inotuzumab ozogamicin murine analog (anti-CD22 monoclonal
antibody conjugated with calicheamicin [anti-CD22/cal]) efficiently depleted B
cells in all three models of islet transplantation examined. Islet graft survival
was significantly prolonged in B-cell-depleted mice compared with control groups
in transplants of islets from BALB/c into C57BL/6 (mean survival time [MST]: 16.5
vs. 12.0 days; P = 0.004), from NOD.SCID into NOD (MST: 23.5 vs. 14.0 days; P =
0.03), and from BALB/c into NOD (MST: 12.0 vs. 5.5 days; P = 0.003). In the
BALB/c into B-cell-deficient mice model, islet survival was prolonged as well
(MST: MUMT = 32.5 vs. WT = 14 days; P = 0.002). Pathology revealed reduced CD3(+)
cell islet infiltration and confirmed the absence of B cells in treated mice.
Mechanistically, effector T cells were reduced in number, concomitant with a
peripheral Th2 profile skewing and ex vivo recipient hyporesponsiveness toward
donor-derived antigen as well as islet autoantigens. Finally, an anti-CD22/cal
and CTLA4-Ig-based combination therapy displayed remarkable prolongation of graft
survival in the stringent model of islet transplantation (BALB/c into NOD). Anti
CD22/cal-mediated B-cell depletion promotes the reduction of the anti-islet
immune response in various models of islet transplantation.
PMID- 22076930
TI - Relativistic Hamiltonians for chemistry: a primer.
PMID- 22076929
TI - Barx2 is expressed in satellite cells and is required for normal muscle growth
and regeneration.
AB - Muscle growth and regeneration are regulated through a series of spatiotemporally
dependent signaling and transcriptional cascades. Although the transcriptional
program controlling myogenesis has been extensively investigated, the full
repertoire of transcriptional regulators involved in this process is far from
defined. Various homeodomain transcription factors have been shown to play
important roles in both muscle development and muscle satellite cell-dependent
repair. Here, we show that the homeodomain factor Barx2 is a new marker for
embryonic and adult myoblasts and is required for normal postnatal muscle growth
and repair. Barx2 is coexpressed with Pax7, which is the canonical marker of
satellite cells, and is upregulated in satellite cells after muscle injury. Mice
lacking the Barx2 gene show reduced postnatal muscle growth, muscle atrophy, and
defective muscle repair. Moreover, loss of Barx2 delays the expression of genes
that control proliferation and differentiation in regenerating muscle. Consistent
with the in vivo observations, satellite cell-derived myoblasts cultured from
Barx2(-/-) mice show decreased proliferation and ability to differentiate
relative to those from wild-type or Barx2(+/-) mice. Barx2(-/-) myoblasts show
reduced expression of the differentiation-associated factor myogenin as well as
cell adhesion and matrix molecules. Finally, we find that mice lacking both Barx2
and dystrophin gene expression have severe early onset myopathy. Together, these
data indicate that Barx2 is an important regulator of muscle growth and repair
that acts via the control of satellite cell proliferation and differentiation.
PMID- 22076931
TI - Flow cytometry counting of bronchoalveolar lavage leukocytes with a new profile
of monoclonal antibodies combination.
AB - BACKGROUND: Differential cell count in bronchoalveolar lavage (BAL) has an
important role in the diagnosis of pulmonary diseases. Optical microscopy method
is usually chosen to identify BAL leukocyte populations despite its technical
limitations. As there are no guidelines to make this analysis by flow cytometry
(FCM), we propose a new monoclonal antibodies combination for this analysis.
METHODS: Thirty-four BAL samples were stained with the monoclonal antibodies
combination CD15/CD16/CD45/HLA-DR and analyzed in a 2-laser cytometer
(FACSCalibur). The results were compared with those obtained by optical
microscopy. RESULTS: Both methods showed a good correlation, but FCM
overestimates lymphocyte population and conversely underestimates alveolar
macrophage population. CONCLUSIONS: The proposed monoclonal antibodies
combination is effective and reliable to identify leukocyte populations in BAL.
PMID- 22076932
TI - Ten years' experience with needle biopsy in the early diagnosis of sacroiliitis.
AB - OBJECTIVE: To evaluate the usefulness of needle biopsy in the diagnosis of early
sacroiliitis to improve the diagnostic level and outcome of ankylosing
spondylitis (AS). METHODS: One hundred nine patients in whom early AS was highly
suspected, but in whom only sacroiliitis of grade I or lower on
radiography/computed tomography (CT) was seen, were recruited for study. CT
guided needle biopsy of the sacroiliac joints was performed, and the patients
were followed up for 5-10 years. RESULTS: Of the 109 patients, magnetic resonance
imaging (MRI) was used to confirm the presence or absence of sacroiliitis in 77
patients. Of these, 23 patients were determined to have sacroiliitis on MRI, and
54 had no sacroiliitis on MRI. Needle biopsy was performed on all 109 patients.
Features of inflammation were found in 85 patients, which included all 23
patients with MRI evidence of sacroiliitis and 38 of the 54 patients without MRI
evidence of sacroiliitis. No features of inflammation were found on needle biopsy
in 24 of the patients, including the remaining 16 patients who did not have
sacroiliitis on MRI. The sensitivity and specificity of MRI for the early
diagnosis of sacroiliitis in these patients were 37.7% and 100%, respectively.
Thirty-four patients with pathologic evidence of sacroiliitis were followed up
for 5-10 years. At the study end point, 16 of these 34 patients continued to show
grade I or lower changes on CT, and 18 had changes of grade II or higher. These
18 patients included 7 of the 8 patients with evidence of sacroiliitis on MRI and
6 of the 20 patients confirmed not to have MRI evidence of sacroiliitis at
baseline. CONCLUSION: MRI, though of low sensitivity, is specific for the
diagnosis of early sacroiliitis. Sacroiliitis can be detected earlier by needle
biopsy than by MRI.
PMID- 22076934
TI - Glial cells in adult neurogenesis.
AB - Adult neurogenesis is an exceptional feature of the adult brain and in an
intriguing way bridges between neuronal and glial neurobiology. Essentially, all
classes of glial cells are directly or indirectly linked to this process. Cells
with astrocytic features, for example, serve as radial glia-like stem cells in
the two neurogenic regions of the adult brain, the hippocampal dentate gyrus and
the subventricular zone of the lateral ventricles, producing new neurons, create
a microenvironment permissive for neurogenesis, and are themselves generated
alongside the new neurons in an associated but independently regulated process.
Oligodendrocytes are generated from precursor cells intermingled with those
generating neurons in an independent lineage. NG2 cells have certain precursor
cell properties and are found throughout the brain parenchyma. They respond to
extrinsic stimuli and injury but do not generate neurons even though they can
express some preneuronal markers. Microglia have positive and negative regulatory
effects as constituents of the "neurogenic niche". Ependymal cells play
incompletely understood roles in adult neurogenesis, but under certain conditions
might exert (back-up) precursor cell functions. Glial contributions to adult
neurogenesis can be direct or indirect and are mediated by mechanisms ranging
from gap-junctional to paracrine and endocrine. As the two neurogenic regions
differ between each other and both from the non-neurogenic rest of the brain, the
question arises in how far regionalization of both the glia-like precursor cells
as well as of the glial cells determines site-specific "neurogenic
permissiveness." In any case, however, "neurogenesis" appears to be an
essentially glial achievement.
PMID- 22076933
TI - Protective effect of Calamintha officinalis Moench leaves against alcohol-induced
gastric mucosa injury in rats. Macroscopic, histologic and phytochemical
analysis.
AB - Calamintha officinalis Moench (Lamiaceae) is an aromatic plant used since ancient
times for its preservative and medicinal properties. The plant, known as
'Mentuccia' in Central Italy, is used in cooking as an aromatizant and to impart
aroma and flavour to food. The methanol extract of the leaves was subjected to
phytochemical and biological investigations. The extract contains polyphenols,
catechic tannins and terpenes and shows radical scavenger activity. By means of
HPLC analysis, eriocitrin, eriodyctiol, acacetin, linarin, benzoic acid and some
phenolic acids, such as caffeic, chlorogenic, p-coumaric, were determined. The
gastroprotective activity of the extract was investigated using ethanol-induced
ulcer in rats, with sucralfate as a reference drug. Samples of gastric mucosa,
stained by PAS and haematoxylin/eosin, were observed by light microscopy. The
efficacy of the extract was comparable to that of the reference drug. Probably
the gastroprotective effect depends on a synergistic action of all the compounds
occurring in C. officinalis leaves, even if the antioxidant potential of the
leaves plays an important role by removing damaging agents from the gastric
mucosa.
PMID- 22076935
TI - Resonance Raman quantification of the redox state of cytochromes b and c in-vivo
and in-vitro.
AB - We observe the redox state changes with respiration of cytochromes b and c in
mitochondria in a living Saccharomyces cerevisiae cell as well as in isolated
mitochondria with the very use of Raman microspectroscopy. The possibility of
monitoring the respiration activity of mitochondria in vivo and in vitro by Raman
microspectroscopic quantification of the cytochrome redox states is suggested. It
will lead to a new means to assess mitochondrial respiration activity in vivo and
in vitro without using any labelling or genetic manipulation.
PMID- 22076936
TI - Prospective trial of chemotherapy-enhanced accelerated radiotherapy for larynx
preservation in patients with intermediate-volume hypopharyngeal cancer.
AB - BACKGROUND: Altered fractionation radiotherapy (RT) improves locoregional control
in head and neck cancer without aggravation of late adverse events. To improve
successful larynx-preservation rates in patients with resectable, intermediate
volume hypopharyngeal cancer, a prospective trial of chemotherapy-enhanced
accelerated RT was conducted. METHODS: Patients with T2 to T4 hypopharyngeal
cancer received 40 Gray (Gy)/4 weeks to the entire neck followed by boost RT
administering 30 Gy/2 weeks (1.5 Gy twice-daily fractionation). Cisplatin and 5
fluorouracil were administered concomitantly only during boost RT. RESULTS:
Thirty-five patients were enrolled in this study. All patients completed this
protocol as planned. After a median follow-up period for surviving patients of 59
months (24-90 months), overall survival and local control rates at 3 years were
91% (95% confidence interval, 81% to 100%), and 88% (79% to 99%), respectively.
All surviving patients maintained normalcy of diets. CONCLUSION: This regimen was
feasible with encouraging oncological and functional outcomes.
PMID- 22076937
TI - Substituents destabilize the molecule by increasing biradicaloid character and
stabilize by intramolecular charge transfer in the derivatives of
benzobis(thiadiazole) and thiadiazolothienopyrazine: a computational study.
AB - Keeping in view the possible applications of singlet open-shell molecules as
semiconductors, non-classical derivatives of the heterocyclic rings
benzobis(thiadiazole) (BBT) and its positional isomer thiadiazolothienopyrazine
(TTP) are characterized using DFT methodologies. M06-2X, B3LYP and BHandHLYP
functionals were used to optimize the geometries and estimate the vertical
transition energies. It is observed that unlike the BHandHLYP functional (50%
exchange), which gives rise to spin-contaminated solutions for all molecules in
the series, M06-2X (54% exchange) affords a wavefunction either with no
instability or negligible instability for most of the molecules. The results are
compared with the earlier reported experimental data and those obtained herein
using the spin-flip (SF)-5050 method. It is found that B3LYP does not fare well
while on the other hand the M06-2X and SF-50-50 are in good agreement with the
experimental results. It is seen that M06-2X TD-DFT for the molecules can be
carried out without major spin contamination and also that the more time
consuming CI can be avoided for the calculation of transition energies. The
biradical nature of the molecules is estimated by the singlet-triplet gap.
Intramolecular charge transfer is calculated. It is found that the ring
substituents donate charge in the ground state, creating a zwitterionic
structure. Thus the substituents play an interesting dual role, decreasing the
stability of the molecule by increasing the biradical character (small HOMO-LUMO
gap), and stabilization of this ground state by intramolecular charge transfer.
PMID- 22076938
TI - 5-Aminoimidazole-4-carboxyamide ribonucleoside induces G(1)/S arrest and Nanog
downregulation via p53 and enhances erythroid differentiation.
AB - Molecular mechanisms of how energy metabolism affects embryonic stem cell (ESC)
pluripotency remain unclear. AMP-activated protein kinase (AMPK), a key regulator
for controlling energy metabolism, is activated in response to ATP-exhausting
stress. We investigated whether cellular energy homeostasis is associated with
maintenance of self-renewal and pluripotency in mouse ESCs (mESCs) by using 5
aminoimidazole-4-carboxyamide ribonucleoside (AICAR) as an activator of AMPK. We
demonstrate that AICAR treatment activates the p53/p21 pathway and markedly
inhibits proliferation of R1 mESCs by inducing G(1) /S-phase cell cycle arrest,
without influencing apoptosis. Treatment with AICAR also significantly reduces
pluripotent stem cell markers, Nanog and stage-specific embryonic antigen-1, in
the presence of leukemia inhibitory factor, without affecting expression of Oct4.
H9 human ESCs also responded to AICAR with induction of p53 activation and
repression of Nanog expression. AICAR reduced Nanog mRNA levels in mESCs
transiently, an effect not due to expression of miR-134 which can suppress Nanog
expression. AICAR induced Nanog degradation, an effect inhibited by MG132, a
proteasome inhibitor. Although AICAR reduced embryoid body formation from mESCs,
it increased expression levels of erythroid cell lineage markers (Ter119, GATA1,
Klf1, Hbb-b, and Hbb-bh1). Although erythroid differentiation was enhanced by
AICAR, endothelial lineage populations were remarkably reduced in AICAR-treated
cells. Our results suggest that energy metabolism regulated by AMPK activity may
control the balance of self-renewal and differentiation of ESCs.
PMID- 22076939
TI - Role of interferon regulatory factor 7 in serum-transfer arthritis: regulation of
interferon-beta production.
AB - OBJECTIVE: Innate immune responses activate synoviocytes and recruit inflammatory
cells into the rheumatoid joint. Type I interferons (IFNs) play a role in
autoimmunity, and IFN gene transcription is activated by IFN-regulatory factors
(IRFs) in response to innate sensor recognition. The purpose of this study was to
examine the effect of genetic deficiency of IRF-7 in a passive K/BxN serum
transfer model of arthritis. METHODS: Passive-transfer arthritis was induced in
IRF-7(-/-) mice, and additional groups were treated with IFNbeta or poly(I-C).
Clinical arthritis scoring, histologic assessment, micro-computed tomography, and
synovial tissue quantitative polymerase chain reaction analysis were performed.
Mouse serum was analyzed by enzyme-linked immunosorbent assay (ELISA). RESULTS:
In the passive K/BxN serum-transfer model, arthritis severity was significantly
increased in IRF-7(-/-) mice compared with wild-type (WT) mice. In addition,
expression of IFNbeta in synovium and serum was decreased, potentially
contributing to increased arthritis. IRF-7(-/-) mice injected with replacement
IFNbeta had a decrease in arthritis. Poly(I-C) treatment diminished arthritis in
IRF-7(-/-) mice, restored synovial IFNbeta gene expression, and increased serum
levels of IFNbeta. In vitro studies demonstrated that poly(I-C) stimulation of
fibroblast-like synoviocytes (FLS) from IRF-7(-/-) mice resulted in increased
induction of proinflammatory gene expression as compared with FLS from WT mice;
however, IFNbeta expression was not significantly different. In contrast,
peritoneal macrophages from IRF-7(-/-) mice showed significantly less induction
of IFNbeta in response to poly(I-C) stimulation. CONCLUSION: IRF-7 deficiency
exacerbates arthritis and replacement treatment with IFNbeta or poly(I-C)
decreases arthritis severity. Both macrophage- and synoviocyte-specific roles of
IRF-7 likely contribute to the increased arthritis. IRF-7 might play an
antiinflammatory role in passive-transfer arthritis through regulation of
macrophage IFNbeta production.
PMID- 22076940
TI - CD80 (B7.1) is expressed on both malignant B cells and nonmalignant stromal cells
in non-Hodgkin lymphoma.
AB - BACKGROUND: CD80 is a member of the B7 family of immune coregulatory proteins
that mediate both immune activation and suppression. CD80 in particular has
recently been shown to play an important role in supporting immune suppression
through interactions with B7-H1. CD80 has been identified as a therapeutic target
in non-Hodgkin lymphoma (NHL) based on limited immunohistochemical studies of
CD80 expression. Clinical studies have shown that the anti-CD80 antibody
galiximab is safe and clinically efficacious in follicular NHL. However, the
mechanisms through which targeting CD80 inhibits tumor progression remain poorly
understood. METHODS: To further define the potential of CD80 as a therapeutic
target in NHL, CD80 expression was evaluated by multicolor flow cytometric
analysis of primary lymphoma cell suspensions generated from 241 diagnostic
biopsies of patients with NHL. RESULTS: CD80 was expressed on malignant B cells
in essentially all cases of follicular lymphoma (97%; n = 115), the majority of
cases of diffuse large B-cell lymphoma (90%; n = 69), marginal zone lymphoma
(91%; n = 22), mantle cell lymphoma (75%; n = 12), and in about half of small
lymphocytic lymphoma cases (43%; n = 23). CD80 was also present on tumor
infiltrating T lymphocytes in nearly all cases. Additionally, CD80 was expressed
by non-B, non-T cells in 68 and 44% of cases of follicular and diffuse large B
cell NHL, respectively. CONCLUSIONS: CD80 is expressed on both malignant cells
and the nonmalignant cells in NHL. Therapeutic targeting of CD80 will therefore
modulate the complex intercellular interactions that define the tumor
microenvironment in NHL.
PMID- 22076941
TI - The beetroot component betanin modulates ROS production, DNA damage and apoptosis
in human polymorphonuclear neutrophils.
AB - The aim of this study was to evaluate the effect of betanin, one of the beetroot
major components, on ROS production, DNA damage and apoptosis in human resting
and stimulated with phorbol 12-myristate13-acetate polymorphonuclear neutrophils,
one of the key elements of the inflammatory response. Incubation of neutrophils
with betanin in the concentration range 2-500 uM resulted in significant
inhibition of ROS production (by 15-46%, depending on the ROS detection assay).
The antioxidant capacity of betanin was most prominently expressed in the
chemiluminescence measurements. This compound decreased also the percentage of
DNA in comet tails in stimulated neutrophils, but only at the 24 h time point. In
resting neutrophils an increased level of DNA in comet tails was observed.
Betanin did not affect the activity of caspase-3, in resting neutrophils, but
significantly enhanced the enzyme activity in stimulated neutrophils. The western
blot analysis showed, however, an increased level of caspase-3 cleavage products
as a result of betanin treatment both in resting and stimulated neutrophils. The
results indicate that betanin may be responsible for the effect of beetroot
products on neutrophil oxidative metabolism and its consequences, DNA damage and
apoptosis. The dose and time dependent effects on these processes require further
studies.
PMID- 22076942
TI - A tale of two polymorphic pharmaceuticals: pyrithyldione and propyphenazone and
their 1937 co-crystal patent.
AB - A co-crystal of two polymorphic active pharmaceutical ingredients (APIs), first
reported and patented in 1937, has been prepared and thoroughly characterised,
including crystal structure analysis. The existence of four crystal forms of one
of the APIs, the sedative and hypnotic active pharmaceutical ingredient 3,3
diethyl-2,4(1H,3H)-pyridinedione, pyrithyldione (PYR), and of three crystal forms
of the co-crystal-forming second API, the non-steroidal anti-inflammatory drug
1,2-dihydro-1,5-dimethyl-4-(1-methylethyl)-2-phenyl-3H-pyrazol-3-one,
propyphenazone (PROP), has been reported previously, but they have only been
partly characterised. For both compounds, none of the metastable forms exist at
room temperature. DSC, hot-stage microscopy, X-ray diffraction and powder
synchrotron X-ray diffraction were employed to characterise the polymorphic forms
and to determine the crystal structures of forms I-III of PYR and forms I and II
of PROP.
PMID- 22076943
TI - Environmental, lifestyle, and familial/ethnic factors associated with
myeloproliferative neoplasms.
AB - Myeloproliferative neoplasms (MPNs) are characterized by overproduction of mature
functional blood cells and are often associated with an acquired genetic mutation
of Janus Kinase 2(V617F). The etiology of MPNs remains unknown. The aim of this
article was to review and collate all known published data investigating
environmental and lifestyle factors associated with MPNs. Medline, Embase,
PubMed, Cochrane, and Web of Science were systematically searched using terms for
MPNs and observational study designs to identify studies investigating the risk
factors for MPNs published before March 2010. Of 9,156 articles identified, 19
met the selection criteria. Although the studies exhibited heterogeneity, in case
definitions, study design, and risk factors investigated, several themes emerged.
A strong association was found with Jewish descent, and with a family history of
MPNs. Autoimmune conditions, specifically Crohn's disease, were more common in
patients with MPNs. Certain occupational groups were significantly associated
with MPNs including occupations with potential exposure to benzene and/or
petroleum. Blood donation was associated with an increased risk of polycythemia
vera specifically. The vast heterogeneity in studies identified as part of this
review suggests that large scale systematic assessment of etiological factors
associated with MPNs is warranted.
PMID- 22076944
TI - Which dimensions of fatigue should be measured in patients with rheumatoid
arthritis? A Delphi study.
AB - OBJECTIVE: Rheumatoid arthritis (RA) patients experience fatigue as a
multidimensional symptom. The aim of the present study was to use health
professionals and patients alike to identify which dimensions of fatigue should
be measured in RA. METHODS: Twelve fatigue dimensions were constructed, based on
items from traditional questionnaires and items generated from interviews. Health
professionals and patients evaluated these dimensions, related to an initial pool
of 294 items, in a Delphi procedure. Dimensions were selected if rated important
by at least 80% of the participants. RESULTS: Ten rheumatologists, 20 nurses and
15 patients participated. All fatigue dimensions were selected directly
(severity, frequency, duration, changes in fatigue, perceived causes of fatigue,
energy, sleep/rest, body feeling, cognition/concentration, coping, negative
emotions/mood and consequences). No additional dimensions emerged from
participants' comments and suggestions. CONCLUSIONS: This study revealed 12
fatigue dimensions. This underlines the multidimensionality of fatigue in RA and
the need for comprehensive measurement.
PMID- 22076945
TI - Acute-phase serum amyloid A regulates tumor necrosis factor alpha and matrix
turnover and predicts disease progression in patients with inflammatory arthritis
before and after biologic therapy.
AB - OBJECTIVE: To investigate the relationship between acute-phase serum amyloid A (A
SAA) and joint destruction in inflammatory arthritis. METHODS: Serum A-SAA and C
reactive protein (CRP) levels, the erythrocyte sedimentation rate (ESR), and
levels of matrix metalloproteinase 1 (MMP-1), MMP-2, MMP-3, MMP-9, MMP-13, tissue
inhibitor of metalloproteinases 1 (TIMP-1), vascular endothelial growth factor
(VEGF), and type I and type II collagen-generated biomarkers C2C and C1,2C were
measured at 0-3 months in patients with inflammatory arthritis commencing anti
tumor necrosis factor alpha (anti-TNFalpha) therapy and were correlated with 1
year radiographic progression. The effects of A-SAA on MMP/TIMP expression on RA
fibroblast-like synoviocytes (FLS), primary human chondrocytes, and RA/psoriatic
arthritis synovial explant cultures were assessed using real-time polymerase
chain reaction, enzyme-linked immunosorbent assay, antibody protein arrays, and
gelatin zymography. RESULTS: Serum A-SAA levels were significantly (P < 0.05)
correlated with MMP-3, the MMP-3:TIMP-1 ratio, C1,2C, C2C, and VEGF. The baseline
A-SAA level but not the ESR or the CRP level correlated with the 28-joint swollen
joint count and was independently associated with 1-year radiographic progression
(P = 0.038). A-SAA increased MMP-1, MMP-3, MMP-13, and MMP/TIMP expression in RA
FLS and synovial explants (P < 0.05). In chondrocytes, A-SAA induced MMP-1, MMP
3, and MMP-13 messenger RNA and protein expression (all P < 0.01), resulting in a
significant shift in MMP:TIMP ratios (P < 0.05). Gelatin zymography revealed that
A-SAA induced MMP-2 and MMP-9 activity. Blockade of the A-SAA receptor SR-B1 (A
SAA receptor scavenger receptor-class B type 1) inhibited MMP-3, MMP-2, and MMP-9
expression in synovial explant cultures ex vivo. Importantly, we demonstrated
that A-SAA has the ability to induce TNFalpha expression in RA synovial explant
cultures (P < 0.05). CONCLUSION: A-SAA may be involved in joint destruction
though MMP induction and collagen cleavage in vivo. The ability of A-SAA to
regulate TNFalpha suggests that A-SAA signaling pathways may provide new
therapeutic strategies for the treatment of inflammatory arthritis.
PMID- 22076947
TI - Freezing tolerance and low molecular weight cryoprotectants in an invasive
parasitic fly, the deer ked (Lipoptena cervi).
AB - Insect cold hardiness is often mediated by low molecular weight cryoprotectants,
such as sugars, polyols, and amino acids (AA). While many free-living northern
insects must cope with extended periods of freezing ambient temperatures (Ta),
the ectoparasitic deer ked Lipoptena cervi imago can encounter subfreezing Ta
only during a short autumnal period between hatching and host location.
Subsequently, it benefits from the body temperature of the cervid host for
survival in winter. This study investigated the cold tolerance of the species by
determining its lower lethal temperature (100% mortality, LLT100) during faster
and slower cold acclimation, by determining the supercooling point (SCP) and by
measuring the concentrations of potential low molecular weight cryoprotectants.
The LLT100 of the deer ked was approximately -16 degrees C, which would enable
it to survive freezing nighttime Ta not only in its current area of distribution
but also further north. The SCP was -7.8 degrees C, clearly higher than the
LLT100 , indicating that the deer ked displays freezing tolerance. The
concentrations of free AA, especially nonessential AA, were higher in the cold
acclimated deer keds similar to several other insects. The concentrations of
proline increased together with gamma-aminobutyrate, arginine, asparagine,
cystine, glutamate, glutamine, hydroxylysine, sarcosine, serine, and taurine. AA
could be hypothesized to act as cryoprotectants by, e.g., protecting enzymes and
lipid membranes from damage caused by cold.
PMID- 22076946
TI - Guided migration of neural stem cells derived from human embryonic stem cells by
an electric field.
AB - Small direct current (DC) electric fields (EFs) guide neurite growth and
migration of rodent neural stem cells (NSCs). However, this could be species
dependent. Therefore, it is critical to investigate how human NSCs (hNSCs)
respond to EF before any possible clinical attempt. Aiming to characterize the EF
stimulated and guided migration of hNSCs, we derived hNSCs from a well
established human embryonic stem cell line H9. Small applied DC EFs, as low as 16
mV/mm, induced significant directional migration toward the cathode. Reversal of
the field polarity reversed migration of hNSCs. The galvanotactic/electrotactic
response was both time and voltage dependent. The migration directedness and
distance to the cathode increased with the increase of field strength. (Rho
kinase) inhibitor Y27632 is used to enhance viability of stem cells and has
previously been reported to inhibit EF-guided directional migration in induced
pluripotent stem cells and neurons. However, its presence did not significantly
affect the directionality of hNSC migration in an EF. Cytokine receptor [C-X-C
chemokine receptor type 4 (CXCR4)] is important for chemotaxis of NSCs in the
brain. The blockage of CXCR4 did not affect the electrotaxis of hNSCs. We
conclude that hNSCs respond to a small EF by directional migration. Applied EFs
could potentially be further exploited to guide hNSCs to injured sites in the
central nervous system to improve the outcome of various diseases.
PMID- 22076949
TI - Detection of circulating tumor cells in advanced head and neck cancer using the
CellSearch system.
AB - BACKGROUND: Early detection of circulating tumor cells (CTCs) offers the
possibility of improved outcome for patients with head and neck squamous cell
cancer (HNSCC). METHODS: Patients with advanced-stage HNSCC (stage III/IV) were
tested for CTCs using the CellSearch system (a registered trade name), which has
been approved by the U.S. Food and Drug Administration (FDA) for monitoring CTCs
in other cancers. RESULTS: CTCs were detected in 6 of 15 patients with advanced
stage HNSCC (range, 1-2 cells/7.5 mL of blood). CTCs were significantly
associated with patients with lung nodules >1 cm (p = .04). There was also a
suggestion of improved survival in the CTC-negative versus the CTC-positive
patients (p = .11). CONCLUSIONS: CTCs can be successfully isolated in patients
with advanced-stage HNSCC using the CellSearch system. CTC detection may be
important for prognosis, evaluating treatment outcome, and for determining
efficacy of adjuvant treatments.
PMID- 22076948
TI - Artificial neural network in diagnosis of metastatic carcinoma in effusion
cytology.
AB - AIMS AND OBJECTIVES: To build an artificial neural network (ANN) model for the
detection of carcinoma in effusion cytology. MATERIALS AND METHODS: We selected a
total of 114 effusion cytology cases consisting of 57 each benign and malignant
case. In all these cases, detailed cytological features, image morphometric data,
densitometric data, and chromatin textural data were collected. Based on these
data, we made a back propagation ANN model for diagnosing malignancy in effusion
cytology. This network was designed as 25-2-1 (input nodes-hidden nodes-output
node). Online back propagation method was applied for training the network. The
training of the network was continued until the network error was reduced to
0.000654. Simultaneously, we also performed logistic regression (LR) analysis
test to compare with ANN model performance. RESULT: ANN model worked excellent
after adequate training. With the help of this model, it was possible to identify
correctly all the malignant cases in validation and test set. The result of the
multivariate LR analysis was also similar as that of ANN model and all the cases
were also classified correctly. CONCLUSIONS: In this study, we successfully
constructed an ANN model to diagnose metastatic carcinoma in effusion cytology.
ANN is very promising in the diagnosis of metastatic carcinoma in effusion
cytology. In future, ANN model may help the cytopathologist to diagnose the
difficult cases in effusion fluid.
PMID- 22076950
TI - Gingko biloba extract inhibits oxidative stress and ameliorates impaired glial
fibrillary acidic protein expression, but can not improve spatial learning in
offspring from hyperhomocysteinemic rat dams.
AB - We aimed to study the effects of gingko biloba extract (EGb) on oxidative stress,
astrocyte maturation and cognitive disfunction in offspring of
hyperhomocysteinemic rats. Hyperhomocysteinemia was induced in the pregnant rats
by administration of methionine (1 gr/kg body weight) dissolved in drinking water
throughout pregnancy. One group of animals has received same amount of methionine
plus 100 mg/kg/day EGb during pregnancy. On the postnatal day 1, half of the pups
from all groups were sacrificed to study the lipid peroxidation (LPO) in
different subfractions of brain. Other half of pups were tested in Morris water
maze to assess differences in learning and memory performance at the 75 days of
age. Maternal hyperhomocysteinemia significantly increased LPO levels especially
in mitochondrial subfraction of fetal pup brains. EGb significantly prevented
this LPO inrease. Methionine administration to animals reduced glial fibrillary
acidic protein (GFAP) expression in pup brains significantly. EGb administration
improved GFAP expression significantly. Offspring of hyperhomocysteinemic animals
had poor long term spatial memory performance on Morris water maze and EGb
administration had no effect on impaired spatial memory. In conclusion,
maternally induced hyperhomocysteinemia significantly increased oxidative stress,
decreased expression of GFAP and impaired learning performance.
PMID- 22076951
TI - Ultrathin platinum nanowire catalysts for direct C-N coupling of carbonyls with
aromatic nitro compounds under 1 bar of hydrogen.
AB - Traditionally important in the pharmaceutical, agrochemical, and synthetic dye
industries, C-N coupling has proved useful for the preparation of a number of
valuable organic compounds. Here, a new method for the direct one-pot reductive C
N coupling from carbonyl and aromatic nitro compounds is described. Employing
ultrathin platinum nanowires as the catalyst and hydrogen as the reducing agent,
N-alkylamines were achieved in high yields. Debenzylation products were not
detected after prolonged reaction times. Time-dependent analysis, ReactIR
spectroscopy and DFT calculations revealed that the C-N coupling proceeded
through a different mechanism than traditional "reductive amination." N
Alkylamines were directly obtained by intermolecular dehydration over platinum
nanowires under a hydrogen atmosphere, instead of intramolecular water
elimination and imine hydrogenation.
PMID- 22076952
TI - The potential role of pre-transplant HBcIgG seroposivity as predictor of
clinically relevant cytomegalovirus infection in patients with lymphoma
undergoing autologous hematopoietic stem cell transplantation: a study from the
Rome Transplant Network.
AB - Despite the increased use of intensive immunosuppressive chemo-immunotherapies in
patients with lymphoma observed in the last decade, current data on
cytomegalovirus (CMV) infection following autologous stem cell transplantation
(Auto-SCT) are very limited. To address this peculiar aspect, a retrospective
study on a cohort of 128 adult patients consecutively transplanted for lymphoma
in three Hematology Institutions was performed with the aim to determine the
incidence of and the risk factors for CMV symptomatic infection and/or end-organ
disease. Sixteen patients (12.5%) required specific antiviral therapy and 4/16
died (25%); transplant-related mortality (TRM) was significantly influenced by
CMV infection (P = 0.005). In univariate analysis, a pre-transplant HBcIgG
seropositivity, HBV infection according to clinical-virological definitions, a
pre-transplant Rituximab treatment, a diagnosis of B-cell non-Hodgkin lymphoma,
and age at transplant were significantly associated with the risk of developing a
clinically relevant CMV infection. In multivariate analysis, only a pre
transplant HBcIgG seropositivity (P = 0.008) proved to be an independent
predictor of a clinically relevant CMV infection. These results suggest that a
pre-transplant HBcIgG seropositivity could be considered as an independent
predictor factor of clinically relevant CMV infection after Auto-SCT.
PMID- 22076953
TI - The association of illness perceptions with physical and mental health in
systemic sclerosis patients: an exploratory study.
AB - OBJECTIVE: The aim of the present study was to evaluate the association between
illness perceptions and the ability to cope with physical and mental health
problems in a large cohort of systemic sclerosis (SSc) patients. METHODS: This
was a cross-sectional study in 217 systemic sclerosis patients from the Belgian
Systemic Sclerosis Cohort. Illness perception and coping were measured by the
Revised Illness Perception Questionnaire and a coping questionnaire--the Coping
Orientation of Problem Experience inventory (COPE). Physical and mental health
related quality of life was measured by the 36-item short-form health survey (SF
36), as were disease activity and several severity parameters. The relationship
between illness perceptions and the ability to cope with physical/mental health
problems was examined using multiple linear regression analysis. RESULTS:
According to LeRoy's classification, 49 patients had limited SSc (lSSc), 129 had
limited cutaneous SSc (lcSSc) and 39 had diffuse cutaneous SSc (dcSSc). Median
disease duration was five years and the modified Rodnan skin score was 4. Good
physical health was significantly associated with the lcSSc subtype and low
disease activity (p < 0.01 and p < 0.05, respectively). The perception of
'serious consequences' and strong 'illness identity' correlated with poor
physical health (p < 0.001). Good mental health was associated with low illness
identity scores and low 'emotional response' scores (p < 0.001). Coping variables
were less significantly correlated with physical and mental health compared with
the illness perception items. CONCLUSION: Illness representations contribute more
than classical disease characteristics to physical and mental health.
PMID- 22076954
TI - New pemetrexed-peptide conjugates: synthesis, characterization and in vitro
cytostatic effect on non-small cell lung carcinoma (NCI-H358) and human leukemia
(HL-60) cells.
AB - Pemetrexed (Pem) is a novel antimetabolite type of anticancer drug that
demonstrated promising clinical activity in a wide variety of solid tumors,
including non-small cell lung carcinoma and malignant pleural mesothelioma. It
inhibits enzymes involved in the folate pathway, for which the presence of its
free carboxylic groups is necessary. The heteroaromatic ring system of Pem has a
modifiable amino group, which opens a possibility to apply a new strategy to
conjugate Pem to carrier molecules. Considering this as well as the necessity of
untouched carboxylic groups of Pem in the new conjugates, we developed a new
synthesis strategy. Here, we describe the synthesis and the characterization of
new Pem-peptide conjugates in which cell-penetrating octaarginine or/and lung
targeting H-Ile-Glu-Leu-Leu-Gln-Ala-Arg-NH(2) peptide is attached to the drug by
thioether bond. The conjugates characterized by RP-HPLC and MS exhibited
cytostatic effect in vitro on non-small cell lung carcinoma as well as on human
leukemia cell lines. The IC(50) values of the conjugates were similar, but the
conjugates with H-Ile-Glu-Leu-Leu-Gln-Ala-Arg-NH(2) sequence were slightly more
effective. Our data show that the in vitro cytostatic effect of the free Pem was
essentially maintained after conjugation with cell-penetrating or cell-targeting
peptides. Thus, the conjugation strategy reported could lead to the development
of a new generation of active Pem conjugates.
PMID- 22076955
TI - Pathways for plasmalemmal repair mediated by PKA, Epac, and cytosolic oxidation
in rat B104 cells in vitro and rat sciatic axons ex vivo.
AB - Plasmalemmal repair (sealing) is necessary for survival of damaged eukaryotic
cells. Ca(2+) influx through plasmalemmal disruptions activates pathways that
initiate sealing, which is commonly assessed by exclusion of extracellular dye.
These sealing pathways include PKA, Epac, and cytosolic oxidation. In this
article, we investigate whether PKA, Epac, and/or cytosolic oxidation, activate
specific proteins required to produce a plasmalemmal seal. We report that toxin
cleavage of proteins required for neurotransmitter release (SNAP-25), inhibition
of Golgi trafficking (with Brefeldin A: Bref A) or inhibition of N-ethylmaleimide
sensitive factor (NSF) all decrease sealing of rat B104 hippocampal cells with
transected neuritis in vitro. Epac, but not PKA or cytosolic oxidation, partly
overcomes the decrease in sealing produced by cleavage of SNAP-25. PKA and
increased cytosolic oxidation, but not Epac, can partly overcome the decrease in
sealing due to Bref A. PKA, Epac, and/or cytosolic oxidation cannot overcome NSF
inhibition. Substances that affect plasmalemmal sealing of B104 neurites in vitro
have similar effects on plasmalemmal sealing in rat sciatic axons ex vivo. From
these and other data, we propose a model of plasmalemmal sealing having three
redundant, evolutionarily conserved, parallel pathways that all converge on NSF.
PMID- 22076956
TI - A grumpy old man.
PMID- 22076957
TI - Exploring the effect of metal ions and counteranions on the structure and
magnetic properties of five dodecanuclear Co(II) and Ni(II) clusters.
AB - We present the synthesis, characterization of the structures, and magnetic
properties of five isostructural dodecanuclear coordination clusters of Ni(II)
and Co(II): [Co(12)(bm)(12)(NO(3))(O(2)CMe)(6)(EtOH)(6)](NO(3))(5) (1),
[Ni(12)(bm)(12)(NO(3))(O(2)CMe)(6)(H(2)O)(3)(EtOH)(3)](NO(3))(5).2H(2)O (2),
mixed-metal composition (Ni/Co 1:1)
[Co(6)Ni(6)(bm)(12)(NO(3))(O(2)CMe)(6)(NO(3))(5) (3), and
[M(12)(bm)(12)(NO(3))(O(2)CMe)(6)(EtOH)(6)](ClO(4))(5) (M=Co (4), Ni (5)), in
which Hbm=(1H-benzimidazol-2-yl)methanol. They consist of analogous structural
cores that are constructed by three cubanes (M(4)O(4)) that surround the
templating nitrate and bridging auxiliary acetate and the directing ligands bm.
They have different magnetic behaviors. Whereas there is the absence of the out
of-phase ac susceptibility (chi'') for the Ni(II)-based compounds 2 and 5, the
Co(II)-containing compounds 1, 3, and 4 have prominent chi'' signals that exhibit
frequency dependence, which indicates slow magnetic relaxation behavior above 1.8
K. In particular, the larger perchlorate counterions in 4 further change the
overall correlation interaction between clusters, thus leading to an enhanced
blocking temperature for the less-symmetrical 4 (pseudo-C(3)) relative to 1 and 3
(true C(3)). Interestingly, electrospray ionization mass spectrometry (ESI-MS)
indicates that the three dodecanuclear clusters of 1-3 retain their compositions
in solution. The mixed-metal cluster cores of 3 are formed based on the nature of
the interchangeability between metal centers in solution.
PMID- 22076958
TI - CD133 and CD44 are universally overexpressed in GIST and do not represent cancer
stem cell markers.
AB - Although imatinib mesylate has been a major breakthrough in the treatment of
advanced gastrointestinal stromal tumors (GIST), complete responses are rare and
most patients eventually develop resistance to the drug. Thus, the possibility of
an imatinib-insensitive cell subpopulation within GIST tumors, harboring stem
cell characteristics, may be responsible for the clinical failures. However, the
existence of a cancer stem cell component in GIST has not been yet established.
This study was aimed to determine whether expression of commonly used stem cell
markers in other malignancies, that is, CD133 and CD44, might identify cells with
characteristics of cancer stem/progenitor cells in human GIST. CD133 and CD44
expression in GIST explants was analyzed by flow cytometry, immunofluorescence,
and gene expression. Their transcription levels were correlated with clinical and
molecular factors in a large, well-annotated cohort of GIST patients. FACS sorted
GIST cells based on CD133 and CD44 expression were isolated and used to assess
phenotypic characteristics, ability to maintain their surface expression,
sensitivity to imatinib, and expression signature. The enrichment in CD133/CD44
cells in the side population (SP) assay was also investigated. CD133 expression
was consistently found in GIST. CD133(-) cells formed more colonies, were more
invasive in a matrigel assay, and showed enrichment in the SP cells, compared to
CD133(+) cells. CD133 expression was also detected in the two imatinib-sensitive
GIST cell lines, while was absent in the imatinib-resistant lines. Our results
show that CD133 and CD44 are universally expressed in GIST, and may represent a
lineage rather than a cancer stem cell marker.
PMID- 22076960
TI - Frameless stereotactic targeting devices: technical features, targeting errors
and clinical results.
AB - BACKGROUND: Brain biopsies (BB) and depth electrode placements (DEP) are
increasingly performed using frameless stereotactic targeting devices. This paper
is intended to provide a comprehensive review of the technical features,
targeting errors and clinical results. METHODS: A PubMed literature search from
1995-2010 was performed. RESULTS: (A) Modified stereotactic arcs, (B) arm-based
devices with and without aiming facilities, and (C) skull affixed devices were
found. Guidance technologies were navigation systems (all groups), prospective
stereotaxy and custom designed platforms (group C). Mean total errors ranged
between 4.4 and 5.4 mm for BB and 2.0 and 3.2 mm for DEP. Diagnostic yield of BB
was 89-100%. The clinical success rate for DEP was 96-100%. CONCLUSIONS:
Frameless stereotactic targeting devices may reach targeting errors and clinical
results comparable with standard frame-based stereotaxy. Advantages and
disadvantages of different devices should be acknowledged to ensure optimal
technical performance.
PMID- 22076959
TI - Sexual dimorphism and bilateral asymmetry of syrinx and vocal tract in the
European starling (Sturnus vulgaris).
AB - Sexually dimorphic vocal behavior in zebra finches (Taeniopygia guttata) is
associated with a 100% larger syrinx in males and other morphological adaptations
of the sound source. The songbird syrinx consists of two independent sound
sources, whose specialization for different spectral ranges may be reflected in
morphological properties, but the morphology of labia and syringeal skeleton have
not been investigated for lateralized specializations. Similarly, little is known
whether the morphology of the songbird vocal tract reflects differences in vocal
behavior. Here, we tested the hypothesis that different vocal behavior and
specialization is reflected in the morphology. We investigated syringeal and
upper vocal tract morphology of male and female European starlings (Sturnus
vulgaris). Female starlings exhibit smaller vocal repertoires and sing at lower
rates than males. In males, the left syrinx produces mostly low frequencies,
while the right one is used for higher notes. Macroscopic and histological
techniques were used to record nineteen measurements from the syrinx and the
vocal tract which were tested for sexual differences in syrinx and vocal tract
and for lateral asymmetry within the syrinx. Sexually dimorphic vocal behavior is
reflected in the morphology of the starling syrinx. Males have a larger syrinx
with the size difference attributable to increased muscle mass and three enlarged
elements of the syringeal skeleton. The upper vocal tract, however, does not
differ between males and females. Distinct lateralization was found in two
elements of the syringeal skeleton of females, and the labia in the left syrinx
are larger than those on the right in both sexes. The sexual dimorphism of the
syringeal size is smaller in starlings (35%) than in zebra finches (100%), which
is consistent with the different vocal behavior of females in both species. The
morphological differences between the two sound sources are discussed in relation
to their vocal performance.
PMID- 22076961
TI - Synthesis and NMR elucidation of novel tetrapeptides.
AB - The synthesis and NMR elucidation of Ala-Val-Pro-Ile and five novel peptide-based
derivatives are reported. These peptides mimic the natural second mitochondria
derived activator of caspase (Smac) protein. Purification was achieved using
preparative HPLC and the NMR elucidation of all compounds is reported for the
first time. A series of overlapping signals were observed in the 1D NMR spectra
thus making assignment a difficult task to undertake. The use of 2D NMR
techniques with the inclusion of efficient adiabatic symmetrized ROESY proved to
be an effective tool in overcoming these difficulties.
PMID- 22076962
TI - Vancomycin-resistant Enterococcus bacteremia: an evaluation of treatment with
linezolid or daptomycin.
AB - BACKGROUND: Due to high rates of resistance and a limited number of efficacious
antimicrobials for vancomycin-resistant Enterococcus (VRE), appropriate
antibiotic selection is vital to treatment success. The purpose of this study was
to assess clinical and microbiologic outcomes associated with the use of
linezolid or daptomycin in the treatment of VRE bacteremia. METHODS: A
retrospective analysis of adult patients with VRE bacteremia between January 2004
and July 2009 was conducted at a tertiary care hospital in the United States.
Clinical and microbiologic outcomes for both therapies were evaluated using
multiple criteria. RESULTS: Of the 361 patients with VRE bacteremia identified,
201 were included in the study (linezolid group, n = 138; daptomycin group, n =
63). More patients in the daptomycin group had hematologic malignancies (33% vs
14%) or received liver transplants (13% vs 4%). There was no difference in
clinical or microbiologic cure between the linezolid and daptomycin groups (74%
vs 75% and 94% vs 94%, respectively). Recurrence was documented in 3% of
linezolid patients vs 12% of daptomycin patients (P = 0.0321). Reinfection was
noted in 1% of patients in the linezolid group vs 6% of patients in the
daptomycin group (P not significant). The average length of stay (LOS) was 37
days for the linezolid group vs 40 days for the daptomycin group (P not
significant). Overall mortality was 20%, occurring in 25/138 linezolid patients
vs 15/63 daptomycin patients (P not significant). CONCLUSIONS: No differences in
clinical or microbiologic cure rates, LOS, or mortality were identified between
the groups. Various factors may have contributed to the significantly higher
recurrence of VRE bacteremia in daptomycin patients. This study suggests that
linezolid and daptomycin appear equally efficacious in the treatment of VRE
bacteremia.
PMID- 22076964
TI - Hippocampal activity during recognition memory co-varies with the accuracy and
confidence of source memory judgments.
AB - It has been proposed that the hippocampus selectively supports retrieval of
contextual associations, but an alternative view holds that the hippocampus
supports strong memories regardless of whether they contain contextual
information. We employed a memory test that combined the 'Remember/Know' and
source memory procedures, which allowed test items to be segregated both by
memory strength (recognition accuracy) and, separately, by the quality of the
contextual information that could be retrieved (indexed by the
accuracy/confidence of a source memory judgment). As measured by fMRI, retrieval
related hippocampal activity tracked the quality of retrieved contextual
information and not memory strength. These findings are consistent with the
proposal that the hippocampus supports contextual recollection rather than
recognition memory more generally.
PMID- 22076965
TI - The multiple roles of the cyclin-dependent kinase inhibitory protein p57(KIP2)
in cerebral cortical neurogenesis.
AB - The members of the CIP/KIP family of cyclin-dependent kinase (CDK) inhibitory
proteins (CKIs), including p57(KIP2), p27(KIP1), and p21(CIP1), block the
progression of the cell cycle by binding and inhibiting cyclin/CDK complexes of
the G1 phase. In addition to this well-characterized function, p57(KIP2) and
p27(KIP1) have been shown to participate in an increasing number of other
important cellular processes including cell fate and differentiation, cell
motility and migration, and cell death/survival, both in peripheral and central
nervous systems. Increasing evidence over the past few years has characterized
the functions of the newest CIP/KIP member p57(KIP2) in orchestrating cell
proliferation, differentiation, and migration during neurogenesis. Here, we focus
our discussion on the multiple roles played by p57(KIP2) during cortical
development, making comparisons to p27(KIP1) as well as the INK4 family of CKIs.
PMID- 22076966
TI - Microwave-induced formation of platinum nanostructured networks with superior
electrochemical activity and stability.
AB - Platinum nanostructured networks (PNNs) can be synthesized through the chemical
reduction of H(2)PtCl(6) by benzyl alcohol under microwave irradiation without
the introduction of any surfactants, templates, or seeds. The synthesis route
utilizes benzyl alcohol as both the reductant and the structure-directing agent,
and thus, the process is particularly simple and highly repeatable. The formation
of the PNN structure was ascribed to the collision-induced fusion of Pt
nanocrystals owing to the cooperative functions of microwave irradiation and
benzyl alcohol. Compared with a commercial Pt/C catalyst, the as-prepared PNNs
possessed superior electrochemical activity and stability on the oxidation of
methanol because of the unique 3D nanostructured networks and abundant defects
formed during the assembly process. This study may provide a facile microwave
induced approach for the synthesis of other 3D nanostructured noble metals or
their alloys.
PMID- 22076967
TI - Microwave-assisted synthesis, hypolipidemic and hypoglycemic activity of some
novel 2-(4-(2-Amino-6-(4-substituted phenyl)-pyrimidin-4-yl)-phenoxy)-2-methyl
propanoic acid derivatives.
AB - A novel series of aminopyrimidines containing the phenoxy isobutyric acid group
as a pharmacophore was synthesized using conventional and microwave assisted
methods of synthesis. The compounds were synthesized in good yields (70-89%) by
the microwave-assisted one-pot protocol in much shorter reaction times. The
synthesized compounds were evaluated for their hypolipidemic and hypoglycemic
activity by high-fat diet-induced hyperlipidemia and hyperglycemia in male
Sprague-Dawley rats. The present investigation showed significant
antihyperlipidemic and antihyperglycemic activity for all compounds of the series
when compared with the standard drug. Structure-activity relationship (SAR) for
the series were developed by comparing total lipid profile data of synthesized
compounds with fenofibrate as standard drug.
PMID- 22076969
TI - Anxiety disorders and risk for suicide: why such controversy?
PMID- 22076970
TI - Cognitive-behavioral group therapy versus group psychotherapy for social anxiety
disorder among college students: a randomized controlled trial.
AB - OBJECTIVE: In this randomized controlled trial, cognitive-behavioral group
therapy (CBGT) for social anxiety disorder (SAD) was compared to group
psychotherapy (GPT), a credible, structurally equivalent control condition that
included only nonspecific factors of group treatment (such as group dynamics).
METHODS: Participants were 45 college students at the University of Colorado with
a primary diagnosis of SAD. Each treatment condition comprised eight group
sessions lasting 2 hr each. Independent assessors (blind to treatment assignment)
assessed participants at baseline and posttreatment with the Clinical Global
Impression Scale (CGI) and the Liebowitz Social Anxiety Scale (LSAS). RESULTS:
Both treatments were found to be equally credible. There were five noncompleters
in the CBGT condition (21.7%) and only one in the GPT condition (4.3%). There
were no statistically significant differences posttreatment (controlling for
pretreatment scores) between the two treatment conditions, and both treatments
were found to be efficacious. Effect sizes for CBGT were similar to earlier
studies, and adherence ratings revealed excellent adherence. CONCLUSIONS:
Treatment of SAD appears to be moving toward individual CBT, partly because of
high attrition rates and underutilization of group dynamics in group CBT.
However, group therapy has unique therapeutic ingredients, and it may be too
early to give up on group treatment altogether. Discussion of these findings
included future directions with this treatment modality, especially whether these
two types of group treatment could be combined and whether such combination might
serve to decrease attrition, enhance efficacy, and facilitate dissemination.
PMID- 22076971
TI - Fear conditioning is disrupted by damage to the postsubiculum.
AB - The hippocampus plays a central role in spatial and contextual learning and
memory, however relatively little is known about the specific contributions of
parahippocampal structures that interface with the hippocampus. The postsubiculum
(PoSub) is reciprocally connected with a number of hippocampal, parahippocampal
and subcortical structures that are involved in spatial learning and memory. In
addition, behavioral data suggest that PoSub is needed for optimal performance
during tests of spatial memory. Together, these data suggest that PoSub plays a
prominent role in spatial navigation. Currently it is unknown whether the PoSub
is needed for other forms of learning and memory that also require the formation
of associations among multiple environmental stimuli. To address this gap in the
literature we investigated the role of PoSub in Pavlovian fear conditioning. In
Experiment 1 male rats received either lesions of PoSub or Sham surgery prior to
training in a classical fear conditioning procedure. On the training day a tone
was paired with foot shock three times. Conditioned fear to the training context
was evaluated 24 hr later by placing rats back into theconditioning chamber
without presenting any tones or shocks. Auditory fear was assessed on the third
day by presenting the auditory stimulus in a novel environment (no shock). PoSub
lesioned rats exhibited impaired acquisition of the conditioned fear response as
well as impaired expression of contextual and auditory fear conditioning. In
Experiment 2, PoSub lesions were made 1 day after training to specifically assess
the role of PoSub in fear memory. No deficits in the expression of contextual
fear were observed, but freezing to the tone was significantly reduced in PoSub
lesioned rats compared to shams. Together, these results indicate that PoSub is
necessary for normal acquisition of conditioned fear, and that PoSub contributes
to the expression of auditory but not contextual fear memory.
PMID- 22076973
TI - DNA-binding small-ligand-immobilized surface plasmon resonance biosensor for
detecting thymine-related single-nucleotide polymorphisms.
AB - A surface plasmon resonance (SPR) biosensor that carries DNA-binding small
ligands has been developed for the detection of single-nucleotide polymorphisms
(SNPs). 3,5-Diaminopyrazine derivatives, with a hydrogen-bonding profile fully
complementary to the thymine base, were utilized as recognition elements on the
sensor surface, and a target single-stranded DNA sequence was hybridized with a
DNA probe containing an abasic site to place this site opposite a nucleobase to
be detected. In a continuous flow of sample solutions buffered to pH 6.4 (0.25 M
NaCl), the 3,5-diaminopyrazine-based SPR sensor can detect an orphan nucleobase
in the duplex with a clear selectivity for thymine over cytosine, guanine, and
adenine (5'-GTT GGA GCT GXG GGC GTA GGC-3'/3'-CAA CCT CGA CNC CCG CAT CCG-5';
X=abasic site, N=target nucleobase G, C, A, or T). The SPR response was linear in
the concentration range 10-100 nM. Allele discrimination is possible based on the
combination of different binding surfaces in a flow cell of the SPR system, which
is demonstrated for the analysis of the thymine/cytosine mutation present in 63
meric polymerase chain reaction (PCR) amplification products (Ha-ras gene, codon
12, antisense strand). Comparison with a bulk assay based on 3,5
diaminopyrazine/DNA binding shows that the immobilization of 3,5-diaminopyrazine
derivatives on the SPR sensor allows more sensitive detection of the target DNA
sequence, and binding selectivity can be tuned by controlling the salt
concentration of sample solutions. These features of the DNA-binding small
molecule-immobilized SPR sensor are discussed as a basis for the design of SPR
biosensors for SNP genotyping.
PMID- 22076974
TI - Glutamate carboxypeptidase II and folate deficiencies result in reciprocal
protection against cognitive and social deficits in mice: implications for
neurodevelopmental disorders.
AB - Interactions between genetic and environmental risk factors underlie a number of
neuropsychiatric disorders, including schizophrenia (SZ) and autism (AD). Due to
the complexity and multitude of the genetic and environmental factors attributed
to these disorders, recent research strategies focus on elucidating the common
molecular pathways through which these multiple risk factors may function. In
this study, we examine the combined effects of a haplo-insufficiency of glutamate
carboxypeptidase II (GCPII) and dietary folic acid deficiency. In addition to
serving as a neuropeptidase, GCPII catalyzes the absorption of folate. GCPII and
folate depletion interact within the one-carbon metabolic pathway and/or of
modulate the glutamatergic system. Four groups of mice were tested: wild-type,
GCPII hypomorphs, and wild-types and GCPII hypomorphs both fed a folate deficient
diet. Due to sex differences in the prevalence of SZ and AD, both male and female
mice were assessed on a number of behavioral tasks including locomotor activity,
rotorod, social interaction, prepulse inhibition, and spatial memory. Wild-type
mice of both sexes fed a folic acid deficient diet showed motor coordination
impairments and cognitive deficits, while social interactions were decreased only
in males. GCPII mutant mice of both sexes also exhibited reduced social
propensities. In contrast, all folate-depleted GCPII hypomorphs performed
similarly to untreated wild-type mice, suggesting that reduced GCPII expression
and folate deficiency are mutually protective. Analyses of folate and
neurometabolite levels associated with glutamatergic function suggest several
potential mechanisms through which GCPII and folate may be interacting to create
this protective effect.
PMID- 22076975
TI - Does one keto group matter? Structure-activity relationships of glycyrrhetinic
acid derivatives modified at position C-11.
AB - Several triterpenoic acids display a remarkable cytotoxicity on tumor cells.
Glycyrrhetinic acid - the main content of the licorice root - possesses an
apoptotic effect on tumor cells. Previous studies pointed out the presence of a
keto group at position C-11 in glycyrrhetinic acid derivatives as the main reason
for its apoptotic activity. Several pairs of derivatives were synthesized
differing only at position C-11. These compounds were tested in a sulforhodamine
B colorimetric assay for cytotoxicity screening on 12 tumor cell lines and mouse
embryonic fibroblasts (NIH3T3). Our results show that there is no direct relation
between the existence of the C-11 keto group and the apoptotic activity of the
compounds.
PMID- 22076976
TI - Results of a prospective study of positron emission tomography-directed
management of residual nodal abnormalities in node-positive head and neck cancer
after definitive radiotherapy with or without systemic therapy.
AB - BACKGROUND: The purpose of this study was to present our prospectively evaluated
positron emission tomography (PET)-directed policy for managing the neck in node
positive head and neck squamous cell carcinoma (N+HNSCC) after definitive
radiotherapy (RT) with or without concurrent systemic therapy. METHODS: One
hundred twelve consecutive patients who achieved a complete response at the
primary site underwent a 12-week posttherapy nodal response assessment with PET
and diagnostic CT. Patients with an equivocal PET underwent a repeat PET 4 to 6
weeks later. Patients with residual CT nodal abnormalities deemed PET-negative
were uniformly observed regardless of residual nodal size. RESULTS: Median follow
up from commencement of RT was 28 months (range, 13-64 months). Residual CT nodal
abnormalities were present in 50 patients (45%): 41 PET-negative and 9 PET
positive. All PET-negative residual CT nodal abnormalities were observed without
subsequent isolated nodal failure. CONCLUSION: PET-directed management of the
neck after definitive RT in node-positive HNSCC appropriately spares neck
dissections in patients with PET-negative residual CT nodal abnormalities.
PMID- 22076977
TI - Preoperative ultrasonographic tumor characteristics as a predictive factor of
tumor stage in papillary thyroid carcinoma.
AB - BACKGROUND: The purpose of this study was to evaluate the usefulness of tumor
characteristics on ultrasonography for predicting the pathologic stage of
papillary thyroid carcinoma. METHODS: We evaluated 354 patients who underwent
surgery for papillary thyroid carcinoma (<=2 cm). We analyzed the preoperative
ultrasonography findings such as tumor size, shape, margin, echogenicity,
calcification, vascularity, and contact with the capsule. RESULTS: Tumor size,
echogenicity, and contact with the capsule were predictive for the presence of
extrathyroid extension. Size and echogenicity were significantly associated with
central lymph node metastasis in the multivariate analysis. Some ultrasonography
characteristics such as round shape, well-defined margin, and isoechoic
echogenicity were negative predictive factors for extrathyroid extension and
central lymph node metastasis. CONCLUSION: Preoperative tumor characteristics on
ultrasonography correlated with several prognostic factors for papillary thyroid
carcinoma and may serve as preoperative supplementary markers for determining the
optimal extent of surgery.
PMID- 22076978
TI - (99m)TC-octreotide scintigraphy and somatostatin receptor subtype expression in
juvenile nasopharyngeal angiofibromas.
AB - BACKGROUND: The main goal of the study was the analysis of somatostatin receptor
(SSTR) expression on juvenile nasopharyngeal angiofibroma (JNA) cells and a
subsequent analysis of the utility of SST analog-based scintigraphy in JNA
diagnostics. METHODS: Nine JNA cases were analyzed. All tissue samples were
analyzed for the expression of SSTRs. In 2 cases, scintigraphy was performed
after the intravenous (IV) administration of an SST analog. MRI of the
craniofacial region was subsequently performed. RESULTS: The SST analogues were
accumulated in areas matching pathologic tissue in the nasopharynx.
Immunohistochemical evaluation of the tissue samples proved the overexpression of
SSTRs. CONCLUSIONS: SSTRs are overexpressed on JNA cells. The SST analog (99m)TC
octreotide is effectively bound to JNA cells. SST analogues might be used in the
diagnostics and treatment of primary, recurrent, or residual JNA.
PMID- 22076979
TI - Adjuvant therapy with flutamide for presurgical volume reduction in juvenile
nasopharyngeal angiofibroma.
AB - BACKGROUND: Although 2 studies totaling 11 cases have indicated some benefit of
anti-androgen treatment with flutamide on juvenile nasopharyngeal angiofibroma
(JNA), it is not part of contemporary practice. METHODS: Our approach was through
a prospective, single-arm, before-and-after study, in which 20 patients with
advanced JNA (Radkowski stage IIB-IIIB) were administered flutamide (per oral: 10
mg kg(-1) day(-1) * 6 weeks) prior to surgical excision. Pretherapy and
posttherapy tumor volume measurements were established by MRI. Periodic
assessments were recorded of liver, kidney functions, testosterone levels, and
secondary sexual characteristics. RESULTS: Prepubertal and postpubertal cases
responded differently (p < .05). Prepubertal cases had inconsistent and minimal
responses; 13/15 postpubertal cases demonstrated measurable volume reduction
(mean, 16.5%; maximum, 40%). Two cases with optic nerve compression had visual
improvement. Volume reduction correlated with serum testosterone level (r = .53;
p < .05). No significant toxicity was noted, with the exception of transient
breast tenderness. CONCLUSIONS: Prepubertal and postpubertal patients differ in
their response to flutamide. In postpubertal patients, 6 weeks preoperative use
is safe and leads to partial tumor regression. Tumor regression from adjacent
vital structures may facilitate surgical excision and limit morbidity.
PMID- 22076980
TI - Role of parathyroid hormone monitoring during parathyroidectomy.
AB - BACKGROUND: The value of intraoperative parathyroid hormone (IOPTH) monitoring in
parathyroidectomy has been previously debated. METHODS: This retrospective
analysis was of 240 patients, operated on for primary hyperparathyroidism (PHPT)
between January 2002 and January 2006 and categorized into 3 groups by
preoperative and intraoperative modalities: group 1 (n = 109), technetium 99m
sestamibi (MIBI), ultrasonography, and IOPTH; group 2 (n = 102), ultrasonography
and MIBI; and group 3 (n = 29), ultrasonography and IOPTH. Sensitivity and
specificity were calculated. RESULTS: In group 1, IOPTH increased the success
rate from 97% to 99%. In group 2, ultrasonography and MIBI were concordant in 95%
of cases. In group 3, IOPTH increased the sensitivity from 89% to 96%. The
duration of surgery was longer (p < .0001) when IOPTH was applied. CONCLUSIONS:
When ultrasonography and MIBI are used, the additional benefit of IOPTH is
marginal, especially given the longer duration of surgery. Ultrasonography and
additional IOPTH yield good success rates when MIBI is not available.
PMID- 22076981
TI - Lymph node metastasis in nasal vestibule cancer: a review.
AB - BACKGROUND: Squamous cell carcinoma of the nasal vestibule (SCCNV) is an uncommon
malignancy. Our purpose is to define the incidence of simultaneous and delayed
regional metastasis in SCCNV according to the available literature. METHODS:
Articles discussing SCCNV and addressing the issue of regional lymph node
metastases were reviewed. CONCLUSION: SCCNV is an uncommon form of cancer,
possibly originating in the mucocutaneous junction. The incidence of lymph node
metastasis is variably reported. The data in the literature do not provide a
solid basis for recommendations on elective treatment of the neck. Thorough
examination of the neck is recommended, preferably with modern imaging
techniques.
PMID- 22076982
TI - Cutaneous squamous cell carcinoma of the head and neck metastasizing to the
parotid gland--a review of current recommendations.
AB - Cutaneous squamous cell carcinoma (SCC) of the head and neck may metastasize in
up to 5% of patients, with the parotid lymph nodes the most frequent site for
spread. Metastases frequently show delayed presentation after the primary cancer
had been treated. The optimum treatment should be surgery followed by adjuvant
radiotherapy, with an appropriate parotidectomy, and preservation of the facial
nerve if not involved by tumor and treatment to the neck. In a clinically N0
neck, levels I to III should be cleared for facial primaries, levels II to III
for anterior scalp and external ear primaries, and levels II to V for posterior
scalp primaries. Approximate 5-year disease-specific survival (DSS) after
treatment was 70% to 75%. Patients with immunosuppression, in particular
transplant recipients, are at high risk of developing aggressive metastatic
cutaneous SCC. Modifications of the staging systems have demonstrated the
prognostic benefits of accurately staging parotid and/or neck nodal disease.
PMID- 22076983
TI - Characterization of the reaction path and transition states for RNA
transphosphorylation models from theory and experiment.
PMID- 22076984
TI - Fluid and mass transport modelling to drive the design of cell-packed hollow
fibre bioreactors for tissue engineering applications.
AB - A model for fluid and mass transport in a single module of a tissue engineering
hollow fibre bioreactor (HFB) is developed. Cells are seeded in alginate
throughout the extra-capillary space (ECS), and fluid is pumped through a central
lumen to feed the cells and remove waste products. Fluid transport is described
using Navier-Stokes or Darcy equations as appropriate; this is overlaid with
models of mass transport in the form of advection-diffusion-reaction equations
that describe the distribution and uptake/production of nutrients/waste products.
The small aspect ratio of a module is exploited and the option of opening an ECS
port is explored. By proceeding analytically, operating equations are determined
that enable a tissue engineer to prescribe the geometry and operation of the HFB
by ensuring the nutrient and waste product concentrations are consistent with a
functional cell population. Finally, results for chondrocyte and cardiomyocyte
cell populations are presented, typifying two extremes of oxygen uptake rates.
PMID- 22076985
TI - Transition zones between healthy and diseased retina in choroideremia (CHM) and
Stargardt disease (STGD) as compared to retinitis pigmentosa (RP).
AB - PURPOSE: To describe the structural changes across the transition zone (TZ) in
choroideremia (CHM) and Stargardt disease (STGD) and to compare these to the TZ
in retinitis pigmentosa (RP). METHODS: Frequency-domain (Fd)OCT line scans were
obtained from seven patients with CHM, 20 with STGD, and 12 with RP and compared
with those of 30 previously studied controls. A computer-aided manual
segmentation procedure was used to determine the thicknesses of the outer segment
(OS) layer, the outer nuclear layer plus outer plexiform layer (ONL+), the
retinal pigment epithelium plus Bruch's membrane (RPE+BM), and the outer retina
(OR). RESULTS: The TZ, while consistent within patient groups, showed differences
across disease groups. In particular, (1) OS loss occurred before ONL+ loss in
CHM and RP, whereas ONL+ loss occurred before OS loss in STGD; (2) ONL+ was
preserved over a wider region of the retina in CHM than in RP; (3) RPE+BM
remained normal across the RP TZ, but was typically thinned in CHM. In some CHM
patients, it was abnormally thin in regions with normal OS and ONL+ thickness. In
STGD, RPE+BM was thinned by the end of the TZ; and (4) the disappearances of the
IS/OS and OLM were more abrupt in CHM and STGD than in RP. CONCLUSIONS: On fdOCT
scans, patients with RP, CHM, and STGD all have a TZ between relatively healthy
and severely affected retina. The patterns of changes in the receptor layers are
similar within a disease category, but different across categories. The findings
suggest that the pattern of progression of each disease is distinct and may offer
clues for strategies in the development of future therapies.
PMID- 22076986
TI - Differences in baseline dark and the dark-to-light changes in anterior chamber
angle parameters in whites and ethnic Chinese.
AB - PURPOSE: To assess the anterior chamber drainage angle width in the dark and the
dark-to-light change (Delta) between Caucasians and Chinese aged 40 years and
older. METHODS: The study groups comprised four age- and sex-matched cohorts:
American Caucasians, American Chinese, southern mainland Chinese, and northern
mainland Chinese. Anterior segment optical coherence tomography (ASOCT) images of
the anterior chamber angles were obtained under both light and dark conditions.
The parameters analyzed included angle opening distance (AOD), angle recess area
(ARA), and trabecular-iris space area (TISA). RESULTS: Data were obtained from
121, 124, 121, and 120 participants who were American Caucasians, American
Chinese, and southern and northern mainland Chinese, respectively. In a multiple
linear regression analysis, adjusted for age, sex, refractive status, pupil size,
lens location, and anterior chamber depth (ACD) and width (ACW), the ethnic
Chinese had significantly smaller ARAs (regression coefficient, beta = -0.06, P <
0.001) and TISAs (beta = -0.01, P = 0.039), as well as greater DeltaAODs (beta =
0.03, P = 0.009) and DeltaTISAs (beta = 0.02, P = 0.029) than did the Caucasians.
For the dark-to-light change analysis, the independent associations between angle
width and iris thickness (IT) and iris curvature (ICurv) were identified only in
the Chinese. CONCLUSIONS: Compared with the Caucasians, the ethnic Chinese had
smaller ARA and TISA, but greater dark-to-light changes in AOD and TISA,
independent of refractive status and overall ocular anterior segment dimensions.
PMID- 22076987
TI - Imaging retrobulbar subarachnoid space around optic nerve by swept-source optical
coherence tomography in eyes with pathologic myopia.
AB - PURPOSE: To examine the subarachnoid space (SAS) of eyes with pathologic myopia
and analyze the characteristics of the SAS and the surrounding tissues by swept
source optical coherence tomography (OCT). METHODS: One hundred thirty-three eyes
of 76 patients with pathologic myopia (spherical equivalent refractive error of >
8.00 diopters (D) or an axial length >26.5 mm) and 32 eyes of 32 subjects with
emmetropia were enrolled. The eyes in both groups were not tested to determine
whether glaucoma was present. The papillary and peripapillary areas were examined
with a swept-source OCT prototype system that uses a wavelength sweeping laser
operated at 100,000 Hz A-scan repetition rate in 1-MUm wavelength. RESULTS: In
the B-scan images, the arachnoid trabeculae inside the SAS were clearly observed
as a pattern of reticular lines and dots interspersed with hyporeflective zones
consistent with fluid, whereas orbital fat had more uniform features with gray
intervening spaces. The SAS was triangular, with the base toward the eye
surrounding the optic nerve in the region of the scleral flange. An SAS was found
in 124 highly myopic eyes (93.2%) but not in the emmetropic eyes. The shortest
distance between the inner surface of lamina cribrosa and SAS was 252.4 +/- 110.9
MUm, and the thinnest region of peripapillary sclera above SAS (scleral flange
thickness) was 190.6 +/- 51.2 MUm. In one myopic patient, there appeared to be
direct communication between the intraocular cavity and SAS through pitlike
pores. CONCLUSIONS: Optic SAS is seen in 93% of highly myopic eyes, and the SAS
appears to be dilated in highly myopic eyes. The expanded area of exposure to CSF
pressure along with thinning of the posterior eye wall may influence staphyloma
formation and the way in which certain diseases, such as glaucoma, are
manifested.
PMID- 22076988
TI - Quantitative mapping of scleral fiber orientation in normal rat eyes.
AB - PURPOSE: Previous work has suggested a major role of scleral biomechanics in the
pathogenesis of glaucoma. Since fiber orientation in connective tissues is a key
determinant of tissue biomechanics, experimental characterization of scleral
fiber orientation is needed to fully understand scleral biomechanics. This is a
report of baseline experimental measurements of fiber orientation in whole normal
rat scleras. METHODS: Twenty ostensibly normal Norway brown rat eyes were fixed
in 4% paraformaldehyde. The scleras were cleaned of intra- and extraorbital
tissues and dissected into five patches, and each patch was glycerol treated to
maximize its transparency. Fiber orientation was measured using small-angle light
scattering (SALS). Scattering patterns were analyzed to extract two
microstructural parameters at each measurement location-the preferred fiber
orientation and the degree of alignment-yielding a fiber orientation map for each
sclera. RESULTS: Rat sclera is structurally anisotropic with several consistent
features. At the limbus, fibers were highly aligned and organized primarily into
a distinct ring surrounding the cornea. In the equatorial region, the fibers were
primarily meridionally aligned. In the posterior and peripapillary region, the
scleral fibers were mostly circumferential but less aligned than those in the
anterior and equatorial regions. CONCLUSIONS: Circumferential scleral fibers may
act as reinforcing rings to limit corneal and optic nerve head deformations,
whereas equatorial meridional fibers may either provide resistance against
extraocular muscle forces or limit globe axial elongation.
PMID- 22076989
TI - Prominin-1 localizes to the open rims of outer segment lamellae in Xenopus laevis
rod and cone photoreceptors.
AB - PURPOSE: Prominin-1 expresses in rod and cone photoreceptors. Mutations in the
prominin-1 gene cause retinal degeneration in humans. In this study, the authors
investigated the expression and subcellular localization of xlProminin-1 protein,
the Xenopus laevis ortholog of prominin-1, in rod and cone photoreceptors of this
frog. METHODS: Antibodies specific for xlProminin-1 were generated.
Immunoblotting was used to study the expression and posttranslational processing
of xlProminin-1 protein. Immunocytochemical light and electron microscopy and
transgenesis were used to study the subcellular distribution of xlProminin-1.
RESULTS: xlProminin-1 is expressed and is subject to posttranslational
proteolytic processing in the retina, brain, and kidney. xlProminin-1 is
differently expressed and localized in outer segments of rod and cone
photoreceptors of X. laevis. Antibodies specific for the N or C termini of
xlProminin-1 labeled the open rims of lamellae of cone outer segments (COS) and
the open lamellae at the base of rod outer segments (ROS). By contrast, anti
peripherin-2/rds antibody, Xper5A11, labeled the closed rims of cone lamellae
adjacent to the ciliary axoneme and the rims of the closed ROS disks. The extent
of labeling of the basal ROS by anti-xlProminin-1 antibodies varied with the
light cycle in this frog. The entire ROS was also faintly labeled by both
antibodies, a result that contrasts with the current notion that prominin-1
localizes only to the basal ROS. CONCLUSIONS: These findings suggest that
xlProminin-1 may serve as an anti-fusogenic factor in the regulation of disk
morphogenesis and may help to maintain the open lamellar structure of basal ROS
and COS disks in X. laevis photoreceptors.
PMID- 22076990
TI - Inhibition of hepatitis C virus replication by Monascus pigment derivatives that
interfere with viral RNA polymerase activity and the mevalonate biosynthesis
pathway.
AB - OBJECTIVES: Hepatitis C virus (HCV) infection causes chronic liver disease and is
a major public health problem worldwide. The aim of this study was to evaluate
the potential of Monascus pigment derivatives, which were derived from a
microbial secondary metabolite synthesized from polyketides by Monascus spp., as
HCV antiviral agents. METHODS: We performed an in vitro RNA-dependent RNA
polymerase (RdRp) assay to screen for HCV RdRp inhibitors. The anti-HCV activity
of RdRp inhibitors in HCV-replicating cells was evaluated by quantification of
the RNA viral genome. Molecular docking analysis was performed to predict the
binding sites of the selected RdRp inhibitors. RESULTS: We have identified a
Monascus pigment and its derivatives as inhibitors of the HCV NS5B RdRp. A group
of Monascus orange pigment (MOP) amino acid derivatives, in which the reactive
oxygen moiety was changed to amino acids, significantly inhibited HCV
replication. Further, combination of the MOP derivatives (Phe, Val or Leu
conjugates) with interferon (IFN)-alpha inhibited HCV replication more than IFN
alpha treatment alone. Lastly, molecular docking studies indicate the inhibitors
may bind to a thumb subdomain allosteric site of NS5B. The antiviral activity of
the MOP derivatives was related to a modulation of the mevalonate pathway, since
the mevalonate-induced increase in HCV replication was suppressed by the MOP
compounds. CONCLUSIONS: Our results identify amino acid derivatives of MOP as
potential anti-HCV agents and suggest that their combination with IFN-alpha might
offer an alternative strategy for the control of HCV replication.
PMID- 22076991
TI - A-Z of nutritional supplements: dietary supplements, sports nutrition foods and
ergogenic aids for health and performance--Part 27.
PMID- 22077059
TI - Blocking IL-21 signaling ameliorates xenogeneic GVHD induced by human
lymphocytes.
AB - In rodent graft-versus-host disease (GVHD) models, anti-IL-21 neutralizing mAb
treatment ameliorates lethality and is associated with decreases in Th1 cytokine
production and gastrointestinal tract injury. GVHD prevention was dependent on
the in vivo generation of donor-inducible regulatory T cells (Tregs). To
determine whether the IL-21 pathway might be targeted for GVHD prevention, skin
and colon samples obtained from patients with no GVHD or grade 2 to 4 GVHD were
analyzed for IL-21 protein expression. By immunohistochemistry staining, IL-21
protein-producing cells were present in all gastrointestinal tract samples and
54% of skin samples obtained from GVHD patients but not GVHD-free controls. In a
human xenogeneic GVHD model, human IL-21-secreting cells were present in the
colon of GVHD recipients and were associated with elevated serum IL-21 levels. A
neutralizing anti-human IL-21 mAb given prophylactically significantly reduced
GVHD-associated weight loss and mortality, resulting in a concomitant increase in
Tregs and a decrease in T cells secreting IFN-gamma or granzyme B. Based on these
findings, anti-IL-21 mAb could be considered for GVHD prevention in the clinic.
PMID- 22077060
TI - MicroRNA regulation of STAT4 protein expression: rapid and sensitive modulation
of IL-12 signaling in human natural killer cells.
AB - IL-12 exerts several regulatory effects on natural killer (NK) cells by
activating IL-12 signaling. IL-12 signaling is tightly auto-regulated to control
its onset and termination, with prolonged IL-12 treatment resulting in IL-12
hyporesponsiveness. However, the mechanisms underlying IL-12 auto-regulation are
still unclear. In this study we report that prolonged IL-12 treatment
significantly up-regulates microRNAs (miRNAs), including miR-132, -212, and -200a
in primary human NK cells. This up-regulation correlates temporally with
gradually decreasing STAT4 levels and decreasing IFN-gamma expression, after an
initial increase within the first 16 hours of IL-12 treatment. The IL-12
hyporesponsiveness is dependent on IL-12 concentration, and associated up
regulation of miR-132, -212, and -200a. Furthermore, IL-12-hyporesponsive cells
regain responsiveness of IFN-gamma production 24 hours after IL-12 removal, which
correlates with decreases in miR-132, -212, and -200a levels. Overexpression of
miR-132, -212, and -200a by transfection into NK cells mimics IL-12 priming,
inducing IL-12 hyporesponsiveness, whereas transfection of miR-132, -212, and
200a inhibitors largely abolishes IL-12 induction of IL-12 hyporesponsiveness.
These data suggest that miR-132, -212, and -200a up-regulation during prolonged
IL-12 treatment, negatively regulates the IL-12 signaling pathway by reducing
STAT4 expression in primary human NK cells.
PMID- 22077061
TI - DNMT3A mutations in acute myeloid leukemia: stability during disease evolution
and clinical implications.
AB - DNMT3A mutations are associated with poor prognosis in acute myeloid leukemia
(AML), but the stability of this mutation during the clinical course remains
unclear. In the present study of 500 patients with de novo AML, DNMT3A mutations
were identified in 14% of total patients and in 22.9% of AML patients with normal
karyotype. DNMT3A mutations were positively associated with older age, higher WBC
and platelet counts, intermediate-risk and normal cytogenetics, FLT3 internal
tandem duplication, and NPM1, PTPN11, and IDH2 mutations, but were negatively
associated with CEBPA mutations. Multivariate analysis demonstrated that the
DNMT3A mutation was an independent poor prognostic factor for overall survival
and relapse-free survival in total patients and also in normokaryotype group. A
scoring system incorporating the DNMT3A mutation and 8 other prognostic factors,
including age, WBC count, cytogenetics, and gene mutations, into survival
analysis was very useful in stratifying AML patients into different prognostic
groups (P < .001). Sequential study of 138 patients during the clinical course
showed that DNMT3A mutations were stable during AML evolution. In conclusion,
DNMT3A mutations are associated with distinct clinical and biologic features and
poor prognosis in de novo AML patients. Furthermore, the DNMT3A mutation may be a
potential biomarker for monitoring of minimal residual disease.
PMID- 22077062
TI - Synthetic retinoid Am80 ameliorates chronic graft-versus-host disease by down
regulating Th1 and Th17.
AB - Chronic GVHD (cGVHD) is a main cause of late death and morbidity after allogeneic
hematopoietic cell transplantation, but its pathogenesis remains unclear. We
investigated the roles of Th subsets in cGVHD with the use of a well-defined
mouse model of cGVHD. In this model, development of cGVHD was associated with up
regulated Th1, Th2, and Th17 responses. Th1 and Th2 responses were up-regulated
early after BM transplantation, followed by a subsequent up-regulation of Th17
cells. Significantly greater numbers of Th17 cells were infiltrated in the lung
and liver from allogeneic recipients than those from syngeneic recipients. We
then evaluated the roles of Th1 and Th17 in cGVHD with the use of IFN-gamma
deficient and IL-17-deficient mice as donors. Infusion of IFN-gamma(-/-) or IL
17(-/-) T cells attenuated cGVHD in the skin and salivary glands. Am80, a potent
synthetic retinoid, regulated both Th1 and Th17 responses as well as TGF-beta
expression in the skin, resulting in an attenuation of cutaneous cGVHD. These
results suggest that Th1 and Th17 contribute to the development of cGVHD and that
targeting Th1 and Th17 may therefore represent a promising therapeutic strategy
for preventing and treating cGVHD.
PMID- 22077064
TI - Transfusion in the absence of inflammation induces antigen-specific tolerance to
murine RBCs.
AB - Most human transfusion recipients fail to make detectable alloantibodies to
foreign RBC antigens ("nonresponders"). Herein, we use a murine model to test the
hypothesis that nonresponders may be immunologically tolerant. FVB mice
transfused with RBCs expressing transgenic human glycophorin A (hGPA) antigen in
the absence of inflammation produced undetectable levels of anti-hGPA
immunoglobulins, unlike those transfused in the presence of
polyinosinic:polycytidylic acid-induced inflammation. Mice in the nonresponder
group failed to produce anti-hGPA after subsequent transfusions in the presence
of polyinosinic:polycytidylic acid, whereas anti-hGPA levels increased in the
responder group. This tolerance was antigen specific, because nonresponders to
hGPA produced alloantibodies to RBCs that expressed a different transgenic
antigen. This tolerance was not an idiosyncrasy of the hGPA antigen nor of the
recipient strain, because B10.BR mice transfused with membrane-bound hen egg
lysozyme antigen-transgenic RBCs also demonstrated induced nonresponsiveness.
These data demonstrate that RBCs transfused in the absence of inflammation can
induce tolerance.
PMID- 22077065
TI - The health status of the Negro today and in the future. 1967.
PMID- 22077063
TI - Mutations of NOTCH1 are an independent predictor of survival in chronic
lymphocytic leukemia.
AB - Analysis of the chronic lymphocytic leukemia (CLL) coding genome has recently
disclosed that the NOTCH1 proto-oncogene is recurrently mutated at CLL
presentation. Here, we assessed the prognostic role of NOTCH1 mutations in CLL.
Two series of newly diagnosed CLL were used as training (n = 309) and validation
(n = 230) cohorts. NOTCH1 mutations occurred in 11.0% and 11.3% CLL of the
training and validation series, respectively. In the training series, NOTCH1
mutations led to a 3.77-fold increase in the hazard of death and to shorter
overall survival (OS; P < .001). Multivariate analysis selected NOTCH1 mutations
as an independent predictor of OS after controlling for confounding clinical and
biologic variables. The independent prognostic value of NOTCH1 mutations was
externally confirmed in the validation series. The poor prognosis conferred by
NOTCH1 mutations was attributable, at least in part, to shorter treatment-free
survival and higher risk of Richter transformation. Although NOTCH1 mutated
patients were devoid of TP53 disruption in more than 90% cases in both training
and validation series, the OS predicted by NOTCH1 mutations was similar to that
of TP53 mutated/deleted CLL. NOTCH1 mutations are an independent predictor of CLL
OS, tend to be mutually exclusive with TP53 abnormalities, and identify cases
with a dismal prognosis.
PMID- 22077066
TI - Bronchial thermoplasty for severe asthma.
AB - Bronchial thermoplasty (BT) is a novel treatment of patients with severe asthma
who continue to be symptomatic despite maximal medical treatment. It aims to
reduce the smooth muscle mass in the airways by delivering controlled thermal
energy to the airway walls during a series of three bronchoscopies. Randomized
controlled clinical trials of BT in severe asthma have not been able to show a
reduction in airway hyperresponsiveness or change in FEV(1) but have suggested an
improvement in quality of life, as well as a reduction in the rate of severe
exacerbations, emergency department visits, and days lost from school or work.
Strict inclusion and exclusion criteria of these trials resulted in the
elimination of patients with severe asthma who experienced more than three
exacerbations per year. Therefore, the generalizability of this treatment to the
broader severe asthma population still needs to be determined. The short-term
adverse events consist primarily of airway inflammation and occasionally more
severe events requiring hospitalization. Long-term safety data are evolving and
have shown thus far clinical and functional stability up to 5 years after BT
treatment. Additional studies on BT are needed to establish accurate phenotyping
of positive responders, durability of effect, and long-term safety.
PMID- 22077067
TI - DNA methylation in inflammatory genes among children with obstructive sleep
apnea.
AB - BACKGROUND: Pediatric obstructive sleep apnea (OSA) leads to multiple end-organ
morbidities that are mediated by the cumulative burden of oxidative stress and
inflammation. Because not all children with OSA exhibit increased systemic
inflammation, genetic and environmental factors may be affecting patterns of DNA
methylation in genes subserving inflammatory functions. METHODS: DNA from matched
children with OSA with and without high levels of high-sensitivity C-reactive
protein (hsCRP) were assessed for DNA methylation levels of 24 inflammatory
related genes. Primer-based polymerase chain reaction assays in a case-control
setting involving 47 OSA cases and 31 control subjects were conducted to confirm
the findings; hsCRP and myeloid-related protein (MRP) 8/14 levels were also
assayed. MEASUREMENTS AND MAIN RESULTS: Forkhead box P3 (FOXP3) and interferon
regulatory factor 1 (IRF1) showed higher methylation in six children with OSA and
high hsCRP levels compared with matched children with OSA and low hsCRP levels (P
< 0.05). In the case-control cohort, children with OSA and high CRP levels had
higher log FOXP3 DNA methylation levels compared with children with OSA and low
CRP levels and control subjects. IRF1 did not exhibit significant differences.
FOXP3 DNA methylation levels correlated with hsCRP and MRP 8/14 levels and with
apnea-hypopnea index (AHI), BMI z score, and apolipoprotein B levels. A stepwise
multiple regression model showed that AHI was independently associated with FOXP3
DNA methylation levels (P < 0.03). CONCLUSIONS: The FOXP3 gene, which regulates
expression of T regulatory lymphocytes, is more likely to display increased
methylation among children with OSA who exhibit increased systemic inflammatory
responses. Thus, epigenetic modifications may constitute an important determinant
of inflammatory phenotype in OSA, and FOXP3 DNA methylation levels may provide a
potential biomarker for end-organ vulnerability.
PMID- 22077068
TI - Neonatal cytokine profile in the airway mucosal lining fluid is skewed by
maternal atopy.
AB - RATIONALE: Heredity from mother or father may impact differently in complex
diseases, such as atopy. Maternal atopy is a stronger risk factor than paternal
atopy for the development of atopy in the offspring. We hypothesized that
mother's and father's atopy would have a differential imprinting on the cytokines
and chemokines in the upper airway mucosal lining fluid of healthy neonates.
OBJECTIVES: To study parental atopic imprinting on the cytokines and chemokines
in the upper airway mucosal lining fluid of healthy neonates. METHODS: Eighteen
cytokines and chemokines were quantified in nasal mucosal lining fluid in 309
neonates from the novel unselected Copenhagen Prospective Study on Asthma in
Childhood (COPSAC) birth cohort. MEASUREMENTS AND MAIN RESULTS: Maternal, but not
paternal, atopic status (asthma, hay fever, or eczema with or without
sensitization) was associated with general down-regulation of all 18 mediators
assessed by principal component analysis (overall P = 0.015). CONCLUSIONS:
Maternal atopy, but not paternal atopy, showed a strong linkage with a suppressed
mucosal cytokine and chemokine signature in asymptomatic neonates, suggesting
imprinting by the maternal milieu in utero or perinatal life.
PMID- 22077069
TI - Targeting energetic metabolism: a new frontier in the pathogenesis and treatment
of pulmonary hypertension.
AB - This perspective highlights advances in the understanding of the role of cellular
metabolism in the pathogenesis of pulmonary hypertension. Insights gained in the
past 20 years have revealed several similarities between the cellular processes
underlying the pulmonary vascular remodeling in pulmonary hypertension and those
seen in cancer processes. In line with these insights, there is increasing
recognition that abnormal cellular metabolism, notably of aerobic glycolysis (the
"Warburg effect"), the potential involvement of hypoxia-inducible factor in this
process, and alterations in mitochondrial function, are key elements in the
pathogenesis of this disease. The glycolytic shift may underlie the resistance to
apoptosis and increased vascular cell proliferation, which are hallmarks of
pulmonary hypertension. These investigations have led to novel approaches in the
diagnosis and therapy of pulmonary hypertension.
PMID- 22077071
TI - Structural mix-n-match reveals molecular secrets of platelets.
PMID- 22077070
TI - Vitamin D levels and risk of acute exacerbations of chronic obstructive pulmonary
disease: a prospective cohort study.
AB - RATIONALE: Low blood levels of 25-hydroxyvitamin D (25[OH]D) have been associated
with a higher risk of respiratory infections in general populations and higher
risk of exacerbations of lung disease in people with asthma. We hypothesized that
low blood levels of 25(OH)D in patients with chronic obstructive pulmonary
disease (COPD) would be associated with an increased risk of acute exacerbations
of COPD (AECOPD). OBJECTIVES: To determine if baseline 25(OH)D levels relate to
subsequent AECOPD in a cohort of patients at high risk for AECOPD. METHODS:
Plasma 25(OH)D was measured at baseline in 973 participants on entry to a 1-year
study designed to determine if daily azithromycin decreased the incidence of
AECOPD. Relationships between baseline 25(OH)D and AECOPD over 1 year were
analyzed with time to first AECOPD as the primary outcome and exacerbation rate
as the secondary outcome. MEASUREMENTS AND MAIN RESULTS: In this largely white
(85%) sample of North American patients with severe COPD (mean FEV(1) 1.12L; 40%
of predicted), mean 25(OH)D was 25.7 +/- 12.8 ng/ml. A total of 33.1% of
participants were vitamin D insufficient (>=20 ng/ml but <30 ng/ml); 32% were
vitamin D deficient (<20 ng/ml); and 8.4% had severe vitamin D deficiency (<10
ng/ml). Baseline 25(OH)D levels had no relationship to time to first AECOPD or
AECOPD rates. CONCLUSIONS: In patients with severe COPD, baseline 25(OH)D levels
are not predictive of subsequent AECOPD. Clinical trial registered with
www.clinicaltrials.gov (NCT00119860).
PMID- 22077072
TI - Anti-CD20: tales of identical twins?
PMID- 22077073
TI - SHEARiO, fast lane to oxidized VWF.
PMID- 22077074
TI - Brewing blood.
PMID- 22077075
TI - Training clinicians to lead.
PMID- 22077076
TI - Prescribing bevacizumab off-label contravenes GMC advice.
PMID- 22077078
TI - Doctors choosing not to be vaccinated is choosing to do harm.
PMID- 22077077
TI - Risk of diabetes from statins may be higher in women.
PMID- 22077079
TI - Doctors accepting flu vaccination is the sensible and responsible choice.
PMID- 22077080
TI - Flu vaccination prevents nosocomial outbreaks.
PMID- 22077081
TI - GPs need support to take on leadership roles.
PMID- 22077082
TI - Food allergy information and deriving action levels for use.
PMID- 22077083
TI - Clarity is necessary and only three labels may be needed.
PMID- 22077084
TI - Deadly legacy of GMC's Southall hearings fiasco.
PMID- 22077085
TI - GMC has repeatedly breached its duty of care to Professor Southall.
PMID- 22077086
TI - Yes to careful introduction of robot assisted surgery.
PMID- 22077087
TI - Trainee doctors may miss out on the robotic revolution.
PMID- 22077088
TI - Consultation over children's heart surgery was unfair to Royal Brompton, judge
rules.
PMID- 22077089
TI - European drug regulator is being investigated by fraud agency.
PMID- 22077090
TI - Pathology reports solve "new bowel disease" riddle.
PMID- 22077091
TI - Commentary: We came to an overwhelming and uniform opinion that these reports do
not show colitis.
PMID- 22077092
TI - Commentary: I see no convincing evidence of "enterocolitis," "colitis," or a
"unique disease process".
PMID- 22077093
TI - Institutional research misconduct.
PMID- 22077094
TI - Inkjet printed, high mobility inorganic-oxide field effect transistors processed
at room temperature.
AB - Printed electronics (PE) represents any electronic devices, components or
circuits that can be processed using modern-day printing techniques. Field-effect
transistors (FETs) and logics are being printed with intended applications
requiring simple circuitry on large, flexible (e.g., polymer) substrates for low
cost and disposable electronics. Although organic materials have commonly been
chosen for their easy printability and low temperature processability, high
quality inorganic oxide-semiconductors are also being considered recently. The
intrinsic mobility of the inorganic semiconductors are always by far superior
than the organic ones; however, the commonly expressed reservations against the
inorganic-based printed electronics are due to major issues, such as high
processing temperatures and their incompatibility with solution-processing. Here
we show a possibility to circumvent these difficulties and demonstrate a room
temperature processed and inkjet printed inorganic-oxide FET where the transistor
channel is composed of an interconnected nanoparticle network and a solid polymer
electrolyte serves as the dielectric. Even an extremely conservative estimation
of the field-effect mobility of such a device yields a value of 0.8 cm(2)/(V s),
which is still exceptionally large for a room temperature processed and printed
transistor from inorganic materials.
PMID- 22077095
TI - Electronic laboratory notebook: the academic point of view.
AB - Based on a requirement analysis and alternative design considerations, a platform
independent electronic laboratory notebook (ELN) has been developed that
specifically targets academic users. Its intuitive design and numerous
productivity features motivate chemical researchers and students to record their
data electronically. The data are stored in a highly structured form that offers
substantial benefits over laboratory notebooks written on paper with regard to
data retrieval, data mining, and exchange of results.
PMID- 22077096
TI - Frameworks for comparing emissions associated with production, consumption, and
international trade.
AB - While the problem of climate change is being perceived as increasingly urgent,
decision-makers struggle to agree on the distribution of responsibility across
countries. In particular, representatives from countries hosting emissions
intensive exporting industries have argued that the importers of emissions
intensive goods should bear the responsibility, and ensuing penalties. Indeed,
international trade and carbon leakage appear to play an increasingly important
role in the carbon emissions debate. However, definitions of quantities
describing the embodiment of carbon emissions in internationally traded products,
and their measurement, have to be sufficiently robust before being able to
underpin global policy. In this paper we critically examine a number of emissions
accounting concepts, examine whether the ensuing carbon balances are compatible
with monetary trade balances, discuss their different interpretations, and
highlight implications for policy. In particular, we compare the emissions
embodied in bilateral trade (EEBT) method which considers total trade flows with
domestic emission intensities, with the multi-regional input-output (MRIO) method
which considers trade only into final consumption with global emission
intensities. If consumption-based emissions of different countries were to be
compared, we would suggest an MRIO approach because of the global emissions
coverage inherent in this method. If trade-adjusted emission inventories were to
be compared, we would suggest an EEBT approach due to the consistency with a
monetary trade balance.
PMID- 22077097
TI - Copper-mediated chelation-assisted ortho nitration of (hetero)arenes.
AB - A novel copper-mediated chelation-assisted ortho C-H nitration of (hetero)arenes
has been developed for the first time, which used dioxygen as terminal oxidant
and 1,2,3-TCP as solvent, leading to the synthesis of nitroaromatics with
excellent regioselectivity and in good yields. Mechanistic investigations
indicate a mechanism involving a four-centered transition state, with
simultaneous cleavage of an ortho C-H bond and a N-O bond of the nitrate anion on
the 2-arylpyridine-coordinated copper(II) complex.
PMID- 22077098
TI - Recurrent refractory Clostridium difficile colitis treated successfully with
rifaximin and tigecycline: a case report and review of the literature.
AB - Clostridium difficile colitis infection is on the rise and is considerably
increasing the duration of hospital stay, as well as healthcare costs. The
management of C. difficile colitis has become more challenging with the
increasing failure of therapeutic response to metronidazole and oral vancomycin.
Tigecycline is a new glycylcycline that has shown in vitro activity against C.
difficile. We report herein a case of C. difficile colitis that failed to improve
on a combination of metronidazole and oral vancomycin. The patient subsequently
developed a surgical abdomen secondary to refractory C. difficile colitis, but
was successfully treated with a combination of rifaximin and tigecycline after
she refused to undergo surgical treatment.
PMID- 22077099
TI - Pbx-dependent regulation of lbx gene expression in developing zebrafish embryos.
AB - Ladybird (Lbx) homeodomain transcription factors function in neural and muscle
development--roles conserved from Drosophila to vertebrates. Lbx expression in
mice specifies neural cell types, including dorsally located interneurons and
association neurons, within the neural tube. Little, however, is known about the
regulation of vertebrate lbx family genes. Here we describe the expression
pattern of three zebrafish ladybird genes via mRNA in situ hybridization.
Zebrafish lbx genes are expressed in distinct but overlapping regions within the
developing neural tube, with strong expression within the hindbrain and spinal
cord. The Hox family of transcription factors, in cooperation with cofactors such
as Pbx and Meis, regulate hindbrain segmentation during embryogenesis. We have
identified a novel regulatory interaction in which lbx1 genes are strongly
downregulated in Pbx-depleted embryos. Further, we have produced a transgenic
zebrafish line expressing dTomato and EGFP under the control of an lbx1b enhancer
-a useful tool to acertain neuron location, migration, and morphology. Using this
transgenic strain, we have identified a minimal neural lbx1b enhancer that
contains key regulatory elements for expression of this transcription factor.
PMID- 22077100
TI - Structure of the borosilicate zeolite catalyst SSZ-82 solved using 2D-XPD charge
flipping.
AB - The structure of the calcined borosilicate zeolite catalyst SSZ-82
([Si(61.3)B(4.7)O(132)], Pmmn, a = 24.2783(4), b = 11.4665(2), and c = 14.1127(3)
A) has been solved from X-ray powder diffraction (XPD) data using the recently
developed 2D-XPD charge flipping approach. The electron density maps generated
with the more conventional powder charge flipping (pCF) algorithm could not be
interpreted easily, so this new method, which begins by phasing low-resolution,
2D subsets of the data, was applied. Crystallographic phases were derived for the
three main projections ([100], [010], and [001]) by using just the corresponding
subsets of reflections (0kl, h0l, and hk0, respectively) from the full set of
3039 extracted intensities. These phases were then imposed on the (otherwise
random) starting phases in the application of the pCF algorithm to the full data
set. The framework structure, with 11 Si/B atoms in the asymmetric unit and a
novel 12-/10-ring 2D channel system, could be seen clearly in the resulting
electron density map. This is the first application of the 2D-XPD method to data
collected on a material of unknown structure. Rietveld refinement of the
structure revealed the positions of the B atoms in the framework and indicated
that some water had been readsorbed in the pores.
PMID- 22077101
TI - The evolution of the OATP hepatic uptake transport protein family in DMPK
sciences: from obscure liver transporters to key determinants of hepatobiliary
clearance.
AB - Over the last two decades the impact on drug pharmacokinetics of the organic
anion transporting polypeptides (OATPs: OATP-1B1, 1B3 and 2B1), expressed on the
sinusoidal membrane of the hepatocyte, has been increasingly recognized. OATP
mediated uptake into the hepatocyte coupled with subsequent excretion into bile
via efflux proteins, such as MRP2, is often referred to as hepatobiliary
excretion. OATP transporter proteins can impact some drugs in several ways
including pharmacokinetic variability, pharmacodynamic response and drug-drug
interactions (DDIs). The impact of transporter mediated hepatic clearance is
illustrated with case examples, from the literature and also from the Pfizer
portfolio. The currently available in vitro techniques to study the hepatic
transporter proteins involved in the hepatobiliary clearance of drugs are
reviewed herein along with recent advances in using these in vitro data to
predict the human clearance of compounds recognized by hepatic uptake
transporters.
PMID- 22077103
TI - Effects of the SLCO1B1*15 allele on the pharmacokinetics of pitavastatin.
AB - The hepatic uptake of pitavastatin is mediated by carriers, especially OATP1B1,
which is encoded by the SLCO1B1 gene. Because the liver is a target organ of
pitavastatin, OATP1B1 is responsible for both the pharmacological effects and
clearance of pitavastatin. The effects of the SLCO1B1*15 allele on the
pharmacokinetics (PK) of pitavastatin were studied. Pitavastatin 2 mg was orally
administered to 38 subjects with SLCO1B1*1a/*1b (n = 20), *1b/*15 (n = 13), or
*15/*15 (n = 5). After pitavastatin administration, the plasma concentrations of
pitavastatin and pitavastatin lactone were assayed for up to 48 h using liquid
chromatography-tandem mass spectrometry. In comparison to the SLCO1B1*1a/*1b
subjects, only a C(max) was slightly higher in the SLCO1B1*1b/*15 subjects.
However, the SLCO1B1*15/*15 subjects had a 1.74-fold higher AUC(inf) (285.5 +/-
14.5 vs. 164.6 +/- 41.3 ng.h/mL; p < 0.001), a 2.21-fold higher C(max) (106.7 +/-
15.1 vs. 48.3 +/- 13.4 ng/mL; p < 0.001), and a 47.3% lower apparent oral
clearance (13.1 +/- 3.9 vs. 6.9 +/- 0.4 L/h; p < 0.001) of pitavastatin. For
pitavastatin lactone, there were no significant differences in AUC(inf), C(max),
t(1/2), and t(max) among the three genotypes. Unlike previous studies, the
disposition of pitavastatin exposure was not altered in subjects with the
SLCO1B1*1b/*15 genotype, except C(max). However, pitavastatin exposure was
significantly increased in subjects with the SLCO1B1*15/*15 genotype due to
reduced hepatic absorption.
PMID- 22077102
TI - A new methodology for predicting human pharmacokinetics for inhaled drugs from
oratracheal pharmacokinetic data in rats.
AB - Prediction of pharmacokinetic (PK) profile for inhaled drugs in humans provides
valuable information to aid toxicology safety assessment, evaluate the potential
for systemic accumulation on multiple dosing and enable an estimate for the
clinical plasma assay requirements. The accuracy in prediction of inhaled human
PK profiles for seven inhaled drugs or drug candidates (salmeterol, salbutamol,
formoterol, fluticasone propionate, budesonide, CP-325366 and UK-432097) was
assessed using rat oratracheal solution and dry powder PK data. The prediction
methodology incorporates allometric scaling and mean residence time (MRT)
principles with a two compartmental PK approach. Across the range of compounds
tested, the prediction of human inhaled maximum concentration (C(max)) and MRT
was within 2-fold for 5 of the 7 compounds, providing an accuracy of prediction
similar to the current methodologies used to predict human oral C(max) from
preclinical data ( De Buck et al. 2007 ). Administering as a dry powder
formulation slowed the rat lung absorption rate of the least soluble compound
(fluticasone propionate), impacting the prediction of C(max) and MRT. This flags
the potential for preclinical studies with dry powder formulations to positively
influence predictive accuracy, although further studies with low solubility
inhaled drugs are required to confirm this. This study illustrates the value of
preclinical assessment of PKs following administration to the lung, and provides
a viable means of predicting the human PK profile for inhaled drugs.
PMID- 22077104
TI - Nephroprotective activity of Macrothelypteris oligophlebia rhizomes ethanol
extract.
AB - CONTEXT: Macrothelypteris oligophlebia (Bak.) Ching (Thelypteridaceae) is a
Chinese herbal medicine used traditionally for the treatment of diseases such as
edema, boils, burns, and roundworms. However, research about the nephroprotective
potential of this plant is not available. OBJECTIVE: Present study was designed
to evaluate the protective effect of ethanol extract of M. oligophlebia rhizomes
(EMO) on gentamicin (GM)-induced nephrotoxicity. MATERIALS AND METHODS: Rats were
intraperitoneal (i.p.) injected with GM (100 mg/kg) to induce nephrotoxicity and
simultaneously EMO (250 and 500 mg/kg) was orally given to GM-treated rats for 8
days. Blood urea nitrogen (BUN), serum creatinine (Cr), malondialdehyde (MDA),
nitric oxide (NO), superoxide dismutase (SOD), catalase (CAT) and glutathione
peroxidase (GSH-Px) were evaluated in renal tissues. Histopathological analysis
was used for evaluation of the renal damage. RESULTS: Administration with GM
induced renal dysfunction in rats. Pre-treatment with EMO (500 mg/kg)
significantly decreased the levels of BUN, Cr, MDA and NO (decreased BUN from
12.71 +/- 1.28 to 7.19 +/- 0.23 mmol/l, Cr from 39.77 +/- 5.34 to 19.17 +/- 0.90
MUmol/l, MDA from 5.60 +/- 0.37 to 2.63 +/- 0.24 nmol/ml, and NO from 868.17 +/-
22.67 to 589.51 +/- 8.83 MUmol/ml), and also restored the activities of renal
antioxidant enzymes (SOD, CAT, and GSH-Px) (restored SOD from 1.59 +/- 0.17 to
2.94 +/- 0.13 U/mg protein, CAT from 3.22 +/- 0.34 to 10.57 +/- 0.27 U/mg
protein, and GSH-Px from 9.11 +/- 1.29 to 20.72 +/- 1.83 U/mg protein).
DISCUSSION AND CONCLUSION: Our results suggest that the rhizomes of M.
oligophlebia potentially have a protective role in renal tissue against oxidative
stress in acute renal failure.
PMID- 22077105
TI - Porphyrins fused with unactivated polycyclic aromatic hydrocarbons.
AB - A systematic study of the preparation of porphyrins with extended conjugation by
meso,beta-fusion with polycyclic aromatic hydrocarbons (PAHs) is reported. The
meso-positions of 5,15-unsubstituted porphyrins were readily functionalized with
PAHs. Ring fusion using standard Scholl reaction conditions (FeCl(3),
dichloromethane) occurs for perylene-substituted porphyrins to give a porphyrin
beta,meso annulated with perylene rings (0.7:1 ratio of syn and anti isomers).
The naphthalene, pyrene, and coronene derivatives do not react under Scholl
conditions but are fused using thermal cyclodehydrogenation at high temperatures,
giving mixtures of syn and anti isomers of the meso,beta-fused porphyrins. For
pyrenyl-substituted porphyrins, a thermal method gives synthetically acceptable
yields (>30%). Absorption spectra of the fused porphyrins undergo a progressive
bathochromic shift in a series of naphthyl (lambda(max) = 730 nm), coronenyl
(lambda(max) = 780 nm), pyrenyl (lambda(max) = 815 nm), and perylenyl
(lambda(max) = 900 nm) annulated porphyrins. Despite being conjugated with
unsubstituted fused PAHs, the beta,meso-fused porphyrins are more soluble and
processable than the parent nonfused precursors. Pyrenyl-fused porphyrins exhibit
strong fluorescence in the near-infrared (NIR) spectral region, with a
progressive improvement in luminescent efficiency (up to 13% with lambda(max) =
829 nm) with increasing degree of fusion. Fused pyrenyl-porphyrins have been used
as broadband absorption donor materials in photovoltaic cells, leading to devices
that show comparatively high photovoltaic efficiencies.
PMID- 22077107
TI - Clinical effectiveness of inhaled corticosteroids versus montelukast in children
with asthma: prescription patterns and patient adherence as key factors.
AB - OBJECTIVES: To examine the real-life effectiveness of inhaled corticosteroids
(ICS) versus leukotriene receptor antagonists (LTRA) monotherapy in children with
mild or moderate asthma. METHODS: Using medical and drug records, we accrued a
cohort of 227 children aged 2-17 years, prescribed daily LTRA or ICS monotherapy.
LTRA-treated children were matched on age, gender, and previous acute-care visits
in a 1:3 ratio to ICS-treated children. Outcomes included rescue oral
corticosteroids, prescription duration and dispensing, acute-care visits,
hospital admissions, and beta(2)-agonist use. RESULTS: More ICS- than montelukast
treated children had persistent asthma (73 vs. 50%) and fewer had good asthma
control (35 vs. 61%) at baseline, suggesting residual confounding by indication.
Physician prescriptions covered 62% of the follow-up period for ICS compared to
97% for montelukast (mean group difference [MGD]: -17%, 95% CI: -28%, -7%). In
pharmacies, patients claimed 51 vs. 74% of prescribed ICS and montelukast,
respectively (MGD = -12% [-20%, -4%]). Consequently, dispensed ICS and
montelukast covered 24% and 38% of follow-up period, respectively (MGD = -14% [
22%, -6%]). No group differences in oral corticosteroids (RR = 1.10 [0.66, 1.84])
and acute-care visits (RR = 1.79 [0.96, 3.34]) were observed. ICS-treated
children experienced more hospital admissions (RR = 3.63 [1.20, 11.03]) and
needed more frequently rescue beta(2)-agonist use of >=4 doses per week (RR =
2.54 [1.23, 5.23]). CONCLUSIONS: When compared to LTRA, the prescription of ICS
monotherapy did not significantly reduce rescue oral corticosteroids or acute
care visits and was associated with a higher rate of hospital admission for
asthma and rescue beta(2)-agonist use. The findings may be due to paradoxical
shorter ICS prescription duration and lower patient adherence, despite more
persistent asthma and poorer control than in LTRA-treated children.
PMID- 22077108
TI - Wildlife diseases in the Netherlands.
PMID- 22077109
TI - Emerging diseases with a and the worldwide impact consequences for veterinary
curricula.
AB - Summary Emerging infections are being recognized at an alarming rate. In the
case of emerging viral infections involving free-ranging wildlife, the intrusion
of urban and agricultural enterprises into wildlife habitats appears to be
playing a major role in the exchange of infectious agents of domestic to wildlife
species and vice-versa. It is important that the veterinary profession prepares
itself to respond to the threatening challenges. Creation of a course which
addressed the principles of infectious diseases, diagnostic medicine,
epidemiology, public health, population medicine and control are all imperative.
This paper attempts to address some of the principle subjects matter areas which
should be included in the course offering.
PMID- 22077106
TI - Efficacy and safety of bilastine 20 mg compared with cetirizine 10 mg and placebo
in the treatment of perennial allergic rhinitis.
AB - OBJECTIVE: Bilastine is a non-sedating second-generation H(1) antihistamine with
proven efficacy and safety in the treatment of patients with seasonal allergic
rhinitis and urticaria. The objective of this study was to demonstrate the
efficacy and safety of bilastine in patients with perennial allergic rhinitis
(PAR). METHODS: In a multicenter, randomized, placebo-controlled, double-blind,
parallel-group study, patients with symptomatic PAR (n = 650) from Argentina,
Europe, and South Africa received bilastine 20 mg, cetirizine 10 mg, or placebo
once daily for 4 weeks. The primary efficacy outcome was the mean area under the
curve (AUC) of reflective total 6-symptom scores (rT6SS) from baseline visit to
day 28 (D28). Secondary outcome measures included mean AUC of instantaneous total
6-symptom scores (iT6SS), and mean AUCs of reflective and instantaneous total 4
nasal symptom scores (T4NSS) and total 2-ocular symptom scores (T2OSS) from
baseline to D28. An open-label extension phase evaluated the safety of bilastine
20 mg administered to patients (n = 513) for one year. RESULTS: In the overall
population no significant differences in efficacy outcomes were found between
active treatments and placebo. On account of the high placebo response in South
Africa, a post-hoc analysis was conducted. This analysis demonstrated that
statistically significant differences existed between active treatments and
placebo in the mean AUC of rT6SS (p < 0.05) and T4NSS (p < 0.02), respectively,
from baseline to D28 visit for the intent-to-treat population in patients from
Europe and Argentina, whereas the difference was not statistically significant in
South Africa. Whether this is related to differences in the demographic or
clinical characteristics of South African patients (they had PAR for longer and
reported more severe symptoms) and/or the disease management process compared
with their European and Argentinean counterparts warrants further investigation.
CONCLUSIONS: A post-hoc analysis indicated that bilastine and cetirizine were
similarly effective and more effective than placebo during a 4-week treatment
period in patients with PAR. In addition, bilastine was shown to be safe and well
tolerated over a 1-year treatment period. CLINICAL TRIAL REGISTRY NUMBER:
NCT01127620.
PMID- 22077110
TI - Effects of environmental contaminants in wildlife species.
PMID- 22077111
TI - Fish diseases and environmental quality.
PMID- 22077112
TI - The effect of parasites on wildlife.
AB - Summary Populations of animals which live in the wild are regulated by many
biotic and abiotic factors. Parasites are one of the biotic factors. Parasites
may influence their hosts in different ways. They may cause the death of the host
due to a direct lethal effect or an indirect effect. Direct lethal effects may
occur if killing is a part of the life cycle of the parasite or if hosts and
parasites have not developed an equilibrium. The introduction of hosts or
parasites into a new environment with suitable hosts or parasites is an example.
Death by parasitism may also be caused by a combination of the emaciating effects
of parasites combined with factors such as bad weather conditions, environmental
pollution or human handling. Parasites may also influence the behaviour of their
hosts. If the hosts are intermediate hosts in the life cycle of the parasites,
the alterations in behaviour may make them an easier prey for their predators,
the final hosts. Parasites may also influence the reproductive success of the
hosts. In this respect the relationship between the red grouse (Lagopus lagopus
scoticus) and the caecal nematode Trichostrongylus tenuis has been well worked
out.
PMID- 22077113
TI - Diseases in wild animals in relation to nature management.
PMID- 22077114
TI - Survey of tick related problems in roe deer (capreolus capreolus) in the
Netherlands.
PMID- 22077115
TI - Leptospirosis in wild animals.
PMID- 22077116
TI - Botulism in waterfowl.
PMID- 22077117
TI - Veterinary science and nature management.
PMID- 22077119
TI - Surgical management of urethral prolapse in girls: 13 years' experience.
AB - Study Type - Therapy (case series) Level of Evidence 4 What's known on the
subject? and What does the study add? Urethral prolapse (UP) is a rare condition,
with a suggested incidence of one in 3000. It occurs most often in prepubertal,
primarily Black, girls. The underlying cause of this condition remains uncertain,
although a lack of oestrogen is thought to have a role, owing to the
preponderance of the condition in the prepubertal and postmenopausal age groups.
A popular theory is that the problem arises as a consequence of poor attachments
between the two layers of smooth muscle surrounding the urethra, combined with
episodic increases in intraabdominal pressure. The most common presentation of UP
is genital bleeding or a mass. The classical appearance of UP (i.e. the
'doughnut' sign) enables diagnosis to be made easily on clinical grounds alone.
Optimum management of UP is less certain, with opinion divided on the merits of
conservative therapy vs surgical excision. Conservative therapy aims to reduce
mucosal oedema, improve local hygiene and counteract lack of oestrogen by using a
combination of any or all of the following: Sitz baths, topical oestrogen cream,
antibacterial wash/soap and topical antibiotics. Surgical management of UP
involves excision of the prolapsed mucosa circumferentially. Several authors have
reported success with surgical excision, but it carries a risk of developing
stenosis of the urethral opening. The present study supports previously reported
findings by other authors in terms of demographics and clinical presentation.
Patient ages ranged from 2 to 15 years and all girls were of Black race. They
most commonly presented with a mass (8/21 patients) or bleeding (6/21 patients)
and diagnosis was confirmed on clinical examination, although one required a
general anaesthetic (GA) to complete the examination. The present study shows
that, in mild cases (usually where there is a mass without symptoms), UP can be
successfully managed using conservative measures. In our practice, this involves
the use of Sitz baths. More importantly, the study shows that in cases with more
symptomatic prolapse or with evidence of vascular compromise, there is an
alternative to a surgical procedure and its potential complications. We have
found reducing the prolapse under a GA to be beneficial. Complete reduction was
achieved in 3/7 patients, with no recurrence. The remaining four patients with
partial reduction had improvement in symptoms, allowing conservative therapy to
continue and resulting in complete or almost complete resolution of prolapse at
follow-up. This approach has not been described previously in published
literature on UP. OBJECTIVE: To review our experience of managing urethral
prolapse (UP) in girls. PATIENTS AND METHODS: A total of 21 girls, all of whom
were Black and whose age range was 2-15 years, were diagnosed with UP between
1995 and 2008. Case notes were reviewed for age, symptoms, clinical findings,
predisposing factors, management and outcomes. RESULTS: Presenting symptoms were:
mass (n= 8), bleeding (n= 6), dysuria/straining at micturition (n= 6), discharge
(n= 1) and constipation (n= 1). In all, 13 patients were managed conservatively
because their symptoms were mild. Seven patients underwent prolapse reduction
under general anaesthetic (GA). In one patient, an examination under anesthesia
was done to confirm the diagnosis as bedside examination was not possible.
Prolapse reduction was complete in only three patients. Two patients had partial
reduction, which resolved over the next 3 months. Two patients continue to have
minimal residual prolapse. A causative/precipitating factor was found in only one
patient (severe chronic constipation). She had a recurrence 2 years after
reduction. There were no other recurrences. CONCLUSIONS: UP in girls can be
diagnosed clinically in most cases. Girls with mild symptoms can be managed
conservatively. For girls with more significant symptoms, we recommend a simple
reduction under GA. This may be curative, or may reduce the prolapse
significantly. Surgical excision is almost never required.
PMID- 22077120
TI - Estimating updraft velocity components over large spatial scales: contrasting
migration strategies of golden eagles and turkey vultures.
AB - Soaring birds migrate in massive numbers worldwide. These migrations are complex
and dynamic phenomena, strongly influenced by meteorological conditions that
produce thermal and orographic uplift as the birds traverse the landscape. Herein
we report on how methods were developed to estimate the strength of thermal and
orographic uplift using publicly available digital weather and topography
datasets at continental scale. We apply these methods to contrast flight
strategies of two morphologically similar but behaviourally different species:
golden eagle, Aquila chrysaetos, and turkey vulture, Cathartes aura, during
autumn migration across eastern North America tracked using GPS tags. We show
that turkey vultures nearly exclusively used thermal lift, whereas golden eagles
primarily use orographic lift during migration. It has not been shown previously
that migration tracks are affected by species-specific specialisation to a
particular uplift mode. The methods introduced herein to estimate uplift
components and test for differences in weather use can be applied to study
movement of any soaring species.
PMID- 22077121
TI - Aiming at a moving target: Period fertility and changing reproductive goals.
AB - Summary Common sense suggests that changes over time in aggregate period
fertility rates should be closely, related to changes in desired completed
fertility after controlling for contraceptive failure, and desired spacing and
timing; the nature of the relationship is, however, far from clear. This paper
shows that when desired completed fertility undergoes swings, like those in the
United States in recent decades, the turning points in period fertility will
precede those in desired completed fertility by as much as five years and the
amplitude of the swings in period fertility will be more than twice as great.
Cumulated fertility, on the other hand, will lag behind reproductive goals.
Period fertility rates will exceed desired completed fertility when desires are
increasing and fall below it when desires are decreasing. These theoretical
results help to explain some salient features of the American baby boom and bust.
It is also shown that during a demographic transition, period fertility will fall
more rapidly than desired completed fertility, and that towards the end of the
transition, period fertility will increase.
PMID- 22077122
TI - On allocating resources for fertility reduction in developing countries.
AB - Summary Substantial resources are currently being devoted in attempts to reduce
fertility in developing countries. Can their allocation be made more efficient,
i.e. more effective per unit of investment? This is an exploratory attempt to
apply benefit-cost analysis to various realistic interventions, as judged by
knowledgeable experts in the absence of sound empirical information on such
impacts. (Such judgements appear to represent essentially the same sort of
judgements as are made by policy-makers in the field). The paper concentrates on
the methods by which several such analyses are made and illustrates the
difficulties and problems encountered, but it also presents certain findings and
conclusions of substance that show what results can be derived.
PMID- 22077123
TI - Further evidence on the decline in infant mortality in pre-industrial England:
North Shropshire, 1561-1810.
AB - Summary There is growing evidence of a substantial decline in infant mortality
in England from the late seventeenth century onwards. This trend is examined in
detail using data from the parish registers of a group of rural parishes in North
Shropshire. A major change in the whole pattern of first-year mortality during
the period 1661-1810 is indicated, its main features being an increase in
mortality between the ages of six and eleven months, and a marked fall in
mortality during the first three months of life. Examination of the seasonal
pattern of infant mortality shows very heavy mortality among young infants in the
winter, presumably from respiratory causes, during the period before 1700. It is
suggested that a fall in the number of deaths from these causes was the main
reason for the decline in infant mortality since the late seventeenth century.
PMID- 22077124
TI - The hospitals and population growth: The voluntary general hospitals, mortality
and local populations in the English provinces in the eighteenth and nineteenth
centuries part 2.
AB - Summary In the second part of this article the number and nature of hospital
cases treated in the light of physical, medical and surgical limitations are
examined. Each hospital's records of treatment are summarised and discussed.
Whether or not the hospitals were able to tackle successfully some of the major
diseases and causes of death and thereby exert a positive influence in reducing
mortality rates is then considered. Two main conclusions are drawn. First, that
the hospitals had a positive role to play within their patient catchment areas,
but that this was insufficient to affect national mortality trends decisively.
Secondly, the hospitals' influence was of greater importance before the mid
nineteenth century. Despite advances in medical knowledge and techniques,
population pressure, overcrowding and the growing incidence of serious cases in
hospitals coupled with outbreaks of 'hospital diseases' meant that the results of
hospital treatment may have become less impressive. But even then, mortality
levels in the hospitals were low and the hospitals did not merit their reputation
of being 'gateways to death' or as institutions 'which positively did harm'.
PMID- 22077125
TI - Forecasting births in Greater London: An application of the easterlin hypothesis.
AB - Summary A model for forecasting fertility is proposed in which an attempt is
made to represent the cyclical fluctuations in fertility typical of developed
societies. R. A. Easterlin has put forward the hypothesis that relative
affluence, i.e. tension between material aspirations and resources, is an
important determinant of fertility behaviour. Relative cohort size in turn
affects relative affluence, because the size of a cohort influences its
competitive position in the labour market. However, predictions based on relative
cohort size alone neglect other sources of periodic fluctuations in fertility,
such as those arising from generational cycles. A periodic component which
expresses fertility variations as a direct function of time is, therefore,
included in this model. A time series of age-specific fertility rates and
population estimates for England and Wales and for Greater London is used to
assess the relationship between fertility, relative cohort size, and the periodic
time function. There is evidence of significant cyclic effects and some support
for the Easterlin hypothesis in that the fertility of younger age groups is
inversely related to the relative size of older cohorts. Projections are made of
the future trend in total fertility assuming a continuation of the observed
relationship. Use of different assumed periodicities permits the generation of
variant projections of fertility.
PMID- 22077126
TI - Trends in marriage and divorce in Peninsular Malaysia.
AB - Summary Median age at marriage for women has risen sharply for each of the
three major ethnic groups - Malays, Chinese and Indians, in Peninsular Malaysia
since 1957. The sharpest rise has been recorded for Malays and Indians, whose
median age at marriage was barely over 17 in 1957. A shortage of potential
husbands in the traditionally sanctioned ages contributed to the rise for Malays
and Indians, but was probably not the paramount reason; average age differences
between the spouses narrowed, but median age at marriage for men actually rose.
During the same period, the previously extremely high divorce rates amongst
Malays have fallen sharply, though wide inter-state differences remain. The sharp
changes in marriage patterns reflect, and in turn are partly responsible for, far
reaching social and economic changes. They have profoundly affected fertility
levels and patterns, as well as intra-familial relationships.
PMID- 22077127
TI - Social status and fertility: A study of a town and three villages in Northwestern
Iran.
AB - Summary Studies of the relationship between social status and fertility in
developing societies have shown diverse results. This study suggests that such
findings result in part from problems in the conceptualization of social
stratification and social status. In developing societies such as Iran the
differentiation of modern and traditional cultural (and occupational) groups
within social classes has resulted in the emergence of a dual hierarchy. Measures
of social status must therefore reflect these conceptually distinct hierarchies,
rather than be limited to linear scales. Figures from a study in a town and three
villages in northwest Iran undertaken in 1973 are analyzed. Findings indicate
that for women in towns, as social status increases within both traditional and
modern occupational hierarchies (husband's occupation) and as measured by income,
education and index of modern items, there is a general and almost monotonic
decrease in the number of living children, children ever-born, and ideal number
of children, with an increase in age at marriage and contraceptive use. The
social and cultural homogeneity of the village sample is reflected in the
relatively small variations in fertility-related behaviour and attitudes;
however, fertility differences between landed and landless villages appear
similar to the pattern found in the urban samples. The differences in the
fertility behaviour of village and urban women of similar income and educational
status indicate that fertility behaviour is related partially to class and
partially to status distinctions between urban and rural communities.
PMID- 22077128
TI - Neo-natal mortality in South Asia: The special role of tetanus.
AB - Summary First-year mortality in rural Uttar Pradesh is characterized by a
predominance (60 per cent) of deaths during the first month of life, of which 66
per cent are reported to be due to tetanus. This pattern is not typical of the
historical experience of many developed countries and the current experience of
some less developed countries where post-neo-natal mortality predominates. To
examine this phenomenon, two causal models of neo-natal mortality (one for
tetanus and one for all other diseases) are developed and tested using
retrospective survey data from 2000 couples living in rural Uttar Pradesh. Neo
natal tetanus mortality is found to be primarily a function of opportunities for
exposure to the disease (e.g. lack of antiseptic birth practices, ownership of
large animals) rather than of socio-economic status or demographic variables. The
importance of examining neo-natal mortality by cause, and the shortcomings
inherent in making inferences from the historical experiences of Western nations
are emphasized.
PMID- 22077129
TI - Lactation and fertility in Rural Bangladesh.
AB - Summary Analysis of data from various phases of a study of post-partum
amenorrhoea in Bangladesh illustrated good aggregate consistency of response on
menstrual status, but less individual consistency on duration of post-partum
amenorrhoea. Using life table techniques, the median duration of amenorrhoea was
calculated as 19.9 months for women with births between February and September
1974. There were substantial seasonal variations in duration, with the median
decreasing from 21.5 months for women with February births to 16.9 months for
those with September births. By contrast, the median duration of post-partum
amenorrhoea varies by only two months for women in the lowest and highest
quartiles of weight and weight for height. The seasonal pattern was similar for
all weight groups.
PMID- 22077130
TI - Estimating the completeness of death registration.
AB - Summary Death registration statistics, even when incomplete, can provide
valuable information about mortality. In particular, the age structure of deaths
can be used to estimate the completeness of registration, provided that this
completeness does not vary substantially with age. Two methods of estimating
the completeness of death registration from the distribution of deaths by age are
described. The first is derived from stable population theory and requires an
estimate of the rate of natural increase of the population, as well as assuming
stability. However, the technique can also be used to generate simultaneously
estimates of the rate of natural increase and of death registration completeness.
The second method which requires two census age distributions and intercensal
deaths by age, estimates the relative enumeration completeness of the two
censuses as well as the completeness of death registration and requires only that
the population be closed. Results are sensitive to overstatement of age. The
methods are illustrated by being applied to figures from Thailand for the period
1960-70 and are found to work satisfactorily.
PMID- 22077131
TI - Changes in acceptors' and users' ages: A test of an explanatory mechanism.
AB - Summary Acceptors in national family planning programmes are becoming steadily
younger, with fewer children. In the present analysis, which makes use of the
computerized component projection scheme CONVERSE, it is shown that saturation of
the older groups with users has a relatively minor role to play in reducing the
mean age of new acceptors. Even where acceptance and continuation rates are high
and follow the usual pattern of higher levels for the older women, the build-up
of users in the upper age groups is not enough to produce major changes in the
age of acceptors. Yet acceptor ages have fallen consistently and sharply in most
national programmes, regardless of the general strength, duration, or method mix.
The finding that the mechanical effects investigated here play rather a small
part in the large empirical declines in acceptor ages suggests the need to
investigate other explanations. For this, a critical need is more data than are
at present available on trends in age-specific rates of acceptance in national
programmes.
PMID- 22077132
TI - A modification for use in destabilized populations of brass's technique for
estimating completeness of death registration.
AB - Summary Brass has developed a method of estimating completeness of death
registration using only data on deaths and population by age and sex. In this
paper, his method is briefly outlined and the assumptions upon which it is based
are discussed. In particular, the implications of the failure of the assumption
of stability of the population are investigated. It is found that in populations
where mortality has been declining, use of the technique leads to underestimation
of completeness. A modification of the technique based on knowledge of the
duration and rate of mortality change is proposed for use in such populations.
Using simulated destabilized populations, the modification is tested and found to
yield more accurate estimates of completeness of death registration than the
unmodified technique. The usefulness of the modified technique is further
illustrated by applying it to data for Costa Rican females in 1963.
PMID- 22077133
TI - Fecundability, coital frequency and the viability of Ova.
AB - Summary An extension of Barrett and Marshall's model expressing fecundability
as a function of coital pattern is proposed. In particular, this extension
includes the probability that the ovum remains alive. The extended model has been
applied to Barrett and Marshall's data, a series of cycles for which basal body
temperature curves and the date of coitus have been recorded. It was thus
possible to estimate the daily probabilities of fertilization and, under certain
assumptions, the proportion of lost ova. This proportion was estimated to be
above 50 per cent, and increases with age. The increase of fecundability with
frequency of intercourse is more moderate than that predicted by Barrett and
Marshall's model.
PMID- 22077136
TI - The association between social phobia, social anxiety cognitions and paranoid
symptoms.
AB - OBJECTIVE: Previous research suggests high levels of comorbidity between social
phobia and paranoid symptoms, although the nature of this association remains
unclear. METHOD: Data were derived from the Early Developmental Stages of
Psychopathology study, a 10-year longitudinal study in a representative German
community sample of 3021 participants aged 14-24 years at baseline. The Munich
Composite International Diagnostic Interview was used to assess social phobia and
paranoid symptoms, along with data on social phobia features. Cross-sectional and
longitudinal analyses were conducted. Differential associations with
environmental risk factors and temperamental traits were investigated. RESULTS:
Lifetime social phobia and paranoid symptoms were associated with each other
cross-sectionally (OR = 1.80, 95% CI = 1.31-2.47). Lifetime paranoid symptoms
were associated specifically with social anxiety cognitions. Lifetime cognitions
of negative evaluation predicted later onset of paranoid symptoms, whereas onset
of social phobia was predicted by cognitions of loss of control and
fear/avoidance of social situations. Lifetime social phobia and paranoid symptoms
shared temperamental traits of behavioural inhibition, but differed in
environmental risks. CONCLUSIONS: The present study showed that paranoid symptoms
and social phobia share similarities in cognitive profile and inhibited
temperament. Avoidance appears to be important in the development of social
phobia, whereas cannabis use and traumatic experiences may drive paranoid
thinking in vulnerable individuals.
PMID- 22077137
TI - Defective barrier function in melasma skin.
AB - BACKGROUND: Melasma is characterized by increased pigmentation and photodamaged
features, which include solar elastosis. Recently, we detected the downregulation
of the genes most associated with lipid metabolism using microarray analysis in
melasma. These findings suggested that lesional skin may have different
biophysical characteristics, and, in particular, an altered skin barrier
function. OBJECTIVE: To determine the cutaneous biophysical characteristics of
melasma. METHODS: The melanin index, erythema index, stratum corneum hydration,
sebum content and transepidermal water loss (TEWL) were measured for lesional and
perilesional normal skin of 16 melasma patients and then compared. In addition, a
skin biopsy was performed on 11 of the 16 study subjects to measure stratum
corneum thickness and to study the protein expressions of PPAR-alpha and ALOX15B.
RESULTS: Melanin index, erythema index and stratum corneum hydration were
significantly higher in lesional skin than in perilesional normal skin. No
significant difference was found between lesional and normal skin in terms of
basal TEWL level or sebum content. However, the rate of TEWL after barrier
perturbation was significantly higher for lesional skin, and the barrier recovery
rate was significantly delayed. Furthermore, a trend towards thinned stratum
corneum was observed for lesional skin, and this was correlated with barrier
recovery rate. The expressions of PPAR-alpha and ALOX15B were variable in the
samples. CONCLUSIONS: Melasma skin is characterized by impaired stratum corneum
integrity and a delayed barrier recovery rate.
PMID- 22077138
TI - Is a new high-voltage lead necessary? 6.6-French ICD lead failure: a UK tertiary
center experience.
PMID- 22077140
TI - Transcriptional control of HIV replication by multiple modulators and their
implication for a novel antiviral therapy.
AB - Transcriptional regulation is critical for the human immunodeficiency virus 1
(HIV-1) life cycle and is the only step at which the virus amplifies the content
of its genetic information. Numerous known and still unknown transcriptional
factors, both host and viral, regulate HIV-1 gene expression and latency. This
article is a comprehensive review of transcription factors involved in HIV-1 gene
expression and presents the significant implications of nuclear factor kappa B
(NF-kappaB) and the HIV-1 transactivator of transcription (Tat) protein. We
include recent findings on chromatin remodeling toward HIV transcription and its
therapeutic implication is also discussed. The current status of small-molecular
weight compounds that affect HIV transcription is also described.
PMID- 22077141
TI - Cluster headache in the United States of America: demographics, clinical
characteristics, triggers, suicidality, and personal burden.
AB - OBJECTIVE: To present results from the United States (US) Cluster Headache Survey
including data on cluster headache demographics, clinical characteristics,
suicidality, diagnostic delay, triggers, and personal burden. BACKGROUND: There
are few large-scale studies looking at cluster headache patients and none from
the USA. This manuscript will present data from The US Cluster Headache Survey,
the largest survey ever completed of cluster headache patients living in the USA.
METHODS: The total survey was composed of 187 multiple-choice questions that
dealt with issues related to cluster headache including demographics, clinical
characteristics, comorbid medical conditions, family history, triggers, smoking
history, and personal burden. The survey was placed on a Web site from October
through December 2008. RESULTS: A total of 1134 individuals completed the survey
(816 male, 318 female). Some key highlights from the survey include the
following: (1) diagnostic delay: there remains a significant diagnostic delay for
cluster headache patients on average 5+ years with only 21% receiving a correct
diagnosis at time of initial presentation. (2) Suicidality: suicidal ideations
are substantial, occurring in 55%. (3) Eye color: the predominant eye color in
cluster headache patients is brown and blue, not hazel as suggested in previous
descriptions. (4) Laterality: cluster headache has a right-sided predominance.
(5) Attack profile: in US cluster headache sufferers, most attacks occur between
early evening and early morning hours with peak time of headache onset between
midnight and 3 am; the circadian periodicity for cluster headache is present but
is not as predominant in the population as previously thought. (6) Triggers: beer
is the most common type of alcohol trigger in US cluster headache patients; noted
migraine triggers such as weather changes and smells are also very common cluster
headache triggers. (7) Medical comorbidities: peptic ulcer disease does not have
a high prevalence in US cluster headache patients as suggested by previous
literature; cluster headache is associated with a low prevalence of cardiac
disease as well as cerebrovascular disease even though the majority of patients
are chronic heavy smokers. In US cluster headache sufferers, there appears to be
comorbidity with restless leg syndrome, and this has not been demonstrated in non
US cluster headache populations. (8) Personal burden: cluster headache is
disabling to the individual as almost 20% of cluster headache patients have lost
a job secondary to cluster headache, while another 8% are out of work or on
disability secondary to their headaches. CONCLUSION: Some findings from the US
Cluster Headache Survey expound on what is currently known about cluster
headache, while some of the results contradict what has been previously written,
while other information is completely new about this fascinating headache
disorder.
PMID- 22077142
TI - Social capital and knowledge sharing: effects on patient safety.
AB - AIMS: This article is a report on a study that empirically examines the influence
of social capital on knowledge sharing and the impact of knowledge sharing on
patient safety. BACKGROUND: Knowledge sharing is linked to many desirable
managerial outcomes, including learning and problem-solving, which are essential
for patient safety. Rather than studying the tangible effects of rewards, this
study examines whether social capital (including social interaction, trust and
shared vision) directly supports individual knowledge sharing in an organization.
METHODS: This cross-sectional study analysed data collected through a
questionnaire survey of nurses from a major medical centre in northern Taiwan.
The data were collected over a 9-month period from 2008 to 2009. The data
analysis was conducted using the Partial Least Squares Graph v3.0 program to
evaluate the measurement properties and the structural relationships specified in
the research model. FINDINGS: Based on a large-scale survey, empirical results
indicate that Registered Nurses' perceptions of trust and shared vision have
statistically significant and direct effects on knowledge sharing. In addition,
knowledge sharing is significantly and positively associated with patient safety.
CONCLUSION: The findings suggest that hospital administrators should foster group
trust and initiate a common vision among Registered Nurses. In addition,
administrators and chief knowledge officers of hospitals should encourage
positive intentions towards knowledge sharing.
PMID- 22077143
TI - An analysis examining socio-economic variations in the provision of NHS general
dental practitioner care under a fee for service contract among adolescents:
Northern Ireland Longitudinal Study.
AB - OBJECTIVES: To examine socio-economic variations in the use of publicly funded
general dental practitioner care by adolescents under a fee for service
arrangement. METHOD: Publicly funded general practitioner reimbursement data were
linked to census and vital statistics data within the Northern Ireland
Longitudinal Study. Data relate to 12,846 adolescents aged 11 or 12 in April 2003
included within the Northern Ireland Longitudinal Study (28% of the population).
The main outcome measure was consumption of dental care between 2003/2004 and
2007/2008 by socio-economic status (as measured by National Statistics Socio
economic Classification of occupation and highest educational attainment of
household reference person). RESULTS: In multivariate analysis, socio-economic
status was a significant determinant of dental care consumed. Those of the lowest
socio-economic status, according to both occupation and highest educational
attainment of household reference person, were less likely to have consumed
orthodontics OR 0.76 (0.62, 0.95) and OR 0.79 (0.69, 0.91), respectively. Those
of lower socio-economic status were, however, more likely to have undergone an
extraction and restorative treatment and also consumed on average more treatment
than those of higher socio-economic status. CONCLUSION: A demand-led service, in
which practitioners are reimbursed in part on a fee for service basis, may create
incentives that contribute to different patterns of utilization between social
groups. Such a system may not be providing equal access for equal need and may
widen existing socio-economic disparities in oral health among adolescents.
PMID- 22077139
TI - Climate-induced changes to the ancestral population size of two Patagonian
galaxiids: the influence of glacial cycling.
AB - Patagonia is one of the few areas in the Southern Hemisphere to have been
directly influenced by Quaternary glaciers. In this study, we evaluate the
influence that Quaternary glacial ice had on the genetic diversity of two
congeneric fish species, the diadromous Galaxias maculatus and the nondiadromous
Galaxias platei, using multilocus estimates of effective population size through
time. Mid-Quaternary glaciations had far-reaching consequences for both species.
Galaxias maculatus and G. platei each experienced severe genetic bottlenecks
during the period when Patagonia ice sheet advance reached its maximum positions
c. 1.1-0.6 Ma. Concordant drops in effective size during this time suggest that
range sizes were under similar constraints. It is therefore unlikely that coastal
(brackish/marine) environments served as a significant refuge for G. maculatus
during glacial periods. An earlier onset of population declines for G. platei
suggests that this species was vulnerable to modest glacial advances. Declines in
effective sizes were continuous for both species and lasted into the late
Pleistocene. However, G. maculatus exhibited a strong population recovery during
the late-Quaternary (c. 400,000 bp). Unusually long and warm interglacials
associated with the late-Quaternary may have helped to facilitate a strong
population rebound in this primarily coastal species.
PMID- 22077145
TI - Two series of multicomponent rare earth (Eu3+, Tb3+, Sm3+) polymeric hybrids:
chemically bonded assembly and photophysical properties.
AB - In the present work, two new chemical linkages (BPDA-PAM, BPDA-DG) are
synthesized through the reaction between 4,4'-biphthalic anhydride (BPDA) and
acrylamide (AM), diethylene glycol (DG), respectively. Then two novel series of
multicomponent rare earth (Eu(3+), Tb(3+), Sm(3+)) polymeric hybrids have been
assembled through the coordination bonding: one is from the linkage BPDA-PAM to
form the hybrids BPDA-PAM-RE-phen(bipy) (2,2'-bipyridine (bipy) and 1,10
penanthroline (phen)), the other is from the linkage BPDA-DG to compose the
hybrids BPDA-DG-RE-PVP and PVP (PVP = poly vinylpyridine). These hybrids are
characterized and especially the photophysical properties (luminescence spectra,
lifetimes and quantum efficiencies) are discussed in detail.
PMID- 22077146
TI - Pediatric regional anesthesia: abdominal wall blocks.
AB - Abdominal wall blocks are an effective regional anesthetic technique to provide
sufficient analgesia in abdominal surgery. This article reviews the use of
abdominal wall blocks in pediatric regional anesthesia.
PMID- 22077147
TI - Restricted joint range of motion in patients with MPS II: correlation with
height, age and functional status.
AB - AIM: The aims of the study were to assess shoulder range of motion (ROM) in
patients with mucopolysaccharidosis type II (MPS II) and to correlate joint
mobility with patients' height, age and functional status. METHODS: Passive ROM
and Z-score of height were followed in 29 patients with MPS II (mean age 11.5
years, range 2-29 years) between the years 2005 and 2010. Passive ROM was
measured by a goniometer, and height, by a stadiometer. Functional status was
assessed by an age-appropriate health assessment questionnaire (HAQ). RESULTS:
(i) A strong correlation was observed between patients' age and Z-score of
patients' height (R = 0.78, p < 0.001). (ii) A medium correlation was observed
between Z-score of patients' height and passive shoulder flexion and abduction (R
= 0.697, p < 0.001 and R = 0.63, p < 0.001, respectively). The progression of
restriction was slower in attenuated patients. (iii) Restrictions in shoulder
flexion and abduction were already observed before the second year of life. (iv)
ROM limitations intensified and became more severe with age. (v) Activities of
daily living depended on cognitive impairment of patients with MPS II.
CONCLUSION: Range of motion limitations in patients with MPS II correlate with
patients' height, increase with patients' age and are more pronounced in a severe
form of MPS II.
PMID- 22077148
TI - Lack of association of outcomes with treatment duration and microbiologic
susceptibility data in Clostridium difficile infections in a non-NAP1/BI/027
setting.
AB - BACKGROUND: Concerns regarding the poor response of severe Clostridium difficile
infection (CDI) treated with metronidazole have arisen over the last 5 y.
METHODS: We conducted a prospective, non-interventional study of CDI cases at our
institution to evaluate the role of drug resistance, co-morbidities, and the
emergence of hypervirulent strains on patient outcomes. A total of 118 adult
inpatients with diarrhea and a positive stool for C. difficile toxin immunoassay
had positive stool cultures and were included in the study. All 118 isolates had
vancomycin and metronidazole susceptibility testing via the E-test method; rep
PCR was performed on 47 isolates. Of the 118 study patients, 107 were treated
with either metronidazole or vancomycin. RESULTS: Initial therapy was
metronidazole in 98.1% (n = 105) and vancomycin in 1.9% (n = 2) patients.
Evaluable clinical response within 5 days of treatment was noted in 52.5% (52/99)
of cases. The mean duration of treatment was 11.7 +/- 7.2 days. The 30-day all
cause mortality rate was 24.6% (29/118). Recurrence occurred in 23.6% (21/89). A
recent stay in the intensive care unit was associated with increased 30-day
mortality (odds ratio 3.58, p = 0.012). There were no isolates resistant to
metronidazole or vancomycin. Only 1 isolate was possibly related to the
NAP1/BI/027 reference strain. No strain-related differences in deaths or
recurrence were noted. CONCLUSIONS: Deaths related to CDI in our study appear to
be related to multiple factors and did not appear to be independently related to
antibiotic susceptibility, strain type, or treatment duration.
PMID- 22077149
TI - Intensity-dependent exciton dynamics of (6,5) single-walled carbon nanotubes:
momentum selection rules, diffusion, and nonlinear interactions.
AB - The exciton dynamics for an ensemble of individual, suspended (6,5), single
walled carbon nanotubes revealed by single color E(22) resonant pump-probe
spectroscopy for a wide range of pump fluences are reported. The optically
excited initial exciton population ranges from approximately 5 to 120 excitons
per ~725 nm nanotube. At the higher fluences of this range, the pump-probe
signals are no longer linearly dependent on the pump intensity. A single,
predictive model is described that fits all data for two decades of pump fluences
and three decades of delay times. The model introduces population loss from the
optically active zero momentum E(22) state to the rest of the E(22) subband,
which is dark due to momentum selection rules. In the single exciton limit, the
E(11) dynamics are well described by a stretched exponential, which is a direct
consequence of diffusion quenching from an ensemble of nanotubes of different
lengths. The observed change in population relaxation dynamics as a function of
increasing pump intensity is attributed to exciton-exciton Auger de-excitation in
the E(11) subband and, to a lesser extent, in the E(22) subband. From the fit to
the model, an average defect density 1/rho = 150 nm and diffusion constants D(11)
= 4 cm(2)/s and D(22) = 0.2 cm(2)/s are determined.
PMID- 22077151
TI - Signaling pathways in pancreatic cancer.
AB - Pancreatic ductal adenocarcinoma (PDAC) is a deadly malignancy characterized by a
plethora of molecular alterations that include major and minor driving mutations,
the presence of intense desmoplasia exhibiting numerous proliferating pancreatic
stellate cells (PSC) and cancer-associated fibroblasts that produce fibronectin
and collagens, and foci of inflammatory cells that produce mitogenic cytokines.
This review will focus on signaling by tyrosine kinase receptors, and the role of
transforming growth factor beta in this malignancy is described briefly.
Potential for therapeutic interventions will be discussed in relation to specific
pathways.
PMID- 22077144
TI - Apixaban versus enoxaparin for thromboprophylaxis in medically ill patients.
AB - BACKGROUND: The efficacy and safety of prolonging prophylaxis for venous
thromboembolism in medically ill patients beyond hospital discharge remain
uncertain. We hypothesized that extended prophylaxis with apixaban would be safe
and more effective than short-term prophylaxis with enoxaparin. METHODS: In this
double-blind, double-dummy, placebo-controlled trial, we randomly assigned
acutely ill patients who had congestive heart failure or respiratory failure or
other medical disorders and at least one additional risk factor for venous
thromboembolism and who were hospitalized with an expected stay of at least 3
days to receive apixaban, administered orally at a dose of 2.5 mg twice daily for
30 days, or enoxaparin, administered subcutaneously at a dose of 40 mg once daily
for 6 to 14 days. The primary efficacy outcome was the 30-day composite of death
related to venous thromboembolism, pulmonary embolism, symptomatic deep-vein
thrombosis, or asymptomatic proximal-leg deep-vein thrombosis, as detected with
the use of systematic bilateral compression ultrasonography on day 30. The
primary safety outcome was bleeding. All efficacy and safety outcomes were
independently adjudicated. RESULTS: A total of 6528 subjects underwent
randomization, 4495 of whom could be evaluated for the primary efficacy outcome-
2211 in the apixaban group and 2284 in the enoxaparin group. Among the patients
who could be evaluated, 2.71% in the apixaban group (60 patients) and 3.06% in
the enoxaparin group (70 patients) met the criteria for the primary efficacy
outcome (relative risk with apixaban, 0.87; 95% confidence interval [CI], 0.62 to
1.23; P=0.44). By day 30, major bleeding had occurred in 0.47% of the patients in
the apixaban group (15 of 3184 patients) and in 0.19% of the patients in the
enoxaparin group (6 of 3217 patients) (relative risk, 2.58; 95% CI, 1.02 to 7.24;
P=0.04). CONCLUSIONS: In medically ill patients, an extended course of
thromboprophylaxis with apixaban was not superior to a shorter course with
enoxaparin. Apixaban was associated with significantly more major bleeding events
than was enoxaparin. (Funded by Bristol-Myers Squibb and Pfizer;
ClinicalTrials.gov number, NCT00457002.).
PMID- 22077150
TI - Hdac-mediated control of endochondral and intramembranous ossification.
AB - Histone deacetylases (Hdacs) remove acetyl groups (CH3CO-) from epsilon-amino
groups in lysine residues within histones and other proteins. This
posttranslational (de) modification alters protein stability, protein-protein
interactions, and chromatin structure. Hdac activity plays important roles in the
development of all organs and tissues, including the mineralized skeleton. Bone
is a dynamic tissue that forms and regenerates by two processes: endochondral and
intramembranous ossification. Chondrocytes and osteoblasts are responsible for
producing the extracellular matrices of skeletal tissues. Several Hdacs
contribute to the molecular pathways and chromatin changes that regulate tissue
specific gene expression during chondrocyte and osteoblast specification,
maturation, and terminal differentiation. In this review, we summarize the roles
of class I and class II Hdacs in chondrocytes and osteoblasts. The effects of
small molecule Hdac inhibitors on the skeleton are also discussed.
PMID- 22077152
TI - Inflammatory mediators: tracing links between obesity and osteoarthritis.
AB - Osteoarthritis (OA), the most common form of arthritis, is associated with joint
malfunction and chronic disability in the aged population. It is a multifactorial
disorder to which several factors-such as age, sex, trauma, and obesity
contribute significantly. Obesity is one of the most influential but modifiable
risk factors because it exerts an increased mechanical stress on the tibiofemoral
cartilage. However, the high prevalence of OA in obese individuals in non
weightbearing areas, like finger joints, suggests that the link between being
overweight and OA lies with factors other than simple biomechanics. An important
correlation has been made between obesity and inflammation. Adipose tissues (and
the infrapatellar fat pad) play an important role in this context because they
are the major source of cytokines, chemokines, and metabolically active mediators
called adipokines (or adipocytokines). These metabolic factors are known to
possess catabolic and proinflammatory properties and to orchestrate the
pathophysiological processes in OA. This review provides information on the
relationship between obesity and OA through biomechanical and biochemical factors
and highlights the functions of important obesity-related inflammatory products
in the initiation and progression of OA. This information will broaden our
thinking in identifying the targets for both prevention and intervention for OA.
PMID- 22077153
TI - Molecular parameters of head and neck cancer metastasis.
AB - Metastasis remains a major cause of mortality in patients with head and neck
squamous cell carcinoma (HNSCC). HNSCC patients with metastatic disease have
extremely poor prognoses, with an average survival rate of less than a year.
Metastasis is an intricate sequential process that requires a discrete population
of tumor cells to possess the capacity to intravasate from the primary tumor into
systemic circulation, survive in circulation, extravasate at a distant site, and
proliferate in a foreign, hostile environment. Literature has accumulated to
provide mechanistic insight into several signal transduction pathways, receptor
tyrosine kinases (RTKs), signal transducer and activator of transcription 3
(Stat3), Rho GTPases, protein kinase Cepsilon (PKCsepsilon), and nuclear factor
kappaB (NF-kappaB), that are involved in mediating a metastatic tumor cell
phenotype in HN-SCC. Herein we highlight accrued information regarding the key
molecular parameters of HNSCC metastasis.
PMID- 22077155
TI - The role of BMP2 signaling in the skeleton.
AB - While new roles for the adult skeleton as an endocrine organ continue to emerge,
our understanding of how bone homeostasis is maintained is also changing. Here we
focus on BMP2, a molecule identified by its ability to induce bone formation at
extraskeletal sites. We detail specific roles for BMP2 in the adult skeleton,
where it acts to regulate the differentiation of periosteal skeletal progenitors
during fracture healing and also mediates osteoblast formation in the bone marrow
microenvironment. We highlight two areas of BMP2 biology that deserve further
study: the specific signaling pathways used by BMP2 to affect bone formation, and
the factors that regulate BMP2 production in the adult skeleton. These activities
serve to distinguish BMP2 from other members of the TGF-b/BMP/Activin gene
superfamily.
PMID- 22077154
TI - The synthesis of C8-aryl purines, nucleosides and phosphoramidites.
AB - C8-Aryl purines, their nucleosides, and phosphoramidites has been synthetic
targets for more than 60 years. Interest in these compounds stems from their
utility as fluorescent markers, they have therapeutic uses, are biomarkers,
biomolecular probes, supramolecular building blocks, and for conformational
studies. Until recently, the selective arylation of the C8-position of purines
has been a challenging task. Several approaches have been explored including
building them up from a pyrimidine or selective C8-modification of an
unsubstituted purine. Neither of these approaches has proven to have broad scope.
The discovery that C8-aryl purine nucleosides can be made via the Suzuki cross
coupling reaction has allowed a diverse array of analogues to be prepared and, in
turn, the corresponding phosphoramidites. The latter is particularly significant
as C8-aryl purine adducts are a major mutation observed from aromatic carcinogens
and ready access to C8-aryl phosphoramidites will facilitate the synthesis and
study of C8-aryl purine biomarkers and modified oligonucleotides.
PMID- 22077156
TI - Understanding the skeletal pathology of type 1 and 2 diabetes mellitus.
AB - Diabetes affects over 25 million people and is characterized by hyperglycemia
resulting from a lack of insulin or reduced insulin sensitivity. A serious
complication of diabetes is the increase in fracture risk observed in both type 1
and type 2 diabetic patients. This review focuses on some of the cellular and
mechanistic causes of diabetes-induced fracture risk. Type 1 and type 2 diabetes
most likely have unique and overlapping mechanisms of bone loss. While type 1
diabetes is associated with reduced bone mineral density, this is not usually
seen in type 2 diabetes. Hyperglycemia, present in both type 1 and 2 diabetes,
alters bone matrix proteins such as collagen I through nonenzymatic glycation,
which can decrease bone toughness and increase fracture risk even in the absence
of bone loss. Diabetes is also associated with increased inflammation and altered
adipokine and calcitrophic hormone levels, which further contribute to bone
pathophysiology. As medical advances significantly lengthen patient lifespan,
exposure to diabetic conditions increases and correspondingly so do disease
complications. Further research to identify molecular pathways in diabetes
associated bone pathology will provide the basis for therapeutic
targets/directions to increase treatment options and improve patient health and
well-being.
PMID- 22077157
TI - Antioxidant potential and radical-scavenging effects of flavonoids from the
leaves of Psidium cattleianum grown in French Polynesia.
AB - Psidium cattleianum J. Sabine (Myrtaceae) is a traditional medicinal plant in
French Polynesia. The leaves and roots possess many medicinal properties. These
effects may be correlated with the presence of antioxidant compounds. Seven
flavonoids along with a benzoic acid were isolated from the leaves of P.
cattleianum. The compounds indicated strong antioxidant and radical-scavenging
activities in ALP, DPPH(.), ABTS(.-) and ORAC assays. This study demonstrates
that the leaves of P. cattleianum possess main compounds with interesting
antioxidant and radical-scavenging activities, as clarified by four biological
assays. Our findings may justify the use of these leaves in the traditional
medicine of French Polynesia. Among the total eight known compounds, reynoutrin
and luteolin were isolated for the first time from the genus Psidium.
PMID- 22077158
TI - Refractory hypotension due to Rogaine(r) (minoxidil) ingestion managed with
midodrine.
AB - BACKGROUND: Minoxidil (Rogaine(r)) is a direct vasodilator that can cause
significant toxicity when ingested. We report a case of ingestion of topical
minoxidil [Rogaine(r) (Johnson & Johnson Healthcare Products, Division of McNeil
PPC, Inc)] resulting in refractory hypotension that was successfully managed with
the oral alpha (1) agonist midodrine. CASE REPORT: A 48-year-old male who
ingested an eight ounce bottle of Rogaine(r) presented to the emergency
department. The patient presented with a blood pressure of 57/45 mmHg and a pulse
of 84 beats per minute. The patient received IV fluids and multiple vasopressors
to maintain an adequate mean arterial pressure. Midodrine, an oral alpha (1)
vasopressor, was added 10 hours post ingestion and was able to maintain an
adequate mean arterial pressure. Over the next two days, midodrine was titrated
down as his blood pressure returned to baseline. CONCLUSION: Midodrine may serve
as an additional option to treat toxicant induced hypotension.
PMID- 22077159
TI - Examining the interrelationship of migraine onset, duration, and time to
treatment.
AB - OBJECTIVE: The objective of this study is to investigate migraines, both
longitudinally and cross-sectionally, to understand the impact that time of
treatment has on migraine duration and the patients' return to daily functioning.
BACKGROUND: Several studies have explored the relationship between migraine
treatment and its impact on migraine duration; however, the interrelationship of
migraine onset and impact of treatment timing on migraine resolution is not
completely understood. DESIGN/METHODS: Five hundred and nine migraineurs
completed 1 online baseline survey and a diary survey after each of their next 3
migraines. All subjects were 18 or older and were employed full time. RESULTS:
Migraine episodes treated within 1 hour were significantly shorter on average
than those treated after 1 hour (9.1 hours vs 12.3 hours) (P < .05). Over-the
counter medication was the most frequently reported first-line treatment (44%)
followed by an oral triptan (30%), another prescription medication (14%), and
combination therapy (4%). Rescue treatment was reported in 57% of attacks. The
majority of over-the-counter (69%) and another prescription (55%) treated attacks
required rescue whereas only 39% of first-line triptan attacks required rescue.
CONCLUSIONS: Treating migraines early with an oral triptan-containing therapy
appears to be a very effective method for reducing migraine duration and
preventing the need for additional medication. Our findings also suggest that
physicians should spend more time educating patients how to identify migraines
early. Understanding the relationship between these key factors will provide
insight into appropriate treatment and management of migraines, and more
importantly, equip patients with the tools necessary to improve their outcomes
and overall impact on functioning.
PMID- 22077161
TI - [2]Pseudorotaxanes based on the recognition of cryptands to vinylogous viologens.
AB - Host-guest complexation between two crown ether-based cryptands and two
vinylogous viologens has been studied. Formation of [2]pseudorotaxanes from a
dibenzo-24-crown-8-based cryptand and these vinylogous viologens can be
reversibly controlled by adding and removing potassium cation in acetone.
Furthermore, the complexation between a bis(m-phenylene)-32-crown-10-based
cryptand and a vinylogous viologen exhibits a high association constant, 1.18 *
10(6) M(-1) in acetone, and leads to the formation of a supramolecular
poly[2]pseudorotaxane in the solid state.
PMID- 22077160
TI - Pinellia ternata agglutinin expression in chloroplasts confers broad spectrum
resistance against aphid, whitefly, Lepidopteran insects, bacterial and viral
pathogens.
AB - Broad spectrum protection against different insects and pathogens requires
multigene engineering. However, such broad spectrum protection against biotic
stress is provided by a single protein in some medicinal plants. Therefore,
tobacco chloroplasts were transformed with the agglutinin gene from Pinellia
ternata (pta), a widely cultivated Chinese medicinal herb. Pinellia ternata
agglutinin (PTA) was expressed up to 9.2% of total soluble protein in mature
leaves. Purified PTA showed similar hemagglutination activity as snowdrop lectin.
Artificial diet with purified PTA from transplastomic plants showed marked and
broad insecticidal activity. In planta bioassays conducted with T0 or T1
generation PTA lines showed that the growth of aphid Myzus persicae (Sulzer) was
reduced by 89%-92% when compared with untransformed (UT) plants. Similarly, the
larval survival and total population of whitefly (Bemisia tabaci) on
transplastomic lines were reduced by 91%-93% when compared with UT plants. This
is indeed the first report of lectin controlling whitefly infestation. When
transplastomic PTA leaves were fed to corn earworm (Helicoverpa zea), tobacco
budworm (Heliothis virescens) or the beet armyworm (spodoptera exigua), 100%
mortality was observed against all these three insects. In planta bioassays
revealed Erwinia population to be 10,000-fold higher in control than in PTA
lines. Similar results were observed with tobacco mosaic virus (TMV) challenge.
Therefore, broad spectrum resistance to homopteran (sap-sucking), Lepidopteran
insects as well as anti-bacterial or anti-viral activity observed in PTA lines
provides a new option to engineer protection against biotic stress by hyper
expression of an unique protein that is naturally present in a medicinal plant.
PMID- 22077163
TI - Antidepressant-like effect of extract from Polygala paniculata: involvement of
the monoaminergic systems.
AB - CONTEXT: Polygala paniculata Linnaeus (Polygalaceae) has shown neuroprotective
effects, but there is no report about its antidepressant potential. OBJECTIVE:
The antidepressant-like effect of the hydroalcoholic extract from P. paniculata
and some of the possible mechanisms involved in this effect were investigated in
forced swimming test (FST). MATERIALS AND METHODS: Mice received extract by oral
route and were submitted to FST and open-field test. Animals were forced to swim
and the total immobility time was registered (6-min period). A reduction in the
immobility time is considered an antidepressant-like effect. In order to
investigate the involvement of the monoaminergic systems, mice were treated with
pharmacological antagonists before administration of the extract. RESULTS: The
acute administration of the hydroalcoholic extract from P. paniculata produced an
antidepressant-like effect, since it significantly reduced the immobility time in
FST (0.01-30 mg/kg) as compared to control group, without changing locomotor
activity. Pretreatment of mice with yohimbine (1 mg/kg, i.p., alpha2-adrenoceptor
antagonist), propranolol (1 mg/kg, i.p., beta-adrenoceptor antagonist), SCH23390
(0.05 mg/kg, s.c., dopamine D1 receptor antagonist) or sulpiride (50 mg/kg, i.p.,
dopamine D2 receptor antagonist) prevented the antidepressant-like effect of the
extract in FST (30 mg/kg). Moreover, ketanserin (5 mg/kg, i.p., preferential 5
HT(2A) receptor antagonist) enhanced the effect of the extract in FST. DISCUSSION
AND CONCLUSION: The results of the present study indicate that the extract from
P. paniculata has an antidepressant-like action that is likely mediated by an
interaction with the serotonergic (5-HT2A receptors), noradrenergic (alpha2 and
beta-receptor) and dopaminergic (D1 and D2 receptors) systems.
PMID- 22077164
TI - Ethnobotanical study of medicinal plants used in the management of diabetes
mellitus and hypertension in the Central Region of Togo.
AB - CONTEXT: The Tem tribe in the Central Region of Togo is a population with an
extensive knowledge of medicinal plants. However, little is known about their
medical practices, principally the use of plants in the management of diabetes
mellitus (DM) and hypertension (HTN). OBJECTIVE: The present study documented the
indigenous medicinal plant utilization for the management of DM and HTN in the
Togo Central Region. METHODOLOGY: From March to October 2010, 55 traditional
healers were interviewed about their knowledge on the use of plants for DM and
HTN treatment. RESULTS: The results revealed that 35/55 (63.64%) healers had
treated at least one case of DM and/or HTN. They highlighted the use of 64
species belonging to 31 families in the treatment of DM and/or HTN. The most used
plants against diabetes were Psidium guajava L. (Myrtaceae), Khaya senegalensis
A. Juss. (Meliaceae), Sarcocephalus latifolius (Sm.) E.A. Bruce (Rubiaceae),
Annona muricata L. (Annonaceae), Bridelia ferruginea Benth. (Phyllanthaceae), and
Securidaca longepedunculata Fresen. (Polygalacae), while Allium sativum L.
(Liliaceae) and Parkia biglobosa Benth. (Fabaceae), followed by Khaya
senegalensis A. Juss. (Meliaceae), Gardenia ternifolia Schumach. (Rubiaceae), and
Persea americana Mill. (Lauraceae) were the most commonly cited as
antihypertensive. CONCLUSION: The issue revealed that traditional healers of the
above mentioned region have basic knowledge regarding herbal medicine for DM and
HTN in comparison with previous published reports. Further pharmacological
screening of the identified plants should be conducted to ascertain the
effectiveness of these plants.
PMID- 22077165
TI - A comparison study between different molecular weight polysaccharides derived
from Lentinus edodes and their antioxidant activities in vivo.
AB - CONTEXT: Polysaccharide purified Lentinus edodes (Berk.) Sing (Tricholomataceae)
has been reported to attenuate oxidative stress in vitro. OBJECTIVE: This study
investigated whether polysaccharides from L. edodes with different molecular
weight have protective effects against oxidative stress induced by D-galactose (D
gal) in vivo, and determined the specific relationship between molecular weight
and antioxidant activity. MATERIALS AND METHODS: In the present study, we
successfully obtained three purified polysaccharides, coded as LT1, LT2, and LT3,
and their molecular weights were 25.5, 306.2, and 605.4 kDa, respectively. The D
gal-treated mice received three polysaccharides once daily for 60 days. The
activities of superoxide dismutase (SOD) and glutathione peroxidase (GSH-Px), the
content of malondialdehyde (MDA), and erythrocyte membrane fluidity were measured
to evaluate the changes of the antioxidant ability. RESULTS: It was demonstrated
that the administration of LT1, LT2, and LT3 could improve the antioxidant status
to different levels. Furthermore, LT2 exhibited the highest antioxidant ability
among these samples in vivo. Indeed, LT2 significantly decreased the content of
MDA in liver (15.91 +/- 0.31 versus 23.79 +/- 1.18 nmol/mg protein for the model
group, p < 0.05), enhanced the fluidity of erythrocyte membrane (2.458 +/- 0.023
versus 2.167 +/- 0.024 for the model group, p < 0.05), and increased the
activities of SOD (147.19 +/- 4.90 versus 82.26 +/- 5.55 units/mg protein for the
model group, p < 0.05) and GSH-Px (310.91 +/- 6.24 versus 243.64 +/- 6.77
units/mg protein for the model group, p < 0.05) in liver. DISCUSSION AND
CONCLUSION: The LT2 had a potential to be used as a novel natural antioxidant.
PMID- 22077166
TI - Effect of ether- and water-soluble fractions of Carica papaya ethanol extract in
experimentally induced hyperlipidemia in rats.
AB - CONTEXT: The papaya is the fruit of the plant Carica papaya L. (Caricaceae) used
in India. Fruit and latex are both rich in an enzyme called papain. It is used as
a folk remedy for contraception and abortion. OBJECTIVE: The present study
explored the anti-hyperlipidemic effect of the ether- and water-soluble fractions
of C. papaya ethanol extract in olive oil-induced hyperlipidemic rats. The study
also involved chromatographic studies of extract and fractions. MATERIALS AND
METHODS: Flash chromatography was done for the most active fraction. The extract
and fractions were administered orally at doses of 200 and 400 mg/kg body weight
in rats. Olive oil (5 mL/kg oral dose) was administered 30 min after treatment.
Blood was collected and centrifuged at 3000 rpm for 15-20 min and subjected to
biochemical analysis. RESULT: The study dose-dependently inhibited the total
cholesterol (TC), triglycerides (TG), low-density lipoproteins (LDL) level, and
significantly increased high-density lipoprotein (HDL) level. Phytochemical
screening revealed the presence of fats in the ether fraction, whereas the water
fraction revealed the presence of tannins, alkaloids, glycosides. UV lambda(max)
was found to be 217 nm with a melting point of 41 degrees C for the isolated
component. DISCUSSION AND CONCLUSION: The anti-hyperlipidemic effect was
evaluated in olive oil-loaded rats. Acute treatment caused stimulatory effect on
HDL level and inhibition in TC and TG elevation induced by olive oil. The extract
and water fraction showed protective action by increasing the HDL cholesterol
level.
PMID- 22077167
TI - Identification, quantification of bioactive constituents, evaluation of
antioxidant and in vivo acute toxicity property from the methanol extract of
Vernonia cinerea leaf extract.
AB - CONTEXT: Vernonia cinerea (L.) Less [Compositae (Asteraceae)] is used
traditionally for several medical purposes such as inflammation, pain, fever, and
cancer. OBJECTIVES: The present study identified the bioactive constituents in
the methanol extract of Vernonia cinerea leaf and evaluated its antioxidant
activity and acute toxicity. METHODS: The identification of phytochemicals was
accomplished by GC-MS and the major antioxidant phenolic compounds in the extract
were quantified by HPTLC analysis. To quantify the essential elements, atomic
absorption spectrophotometeric analysis was carried out. Total phenol and
flavonoid content was measured by Folin-Ciocalteau reagent and 2% aluminium
chloride, respectively. RESULTS: GC-MS analysis identified the presence of 27
phytoconstituents. The predominant phenolic compound in the extract as quantified
by HPTLC was gallic acid (1.92 mg/g) followed by rutin (0.705 mg/g), quercetin
(0.173 mg/g), caffeic acid (0.082 mg/g) and ferulic acid (0.033 mg/g). The
following elements were quantified: Fe (0.050 ppm), Mn (0.022 ppm), Co (0.0180
ppm), Pb (0.029 ppm), Hg (3.885 ppm) and Se (4.5240 ppm). The antioxidant
activity of the extract increased with increasing concentration and the
correlation (r2) for all in vitro assays were satisfactory. CONCLUSIONS: V.
cinerea extract has significant (p < 0.05) antiradical activity. Hence, V.
cinerea may have potential medicinal value and can be used in the formulation of
pharmacological products for degenerative diseases.
PMID- 22077168
TI - Self-assembled aggregates originated from the balance of hydrogen-bonding,
electrostatic, and hydrophobic interactions.
AB - Rich phase behavior was observed in salt-free cationic and anionic (catanionic)
mixtures of a double-tailed surfactant, di(2-ethylhexyl)phosphoric acid
(abbreviated as DEHPA), and tetradecyldimethylamine oxide (C(14)DMAO) in water.
At a fixed C(14)DMAO concentration, phase transition from L(1) phase to L(alpha)
phase occurs with increasing amounts of DEHPA. Moreover, in the L(alpha) phase,
with the increase in DEHPA concentration, a gradual transition process from
vesicle phase (L(alphav)) to stacked lamellar phase (L(alphal)) was determined by
cryo- and FF-TEM observations combining with (2)H NMR measurements. The
rheological data show that the viscosity increases with DEHPA amounts for
L(alphav) phase samples because of the increase in vesicle density. At a certain
molar ratio of DEHPA to C(14)DMAO, i.e., 80:250, the samples are with the highest
viscoelasticity, indicating the existence of densely packed vesicles. While for
L(alphal) phase samples, with increasing DEHPA amount, a decrease of bilayer
curvature was induced, leading to a decrease of viscosity obviously. Compared
with general catanionic surfactant mxitures, in addition to the electrostatic
interaction of ion pairs, the transition of the microstructures is also ascribed
to the formation of the hydrogen bonding (-N(+)-O-H...O-N-) between C(14)DMAO
molecules and protonated C(14)DMAOH(+), which induces the growth of aggregates
and the decrease of aggregate curvatures.
PMID- 22077169
TI - There is no low-level fertility and development trap.
AB - Summary The theory of the low-level equilibrium trap asserts that an increase
in income stimulates population growth sufficiently so that the additional people
'eat up' the 'surplus' over subsistence, and hence drive the level of income back
to subsistence. Originally the theory referred primarily to mortality, but
nowadays its application is to fertility. In the long-run equilibrium context in
which the theory is ordinarily presented, the fact that the long-run elasticity
of fertility with respect to income is negative in less developed countries
fatally contradicts the accepted version of the trap. But to give every chance
for trap theory to be meaningful, the paper presents a period-by-period analysis,
embodying larger-than-observed positive elasticities during the early years and
the logically necessary counterbalancing negative elasticities during the later
years. These elasticities are combined with consumption and production figures
for various age groups to estimate the effect in each year after the windfall,
and altogether. The results show that even under assumptions not charitable to
the conclusion of this paper, additional children do not even come close to
'eating up' the increase in income which induced their births, so that the trap
theory is falsified.
PMID- 22077170
TI - Old age security and the utility of children in Rural India.
AB - Summary This study investigates old age security as a possible motivation for
high fertility, using information gathered by means of questionnaires and
participant observation in an Indian village during 1975-76. Results indicated
that such motivation may be quite weak, and hence that population policies aimed
at lowering the economic value of children through public social security
programmes would achieve little. A variety of evidence pointed to the importance
of economic assets, mainly land, in assuring security in old age rather than an
abundance of sons. Moreover, given a low expectation of life the necessity to
provide support to the aged was infrequent, a fact which seemed to be appreciated
by the surveyed villagers.
PMID- 22077171
TI - Returns to scale to family size - who gains from high fertility?
AB - Summary In this paper the hypothesis put forward by J. C. Caldwell in a number
of recent articles, including one in this journal is tested, that there are
social and economic increasing returns to scale to family size. Using two village
samples of household data collected in Bangladesh in 1977, it is shown that there
is a statistically significant inverse correlation between adjusted consumption
of rice per head and family size. This result holds true when age and size of
landholding are taken into account. From this, it is concluded that the
hypothesis is not empirically supported by the data from Bangladesh. This result
is partially explained by the extreme poverty of the region and the fact that
women are exploited in Bangladesh society.
PMID- 22077172
TI - Social, cultural, and economic determinants of age at birth of first child in
Peninsular Malaysia.
AB - Summary In order to unravel the complex set of the determinants of fertility,
we suggest that the child-bearing process be separated into a sequence of events
and intervals. As the first step in the child-bearing process, age at first birth
is a critical variable. Using data from the 1966-67 West Malaysia Family Survey,
we formulate and test a model of the cultural, social and economic determinants
of the timing of first birth among a sample of mothers, aged 30-44. Social
origins, measured by the woman's father's occupation and the woman's birthplace
are not important determinants, but education, early work experience, and
ethnicity exercise very strong effects on the age at first birth. Age at first
marriage mediates much of the effect of background variables, which suggest that
there is little use of contraception to plan the first birth interval. The strong
effect of ethnicity is consistent with a cultural interpretation, but important
qualifications are noted.
PMID- 22077173
TI - Fertility and socio-economic status in Rural Bangladesh: Differentials and
linkages.
AB - Summary Socio-economic differentials in fertility are examined by using data
collected from a daily registration system covering over 100,000 persons in rural
Bangladesh during the period 1968 to 1970. The findings indicate that fertility
was generally higher among women in the higher than in the lower socio-economic
groups. Several factors associated with high socio-economic status and their
relation with the intermediate variables are discussed as providing the linkages
with high fertility. These include, health status, breastfeeding, the enforcement
of 'purdah' and migration.
PMID- 22077174
TI - On the relationship between household composition and population age
distribution.
AB - Summary Composition of households by age of head and by age of other household
members has recently been presented in a convenient algebraic expression, the
household composition matrix. It has been shown that this matrix operates as a
linear transformation from the vector of household distribution by age of head to
the vector of population age distribution. A further analysis will show that
the first row of the matrix may be interpreted as representing a vector of
average household fertility rates. If the linear relationship between household
and population distributions is fully implemented, then a relationship between
household fertility and the size of the youngest age group can be derived. If w
is the population age distribution and w (1) is the number of persons in the
youngest age group, then: where alpha is the first row of the household
composition matrix with its first element eliminated, C is the household
composition matrix with its first row and first column eliminated, and Psi is the
vector w with its first element, w (1) eliminated. Extension of this result
will enable simultaneous projection of population and households, suitable for
computer application to conventional five-year age groups.
PMID- 22077175
TI - Can fertility be estimated from current pregnancy data?
AB - Summary This paper explores the feasibility of estimating fertility from
reports on current pregnancies collected in single-round sample surveys. Data
from 15 countries in the World Fertility Survey are used to evaluate the
possibilities. The results indicate that neither the age pattern of fertility nor
the total fertility ratio can be reliably estimated from current pregnancy data.
For almost all countries, the total fertility ratio based on recent births is
higher than that based on current pregnancies, even when the latter estimate is
restricted to higher durations of pregnancy.
PMID- 22077176
TI - Fertility and mortality estimation from the Panama Retrospective Demographic
Survey, 1976.
AB - Summary Data from the Retrospective Demographic Survey of Panama offer a unique
opportunity to test a wide range of methods for estimating indirectly basic
demographic parameters from inaccurate and incomplete data. Our primary emphasis
is to evaluate methods for estimating adult mortality from information on
widowhood and orphanhood, though estimates of childhood mortality obtained from
information on sibling and child survivorship are assessed as well. The results
for most of the estimating procedures are consistent; this finding is encouraging
because it lends support to the hypothesis that the techniques can provide good
estimates of mortality. Methods which produce results which are inconsistent
provide valuable lessons. In particular, methods for providing unconditional
estimates of values ofl (x) for adults by combining directly information on
childhood mortality and adult mortality are shown to produce estimates which
predominantly reflect the level of childhood mortality employed. Furthermore,
within-method consistency of estimates appears to be a very poor indicator of
reliable performance of the estimating technique or quality of data, since most
methods yielded estimates which were internally consistent, though estimates made
by different methods could differ considerably. In summary, the analysis
indicates a birth rate of around 35 per thousand, a death rate of around 7.5 per
thousand, a total fertility ratio of about 4.8, and expectations of life at birth
of approximately 59 and 64 years for men and women respectively.
PMID- 22077177
TI - Some remarks on the paper by Julia Ericksen et al., 'Fertility patterns and
trends among the Old Order Amish'.
AB - Abstract At the present time there are very few populations, whose members do
not practise contraception at all, or only to a very limited extent, and for whom
accurate data on nuptiality and fertility exist. Among populations of European
residence or origin, there are only a few groups who reject any limitation of
families for religious reasons. One of these, the Hutterites, has already been
studied; the other, the Old Order Amish, is studied in Ericksen's papers, and my
preceding remarks point to the importance of this study.
PMID- 22077178
TI - Response to louis Henry.
AB - Abstract We have responded to Monsieur Henry's comments in the order in which
he presents them.
PMID- 22077182
TI - Errata.
PMID- 22077179
TI - On Das Gupta's 'Birth function in a two-sex model'.
AB - Abstract I have read with great interest Das Gupta's(1) critique of my
approach(2) towards the development of a two sex-model. To set the records
straight, I must state that his criticisms are not well founded due to the
following reasons:
PMID- 22077183
TI - Testing tubewell platform color as a rapid screening tool for arsenic and
manganese in drinking water wells.
AB - A low-cost rapid screening tool for arsenic (As) and manganese (Mn) in
groundwater is urgently needed to formulate mitigation policies for sustainable
drinking water supply. This study attempts to make statistical comparison between
tubewell (TW) platform color and the level of As and Mn concentration in
groundwater extracted from the respective TW (n = 423), to validate platform
color as a screening tool for As and Mn in groundwater. The result shows that a
black colored platform with 73% certainty indicates that well water is safe from
As, while with 84% certainty a red colored platform indicates that well water is
enriched with As, compared to WHO drinking water guideline of 10 MUg/L. With this
guideline the efficiency, sensitivity, and specificity of the tool are 79%, 77%,
and 81%, respectively. However, the certainty values become 93% and 38%,
respectively, for black and red colored platforms at 50 MUg/L, the drinking water
standards for India and Bangladesh. The respective efficiency, sensitivity, and
specificity are 65%, 85%, and 59%. Similarly for Mn, black and red colored
platform with 78% and 64% certainty, respectively, indicates that well water is
either enriched or free from Mn at the Indian national drinking water standard of
300 MUg/L. With this guideline the efficiency, sensitivity, and specificity of
the tool are 71%, 67%, and 76%, respectively. Thus, this study demonstrates that
TW platform color can be potentially used as an initial screening tool for
identifying TWs with elevated dissolved As and Mn, to make further rigorous
groundwater testing more intensive and implement mitigation options for safe
drinking water supplies.
PMID- 22077185
TI - European veterinary dissertations.
PMID- 22077184
TI - Examining the effect of the dipole moment on charge separation in donor-acceptor
polymers for organic photovoltaic applications.
AB - A new low band gap copolymer PBB3 containing [6,6']bi[thieno[3,4-b]thiophenyl]
2,2'-dicarboxylic acid bis-(2-butyloctyl) ester (BTT) and 4,8-bis(2
butyloctyl)benzo[1,2-b:4,5-b']dithiophene (BDT) units was synthesized and tested
for solar cell efficiency. PBB3 showed a broad absorbance in the near-IR region
with a substantially red-shifted (by more than 100 nm) lambda(max) at 790 nm as
compared to the PTB series of polymers, which have been previously reported. The
PBB3 polymer also showed both a favorable energy level match with PCBM (with a
LUMO energy level of -3.29 eV) and a favorable film domain morphology as
evidenced by TEM images. Despite these seemingly optimal parameters, a bulk
heterojunction (BHJ) photovoltaic device fabricated from a blend of PBB3 and
PC(71)BM showed an overall power conversion efficiency (PCE) of only 2.04% under
AM 1.5G/100 mW cm(-2). The transient absorption spectra of PBB3 showed the
absence of cationic and pseudo charge transfer states that were observed
previously in the PTB series polymers, which were also composed of alternating
thienothiophene (TT) and BDT units. We compared the spectral features and
electronic density distribution of PBB3 with those of PTB2, PTB7, and PTBF2.
While PTB2 and PTB7 have substantial charge transfer characteristics and also
relatively large local internal dipoles through BDT to TT moieties, PTBF2 and
PBB3 have minimized internal dipole moments due to the presence of two adjacent
TT units (or two opposing fluorine atoms in PTBF2) with opposite orientations or
internal dipoles. PBB3 showed a long-lived excitonic state and the slowest
electron transfer dynamics of the series of polymers, as well as the fastest
recombination rate of the charge-separated (CS) species, indicating that
electrons and holes are more tightly bound in these species. Consequently,
substantially lower degrees of charge separation were observed in both PBB3 and
PTBF2. These results show that not only the energetics but also the internal
dipole moment along the polymer chain may be critical in maintaining the
pseudocharge transfer characteristics of these systems, which were shown to be
partially responsible for the high PCE device made from the PTB series of low
band gap copolymers.
PMID- 22077186
TI - Serum soluble CD26 levels: diagnostic efficiency for atopic dermatitis, cutaneous
T-cell lymphoma and psoriasis in combination with serum thymus and activation
regulated chemokine levels.
AB - BACKGROUND: CD26 is a multifunctional type II transmembrane glycoprotein, which
also exists as a secreted isoform, soluble CD26 (sCD26). The CD26 expression on
circulating T cells is decreased in some skin diseases such as cutaneous T-cell
lymphoma (CTCL) and psoriasis. It remains to be determined whether sCD26 can be
used as a marker of skin diseases or not. OBJECTIVE: To investigate utility of
sCD26 as a diagnostic marker of skin diseases in combination with thymus and
activation-regulated chemokine (TARC). METHODS: Serum sCD26 levels were measured
using enzyme-linked immunosorbent assay in 130 participants including 32 patients
with atopic dermatitis (AD); 45 patients with CTCL; 26 patients with psoriasis;
and 27 healthy controls. RESULTS: Serum sCD26 levels in patients with CTCL and
psoriasis (162.1 +/- 80.2 ng/mL and 125.4 +/- 82.1 ng/mL respectively) were
significantly lower than those of healthy controls (392.6 +/- 198.7 ng/mL; P <
0.01 and 0.01 respectively). In patients with CTCL, serum sCD26 levels of
patients with advanced stage were 135.0 +/- 51.5 ng/mL and they were
significantly lower than those with early stage (193.1 +/- 96.0 ng/mL; P < 0.05).
When we used serum sCD26 and TARC levels for diagnostic criteria, sensitivity,
specificity, positive predictive value and negative predictive value for AD, CTCL
and psoriasis were 65.2-73.7%, 81.4-97.6%, 65.2-94.4%, and 81.4-88.9%
respectively. CONCLUSION: Serum sCD26 levels, combined with serum TARC levels,
are helpful in diagnosis of AD, CTCL and psoriasis.
PMID- 22077187
TI - Sex differences in newborn interaction with mother or father during skin-to-skin
contact after Caesarean section.
AB - AIM: To investigate differences between the breast-seeking and crying behaviour
of girls and boys in skin-to-skin contact (SSC) with their mother or their father
after Caesarean section as well as the point-in-time for the first breastfeeding
and to compare mothers' and fathers' interactive behaviour with their newborn
girl or boy. METHODS: Twenty girls and 17 boys were randomized to 25 min of SSC
with one or the other parent immediately after birth. The interaction was
videotaped. RESULTS: Girls started rooting movements earlier than boys in SSC
with either parent (p = 0.027). Infants started to breastfeed significantly
earlier if having been in SSC with mothers compared with SSC with fathers during
the first 5-30 min (p = 0.018). Girls cried more than boys in SSC with either
parent (p = 0.02). Mothers used more touching behaviour towards their newborn
infant than fathers (p = 0.001). Mothers touched girls less than boys (p =
0.038). Fathers directed less speech towards girls compared with boys (p =
0.042). CONCLUSION: Early mother-infant SSC immediately after Caesarean section
should be promoted until the occurrence of the first breastfeed. If the mother is
unable to provide SSC immediately after birth, the father-infant SSC is a
valuable alternative because it enhances paternal interaction.
PMID- 22077188
TI - Influence of initial strain on the force decay of currently available elastic
chains over time.
AB - OBJECTIVE: To investigate the dependence of force decay on the initial strain
applied to currently available elastic chains. MATERIALS AND METHODS: Eight
different elastic chains from eight major companies were tested for force decay
over a period of 3 weeks at 50% and 100% strain. They were stored in water and
thermocycled between 5 degrees C and 55 degrees C. An Instron 3344 was used for
the force measurements. RESULTS: Absolute force values at 50% strain varied
between 2.3 N and 4.1 N initially, and between 0.9 N and 1.6 N after 21 days.
Thus, the force decay of the elastic chains varied from 37% to 75%. At 100%
strain, the force values varied between 2.9 N and 4.7 N initially, and between
1.3 N and 2.1 N after 21 days of continuous strain. The force decay varied
between 39% and 67%. Most force decays between 24 hours and 21 days were not
significant. This information should be taken into consideration when the
appropriate elastic chain is selected for clinical use. CONCLUSION: A wide array
of elastic chains with various force levels is available. However, differences
between products of greater than 100% were measured for force decay over time.
PMID- 22077189
TI - Effect of 10% papain gel on enamel deproteinization before bonding procedure.
AB - OBJECTIVE: To test the null hypothesis that enamel deproteinization with 10%
papain gel does not increase the shear bond strength of orthodontic brackets
bonded with resin-modified glass ionomer cement (RMGIC). MATERIALS AND METHODS:
One hundred and twenty bovine incisors were used and divided into eight groups:
1) Transbond XT according to the manufacturer's recommendations, 2) Transbond XT
deproteinized with 10% papain gel, 3) RMGIC without enamel deproteinization and
without etching, 4) RMGIC without enamel etching and with deproteinization with
10% papain gel, 5) RMGIC deproteinized with 10% papain gel and etched with
polyacrylic acid, 6) RMGIC deproteinized with 10% papain gel and etched with
phosphoric acid, 7) RMGIC deproteinized with 2.5% sodium hypochlorite, and 8)
RMGIC etched with polyacrylic acid. After bonding, the mechanical tests were
performed in a Universal mechanical test machine. The values obtained were
submitted to an analysis of variance and afterward to the Tukey test (P < .05).
RESULTS: It was demonstrated that group 2 presented the highest shear bond
strength value, and this differed statistically from the others; group 3
presented the lowest value and showed no differences from groups 4, 5, 7, and 8.
Regarding the Adhesive Remnant Index, groups 2 and 6 presented the best results
and groups 3 and 8 the worst. It could be concluded that enamel deproteinization
with 10% papain gel increases the shear bond strength, irrespective of the
etching agent. CONCLUSIONS: The hypothesis is rejected. Papain gel was shown to
be a new ally in the orthodontic clinic.
PMID- 22077190
TI - Evaluation of palatal bone density in adults and adolescents for application of
skeletal anchorage devices.
AB - OBJECTIVES: To measure the cortical and cancellous bone densities of the palatal
area in adolescents and adults and to compare bone quality among placement sites
of temporary anchorage devices. MATERIALS AND METHODS: One hundred twenty cone
beam computerized tomography scans were obtained from 60 adolescents (mean age,
12.2 +/- 1.9 years) and 60 adults (24.7 +/- 4.9 years). The measurements of
palatal bone density were made in Hounsfield units (HU) at 72 sites at the
intersections of eight mediolateral and nine anterioposterior reference lines
using InVivoDental software. Repeated-measures analysis of variance was used to
analyze intragroup and intergroup differences. RESULTS: The cortical and
cancellous bone densities in the adults (816 and 154 HU, respectively) were
significantly higher than those in the adolescents (606 and 135 HU; P < .001 and
P = .032, respectively). However, the anterior portion of the cortical bone in
adolescents had similar density values to the posterior portion of the cortical
bone in adults. Gender comparison revealed that females had greater cortical bone
densities (769 HU) than their male counterparts did (654 HU; P < .001).
CONCLUSIONS: Palatal bone densities were significantly higher in adults than in
adolescents, and the anterior palatal areas of adolescents were of similar values
to those at the posterior palate of adults.
PMID- 22077191
TI - Kin encounter rate and inbreeding avoidance in canids.
AB - Mating with close kin can lead to inbreeding depression through the expression of
recessive deleterious alleles and loss of heterozygosity. Mate selection may be
affected by kin encounter rate, and inbreeding avoidance may not be uniform but
associated with age and social system. Specifically, selection for kin
recognition and inbreeding avoidance may be more developed in species that live
in family groups or breed cooperatively. To test this hypothesis, we compared kin
encounter rate and the proportion of related breeding pairs in noninbred and
highly inbred canid populations. The chance of randomly encountering a full sib
ranged between 1-8% and 20-22% in noninbred and inbred canid populations,
respectively. We show that regardless of encounter rate, outside natal groups
mates were selected independent of relatedness. Within natal groups, there was a
significant avoidance of mating with a relative. Lack of discrimination against
mating with close relatives outside packs suggests that the rate of inbreeding in
canids is related to the proximity of close relatives, which could explain the
high degree of inbreeding depression observed in some populations. The idea that
kin encounter rate and social organization can explain the lack of inbreeding
avoidance in some species is intriguing and may have implications for the
management of populations at risk.
PMID- 22077193
TI - Influence of application amount on sunscreen photodegradation in in vitro sun
protection factor evaluation: proposal of a skin-mimicking substrate.
AB - Widely used polymethylmethacrylate substrates for in vitro sun protection factor
(SPF) testing of sunscreens do not mimic the rough surface structure of skin, and
in addition, sample loading is less than that used in in vivo SPF testing (2.00
mg cm(-2)). We have developed a skin-mimicking substrate (SMS), which has furrows
and ridges on its surface, like human skin. A comparison of the photodegradation
profiles of sunscreens on commercially available substrates (including SMS) at
the recommended application amounts, and on SMS at various application amounts
showed that the photodegradation rate of photounstable sunscreen was dependent on
the application amount being higher at lower application amounts. SMS at the
recommended application amount of 2.00 mg cm(-2) provided in vitro SPF values
that were comparable with in vivo SPF values. Our results confirm that, in order
to develop a reliable in vitro SPF method, which is consistent with in vivo SPF
determination, it is important to use the same application amount of sample as in
the in vivo method, in order to take proper account of sunscreen photostability.
PMID- 22077194
TI - Cardiovascular implantable electronic device replacement infections and
prevention: results from the REPLACE Registry.
AB - BACKGROUND: Infection following cardiovascular implantable electronic device
(CIED) replacement is a serious complication, and rates of infection have
increased. Analysis of procedural and clinical data from device replacement
procedures collected by the REPLACE Registry may provide insights into infection
prevention strategies and outcomes. METHODS: We prospectively evaluated
procedural complications in patients undergoing CIED replacement over 6 months
from 72 U.S. sites. Major and minor infections were predefined and adjudicated by
an independent blinded clinical events committee. Data regarding infection
prevention strategies and infectious outcomes were analyzed for their potential
relationships. RESULTS: A total of 1,744 patients were included in REPLACE. All
patients received preoperative intravenous antibiotics and 68.7% received
postoperative systemic antibiotic therapy. CIED infection developed in 22
patients (1.3%), of which 14 cases were major (0.8%, 95% confidence interval [CI]
0.4%-1.3%) and eight were minor (0.5%, 95% CI 0.2%-0.9%). Patients with
infections were more likely to have had postoperative hematomas (five of 22
[22.7%] vs 17 of 1,722 [0.98%], P = 0.002). Participating sites experiencing
infection rates >5% were more likely to use povidone-iodine for topical
antisepsis, had lower implantation volume, and had patients with higher Charlson
Comorbidity Index (2.79 vs 2.32, 95% CI for difference 0.08-0.86, P = 0.019).
CONCLUSIONS: In this multicenter prospective study with 6 months of follow-up,
infections associated with CIED replacements were surprisingly infrequent,
possibly due to the use of preoperative antibiotics. Patients with infections
were more likely to have had a postoperative hematoma, and sites with higher
infection rates had sicker patients and lower overall procedural volume.
PMID- 22077196
TI - The conformations of cyclooctene: consequences for epoxidation chemistry.
AB - The conformational space of cyclooctene has been explored computationally in
order to rationalize its high epoxidation selectivity. Four different
conformations were identified. Each conformation is chiral and has two
enantiomeric forms. The degeneracy is further increased by a ring-inversion
process, yielding a total of 16 conformers. The potential energy surface for the
interconversion of these conformers was characterized via intrinsic reaction
coordinate analyses. Furthermore, an evaluation of the microcanonical partition
functions allowed for a quantification of the entropy contributions and hence the
calculation of the equilibrium composition at different temperatures. The results
strongly suggest that the high epoxidation selectivity, typically observed for
cyclooctene, is related to a poor sigma(C-alphaH)-pi(C?C) orbital overlap in the
predominant conformation, disfavoring alphaH-abstraction by radical species and
thus allylic byproduct formation via undesired homolytic side-reactions.
PMID- 22077195
TI - Clinical efficacy of macrolide antibiotics against genetically determined
macrolide-resistant Mycoplasma pneumoniae pneumonia in paediatric patients.
AB - BACKGROUND AND OBJECTIVE: Since 2000, the prevalence of macrolide-resistant (MR)
Mycoplasma pneumoniae has increased among paediatric patients in Japan. To
determine the efficacy of macrolides against MR M. pneumoniae pneumonia,
microbiological and clinical efficacies were compared during the antibiotic
treatment. METHODS: Samples from a total of 30 children with M. pneumoniae
pneumonia, as confirmed by PCR and serology, were analyzed. Primers for domain V
of 23S rRNA were used, and DNA sequences of the PCR products were compared with
the sequence of an M. pneumoniae reference strain. RESULTS: Isolates from 21
patients demonstrated point mutations, and these patients were defined as MR. The
remaining nine patients, whose isolates showed no point mutations, were
categorized as control (macrolide-sensitive) patients. The number of M.
pneumoniae in nasopharyngeal samples from the control group decreased rapidly 48
h after initiation of macrolide treatment and showed a close relationship with
clinical outcome. In contrast, the number of M. pneumoniae 48 h after initiation
of macrolide treatment were significantly higher in samples from MR patients than
in samples from macrolide-sensitive patients. In 15 of 21 MR patients, fever
persisted for more than 48 h after the initiation of macrolide treatment. When
treatment was changed to minocycline, fever disappeared within 48 h in all these
MR patients. There were no differences between MR patients who demonstrated a
reduction in fever and those in whom fever persisted after 48 h of macrolide
treatment. CONCLUSIONS: The microbiological and clinical efficacies of macrolides
for treating patients with MR M. pneumoniae pneumonia were low. These results
show that macrolides are clearly less effective in patients with MR M. pneumoniae
pneumonia.
PMID- 22077197
TI - Evaluation of the clinical safety and efficacy of a newly developed 308-nm
excimer lamp for vitiligo vulgaris.
PMID- 22077198
TI - In vivo sustained release of siRNA from solid lipid nanoparticles.
AB - Small interfering RNA (siRNA) is a highly potent drug in gene-based therapy with
a challenge of being delivered in a sustained manner. Nanoparticle drug delivery
systems allow for incorporating and controlled release of therapeutic payloads.
We demonstrate that solid lipid nanoparticles can incorporate and provide
sustained release of siRNA. Tristearin solid lipid nanoparticles, made by
nanoprecipitation, were loaded with siRNA (4.4-5.5 wt % loading ratio) using a
hydrophobic ion pairing approach that employs the cationic lipid DOTAP.
Intradermal injection of these nanocarriers in mouse footpads resulted in
prolonged siRNA release over a period of 10-13 days. In vitro cell studies showed
that the released siRNA retained its activity. Nanoparticles developed in this
study offer an alternative approach to polymeric nanoparticles for encapsulation
and sustained delivery of siRNA with the advantage of being prepared from
physiologically well-tolerated materials.
PMID- 22077199
TI - Interictal type 1 cannabinoid receptor binding is increased in female migraine
patients.
AB - OBJECTIVE: To compare binding of the type 1 cannabinoid receptor (CB1R) between
migraine patients and healthy volunteers. BACKGROUND: It has been suggested that
endocannabinoid deficiency may play a role in the pathophysiology of migraine.
Nonetheless, biochemical studies substantiating this idea remain scarce and are
faced with methodological shortcomings partly because of the difficulty to
perform measurements of endocannabinoids within the central nervous system
itself. METHODS: An observational cross-sectional study was conducted in 20
female migraine patients and 18 healthy women matched for age and body mass
index. Positron emission tomography acquisition was performed 90 minutes after
intravenous injection of the radioligand [(18)F]MK-9470 to assess binding of
[(18)F]MK-9470 to CB1R. RESULTS: Binding of CB1 R was globally increased in
migraine patients vs healthy controls (average gray matter difference +16%; P =
.009, 2-sample 2-sided Student's t-test). There were no correlations between CB1R
binding and any predefined migraine characteristics. Increases in CB1R binding
were most pronounced in the anterior cingulate, mesial temporal, prefrontal, and
superior frontal cortices. CONCLUSION: The increased interictal CB1R binding,
especially in brain regions that exert top-down influences to modulate pain,
supports the idea that endocannibinoid deficiency is present in female patients
suffering from episodic migraine.
PMID- 22077200
TI - Bacteria and pH-sensitive polysaccharide-polymer films for colon targeted
delivery.
AB - The colon provides drug delivery opportunities for colon-specific and systemic
delivery of various therapeutic agents. Different strategies have been utilized
in targeting drugs to the colon. Recently, integrated systems which incorporate
dual mechanisms in colon targeted delivery have received a lot of attention. Of
particular interest is bacteria-aided biomaterials and pH-sensitive polymeric
film (BPSF) coating for colon targeted drug delivery. The major constituents of
these films are polysaccharides and pH-sensitive polymers. The pH-sensitive
polymer retards drug release in the stomach and small intestine, while the
polysaccharide is digested by colonic enzymes. Digestion of the polysaccharides
by bacterial glycosidic enzymes increases the pore density in the film to
facilitate drug release. Generally, bacteria-aided biomaterials and pH-sensitive
films can be applied to the delivery of most small organic molecules to the
colon. The review encompasses the pharmaceutical design parameters such as film
digestibility, swelling index and dry mass loss (that provide molecular
mechanistic analysis of film permeability) as well as tensile strength, elastic
modulus, and elongation at break (that describe the desirable mechanical
properties of the films). A critical analysis of formulation, techniques for
characterization of film properties and drug-release kinetics from these systems
are emphasized.
PMID- 22077201
TI - Nanosuspensions in drug delivery: recent advances, patent scenarios, and
commercialization aspects.
AB - The interest in the preparation and application of nanometer-sized materials is
increasing due to their tremendous potential as a drug delivery system with wide
range of applications. Recently, nanoscale systems have received much interest as
a way to resolve solubility issues because of their cost-effectiveness and
technical simplicity compared to liposomes and other colloidal drug carriers.
Nanosuspensions have proven to be a better alternative over other approaches
currently available for improving bioavailability of number of drugs with low
solubility. Nanosuspensions have been extensively developed for a wide range of
drugs and have been evaluated for in vitro and in vivo applications by various
routes: parenteral, oral, pulmonary, topical. They have also been used for drug
targeting. Different preparation methods for nanosuspensions and their
application are being reported and patented. In fact, the number of products
based on nanosuspension in the market and under clinical study is higher than
that of other nanotechnology-based applications. This article reviews the
research and recent advances in formulation, characterization, application of
nanosuspensions as well as patents on nanosuspension methods.
PMID- 22077202
TI - Surfactant volume is an essential element in human toxicity in acute glyphosate
herbicide intoxication.
AB - BACKGROUND: Glyphosate, one of the most commonly used herbicides worldwide, has
been considered as minimally toxic to humans. However, clinical toxicologists
occasionally encounter cases of severe systemic toxicity. The purpose of this
study was to determine the effect of glyphosate-surfactants ("glyphosate
surfactant toxicity") in patients with acute glyphosate intoxication. METHODS: In
all, 107 patients (69 men and 38 women, aged 52.3 +/- 15.5 years) with acute
glyphosate intoxication were enrolled in this study. From their medical records,
we identified the formulation of ingested glyphosate products and derived
clinical parameters, which focused on clinical outcome, admission days, duration
in the intensive care unit, development of respiratory failure, cardiovascular
deterioration, renal failure, altered mental status, and convulsions. The effect
of surfactants on clinical complications was also assessed. RESULTS: For
surfactant ingestion volumes of 8 mL, the incidence of clinical complications was
(in rank order) as follows: hypotension, 47.1%; mental deterioration, 38.6%;
respiratory failure, 30.0%; acute kidney injury, 17.1%; and arrhythmia, 10.0%.
These complications were influenced by the volume of surfactant and not the type
of surfactant-ingredient in the herbicide product. Two patients died of
refractory shock, metabolic acidosis, and respiratory failure. However, the final
clinical outcomes of the surviving patients were benign, and cardiovascular,
respiratory, kidney, and mental functions were fully restored to normal levels.
CONCLUSIONS: Our results indicate that treatment of patients with acute
glyphosate herbicide intoxication should take into account the volume and not the
type of surfactants in herbicide formulations.
PMID- 22077203
TI - Benzophenones and flavonoids from Hypericum maculatum and their antioxidant
activities.
AB - The occurrence of three known benzophenones, namely annulatophenonoside,
acetylannulatophenonoside and annulatophenone as well as a flavonol O-glycoside
guajaverin in the aerial parts of Hypericum maculatum Crantz was established. In
addition, hyperoside, isoquercitrin and miquelianin were isolated from this
plant, as well. Radical scavenging and antioxidant activities of the isolated
compounds were examined using 1,1-diphenyl-2-picrylhydrazyl (DPPH) and 2,2'-azino
bis(3-ethylbenzothiazoline-6-sulphonic acid) diammonium salt (ABTS) free
radicals, ferric reducing antioxidant power (FRAP) assay and inhibition of lipid
peroxidation in linoleic acid system by the ferric thiocyanate method.
Isoquercitrin demonstrates the highest DPPH radical scavenging (96.6 +/- 0.3%),
FRAP (23.8 +/- 0.2 Trolox equivalent, TE mol-1) and antioxidant activity in
linoleic acid system. Guajaverin and acetylannulatophenonoside show significantly
strong ABTS radical scavenging activity (93.9 +/- 0.4% and 93.4 +/- 0.6%,
respectively), which is comparable to that of ascorbic acid (96.2 +/- 0.4%).
PMID- 22077204
TI - Emigration from the british isles to the u.s.a. In 1831.
AB - Abstract This is a first attempt to use the original passenger manifests from
immigrant ships entering U.S. ports to see what can be learned about emigration
from the British Isles during the period of most rapid industrialization and
urbanization between the Napoleonic Wars and the beginning of mass emigration in
the late 1840s. Based on lists compiled during the years 1827 to 1831, the
article demonstrates that these original lists contain more social and
demographic information about migrants than was ever published by the U.S.
government and also that the official statistics that were published were
incomplete and exaggerated the fish share in the immigration through U.S ports.
The English immigration is shown to have been predominantly a family movement in
1831, but most migrants chose to emigrate at favourable moments in the life
cycle. Occupations and countries with low incomes were not well represented among
English migrants through U.S. ports. As early as 1831, the majority of men among
the English immigrants were industrial workers, though farmers (as distinct from
farm labourers) were over-represented.
PMID- 22077205
TI - Regional patterns of nuptiality in Europe, 1870-1960.
AB - Abstract This paper is a study of changes in nuptiality by province in Europe
between 1870 and 1960: nuptiality is measured by I(m) a summary measure of the
proportions married among women of childbearing age. In each of the approximately
500 provinces of Europe the level of nuptiality increased between 1870 and 1960.
In addition, in most countries the differences among provinces diminished over
the period, so that the countries were more homogeneous with respect to
provincial nuptiality in 1960 than they had been in 1870. Despite this tendency
to convergence, traditional regional differences, linked to a common regional
history, were often maintained, though within a narrower range.
PMID- 22077206
TI - Estimating fertility trends from retrospective birth histories: Sensitivity to
imputation of missing dates.
AB - Abstract In the birth history section of the Core Questionnaire adopted by the
countries participating in the World Fertility Survey a question on the date of
birth of each child born to the respondent is asked. When the woman cannot
provide a date, as is often the case in many developing countries, she is asked
how many 'years ago' the birth occurred. If the default is used, the month and
year of the birth is imputed by a computer program: However, there can be two
plausible interpretations of the 'years ago' response: as completed years, the
demographer's usual definition of age, or as rounded years. In this paper, data
from the WFS Survey in Bangladesh are used to determine the sensitivity of recent
fertility estimates to the interpretation of 'years ago'. It is found that if the
woman meant rounded years, but completed years were assumed for imputation, the
resulting evidence of a recent decline could be either exaggerated or false. The
results have implications for other surveys in which the 'years ago' response is
not an explicit option.
PMID- 22077207
TI - A note on synthethic cohort estimates of average desired family size.
AB - Abstract This note considers the problem of estimating average desired family
size from parity-specific data on proportions wanting another child. Three
procedures proposed in the literature are reviewed and shown to give seriously
biased estimates, when women implement their fertility preferences. An
alternative procedure is proposed which overcomes most of the deficiencies of
previous methods. An example from Sri Lanka illustrates the fact that the
differences among the methods may be quite substantial.
PMID- 22077210
TI - Barotrauma-associated posterior tension pneumomediastinum, a rare cause of
cardiac tamponade in a ventilated neonate: a case report and review of the
literature.
AB - Ventilation-associated neonatal barotrauma comprises a spectrum of conditions
including pneumothorax, pulmonary interstitial emphysema, pneumopericardium and
pneumomediastinum. Whilst pneumothorax is common, mediastinal and particularly
posterior mediastinal air collections are rare. We report the case of a neonate,
presenting with life threatening pericardial tamponade secondary to posterior
tension pneumomediastinum. The infant was successfully resuscitated with an
emergency left lateral thoracotomy and chest drain insertion. We believe this to
be the first such case reported in the literature. We discuss aspects of
pathophysiology, diagnosis and management relating to posterior
pneumomediastinum. CONCLUSION: Posterior pneumomediastinum is rare, but can
potentially cause fatal tamponade. Such cases must be managed in a tertiary
centre where cardiology and surgical expertize are available.
PMID- 22077212
TI - Triazole-modified triphenylene derivative: self-assembly and sensing
applications.
AB - Triphenylene-based discotic liquid crystal 3 bearing 1,2,3-triazole groups has
been synthesized using "click" chemistry. Discotic mesogen 3 has good thermal
stability, and incorporation of triazole groups results in stabilization of
columnar mesophases down to room temperature and formation of organogels in
cyclohexane and mixed solvents such as hexane and dichloromethane (4:1 v/v).
Characterization of the organogel of 3 in cyclohexane revealed a porous network.
However, presence of Cd(2+) ions in solution obstructed the self-assembly of this
derivative due to preferred interactions between Cd(2+) ions and triphenylene
units over pi-pi interactions among triphenylene groups. Further, strong emission
of derivative 3 in its nonaggregated form makes it a promising fluorescence
sensory material for nitroaromatic compounds.
PMID- 22077211
TI - Outcomes on the pharmacopsychometric triangle in bupropion-SR vs. buspirone
augmentation of citalopram in the STAR*D trial.
AB - OBJECTIVE: To compare within the framework of a novel pharmacopsychometric
triangle, augmentation treatment with bupropion vs. buspirone in the acute
therapy of major depression in the STAR*D study. The triangle provides a
composite view in three domains of antidepressive activity, side effects, and
quality of life. METHOD: Within the pharmacopsychometric triangle, the short six
item subscales of the Hamilton Depression Scale (HAM-D(17)) and of the Inventory
of Depressive Symptomatology (IDS-C(30)), referred to as HAM-D(6) and IDS-C(6),
were focussed on pure antidepressive effect. Side-effects (tolerable vs.
intolerable) and quality of life were measured using patient-administered
questionnaires. A modified intention to treat sample was used. RESULTS: Within
the pharmacopsychometric triangle, bupropion-SR (sustained release) was superior
to buspirone when augmented to the current citalopram treatment. Thus, in the
domain of pure antidepressive effect, bupropion-SR was superior (P = 0.05) on the
HAM-D(6), IDS-C(6), and IDS-C(30), but not on the HAM-D(17). In the domain of
side effects, the total scores on the Patient Rated Inventory of Side Effects
(PRISE) were reduced significantly more by bupropion-SR than by buspirone (P =
0.03). In the domain of quality of life, the total scores on the Quality of Life
Enjoyment and Satisfaction Questionnaire (QLES-Q) showed a trend (P = 0.10) from
baseline to endpoint of a superiority for bupropion-SR compared with buspirone.
CONCLUSION: In all domains of the pharmacopsychometric triangle, bupropion-SR was
superior to buspirone as augmentation therapy in depressed outpatients not
responding to citalopram.
PMID- 22077213
TI - Interaction mechanism of anabolic steroid hormones with structural components of
erythrocyte membranes.
AB - The interaction of testosterone, androsterone, dehydroepiandrosterone (DHEA), and
dehydroepiandrosterone sulfate (DHEAS) with erythrocyte membranes was studied. It
was shown that testosterone and androsterone have a high constant of binding to
the membranes (K(b) ~ 10(6) M(-1)), whereas K(b)'s for DHEA and DHEAS are 2
orders of magnitude lower. Hydrogen bonds and hydrophobic interactions play an
important role in binding of anabolic steroids. Hydrogen bonds form with CO and
NH groups both of membrane proteins and phospholipids. This results in the
formation of complex domains rising above the surface of membranes. Strengthening
of hydrophobic interactions in the domains promotes the displacement of water
dipoles to adjacent regions, thus loosening the phospholipid bilayer. Overall,
microviscosity of erythrocyte membranes strongly increases, which decreases the
plasticity of erythrocytes and hampers their motion in blood capillaries. This
mechanism may underlie the development of diffusion myocardial hypoxia and
hypoxic cardiac arrest.
PMID- 22077192
TI - Rivaroxaban in patients with a recent acute coronary syndrome.
AB - BACKGROUND: Acute coronary syndromes arise from coronary atherosclerosis with
superimposed thrombosis. Since factor Xa plays a central role in thrombosis, the
inhibition of factor Xa with low-dose rivaroxaban might improve cardiovascular
outcomes in patients with a recent acute coronary syndrome. METHODS: In this
double-blind, placebo-controlled trial, we randomly assigned 15,526 patients with
a recent acute coronary syndrome to receive twice-daily doses of either 2.5 mg or
5 mg of rivaroxaban or placebo for a mean of 13 months and up to 31 months. The
primary efficacy end point was a composite of death from cardiovascular causes,
myocardial infarction, or stroke. RESULTS: Rivaroxaban significantly reduced the
primary efficacy end point, as compared with placebo, with respective rates of
8.9% and 10.7% (hazard ratio in the rivaroxaban group, 0.84; 95% confidence
interval [CI], 0.74 to 0.96; P=0.008), with significant improvement for both the
twice-daily 2.5-mg dose (9.1% vs. 10.7%, P=0.02) and the twice-daily 5-mg dose
(8.8% vs. 10.7%, P=0.03). The twice-daily 2.5-mg dose of rivaroxaban reduced the
rates of death from cardiovascular causes (2.7% vs. 4.1%, P=0.002) and from any
cause (2.9% vs. 4.5%, P=0.002), a survival benefit that was not seen with the
twice-daily 5-mg dose. As compared with placebo, rivaroxaban increased the rates
of major bleeding not related to coronary-artery bypass grafting (2.1% vs. 0.6%,
P<0.001) and intracranial hemorrhage (0.6% vs. 0.2%, P=0.009), without a
significant increase in fatal bleeding (0.3% vs. 0.2%, P=0.66) or other adverse
events. The twice-daily 2.5-mg dose resulted in fewer fatal bleeding events than
the twice-daily 5-mg dose (0.1% vs. 0.4%, P=0.04). CONCLUSIONS: In patients with
a recent acute coronary syndrome, rivaroxaban reduced the risk of the composite
end point of death from cardiovascular causes, myocardial infarction, or stroke.
Rivaroxaban increased the risk of major bleeding and intracranial hemorrhage but
not the risk of fatal bleeding. (Funded by Johnson & Johnson and Bayer
Healthcare; ATLAS ACS 2-TIMI 51 ClinicalTrials.gov number, NCT00809965.).
PMID- 22077214
TI - Biology without walls: the novel endocrinology of bone.
AB - Classical studies of vertebrate physiology have usually been confined to a given
organ or cell type. The use of mouse genetics has changed this approach and has
rejuvenated the concept of a whole-body study of physiology. One physiological
system that has been profoundly influenced by mouse genetics is skeletal
physiology. Indeed, genetic approaches have identified several unexpected organs
that affect bone physiology. These new links have begun to provide a plausible
explanation for the evolutionary involvement of hormones such as leptin with bone
physiology. These genetic approaches have also revealed bone as a true endocrine
organ capable of regulating energy metabolism and reproduction. Collectively, the
body of work discussed below illustrates a new and unconventional role for bone
in mammalian physiology.
PMID- 22077217
TI - The interplay between lipoprotein phenotypes, adiponectin, and alcohol
consumption.
AB - CONTEXT AND OBJECTIVE: Lipoproteins are involved in the pathophysiology of
several metabolic diseases. Here we focus on the interplay between lipoprotein
metabolism and adiponectin with the extension of alcohol intake. DESIGN AND
SUBJECTS: Eighty-three low-to-moderate and 80 heavy alcohol drinkers were
studied. Plasma adiponectin, other biochemical and extensive lipoprotein data
were measured. Self-organizing maps were applied to characterize lipoprotein
phenotypes and their interrelationships with biochemical measures and alcohol
consumption. RESULTS: Alcohol consumption and plasma adiponectin had a strong
positive association. Heavy alcohol consumption was associated with decreased low
density lipoprotein cholesterol (LDL-C). Nevertheless, two distinct lipoprotein
phenotypes were identified, one with elevated high-density lipoprotein
cholesterol (HDL-C) and decreased very-low-density lipoprotein triglycerides
(VLDL-TG) together with low prevalence of metabolic syndrome, and the other vice
versa. The HDL particles were enlarged in both phenotypes related to the heavy
drinkers. The low-to-moderate alcohol drinkers were characterized with high LDL-C
and C-enriched LDL particles. CONCLUSIONS: The analyses per se illustrated the
multi-faceted and non-linear nature of lipoprotein metabolism. The heavy alcohol
drinkers were characterized either by an anti-atherogenic lipoprotein phenotype
(with also the highest adiponectin concentrations) or by a phenotype with pro
atherogenic and metabolic syndrome-like features. Clinically this underlines the
need to distinguish the differing individual risk for lipid-related metabolic
disturbances also in heavy alcohol drinkers.
PMID- 22077215
TI - Stop the flow: a paradigm for cell signaling mediated by reactive oxygen species
in the pulmonary endothelium.
AB - The lung endothelium is exposed to mechanical stimuli through shear stress
arising from blood flow and responds to altered shear by activation of NADPH
(NOX2) to generate reactive oxygen species (ROS). This review describes the
pathway for NOX2 activation and the downstream ROS-mediated signaling events on
the basis of studies of isolated lungs and flow-adapted endothelial cells in
vitro that are subjected to acute flow cessation (ischemia). Altered mechanical
stress is detected by a cell-associated complex involving caveolae and other
membrane proteins that results in endothelial cell membrane depolarization and
then the activation of specific kinases that lead to the assembly of NOX2
components. ROS generated by this enzyme amplify the mechanosignal within the
endothelial cell to regulate activation and/or synthesis of proteins that
participate in cell growth, proliferation, differentiation, apoptosis, and
vascular remodeling. These responses indicate an important role for NOX2-derived
ROS associated with mechanotransduction in promoting vascular homeostasis.
PMID- 22077218
TI - Alternative therapies for Helicobacter pylori: probiotics and phytomedicine.
AB - Helicobacter pylori is a common human pathogen infecting about 30% of children
and 60% of adults worldwide and is responsible for diseases such as gastritis,
peptic ulcer and gastric cancer. Treatment against H. pylori is based on the use
of antibiotics, but therapy failure can be higher than 20% and is essentially due
to an increase in the prevalence of antibiotic-resistant bacteria, which has led
to the search for alternative therapies. In this review, we discuss alternative
therapies for H. pylori, mainly phytotherapy and probiotics. Probiotics are live
organisms or produced substances that are orally administrated, usually in
addition to conventional antibiotic therapy. They may modulate the human
microbiota and promote health, prevent antibiotic side effects, stimulate the
immune response and directly compete with pathogenic bacteria. Phytomedicine
consists of the use of plant extracts as medicines or health-promoting agents,
but in most cases the molecular mode of action of the active ingredients of these
herbal extracts is unknown. Possible mechanisms include inhibition of H. pylori
urease enzyme, disruption of bacterial cell membrane, and modulation of the host
immune system. Other alternative therapies are also reviewed.
PMID- 22077216
TI - Comparison of two yeast MnSODs: mitochondrial Saccharomyces cerevisiae versus
cytosolic Candida albicans.
AB - Human MnSOD is significantly more product-inhibited than bacterial MnSODs at high
concentrations of superoxide (O(2)(-)). This behavior limits the amount of
H(2)O(2) produced at high [O(2)(-)]; its desirability can be explained by the
multiple roles of H(2)O(2) in mammalian cells, particularly its role in
signaling. To investigate the mechanism of product inhibition in MnSOD, two yeast
MnSODs, one from Saccharomyces cerevisiae mitochondria (ScMnSOD) and the other
from Candida albicans cytosol (CaMnSODc), were isolated and characterized.
ScMnSOD and CaMnSODc are similar in catalytic kinetics, spectroscopy, and redox
chemistry, and they both rest predominantly in the reduced state (unlike most
other MnSODs). At high [O(2)(-)], the dismutation efficiencies of the yeast
MnSODs surpass those of human and bacterial MnSODs, due to very low level of
product inhibition. Optical and parallel-mode electron paramagnetic resonance
(EPR) spectra suggest the presence of two Mn(3+) species in yeast Mn(3+)SODs,
including the well-characterized 5-coordinate Mn(3+) species and a 6-coordinate L
Mn(3+) species with hydroxide as the putative sixth ligand (L). The first and
second coordination spheres of ScMnSOD are more similar to bacterial than to
human MnSOD. Gln154, an H-bond donor to the Mn-coordinated solvent molecule, is
slightly further away from Mn in yeast MnSODs, which may result in their unusual
resting state. Mechanistically, the high efficiency of yeast MnSODs could be
ascribed to putative translocation of an outer-sphere solvent molecule, which
could destabilize the inhibited complex and enhance proton transfer from protein
to peroxide. Our studies on yeast MnSODs indicate the unique nature of human
MnSOD in that it predominantly undergoes the inhibited pathway at high [O(2)(-)].
PMID- 22077219
TI - Interferon gamma release assay in diagnosis of pediatric tuberculosis: a meta
analysis.
AB - Although interferon gamma release assays (IGRAs) have been widely used for the
diagnosis of latent and active tuberculosis in adults, a relative lack of
validation studies in children has led to caution in their clinical
interpretation. This meta-analysis systematically evaluated two IGRAs (ELISA and
ELISPOT) and the tuberculin skin test (TST). We searched databases (PubMed,
MEDLINE, Ovid) between January 2000 and January 2011 using search terms of latent
tuberculosis infection or tuberculosis and interferon gamma release assay, or T
SPOT.TB test, or QuantiFERON-TB Gold, or ESAT-6, or CFP-10, and child, or
childhood, or pediatrics. We also collected data by performing a manual search of
references from relevant articles and communicating with selected authors. The
meta-analysis was conducted with random effects models to account for
heterogeneity between selected studies. The sensitivities of all three tests in
active tuberculosis were similar. The pooled sensitivity was 70% for ELISA
studies, 62% for ELISPOT studies and 71% for TST. Calculated sensitivities for
IGRAs and the TST differ in culture-confirmed tuberculosis [ELISA (85%) vs.
ELISPOT (76%) vs. TST (85%)] and clinical diagnosed cases [ELISA (64%) vs.
ELISPOT (58%) vs. TST (66%)]. The pooled specificity was 100% for ELISA and 90%
for ELISPOT, but was much lower for TST [56% in all included studies and 49% in
children with bacillus Calmette-Guerin (BCG) vaccination]. The agreement between
the TST and IGRAs in non-BCG-vaccinated children is higher than that in BCG
vaccinated children. In the diagnosis of active tuberculosis in children, the TST
and IGRAs have similar sensitivity. By contrast, the specificity of IGRAs is far
greater than the TST, particularly in children with previous BCG vaccination.
PMID- 22077220
TI - Proteomic analysis of Proteus mirabilis outer membrane proteins reveals
differential expression in vivo vs. in vitro conditions.
AB - Proteus mirabilis is an opportunistic pathogen that frequently causes complicated
urinary tract infections. Among a wide spectrum of potential virulence factors,
outer membrane proteins (OMPs) are critical for bacterial interactions and
survival in different environments. In this work, we used a proteomic approach to
assess P. mirabilis in vivo OMPs expression compared to in vitro, including iron
replete and iron-restricted conditions. Three putative iron receptors, IreA,
PMI0842, and PMI2596, were detected both in bacterium grown in vivo and in vitro
under iron-restricted conditions. A prophage gene product, PMI1721, was detected
only on in vivo growing bacterium, suggesting a potential role yet to be
disclosed on the surface of P. mirabilis. Plasminogen, a host protein, was co
purified with OMPs of in vivo grown bacteria, which is in accordance with
previous observations and suggests that plasminogen bound to P. mirabilis surface
may be associated to virulence as seen in other bacterial pathogens. Western
blots using sera of experimentally challenged mice showed that iron-regulated
proteins are expressed and highly immunogenic during infection. This work
confirms observations made by others for P. mirabilis and reveals details not yet
described, suggesting new aspects of the bacterium pathogenesis that remain
unknown.
PMID- 22077221
TI - Vgamma4+ T cells regulate host immune response to West Nile virus infection.
AB - The Vgamma4(+) cells, a subpopulation of peripheral gammadelta T cells, are
involved in West Nile virus (WNV) pathogenesis, but the underlying mechanism
remains unclear. In this study, we found that WNV-infected Vgamma4(+) cell
depleted mice had lower viremia and a reduced inflammatory response in the brain.
The Vgamma4(+) cells produced IL-17 during WNV infection, but blocking IL-17
signaling did not affect host susceptibility to WNV encephalitis. We also noted
that there was an enhanced magnitude of protective splenic Vgamma1(+) cell
expansion in Vgamma4(+) cell-depleted mice compared to that in controls during
WNV infection. In addition, Vgamma4(+) cells of WNV-infected mice had a higher
potential for producing TGF-beta. The gammadelta T cells of WNV-infected
Vgamma4(+) cell-depleted mice had a higher proliferation rate than those of WNV
infected controls upon ex vivo stimulation with anti-CD3, and this difference was
diminished in the presence of TGF-beta inhibitor. Finally, Vgamma4(+) cells of
infected mice contributed directly and indirectly to the higher level of IL-10,
which is known to play a negative role in immunity against WNV infection. In
summary, Vgamma4(+) cells suppress Vgamma1(+) cell expansion via TGF-beta and
increase IL-10 level during WNV infection, which together may lead to higher
viremia and enhanced brain inflammation.
PMID- 22077222
TI - Minimal concentration of human IgM and IgG antibodies necessary to protect mice
from challenges with live O6 Escherichia coli.
AB - This work evaluated the ability of human anti-lipopolysaccharide O6 IgM and IgG
antibodies to protect mice challenged with Escherichia coli serotype O6 : K2ac.
Purified IgM-effluent, purified IgG, pools of normal human serum (NHS), or
control group were injected into mice 18 h before challenges with O6 E. coli.
Interleukin 6 and tumor necrosis factor alpha were quantified in the sera of test
and control groups. All mice receiving purified IgM-effluent (66.6 mg L(-1) of
anti-lipopolysaccharide O6 IgM antibodies) and NHS survived. Purified IgG (1.1 mg
L(-1) of anti-lipopolysaccharide O6 IgG antibodies) protected 87.5% of the
animals. The control group showed no protective ability. The minimal
concentration of anti-lipopolysaccharide O6 IgM antibodies, able to protect 50%
of the animals was 33.3 mg L(-1) of purified IgM-effluent, whereas purified IgG
was able to protect 50% of the animals with only 1.1 mg L(-1) of anti
lipopolysaccharide O6 IgG antibodies. Serum from animals pretreated with purified
IgM-effluent and purified IgG before challenges with lipopolysaccharide O6 did
not have detectable pro-inflammatory cytokines. Hepatocytes of the control group
were completely invaded by bacteria, whereas none was found in animals pretreated
with purified IgM-effluent and purified IgG. Higher concentrations of anti
lipopolysaccharide O6 IgM antibodies as compared to anti-lipopolysaccharide O6
IgG antibodies were needed to protect mice from challenges with E. coli O6
serotype.
PMID- 22077223
TI - Bacillus cereus from blood cultures: virulence genes, antimicrobial
susceptibility and risk factors for blood stream infection.
AB - We characterized the profiles of virulence genes and antimicrobial susceptibility
of Bacillus cereus isolates from blood cultures as well as the risk factors for
blood stream infections (BSIs). The diversity of virulence gene patterns was
found to be wide among 15 B. cereus isolates from BSIs and also among 11 isolates
from contaminated blood cultures. The MicroScan broth microdilution method
yielded results corresponding with those of the agar dilution (reference) method
for levofloxacin, linezolid, and vancomycin, while the Etest results were
consistent with the reference results for clindamycin, gentamicin, imipenem,
levofloxacin, and linezolid. Compared with the reference values, however, some
isolates showed marked differences of the minimum inhibitory concentrations
(MICs) for ampicillin and clindamycin when determined using the MicroScan method,
or the MICs for ampicillin, meropenem, and vancomycin when determined using the
Etest method. Significantly more patients were treated with antimicrobials for
more than 3 days during the 3-month period before isolation in the BSI group.
Prior antimicrobial therapy may be a risk factor for BSIs due to B. cereus.
PMID- 22077224
TI - Genotyping of Chlamydia trachomatis directly from urogenital and conjunctiva
samples using an ompA gene pyrosequencing-based assay.
AB - The aim of the present study was to develop and validate a multitarget
pyrosequencing-based protocol for basic Chlamydia trachomatis genotyping directly
from clinical samples and to characterize the distribution of genotypes among
Slovenian sexually active population. The newly developed combination of assays
that targets the variable domains VD-I and VD-IV of the C. trachomatis ompA gene,
was optimized and validated with 11 reference C. trachomatis strains and by
comparison to complete ompA conventional sequencing. In addition, 183 clinical
specimens which were previously diagnosed as C. trachomatis positive were
evaluated by pyrosequencing. The pyrosequencing products showed a 100% match to
corresponding sections of the respective conventional ompA sequences. Based on
our results the most frequent genotype in urogenital samples was E (51.1%)
followed by F (21.4%), G and K (6.9%), D (6.1%), H (3.8%), J (2.3%) and Ia and Ja
(0.8%). In conjunctiva samples the genotype distribution was E (63.3%), D and F
(13.3%), K (6.7%) and G (3.3%). Pyrosequencing thus proved itself to be a rapid
method for C. trachomatis typing, which is important for better understanding the
pathogenesis and epidemiology of this pathogen.
PMID- 22077225
TI - Effects of the microbial secondary metabolites pyrrolnitrin, phenazine and
patulin on INS-1 rat pancreatic beta-cells.
AB - The effects on pancreatic beta-cell viability and function of three microbial
secondary metabolites pyrrolnitrin, phenazine and patulin were investigated,
using the rat clonal pancreatic beta-cell line, INS-1. Cells were exposed to 10
fold serial dilutions (range 0-10 MUg mL(-1)) of the purified compounds for 2, 24
and 72 h. After 2 h exposure, only patulin (10 MUg mL(-1)) was cytotoxic. All
compounds showed significant cytotoxicity after 24 h. None of the compounds
altered insulin secretion with 2 and 20 mM glucose after 2 h. However, after 24 h
treatment, phenazine and pyrrolnitrin (10 and 100 ng mL(-1)) potentiated insulin
production and glucose-stimulated insulin secretion, whereas patulin had no
effect. Exposure (24 h) to either phenazine (100 ng mL(-1)) or pyrrolnitrin (10
ng mL(-1)) caused similar increases in the Ca(2+) content of INS-1 cells. The
outward membrane current was inhibited after 24 h exposure to either phenazine
(100 ng mL(-1)) or pyrrolnitrin (10 or 100 ng mL(-1)). This study presents novel
data suggesting that high concentrations of pyrrolnitrin and phenazine are
cytotoxic to pancreatic beta-cells and thus possibly diabetogenic, whereas at
lower concentrations these agents are nontoxic and may be insulinotropic. The
possible role of such agents in the development of cystic fibrosis-related
diabetes is discussed.
PMID- 22077226
TI - Astragalus polysaccharides enhance the humoral and cellular immune responses of
hepatitis B surface antigen vaccination through inhibiting the expression of
transforming growth factor beta and the frequency of regulatory T cells.
AB - Astragalus polysaccharides (APS), extracted from the root of Astragalus
membranaceus, a traditional Chinese medicinal herb, have extensive
pharmacological and strong immunomodulatory effects. In this study, the potential
adjuvant effect of APS on humoral and cellular immune responses to hepatitis B
subunit vaccine was investigated. Coadministration of APS with recombinant
hepatitis B surface antigen significantly increased antigen-specific antibody
production, T-cell proliferation and CTL (cytotoxic T lymphocyte) activity.
Production of interferon-gamma (IFN-gamma), interleukin-2 (IL-2) and IL-4 in
CD4(+) T cells and of IFN-gamma in CD8(+) T cells were dramatically increased.
Furthermore, expression of the genes PFP, GraB, Fas L and Fas were up-regulated;
interestingly, expression of transforming growth factor beta (TGF-beta) and the
frequency of CD4(+)CD25(+)Foxp3(+) regulatory T cells (Treg cells) were down
regulated. Expression of Toll-like receptor 4 (TLR4) was significantly increased
by administration of APS. Together, these results suggest that APS is a potent
adjuvant for the hepatitis B subunit vaccine and can enhance both humoral and
cellular immune responses via activating the TLR4 signaling pathway and inhibit
the expression of TGF-beta and frequency of Treg cells.
PMID- 22077227
TI - Vancomycin promotes the bacterial autolysis, release of extracellular DNA, and
biofilm formation in vancomycin-non-susceptible Staphylococcus aureus.
AB - Staphylococcus aureus, an important human pathogen, is particularly adept at
producing biofilms on implanted medical devices. Although antibiotic treatment of
nonsusceptible bacteria will not kill these strains, the consequences should be
studied. The present study focuses on investigating the effect of vancomycin on
biofilm formation by vancomycin-non-susceptible S. aureus. Biofilm adherence
assays and scanning electron microscopy demonstrated that biofilm formation was
significantly enhanced following vancomycin treatment. Bacterial autolysis of
some subpopulations was observed and was confirmed by the live/dead staining and
confocal laser scanning microscopy. A significant increase in polysaccharide
intercellular adhesin (PIA) production was observed by measuring icaA transcript
levels and in a semi-quantitative PIA assay in one resistant strain. We show that
the release of extracellular DNA (eDNA) via cidA-mediated autolysis is a major
contributor to vancomycin-enhanced biofilm formation. The addition of xenogeneic
DNA could also significantly enhance biofilm formation by a PIA-overproducing S.
aureus strain. The magnitude of the development of the biofilm depends on a
balance between the amounts of eDNA and PIA. In conclusion, sublethal doses of
cell wall-active antibiotics like vancomycin induce biofilm formation through an
autolysis-dependent mechanism in vancomycin-non-susceptible S. aureus.
PMID- 22077228
TI - Emerging dynamics of human campylobacteriosis in Southern Ireland.
AB - Infections with Campylobacter spp. pose a significant health burden worldwide.
The significance of Campylobacter jejuni/Campylobacter coli infection is well
appreciated but the contribution of non-C. jejuni/C. coli spp. to human
gastroenteritis is largely unknown. In this study, we employed a two-tiered
molecular study on 7194 patient faecal samples received by the Microbiology
Department in Cork University Hospital during 2009. The first step, using
EntericBio((r)) (Serosep), a multiplex PCR system, detected Campylobacter to the
genus level. The second step, utilizing Campylobacter species-specific PCR
identified to the species level. A total of 340 samples were confirmed as
Campylobacter genus positive, 329 of which were identified to species level with
33 samples containing mixed Campylobacter infections. Campylobacter jejuni,
present in 72.4% of samples, was the most common species detected, however, 27.4%
of patient samples contained non-C. jejuni/C. coli spp.; Campylobacter fetus
(2.4%), Campylobacter upsaliensis (1.2%), Campylobacter hyointestinalis (1.5%),
Campylobacter lari (0.6%) and an emerging species, Campylobacter ureolyticus
(24.4%). We report a prominent seasonal distribution for campylobacteriosis
(Spring), with C. ureolyticus (March) preceeding slightly C. jejuni/C. coli
(April/May).
PMID- 22077229
TI - Extensive viral mimicry of 22 AIDS-related autoantigens by HIV-1 proteins and
pathway analysis of 561 viral/human homologues suggest an initial treatable
autoimmune component of AIDS.
AB - HIV-1 viral proteins, particularly the env protein, are homologous to 22 AIDS
autoantigens, suggesting their creation by antiviral antibodies subsequently
targeting human homologues. They include antibodies to T-cell receptors, CD4 and
CD95, complement components, IgG, TNF and other immune-related proteins.
Autoantibodies may compromise the immune system via knockdown of these key
proteins, and autoimmune attack on the immune system itself, as supported by
immune activation in early stages of infection and during the transition to AIDS.
Over 500 human proteins contain pentapeptides or longer consensi, identical to
viral peptides. Such homology explains the extensive viral/human interactome,
likely related to the ability of viral homologues to compete with human
counterparts as binding partners. Pathway analysis of these homologous proteins
revealed their involvement in immune-related networks (e.g. natural killer cell
toxicity/toll, T-cell/B-cell receptor signalling/antigen processing) and viral
and bacterial entry and defence pathways (phagosome/lysosome pathways, DNA
sensing/NOD/RIG-1 pathways) relevant to AIDS pathogenesis. At its inception, AIDS
may have an autoimmune component selectively targeting the immune system.
Immunosuppressive therapy or antibody removal, which has already achieved some
success, might be therapeutically beneficial, particularly if targeted at removal
of the culpable antibodies, via affinity dialysis.
PMID- 22077230
TI - Staphylococcus epidermidis polysaccharide intercellular adhesin activates
complement.
AB - Staphylococcus epidermidis is a frequent cause of nosocomial infections. The
central virulence factor of S. epidermidis is biofilm formation. Polysaccharide
intercellular adhesin (PIA) constitutes the major biofilm matrix-component. PIA
and biofilm have been implicated in S. epidermidis evasion of host immune
defence. We examined the effects of S. epidermidis PIA on the inflammatory
response with focus on complement activation. We used a human whole-blood ex vivo
model of infection and compared the effects of a PIA-positive S. epidermidis
strain (SE1457) and its PIA-negative isogenic mutant (M10). The independent
effect of purified PIA on complement activation was investigated. In glucose-rich
media, the mutant formed a proteinacious DNA-rich biofilm, whereas SE1457 formed
a thick PIA-biofilm. In biofilm growth, SE1457 induced a stronger activation of
the complement system compared with M10. We verified that purified PIA was
independently responsible for a strong activation of the complement system. In
contrast, M10 induced higher granulocyte activation by expression of CD11b and
higher secretion of cytokines. We conclude that PIA has potent pro-inflammatory
properties by activating the complement system. However, in a complex balance of
the immune response, the decreased activation of granulocytes and cytokines by a
PIA biofilm may limit host eradication of S. epidermidis.
PMID- 22077231
TI - Immunolocalization of IFN-gamma in the lesions of resistant and susceptible mice
to Paracoccidioides brasiliensis infection.
AB - The important role of interferon-gamma (IFN-gamma) in protective immunity in
mycosis is well established, except for its participation in fungal granulomas.
Herein, we employ immunohistochemical reactions to describe the in situ
localization of IFN-gamma in granulomas of susceptible (B10.A) and resistant
(A/J) mice to infection with Paracoccidioides brasiliensis (Pb). After infection
with the highly virulent Pb18, IFN-gamma-positive lymphomononuclear cells were
localized mainly at the periphery of granulomas in both mouse strains. The
numbers of positive cells found in compact granulomas of A/J mice increased
significantly from 15 to 120 days postinfection. At this time, significantly more
positive cells were detected in the compact granulomas of resistant mice than in
the loose, multifocal lesions of the susceptible ones. In infection with the
slightly virulent Pb265, the same pattern of IFN-gamma localization was found as
in Pb18 infection, but there was decreased staining at 120 days due to the
presence of only residual lesions in both mouse strains. The marked IFN-gamma
staining observed in the granulomas of resistant mice at the later stage of Pb
infection confirms its importance in fungal dissemination control, and suggests a
contribution to the development of paracoccidioidal granuloma.
PMID- 22077232
TI - Immune responses of chickens inoculated with a recombinant fowlpox vaccine
coexpressing glycoprotein B of infectious laryngotracheitis virus and chicken IL
18.
AB - Infectious laryngotracheitis virus (ILTV) is an alphaherpesvirus that causes
severe and economically significant respiratory disease in poultry worldwide.
Herein, the immunogenicity of two recombinant fowlpox viruses (rFPV-gB and rFPV
gB/IL18) containing ILTV glycoprotein B (gB) and chicken interleukin-18 (IL-18)
were investigated in a challenge model. One-day-old specific-pathogen-free
chickens were vaccinated by wing-web puncture with the two rFPVs and challenged
with the virulent ILTV CG strain. There were differences in antibody levels
elicited by either rFPV-gB/IL18 or rFPV-gB as determined using ELISA. The ratios
of CD4(+) to CD8(+) in chickens immunized with rFPV-gB/IL18 were higher (P <
0.05) than in those immunized with rFPV-gB, and the level of proliferative
response of the T cells in the rFPV-gB/IL18-vaccinated group was higher (P <
0.05) than that in the rFPV-gB group. All chickens immunized with rFPV-gB/IL18
were protected (10/10), whereas only eight of 10 of the chickens immunized with
the rFPV-gB were protected. The results showed that the protective efficacy of
the rFPV-gB vaccine could be enhanced by simultaneous expression of chicken IL
18.
PMID- 22077234
TI - European veterinary dissertations.
PMID- 22077233
TI - Multiple forms of DNA damage caused by UVA photoactivation of DNA 6-thioguanine.
AB - Thiopurines are prescribed frequently as medication for cancer and for
inflammatory disorders. One of them, azathioprine, has been the immunosuppressant
of choice for organ transplant recipients for many years. Thiopurine use is
associated with elevated sun sensitivity and skin cancer risk. Skin sensitization
is selective for UVA. 6-TG integrates into DNA and unlike the canonical DNA
bases, it is a strong UVA chromophore with an absorbance maximum at 342 nm. DNA 6
TG is a photosensitizer and a source of reactive oxygen species. Reactive oxygen
that is generated from the photochemical activation of DNA 6-TG causes extensive
damage to DNA and proteins. This damage is mutagenic and extremely toxic to
cultured human cells. Here we describe some of the lesions that are known to be
generated from UVA irradiation of DNA 6-TG. We discuss how this photochemical
damage might contribute to the toxic effect of thiopurine/UVA treatment on
cultured cells and to the high risk of skin cancer in thiopurine-treated
patients.
PMID- 22077235
TI - Case of rippled-pattern sebaceoma with clinically yellowish surface and
histopathological paucity of lipid-containing neoplastic cells.
PMID- 22077237
TI - Is contrast-enhanced 3D spoiled gradient echo MRI a superior way to image
pachymeningeal enhancement in intracranial hypotension?
PMID- 22077238
TI - Aclarubicin enhances tumor necrosis factor-related apoptosis-inducing ligand
induced apoptosis through death receptor 5 upregulation.
AB - Anthracycline drugs are potent anti-tumor agents. Tumor necrosis factor-related
apoptosis-inducing ligand (TRAIL) is a death ligand with promising anti-cancer
effects. However, some tumor types develop resistance to TRAIL. We examined the
effect of aclarubicin (ACR), an anthracycline, in combination with TRAIL. The
combination of TRAIL and ACR synergistically induced apoptosis in human acute
lymphoblastic leukemia Jurkat cells and human lung cancer A549 cells. In
contrast, another anthracycline, doxorubicin (DOX), only slightly sensitized
Jurkat cells and A549 cells to TRAIL-induced apoptosis, with weaker enhancement
of death receptor 5 (DR5) expression than ACR. The RNase protection assay, real
time RT-PCR and western blot demonstrated that ACR upregulated the expression of
a TRAIL receptor, DR5. Caspase inhibitors and dominant negative DR5 efficiently
reduced the apoptotic response to the treatment with ACR and TRAIL, indicating
that the combined effect depends on caspase activities and the interaction
between TRAIL and its receptor. ACR but not DOX increased the activity of the DR5
gene promoter in Jurkat cells carrying a mutation in the p53 gene, suggesting
that ACR upregulates DR5 expression through p53-independent transcription. These
results suggest the combination of TRAIL and ACR to be a promising treatment for
malignant tumors.
PMID- 22077239
TI - Dihydroxylation-based approach for the asymmetric syntheses of hydroxy-gamma
butyrolactones.
AB - A method of preparing enantiopure hydroxy-gamma-butyrolactones containing
multiple contiguous stereocenters in high yield with good diastereoselectivity
has been developed. Osmium tetroxide mediated dihydroxylation of a range of beta
alkenyl-beta-hydroxy-N-acyloxazolidin-2-ones results in formation of triols that
undergo spontaneous intramolecular 5-exo-trig cyclization reactions to provide
hydroxy-gamma-butyrolactones. The stereochemistry of these hydroxy-gamma
butyrolactones has been established using NOE spectroscopy, which revealed that 1
substituted, 1,1-disubstituted, (E)-1,2-disubstituted, (Z)-1,2-disubstituted, and
1,1,2-trisubstituted alkenes undergo dihydroxylation with anti
diastereoselectivity, while 1,2,2-trisubstituted systems afford syn
diastereoisomers. The synthetic utility of this methodology has been demonstrated
for the asymmetric synthesis of the natural product 2-deoxy-D-ribonolactone.
PMID- 22077240
TI - Embryonic exposure to dimethoate and/or deltamethrin impairs sexual development
and programs reproductive success in adult male offspring mice.
AB - Pesticides can be toxic to desirable plants and animals, including humans. The
aim of this study was to investigate the reproductive effects of low doses of
pesticides on male offspring of exposed pregnant mice. Three groups of five
female mice were treated daily by oral gavage with dimethoate (5 mg kg(-1) per
day), deltamethrin (5 mg kg(-1) per day) and their mixture at 5 mg kg(-1) per day
from day 3 to day 21 of pregnancy. Fertility, sexual behaviour and a number of
reproductive endpoints, such as organ weights, sperm evaluations and testicular
histology, were examined on four adult male offspring of exposed pregnant mice.
When compared with control, a dose of deltamethrin 5 mg kg j(-1) causes a
decrease in the absolute and relative weight of the testes of exposed mice and it
affects their fertility by reducing the density, mobility and vitality of sperm
and increasing the number of abnormal forms of these cells (P <= 0.01). The same
results were obtained in mice exposed to a dose of 5 mg kg j(-1) combination of
dimethoate and deltamethrin. This study demonstrated that deltamethrin and
combination of dimethoate and deltamethrin caused a decrease in the absolute and
relative weight of the testes, which affected the sperm parameters of male
offspring of exposed mice to a low dose of these pesticides during pregnancy.
PMID- 22077241
TI - Hollow fiber membrane decorated with Ag/MWNTs: toward effective water
disinfection and biofouling control.
AB - The currently applied disinfection methods during water treatment provide
effective solutions to kill pathogens, but also generate harmful byproducts,
which are required to be treated with additional efforts. In this work, an
alternative and safer water disinfection system consisting of silver
nanoparticle/multiwalled carbon nanotubes (Ag/MWNTs) coated on a
polyacrylonitrile (PAN) hollow fiber membrane, Ag/MWNTs/PAN, has been developed.
Silver nanoparticles of controlled sizes were coated on polyethylene glycol
grafted MWNTs. Ag/MWNTs were then covalently coated on the external surface of a
chemically modified PAN hollow fiber membrane to act as a disinfection barrier. A
continuous filtration test using E. coli containing feedwater was conducted for
the pristine PAN and Ag/MWNTs/PAN composite membranes. The Ag/MWNT coating
significantly enhanced the antimicrobial activities and antifouling properties of
the membrane against E. coli. Under the continuous filtration mode using E. coli
feedwater, the relative flux drop over Ag/MWNTs/PAN was 6%, which was
significantly lower than that over the pristine PAN (55%) at 20 h of filtration.
The presence of the Ag/MWNT disinfection layer effectively inhibited the growth
of bacteria in the filtration module and prevented the formation of biofilm on
the surface of the membrane. Such distinctive antimicrobial properties of the
composite membrane is attributed to the proper dispersion of silver nanoparticles
on the external surface of the membrane, leading to direct contact with bacterium
cells.
PMID- 22077242
TI - Dialkyl phosphates as biomarkers of organophosphates: the current divide between
epidemiology and clinical toxicology.
AB - CONTEXT: Organophosphate insecticides are widely utilized throughout the world.
The cholinergic toxidrome, resulting from cholinesterase inhibition, is the
clinically relevant endpoint in organophosphate poisoning. In recent years,
urinary dialkyl phosphates (DAPs) have emerged as a common method of assessing
exposure to organophosphates in epidemiological investigations. Using dialkyl
phosphates as biomarkers of exposure to organophosphates, several recent
epidemiological studies have reported associations with adverse health outcomes.
The purpose of this article is to review the application and limitations of
urinary DAPs as biomarkers of exposure to organophosphate insecticides. METHODS:
A literature search was conducted of the PubMed database, using keywords
"dialkylphosphate" and "dialkyl phosphate." The scientific literature was
reviewed to identify sources of dialkyl phosphate metabolites from in vivo
metabolism of organophosphates, and as environmental degradation products.
Epidemiological investigations were reviewed to summarize the use of use of DAPs
as biomarkers in cross-sectional studies, occupational exposures, acute
poisonings, and in health outcome studies. Emphasis was placed on the assessment
of DAPs in the context of existing biomarker frameworks, as defined by the
National Research Council. Studies were assessed for concurrent use of
cholinesterase activity as a biomarker of effect, and whether a dose-response
relationship could be determined between DAPs and cholinesterase depression or
cholinergic effects. RESULTS: Over 184 publications were identified, describing
dialkyl phosphates and their use as biomarkers of exposure. The in vivo
metabolism of organophosphates yields different DAPs, depending upon whether they
undergo bioactivation or detoxification. The detection of urinary DAPs does not
provide specificity with respect to the organophosphate from which they were
derived, or their toxicological potency. Several recent studies documented the
common presence of DAPs in residential environments and foods. Experimental
studies support that DAPs have significant oral bioavailability, and undergo
little to no metabolism prior to urinary excretion. Cross-sectional studies in
multiple countries confirm that urinary DAPs are commonly detectable in the
general population. No occupational studies were identified supporting a dose
response relationship between DAPs and significant cholinesterase inhibition. No
occupational studies were identified supporting evidence of a threshold level of
DAPs excretion at which clinical cholinergic signs or symptoms have been
observed. Recent prospective epidemiological studies using DAPs as biomarkers
have not concurrently assessed effects on cholinesterase activity, or conducted
analyses that distinguish different DAPs that reflect bioactivation versus
detoxification pathways. DISCUSSION: There are numerous limitations to the use of
DAPs as biomarkers of exposure. These include a lack of specificity with respect
to the organophosphate from which they were derived, and a growing body of
evidence that toxicologically irrelevant DAPs are commonly encountered in food
and the environment. Substantial intra- and inter-day variability has been
reported for dialkyl phosphate excretion in humans, which is problematic for
studies that rely on single measurements to assess exposure. The toxicological
distinction between different DAPs reflecting biomarkers of activation and
detoxification processes has not been considered in some prospective
epidemiological studies. A relationship between DAPs as biomarkers of exposure
and the critical biomarker of effect, cholinesterase activity, has not been
established. CONCLUSIONS: The science of exposure assessment using DAPs as
biomarkers is not advancing, and this complicates the interpretation of
epidemiological studies. At the current time, DAPs have very limited utility in
clinical toxicology or in the risk assessment process for organophosphates. Until
these limitations are addressed, the appropriate role of DAPs in the assessment
of human health risks from organophosphates is unclear.
PMID- 22077243
TI - Use of the molecular adsorbent recirculating system (MARSTM) for the management
of acute poisoning with or without liver failure.
AB - INTRODUCTION: There is an increasing interest in recent developments in
bioartificial and non-bioartificial devices, so called extracorporeal liver
assist devices, which are now used widely not only to increase drug elimination,
but also to enhance the removal of endogenous substances in acute liver failure.
Most of the non-bioartificial techniques are based on the principle of albumin
dialysis. The objective is to remove albumin-bound substances that could play a
role in the pathophysiology of acute liver failure by dialysing blood against an
albumin-containing solution across a high flux permeable membrane. The most
widely used device is the Molecular Adsorbent Recirculating System (MARSTM).
METHODS: The relevant English and French literature was identified through
Medline using the terms, 'molecular adsorbent recirculating system', 'MARS',
'acute liver failure', 'acute poisoning', 'intoxication'. This search identified
139 papers of which 48 reported on a toxic cause for the use of MARSTM. Of these
48 papers, 39 specified the substance (eighteen different substances were
identified); two papers reported on the same group of patients. BIOARTIFICIAL AND
NON-BIOARTIFICIAL SYSTEMS: Bioartificial systems based on porcine hepatocytes
incorporated in the extracorporeal circuit are no longer in use due to the
possibility of porcine retroviral transmission to humans. Historically,
experience with such devices was limited to a few cases of paracetamol poisoning.
In contrast, an abundant literature exists for the non-bioartificial systems
based on albumin dialysis. The MARSTM has been used more widely than other
techniques, such as the one using fractionated plasma separation and adsorption
(PrometheusTM). All the extracorporeal liver assist devices are able to some
extent to remove biological substances (ammonia, urea, creatinine, bilirubin,
bile acids, amino acids, cytokines, vasoactive agents) but the real impact on the
patient's clinical course has still to be determined. Improvement in
cardiovascular or neurological dysfunction has been shown both in acute liver
failure and acute-on-chronic liver failure but no impact on mortality has been
reported. ACUTE POISONING WITH LIVER FAILURE: Randomized controlled trials are
very limited in number and patients poisoned by paracetamol or Amanita phalloides
are usually included for outcome analysis in larger groups of acute liver failure
patients. Initial results look promising but should be confirmed. Beyond its
effect in liver failure, MARSTM could also enhance the elimination of the drug or
toxin responsible for the failure, as is described with paracetamol. ACUTE
POISONING WITHOUT LIVER FAILURE: Extracorporeal liver assist devices have also
been used to promote elimination of drugs that are highly protein bound. Data in
various case reports confirm a high elimination of phenytoin, theophylline and
diltiazem. However, definite conclusions on the toxicokinetic or clinical
efficacy cannot be drawn. CONCLUSIONS: Despite the lack of large multicentre
randomized trials on the use of MARSTM in patients with acute liver failure, the
literature shows clinical and biological benefit from this technique. In drug or
toxin-induced acute liver failure, such as paracetamol or mushroom poisoning,
MARSTM has been used extensively, confirming in a non-randomized fashion, the
positive effect observed in the larger population of acute liver failure
patients. Furthermore, as MARSTM has been shown in experimental studies to remove
protein-bound substances, it is potentially a promising treatment for patients
with acute poisoning from drugs that have high protein-binding capacity and are
metabolized by the liver, especially, if they develop liver failure
concomitantly.
PMID- 22077244
TI - Implications of the new EU legislation on chemicals for Poisons Centres.
PMID- 22077245
TI - Interactive voice response systems for medication identification requests: poison
or cure?
AB - Interactive voice response systems (IVR) have traditionally been used by banking
and credit card industries to rapidly process information requests for their
customers. Today IVR technology is being used in clinical medicine to randomize
patients in clinical studies, to collect patient data, and to follow-up on
recently discharged patients. Use of IVR systems by poison centers is relatively
new. This commentary explores the advantages and disadvantages of applying IVR
technology to the medication identification requests in poison centers.
PMID- 22077246
TI - Assessment of the severity of organophosphate (fenitrothion) poisoning based on
its serum concentration and clinical parameters.
AB - BACKGROUND: Fenitrothion (MEP) is the most frequent cause of organophosphate
pesticides (OP) poisoning in Japan, but clinical parameters to predict its
severity remain uncertain. METHOD: We evaluated 26 cases (12 males and 14
females) of MEP poisoning brought to our critical care center. Regarding acute
lung injury (ALI) as a hallmark complication leading to poor recovery, we divided
patients into two groups: cases without ALI (Grp1, n = 14), and cases who
developed ALI (Grp2, n = 12) at various points after the poisoning. Serial
changes in clinical parameters and laboratory test results were compared between
them. RESULTS: The median MEP concentrations on arrival (min~max) for Grp1 and
Grp2 were 2.3 (0.5-5.1) and 4.6 (1.1-14.0) MUg/ml, respectively. Serum pseudo
cholinesterase (PChE) levels on arrival were 21(< 10-59) U/L in Grp1 and < 10 in
Grp2. Based on individual patient kinetics, we estimated MEP concentration at 2
and 24 hours after ingestion, and determined cutoff values for differentiating
the two groups for each time point as 4.0 MUg/ml and 0.5 MUg/ml, respectively. By
logistic regression analysis, two groups were distinguished with accuracy of
92.3% based on their time of arrival after ingestion and initial MEP
concentration. Clinical parameters associated with ALI were days with miosis,
days with PChE below 100 U/L, and days requiring administration of atropine.
CONCLUSION: The severity of MEP poisoning is closely associated with both time to
presentation after ingestion and initial MEP concentration. Serial monitoring of
MEP concentrations in the first 24 hours is also useful in predicting the
clinical course.
PMID- 22077247
TI - Prediction of prognosis in acute paraquat poisoning using severity scoring system
in emergency department.
AB - OBJECTIVE: The aim of this study was to validate and compare the performance of
serum paraquat level, severity index of paraquat poisoning (SIPP), Acute
Physiology And Chronic Health Evaluation II (APACHE II), modified Simplified
Acute Physiology Score II (MSAPS II), and modified Expanded Simplified Acute
Physiology Score II (MSAPS IIe) calculated immediately after arrival on emergency
department (ED) for assessing the mortality of acute paraquat poisoning. METHODS:
A retrospective study design was employed with the main outcome measure being
mortality from year 2001 to 2010. MSAPS II and MSAPS IIe were employed in that
assessment of the 24-hour urine output were not included. The performance of
APACHE II, MSAPS II, MSAPS IIe, serum paraquat level and SIPP for prediction of
mortality in acute paraquat poisoning were compared. RESULTS: A total of 102
patients were enrolled in the study. The area under the ROC curve for APACHE II
(0.800) was statistically lower than those for MSAPS II, MSAPS IIe, SIPP and
serum paraquat (0.879, 0.893, 0.924,and 0.951, respectively). The Hosmer-Lemeshow
goodness-of-fit test C statistic revealed that APACHE II, MSAPS II, MSAPS IIe and
serum paraquat level showed good calibrations (chi-square 8.477 and p = 0.388,
chi-square 4.614 and p = 0.798, chi-squared 5.301 and p = 0.725, chi-squared
1.009 and p = 0.985 respectively), but poor calibration for SIPP (chi-square
21.293 and p = 0.006). CONCLUSION: Serum paraquat level is still the most
reliable prognosis factor in acute paraquat poisoning. But MSAPS II or MSAPS IIe
calculated immediately after arrival on ED may be helpful to predict mortality in
acute paraquat poisoning especially when hospital has no facility to measure
serum paraquat level.
PMID- 22077236
TI - Intensive diabetes therapy and glomerular filtration rate in type 1 diabetes.
AB - BACKGROUND: An impaired glomerular filtration rate (GFR) leads to end-stage renal
disease and increases the risks of cardiovascular disease and death. Persons with
type 1 diabetes are at high risk for kidney disease, but there are no
interventions that have been proved to prevent impairment of the GFR in this
population. METHODS: In the Diabetes Control and Complications Trial (DCCT), 1441
persons with type 1 diabetes were randomly assigned to 6.5 years of intensive
diabetes therapy aimed at achieving near-normal glucose concentrations or to
conventional diabetes therapy aimed at preventing hyperglycemic symptoms.
Subsequently, 1375 participants were followed in the observational Epidemiology
of Diabetes Interventions and Complications (EDIC) study. Serum creatinine levels
were measured annually throughout the course of the two studies. The GFR was
estimated with the use of the Chronic Kidney Disease Epidemiology Collaboration
formula. We analyzed data from the two studies to determine the long-term effects
of intensive diabetes therapy on the risk of impairment of the GFR, which was
defined as an incident estimated GFR of less than 60 ml per minute per 1.73 m(2)
of body-surface area at two consecutive study visits. RESULTS: Over a median
follow-up period of 22 years in the combined studies, impairment of the GFR
developed in 24 participants assigned to intensive therapy and in 46 assigned to
conventional therapy (risk reduction with intensive therapy, 50%; 95% confidence
interval, 18 to 69; P=0.006). Among these participants, end-stage renal disease
developed in 8 participants in the intensive-therapy group and in 16 in the
conventional-therapy group. As compared with conventional therapy, intensive
therapy was associated with a reduction in the mean estimated GFR of 1.7 ml per
minute per 1.73 m(2) during the DCCT study but during the EDIC study was
associated with a slower rate of reduction in the GFR and an increase in the mean
estimated GFR of 2.5 ml per minute per 1.73 m(2) (P<0.001 for both comparisons).
The beneficial effect of intensive therapy on the risk of an impaired GFR was
fully attenuated after adjustment for glycated hemoglobin levels or albumin
excretion rates. CONCLUSIONS: The long-term risk of an impaired GFR was
significantly lower among persons treated early in the course of type 1 diabetes
with intensive diabetes therapy than among those treated with conventional
diabetes therapy. (Funded by the National Institute of Diabetes and Digestive and
Kidney Diseases and others; DCCT/EDIC ClinicalTrials.gov numbers, NCT00360815 and
NCT00360893.).
PMID- 22077249
TI - A regional poison information center IVR medication identification system: does
it accomplish its goal?
AB - BACKGROUND: The 2009 AAPCC NPDS report identified 1,057,632 medication
identification requests to poison information centers. This represents 24.7% of
all calls to US poison information centers. To reduce the impact of medication
identification requests on a poison information center, a regional poison
information center developed and implemented an automated medication
identification system that utilized an interactive voice response (IVR) system.
The objective of this project was to describe how the IVR affected the regional
poison information center medication identification request call volume and
workload of the staff. METHODS: All documented medication identification request
inquiries from January 1, 2007 through June 30, 2011 were extracted from the RPIC
Visual Dotlab electronic medical record system. Descriptive statistics, presented
as means, were used to characterize the monthly call volume inquiries. RESULTS:
Over the 18 months (January, 2007 to June, 2008) preceding the implementation of
the IVR medication identification request system, a mean of 4,389.6 medication
identification requests per month required manual electronic documentation by
SPI. In the immediate 12 months (August, 2008 to July, 2009) following the IVR
medication identification request system implementation, a mean of 2132.6
inquiries per month (54% reduction) were managed by the IVR. During the 12 month
period of July, 2010 through June, 2011, the combined monthly mean of medication
identification requests documented by SPI and the IVR decreased to a total of
686.7 compared to the mean pre-implementation monthly total of 4,389.6.
CONCLUSIONS: The IVR medication identification request system was successful in
reducing the number of medication identification requests that required manual
electronic documentation by SPI and freed up a substantial amount of time for SPI
to perform other critical patient care-related responsibilities. The enhanced
technology that was implemented to improve efficiency came with the unintended
consequence of discouraging the public from using the RPIC medication
identification service as extensively.
PMID- 22077248
TI - Clinical and analytical features of severe suicidal quetiapine overdoses--a
retrospective cohort study.
AB - CONTEXT: Detailed data on severe overdoses with quetiapine are relatively
sparsely reported in the literature. OBJECTIVE: To describe a cohort of 20 acute
quetiapine overdoses and provide additional data on the pharmacokinetics and
clinical features of intoxication with this drug. MATERIAL AND METHODS: A
retrospective study was conducted on patients with quetiapine poisoning admitted
to our institution. We included moderate to severe overdoses between 2005-2011
who required admission to ICU. RESULTS: Predominantly female patients (n = 17)
ingested a median dose of 9.8 g quetiapine. Poison Severity Score was moderate in
9 patients, severe in 10 patients and in one case fatal. Quetiapine was
analytically confirmed in all cases. Clinical manifestations included drowsiness
or coma (all patients), tachycardia (12 patients) and hypotension (10 patients).
Seizures and arrhythmia occurred in 4 patients, each. Intubation and mechanical
ventilation was required in 14 patients due to seizures, respiratory depression
or loss of airway protection and 15 patients developed pneumonia. Hypokalaemia
and hyperglycaemia were present at admission in 10 and 5 patients, respectively.
Despite frequent prolongation of the QT(c) in 13 patients, QT interval was normal
in most cases and QRS-interval was prolonged in only one patient. Presumably
anticholinergic delirium was recognised in 8 patients and 6 patients received
physostigmine with good clinical response. In 13 cases quetiapine was analysed
quantitatively in serum with a relevantly prolonged half-life (16 +/- 12 h) and a
median peak serum concentration of 3074 ng/mL. In 4 of these 13 patients we
observed an increase of quetiapine serum concentration in the further course.
CONCLUSION: In this study, quetiapine overdoses were associated with significant
toxicity and a fairly high number of complications. A careful and often prolonged
clinical observation in the more severe cases of overdose seems mandatory.
PMID- 22077250
TI - Cannabis body packing: two case reports.
AB - INTRODUCTION: Body packing is a well-known means of narcotic carriage across
international borders. The most common drugs carried are cocaine and heroin. CASE
DESCRIPTIONS: We describe 2 cases of cannabis body packing which occurred the
same year in the South of France, one with complications: a 45-year-old male went
to emergency for abdominal pain. A plain abdominal x-ray revealed multiple
foreign bodies in the gastrointestinal tract. It was confirmed by abdominal CT.
The laparatomy confirmed peritonitis secondary to colonic perforation, and 34
filled condoms packages were extracted. After calling poison centre,
toxicological analysis was performed on one package. The resin wrapped in
cellophane contained 15% tetrahydrocannabinol (THC). The patient was discharged
on day 12. DISCUSSION/CONCLUSION: Cannabis body packing is rarely reported, and
the only known complications have a mechanic etiology. Plain abdominal x-ray is
the best method for detection and it can be confirmed by abdominal CT and
toxicological analysis. Cannabis is the most important illicit drug used in the
word. Also cannabis body packing is probably underestimated. Health care
practitioners should be aware of the possibility of body packing when someone
coming back from abroad complains of abdominal pain.
PMID- 22077251
TI - Severe acute cardiomyopathy associated with venlafaxine overdose and possible
role of CYP2D6 and CYP2C19 polymorphisms.
AB - INTRODUCTION: Venlafaxine (VEN) is a serotonin-norepinephrine-dopamine reuptake
inhibitor that causes usually a mild cardiotoxicity when ingested in overdose. We
report a patient who developed acute heart failure following overdose. As the
toxicokinetic data suggested a prolonged metabolism, genetic polymorphisms for
cytochrome P450 isoenzymes CYP2D6 and CYP2C19 were also investigated. CASE
REPORT: A 34-year-old woman was admitted to the hospital 10 hours after the
ingestion of an 11.25 g overdose of VEN. She was comatose and suffered two self
limited seizures. The electrocardiogram showed diffuse ST segment depression, but
normal QRS and QTc duration. The plasma levels on admission were 18,015 and 3,846
ng/ml for VEN and the metabolite O-desmethylvenlafaxine (ODV), respectively. The
patient developed severe cardiodepression. The left ventricular shortening
fraction was only 9% on echocardiography. The patient was oliguric and required
continuous venovenous hemofiltration. The administration of milrinone was
required for 12 days, and norepinephrine for 10 days. Left ventricular function
recovered. The calculated elimination half-life was 30.8 and 72.2 hours for VEN
and ODV, respectively. The patient genotype was CYP2D6*1/*5, the *5 allele
corresponding to a complete deletion of CYP2D6 gene. CONCLUSIONS: Severe and
sustained cardiotoxicity following VEN overdose may be related to the amount
ingested, as well as to the genetic polymorphism for CYP2D6 leading to a delayed
elimination of active metabolite.
PMID- 22077252
TI - A fatal case of flufenoxuron-containing insecticide poisoning complicated by
lactic acidosis, shock, abdominal compartment syndrome.
PMID- 22077253
TI - Further case details regarding IV N-acetylcysteine overdoses.
PMID- 22077255
TI - Transvaginal removal of ectopic pregnancy tissue and repair of uterine defect for
caesarean scar pregnancy.
PMID- 22077257
TI - Comparison of perinatal outcomes of shoulder dystocia alleviated by different
type and sequence of manoeuvres: a retrospective review.
PMID- 22077260
TI - Complications after surgery for deeply infiltrating pelvic endometriosis.
PMID- 22077261
TI - Prostaglandin E2 vaginal gel or tablets for the induction of labour at term: a
randomised controlled trial.
PMID- 22077262
TI - Prostaglandin E2 vaginal gel or tablets for the induction of labour at term: a
randomised controlled trial.
PMID- 22077266
TI - Editors' choice.
PMID- 22077267
TI - Evaluation of cost savings with ferric carboxymaltose in anemia treatment through
its impact on erythropoiesis-stimulating agents and blood transfusion: French
healthcare payer perspective.
AB - OBJECTIVE: To evaluate the economic impact of intravenous iron (in the form of
intravenous iron preparation of ferric carboxymaltose) in three different
clinical settings of iron deficiency anemia: chemotherapy-induced anemia in
breast cancer, chemotherapy-induced anemia in digestive cancer, and perioperative
anemia in knee and hip surgery. METHODS: The economic model compared the usual
therapeutic strategies of anemia without intravenous iron and strategies
including intravenous iron, in each of the three clinical settings selected.
Costs related to anemia treatment by erythropoiesis-stimulating agents (ESA),
blood transfusion, and intravenous iron were estimated and compared inside each
setting. Cost savings were calculated from the French healthcare payer
perspective. Data included in the economic model were obtained from scientific
literature, public health agencies, and medical experts. RESULTS: The most
prominent annual cost savings were observed in chemotherapy-induced anemia in
breast cancer (?997 and ?360 per patient for metastatic and non-metastatic breast
cancers, respectively; global cost saving, ?33.6 million). This large impact of
intravenous iron on costs was mainly explained by both a lower number of women
treated and lower ESA dosing. Mean annual cost saving in digestive cancers and
knee and hip surgery were estimated to ?168 and ?216 per patient and global cost
savings of ?7.5 and ?12.1 million, respectively. Overall, annual cost savings in
these three settings were estimated to ?53 million including ?39 million for ESA
cost savings. Sensitivity analysis showed that strategies including intravenous
iron remained cost-effective even with wide variations in the assumptions,
particularly for cost savings on ESA. LIMITATIONS: Economic model based on
literature data and expert opinions. CONCLUSIONS: The present economic model
suggests that use of intravenous iron, according to recommendations of
international guidelines, is cost saving, particularly in chemotherapy-induced
anemia in breast cancers.
PMID- 22077268
TI - Synthesis and anti-tumour activities of sulphated polysaccharide obtained from
Momordica charantia.
AB - A native polysaccharide (MCP2) was extracted and isolated from Momordica
charantia. Four sulphated derivatives of MCP2 were prepared by chlorosulphonic
acid method. The structures of the sulphated derivatives were characterised by FT
IR spectra. Depending on the reaction conditions, the sulphated derivatives
showed different degree of substitution (DS) ranging from 0.56 to 1.10, and
different weight-average molecular mass (Mw) ranging from 7.2 to 9.3 KDa. It
implied the efficient substitution of hydroxyl groups in the polysaccharides by
sulphated groups with degradation. The effects of the sulphated derivatives on
inhibiting the growth of HepG2 cells and Hela cells in vitro were compared with
taking non-modified MCP2 as control. The sulphated derivatives inhibited the
growth of HepG2 cells and Hela cells in vitro significantly, which indicated that
sulphated modification could enhance the anti-tumour activity of MCP2.
PMID- 22077269
TI - Acute kidney injury in a paediatric intensive care unit: comparison of the pRIFLE
and AKIN criteria.
AB - AIM: The purpose of our study was to evaluate and analyse the prevalence and
association of acute kidney injury (AKI) as defined by paediatric Risk, Injury,
Failure, Loss of kidney function and End-stage kidney disease (pRIFLE) and Acute
Kidney Injury Network (AKIN) classifications in a paediatric intensive care unit
(PICU). METHODS: A prospective analysis of all patients that were admitted to our
PICU between June 2009 and December 2010 was performed. Patients were classified
according to AKIN and pRIFLE criteria. RESULTS: One hundred and eighty-nine
patients (mean age 45.9 +/- 54.7 months; 110 male, 79 female) were enrolled.
Sixty-three (33.3%) patients developed AKI by AKIN criteria and 68 (35.9%)
patients developed AKI by pRIFLE criteria. All patients that had AKI according to
AKIN criteria also had this diagnosis with pRIFLE criteria. Five patients had
developed AKI only according to pRIFLE classification, four of them owing to
reduction in their estimated creatinine clearance and one of them owing to
changes over 1-week period. The mean length of PICU stay was longer, need for
mechanical ventilation and mortality rates were higher in patients with AKI when
compared to patients without AKI. CONCLUSION: Although both pRIFLE and AKIN
criteria were very helpful in the detection of patients with AKI even in the
early stages of it, pRIFLE seems to be more sensitive in paediatric patients.
PMID- 22077270
TI - Perspectives on family and fertility in developing countries.
AB - Abstract Two aspects of the family in relation to fertility in developing
countries are discussed: set stratification within the family and extended family
networks. As both these are central to J. C. Caldwell's theory of fertility
transition, the paper is structured as a critique of his position. Drawing on
examples and data from Asia, it is argued that the causal significance of sex
stratification for fertility lies in the economic risks it imposes on women,
deriving from their dependence on men, rather than, as Caldwell suggests, in the
disproportionate gain that men derive from their dominant position within
families. While Caldwell and others associate strong extended family networks of
mutual obligation and support with persistent high fertility, it is argued here
that such systems should, instead, facilitate fertility decline. Close-knit and
strong kin networks can be viewed as alternatives to children as sources of
insurance, and may facilitate fertility decline by preventing children from
becoming the focal point of parental concerns for security.
PMID- 22077271
TI - Child mortality and reproductive behaviour in German village populations in the
past: A micro-level analysis of the replacement effect.
AB - Abstract Reproductive histories of couples married during the eighteenth and
nineteenth centuries in a sample of 14 German villages are analysed in order to
answer several questions regarding the relationship between child mortality and
reproductive behaviour. An effort is made through selection of cases and use of
multiple classification analysis to eliminate or control non-volitional or
otherwise confounding influences on the relationship between a couple's
experience with child mortality and their fertility. The results do not provide a
decisive answer to the question of whether, under a regime of otherwise presumed
natural fertility, previous experience of child mortality affected subsequent
reproductive behaviour. The evidence was much clearer in indicating that
behaviour consistent with replacement efforts emerged or strengthened as family
limitation spread. Finally, the results indicated that though it was not
necessary for overall child mortality to decline before family limitation
practices were adopted, couples with the most favourable child mortality
experience were most likely to practise family limitation and to reduce their
fertility. Child mortality appeared at least to impede, if not totally prevent,
efforts to reduce the number of children ever born or to cease childbearing at an
earlier age or at a given parity.
PMID- 22077272
TI - Fertility, union status and partners in the WFS Guyana and Jamaica Surveys, 1975
1976.
AB - Abstract In this paper differences in the level of fertility are examined
according to women's union status and their number mf partners. A number of
approaches are used to analyse these relationships: differentials by current
union status, the pattern of union history, and a measure of the sum synthetic
composed of periods spent in each type of union, are discussed. The trend in
these differentials is also analysed; using earlier surveys and census data
forcomparison, we find that union status differentials have changed noticeably
since the 1950s. Fertility differentials by number of partners (or the second
measure proposed - number of dissolutioom) are also analysed. The data suggest
that the long-existing interrelationship between union history and number of
partners has now resulted in changing union status differentials within the new
context of increasing use of contraception.
PMID- 22077273
TI - Abortion and contraception in the Korean fertility transition.
AB - Abstract In this paper the relationship between the use of abortion and
contraception among married women in a society experiencing uronid decline in
fertility is analysed using data from the Korean National Fertility Survey of
1974. The level and pattern of abortion before and after modern contraceptives
became widely available are described, and the implications of the changing
patterns of abortion and contraception are discussed.
PMID- 22077274
TI - Mortality in Ghana: Evidence from the cape coast project data.
AB - Abstract This paper deals with the estimation of mortality for a rural
community of about 20,000 persons in the rain-forest area of south-west Ghana.
Specifically, infant, child and adult mortality estimates have been obtained by
the application of a wide range of direct and indirect methods of measuring
mortality from the different statistics collected by a longitudinal mortality and
fertility project conducted during 1974-7. It was noted that infant and childhood
mortality rates obtained from death registrations were consistent with those
rates yielded by pregnancy histories and child survival statistics. However, the
adult mortality estimates derived from orphanhood statistics tended to be lower
than those suggested by death registrations. The analysis revealed an infant
mortality rate of 100 for boys and 84 for girls, equal childhood mortality rates
for boys and girls (85-6), a lower expectation of life at birth for men (45.8
years) than for women (52.8), and a much more severe incidence of mortality among
men aged over 40 than for women at the corresponding ages.
PMID- 22077275
TI - Advances in the P/F ratio method for the analysis of birth histories.
AB - Abstract One of the most frequently used indirect techniques for deriving
estimates of recent fertility from simple questions in censuses and surveys is
the 'P/F ratio' method. Availability of detailed birth-history data, as in the
World Fertility Survey, and applications of the P/F procedure as a diagnostic
tool in the evaluation of the quality of data have led to simplifications and
extensions of the original method. This analysis illustrates that when complete
maternity histories are available, the P/F procedure can be simplified and made
more powerful by (1) calculation of P/F values from cohort-period fertility rates
and (2) use of two further indexing variables, namely duration since first
marriage and duration since first birth, in addition to age. More generally, the
paper indicates that a set of P/F values is only one of a battery of measures
which aid in the analysis of trends and errors in data from maternity histories.
Illustrative examples are given from various analyses of world Fertility Survey
data. Howard Goldberg has been independently pursuing an investigation of the
P/F procedure by marriage duration at the Office of Population Research
(Princeton University), and we have profited from recent discussions with him. We
would also like to acknowledge useful comments and criticisms on earlier drafts
from James Trussell and Kenneth Hill.
PMID- 22077276
TI - A simple equation for estimating the expectation of life at old ages.
AB - Abstract There is much direct and indirect evidence that in a number of
populations the ages of older persons tend to be exaggerated, both when reported
in censuses and in records of deaths. This results in overestimated expectations
of life at old ages. The bias may be corrected by estimating the expectation of
life at age a, e(a), from the mortality rate and growth rate at age a and above,
M(a+) and r(a+), using the equation developed in this paper: 1/e(a) = M(a+) exp
(beta . r(a+). M(a+)(-alpha)). For a ?, 65, alpha = 1.4 and beta = 0.0951 have
been chosen. The value of the equation rests on the following: since ages of
older persons tend to be exaggerated, there may be an age a such that most age
transfer occurs above that age, and age transfer across the age is small or
cancels, so that reasonably accurate values of M(a+) and r(a +) can be obtained,
even though ages are badly reported above a. The analysis of artificial data on
Gompertzian stable popultions aged over 50 and actual statistics for some
selected populations has suggested that the equation provides quite accurate
estimates of e(a). The equation also seems useful in closing life tables, since
it provides a value of e(a) for the highest age group.
PMID- 22077279
TI - Accelerated hematopoietic toxicity by high energy (56)Fe radiation.
AB - PURPOSE: There is little information on the relative toxicity of highly charged
(Z) high-energy (HZE) radiation in animal models compared to gamma or X-rays, and
the general assumption based on in vitro studies has been that acute toxicity is
substantially greater. METHODS: C57BL/6J mice were irradiated with (56)Fe ions (1
GeV/nucleon), and acute (within 30 d) toxicity compared to that of gamma rays or
protons (1 GeV). To assess relative hematopoietic and gastrointestinal toxicity,
the effects of (56)Fe ions were compared to gamma rays using complete blood count
(CBC), bone marrow granulocyte-macrophage colony forming unit (GM-CFU), terminal
deoxynucleotidyl transferase dUTP nick end labeling (TUNEL) assay for apoptosis
in bone marrow, and intestinal crypt survival. RESULTS: Although onset was more
rapid, (56)Fe ions were only slightly more toxic than gamma rays or protons with
lethal dose (LD)(50/30) (a radiation dose at which 50% lethality occurs at 30
day) values of 5.8, 7.25, and 6.8 Gy, respectively, with relative biologic
effectiveness for (56)Fe ions of 1.25 and 1.06 for protons. CONCLUSIONS: (56)Fe
radiation caused accelerated and more severe hematopoietic toxicity. Early
mortality correlated with more profound leukopenia and subsequent sepsis. Results
indicate that there is selective enhanced toxicity to bone marrow progenitor
cells, which are typically resistant to gamma rays, and bone marrow stem cells,
because intestinal crypt cells did not show increased HZE toxicity.
PMID- 22077280
TI - An efficient route to tetrahydroindeno[2,1-b]pyrroles via a base-promoted
reaction of (E)-2-alkynylphenylchalcone with 2-isocyanoacetate.
AB - A base-promoted cascade reaction of (E)-2-alkynylphenylchalcone with 2
isocyanoacetate provides a novel and efficient route for the synthesis of
tetrahydroindeno[2,1-b]pyrroles. The reaction proceeds smoothly in air under mild
conditions with high efficiency.
PMID- 22077281
TI - DNA concentration modulation on supported lipid bilayers switched by surface
acoustic waves.
AB - Spatially addressable arrays of molecules embedded in or anchored to supported
lipid bilayers are important for on-chip screening and binding assays; however,
methods to sort or accumulate components in a fluid membrane on demand are still
limited. Here we apply in-plane surface acoustic shear waves (SAWs) to laterally
accumulate double-stranded DNA segments electrostatically bound to a cationic
supported lipid bilayer. The fluorescently labeled DNA segments are found to
segregate into stripe patterns with a spatial frequency corresponding to the
periodicity of the standing SAW wave (~10 MUm). The DNA molecules are accumulated
10-fold in the regions of SAW antinodes. The superposition of two orthogonal sets
of SAW sources creates checkerboard like arrays of DNA demonstrating the
potential to generate arrayed fields dynamically. The pattern relaxation time of
0.58 s, which is independent of the segment length, indicates a sorting and
relaxation mechanism dominated by lipid diffusion rather than DNA self-diffusion.
PMID- 22077282
TI - Cosolute paramagnetic relaxation enhancements detect transient conformations of
human uracil DNA glycosylase (hUNG).
AB - The human DNA repair enzyme uracil DNA glycosylase (hUNG) locates and excises
rare uracil bases that arise in DNA from cytosine deamination or through dUTP
incorporation by DNA polymerases. Previous NMR studies of hUNG have revealed
millisecond time scale dynamic transitions in the enzyme-nonspecific DNA complex,
but not the free enzyme, that were ascribed to a reversible clamping motion of
the enzyme as it scans along short regions of duplex DNA in its search for
uracil. Here we further probe the properties of the nonspecific DNA binding
surface of {(2)H(12)C}{(15)N}-labeled hUNG using a neutral chelate of a
paramagnetic Gd(3+) cosolute (Gd(HP-DO3A)). Overall, the measured paramagnetic
relaxation enhancements (PREs) on R(2) of the backbone amide protons for free
hUNG and its DNA complex were in good agreement with those calculated based on
their relative exposure observed in the crystal structures of both enzyme forms.
However, the calculated PREs systematically underestimated the experimental PREs
by large amounts in discrete regions implicated in DNA recognition and catalysis:
active site loops involved in DNA recognition (268-274, 246-250), the uracil
binding pocket (143-148, 169-170), a transient extrahelical base binding site
(214-216), and a remote hinge region (129-132) implicated in dynamic clamping.
These reactive hot spots were not correlated with structural, hydrophobic, or
solvent exchange properties that might be common to these regions, leaving the
possibility that the effects arise from dynamic sampling of exposed conformations
that are distinct from the static structures. Consistent with this suggestion,
the above regions have been previously shown to be flexible based on relaxation
dispersion measurements and course-grained normal-mode analysis. A model is
suggested where the intrinsic dynamic properties of these regions allows sampling
of transient conformations where the backbone amide groups have greater average
exposure to the cosolute as compared to the static structures. We conclude that
PREs derived from the paramagnetic cosolute reveal dynamic hot spots in hUNG and
that these regions are highly correlated with substrate binding and recognition.
PMID- 22077283
TI - Synchronous fluorescence as a rapid method for the simultaneous determination of
folic acid and riboflavin in nutritional beverages.
AB - A rapid synchronous spectrofluorimetric method was first developed for the
simultaneous determination of folic acid and riboflavin in nutrimental beverages.
Folic acid could be detected by using H(2)O(2) plus Cu(II) as oxidation system to
produce pterine-6-carboxylic acid, which had strong fluorescence in aqueous
solution, and riboflavin itself was obviously fluorescent. Various operational
parameters were thoroughly discussed in terms of their effects on the
fluorescence signals, including instrumental parameters, concentration of the
oxidation system, and pH. Under optimum conditions, the calibration curves were
linear in the ranges of 100-250 MUg/L for folic acid and 1-250 MUg/L for
riboflavin, and the detection limits were 2.0 and 0.014 MUg/L, respectively. In
addition, this method was applied to the determination of folic acid and
riboflavin in nutrimental beverages with satisfactory results.
PMID- 22077284
TI - Modeling and verification of melanin concentration on human skin type.
AB - Lasers are used in the minimalistic or noninvasive diagnosis and treatment of
skin disorders. Less laser light reaches the deeper skin layers in dark skin
types, due to its higher epidermal melanin concentration compared with lighter
skin. Laser-tissue interaction modeling software can correct for this by adapting
the dose applied to the skin. This necessitates an easy and reliable method to
determine the skin's type. Noninvasive measurement of the skin's melanin content
is the best method. However, access to samples of all skin types is often limited
and skin-like phantoms are used instead. This study's objective is to compare
experimentally measured absorption features of liquid skin-like phantoms
representing Skin Types I-VI with a realistic skin computational model component
of ASAP((r)). Sample UV-VIS transmittance spectra were measured from 370 to 900
nm and compared with simulated results from ASAP((r)) using the same optical
parameters. Results indicated nonmonotonic absorption features towards shorter
wavelengths, which may allow for more accurate ways of determining melanin
concentration and expected absorption through the epidermal layer. This suggests
possible use in representing optical characteristics of real skin. However, a
more comprehensive model and phantoms are necessary to account for the effects of
sun exposure.
PMID- 22077285
TI - Relation of high-pass filtered unipolar electrograms to bipolar electrograms
during ventricular mapping.
AB - BACKGROUND: A filtered bipolar electrogram (EG) amplitude <1.5 mV is a robust
indicator of relatively dense scar, but is influenced by the wavefront direction.
Unipolar recordings are not subject to directional influence. We hypothesized
that high-pass filtered unipolar EGs would provide similar information to bipolar
EGs, without potential errors related to wavefront direction. METHODS:
Simultaneous bipolar filtered at 30-500 Hz and unipolar (high-pass filtered at 30
Hz) signals were recorded during ventricular voltage maps in 24 patients (group
A). In five subsequent patients, low voltage surface areas were compared in
electroanatomic maps (group B). RESULTS: Of 2,789 mapping points in group A,
filtered unipolar EG amplitude correlated well with bipolar EG amplitude.
Agreement of filtered unipolar recordings in classifying sites as >1.5 mV or <1.5
mV with bipolar EG amplitude was 83%. Discordance was due to unipolar > bipolar
amplitude at 83% of the discordant sites, consistent with possible reduction of
bipolar amplitude due to direction dependence. Discordance was more frequent
during epicardial than endocardial mapping. Double potentials were more
frequently observed in bipolar than in unipolar recordings (3.2% vs 1.8%, P <
0.0001). In group B, the mean low-voltage surface area (<1.5 mV) was 70.1 +/-
48.9 cm(2) for bipolar and 61.3 +/- 52.2 cm(2) for filtered unipolar EG maps.
CONCLUSION: Direction dependent effects on bipolar EG amplitude may influence the
identification of substrate for arrhythmias. High-pass filtered unipolar EGs
might be a reasonable alternative to bipolar recordings for creation of voltage
maps.
PMID- 22077286
TI - Color tuning in binding pocket models of the chlamydomonas-type
channelrhodopsins.
AB - We examined the shift of absorption maxima between the chlamydomonas-type
channelrhodopsins (ChRs) and bacteriorhodopsin (BR). Starting from the BR X-ray
structure, we modeled the color tuning in the binding pockets of the ChRs by
mutating up to 28 amino acids in the vicinity of the chromophore. By applying the
efficient self-consistent charge density functional tight binding (SCC-DFTB)
method in a quantum mechanical/molecular mechanical (QM/MM) framework, including
explicit polarization and calculating excitation energies with the semiempirical
OM2/MRCI method and the ab initio SORCI method, we have shown that multiple
mutations in the binding pocket of BR causes large hypsochromic shifts that are
of the same order as the experimentally observed shifts of the absorption maxima
between BR and the ChRs. This study further demonstrates that mutations in the
proximity of the Schiff base and complex counterion lead to a stronger but more
flexible interaction with the retinal, which could serve as a possible
explanation for the spectral patterns found in the ChRs.
PMID- 22077287
TI - Incorporation of amphiphilic ruthenium(II) ammine complexes into Langmuir
Blodgett thin films with switchable quadratic nonlinear optical behavior.
AB - Nine nonlinear optical (NLO) chromophores with pyridinium electron acceptors have
been synthesized by complexing new proligands with {Ru(II)(NH(3))(5)}(2+)
electron-donor centers. The presence of long alkyl/fluoroalkyl chain substituents
imparts amphiphilic properties, and these cationic complexes have been
characterized as their PF(6)(-) salts by using various techniques including
electronic absorption spectroscopy and cyclic voltammetry. Each complex shows
three reversible/quasireversible redox processes; a Ru(III/II) oxidation and two
ligand-based reductions. The energies of the intense visible d -> pi* metal-to
ligand charge-transfer (MLCT) absorptions correlate to some extent with the
ligand reduction potentials. (1)H NMR spectroscopy also provides insights into
the relative electron-withdrawing strengths of the new ligands. Single crystal X
ray structures have been determined for two of the proligand salts and one
complex salt, [Ru(II)(NH(3))(5)(4-C(16)H(33)PhQ(+))]Cl(3).3.25H(2)O (PhQ(+) = N
phenyl-4,4'-bipyridinium), showing centrosymmetric packing structures in each
case. The PF(6)(-) analogue of the latter complex has been used to deposit
reproducibly high-quality, multilayered Langmuir-Blodgett (LB) thin films. These
films show a strong second harmonic generation (SHG) response from a 1064 nm
laser; their MLCT absorbance increases linearly with the number of layers (N) and
I(2omega)/I(omega)(2) (I(2omega) = intensity at 532 nm; I(omega) = intensity at
1064 nm) scales quadratically with N, consistent with homogeneous deposition. LB
films on indium tin oxide (ITO)-coated glass show electrochemically induced
switching of the SHG response, with a decrease in activity of about 50% on Ru(II)
-> Ru(III) oxidation. This effect is reversible, but reproducible over only a few
cycles before the signal from the Ru(II) species diminishes. This work
extrapolates our original solution studies (Coe, B. J. et al. Angew. Chem., Int.
Ed.1999, 38, 366) to the first demonstration of redox-switching of NLO activity
in a molecular material.
PMID- 22077288
TI - Differentiating infection from vaccination in foot-and-mouth disease.
PMID- 22077289
TI - Differentiating foot-and-mouth disease virus-infected from vaccinated animals
with baculovirus-expressed specific proteins.
AB - Abstract We had shown in preliminary studies with a small number of animals
that antibodies against 2C could be detected in cattle and pigs which had been
infected with FMDV but not in animals which had been vaccinated against the
disease. To determine whether this test was generally applicable, seta from
several hundred animals which had been vaccinated with different products in many
countries have been tested in an ELISA using baculovirus expressed 2C. Our
results show that only 1-2% of the sera gave a positive reaction by this method.
In contrast, 100% of sera from convalescent animals gave a positive reaction.
To be useful in differentiating between convalescent and vaccinated animals it is
necessary to know how long these antibodies can be detected by our ELISA. We have
determined the levels of antibodies against 2C and also other virus-specific
proteins which are present in cattle and pigs following infection with FMDV. Our
results show that levels of anti-3ABC antibodies could be detected by ELISA with
baculovirus-expressed protein up to one year after infection. In contrast, the
levels of anti-2C antibodies fell more rapidly than those against 3ABC indicating
that the latter protein may be preferable for detecting convalescent animals.
Nevertheless, we envisage that the final test format should include several virus
specific proteins to determine accurately the immune status of an animal.
PMID- 22077290
TI - Cattle response to foot-and-mouth disease virus nonstructural proteins as
antigens within vaccines produced using different concentrations.
AB - Abstract Four groups of ten nine-month-old Nelore heifers were used for this
study. Each group received one of four foot-and-mouth disease (FMD) trivalent
vaccines for the duration of the experiment. The four vaccine formulations
(Normal, 2X, 4X and 8X) differed in 140S content to determine the serological
reactivities to FMD virus (FMDV) nonstructural proteins 2C, 3ABC and 3D.
Vaccination was by the intramuscular administration of vaccine on day 0, 180 and
360. Bleedings were done at 30 days post vaccination (dpv), 90 dpv, 30 days post
revaccination (dpr), 90 dpr, and 30 days post third administration (dprr). There
was a general tendency to have higher mean 3D responses with increased vaccine
application but not with increased concentration of antigen. With 2C and 3ABC
this tendency was not seen, neither with repeated application of vaccine nor with
increased antigen concentration. All individual animal observations to 2C and
3ABC remained within three standard deviations of the average observed for naive
bovids. Percent of positive (PP) reactions was determined using an ELISA for
nonstructural proteins 2C, 3ABC and 3D expressed in baculovirus as previously
described. A value of >25 PP to 2C or 3ABC could be considered as an indication
of previous infection or of the presence of viral activity. PP results between 18
and 25 PP suggest viral activity and animals should be retested. Those responses
below 15 PP are suggestive of vaccination or naive status. As diagnosis in the
laboratory is not divorced from the field epidemiological scene, the intermediate
zone between 10 and 20 PP should be considered and acted upon according to the
overall zoosanitary situation of that country or region and the purposes of the
ongoing FMD control efforts.
PMID- 22077291
TI - Blocking ELISAs using the FMDV non-structural proteins 3D, 3AB, and 3ABC produced
in the baculovirus expression system.
PMID- 22077292
TI - Diagnostic potential of Mab-based ELISAs for antibodies to non-structural
proteins of foot-and-mouth disease virus to differentiate infection from
vaccination.
AB - Abstract This paper summarises the development of monoclonal antibody (Mab)
based immunoassays measuring antibodies to non-structural proteins of FMDV to
differentiate infection from vaccination. Of the three non-structural proteins
2C, 3C and 3ABC evaluated in this study, the polypeptide 3ABC was the most
immunogenic. Three ELISAs for the detection of antibodies to 3ABC were developed.
Two assays rely on the competition of test sera against either a anti-3A Mab or
against antisera to 3ABC raised in rabbits and guinea-pigs. The third, 3ABC Mat
ELISA, based on the direct binding of antibodies to the 3ABC trapped by a
specific Mab, provided the best combination of specificity and sensitivity. The
3ABC Mat-ELISA was extensively validated for cattle, either in experimental and
in field conditions, showing specificity of 99% in vaccinated and in naive cattle
and the capacity to detect silent infections in FMD-vaccinated populations. The
test showed similar specificity and sensitivity in experimentally vaccinated and
infected sheep.
PMID- 22077293
TI - The possible use of native foot-and-mouth disease nonstructural protein 3A in a
serological screening test.
AB - Abstract ELISA's for antibodies to non-structural proteins of foot-and-mouth
disease developed to date use recombinant proteins as antigens. To compare the
antibody response to recombinant antigen and native antigen we developed an
antigen capture ELISA for foot-and-mouth protein 3A. The concentration of 3A
protein in virus cultures was significantly higher in the cell debris than in the
supernatant, which made it possible to use proteins directly eluted from cells
separated from a virus culture using Filteraid. The antigen was trapped between
one monoclonal antibody coated to the plate, and a second monoclonal antibody
conjugated with horseradish peroxidase. The reaction of the second (conjugated)
monoclonal antibody could be blocked by several post-infection sera. Further
research has to be performed to determine whether or not this method can result
in a reproducible serological test.
PMID- 22077294
TI - Application of RT-PCR and nucleotide sequencing in foot-and-mouth disease
diagnosis.
PMID- 22077296
TI - Detection of foot-and-mouth disease by reverse transcription polymerase chain
reaction and virus isolation in contact sheep without clinical signs of foot-and
mouth disease.
AB - Summary Two non-vaccinated sheep were experimentally, infected with FMDV and
one day later 4 other sheep were brought in contact. Although the contact sheep
showed no clinical signs, serology indicated that all sheep became infected.
Various secretion samples, taken over a period of at least one month, and various
tissue samples were examined for the presence of FMDV by RT-PCR and by virus
isolation. FMDV was most often found in saliva (mouth swabs), followed by nasal
secretion and sera. Faecal material, wool and milk were less suitable. The period
of detection with the highest frequency of positive isolations was between 2 to 4
days pi for the infected sheep and between 5 to 10 days pc for the contact
animals. It was established that in subclinically infected sheep, with a very low
amount of virus present, FMD viral RNA could be detected by a sensitive RT-PCR
ELISA although virus isolation and standard RT-PCR remained negative. Moreover
there was some evidence of active spreading of FMDV from the contact sheep to two
sentinel pigs. This indicates that serologically positive contact sheep without
clinical signs may be considered as a danger for the transmission of FMDV.
PMID- 22077295
TI - RT-PCR in foot-and-mouth.
AB - Summary A RT-PCR assay for the specific detection of RNA sequences from foot
and-mouth disease virus (FMDV) has been developed. The procedure permits also the
detection of sequences that correlate with established FMDV serotypes. A computer
program that allows selection of genotype-specific primers for RT-PCR
amplification was used for the identification of FMDV specific sequences for PCR
amplification on RNA replicase (3D) gene regions. Specific, rapid and highly
sensitive detection was achieved for a wide collection of RNA samples from FMDV
types C, A and O, either purified from tissue culture or extracted from lesions
of infected animals. Similarly, serotype-specific primers were designed to
amplify the carboxy-terminal end of the VP1 gene of FMDV types either C, A or O.
The results of PCR amplification of diffefent FMDV RNAs using type-specific
primers are in agreement with the serological typing of the corresponding
viruses. A combination of this approach with a simplified sample processing,
carried out following direct adsorption of viral suspensions to microtiter
plates, provides a rapid, reliable method of viral diagnosis.
PMID- 22077298
TI - A mad mayor of Fremantle: the mysterious illness of Edward Davies.
AB - OBJECTIVE: The aim of this paper is to illustrate how a person's standing in a
small, close-knit community can distort local medical and legal attitudes to
their diagnosis. We examined various historical texts describing Edward Davies
(1855?1904), Mayor of Fremantle, and the medical, legal and family responses to
his illness. CONCLUSIONS: When Davies developed an apparently serious mental
illness, his family was able to keep this behaviour hidden for some time.
However, when evidence of his illness finally erupted into the public eye, it led
to a ground-breaking 1903 case in the WA Supreme Court in Lunacy. It is clear
that Davies? defenders wanted him to be diagnosed and treated as an alcoholic,
when in fact he may have had late onset psychosis, complicated by alcohol abuse.
With the increasing amount of historical material available through Australian
digitized newspaper collections, new scope is opening up for retrospective
diagnosis.
PMID- 22077299
TI - Revisiting the hypothesis-driven interview in a contemporary context.
AB - OBJECTIVE: The "hypothesis-driven interview" was articulated by George Engel as a
method of raising and testing hypotheses in the process of building a
biopsychosocial formulation and determining the most likely diagnosis. This
interview was a forerunner of the modern medical interview as well as the
contemporary psychiatric assessment. The objective of this article is to describe
the hypothesis-driven interview and to explore its relationship with the
contemporary medical interview. METHOD: The literature on the medical and
hypothesis-driven interview was reviewed. Key features of each were identified.
RESULTS: The hypothesis-driven interview shares much with the contemporary
medical interview. In addition, it enhances the application of communication
skills and allows the interviewer to develop a formulation during the course of
the assessment. CONCLUSION: The hypothesis-driven interview is well suited to the
aims of a contemporary psychiatric assessment.
PMID- 22077300
TI - Consumer participation in service evaluation and quality improvement: key
ingredients for a system to deliver national indicators.
AB - OBJECTIVES: The aim of this paper is to describe the fundamental components of a
system to ensure consumer participation in mental health service evaluation and
quality improvement. CONCLUSIONS: The seven fundamental components identified
provide the basis for a system to deliver national indicators for consumer
participation in quality improvement under the National Health Performance domain
of "responsiveness to consumers". The MH-CoPES Framework satisfies these criteria
and may be drawn upon as the basis for developing local systems for consumer
participation in quality improvement within mental health services.
PMID- 22077301
TI - Hospital admissions for obsessive-compulsive disorder in NSW, 1997 to 2010.
AB - OBJECTIVES: To assess the characteristics of admissions to NSW hospitals with a
diagnosis of obsessive-compulsive disorder (OCD). METHOD: Assessment of
administrative data from all NSW hospital admissions from 1997 to 2010. RESULTS:
The average admission rate for a primary diagnosis of OCD was 1.5 per 100,000
population. This rate increased over the period assessed. OCD was much more
common as a secondary diagnosis (6.1 per 100,000 population), often occurring
with affective disorders in adults and other anxiety disorders in children.
Adults admitted with OCD had an average length of stay of 24 days. CONCLUSIONS:
Although hospital admissions of patients with OCD are uncommon, the high rates of
comorbidity and increasing rates of admission alert us to the significance of
screening patients for OCD and being able to offer outpatient treatment.
PMID- 22077302
TI - The validity and utility of risk assessment for inpatient suicide.
AB - OBJECTIVE: It is widely assumed that identifying clinical risk factors can allow
us to determine which patients are at high risk of suicide while in hospital, and
that identifying those patients can help prevent inpatient suicide. We aimed to
examine the validity and utility of categorizing psychiatric patients to be at
either high or low risk of committing suicide while in hospital. METHOD: The
assumption that high-risk categorizations are valid was examined by comparing
factors included in high-risk models derived from individual studies of inpatient
suicide with the results of a meta-analysis of factors associated with inpatient
suicide. A valid high-risk model was then applied to a hypothetical clinical
setting in order to test the assumption that high-risk categorizations are
useful. RESULTS: The existing models for assessing whether inpatients are at high
risk of suicide all include one or more factors that were not found to be
associated with inpatient suicide by meta-analysis and were probably chance
associations. Depressed mood and a prior history of self-harm are the only well
established independent risk factors for inpatient suicide. Using these risk
factors to classify patients as being at high or low risk would prevent few, if
any, suicides, and would come at a considerable cost in terms of more restrictive
care of many patients and the reduced level of care available to the remaining
patients. CONCLUSIONS: Risk categorization of individual patients has no role to
play in preventing the suicide of psychiatric inpatients.
PMID- 22077303
TI - Comment: To collude or not to collude with crimes against humanity - the question
of Adam Czerniakow's suicide.
PMID- 22077304
TI - Early onset eating disorders in male adolescents: a series of 10 inpatients.
AB - OBJECTIVE: This case series aims to describe the demographic and clinical
features of male inpatients with early onset eating disorders. METHOD:
Retrospective review was made of medical files of male patients treated for
eating disorders at two children's hospitals over a 2 year period, with an onset
of eating disorder before age 14 years, presenting for index admission.
Demographic characteristics, DSM-IV diagnosis, clinical characteristics and
treatment received were reviewed. RESULTS: Ten male patients with a median age of
12.8 years (range 10.2 to 13.5) were identified; three met the full criteria for
anorexia nervosa (AN) and four met all except the weight criterion. There was
high psychiatric comorbidity: four with a major depressive episode, seven an
anxiety disorder, three with obsessive-compulsive disorder (OCD) symptoms. Seven
engaged in over-exercise. Seven were treated with an antidepressant, and five
with an atypical antipsychotic; six required nasogastric tube (NGT) feeding.
CONCLUSION: A minority of patients met full diagnostic criteria for AN, with many
not meeting weight criteria despite medical instability. The main clinical
features were food restriction, over-exercise and psychiatric comorbidity.
Treatment with antidepressants, antipsychotics and NGT feeding was frequent.
Future prospective studies could help identify gender-specific features as well
as benefits and potential side effects of pharmacotherapy in this age group.
PMID- 22077306
TI - Consultation-liaison psychiatry and prevention of severe neuroleptic sensitivity
reactions in dementia with Lewy bodies.
PMID- 22077307
TI - Health and wellbeing of the invisible: homeless women with young children.
PMID- 22077308
TI - Inadequate psychological assessment for female to male gender reassignment.
PMID- 22077309
TI - Yoga and mental health.
PMID- 22077314
TI - Correlation of L-type amino acid transporter 1 and CD98 expression with triple
negative breast cancer prognosis.
AB - Triple negative breast cancer (TNBC) is a heterogeneous, aggressive cancer for
which there is no effective chemotherapy or targeted therapy. We aimed to
evaluate L-type amino acid transporter (LAT) 1 and CD98 expression
immunohistochemically in patients with breast cancer, especially TNBC. Out of 129
patients, LAT1 was positive in 56 patients (43.4%), and CD98 was positive in 41
patients (31.8%). The positive ratio of LAT1 expression in luminal A cases was
7.9%, 30.0% in luminal B cases, 71.4% in HER2 cases and 64.0% in TN cases. HER2
and TN subtypes expressed LAT1 and CD98 at higher levels than luminal A and B
subtypes (both P < 0.001). LAT1 and CD98 expression correlated with tumor size
(LAT1, P = 0.010; CD98, P = 0.007), nuclear grade (LAT1, P < 0.001; CD98, P <
0.001) and Ki67 labeling index (LAT1, P < 0.001; CD98, P = 0.001). LAT1 and CD98
expression was negatively associated with ER and PgR (both P < 0.001). In TNBC,
the 5-year disease-free rate of CD98+ (63.6%) or LAT1+/CD98+ (61.9%) patients was
significantly worse than that of CD98- (89.3%) patients or those with no co
expression of LAT1 and CD98 (89.7%), respectively (P = 0.014, P = 0.009). The 5
year survival rates of CD98 positive/negative patients were 77.3% and 100% (P =
0.050), respectively, whereas that of patients with LAT1+/CD98+ (76.2%) was
significantly worse (100%) (P = 0.040). Multivariate analysis confirmed that
CD98+ or LAT1+/CD98+ expression were risk factors for relapse in TNBC (P = 0.023,
P = 0.019). Thus, in the present study we show that LAT1 and CD98 expression are
prognostic factors. Inhibition of these proteins might provide a new therapeutic
strategy in TNBC.
PMID- 22077315
TI - A headache which leaves you feeling bruised.
PMID- 22077316
TI - Phenotyping sleep.
PMID- 22077317
TI - Histopathological and behavioral effects of immediate and delayed hemorrhagic
shock after mild traumatic brain injury in rats.
AB - The purpose of this study was to investigate the increased susceptibility of the
brain, after a controlled mild cortical impact injury, to a secondary ischemic
insult. The effects of the duration and the timing of the secondary insult after
the initial cortical injury were studied. Rats anesthetized with isoflurane
underwent a 3 m/sec, 2.5-mm deformation cortical impact injury followed by
hypotension to 40 mm Hg induced by withdrawing blood from a femoral vein. The
duration of hypotension was varied from 40 to 60 min. The timing of 60 min of
hypotension was varied from immediately post-injury to 7 days after the injury.
Outcome was assessed by behavioral tasks and histological examination at 2 weeks
post-injury. A separate group of animals underwent measurement of the acute
physiology including mean blood pressure (MAP), intracranial pressure (ICP), and
cerebral blood flow (CBF) using a laser Doppler technique. Increasing durations
of hypotension resulted in marked expansion of the contusion, from 6.5+/-1.8 mm3
with sham hypotension to 27.1+/-3.9 mm3 with 60 min of hypotension. This
worsening of the contusion was found only when then hypotension occurred
immediately after injury or at 1 h after injury. CA3 neuron loss followed a
similar pattern, but the injury group differences were not significant. Motor
tasks, including beam balance and beam walking, were significantly worse
following 50 and 60 min of hypotension. Performance on the Morris water maze task
was also significantly related to the injury group. Studies of the acute cerebral
hemodynamics demonstrated that CBF was significantly more impaired during
hypotension in the animals that underwent the mild TBI compared to those that
underwent sham TBI. The perfusion deficit was worst at the impact site, but also
significant in the pericontusional brain. With 50 and 60 min of hypotension, CBF
did not recover following resuscitation at the impact site, and recovered only
transiently in the pericontusional brain. These results demonstrate that mild
TBI, like more severe levels of TBI, can impair the brain's ability to maintain
CBF during a period of hypotension, and result in a worse outcome.
PMID- 22077318
TI - Influences of incorporating detoxified Jatropha curcas kernel meal in common carp
(Cyprinus carpio L.) diet on the expression of growth hormone- and insulin-like
growth factor-1-encoding genes.
AB - Jatropha curcas is a drought-resistant shrub or small tree widespread all over
the tropics and subtropics. The use of J. curcas (L) kernel meal in fish feed is
limited owing to the presence of toxic and antinutritional constituents. In this
study, it was detoxified using heat treatment and organic solvent extraction
method. The detoxification process was carried out for 60 min to obtain the
detoxified meal. Cyprinus carpio L. fingerlings (n = 180; avg. wt. 3.2 +/- 0.07
g) were randomly distributed in five treatment groups with four replicates and
fed isonitrogenous diets (crude protein 38%) for 8 weeks. The inclusion levels of
the detoxified Jatropha kernel meal (DJKM) and soybean meal (SBM) were as
follows: control diet was prepared with fish meal (FM) and wheat meal, without
any DJKM and SBM; diets S(50) and J(50) : 50% of FM protein replaced by SBM and
DJKM respectively; diets S(75) and J(75) : 75% of FM protein replaced by SBM and
DJKM respectively. Highest body mass gain and insulin-like growth factor-1 (IGF
1) gene expression in brain, liver and muscle were observed for the control
group, which were statistically similar to those for J(50) group and
significantly (p < 0.05) higher than for all other groups, whereas growth hormone
gene expression in brain, liver and muscle exhibited opposite trend. Insulin-like
growth factor-1 concentration in plasma did not differ significantly among the
five groups. Conclusively, growth performance was in parallel with IGF-1 gene
expression and exhibited negative trend with GH gene expression.
PMID- 22077319
TI - Augmentation of clozapine with a second antipsychotic - a meta-analysis.
AB - OBJECTIVE: To examine using meta-analysis the effect of adding a second
antipsychotic to established clozapine monotherapy. METHOD: A literature search
was conducted in April 2011, and randomised placebo-controlled double-blind
studies were identified. We performed a meta-analysis of efficacy (as
standardised mean difference) and tolerability (withdrawals from trials) and a
regression analysis of duration of study versus effect size. We also examined
publication bias using funnel-plot analysis. RESULTS: Overall, 14 studies were
included (734 subjects). Individual study numbers ranged from 10 to 207 (mean
52.6, median 40). Augmentation of clozapine with a second antipsychotic conferred
a small benefit over placebo (effect size -0.239 (95% CI: -0.452, -0.026); P =
0.028). Meta-regression of the effect of length of treatment on effect size
showed no relationship (P = 0.254). The risk of discontinuing antipsychotic
augmentation was no greater than the risk of discontinuing placebo (RR = 1.20,
95% CI 0.80-1.82). There was no evidence of publication bias. CONCLUSION:
Augmentation with a second antipsychotic is modestly beneficial in patients not
responding fully to clozapine. Tolerability seems not to be adversely affected,
at least in the short term. Longer studies do not appear to increase the
probability of showing positive effects for augmentation.
PMID- 22077320
TI - Molecular responses of human lung epithelial cells to the toxicity of copper
oxide nanoparticles inferred from whole genome expression analysis.
AB - This study proposes a molecular mechanism for lung epithelial A549 cell response
to copper oxide nanoparticles (CuO-NPs) related to Cu ions released from CuO-NPs.
Cells that survived exposure to CuO-NPs arrested the cell cycle as a result of
the downregulation of proliferating cell nuclear antigen (PCNA), cell division
control 2 (CDC2), cyclin B1 (CCNB1), target protein for Xklp2 (TPX2), and aurora
kinase A (AURKA) and B (AURKB). Furthermore, cell death was avoided through the
induced expression of nuclear receptors NR4A1 and NR4A3 and growth arrest and DNA
damage-inducible 45 beta and gamma (GADD45B and GADD45G, respectively). The
downregulation of CDC2, CCNB1, TPX2, AURKA, and AURKB, the expressions of which
are involved in cell cycle arrest, was attributed to Cu ions released from CuO
NPs into medium. NR4A1 and NR4A3 expression was also induced by Cu ions released
into the medium. The expression of GADD45B and GADD45G activated the p38 pathway
that was involved in escape from cell death. The upregulation of GADD45B and
GADD45G was not observed with Cu ions released into medium but was observed in
cells exposed to CuO-NPs. However, because the expression of the genes was also
induced by Cu ion concentrations higher than that released from CuO-NPs into the
medium, the expression appeared to be triggered by Cu ions released from CuO-NPs
taken up into cells. We infer that, for cells exposed to CuO-NPs, those able to
make such a molecular response survived and those unable to do so eventually
died.
PMID- 22077321
TI - Comparison of intracytoplasmic sperm injection outcomes between spermatozoa
retrieved from testicular biopsy and from ejaculation in cryptozoospermic men.
AB - The infrequent presence of spermatozoa in cryptozoospermic men ejaculate is a
limiting factor in the treatment of them. Sometimes, this consideration impels us
to apply meticulous microscopic search in ejaculate or testicular sperm
extraction (TESE) method. The aim of this study was to assess putative
effectiveness of sperm origin, ejaculated or testicular, in cryptozoospermia
treatment. In this context, were evaluated intracytoplasmic sperm injection
(ICSI) outcomes in two parameters including fertilisation rate (2PN) and embryo
quality, independently. We compared the outcome in two groups: patients who
underwent ejaculate/ICSI and ones who underwent TESE/ICSI process. Nineteen ICSI
cycles performed with testicular spermatozoa and the rest of cycles (n = 208)
carried out with ejaculated spermatozoa. Result analysis showed similar
fertilisation rate between testicular and ejaculated spermatozoa (respectively,
60% versus 68%, P >= 0.05). Also, on the other hand, embryo quality did not show
significant differences between two groups, except grade A with low significance.
With regard to almost equal performance of both methods in results and being
invasive of TESE as surgical sperm retrieval method, the use of ejaculated sperm
more than testicular sperm should be recommended in patients with
cryptozoospermia whenever possible.
PMID- 22077322
TI - Microbialites and global environmental change across the Permian-Triassic
boundary: a synthesis.
AB - Permian-Triassic boundary microbialites (PTBMs) are thin (0.05-15 m) carbonates
formed after the end-Permian mass extinction. They comprise Renalcis-group
calcimicrobes, microbially mediated micrite, presumed inorganic micrite, calcite
cement (some may be microbially influenced) and shelly faunas. PTBMs are abundant
in low-latitude shallow-marine carbonate shelves in central Tethyan continents
but are rare in higher latitudes, likely inhibited by clastic supply on Pangaea
margins. PTBMs occupied broadly similar environments to Late Permian reefs in
Tethys, but extended into deeper waters. Late Permian reefs are also rich in
microbes (and cements), so post-extinction seawater carbonate saturation was
likely similar to the Late Permian. However, PTBMs lack widespread abundant
inorganic carbonate cement fans, so a previous interpretation that anoxic
bicarbonate-rich water upwelled to rapidly increase carbonate saturation of
shallow seawater, post-extinction, is problematic. Preliminary pyrite framboid
evidence shows anoxia in PTBM facies, but interbedded shelly faunas indicate
oxygenated water, perhaps there was short-term pulsing of normally saturated
anoxic water from the oxygen-minimum zone to surface waters. In Tethys, PTBMs
show geographic variations: (i) in south China, PTBMs are mostly thrombolites in
open shelf settings, largely recrystallised, with remnant structure of Renalcis
group calcimicrobes; (ii) in south Turkey, in shallow waters, stromatolites and
thrombolites, lacking calcimicrobes, are interbedded, likely depth-controlled;
and (iii) in the Middle East, especially Iran, stromatolites and thrombolites
(calcimicrobes uncommon) occur in different sites on open shelves, where controls
are unclear. Thus, PTBMs were under more complex control than previously
portrayed, with local facies control playing a significant role in their
structure and composition.
PMID- 22077323
TI - Enhanced transdermal delivery of 18beta-glycyrrhetic acid via elastic vesicles:
in vitro and in vivo evaluation.
AB - OBJECTIVE: The aim of this work was to develop an elastic vesicular formulation
to enhance the skin permeation of a poorly water-soluble 18beta-glycyrrhetic acid
(GA) and treat dermatitis. METHODS: Elastic vesicles of GA were prepared by the
film method with high pressure homogenizer and characterized by storage
stability. In vitro permeation studies were carried on rat skin using Franz
diffusion cell. In vivo skin deposition of GA was studied using HPLC assay.
Chronic allergic contact dermatitis model was built to evaluate pharmacodynamic
of GA elastic vesicles. RESULTS: The GA elastic vesicles developed have high
flexibility and the storage stability was at least for 6 months at 4 degrees C
and for 4 months at 25 degrees C. In vitro cumulative penetration of GA from
elastic vesicles within 8 hours was 5.3-fold and 23.2-fold higher than that of
conventional liposomes and saturated solution, respectively. After non-occlusive
application to mice ears in vivo, skin deposition of GA increased immediately and
reached the C(max) at 3 h (1.95 +/- 0.32 ug/cm2) and still detected, even after
16 hours GA removed. In vivo anti-inflammatory activity study, GA elastic
vesicles showed significant reduction in ear thickness and mass (25.52% and
49.23%) (P < 0.05). The suppressive activity was comparable to that of positive
control group (Triamcinolone Acetonide and Econazole Nitrate cream in market),
while few side effects were observed in present model. CONCLUSION: The results
suggested that of GA elastic vesicular was safe and effective in treatment of
contact dermatitis by transdermal administration.
PMID- 22077324
TI - Transient changes of intraocular pressure and anterior segment configuration
after diagnostic mydriasis with 1% tropicamide in children.
AB - BACKGROUND: This study investigated the association of transient changes in
intraocular pressure (IOP) with changes in the anterior chamber configuration in
children after diagnostic mydriasis with 1% tropicamide. METHODS: In this
prospective case-control study, 163 hospital clinic-based samples of unrelated
children with or without myopia were enrolled. Complete ophthalmological
examinations, including visual acuities, cycloplegic refraction, slitlamp
examination, fundus examination, IOP, axial length measurement and Pentacam
examination were performed. RESULTS: Lens thickness in emmetropic children was
significantly greater than in myopic children of both genders, both before and
after mydriasis. In a comparison of the biometric differences before and after
mydriasis, IOP was not different, but the lens thickness after mydriasis was
significantly less in myopic and emmetropic children of both genders. The mean
anterior chamber angle, anterior chamber depth and anterior chamber volume
significantly increased after mydriasis in myopic and emmetropic children of both
genders. These parameters were not related to the changes of IOP under multiple
regression analysis. There were no statistically significant differences between
groups in either biometric parameters or anterior chamber configurations.
CONCLUSION: While the present findings do not show a significant change in IOP
following mydriasis, there was wide inter-individual variation, with some
children showing an increase in IOP of up to 8.0 mmHg and some showing a decrease
of a similar amount. This variation suggests that IOP should be monitored when
mydriatics or cycloplegics are used in children.
PMID- 22077325
TI - The sources of error in Brass's method for estimating child survival: the case of
Bangladesh.
AB - Abstract Brass's method for estimating child mortality is based on an
ingeniously simplified model. However, it frequently leads to values of q(x) that
are not consistent with each other. This is most obvious for estimates of q(1).
This paper examines the extent to which such inconsistencies are caused by
simplifications in the model. Three assumptions are relaxed by adjusting for
differences in infant mortality by birth order, taking account of annual
fluctuations in mortality, and using a different age pattern of fertility for
each cohort. These adjustments are applied to data from the 1974 Bangladesh
Retrospective Survey of Fertility and Mortality and the 1975 Bangladesh Fertility
Survey in which additional data from the Cholera Research Laboratory are used.
The resulting estimates are more consistent both internally and with estimates
from other surveys and by other procedures.
PMID- 22077326
TI - Some remarks on the paper by A. Okore, 'Rural-urban fertility differentials in
Southern Nigeria: An assessment of some available evidence'.
AB - Abstract In his paper Okore(1) critically examines the view of Olusanya and
Ekanem that, partly because of shorter periods of breastfeeding and abstinence
associated with 'modernization', urban fertility exceeds rural. Unfortunately,
the proponents of this view have produced very few hard data on durations of
breast-feeding and abstinence.(2).
PMID- 22077327
TI - A rejoinder to David Lucas.
AB - Abstract In order to clarify the main points at issue, I shall pinpoint some of
the major differences between my approach to the study of fertility differentials
in Southern Nigeria and that of Lucas.
PMID- 22077330
TI - Interconnected MoO2 nanocrystals with carbon nanocoating as high-capacity anode
materials for lithium-ion batteries.
AB - A facile one-pot hydrothermal method has been developed for the preparation of
carbon-coated MoO(2) nanocrystals. The annealed MoO(2)-C nanocomposite consists
of interconnected MoO(2)@C nanocrystals. When evaluated for lithium storage
capabilities, these MoO(2)@C nanocrystals exhibit high specific capacities (~640
mA h g(-1) at 200 mA g(-1) and ~575 mA h g(-1) at 400 mA g(-1)) and excellent
cycling stability. In view of the excellent lithium storage properties and the
ease in large-scale preparation, the as-synthesized MoO(2)-C nanocomposite might
be used as promising anode materials for high-performance lithium-ion batteries.
PMID- 22077331
TI - Chemical composition and antimicrobial activity of the inflorescence essential
oil of Capillipedium parviflorum (R. Br.) Stapf. from India.
AB - Essential oil isolated from the inflorescences of Capillipedium parviflorum (R.
Br.) Stapf., collected from Kumaon region of Western Himalaya, India, was
investigated by GC and GC-MS. A total of 45 constituents representing 99.0% of
the essential oil were identified. The major components of this oil were 4
undecanone (33.2%), 4-undecanol (29.7%), 4-nonanol (13.9%), alpha-muurolol
(5.3%), 4-tridecanone (3.6%), methyl-2-oxo-nonanoate (3.1%), trans-2-hexenyl
butanoate (1.1%) and 1-tetradecanol (1.0%). The antimicrobial activity of the
essential oil was determined against eight Gram-positive and Gram-negative
bacterial strains, as well as two fungal strains. The bioassay showed that the
essential oil possessed good antibacterial activity.
PMID- 22077332
TI - Molecular dynamics simulations of low-ordered alzheimer beta-amyloid oligomers
from dimer to hexamer on self-assembled monolayers.
AB - Accumulation of small soluble oligomers of amyloid-beta (Abeta) in the human
brain is thought to play an important pathological role in Alzheimer's disease.
The interaction of these Abeta oligomers with cell membrane and other artificial
surfaces is important for the understanding of Abeta aggregation and toxicity
mechanisms. Here, we present a series of exploratory molecular dynamics (MD)
simulations to study the early adsorption and conformational change of Abeta
oligomers from dimer to hexamer on three different self-assembled monolayers
(SAMs) terminated with CH(3), OH, and COOH groups. Within the time scale of MD
simulations, the conformation, orientation, and adsorption of Abeta oligomers on
the SAMs is determined by complex interplay among the size of Abeta oligomers,
the surface chemistry of the SAMs, and the structure and dynamics of interfacial
waters. Energetic analysis of Abeta adsorption on the SAMs reveals that Abeta
adsorption on the SAMs is a net outcome of different competitions between
dominant hydrophobic Abeta-CH(3)-SAM interactions and weak CH(3)-SAM-water
interactions, between dominant electrostatic Abeta-COOH-SAM interactions and
strong COOH-SAM-water interactions, and between comparable hydrophobic and
electrostatic Abeta-OH-SAM interactions and strong OH-SAM-water interactions.
Atomic force microscopy images also confirm that all of three SAMs can induce the
adsorption and polymerization of Abeta oligomers. Structural analysis of Abeta
oligomers on the SAMs shows a dramatic increase in structural stability and beta
sheet content from dimer to trimer, suggesting that Abeta trimer could act as
seeds for Abeta polymerization on the SAMs. This work provides atomic-level
understanding of Abeta peptides at interface.
PMID- 22077333
TI - A concise total synthesis of (+/-)-minfiensine.
AB - A concise total synthesis of (+/-)-minfiensine using all conventional methods and
starting from commercial materials has been completed. The synthesis features a
Fischer indole synthesis, a Heck alkylation of an intermediate ketone enolate,
conversion of a ketone carbonyl into an epoxide, and transformation of the latter
into an allylic alcohol.
PMID- 22077334
TI - Mitophagy: a complex mechanism of mitochondrial removal.
AB - SIGNIFICANCE: Mitochondrial dynamics and turnover are crucial for cellular
homeostasis and differentiation. The removal of damaged mitochondria that could
contribute to cellular dysfunction or death is achieved through the process of
mitochondrial autophagy, i.e., mitophagy. Moreover, mitophagy is responsible for
removal of mitochondria during terminal differentiation of red blood cells and T
cells. RECENT ADVANCES: Recent work is elucidating how mitochondria are
recognized for selective mitophagy either by PINK1 and Parkin or mitophagic
receptors Nix and Bnip3 and their accompanying modulators. PINK1/Parkin-mediated
mitophagy reveals their role of cargo recognition through polyubiquitination of
mitochondrial proteins, while Nix functions as a regulated mitophagy receptor.
These recognized modes of capture by the autophagy machinery operate at different
efficiencies, from partial to complete elimination of mitochondria. CRITICAL
ISSUES: It is critical to understand that the distinct regulatory mechanisms
involve not only autophagy machinery, but also proteins associated with
mitochondrial fusion and fission and therefore, regulation of mitochondrial
morphology. The end result is either finely tuned quality control of damaged
mitochondria, or mitochondrial clearance during development- induced mitophagy.
FUTURE DIRECTIONS: In this article, known mechanisms and future directions for
deciphering the challenge of mitophagy regulation will be discussed.
PMID- 22077335
TI - Comparative in vitro microdosimetric study of murine- and human-derived cancer
cells exposed to alpha particles.
AB - Diffusing alpha-emitter radiation therapy (DaRT) is a proposed new form of
brachytherapy using alpha particles to treat solid tumors. The method relies on
implantable 224Ra-loaded sources that continually release short-lived alpha
particle-emitting atoms that spread inside the tumor over a few millimeters. This
treatment was demonstrated to have a significant effect on tumor growth in murine
and human-derived models, but the degree of tumor response varied across cell
lines. Tumor response was found to correlate with the degree of radionuclide
spread inside the tumor. In this work we examined the radiosensitivity of
individual cells to determine its relationship to tumor response. Cells were
irradiated in vitro by alpha particles using a 228Th irradiator, with the mean
lethal dose, D0, estimated from survival curves generated by standard methods.
The results were further analyzed by microdosimetric tools to calculate z0, the
specific energy resulting in a survival probability of 1/e for a single cell,
which is considered to better represent the intrinsic radiosensitivity of
individual cells. The results of the study demonstrate that, as a rule, tumors
that respond more favorably to the DaRT treatment are also characterized by
higher intrinsic cellular radiosensitivities, with D0 ranging from 0.7 Gy to 1.5
Gy for the extreme cases and z0 following the same trend.
PMID- 22077337
TI - Overexpression of SKP2 promotes the radiation resistance of esophageal squamous
cell carcinoma.
AB - SKP2 is the substrate recognition subunit of the SCF(SKP2) ubiquitin ligase
complex. It is implicated in ubiquitin-mediated degradation of the cyclin
dependent kinase (CDK) inhibitor p27(KIP1) and positively regulates the G(1)/S
transition. Overexpression of SKP2 has been found in many kinds of tumors. In the
present study, we found that SKP2 expression levels increased in esophageal
squamous cell carcinoma tissues. Elevated expression of SKP2 correlated
significantly with tumor stage and positive lymph node metastasis (P < 0.05).
Moreover, a significantly negative correlation was found between SKP2 expression
and the survival of patients who received radiotherapy (P < 0.05). At the
molecular level, induced expression of SKP2 promoted the radioresistance of
EC9706 cells. Knockdown of SKP2 expression sensitized cancer cells to radiation,
and a wobble mutant of SKP2 that was resistant to SKP2 siRNA was able to rescue
this effect. Increased or decreased expression levels of SKP2 had effects on
Rad51 expression after irradiation. These results demonstrate for the first time
that overexpression of SKP2 was correlated with the increased radioresistance of
esophageal squamous cell carcinoma. Elevated expression of SKP2 promoted the
radioresistance of cancer cells, and this effect was mediated at least in part by
the Rad51 pathway.
PMID- 22077336
TI - Intrachromosomal changes and genomic instability in site-specific microbeam
irradiated and bystander human-hamster hybrid cells.
AB - Exposure to ionizing radiation may induce a heritable genomic instability
phenotype that results in a persisting and enhanced genetic and functional change
among the progeny of irradiated cells. Since radiation-induced bystander effects
have been demonstrated with a variety of biological end points under both in
vitro and in vivo conditions, this raises the question whether cytoplasmic
irradiation or the radiation-induced bystander effect can also lead to delayed
genomic instability. In the present study, we used the Radiological Research
Accelerator Facility charged-particle microbeam for precise nuclear or
cytoplasmic irradiation. The progeny of irradiated and the bystander human
hamster hybrid (A(L)) cells were analyzed using multicolor banding (mBAND) to
examine persistent chromosomal changes. Our results showed that the numbers of
metaphase cells involving changes of human chromosome 11 (including
rearrangement, deletion and duplication) were significantly higher than that of
the control in the progeny of both nuclear and cytoplasmic targeted cells. These
chromosomal changes could also be detected among the progeny of bystander cells.
mBAND analyses of clonal isolates from nuclear and cytoplasm irradiations as well
as the bystander cell group showed that chromosomal unstable clones were
generated. Analyses of clonal stability after long-term culture indicated no
significant change in the number of unstable clones for the duration of culture
in each irradiated group. These results suggest that genomic instability that is
manifested after ionizing radiation exposure is not dependent on direct damage to
the cell nucleus.
PMID- 22077338
TI - Low (20 cGy) doses of 1 GeV/u (56)Fe--particle radiation lead to a persistent
reduction in the spatial learning ability of rats.
AB - Exposure to galactic cosmic radiation (GCR) is considered to be a potential
health risk in long-term space travel, and it represents a significant risk to
the central nervous system (CNS). The most harmful component of GCR is the HZE
[high-mass, highly charged (Z), high-energy] particles, e.g. (56)Fe. In previous
ground-based experiments, exposure to high doses of HZE-particle radiation
induced pronounced deficits in hippocampus-dependent learning and memory in
rodents. Recent data suggest that glutamatergic transmission in hippocampal
synaptosomes is impaired after low (60 cGy) doses of 1 GeV/u (56)Fe particles,
which could lead to impairment of hippocampus-dependent spatial memory. To assess
the effects of mission-relevant (20-60 cGy) doses of 1 GeV/u (56)Fe particles on
hippocampus-dependent spatial memory, male Wistar rats either received sham
treatment or were irradiated and tested 3 months later in the Barnes maze test.
Compared to the controls, rats that received 20, 40 and 60 cGy 1 GeV/u (56)Fe
particles showed significant impairments in their ability to locate the escape
box in the Barnes maze, which was manifested by progressively increasing escape
latency times over the 3 days of testing. However, this increase was not due to a
lack of motivation of the rats to escape, because the total number of head pokes
(and especially incorrect head pokes) remained constant over the test period.
Given that rats exposed to X rays did not exhibit spatial memory impairments
until >10 Gy was delivered, the RBE for 1 GeV/u (56)Fe-particle-induced
hippocampal spatial memory impairment is ~50. These data demonstrate that mission
relevant doses of 1 GeV/u (56)Fe particles can result in severe deficits in
hippocampus-dependent neurocognitive tasks, and the extreme sensitivity of these
processes to 1 GeV/u (56)Fe particles must arise due to the perturbation of
multiple processes in addition to killing neuronal cells.
PMID- 22077339
TI - Ionizing radiation enhances esophageal epithelial cell migration and invasion
through a paracrine mechanism involving stromal-derived hepatocyte growth factor.
AB - Esophageal cancer is the sixth leading cause of cancer death worldwide and the
seventh leading cause of cancer death in the U.S. male population. Ionizing
radiation exposure is a risk factor for development of esophageal squamous cell
carcinoma, a histological subtype of esophageal cancer that is highly aggressive
and is associated with poor patient prognosis. This study investigated the
effects of ionizing radiation on the microenvironment and intercellular
communication as it relates to esophageal carcinogenesis. We demonstrate that
normal esophageal epithelial cells exhibited increased migration and invasion
when cultured in the presence of irradiated stromal fibroblasts or with
conditioned medium derived from irradiated stromal fibroblasts. Cytokine antibody
arrays and ELISAs were used to identify hepatocyte growth factor (HGF) as an
abundant protein that is secreted by esophageal fibroblasts at twofold increased
levels in culture medium after gamma irradiation. Reverse transcription qPCR
analysis confirmed an approximately 50% increase in mRNA levels for HGF at 1 h in
irradiated fibroblasts compared to unirradiated controls. Recombinant HGF
stimulated increased wound healing, migration and invasion of esophageal
epithelial cells, while blocking antibodies against HGF significantly decreased
migration and invasion of epithelial cells in coculture with irradiated
fibroblasts. Since HGF is known to direct cell migration, invasion and metastasis
in a variety of tissues, including the esophagus, its modulation by ionizing
radiation may have important implications for nontargeted pathways that influence
radiation carcinogenesis in the esophagus.
PMID- 22077340
TI - Management of osteonecrosis in children and young adults with acute lymphoblastic
leukaemia.
AB - Osteonecrosis is a disabling complication in children and young adults with acute
lymphoblastic leukaemia. It can affect any or multiple joints but the hip and
knee are most frequently involved and a cause of long-term disability. The
problem is almost exclusively that of older children and young adults of whom
over 70% have asymptomatic changes on screening magnetic resonance imaging and 15
20% have resulting symptoms. Dexamethasone is associated with a higher risk than
prednisolone in US but not European or UK trials and alternate week scheduling of
dexamethasone in the intensification course is associated with a lower risk than
a continuous 3-week schedule in US trials. Genetic factors and obesity contribute
to the risk, as do metabolic abnormalities caused by drugs, such as asparaginase,
which increase tissue exposure to steroids. Management is primarily supportive
but a minority of patients require surgical intervention including replacement of
the affected joint. A variety of surgical techniques and, latterly,
bisphophonates, have been tried to prevent progression but their efficacy remains
uncertain. Whether patients should continue to receive steroids after diagnosis
of osteonecrosis is uncertain but most trial investigators recommend stopping
them after completion of the intensification phase of treatment.
PMID- 22077342
TI - Effect of 10% Sodium Ascorbate and 10% ?-tocopherol in Different Formulations on
the Shear Bond Strength of Enamel and Dentin Submitted to a Home-use Bleaching
Treatment.
AB - Clinical Relevance A significant reduction in bond strength of restorative
materials to dentin and enamel after home-use bleaching treatment has been
reported. Antioxidizing agents may be a procedure to increase bond strength
values. Although no reversal of bond strength values was found for sodium
ascorbate, alpha-tocopherol formulated in solution resulted in a significant
increase in bond strength of bleached enamel.
PMID- 22077341
TI - A patient with cluster headache--due to a brainstem lesion.
PMID- 22077343
TI - Sex differences in nicotine dependence among addictions clients accessing a
smoking cessation programme in Vancouver, British Columbia, Canada.
AB - Most individuals in drug treatment programmes use tobacco and are dependent on
nicotine. For 323 participants (65% men, mean age = 49.3 years) with a history of
substance use disorder (SUD) and/or psychiatric disorders (PD) enrolled in a
tobacco dependence clinic programme, we compared baseline characteristics among
women and men and examined factors associated with nicotine dependence (ND).
Individuals with mood, anxiety and psychotic disorders were more likely to be
female, whereas men were more likely to be characterized by alcohol, cocaine and
marijuana use, older age, older age at smoking initiation and higher confidence
in quitting smoking scores. In stratified multivariate analyses, among women,
history of an anxiety disorder and a greater number of cigarettes smoked per day
were associated with higher ND scores; among men, a greater number of cigarettes
smoked per day and higher confidence in quitting scores were associated with
higher ND scores. Given the differences in smoking, SUD and PD histories between
women and men accessing addiction treatment, and differential associations with
ND, it is important to further explore factors that may enhance tailored
treatments and inform future studies examining biological and psychosocial
factors for tobacco use in SUD and PD treatment populations.
PMID- 22077344
TI - Systematic review: the treatment of noncardiac chest pain.
AB - BACKGROUND: Treatment of noncardiac chest pain (NCCP) remains a challenge. This
is in part due to the heterogeneous nature of this disorder. Several conditions
are associated with NCCP including gastro-oesophageal reflux disease (GERD),
oesophageal dysmotility, oesophageal hypersensitivity as well as others. AIM: To
determine the currently available therapeutic modalities for NCCP. METHODS: We
performed a systematic review of the literature that was published between
January, 1980 and March, 2011. We identified 734 studies; 68 of them met entry
criteria. RESULTS: Patients with GERD-related NCCP should receive proton pump
inhibitors (PPI) twice daily for at least 8 weeks. Smooth muscle relaxants are
only recommended for temporary relief of NCCP with motility disorders. Botulinum
toxin injection of the distal oesophagus may be effective in the treatment of
NCCP and spastic oesophageal motility disorders. Studies assessing the value of
tricyclic antidepressants, trazodone and selective serotonin reuptake inhibitors
in NCCP are relatively small, but suggest an oesophageal analgesic effect in NCCP
patients that is limited by their side effects profile. The usage of theophylline
to treat patients with non-GERD-related NCCP should be weighed against its
potential toxicity. Use of complementary medicine has been scarcely studied in
NCCP. Patients with coexisting psychological morbidity or those not responding to
any medical therapy should be considered for psychological intervention.
Cognitive behavioural therapy and hypnotherapy may be useful in the treatment of
NCCP. CONCLUSIONS: Patients with GERD-related noncardiac chest pain should be
treated with at least double dose PPI. The primary treatment for non-GERD-related
noncardiac chest pain, regardless if oesophageal dysmotility is present, is pain
modulators.
PMID- 22077346
TI - Paradoxes of thermodynamics of swelling equilibria of polymers in liquids and
vapors.
AB - An automatic registration of the changing size of a single spherical microbead of
a cross-linked polymer was applied for studying the swelling process of the bead
by the sorption of vapors and/or liquids. Many representatives of all three basic
types of polymeric networks, gel-type, hypercrosslinked, and macroporous, were
examined. Only the first two display large volume changes and prove suitable for
following the kinetics and extent of swelling by the above dilatometric
technique. The results unambiguously prove that swelling of all polymeric
networks in liquids is always higher than in corresponding saturated vapors
(Schroeder's paradox). The general nature of this phenomenon implies that the
absolute activity of any sorbate in its liquid form is always larger than in the
form of its saturated vapor. Surprisingly, gels with any solvent contents, which
fall into the broad range between the vapor-equilibrated and liquid-equilibrated
extreme contents, retain their volumes constant in the saturated vapor
atmosphere. This paradox of a wide range of gels swollen to a different extent
and, nevertheless, standing in equilibrium with saturated vapor is explained by
the specificity of the network polymers, namely, that the energy of the solvent
polymer interactions is easily compensated by the energy of remaining between
chain interactions at any solvent content in the above range. Therefore, the
strain-free swollen gels do not generate enhanced vapor pressure, but neither
display the ability to take up more sorbate from its vapor.
PMID- 22077345
TI - Understanding the relationship between attachment style, pain appraisal and
illness behavior in women.
AB - Insecure attachment has been hypothesized to be an important factor for
understanding the experience of pain. Considering the Attachment-Diathesis Model
of Chronic Pain developed by Meredith, Ownsworth, and Strong (2008), this cross
sectional study examines the relationship between attachment style, pain
appraisal, and illness behavior. Two hundred healthy women recruited from
community contexts completed a battery of self-report measures including the
Short-form McGill Pain Questionnaire, Pain Catastrophizing Scale, Pain Anxiety
Symptoms Scale-20, Pain Vigilance and Awareness Questionnaire, Illness Attitude
Scales, Beck Anxiety Inventory, Beck Depression Inventory, and the Experiences in
Close Relationships Questionnaire-Revised. The results showed that attachment
anxiety was significantly correlated with pain catastrophizing, pain-related
fear, depression, and illness behavior. However, attachment anxiety and avoidance
were not associated with pain intensity. Attachment anxiety moderated the
relationship between pain catastrophizing and illness behavior, and between pain
hypervigilance and illness behavior. Pain catastrophizing and pain-related fear
partially mediated the effect of attachment anxiety on illness behavior. The
findings highlight potential contributions of attachment style and pain appraisal
for explaining illness behavior. This study supports earlier reports and suggests
the usefulness of assessing attachment style for early identification of people
who might exhibit a high risk of dysfunctional responses to pain. Our findings
also suggest that increasing people's insight about their attachment style and
modifying some associated dysfunctional responses may be important in the
treatment of chronic pain.
PMID- 22077347
TI - Glycosyl coumarin carbonic anhydrase IX and XII inhibitors strongly attenuate the
growth of primary breast tumors.
AB - A series of 7-substituted coumarins incorporating various glycosyl moieties were
synthesized and investigated for the inhibition of the zinc enzyme carbonic
anhydrase (CA, EC 4.2.1.1). These coumarins were very weak or ineffective as
inhibitors of the housekeeping, off target isoforms CA I and II, but some of them
inhibited tumor-associated CA IX and XII in the low nanomolar range. They also
significantly inhibited the growth of primary tumors by the highly aggressive 4T1
syngeneic mouse mammary tumor cells at 30 mg/kg, constituting interesting
candidates for the development of conceptually novel anticancer drugs. Because CA
IX is overexpressed in hypoxic tumors and exhibits very limited expression in
normal tissues, such compounds may be useful for treating cancers not responsive
to classic chemo- and radiotherapy.
PMID- 22077348
TI - Weaning piglets as a model for studying pathophysiology of diarrhea.
AB - Abstract During fifty years weaning age of piglets decreased from, in former
days, ten to twelve weeks till, nowadays, three to five weeks. Early weaned
piglets frequently have diarrhea. When piglets had diarrhea after weaning,
enterotoxigenic E. coli (ETEC) or rotavirus were generally detected in the
faeces; however, they were also detected in faeces of piglets without diarrhea.
So, other causal factors must be involved in the occurrence of diarrhea after
weaning. Mortality, due to diarrhea, was associated with severe villus shortening
and crypt deepening. Furthermore, weaning piglets was also associated with villus
shortening and crypt deepening and giving supplementary feed during the suckling
period was effective in preventing villus shortening after weaning. Giving
piglets sow's milk immediately after weaning is also effective in preventing
villus atrophy. As shown with a small intestine segment perfusion test weaning
was also associated with a loss of net absorption in both uninfected and ETEC
infected small intestine. The loss of net absorption was less in piglets that
were given supplementary feed during the suckling period. Net absorption in the
small intestine increased significantly one day after a rotavirus infection and
decreased significantly after subsequent infection with ETEC. Function of the
large intestine also influences the severity of diarrhea in just weaned piglets.
It may be concluded that weaning piglets at an age of three to five weeks changes
the flora, the morphology, and the function of the intestine; these changes
together result frequently in diarrhea.
PMID- 22077349
TI - Correlation between electrophysiological phenomena and transport of
macromolecules in intestinal epithelium.
AB - Summary This review discuss some recent findings in the study of the regulation
of the permeability of the intestinal epithelial layer. Comparison of electrical
phenomena and transport of macromolecules suggests that secretory activity and
increased transepithelial transport of macromolecules are related when secretion
is mediated by the Ca(2+) and PKC dependend pathways. The transport of the
macromolecules is via the transcellular and via the paracellular route. The
barrier function of the intestinal epithelium may be diminished during nervous
(acetylcholine)- and immuno-(histaminc) mediated secretion. It is hypothesised
that some bacterial toxins may also induce Ca(2+) and PKC dependent secretion and
thereby can reduce the epithelial barrier. The cAMP and cGMP mediated secretion,
which can be recognised by their long-lasting transepithelial potential changes,
are not coupled to increased transepithelial transport of macromolecules. Some
forms of secretory diarrhea may therefore be related to the development of food
allergy or inflammation.
PMID- 22077350
TI - Food allergy, coeliac disease and chronic inflammatory bowel disease in man.
AB - Abstract It is often stated that the gastrointestinal tract has a limited
number of responses to pathogens. Entirely different agents can produce a similar
histopathological reaction. However, the expression of the disease in man is very
heterogeneous, it varies with the age of the subject and is to a certain extent
genetically determined. For example, food allergy is frequent in childhood and
not common in adulthood. The intestinal mucosa in the child with cows milk
allergy shows a 'flat' mucosa, which may be indistinguishable of that observed in
gluten sensitive entero-pathy or coeliac disease. Subjects with other forms of
food allergy may have a morphologically normal small intestinal mucosa,
occasionally with increased IgE plasma cells and often only characterised by an
increased intestinal permeability. An abnormal intestinal permeability is one of
the hallmarks of an inflamed gut, however, subjects with a latent form of coeliac
disease have an abnormal permeability only without overt signs of inflammation.
Recently, it has become clear that what determines the characteristics of the
intestinal inflammatory response is dependent on the cytokines involved during
the response and this seems to be the same in the stomach, the small intestine
and the colon. A so-called Th1 response, with an increased production of IFN
gamma, TNF-alpha and other pro-inflammatory cytokines, occurs in the stomach when
infected by Helicobacter pylori, in the small intestine when the subject with
coeliac disease consumes normal bread and during the active phases of Crohn's
disease. A Th2 response is characteristic of the allergic subject and there is
some evidence that it is the predominant response in subjects with ulcerative
colitis. We still do not know the fine-tuning of the cytokine response but IL-12
appears to be a key cytokine in polarising the response to a Thl type. More
recently it has become clear that the intestinal mucosa has a unique subset of
CD4(+) T cells that secrete TGF-beta (Th3 cells) that provide help for IgA. These
cells have downregulatory properties for Th1 cells and therefore play an
important role in the active suppression of oral tolerance and IgE response.
What determines that an individual develops one of these diseases? It is now
clear that these different pathological entities are multifactorial. Different
environmental factors and a complex genetic predisposition where more that one
gene and more than one chromosome are involved. The extent and severity of the
inflammatory response depends on the genetic diversity of the bacteria or the
amount of the antigen on the one hand and on the genetic constitution of the host
on the other. The abnormal immune response in the human gut is predominantly a
Th1-like inflammatory response. This can be elicited by bacteria, peptides,
possibly the bacterial flora and some viruses. The recent findings in the
pathogenesis of the intestinal inflammatory response will probably alter the
therapy of the future.
PMID- 22077351
TI - Role of short-chain fatty acids in the hind gut.
AB - Abstract Short-chain fatty acids (SCFA) are produced by microbial fermentation
in the hindgut in considerable amounts. Most of the anions in hindgut contents
are SCFA, mainly acetate, propionate and butyrate. SCFA are rapidly absorbed.
Mechanisms involved in the transepithelial transport are discussed. Besides the
contribution to the overall energy metabolism of animals or men, SCFA have a
number of further important effects on the colonic mucosa. Factors affecting the
pH of compartments in the mucosa, cell swelling, stimulation of mucin release and
of mucosal blood flow are mentioned. Controversial reports are known on the role
of SCFA in the metabolism of colonocytes. In spite of the conflicting opinions on
the interaction between SCFA metabolism and the development of colitis ulcerosa,
diverticulosis and colorectal cancer seems to exist. The obscure differences
between the effects of SCFA on cell proliferation, differentiation and apoptosis
of colonocytes in vivo and in vitro indicate that besides direct effects of SCFA
systemic effects such as neural and humoral factors are of crucial importance.
The opposing effects of SCFA on proliferation and apoptosis in normal colonocytes
and in colonic cancer cells may open possibilities for prevention and/or therapy
of patients with colonic diseases.
PMID- 22077352
TI - Non-starch polysaccharides in pig feeding.
AB - Abstract In pigs and humans, the nutrients starch, protein, fat and some
minerals need to be digested prior to the terminal ileum for optimal use of these
nutrients. In contrast, the non-starch polysaccharides (NSP) are mainly fermented
by microbes in the hindgut. Results of experiments in pigs showed that NSP
negatively affected apparent digestion of protein, fat and some minerals. In
addition, large amounts of fermented NSP increased the empty weight of the
hindgut. Because tissue of organs like the intestinal tract are metabolically
very active, it may have required more energy for maintenance, hence leaving less
energy for growth. Despite all the negative effects as mentioned above, including
NSP-rich ingredients in pig diets also has quite a lot of advantages. Their
energy supply can cover the energy requirements for maintenance. In addition,
positive effects on the well-being and health of pigs, and on the excretion of
ammonia are claimed. In conclusion, in future pig diet formulation not only the
nutritional aspects of NSP-rich ingredients should be taken into account, but
also their non-nutritional aspects. This might be realized by developing nutrient
based feed evaluation systems, rather than the energy based systems which are
presently used.
PMID- 22077353
TI - Weaning piglets, microbial fermentation, short chain fatty acids and diarrhoea.
AB - Abstract The effect of weaning on the absorptive capacity of the large
intestine (LI) of weaned and unweaned pigs for short chain fatty acids (SCFA),
sodium, potassium, and water has been determined by perfusion studies. Ligated
loops were formed at four sites in the LI. In both groups the rate of absorption
of SCFA, sodium and potassium was increased from the proximal to the distal
sites, but this increase was not followed by an increase in the absorption of
water. It is concluded that unweaned and weaned pigs can absorb SCFA and
electrolytes immediately after weaning, but that a period of adaptation is
required for the optimal absorption of water. This lower capacity for the
absorption of water in the first two weeks after weaning makes the recently
weaned pig vulnerable to a loss of fluid from the intestines.
PMID- 22077354
TI - Enteric bacterial pathogens, villus atrophy and microbial growth.
AB - Abstract The effects of three bacterial pathogens on the villus architecture of
small intestines and the role that bacterial virulence factors play in
pathogenesis are described. Bacterial pathogens cause a spectrum of effects
ranging from severe tissue damage to a lack of perceptible damage.
Enterotoxigenic Escherichia coli, which cause acute and severe diarrhea, does so
by producing potent toxins, but these toxins act by altering the biological
activity in epithelial cells. However, the cells are not damaged.
Enteropathogenic E. coli and Salmonella, on the other hand cause various degrees
of tissue damage. As part of their pathogenesis, they employ a type III protein
secretion system to orchestrate internal changes in target cells. The expression
of many virulence related genes is tightly regulated and appears to be turned on
in response to cues found in the intestinal tract. The consequences of this level
of regulation also is discussed.
PMID- 22077355
TI - Probiotics and E.coli infections in man.
AB - Abstract After oral administration of live oral vaccines COLIN-FANT and
MUTAFLOR prepared from non-entero-pathogenic E.coli strains, both strains
colonized effectively the intestine in full-term and preterm infants and remained
for many weeks showing, that they were capable to establish themself as a
resident strain in the infant's gut. The presence of E.coli stimulated
significantly antibody production in gut, saliva and serum of colonized infants.
An early induction of secretory IgA production is important particularly in
formula-fed infants, where it partly replaces the lacking immunoglobulin supplied
with mother milk. In full-term and premature infants the early presence of non
pathogenic E.coli strains in the intestine decreased significantly the presence
of pathogenic bacterial strains in the intestine but also other mucosal surfaces
of the body. The COLINFANT strain decreased the number of nosocomial infections,
mortality rate in connection with infection, and the need for antibiotics. Both
strains replaced successfully pathogenic strains in carriers after treatment with
antibiotics.
PMID- 22077356
TI - Passive and active protection against disorders of the gut.
AB - Abstract The large surface area of mucosal surfaces, particularly of the
gastrointestinal tract, is protected against microorganisms and environmental
antigens by various innate factors (e.g., mucins, lactoferrin, and others) and
specific antibodies which are of IgA, or in some species, IgG Isotypes. Passive
protection provided by effective prenatal transplacental transport of maternal
antibodies and/or post-natal consumption of milk antibodies in breastfed
newborns, is essential for the survival of neonates. Passive protection of
mucosal surfaces by pre-formed antibodies in non-breast juveniles or adults is
currently used only on an experimental basis: IgA-enriched preparations of gamma
globulin have been successfully given to children, and antibodies specific for
several gastrointestinal pathogens have been isolated from immunized cows' milk,
hens' eggs, or transgenic plants. Systemic administration of pre-formed
antibodies is effective for protection against intestinal pathogens in species
(e.g., mice, rats) endowed with efficient hepato-biliary transport of IgA into
the gut lumen. However, this route of antibody administration is of limited
efficiency in other species, including humans, in which almost all intestinal
antibodies are produced locally by plasma cells in the gut mucosa and are not
derived to a significant degree from the circulation. Active immunization is
preferable for long-lasting protection. The site of immunization and presence of
lymphoid tissues with inductive potential play an essential role in generating
humoral immune responses in mucosal secretions. The relative efficiency of
immunization routes (e.g., oral, nasal, rectal or genital) in the induction of
preferential antibody responses in the intestinal secretion has not been studied
extensively. Furthermore, suitable antigen delivery systems for achieving this
goal must also be considered.
PMID- 22077357
TI - Prevention of diarrhoea using pathogen specific monoclonal antibodies in an
experimental enterotoxigenic E. coli infection in germfree piglets.
AB - Abstract In the present study we describe the effect of oral application of mAB
specific for ETEC F4(ac) fimbriae in an experimental ETEC challenge model in
neonatal germfree piglets. The results show that mAB, specific for different
F4(ac) epitopes protect animals against ETEC specific pathology. Moreover, the
results show that protection is independent of F4(ac) epitope specificity.
PMID- 22077359
TI - Carcinogenesis of colorectal cancer.
PMID- 22077358
TI - Edible vaccines.
AB - Summary The ultimate vaccine is an oral vaccine which given once protects
against a multitude of diseases. Furthermore this ultimate vaccine needs to be
very stable and inexpensive to produce. Probably this latter condition can be met
only if the vaccines are produced in plants. Such vaccines are called 'edible
vaccines'. Edible vaccines can be produced in plants in many ways. Using
recombinant plant-virus, CPMV, it was shown that plants can produce massive
amounts of chimaeric virus particles which protect after a single injection the
target animal against disease. The final step, oral adminstration, is being
adressed at present. Preliminary experiments by others suggest that this step may
be solved sooner than expected.
PMID- 22077361
TI - Noncovalent interactions between a trinuclear monofunctional platinum complex and
human serum albumin.
AB - Interactions between platinum complexes and human serum albumin (HSA) play
crucial roles in the metabolism, distribution, and efficacy of platinum-based
anticancer drugs. Polynuclear monofunctional platinum(II) complexes represent a
new class of anticancer agents that display distinct molecular characters of
pharmacological action from those of cisplatin. In this study, the interaction
between a trinuclear monofunctional platinum(II) complex,
[Pt(3)LCl(3)](ClO(4))(3) (L = N,N,N',N',N",N"-hexakis(2-pyridylmethyl)-1,3,5
tris(aminomethyl)benzene) (1), and HSA was investigated using ultraviolet-visible
spectroscopy, Fourier transform infrared spectroscopy, circular dichroism
spectroscopy, fluorescence spectroscopy, molecular docking, and inductively
coupled plasma mass spectrometry. The spectroscopic and thermodynamic data show
that the interaction is a spontaneous process with the estimated enthalpy and
entropy changes being 14.6 kJ mol(-1) and 145.5 J mol(-1) K(-1), respectively.
The reactive sites of HSA to complex 1 mainly locate within its hydrophobic
cavity in domain II. Noncovalent actions such as pi-pi stacking and hydrophobic
bonding are the primary contributors to the interaction between HSA and complex
1, which is different from the scenario for cisplatin in similar conditions. The
results suggest that the connection between complex 1 and HSA is reversible, and
therefore the cytotoxic activity of the complex could be preserved during blood
circulation.
PMID- 22077362
TI - Sebaceous hyperplasia within epidermis after scald.
AB - A 16-year-old girl with polycystic ovarian syndrome presented with numerous
rufous papules arising within a large depigmented macule that developed following
a severe scald injury on the back. Histopathology revealed that many mature
sebaceous glands were growing in the middle and bottom of the epidermis with
slight acanthosis. On the basis of patient history, clinical manifestation and
histopathology, we suggested that this patient's skin lesion be diagnosed as
'sebaceous hyperplasia within epidermis after scald'.
PMID- 22077363
TI - Molecular mechanisms underlying effects of neural stem cells against traumatic
axonal injury.
AB - Transplantation of neural stem cells (NSCs) improves functional outcomes
following traumatic brain injury (TBI). Previously we demonstrated that human
NSCs (hNSCs) via releasing glial cell line-derived neurotrophic factor (GDNF),
preserved cognitive function in rats following parasagittal fluid percussion.
However, the underlying mechanisms remain elusive. In this study, we report that
NSC grafts significantly reduce TBI-induced axonal injury in the fimbria and
other brain regions by blocking abnormal accumulation of amyloid precursor
protein (APP). A preliminary mass spectrometry proteomics study revealed the
opposite effects of TBI and NSCs on many of the cytoskeletal proteins in the CA3
region of the hippocampus, including alpha-smooth muscle actin (alpha-SMA), the
main stress fiber component. Further, Western blot and immunostaining studies
confirmed that TBI significantly increased the expression of alpha-SMA in
hippocampal neurons, whereas NSC grafts counteracted the effect of TBI. In an in
vitro model, rapid stretch injury significantly shortened lengths of axons and
dendrites, increased the expression of both APP and alpha-SMA, and induced actin
aggregation, effects offset by GDNF treatment. These GDNF protective effects were
reversed by a GDNF-neutralizing antibody or a specific calcineurin inhibitor, and
were mimicked by a specific Rho inhibitor. In summary, we demonstrate for the
first time that hNSC grafts and treatment with GDNF acutely reduce traumatic
axonal injury and promote neurite outgrowth. Possible mechanisms underlying GDNF
mediated neurite protection include balancing the activity of calcineurin,
whereas GDNF-induced neurite outgrowth may result from the reduction of the
abnormal alpha-SMA expression and actin aggregation via blocking Rho signals. Our
study also suggests the necessity of further exploring the roles of alpha-SMA in
the central nervous system (CNS), which may lead to a new avenue to facilitate
recovery after TBI and other injuries.
PMID- 22077364
TI - The effect of normothermic recirculation before cold preservation on post
transplant injury of ischemically damaged donor kidneys.
AB - Kidneys recovered from donation after cardiac death (DCD) are increasingly used
to enlarge the deceased donor pool. Such renal grafts, especially those derived
from uncontrolled DCD, have inevitably sustained profound warm ischemic injury,
which compromises post-transplant function. Normothermic recirculation (NR) of
the deceased donor's body before organ cooling could be an interesting approach
to mitigate the detrimental effect of warm ischemia. To date, however, there is
no evidence coming from preclinical studies to support the principle of NR in
kidney transplantation. In this study, we subjected 48 Lewis rat kidneys to 15 or
30 min of warm ischemia, and subsequently 0, 1, or 2 h of NR. After 24 h cold
storage, kidneys were transplanted into a recipient animal and 24 h later we
measured the percentage of cortical necrosis, and determined gene expression of
heme oxigenase-1, heat shock protein-70, transforming growth factor-beta, kidney
injury molecule-1, interleukin-6, hypoxia inducible factor-1alpha, monocyte
chemoattractant protein-1, and alpha-smooth muscle actin in kidney tissue. We
found that NR had no significant influence on any of these markers. Therefore, we
conclude that this animal study by no means supports the presumed beneficial
effect of NR on kidneys that have been severely damaged by warm ischemia.
PMID- 22077365
TI - Drug eruption with eosinophilia and systemic syndrome associated with
reactivation of human herpesvirus 7, not human herpesvirus 6.
PMID- 22077366
TI - The present value of population growth in the western world.
AB - Abstract In conventional steady-state growth theory with technical progress
exogenous, faster population growth causes lower consumption. This conclusion has
influenced national policies. With technical progress endogenous, however, higher
population growth causes higher consumption. Steady-state equilibrium analysis is
not appropriate for policy decisions, though. Rather, appropriate analysis
compares two or more growth rates beginning from equal initial positions, with
comparison of the present value of consumption streams per person. In the paper
the supply of and demand for knowledge is first analysed and the most plausible
technical progress functions are derived. Various population growth rates are
then simulated with different specifications and parameters. With virtually every
variant, faster population growth shows better consumption with discount rates up
to between five and ten per cent above the long-run adjusted riskless rate. With
pensions included in the analysis, faster population growth would seem even more
beneficial. Even at very high discount rates, lower population growth rates imply
present values only a little higher than those for higher population growth
rates. The advantage is overwhelmingly with higher population growth in this
growth-theoretic analysis.
PMID- 22077367
TI - Population dynamics based on birth intervals and parity progression.
AB - Abstract The Chinese population policy of 'later-longer-fewer' suggests a
formulation of population dynamics in terms of birth intervals and parity
progression. This leads to population projections based on birth interval
distributions and parity progression ratios and to an alternative to Lotka's
stable population theory in which age is replaced by parity and interval since
last birth. A numerical comparison with Lotka's model indicates similarities and
differences between the two approaches: The formulation suggests an approach to
the analysis of birth interval and parity progression statistics that effectively
solves censoring and selection problems.
PMID- 22077368
TI - A census-based method for estimating adult mortality.
AB - Abstract A simple method is presented for converting an age distribution in any
closed population into the stationary population corresponding to its current
mortality conditions. The conversion only requires a set of age-specific growth
rates, which will normally be available from successive censuses. From the
stationary population, any life table mortality measure of interest can be
computed. The index most robust to normal data errors in developing countries is
life expectancy, and the paper focuses on its calculation. The sensitivity of
results to various forms of data error is considered, and procedures are proposed
for removing errors resulting from differential census coverage completeness and
from age misstatement at older ages. Applications of the procedures are made to
data from Sweden, India and South Korea. Because of the absence of a radix,
estimation of life expectancy usually will begin at the fifth birthday.
PMID- 22077369
TI - A reducible four-parameter system of model life tables.
AB - Abstract In this paper a four-parameter extension of Brass's relational system
of model life tables is suggested that (1) matches a wide range of empirical age
patterns of mortality, (2) is easy to apply, especially to partial life tables,
and (3) contains demographically meaningful parameters. A test of the model on a
set of 62 empirical life tables indicates that four parameters are necessary and
sufficient for fitting a wide range of mortality patterns. A further test on an
historical series of Swedish life tables reveals a consistent pattern of
mortality change. Examination of the parameters for a set of
geographicallyrelated life tables suggests a way to define families of life
tables. Identification of such temporal and spatial relationships allows the
model to be reduced to a form with twoor three-parameters for application to
incomplete or inaccurate data.
PMID- 22077370
TI - The use of traditional and modern methods of fertility control in Kinshasa,
Zaire.
AB - Abstract The practice of post-partum abstinence has been a long-standing
tradition in many societies of tropical Africa, yet recent research suggests an
erosion of the taboo on post-partum sexual relations as a means of fertility
control. The current study among women in the lower income groups in Kinshasa,
Zaire, provides evidence of this. There is strong motivation toward child
spacing, as shown by 80 per cent of the women who reported to be currently
practising some means of fertility control: 73 per cent with traditional methods,
only 7 per cent with modern contraceptives. There appears to be some carry-over
of traditional practice, in that abstinence is related to the age and nursing
status of the last born child. However, the most widely practised method is
withdrawal. This suggests a desire on the part of this population for
alternatives to abstinence, an issue with important implications for future
family planning programs in Zaire.
PMID- 22077373
TI - Comparison of simple Eudragit microparticles loaded with prednisolone and
Eudragit-coated chitosan-succinyl-prednisolone conjugate microparticles: Part I.
Particle characteristics and in vitro evaluation as a colonic delivery system.
AB - OBJECTIVE: Simple Eudragit microparticles loaded with prednisolone and chitosan
succinyl-prednisolone conjugate microparticles coated with Eudragit were prepared
and characterized in vitro in order to obtain their basic features as a colonic
delivery system. MATERIALS AND METHODS: Both types of microparticles were
prepared by the emulsification-solvent evaporation modified somewhat from the
previous one. Their particle size, shape and their drug content were
investigated, and in vitro release profiles were examined using JP-15 1st fluid
(pH 1.2), JP-15 2nd fluid (pH 6.8) and PBS (pH 7.4) as release media.
Furthermore, the regeneration of conjugate microparticles from Eudragit-coated
microparticles was investigated under the same incubation conditions. RESULTS:
Simple Eudragit S100 (EuS) microparticles (ES-M) were almost spherical, ca. 1.2
MUm diameter, and PD content ca. 3.7% (w/w). Conjugate microparticles (CS-M1) and
EuS-coated conjugate microparticles (CS-M1/S) had particle sizes of ca. 2.8 and
15.3 MUm, respectively, and PD contents of 5.4 and 2.1% (w/w), respectively. ES-M
exhibited suppressed release at pH 1.2, gradual release at pH 6.8 and rapid
release at pH 7.4. CS-M1 showed no release at pH 1.2, and very slow release at pH
6.8 and 7.4. CS-M1 regenerated poorly from CS-M1/S at pH 6.8. CONCLUSIONS: Simple
Eudragit micrparticles and Eudragit-caoted conjugate microparticles, prepared by
the present methods, were found in vitro to be possibly useful as the delivery
systems of PD to the lower intestine, although there were differences in their
release rate and morphological features.
PMID- 22077374
TI - Healthcare burden associated with the post-thrombotic syndrome and potential
impact of the new oral anticoagulants.
AB - Deep-vein thrombosis (DVT) can have a significant impact on a patient's life. In
particular, the development of post-thrombotic syndrome as a long-term
complication of DVT can have devastating consequences for the individual and
impose a substantial economic burden on healthcare systems. Anticoagulants are
the mainstay of DVT treatment; however, the current standard of care, a
parenteral anticoagulant followed by a vitamin K antagonist, is associated with
complex patient management, often resulting in suboptimal therapy. New, oral
anticoagulants have been developed, and a direct thrombin inhibitor--dabigatran
etexilate--and two direct Factor Xa inhibitors--rivaroxaban and apixaban--have
completed and/or have ongoing phase III trials in the treatment of venous
thromboembolism. These agents do not have the drawbacks of the vitamin K
antagonists and hold promise for more effective treatment of DVT, possibly
resulting in a reduction in the incidence of post-thrombotic syndrome.
PMID- 22077375
TI - Properties of an Earth-like planet orbiting a Sun-like star: Earth observed by
the EPOXI mission.
AB - NASA's EPOXI mission observed the disc-integrated Earth and Moon to test
techniques for reconnoitering extrasolar terrestrial planets, using the Deep
Impact flyby spacecraft to observe Earth at the beginning and end of Northern
Hemisphere spring, 2008, from a range of ~1/6 to 1/3 AU. These observations
furnish high-precision and high-cadence empirical photometry and spectroscopy of
Earth, suitable as "ground truth" for numerically simulating realistic
observational scenarios for an Earth-like exoplanet with finite signal-to-noise
ratio. Earth was observed at near-equatorial sub-spacecraft latitude on 18-19
March, 28-29 May, and 4-5 June (UT), in the range of 372-4540 nm wavelength with
low visible resolving power (lambda/Deltalambda=5-13) and moderate IR resolving
power (lambda/Deltalambda=215-730). Spectrophotometry in seven filters yields
light curves at ~372-948 nm filter-averaged wavelength, modulated by Earth's
rotation with peak-to-peak amplitude of <=20%. The spatially resolved Sun glint
is a minor contributor to disc-integrated reflectance. Spectroscopy at 1100-4540
nm reveals gaseous water and carbon dioxide, with minor features of molecular
oxygen, methane, and nitrous oxide. One-day changes in global cloud cover
resulted in differences between the light curve beginning and end of <=5%. The
light curve of a lunar transit of Earth on 29 May is color-dependent due to the
Moon's red spectrum partially occulting Earth's relatively blue spectrum. The
"vegetation red edge" spectral contrast observed between two long-wavelength
visible/near-IR bands is ambiguous, not clearly distinguishing between the
verdant Earth diluted by cloud cover versus the desolate mineral regolith of the
Moon. Spectrophotometry in at least one other comparison band at short wavelength
is required to distinguish between Earth-like and Moon-like surfaces in
reconnaissance observations. However, measurements at 850 nm alone, the high
reflectance side of the red edge, could be sufficient to establish periodicity in
the light curve and deduce Earth's diurnal period and the existence of fixed
surface units.
PMID- 22077376
TI - Pregnancy in type 2B VWD: a case series.
AB - Type 2B von Willebrand disease (VWD) is a rare, inherited bleeding disorder
resulting from a qualitative defect in von Willebrand factor (VWF). There is very
little published information on how to quantify bleeding risk and manage
haemostasis in type 2B VWD patients during pregnancy. This article presents the
changes in VWF parameters and details of patient management and delivery outcomes
for four pregnancies in three women with two different mutations causing type 2B
VWD. We report an unexpected rise in the VWF:Ag at 37 weeks gestation in two
sisters with R1306W associated with significant thrombocytopenia. These patients
were supported with platelet transfusions as well as intermediate purity VWF
FVIII plasma concentrates during the peri- and postpartum periods. No
thrombocytopenia was observed in our third case with a mutation encoding an
R1308C substitution; haemostatic support was with intermediate purity VWF-FVIII
plasma concentrates alone. No adverse bleeding events occurred and in all cases a
live healthy infant was delivered. One patient was readmitted post partum with
bleeding symptoms due to retained placenta; no further haemostatic support was
given at this time. This case series is the first to detail the progression of
laboratory parameters, management and outcomes of pregnancy in patients with type
2B VWD. The cases illustrate some of the challenges posed by the increased
production of a VWF variant with a gain-of-function effect. The rapid coagulation
changes observed in this series illustrate the need for continual monitoring of
VWF parameters and platelet count throughout pregnancy in women with type 2B VWD.
PMID- 22077377
TI - Charge, stereochemistry, or epitaxy? Toward controlled biomimetic nucleation at
mixed monolayer templates.
AB - Floating monolayer mixtures of cationic dioctadecyldimethyldiammonium bromide and
anionic lipids were used as variable templates for the biomimetic nucleation of
calcium carbonate and studied using grazing incidence X-ray diffraction. Varying
the ratio of constituents changes the monolayer charge, structure, and molecular
tilt. The nucleating surface of calcite also changes as the mixture is varied,
and at an 80:20 ratio the (012) face is seen under a floating monolayer template
for the first time. Our results indicate that the average template lattice is the
major controlling factor in the oriented nucleation of CaCO(3). This is in
contrast to the current view that the orientation is controlled by the
stereochemical matching of the terminal functional group and molecular tilt with
respect to the carbonate groups in the crystal.
PMID- 22077378
TI - A polydimethylsiloxane (PDMS) sponge for the selective absorption of oil from
water.
AB - We present a sugar-templated polydimethylsiloxane (PDMS) sponge for the selective
absorption of oil from water. The process for fabricating the PDMS sponge does
not require any intricate synthesis processes or equipment and it is not
environmentally hazardous, thus promoting potential in environmental
applications. The proposed PDMS sponge can be elastically deformed into any
shape, and it can be compressed repeatedly in air or liquids without collapsing.
Therefore, absorbed oils and organic solvents can be readily removed and reused
by simply squeezing the PDMS sponge, enabling excellent recyclability.
Furthermore, through appropriately combining various sugar particles, the
absorption capacity of the PDMS sponge is favorably optimized.
PMID- 22077379
TI - Ruthenium-catalyzed C-H/N-O bond functionalization: green isoquinolone syntheses
in water.
AB - Ruthenium-catalyzed isoquinolone syntheses with ample scope were accomplished
through carboxylate assistance in environmentally benign water as a reaction
medium. The high chemoselectivity of the ruthenium(II) carboxylate complex also
set the stage for the direct use of free hydroxamic acids for annulations of
alkynes.
PMID- 22077381
TI - (18)F-FDG PET/CT may be useful when evaluating a patient for giant cell
arteritis.
PMID- 22077382
TI - A new phenylalkanoid from the rhizomes of Zingiber officinale.
AB - A new phenylalkanoid, (E)-3-hydroxy-1-(4'-dihydroxy-3',5'-dimethoxy-phenyl)
dodecan-6-en-5-one (1) was isolated from the rhizomes of Chinese ginger (Zingiber
officinale Roscoe (Zingiberaceae)). The structure of this new phenylalkanoid was
elucidated by chemical and physical evidences.
PMID- 22077380
TI - CCR5 blockade is well tolerated and induces changes in the tissue distribution of
CCR5+ and CD25+ T cells in healthy, SIV-uninfected rhesus macaques.
AB - BACKGROUND: CCR5 is a main co-receptor for HIV, but also homes lymphocytes to
sites of inflammation. We hypothesized that inhibition of CCR5 signaling would
reduce HIV-associated chronic immune activation. METHODS: To test this
hypothesis, we administered an antagonistic anti-CCR5 monoclonal antibody
(HGS101) to five uninfected rhesus macaques (RMs) and monitored lymphocyte
dynamics in blood and tissue. RESULTS: CCR5 blockade resulted in decreased levels
of CCR5+ T cells in blood and, at later timepoints, in lymph nodes. Additionally,
the levels of CD25+ T cells increased in lymph nodes, but decreased in blood,
bone marrow, and rectal mucosa. Finally, a profile of gene expression from HGS101
treated RMs revealed a subtle, but consistent, in vivo signature of CCR5 blockade
that suggests a mild immune-modulatory effect. CONCLUSIONS: Treatment with anti
CCR5 antibody induces changes in the tissue distribution of CCR5+ and CD25+ T
cells that may impact on the overall levels of immune activation during HIV and
SIV infection.
PMID- 22077383
TI - Development of a measurement and feedback training tool for the arm strokes of
high-performance luge athletes.
AB - Previous studies have shown that the start plays a critical role in sliding
events and explains more than 55% of the variance of the final time in luge.
Experts evaluate the contribution of the arm strokes to be 23% of the total
starting performance. The aim of the present study was to develop a measurement
and feedback training tool (Speedpaddler) for the arm strokes of high-performance
luge athletes. The construction is an aluminium alloy framework with a customary
belt conveyor system, which is driven by two synchronized servo motors. Training
is possible with constant speeds up to 12 m . s(-1) or several speed curves,
which simulate the acceleration of different luge tracks. The construction
facilitates variations in the inclination and speed of the conveyor belts and
thereby the resistance and movement speed. If the athlete accelerates the
conveyor belts during arm-paddling, the torque of the motors decreases. Torque
measurements and high-speed video offer valuable insights into the several
technique criteria. Comparisons of arm-paddle cycle durations on ice and on the
Speedpaddler with 18 luge athletes (national team and juniors) showed no
statistical differences. The Speedpaddler might be a useful tool to improve
starting performance all year round.
PMID- 22077384
TI - How hydrogen bonds influence the mobility of imidazolium-based ionic liquids. A
combined theoretical and experimental study of 1-n-butyl-3-methylimidazolium
bromide.
AB - The virtual laboratory allows for computer experiments that are not accessible
via real experiments. In this work, three previously obtained charge sets were
employed to study the influence of hydrogen bonding on imidazolium-based ionic
liquids in molecular dynamics simulations. One set provides diffusion
coefficients in agreement with the experiment and is therefore a good model for
real-world systems. Comparison with the other sets indicates hydrogen bonding to
influence structure and dynamics differently. Furthermore, in one case the total
charge was increased and in another decreased by 0.1 e. Both the most acidic
proton as well as the corresponding carbon atom were artificially set to zero,
sequentially and simultaneously. In the final setup a negative charge was placed
on the proton in order to introduce a barrier for the anion to contact the cation
via this most acidic hydrogen atom. The following observations were made:
changing the hydrogen bonding ability strongly influences the structure while the
dynamic properties, such as diffusion and viscosity, are only weakly changed.
However, the introduction of larger alterations (stronger hydrogen bonding and
antihydrogen bonding) also strongly influences the diffusion coefficients. The
dynamics of the hydrogen bond, ion pairing, and the ion cage are all affected by
the level of hydrogen bonding. A change in total charges predominantly influences
transport properties rather than structure. For ion cage dynamics with respect to
transport porperties, we find a good correlation and a weak or no correlation for
the ion pair or the hydrogen bond dynamics, respectively. Nevertheless, the
hydrogen bond does influence ion cage dynamics. Therefore, we confirm that ionic
liquids rather consist of loosely interacting counterions than of discrete ion
pairs. Hydrogen bonding affects the properties only in a secondary or indirect
manner.
PMID- 22077386
TI - Conical intersection of the ground and first excited states of water: energies
and reduced density matrices from the anti-Hermitian contracted Schrodinger
equation.
AB - A conical intersection between the ground and first-excited states of water is
computed through the direct calculation of two-electron reduced density matrices
(2-RDMs) from solutions of the anti-Hermitian contracted Schrodinger equation
(ACSE). This study is an extension of a previous study in which the ACSE was used
to compute the energies around a conical intersection in the triplet excited
states of methylene [Snyder, J. W., Jr.; Rothman, A. E.; Foley, J. J.; Mazziotti,
D. A. J. Chem. Phys. 2010, 132, 154109]. We compute absolute energies of the
1(1)A' and 2(1)A' states of water (H(2)O) and the location of the conical
intersection. The ACSE energies are compared to those from ab initio wave
function methods. To treat multireference correlation, we seed the ACSE with an
initial 2-RDM from a multiconfiguration self-consistent field (MCSCF)
calculation. Unlike the situation for methylene, the two states in the vicinity
of the conical intersection of water both have the same spatial symmetry. Hence,
the study demonstrates the ability of the ACSE to resolve states of the same
spatial symmetry that are nearly degenerate in energy. The 2-RDMs from the ACSE
nearly satisfy necessary N-representability conditions. Comparison of the results
from double-zeta and augmented double-zeta basis sets demonstrates the importance
of augmented (or diffuse) functions for determining the location of the conical
intersection.
PMID- 22077385
TI - Hybrid schwannoma/perineurioma: a report of two cases including a possible
radiation-induced case.
AB - Hybrid schwannoma/perineurioma represents a recently characterized dermal or
subdermal tumor showing schwannian cytomorphology and a perineurioma-like
architecture composed of an admixture of both cell types. We report two
additional cases of hybrid schwannoma/ perineurioma, one of which occurred after
irradiation. Patient 1 was that of a 33-year-old man presented with a 2.8-cm
dermal tumor on his left lower leg. Patient 2 was that of an 89-year-old woman
with a history of uterine carcinoma treated by surgery and radiation therapy at
the age of 35. She presented with a 1.2 * 1.0 cm dermal tumor on her left mons
pubis with multiple coincidental basal-cell carcinomas around it. Both tumors
were microscopically well circumscribed but unencapsulated and situated in the
dermis and adipose tissue and showed a vague storiform and lamellar pattern.
Cytologically, both tumors contained two-cell populations, i.e. spindled cells
with plump nuclei, which were positive for S-100 protein and negative for
epithelial membrane antigen (EMA), claudin-1 and CD34, as well as cells with very
slender nuclei and elongated cytoplasmic processes, which were negative for S-100
protein yet expressed EMA, claudin-1 and CD34. Claudin-1 was a better marker to
highlight cells with very slender nuclei than EMA. Both tumors lacked expression
of neurofilament.
PMID- 22077387
TI - Galactose-decorated pH-responsive nanogels for hepatoma-targeted delivery of
oridonin.
AB - Nanogels based on the polymers of galactosylated chitosan-graft-poly (N
isopropylacrylamide) (Gal-CS-g-PNIPAm) were used as carriers of oridonin (ORI)
for tumor targeting. Three ORI-loaded nanogels with various degrees of galactose
substitution were prepared, and their characteristics were evaluated. The release
behavior of ORI from these nanogels was pH-dependent, and the release could be
accelerated under mildly acidic conditions. The cytotoxicity of ORI-loaded
nanogels was pH-sensitive. ORI-loaded nanogels exhibited a higher antitumor
activity than drug-loaded nanogels without galactosylation, and the anticancer
activity increased in relation to increases in the number of galactose moieties
of the nanogels in HepG2 cells. In contrast, the cytotoxicity of ORI-loaded
nanogels against MCF-7 cells decreased compared with that of drug-loaded nanogels
without galactosylation. Results demonstrated that these nanogels could enhance
the uptake of ORI into HepG2 cells via asialoglycoprotein receptor-mediated
endocytosis. These galactose-decorated pH-responsive nanogels were well-suited
for targeted drug delivery to liver cancer cells.
PMID- 22077388
TI - Interactions between killer immunoglobulin-like receptors and their human
leucocyte antigen Class I ligands influence the outcome of unrelated
haematopoietic stem cell transplantation for thalassaemia: a novel predictive
algorithm.
AB - In a study conducted on 114 patients undergoing unrelated donor haematopoietic
stem cell transplantation (HSCT) for thalassaemia, we observed that the lack of
activating killer immunoglobulin-like receptors (KIRs) on donor natural killer
(NK) cells significantly increased the risk of graft-versus-host disease (GvHD)
[hazard risk (HR) 4.2, 95% confidence interval (CI) 1.7-10.1, P = 0.002] and
transplantation-related mortality (HR 4.7, 95% CI 1.6-14.2, P = 0.01). The risk
of GvHD furthermore increased when recipients heterozygous for HLA-C KIR ligand
groups (C1/C2) were transplanted from donors completely lacking activating KIRs
(HR 6.1, 95% CI 1.9-19.2, P = 0.002). We also found that the risk of rejection
was highest when the recipient was homozygous for the C2 HLA-KIR ligand group and
the donor carried two or more activating KIRs (HR 6.8, 95% CI 1.9-24.4, P =
0.005). By interpolating the number of donor activating KIRs with recipient HLA-C
KIR ligands, we created an algorithm capable of stratifying patients according to
the immunogenetic risk of complications following unrelated HSCT. In clinical
practice, this predictive tool could serve as an important supplement to clinical
judgement and decision-making.
PMID- 22077389
TI - Privileged scaffolds or promiscuous binders: a comparative study on rhodanines
and related heterocycles in medicinal chemistry.
AB - Rhodanines and related five-membered heterocycles with multiple heteroatoms have
recently gained a reputation of being unselective compounds that appear as
"frequent hitters" in screening campaigns and therefore have little value in drug
discovery. However, this judgment appears to be based mostly on anecdotal
evidence. Having identified various rhodanines and related compounds in screening
campaigns, we decided to perform a systematic study on their promiscuity. An
amount of 163 rhodanines, hydantoins, thiohydantoins, and thiazolidinediones were
synthesized and tested against several targets. The compounds were also
characterized with respect to aggregation and electrophilic reactivity, and the
binding modes of rhodanines and related compounds in published X-ray cocrystal
structures were analyzed. The results indicate that the exocyclic, double bonded
sulfur atom in rhodanines and thiohydantoins, in addition to other structural
features, offers a particularly high density of interaction sites for polar
interactions and hydrogen bonds. This causes a promiscuous behavior at
concentrations in the "screening range" but should not be regarded as a general
knockout criterion that excludes such screening hits from further development. It
is suggested that special criteria for target affinity and selectivity are
applied to these classes of compounds and that their exceptional and potentially
valuable biomolecular binding properties are consequently exploited in a useful
way.
PMID- 22077391
TI - Communication.
PMID- 22077390
TI - Low prevalence of inhibitor antibodies in the Canadian haemophilia population.
AB - Annual reporting of inhibitors to factors (FVIII) and IX (FIX) to the Canadian
Haemophilia Registry has suggested a lower prevalence than that published in the
literature. We performed a prospective study to determine the prevalence of
patients with inhibitors directed against either FVIII or FIX. Patients with
inhibitors were classified as: (i) inhibitor test positive; (ii) inhibitor test
negative but on immune tolerance induction (ITI); (iii) inhibitor test negative
but bypass treatment recommended; or (iv) inhibitor resolved. One year later, the
cohort was re-classified. The prevalence of inhibitors on 1 May, 2007 was 3.3%
for haemophilia A, 0.6% for haemophilia B and 8.9% and 2.1% for severe
haemophilia A and B. One year later 17 individuals gained and 11 individuals lost
inhibitor status (10 of these with ITI). This study suggests that the prevalence
of inhibitors in our population is lower than that was previously published. We
hypothesize that this is primarily due to the increased use of ITI, but other
factors may be the unselected nature of the cohort and the restriction of the
study to one date thereby conforming as close as practical to the definition of
prevalence rather than incidence. The classification system used in this study
was easy for clinics to apply and was important in defining the population with
inhibitors.
PMID- 22077392
TI - Structure characterisation of a alpha beta-glucan polysaccharide from Auricularia
polytricha.
AB - A water-soluble alpha beta-glucan with a molecular weight of 1.62 * 10(5) Da,
AAPS -1, was obtained from the fruiting bodies of the edible mushroom Auricularia
polytricha by a combined separation of high-speed countercurrent chromatography
(HSCCC)-Sephacryl S-300 HR column chromatography. The structure of AAPS-1 was
elucidated that the polysaccharide possesses a backbone composed of (1 -> 6)
linked-alpha-D-glucopyranosyl and (1 -> 6)-linked-beta-D-glucopyranosyl residues,
partially substituted at O-3 of beta-D-glucopyranosyl residue by side chain (1 ->
4)-alpha-D-Glcp and terminated with non-reducing end alpha-D-Glcp-(1 -> on the
basis of the analyses of partial hydrolysis, periodate oxidation, acetylation,
methylation and NMR spectroscopy ((1)H, (13)C).
PMID- 22077393
TI - Crystal structure and magnetic properties of two new antiferromagnetic spin dimer
compounds; FeTe3O7X (X = Cl, Br).
AB - Two new isostructural layered oxohalides FeTe(3)O(7)X (X = Cl, Br) were
synthesized by chemical vapor transport reactions, and their crystal structures
and magnetic properties were characterized by single-crystal X-ray diffraction,
Raman spectroscopy, magnetic susceptibility and magnetization measurements, and
also by density functional theory (DFT) calculations of the electronic structure
and the spin exchange parameters. FeTe(3)O(7)X crystallizes in the monoclinic
space group P2(1)/c with the unit cell parameters a = 10.7938(5), b = 7.3586(4),
c = 10.8714(6) A, beta = 111.041(5) degrees , Z = 4 for FeTe(3)O(7)Cl, and a =
11.0339(10), b = 7.3643(10), c = 10.8892(10) A, beta = 109.598(10) degrees , Z =
4 for FeTe(3)O(7)Br. Each compound has one unique Fe(3+) ion coordinating a
distorted [FeO(5)] trigonal bipyramid. Two such groups share edges to form
[Fe(2)O(8)] dimers that are isolated from each other by Te(4+) ions. The high
temperature magnetic properties of the compounds as well as spectroscopic
investigations are consistent with an isolated antiferromagnetic spin dimer model
with almost similar spin gaps of ~35 K for X = Cl and Br, respectively. However,
deviations at low temperatures in the magnetic susceptibility and the
magnetization data indicate that the dimers couple via an interdimer coupling.
This interpretation is also supported by DFT calculations which indicate an
interdimer exchange which amounts to 25% and 10% of the intradimer exchange for X
= Cl and Br, respectively. The magnetic properties support the counterion
character and a weak integration of halide ions into the covalent network similar
to that in many other oxohalides.
PMID- 22077395
TI - Prurigo pigmentosa treated with Jessner's peel and irradiation with an 830-nm
light-emitting diode.
PMID- 22077394
TI - Short-duration treatment with the calpain inhibitor MDL-28170 does not protect
axonal transport in an in vivo model of traumatic axonal injury.
AB - Traumatic axonal injury is characterized by early cytoskeletal proteolysis and
disruption of axonal transport. Calpain inhibition has been shown to protect
axons in rodent models of traumatic brain injury. However, in these models, both
white and gray matter are injured, making it difficult to determine if calpain
inhibitors are directly protecting injured axons. To address this issue, we used
our rat optic nerve stretch model to test the hypothesis that early calpain
inhibition directly protects central nervous system (CNS) axons following stretch
injury. Rats were given an intravenous bolus of the calpain inhibitor MDL-28170
(30 mg/kg) 30 min prior to unilateral optic nerve stretch, followed by a 15
mg/kg/h intravenous infusion over the next 2.5 h. Immunohistochemical analysis of
optic nerves 30 min after stretch injury revealed variable increases of calpain
cleaved alpha-spectrin that appeared less evident in stretched nerves from drug
treated rats, although this difference was not statistically significant.
Retrograde axonal transport measured by Fluorogold(r) labeling of retinal
ganglion cells was significantly impaired after stretch injury. However, there
was no difference in the number of Fluorogold-labeled cells in the vehicle vs.
drug treatment groups. These results suggest that early short-duration calpain
inhibitor therapy with MDL-28170 is not an effective strategy to prevent
disruption of axonal transport following isolated axonal stretch injury in the
CNS.
PMID- 22077396
TI - Altered redox homeostasis in human diabetes saliva.
AB - BACKGROUND: Oxidative stress has been implicated in the pathogenesis of diabetes
mellitus (DM). Levels of 8-hydroxy-2'-deoxyguanosine (8-OHdG), 8-epi
prostaglandin-F(2alpha) (8-epi-PGF2alpha), and total protein carbonyls were
measured to assess whether DM is associated with altered salivary redox
homeostasis. METHODS: A total of 215 patients with diabetes and 481 healthy
controls were recruited from the Department of Endocrinology at the Jewish
General Hospital in Montreal. Levels of oxidative biomarkers were assayed using
enzyme-linked immunosorbent assay (ELISA) in whole unstimulated saliva.
Associations of the redox data with exposure to insulin, metformin and dietary
control were assessed by logistic regression analyses. RESULTS: We observed (i)
significantly higher mean levels of 8-OHdG and protein carbonyls in whole
unstimulated saliva of patients with diabetes compared to controls, (ii) higher
mean levels of protein carbonyls in type 1 diabetes as well as higher mean levels
of 8-OHdG and protein carbonyls in type 2 diabetes compared to controls, (iii)
elevated levels of protein carbonyls in diet-controlled patients and in patients
with diabetes on insulin and metformin, (iv) elevated levels of 8-OHdG in
patients on metformin, and (v) significant associations between subjects with DM
and salivary 8-OHdG and protein carbonyls. CONCLUSION: DM is associated with
increased oxidative modification of salivary DNA and proteins. Salivary redox
homeostasis is perturbed in DM and may inform on the presence of the disease and
efficacy of therapeutic interventions.
PMID- 22077397
TI - Induction of caspase-2 activation by a DNA enzyme evokes tumor cell apoptosis.
AB - Caspase-2 is an enigmatic caspase that is now increasingly being associated with
certain types of cell death in cells exposed to cytotoxic agents. It is now known
that in some cases of cell stress, such as DNA damage, activation of this caspase
is triggered, sometimes in the absence of activation of both the intrinsic and
extrinsic pathways of apoptosis. Part of the reason for this enigma has been lack
of a suitable stimulus for this caspase, and with the discovery of DNAzyme 13
(Dz13), a potent oligonucleotide-based caspase-2 activator, much more can now be
elucidated. For instance, one thing that could be unraveled is whether caspase-8
and Fas (CD95)-associated protein with death domain are indeed involved in
caspase-2 activation as part of the death-inducing signaling complex. It is also
becoming apparent that this enigmatic caspase may be important in the mechanisms
behind which chemotherapeutic agents inhibit tumor cell growth. A better
understanding of the true biological effects of this enzyme may indeed lead to
more effective ways of managing tumors clinically. This review article briefly
examines the different compounds capable of inducing activation of caspase-2 and
proposes Dz13 as one that will be valuable for evaluation of the biological
functions of caspase-2.
PMID- 22077398
TI - Female metasternal gland odours mediate male aggregation in Rhodnius prolixus, a
triatomid bug.
AB - We investigated whether metasternal gland (MG) products mediate male aggregation
during mating in Rhodnius prolixus (Heteroptera: Reduviidae) Stal, 1859, through
selective occlusion of female MGs. Pairs of insects presenting intact MGs mated
and other males aggregated around them confirming conclusions from previous
studies. However, when female MGs were occluded, males did not aggregate.
Conversely, the occlusion of male MGs did not affect aggregation of males. The
present experiments demonstrated that the products emitted by the MGs of a mating
R. prolixus female induce other males to aggregate around the mating pair.
Aggregation behaviour of males probably results from the eventual release of
volatiles from the female's MGs. We reinforce the hypothesis suggesting that the
compounds produced by the MGs of R. prolixus act as sexual communication signals
for this species.
PMID- 22077401
TI - A bisferrocene-benzobisimidazole triad as a multichannel ditopic receptor for
selective sensing of hydrogen sulfate and mercury ions.
AB - The bisferrocene-benzobisimidazole triad behaves as a selective redox and
fluorescent chemosensor for HSO(4)(-) and Hg(2+) ions, exhibiting an easily
detectable signal change in both the redox potential of the ferrocene/ferrocinium
redox couple and in the emission band which is red-shifted (Deltalambda = 10-13
nm) and enhanced in intensity (Chelation Enhanced Fluorescence, CHEF = 486-225)
upon complexation with these ions, in EtOH solutions.
PMID- 22077400
TI - Alteration of seed storage protein composition in soybean [Glycine max (L.)
Merrill] mutant lines induced by gamma-irradiation mutagenesis.
AB - This study investigated the alteration of seed storage proteins in soybean
mutants induced by gamma-irradiation. Five soybean cultivars and four landraces
were irradiated with 250 Gy of gamma rays to induce variability. The seed storage
protein profiles of 414 genetic fixed mutants (M(12)-M(20)) having excellent
agricultural traits were analyzed by SDS-PAGE. Among the 414 mutants, 58 were
identifed as lacking lipoxygenase, 89 lacking the alpha' subunit, 113 lacking the
alpha subunit, and 40 with an altered beta subunit. One hundred and forty-nine
mutants lacked the A(3) subunit of glycinin. Fifty-four mutants showed higher
trypsin inhibitor (TIA) activity, whereas 139 showed lower TIA activity compared
to their original cultivars. The selected mutants with low amounts of
antinutritional factors such as trypsin inhibitor, lipoxygenase, and alpha
subunit will constitute genetic resources for improving soybean protein quality.
PMID- 22077402
TI - Formation of polymeric toroidal-spiral particles.
AB - Compared to spherical matrices, particles with well-defined internal structure
provide large surface to volume ratio and predictable release kinetics for the
encapsulated payloads. We describe self-assembly of polymeric particles, whereby
competitive kinetics of viscous sedimentation, diffusion, and cross-linking yield
a controllable toroidal-spiral (T-S) structure. Precursor polymeric droplets are
splashed through the surface of a less dense, miscible solution, after which
viscous forces entrain the surrounding bulk solution into the sedimenting polymer
drop to form T-S channels. The intricate structure forms because low interfacial
tension between the two miscible solutions is dominated by viscous forces. The
biocompatible polymer, poly(ethylene glycol) diacrylate (PEG-DA), is used to
demonstrate the solidification of the T-S shapes at various configurational
stages by UV-triggered cross-linking. The dimensions of the channels are
controlled by Weber number during impact on the surface, and Reynolds number and
viscosity ratio during subsequent sedimentation. We anticipate applications of
the T-S particle in drug delivery, wherein diffusion through these T-S channels
and the polymer matrix would offer parallel release pathways for molecules of
different sizes. Polyphosphate, as a model macromolecule, is entrained in T-S
particles during their formation. The in vitro release kinetics of polyphosphate
from the T-S particles with various channel length and width is reported. In
addition, self-assembly of T-S particles occurs in a single step under benign
conditions for delicate macromolecules, and appears conducive to scaleup.
PMID- 22077403
TI - Foot loading characteristics during three fencing-specific movements.
AB - Plantar pressure characteristics during fencing movements may provide more
specific information about the influence of foot loading on overload injury
patterns. Twenty-nine experienced fencers participated in the study. Three
fencing-specific movements (lunge, advance, retreat) and normal running were
performed with three different shoe models: Ballestra (Nike, USA), Adistar
Fencing Lo (Adidas, Germany), and the fencers' own shoes. The Pedar system
(Novel, Munich, Germany) was used to collect plantar pressures at 50 Hz. Peak
pressures, force-time integrals and contact times for five foot regions were
compared between four athletic tasks in the lunge leg and supporting leg. Plantar
pressure analysis revealed characteristic pressure distribution patterns for the
fencing movements. For the lunge leg, during the lunge and advance movements the
heel is predominantly loaded; during retreat, it is the hallux. For the
supporting leg, during the lunge and advance movements the forefoot is
predominantly loaded; during retreat, it is the hallux. Fencing-specific
movements load the plantar surface in a distinct way compared with running. An
effective cushioning in the heel and hallux region would help to minimize foot
loading during fencing-specific movements.
PMID- 22077404
TI - Identification of genetic variation in 11 candidate genes of canine mammary
tumour.
AB - The incidence of canine mammary tumours (CMTs) differs significantly between
breeds, strongly supporting an influence of genetic risk factors. We aimed at
identifying germline genetic variations in mammary tumour-associated genes in
dogs and survey whether these might alter the encoded proteins. We sequenced 11
genes (BRCA1, BRCA2, BRIP1, CDH1, CHEK2, EGFR, ESR1, HER2, PTEN, STK11 and TP53)
and screened for genetic variations. Sixty-four single nucleotide polymorphisms
(SNPs) were identified. Nine of the coding SNPs were non-synonymous, of which
four were located in gene regions conserved across four species. Three of the non
synonymous SNPs might be damaging according to PolyPhen predictions. One of the
indels identified has previously been associated with CMTs. Because of the
founder effects, genetic drift and inbreeding in many dog breeds the allele
frequencies of the genes studied are likely to vary significantly between breeds
and contribute to the considerable difference in genetic risk associated with
cancer.
PMID- 22077405
TI - Detection of the tumour suppressor gene TP53 and expression of p53, Bcl-2 and p63
proteins in canine transmissible venereal tumour.
AB - Canine transmissible venereal tumour (CTVT) is a neoplasm transmitted among
healthy dogs by direct contact with injured skin and/or mucous tissue. This study
aimed to identify the TP53 gene, messenger RNA (mRNA) as well as the expression
of p53, Bcl-2 and p63 proteins in histological sections of 13 CTVT samples at
different stages of evolution. The in situ hybridization (ISH) and in situ
reverse transcriptase polymerase chain reaction (RT-PCR) assays were used, which
showed the DNA homologous to TP53 and its respective mRNA in 92.3% of the
samples. We detected p53, p63 and Bcl-2 proteins in most of the cell samples in
different grades of intensity. In addition, 46% of the samples were in the
progressive and 54% in the regression phase. This is the first description of
these proteins and a detailed study of their role in CTVT cells needs to be
addressed in or to verify how these cells undergo apoptosis.
PMID- 22077406
TI - Single-agent gemcitabine chemotherapy in dogs with hepatocellular carcinomas.
AB - The goal of this study was to determine the efficacy and tolerability of
gemcitabine in dogs diagnosed with hepatocellular carcinoma (HCC). Eighteen dogs
were examined retrospectively (4 massive HCC, 10 nodular HCC and 4 diffuse HCC).
All dogs received gemcitabine at 350-400 mg m(-2) weekly for 5 weeks. Toxicity
was graded using VCOG-CTCAE guidelines and response was monitored with serial
abdominal ultrasounds. Fifteen dogs completed all five cycles. Toxicity was
minimal and consisted of grade I/II vomiting, anorexia and diarrhoea and two
episodes of grade III neutropenia. Median survival time for all dogs was 983
days. Median progression free interval was 971 days. Based on the results of this
study, surgery remains the best treatment for HCC, despite incomplete resection.
There was no improvement in the survival of those diagnosed with nonresectable
HCC treated with gemcitabine chemotherapy.
PMID- 22077407
TI - Cytogenetic studies in peripheral blood of bovines afflicted by papillomatosis.
AB - Ten types of bovine papillomavirus (BPV) have been described and there are
reports of viral transmission via blood. The presence of viral DNA in lymphocytes
was described to be associated with chromosome instability in these cells. This
study presents an evaluation of chromosome instability in short-term peripheral
lymphocyte cultures from cows presenting skin papillomatosis, compared with
asymptomatic infected animals and non-infected healthy bovines. In a total of
2203 cells, 918 (42%) showed at least one chromosome aberration: 42.7 (+/- 7.8)
in animals with papillomatosis (BPV + W), 40.2 (+/- 11) in asymptomatic animals
(BPV-W) and 4 (+/- 2) in control animals. Significant differences were found
between the infected group (with or without symptoms) and the control group (P <
0.0001). The increased frequencies of chromosome aberrations suggest an
interaction between the virus and host cell chromatin.
PMID- 22077408
TI - Phase I/II clinical trial of 2-difluoromethyl-ornithine (DFMO) and a novel
polyamine transport inhibitor (MQT 1426) for feline oral squamous cell carcinoma.
AB - Polyamines are essential for cell proliferation. Their production is dysregulated
in many cancers and polyamine depletion leads to tumour regression in mouse
models of squamous cell carcinoma (SCC). The purpose of this study was to
determine the maximally tolerated dose of the polyamine transport inhibitor, MQT
1426, when combined with the ornithine decarboxylase (ODC) inhibitor, DFMO, and
to determine whether this therapy results in reduction in tumour polyamine
levels. Thirteen cats with oral SCC received both drugs orally and serial tumour
biopsies were obtained for polyamine measurement. Cats were monitored for
response to therapy and toxicity. A maximum tolerated dose (MTD) of MQT 1426 when
combined with DFMO was determined. Dose-limiting toxicity was vestibular in
nature, but was fully reversible. Spermidine and total polyamine levels decreased
significantly in tissues, two cats experienced objective tumour regression and
six cats had stable disease. These results suggest that further study of
polyamine depletion therapies is warranted.
PMID- 22077409
TI - A randomized controlled study into the efficacy and toxicity of pegylated
liposome encapsulated doxorubicin as an adjuvant therapy in dogs with splenic
haemangiosarcoma.
AB - Safety and efficacy of pegylated liposome encapsulated doxorubicin (PL-DOX) was
compared with free doxorubicin as an adjuvant monotherapy in dogs with splenic
haemangiosarcoma after splenectomy in a randomized prospective clinical trial. A
total of 17 dogs in each group were treated. No significant difference in
survival between the two treatments was found. The calculated median overall
survival time for the 34 dogs was 166 days [95% confidence interval (CI) 148
184]. The 1/2 year and one-year survival was 41.2% (95% CI 24.8-56.9) and 22.7%
(95% CI 9.9-37.4), respectively. In dogs treated with PL-DOX, a desquamating
dermatitis like palmar-plantar erythrodysesthesia (PPES) was seen in two dogs,
while three other dogs showed anaphylactic reactions. Cardiotoxicity was not seen
in either treatment groups.
PMID- 22077410
TI - Detection of tumour necrosis factor-alpha in dogs with lymphoma(*).
AB - Tumour necrosis factor-alpha (TNF-alpha) production by malignant lymphoblasts has
been identified in vitro and in vivo in mice and humans, respectively. The goals
of this study were (1) to evaluate a novel single-sample TNF-alpha assay and (2)
to determine whether TNF-alpha is increased in dogs with lymphoma prior to and
following treatment. Canine TNF-alpha was analysed concurrently using the novel
Siemens Immulite(r) single-sample automated ELISA and the previously validated
Quantikine(r) standard ELISA. Serum from dogs with lymphoma and from breed-, age-
and gender-matched control dogs was evaluated at two time points. Three of 25
(12%) dogs with lymphoma had detectable TNF-alpha at diagnosis, whereas none had
detectable TNF-alpha following complete or partial remission. TNF-alpha was not
detectable in control dogs. Despite 91% homology between human and canine TNF
alpha, the Immulite(r) automated ELISA failed to detect canine TNF-alpha. Serum
TNF-alpha appears to have limited value as a tumour marker in dogs with lymphoma.
PMID- 22077411
TI - Prognostic value of regional lymph node status in canine mammary carcinomas.
AB - In this study, we have determined the prognostic value of the presence of the
micrometastases and metastases greater than 2 mm in the regional lymph nodes for
bitches with mammary carcinomas. The study involved 51 dogs diagnosed with a
single malignant epithelial tumour in the 4th or 5th mammary gland. All animals
underwent regional mastectomy; the 4th and 5th mammary glands were removed
together with the inguinal lymph node. The lymph nodes were examined
immunohistochemically using the anti-cytokeratin antibody, clone AE1/AE3. The
bitches were followed up every 6 months for 2 years after surgery to determine
the disease-free survival (DFS) and overall survival (OS). The Kaplan-Meier
analysis showed a statistically significant difference in DFS and OS only between
the group of bitches without metastases and the group with lymph node metastases
greater than 2 mm. No significant differences between these two groups versus
bitches with lymph node micrometastases were found.
PMID- 22077412
TI - Angiofibroma of the nasal cavity in 13 dogs.
AB - This case series describes a rare entity, nasal angiofibroma, in 13 dogs that
were presented to the University of Wisconsin, School of Veterinary Medicine from
1988 to 2000. All dogs in this case series presented with clinical signs and
radiographic changes that were strongly suggestive of a locally invasive
neoplasm. However, histopathology completed on transnostral core biopsy samples
revealed benign appearing vascular proliferation with secondary lymphosuppurative
inflammation was established despite cytologic criteria of malignancy present in
five dogs. On the basis of the outcomes in this case series, nasal angiofibroma
should be considered a differential for dogs presenting with clinical signs
consistent with a malignant nasal tumour.
PMID- 22077413
TI - Mast cells in canine mammary gland tumour: number, distribution and EPOR
positivity.
AB - Erythropoietin (EPO)-mediated mitogenic and anti-apoptotic effects involve all
the cells expressing functional receptors for EPO (EPOR), as demonstrated by in
vitro and in vivo studies. EPO shows pleiotropic effects and acts as an
endogenous mediator of adaptive tissue response to metabolic stress protecting
tissues from different injuries. Recently, the EPO/EPOR complex has been
identified in several neoplastic cell lines and solid tumours. In this study, the
authors investigated the mast cells (MCs) number, distribution and their
immunoreactivity for EPOR in normal, dysplastic and neoplastic canine mammary
gland. The results showed that MCs were more numerous in displastic glands
compared with normal and neoplastic glands. As far as the EPOR immunoreactivity
is concerned, we did not observe MCs reaction on cancer, in contrast with
previously published data where epithelium of neoplastic gland showed an increase
in EPOR expression along with the neoplastic progression. Overall, our results
might be suggestive for MCs role in oncogenesis and offer new insight regarding
to the expression of EPOR in mammary gland cancer in dog.
PMID- 22077415
TI - Improving the energy and nutrient supply for common marmoset monkeys fed under
long-term laboratory conditions.
AB - BACKGROUND: Current knowledge about the optimal energy and nutrient supply for
common marmoset monkeys (Callithrix jacchus) is scarce, and more information is
needed for establishing the underlying nutritional concepts for facilitating
longevity of this species as laboratory animals for biomedical research. METHODS:
Two feeding experiments were conducted to yield fundamental data about feed
acceptance, real feed intake, and feed preferences under laboratory conditions.
Newly developed feeding concepts for marmoset monkeys were also examined in
preliminary investigations to compare the outcomes with those of a commercial
pelletized mixed feed. RESULTS: The first experiments showed preferences for main
protein sources in the diets studied, specifically that plant proteins are more
accepted than fish meal or egg protein as the main protein source. Several aroma
supplements did not modify the acceptance and feed intake markedly. CONCLUSIONS:
The newly developed feeding concept yielded promising preliminary data for long
term studies of energy and nutrient supply under laboratory conditions. However,
studies of the fundamental requirements are still needed.
PMID- 22077414
TI - The promoting vibration in human heart lactate dehydrogenase is a preferred
vibrational channel.
AB - We examine whether the rate-promoting vibration of lactate dehydrogenase is a
preferred axis of thermal energy transfer. While it seems plausible that such a
mechanistically important motion is also a favored direction of energy transfer,
none of the previous studies of rate-promoting vibrations in enzymatic catalysis
have addressed this question. It is equally likely that the promoting vibration,
though catalytically important, has no different properties than any other axis
in the protein. Resolution of this issue is important for two reasons: First, if
energy is transferred along this axis in a preferred fashion, it shows that the
protein is engineered in a way that transfers thermal energy into a motion that
is coupled to the chemical step. Second, the discovery of a preferred direction
of thermal transfer provides a potential route to experimental verification of
the promoting vibration concept. Our computational experiments are specifically
designed to mimic potential laser experiment with the deposition of thermal
energy in an active-site chromophore with subsequent measurement of temperature
at various points in the protein. Our results indicate that the promoting
vibration is indeed a preferred channel of energy transfer. In addition, we study
the vibrational structure of the protein via the dynamical structure factor to
show preferred vibrational motion along the promoting vibration axis is an
inherent property of the protein structure via thermal fluctuations.
PMID- 22077416
TI - Hydroxylated analogues of ATP-sensitive potassium channel openers belonging to
the group of 6- and/or 7-substituted 3-isopropylamino-4H-1,2,4-benzothiadiazine
1,1-dioxides: toward an improvement in sulfonylurea receptor 1 selectivity and
metabolism stability.
AB - Diversely substituted 3-isopropylamino-4H-1,2,4-benzothiadiazine 1,1-dioxides are
known to be potent KATP channel openers, with several drugs being selective for
the SUR1/Kir6.2 channel subtype. This work examined the biological activity,
tissue selectivity, and in vitro metabolic stability of hydroxylated analogues of
3-isopropylaminobenzothiadiazine dioxides. Because of the presence of a chiral
center, the R and S isomers were prepared separately and characterized. R isomers
were systematically found to be more potent and more selective than S isomers on
pancreatic tissue (compared to vascular smooth muscle tissue), leading to
compounds with an improved sulfonylurea receptor 1 (SUR1) selectivity. An in
vitro metabolic study revealed that 7-chloro-3-isopropylamino-4H-1,2,4
benzothiadiazine 1,1-dioxide (1a) was rapidly biotransformed and led in part to a
mixture of the corresponding (R)- and (S)-3-(1-hydroxy-2-propyl)amino-substituted
derivatives. Radioisotopic experiments characterized one of the most potent and
SUR1-selective enantiomers, (R)-7-chloro-3-(1-hydroxy-2-propyl)amino-4H-1,2,4
benzothiadiazine 1,1-dioxide 13a, as being a KATP channel opener. Moreover, 13a
exhibited an enhanced metabolic stability. Such a compound can be considered as a
new lead candidate displaying improved physicochemical (hydrosolubility) and
pharmacological (tissue selectivity) properties as well as improved metabolic
stability compared to its nonhydroxylated counterpart, 1a.
PMID- 22077417
TI - Current strategies in the diagnosis of diffuse large B-cell lymphoma of the
central nervous system.
AB - Lymphomas can arise within the central nervous system (CNS) as primary CNS
lymphoma (PCNSL) typically involving the brain and less often the leptomeninges,
eyes, and spinal cord. In contrast to PCNSL, secondary CNS lymphoma (SCNSL) is
considered to originate as quasi metastasis from systemic lymphoma spreading to
the CNS. Both types of CNS lymphomas are predominantly tumours of the diffuse
large B-cell type and represent aggressive diseases necessitating a rapid
diagnosis. Following neuroimaging based on magnetic resonance imaging, stereotaxy
and histopathological diagnosis of CNS lymphoma currently remain obligatory to
plan treatment. However, progress in cytopathological, immunophenotypic, and
molecular genetic analyses of the cerebrospinal fluid (CSF) has been achieved
recently and potentially will facilitate lymphoma diagnosis in the future. This
review describes the diagnostic procedures in patients with suspected CNS
lymphomas, primarily PCNSL. In addition to a summary of the standard diagnostic
work-up, an overview and discussion of current data on different techniques for
evaluation of the CSF in CNS lymphoma are given.
PMID- 22077418
TI - The estimation of body height from ulna length in healthy adults from different
ethnic groups.
AB - BACKGROUND: Assessments of nutritional status frequently incorporate a measure
of height to evaluate a person's relative thinness or fatness. Because height is
often difficult to quantify, it may be predicted from alternative anthropometric
measurements, including ulna length. Little information is available about the
accuracy of these predictions in an ethnically diverse population. The present
study aimed to evaluate published equations for predicting height from ulna
length in adults from different ethnic groups. METHODS: Ulna length and
standing height were measured in a gender-stratified sample of 60 Asian, 69 Black
and 65 White healthy volunteers, aged 21-65 years. Height was predicted from ulna
length using the Malnutrition Universal Screening Tool (MUST) equations and
compared against the measured values. Linear regression analysis was used to
develop equations to estimate height from ulna length and to explore the
relationship between height and ulna length in subgroups. RESULTS: The mean
(SD) age for Asian, Black and White in men was 31.7 (11.0), 32.0 (10.3) and 38.6
(12.5) years and in women was 26.2 (5.4), 32.6 (8.9) and 35.7 (11.7); the mean
(SD) height in men was 170.9 (5.2), 178.1 (7.3) and 176.3 (7.7) cm and in women
was 157.7 (4.7), 164.0 (5.9) and 163.7 (6.2) cm. Ulna length and measured height
were significantly correlated among all subgroups, except Asian women (r=0.11,
P=0.57). The mean (SD) difference between predicted and measured height showed
significant overestimates for Asian and Black men [4.0 (4.8) and 6.7 (5.3) cm]
and Asian and Black women [6.4 (4.9) and 4.4 (4.9) cm] but not for White men and
women. CONCLUSIONS: The MUST equations for predicting height from ulna length
in healthy adults should be used with some caution among ethnically diverse
populations, particularly in Asian women.
PMID- 22077419
TI - Prophylactic administration of melatonin to the mother throughout pregnancy can
protect against oxidative cerebral damage in neonatal rats.
AB - OBJECTIVE: The purpose of this study was to investigate whether prophylactic
administration of melatonin to the mother throughout pregnancy could protect
against ischemia/reperfusion (I/R)-induced oxidative brain damage in neonatal
rats. METHODS: The utero-ovarian arteries were occluded bilaterally for 30 min in
female Wistar rats on day 16 of pregnancy to induce fetal ischemia. Reperfusion
was achieved by releasing the occlusion and restoring circulation. A sham
operation was performed in control rats. Melatonin solution or vehicle alone was
administrated orally throughout pregnancy. We collected brain mitochondria from
neonatal rats, evaluated mitochondrial structure by electron microscopy, and
measured the respiratory control index (RCI) as an indicator of mitochondrial
respiratory activity as well as the concentration of thiobarbituric acid-reactive
substances (TBARS), a marker of oxidative stress. Histological analysis was
performed at the Cornu Ammonis 1 (CA1) and Cornu Ammonis 3 (CA3) regions of the
hippocampus. RESULTS: I/R significantly reduced the RCI and significantly
elevated the concentration of TBARS. Melatonin treatment reversed these effects,
resulting in values similar to that in untreated, sham-ischemic animals. Electron
microscopic evaluation showed that the number of intact mitochondria decreased in
the I/R group, while melatonin treatment preserved them. Histological analysis
revealed a decrease in the ratio of normal to whole pyramidal cell number in the
CA1 and CA3 regions in the I/R group. While melatonin administration protected
against degeneration. CONCLUSIONS: These results indicate that prophylactic
administration of melatonin to the mother throughout pregnancy may prevent I/R
induced oxidative brain damage in neonatal rats.
PMID- 22077420
TI - The antimicrobial effect of Iseganan HCl oral solution in patients receiving
stomatotoxic chemotherapy: analysis from a multicenter, double-blind, placebo
controlled, randomized, phase III clinical trial.
AB - BACKGROUND: Cytotoxic chemotherapy induces changes in the oral microflora that
may cause oral and systemic infections in myelosuppressed cancer patients. These
complications prompted us to assess the antimicrobial activity of a topical
Iseganan HCl mouthwash vs. placebo on the aerobic and facultatively anaerobic
oral flora in these patients. METHODS: Two hundred and twenty-five chemotherapy
patients were recruited into a randomized, double-blind, placebo-controlled
trial, conducted at multiple centers. The study compared the antimicrobial
efficacy of Iseganan HCl vs. placebo (95% of the Iseganan and 97% of the control
group received myeloablative chemotherapy). Iseganan HCl 9 mg/3 ml was
administered as a swish and swallow solution, six times daily for 21-28 days.
Microbial cultures were made before and after the daily Iseganan mouth rinse on
the first and final days of chemotherapy. RESULTS: The reduction in total
microbial load after the first day of treatment was statistically significant
(1.59 vs. 0.18 log10 CFU for the Iseganan HCl and placebo groups, respectively, P
< 0.0001). Iseganan HCl rinse had a cumulative effect demonstrated by the
significant difference between the two groups on the last day of the study (i.e.
completion of Iseganan daily treatment) (P < 0.05). The reduction was mainly due
to decreased densities of viridans streptococci, non-hemolytic streptococci, and
yeasts. The minimal inhibitory concentration (MIC) of Iseganan HCl remained the
same throughout the course of treatment. CONCLUSIONS: Topical Iseganan HCl
significantly reduces the total oral aerobic bacterial, streptococcal, and yeast
load. Its potential as an oral antimicrobial agent in preventing these types of
infections is clear.
PMID- 22077421
TI - Surface modification with poly(sulfobetaine methacrylate-co-acrylic acid) to
reduce fibrinogen adsorption, platelet adhesion, and plasma coagulation.
AB - Zwitterionic sulfobetaine methacrylate (SBMA) polymers were known to possess
excellent antifouling properties due to high hydration capacity and neutral
charge surface. In this study, copolymers of SBMA and acrylic acid (AA) with a
variety of compositions were synthesized and were immobilized onto polymeric
substrates with layer-by-layer polyelectrolyte films via electrostatic
interaction. The amounts of platelet adhesion and fibrinogen adsorption were
determined to evaluate hemocompatibility of poly(SBMA-co-AA)-modified substrates.
Among various deposition conditions by modulating SBMA ratio in the copolymers
and pH of the deposition solution, poly(SBMA(56)-co-AA(44)) deposited at pH 3.0
possessed the best hemocompatibility. This work demonstrated that poly(SBMA-co
AA) copolymers adsorbed on polyelectrolyte-base films via electrostatic
interaction improve hemocompatibility effectively and are applicable for various
substrates including TCPS, PU, and PDMS. Furthermore, poly(SBMA-co-AA)-coated
substrate possesses great durability under rigorous conditions. The preliminary
hemocompatibility tests regarding platelet adhesion, fibrinogen adsorption, and
plasma coagulation suggest the potential of this technique for the application to
blood-contacting biomedical devices.
PMID- 22077422
TI - Analysis of the volatile compounds of Teucrium flavum L. subsp. flavum
(Lamiaceae) by headspace solid-phase microextraction coupled to gas
chromatography with flame ionisation and mass spectrometric detection.
AB - In this study, a headspace solid-phase microextraction (HS-SPME) method, in
combination with gas chromatography flame ionisation detector and gas
chromatography-mass spectrometry, has been developed for use in the analysis of
the volatile compounds of Teucrium flavum L. subsp. flavum, a plant whose
particular fragrance is used in the preparation of flavoured wines, bitters and
liqueurs, or as a substitute for hops in the flavouring of beer. The tested
fibres were 100 um poly(dimethylsiloxane) (PDMS), the 65 um PDMS/divinylbenzene
(DVB) and 50/30 um DVB-carboxen-PDMS. The best fibre was found to be PDMS when
working in the following conditions: 60 degrees C temperature, 30 min extraction
time, 30 mg sample amount, 1 mm sample particle size. The HS-SPME method
permitted the identification (95.8-97.8%) of 76 (dry) and 66 (fresh) different
volatiles. In addition, we discovered that the presence of water in the sample
can enhance the absolute quantity of alcoholic compounds such as 1-octen-3-ol and
reduce the presence of esters such as methyl geranate.
PMID- 22077423
TI - Altered microRNA expression profile with miR-27b down-regulation correlated with
disease activity of oral lichen planus.
AB - BACKGROUND: Increasing evidence indicates that microRNAs (miRNAs) play a vital
role in the pathogenesis of inflammatory and autoimmune diseases. The objective
of this study was to investigate the altered miRNA expression profile in patients
with oral lichen planus (OLP) and determine the miR-27b expression. METHODS: We
compared miRNA expression patterns in oral biopsy specimens from patients with
OLP (n=3) with those from normal controls (n=3) using microarray technology. We
further assessed the miR-27b expression in specimens from patients with OLP
(n=53) against controls (n=34) using real-time quantitative PCR (RT-QPCR), and
miR-27b expression in specimens from patients with OLP (n=15) against controls
(n=12) using in situ hybridization (ISH). RESULTS: Using microarray analysis, a
total of 46 differentially expressed miRNAs with more than 2-fold change were
identified, including 8 up-regulated and 38 down-regulated miRNAs. Both RT-QPCR
and ISH analyses revealed that miR-27b was significantly down-regulated in OLP
tissue, and miR-27b expression was even more suppressed in atrophic-erosive OLP
than in reticular OLP. In addition, miR-27b was found to be expressed in the
epithelial keratinocyte layer of both normal and OLP tissues. CONCLUSION: These
data indicate that miRNAs may be the novel candidate biomarkers for the
implication of miRNAs in the pathogenesis of OLP.
PMID- 22077424
TI - Primary cutaneous follicle centre lymphoma with atypical morphological features
on hematoxylin-eosin stained images.
PMID- 22077425
TI - Schizosaccharomyces pombe, unlike Saccharomyces cerevisiae, may not directly
regulate nuclear-cytoplasmic transport of spliced tRNAs in response to nutrient
availability.
AB - Eukaryotic cells adapt to changes in nutrient levels by regulating key processes,
such as gene transcription, ribosome biogenesis, and protein translation. Several
studies have shown that nuclear export of tRNAs is also regulated in
Saccharomyces cerevisiae and rat hepatoma H4IIE cells during nutrient stress.
However, recent studies suggest that nutrient stress does not affect nuclear tRNA
export in several mammalian cell lines, including rat hepatoma H4IIE.
Furthermore, in contrast to previous studies, data reported more recently
established that nuclear export of mature tRNAs derived from intron-containing
pre-tRNAs, but not mature tRNAs made from intronless precursors, is affected by
nutrient stress in several species of Saccharomyces, but not in the yeast
Kluyveromyces lactis . Here, we provide evidence suggesting that
Schizosaccharomyces pombe, like mammalian cells and K. lactis, but unlike
Saccharomyces, do not directly regulate nuclear export of mature tRNAs made from
intron-containing pre-tRNAs in response to nutrient stress. These studies
collectively suggest that regulation of nuclear export of spliced tRNAs to the
cytoplasm in response to nutrient availability may be limited to the genus
Saccharomyces, which unlike other yeasts and higher eukaryotes produce energy for
fermentative growth using respiration-independent pathways by downregulating the
citric acid cycle and the electron transport chain.
PMID- 22077426
TI - The potential benefits of applying a narrative analytic approach for
understanding the experience of fibromyalgia: a review.
AB - PURPOSE: People with fibromyalgia (FM), a medically unexplained illness,
habitually experience widespread pain and fatigue. While some qualitative
research has aimed to understand the experiences of people with FM, studies from
a specific narrative perspective are particularly lacking. This review argues
that future research could be significantly enhanced by studies which analyse the
narratives of people with FM. METHOD: This argument is made through reference to
an examination of the extant qualitative literature on the experience of FM and
theories and narrative studies on chronic illnesses and identity. RESULTS: The
empirical literature is reviewed from a narrative perspective; this assumes that
the stories people tell reveal much about their identities and social worlds. As
such, it is proposed that narrative analysis is particularly well suited for
exploring issues of self and culture and for appreciating how meanings evolve
over time. Further, it is also argued that consideration of these issues is
particularly relevant for understanding the experience of FM given the enigmatic
nature of the syndrome and its chronic course. CONCLUSIONS: The review concludes
by emphasizing that narrative analysis is a valuable method which offers the
potential for uncovering novel insights about the illness experience for these
individuals.
PMID- 22077427
TI - Intra-operative vs pre-operative endoscopic sphincterotomy in patients with
gallbladder and common bile duct stones: cost-utility and value-of-information
analysis.
AB - BACKGROUND: Patients with gallbladder and common bile duct stones are generally
treated by pre-operative endoscopic sphincterotomy (ES) followed by laparoscopic
cholecystectomy (POES). Recently, a meta-analysis has shown that intra-operative
ES during laparoscopic cholecystectomy (IOES) results in fewer complications than
POES, with similar efficacy. The cost effectiveness of IOES versus POES is
unknown. OBJECTIVE: The objective of this study was to compare the cost
effectiveness of IOES versus POES from the UK NHS perspective. METHODS: A
decision-tree model estimating and comparing costs to the UK NHS and QALYs gained
following a policy of either IOES or POES was developed with a time horizon of 3
years. Uncertainty was investigated with probabilistic sensitivity analysis, and
the expected value of perfect information (EVPI) and partial information (EVPPI)
were also calculated. RESULTS: IOES was less costly than POES (approximately
L623 per patient [year 2008 values]) and resulted in similar quality of life
(+0.008 QALYs per patient) as POES. Given a willingness-to-pay threshold of L20
000 per QALY gained, there was a 92.9% probability that IOES is cost effective
compared with POES. Full implementation of IOES could save the NHS L2.8 million
per annum. At a willingness to pay of L20 000 per QALY gained, the 10-year
population EVPI was estimated at L0.6 million. CONCLUSIONS: IOES appears to be
cost effective compared with POES.
PMID- 22077428
TI - The power of reviews and marker vaccines.
PMID- 22077429
TI - Investigating the adhesion of water droplets at low temperatures.
AB - Adhesion of droplets to solid surfaces at low temperatures is crucial for
antifogging and antifreezing, etc. So far, most reports on adhesion measurements
have been carried out in air-liquid-solid systems, but it remains difficult to
precisely investigate the adhesion at low temperatures due to the uncontrollable
condensation. On the basis of the liquid-liquid-solid system, a new method to
measure the adhesion of water droplets at low temperatures was developed and
employed. Moreover, the reported method could be viable in other liquid-liquid
solid systems with wider temperature window; thus, it will find applications in
broad fields such as crude oil recovery, ore-dressing, and transfer printing.
PMID- 22077431
TI - A brief history of this journal.
PMID- 22077430
TI - Mechanisms and clinical implications of thrombosis in paroxysmal nocturnal
hemoglobinuria.
AB - Paroxysmal nocturnal hemoglobinuria (PNH) is a rare acquired disease
characterized by a clone of blood cells lacking glycosyl phosphatidylinositol
(GPI)-anchored proteins at the cell membrane. Deficiency of the GPI-anchored
complement inhibitors CD55 and CD59 on erythrocytes leads to intravascular
hemolysis upon complement activation. Apart from hemolysis, another prominent
feature is a highly increased risk of thrombosis. Thrombosis in PNH results in
high morbidity and mortality. Often, thrombosis occurs at unusual locations, with
the Budd-Chiari syndrome being the most frequent manifestation. Primary
prophylaxis with vitamin K antagonists reduces the risk but does not completely
prevent thrombosis. Eculizumab, a mAb against complement factor C5, effectively
reduces intravascular hemolysis and also thrombotic risk. Therefore, eculizumab
treatment has dramatically improved the prognosis of PNH. The mechanism of
thrombosis in PNH is still unknown, but the highly beneficial effect of
eculizumab on thrombotic risk suggests a major role for complement activation.
Additionally, a deficiency of GPI-anchored proteins involved in hemostasis may be
implicated.
PMID- 22077432
TI - Myocardial remodelling in left ventricular atrophy induced by caloric
restriction.
AB - Changes in body weight due to changes in food intake are reflected by
corresponding changes in the cardiac phenotype. Despite a growing body of
literature on cardiac hypertrophy associated with obesity, little is known on the
atrophic remodelling of the heart associated with calorie restriction. We
hypothesized that, besides the cardiomyocyte compartment, capillaries and nerve
fibres are involved in the atrophic process. C57Bl6 mice were kept on normal diet
(control group) or at a calorie-restricted diet for 3 or 7 days (n = 5 each). At
the end of the protocol, mice were killed and the hearts were processed for light
and electron microscopic stereological analysis of cardiomyocytes, capillaries
and nerve fibres. Body, heart and left ventricular weight were significantly
reduced in the calorie-restricted animals at 7 days. Most morphological
parameters were not significantly different at 3 days compared with the control
group, but at 7 days most of them were significantly reduced. Specifically, the
total length of capillaries, the volume of cardiomyocytes as well as their
subcellular compartments and the interstitium were proportionally reduced during
caloric restriction. No differences were observed in the total length or the mean
diameter of axons between the cardiomyocytes. Our data indicate that diet-induced
left ventricular atrophy leads to a proportional atrophic process of
cardiomyocytes and capillaries. The innervation is not involved in the atrophic
process.
PMID- 22077433
TI - Trend of contact allergy to cosmetic ingredients in Thais over a period of 10
years.
AB - BACKGROUND: Contact allergy to cosmetic ingredients is common. However, there are
no recent comprehensive studies on contact allergy to cosmetic ingredients in
Asia. OBJECTIVES: To identify positive patch test reactions in patients tested at
Siriraj Hospital, Bangkok, Thailand to allergens present in cosmetics. METHODS: A
retrospective review of medical records from the outpatient contact dermatitis
clinic was conducted from January 1999 to December 2008. Patients with at least
one positive patch test reaction to allergens associated with cosmetic
ingredients were studied. The results were evaluated using Pearson's chi(2) -test
with Yates' continuity correction or Fisher's exact test where appropriate,and a
p-value <0.002 was considered to be statistically significant by Bonferroni
correction. RESULTS: There were 1247 cases (239 males and 1008 females; mean age
38.5 years). Fragrance chemicals and preservatives were the most commonly
recognized cosmetic allergens. Ammoniated mercury was the only allergen that
showed a significantly increased frequency over the 10-year period (p = 0.0008).
CONCLUSIONS: Our study showed that ammoniated mercury is an emerging cosmetic
allergen, showing an increased prevalence in recent years in Thailand. A focus is
required on emerging cosmetic allergens and what may account for the upward trend
of cosmetic contact dermatitis.
PMID- 22077434
TI - The 'overall yield' with the baseline series - a useful addition to the array of
MOAHLFA factors describing departmental characteristics of patch tested patients.
AB - BACKGROUND: The proportion of patients with a positive patch test reaction to at
least one allergen from the baseline series differs between departments.
OBJECTIVES: To further define the above mentioned proportion, termed the 'P'
measure, to examine factors associated with this measure, to describe its
variation over time and between centres, and to discuss possible uses. PATIENTS
AND METHODS: Eighteen departments have been 'long-term' participants in the
Information Network of Departments of Dermatology (IVDK; www.ivdk.org), that is,
since 1995 (1997 in two cases). In these departments, 80 919 consultations for
patch testing with the baseline series, in the composition of the series valid at
that time, were documented until December 2009. Bivariate and multifactorial
analyses addressed factors associated with being patch test-positive to at least
one baseline series allergen. RESULTS: Of the study population, 46.5% had at
least one positive test reaction, with considerable variation being seen between
centres and a general decline during the study period. Among other factors,
female sex, occupational causation and a high suspicion of allergic contact
dermatitis were significantly associated with having at least one positive patch
test reaction to the baseline series. CONCLUSIONS: The 'P' measure should prove
useful as another perspective on departmental characteristics, and should be
reported along with established variables (MOAHLFA index).
PMID- 22077435
TI - Excessive nickel release from mobile phones--a persistent cause of nickel allergy
and dermatitis.
AB - BACKGROUND: Despite the political intention to limit nickel allergy and
dermatitis in Europeans, nickel allergy remains frequent. There are several
explanations for the persistence of nickel allergy and dermatitis, including the
increasing use of mobile phones. Before regulation of nickel release from mobile
phones, we showed that eight (19.5%) of 41 mobile phones marketed in Denmark
between 2003 and 2007 released nickel in concentrations that may result in nickel
allergy and dermatitis. In 2009, the EU Nickel Directive was revised to include
nickel-releasing mobile phones. OBJECTIVES: To investigate the proportion of
mobile phones sold in Denmark that release nickel after regulation. METHODS:
Metallic parts from 50 randomly selected mobile phones currently for sale in
Denmark were tested for nickel release by use of the dimethylglyoxime (DMG)
nickel spot test. RESULTS: Nine (18%) phones showed at least one positive DMG
test reaction and two phones had more than one DMG test-positive spot.
CONCLUSIONS: Apparently, the proportion of mobile phones with significant nickel
release remains unchanged, despite the 2009 revision of the EU Nickel Directive.
We encourage manufacturers to measure nickel release from metallic components
used in the assembly of mobile phones to ensure safe products.
PMID- 22077436
TI - Allergic contact dermatitis caused by sodium dehydroacetate, not hyaluronic acid,
in Ialuset(r) cream.
PMID- 22077437
TI - Widespread contact dermatitis caused by urushiol in kintsugi.
PMID- 22077438
TI - Contact urticaria caused by carvone in toothpaste.
PMID- 22077439
TI - Can reliable information sources be trusted?
PMID- 22077440
TI - Fragrances in topical over-the-counter medicaments - a loophole in EU legislation
should be closed.
PMID- 22077441
TI - Allergic contact dermatitis caused by bis-diglycerylpolyacyladipate-2
(Softisan(r) 649) owing to its 12-hydroxystearic acid content.
PMID- 22077442
TI - Cutaneous myoepithelioma arising within hidradenoma of the scalp.
AB - A 62-year-old man presented with a 2-year history of a 2-cm cystic mass involving
his occiput. There had been recent enlargement, and the clinical impression was
that of a pilar cyst. Histopathological sections showed a partially dermal solid
and cystic proliferation. The tumor contained areas of glandular differentiation
with cuboidal to columnar cells lining luminal and cystic spaces. A concurrent
spindle cell proliferation was seen interspersed between glands and also formed
broad, cellular sheets of cells. The stroma was sclerotic and without chondroid
or myxoid elements. Immunohistochemistry showed that the spindled cells expressed
S100 protein, cytokeratin and smooth muscle myosin. The immunohistochemical
profile and the relationship with ductal elements supported myoepithelial
differentiation. The proliferation warranted the diagnosis of myoepithelioma
arising from a hidradenoma, which to our knowledge has not been previously
described. In addition to discussing this case, we provide a brief review of
epithelial-myoepithelial neoplasms encountered in the skin.
PMID- 22077443
TI - Identification of 4-hydroxy-2-nonenal-histidine adducts that serve as ligands for
human lectin-like oxidized LDL receptor-1.
AB - LOX-1 (lectin-like oxidized low-density lipoprotein receptor-1) is an endothelial
scavenger receptor that is important for the uptake of OxLDL (oxidized low
density lipoprotein) and contributes to the pathogenesis of atherosclerosis.
However, the precise structural motifs of OxLDL that are recognized by LOX-1 are
unknown. In the present study, we have identified products of lipid peroxidation
of OxLDL that serve as ligands for LOX-1. We used CHO (Chinese-hamster ovary)
cells that stably express LOX-1 to evaluate the ability of BSA modified by lipid
peroxidation to compete with AcLDL (acetylated low-density lipoprotein). We found
that HNE (4-hydroxy-2-nonenal)-modified proteins most potently inhibited the
uptake of AcLDL. On the basis of the findings that HNE-modified BSA and oxidation
of LDL resulted in the formation of HNE-histidine Michael adducts, we examined
whether the HNE-histidine adducts could serve as ligands for LOX-1. The authentic
HNE-histidine adduct inhibited the uptake of AcLDL in a dose-dependent manner.
Furthermore, we found the interaction of LOX-1 with the HNE-histidine adduct to
have a dissociation constant of 1.22*10(-8) M using a surface plasmon resonance
assay. Finally, we showed that the HNE-histidine adduct stimulated the formation
of reactive oxygen species and activated extracellular-signal-regulated kinase
1/2 and NF-kappaB (nuclear factor kappaB) in HAECs (human aortic endothelial
cells); these signals initiate endothelial dysfunction and lead to
atherosclerosis. The present study provides intriguing insights into the
molecular details of LOX-1 recognition of OxLDL.
PMID- 22077444
TI - On stability, chirality measures, and theoretical VCD spectra of the chiral C58X2
fullerenes (X = N, B).
AB - The stability of all 23 C(58)N(2) and C(58)B(2) heterofullerenes in the singlet
and triplet states was determined at the B3LYP/6-31G** level. In equilibrium
mixture the achiral (1,4) C(58)N(2) isomer would be populated in ca. 95.8%, the
chiral (1,16) one in ca. 3.3%, and the achiral (1,4) C(58)B(2) in 100%, whereas
all triplet state isomers are less stable. Fourteen out of 23 C(58)X(2) are
chiral. Four different chirality measures were calculated by our own CHIMEA
program: pure geometrical, labeled, mass, and charge. Intercorrelations between
the measures for all chiral compounds indicate that the pure geometrical
chirality measure is unstable and should not be used in QSAR predictions of the
other molecular properties, while the labeled and mass-weighted ones are
promising QSAR descriptors. For each chiral C(58)N(2) molecule, some very strong
VCD bands, of intensity comparable with that in the IR spectra, can serve in
identification and characterization of the isomers.
PMID- 22077445
TI - Stereotactic radiosurgery for arteriovenous malformations, Part 3: outcome
predictors and risks after repeat radiosurgery.
AB - OBJECT: The object of this study was to evaluate the outcomes and risks of repeat
stereotactic radiosurgery (SRS) for incompletely obliterated cerebral
arteriovenous malformations (AVMs). METHODS: Between 1987 and 2006, Gamma Knife
surgery was performed in 996 patients with AVMs. During this period, repeat SRS
was performed in 105 patients who had incompletely obliterated AVMs at a median
of 40.9 months after initial SRS (range 27.5-139 months). The median AVM target
volume was 6.4 cm(3) (range 0.2-26.3 cm(3)) at initial SRS but was reduced to 2.3
cm(3) (range 0.1-18.2 cm(3)) at the time of the second procedure. The median
margin dose at both initial SRS and repeat SRS was 18 Gy. RESULTS: The actuarial
rate of total obliteration by angiography or MR imaging after repeat SRS was 35%,
68%, 77%, and 80% at 3, 4, 5, and 10 years, respectively. The median time to
complete angiographic or MR imaging obliteration after repeat SRS was 39 months.
Factors associated with a higher rate of AVM obliteration were smaller residual
AVM target volume (p = 0.038) and a volume reduction of 50% or more after the
initial procedure (p = 0.014). Seven patients (7%) had a hemorrhage in the
interval between initial SRS and repeat SRS. Seventeen patients (16%) had
hemorrhage after repeat SRS and 6 patients died. The cumulative actuarial rates
of new AVM hemorrhage after repeat SRS were 1.9%, 8.1%, 10.1%, 10.1%, and 22.4%
at 1, 2, 3, 5, and 10 years, respectively, which translate to annual hemorrhage
rates of 4.05% and 1.79% of patients developing new post-repeat-SRS hemorrhages
per year for Years 0-2 and 2-10 following repeat SRS. Factors associated with a
higher risk of hemorrhage after repeat SRS were a greater number of prior
hemorrhages (p = 0.008), larger AVM target volume at initial SRS (p = 0.010),
larger target volume at repeat SRS (p = 0.002), initial AVM volume reduction less
than 50% (p = 0.019), and a higher Pollock-Flickinger score (p = 0.010).
Symptomatic adverse radiation effects developed in 5 patients (4.8%) after
initial SRS and in 10 patients (9.5%) after repeat SRS. Prior embolization (p =
0.022) and a higher Spetzler-Martin grade (p = 0.004) were significantly
associated with higher rates of adverse radiation effects after repeat SRS.
Delayed cyst formation occurred in 5 patients (4.8%) at a median of 108 months
after repeat SRS (range 47-184 months). CONCLUSIONS: Repeat SRS for incompletely
obliterated AVMs increases the eventual obliteration rate. Hemorrhage after
obliteration did not occur in this series. The best results for patients with
incompletely obliterated AVMs were seen in patients with a smaller residual nidus
volume and no prior hemorrhages.
PMID- 22077446
TI - Using imaging to identify psychogenic parkinsonism before deep brain stimulation
surgery. Report of 2 cases.
AB - The frequency with which patients with atypical parkinsonism and advanced motor
symptoms undergo deep brain stimulation (DBS) procedures is unknown. However, the
potential exposure of these patients to unnecessary surgical risks makes their
identification critical. As many as 15% of patients enrolled in recent early
Parkinson disease (PD) trials have been found to lack evidence of a dopaminergic
deficit following PET or SPECT imaging. This suggests that a number of patients
with parkinsonism who are referred for DBS may not have idiopathic PD. The
authors report on 2 patients with probable psychogenic parkinsonism who presented
for DBS surgery. They found that both patients had normal caudate and putamen
[(18)F]-fluorodopa uptake on PET imaging, along with normal expression of
specific disease-related metabolic networks for PD and multiple system atrophy, a
common form of atypical neurodegenerative parkinsonism. The clinical and PET
findings in these patients highlight the role of functional imaging in assisting
clinical decision making when the diagnosis is uncertain.
PMID- 22077447
TI - Stereotactic radiosurgery for arteriovenous malformations, Part 6: multistaged
volumetric management of large arteriovenous malformations.
AB - OBJECT: The object of this study was to define the long-term outcomes and risks
of arteriovenous malformation (AVM) management using 2 or more stages of
stereotactic radiosurgery (SRS) for symptomatic large-volume lesions unsuitable
for surgery. METHODS: In 1992, the authors prospectively began to stage the
treatment of anatomical components to deliver higher single doses to AVMs with a
volume of more than 10 cm(3). Forty-seven patients with such AVMs underwent
volume-staged SRS. In this series, 18 patients (38%) had a prior hemorrhage and
21 patients (45%) underwent prior embolization. The median interval between the
first-stage SRS and the second-stage SRS was 4.9 months (range 2.8-13.8 months).
The median target volume was 11.5 cm(3) (range 4.0-26 cm(3)) in the first-stage
SRS and 9.5 cm(3) in the second-stage SRS. The median margin dose was 16 Gy
(range 13-18 Gy) for both stages. RESULTS: In 17 patients, AVM obliteration was
confirmed after 2-4 SRS procedures at a median follow-up of 87 months (range 0.4
209 months). Five patients had near-total obliteration (volume reduction > 75%
but residual AVM). The actuarial rates of total obliteration after 2-stage SRS
were 7%, 20%, 28%, and 36% at 3, 4, 5, and 10 years, respectively. The 5-year
total obliteration rate after the initial staged volumetric SRS with a margin
dose of 17 Gy or more was 62% (p = 0.001). Sixteen patients underwent additional
SRS at a median interval of 61 months (range 33-113 months) after the initial 2
stage SRS. The overall rates of total obliteration after staged and repeat SRS
were 18%, 45%, and 56% at 5, 7, and 10 years, respectively. Ten patients
sustained hemorrhage after staged SRS, and 5 of these patients died. Three of 16
patients who underwent repeat SRS sustained hemorrhage after the procedure and
died. Based on Kaplan-Meier analysis (excluding the second hemorrhage in the
patient who had 2 hemorrhages), the cumulative rates of AVM hemorrhage after SRS
were 4.3%, 8.6%, 13.5%, and 36.0% at 1, 2, 5, and 10 years, respectively. This
corresponded to annual hemorrhage risks of 4.3%, 2.3%, and 5.6% for Years 0-1, 1
5, and 5-10 after SRS. Multiple hemorrhages before SRS correlated with a
significantly higher risk of hemorrhage after SRS. Symptomatic adverse radiation
effects were detected in 13% of patients, but no patient died as a result of an
adverse radiation effect. Delayed cyst formation did not occur in any patient
after SRS. CONCLUSIONS: Prospective volume-staged SRS for large AVMs unsuitable
for surgery has potential benefit but often requires more than 2 procedures to
complete the obliteration process. To have a reasonable chance of benefit, the
minimum margin dose should be 17 Gy or greater, depending on the AVM location. In
the future, prospective volume-staged SRS followed by embolization (to reduce
flow, obliterate fistulas, and occlude associated aneurysms) may improve
obliteration results and further reduce the risk of hemorrhage after SRS.
PMID- 22077448
TI - Role of radiosurgery for arteriovenous malformations.
PMID- 22077449
TI - Radiosurgery.
PMID- 22077450
TI - Stereotactic radiosurgery for arteriovenous malformations, Part 5: management of
brainstem arteriovenous malformations.
AB - OBJECT: In this paper, the authors' goal was to define the long-term outcomes and
risks of stereotactic radiosurgery (SRS) for arteriovenous malformations (AVMs)
of the medulla, pons, and midbrain. METHODS: Between 1987 and 2006, the authors
performed Gamma Knife surgery in 996 patients with brain AVMs; 67 patients had
AVMs in the brainstem. In this series, 51 patients (76%) had a prior hemorrhage.
The median target volume was 1.4 cm(3) (range 0.1-13.4 cm(3)). The median margin
dose was 20 Gy (range 14-25.6 Gy). RESULTS: Obliteration of the AVMs was
eventually documented in 35 patients at a median follow-up of 73 months (range 6
269 months). The actuarial rates of documentation of total obliteration were 41%,
70%, 70%, and 76% at 3, 4, 5, and 10 years, respectively. Higher rates of AVM
obliteration were associated only with a higher margin dose. Four patients (6%)
suffered a hemorrhage during the latency period, and 2 patients died. The rate of
AVM hemorrhage after SRS was 3.0%, 3.0%, and 5.8% at 1, 5, and 10 years,
respectively. The overall annual hemorrhage rate was 1.9%. Permanent neurological
deficits due to adverse radiation effects (AREs) developed in 7 patients (10%)
after SRS, and a delayed cyst developed in 2 patients (3%). One patient died at
an outside institution with symptoms of AREs and unrecognized hydrocephalus.
Higher 12-Gy volumes and higher Spetzler-Martin grades were associated with a
higher risk of symptomatic AREs. Ten of 22 patients who had ocular dysfunction
before SRS had improvement, 9 were unchanged, and 3 were worse due to AREs. Eight
of 14 patients who had hemiparesis before SRS improved, 5 were unchanged, and 1
was worse. CONCLUSIONS: Although hemorrhage after obliteration did not occur in
this series, patients remained at risk during the latency interval until
obliteration occurred. Thirty-eight percent of the patients who had neurological
deficits due to prior hemorrhage improved. Higher dose delivery in association
with conformal and highly selective SRS is required for safe and effective
radiosurgery.
PMID- 22077451
TI - Stereotactic radiosurgery for arteriovenous malformations, Part 4: management of
basal ganglia and thalamus arteriovenous malformations.
AB - OBJECT: The authors conducted a study to define the long-term outcomes and risks
of stereotactic radiosurgery (SRS) for arteriovenous malformations (AVMs) of the
basal ganglia and thalamus. METHODS: Between 1987 and 2006, the authors performed
Gamma Knife surgery in 996 patients with brain AVMs; 56 patients had AVMs of the
basal ganglia and 77 had AVMs of the thalamus. In this series, 113 (85%) of 133
patients had a prior hemorrhage. The median target volume was 2.7 cm(3) (range
0.1-20.7 cm(3)) and the median margin dose was 20 Gy (range 15-25 Gy). RESULTS:
Obliteration of the AVM eventually was documented on MR imaging in 78 patients
and on angiography in 63 patients in a median follow-up period of 61 months
(range 2-265 months). The actuarial rates documenting total obliteration after
radiosurgery were 57%, 70%, 72%, and 72% at 3, 4, 5, and 10 years, respectively.
Factors associated with a higher rate of AVM obliteration included AVMs located
in the basal ganglia, a smaller target volume, a smaller maximum diameter, and a
higher margin dose. Fifteen (11%) of 133 patients suffered a hemorrhage during
the latency period and 7 patients died. The rate of post-SRS AVM hemorrhage was
4.5%, 6.2%, 9.0%, 11.2%, and 15.4% at 1, 2, 3, 5, and 10 years, respectively. The
overall annual hemorrhage rate was 4.7%. When 5 patients with 7 hemorrhages
occurring earlier than 6 months after SRS were removed from this analysis, the
annual hemorrhage rate decreased to 2.7%. Larger volume AVMs had a higher risk of
hemorrhage after SRS. Permanent neurological deficits due to adverse radiation
effects (AREs) developed in 6 patients (4.5%), and in 1 patient a delayed cyst
developed 56 months after SRS. No patient died of AREs. Factors associated with a
higher risk of symptomatic AREs were larger target volume, larger maximum
diameter, lower margin dose, and a higher Pollock-Flickinger score. CONCLUSIONS:
Stereotactic radiosurgery is a gradually effective and relatively safe management
option for deep-seated AVMs in the basal ganglia and thalamus. Although
hemorrhage after obliteration did not occur in the present series, patients
remain at risk during the latency interval between SRS and obliteration. The best
candidates for SRS are patients with smaller volume AVMs located in the basal
ganglia.
PMID- 22077452
TI - Stereotactic radiosurgery for arteriovenous malformations, Part 1: management of
Spetzler-Martin Grade I and II arteriovenous malformations.
AB - OBJECT: The aim of this paper was to define the outcomes and risks of
stereotactic radiosurgery (SRS) for Spetzler-Martin Grade I and II arteriovenous
malformations (AVMs). METHODS: Between 1987 and 2006, the authors performed Gamma
Knife surgery in 996 patients with brain AVMs, including 217 patients with AVMs
classified as Spetzler-Martin Grade I or II. The median maximum diameter and
target volumes were 1.9 cm (range 0.5-3.8 cm) and 2.3 cm(3) (range 0.1-14.1
cm(3)), respectively. The median margin dose was 22 Gy (range 15-27 Gy). RESULTS:
Arteriovenous malformation obliteration was confirmed by MR imaging in 148
patients and by angiography in 100 patients with a median follow-up of 64 months
(range 6-247 months). The actuarial rates of total obliteration determined by
angiography or MR imaging after 1 SRS procedure were 58%, 87%, 90%, and 93% at 3,
4, 5, and 10 years, respectively. The median time to complete MR imaging
determined obliteration was 30 months. Factors associated with higher AVM
obliteration rates were smaller AVM target volume, smaller maximum diameter, and
greater marginal dose. Thirteen patients (6%) suffered hemorrhages during the
latency period, and 6 patients died. Cumulative rates of AVM hemorrhage 1, 2, 3,
5, and 10 years after SRS were 3.7%, 4.2%, 4.2%, 5.0%, and 6.1%, respectively.
This corresponded to rates of annual bleeding risk of 3.7%, 0.3%, and 0.2% for
Years 0-1, 1-5, and 5-10, respectively, after SRS. The presence of a coexisting
aneurysm proximal to the AVM correlated with a significantly higher hemorrhage
risk. Temporary symptomatic adverse radiation effects developed in 5 patients
(2.3%) after SRS, and 2 patients (1%) developed delayed cysts. CONCLUSIONS:
Stereotactic radiosurgery is a gradually effective and relatively safe option for
patients with smaller volume Spetzler-Martin Grade I or II AVMs who decline
initial resection. Hemorrhage after obliteration did not occur in this series.
Patients remain at risk for a bleeding event during the latency interval until
obliteration occurs. Patients with aneurysms and an AVM warrant more aggressive
surgical or endovascular treatment to reduce the risk of a hemorrhage in the
latency period after SRS.
PMID- 22077454
TI - Association fibers connecting the Broca center and the lateral superior frontal
gyrus: a microsurgical and tractographic anatomy.
AB - OBJECT: Recently, intraoperative mapping has disclosed that, in addition to the
classic language centers (that is, the Broca and Wernicke centers), other
cortical regions may also play an important role in language organization. In the
prefrontal cortex, although the lateral superior frontal gyrus (LSFG) could have
language-related functions, there are no detailed reports that demonstrate the
anatomical connection between the LSFG and other well-known language cortices,
such as the Broca center. To show the existence of the structural connection,
white matter association fibers between the inferior frontal gyrus (IFG) and the
LSFG were examined using fiber dissection (FD) and diffusion tensor (DT) imaging
based tractography. METHODS: Eight cadaveric cerebral hemispheres were dissected
to reveal the association fibers between the IFG and LSFG. The DT imaging-based
tractography studies targeting the prefrontal cortex were obtained in 53 right
handed patients who had no organic cerebral lesions. RESULTS: The association
fiber tract between Brodmann area 44/45 (the Broca center in the dominant
hemisphere) and LSFG were detected in all specimens by FD. In the DT imaging
based tractography studies, the tract was identified in all patients bilaterally,
except for the 4 in whom the tract was detected only in the left hemisphere. This
tract was spread significantly wider in the left than in the right hemisphere,
and left lateralization was evident in male patients. CONCLUSIONS: Based on its
character, this tract was named the Broca-LSFG pathway. These findings suggest a
close relationship between this pathway and language organization. The structural
anatomy of the Broca-LSFG pathway may explain speech disturbances induced by LSFG
stimulation that are sometimes observed during intraoperative language mapping.
PMID- 22077455
TI - Stereotactic radiosurgery for arteriovenous malformations.
PMID- 22077456
TI - Severe neonatal jaundice: is it a rare event in Australia?
AB - Hyperbilirubinaemia is common in the newborn period, and while the vast majority
of babies are unaffected, significant neurological impairment remains a risk
associated with extremely high levels of bilirubin. There is concern
internationally that the number of babies affected by severe neonatal
hyperbilirubinaemia may be increasing. This review describes the most current
published data pertaining to the incidence and causes of severe neonatal
hyperbilirubinaemia in order to determine whether concern regarding the possible
re-emergence of kernicterus in Australia is warranted. Seven incidence studies
conducted internationally between 1988 and 2005 identify an estimated incidence
of severe neonatal jaundice of between 7.1 and 45 per 100,000 births and of
kernicterus at 0.4-2.7. Major pathophysiological causes or associations include
ABO and other blood group incompatibility, glucose-6-phoshate-dehydrogenase
deficiency, infection and haemolysis of other causes including spherocytosis.
Other factors associated with poor outcomes include prematurity, male gender,
ethnicity, breastfeeding and early hospital discharge. The management of severe
neonatal jaundice requires multifaceted risk quantification in addition to the
availability of adequate surveillance, particularly in the context of early
hospital discharge. It is of concern that currently there is a paucity of
incidence data in Australia relating to this potentially devastating yet
generally preventable condition. Therefore, a surveillance study has been
initiated through the Australian Paediatric Surveillance Unit. It is anticipated
that these data will accurately define the incidence in Australia and hopefully
guide strategies to prevent a condition that we may have prematurely considered
to be of historical interest only.
PMID- 22077457
TI - Cytotoxic and antimicrobial aporphine alkaloids from Fissistigma poilanei
(Annonaceae) collected in Vietnam.
AB - Two new aporphine alkaloids: 8-hydroxy-9-methoxy-1,2-methylenedioxyaporphine (1)
and 8-hydroxy-3,9-dimethoxy-1,2-methylenedioxyaporphine (2) were isolated from
the ethyl acetate extract of Fissistigma poilanei along with five known
compounds: oxocrebanine (3), kuafumine (4), (2R,3R)-3',4',5,7
tetrahydroxydihydroflavonol-3-O-alpha-L-rhamnopyranoside (5), (+)-catechin 3-O
alpha-L-rhamnopyranoside (6) and quercetine 3,7-dimethoxy-3'-O-alpha-L
rhamnopyranosyl-(1 -> 2)-beta-D-glucopyranoside (7). These two new aporphine
alkaloids exhibited a moderate cytotoxic activity against four human cancer cell
lines (KB, Hep-G2, MCF-7, LU) as well as antimicrobial activity against
Lactobacillus fermentum, Enterococcus faecium, Staphylococcus aureus and Bacillus
subtillis.
PMID- 22077458
TI - Modulating antibacterial properties using nanotechnology.
PMID- 22077460
TI - Sensitizing of gemcitabine-resistant human leukemia cells by stearoyl gemcitabine
nanoparticles .
PMID- 22077461
TI - Interview: Nanomedicine in oncology: miniaturized means to an enormous end.
Interviewed by Cara Sutton.
PMID- 22077462
TI - In vivo real-time fluorescence visualization and brain-targeting mechanisms of
lipid nanocarriers with different fatty ester:oil ratios.
AB - AIMS: The objective of the present work was to investigate the influence of the
inner cores of lipid nanocarriers on the efficiency of brain targeting. Cetyl
palmitate and squalene were respectively chosen as the solid lipid and liquid oil
in the inner phase of the nanocarriers. MATERIALS & METHODS: Nanoparticulate
systems with different cetyl palmitate/squalene ratios were compared by
evaluating the size, zeta potential, molecular environment, and mobility of
lipids in the systems. RESULTS: The particulate diameter ranged from 190 to 210
nm, with systems containing 100% cetyl palmitate in the matrix (solid lipid
nanoparticles [SLN]) showing the smallest size, followed by systems with both
cetyl palmitate and squalene (nanostructured lipid carriers [NLC]) and with 100%
squalene (lipid emulsions [LE]). A cationic surfactant, Forestall, was used to
produce a positive surface charge of 40-55 mW. The in vitro release was evaluated
using various dyes located in different phases of the nanocarriers. The release
of sulforhodamine B occurred in a sustained manner from the shell of the
nanocarriers. The in vivo brain distribution of lipid nanosystems after an
intravenous injection into rats was monitored by a real-time fluorescence imaging
system. LE showed higher brain accumulation than SLN and NLC. NLC only exhibited
a slightly higher brain accumulation compared with the aqueous control.
Incorporation of sulforhodamine B into LE could prolong its retention in the
brain from 20 to 50 min. The results were further confirmed by imaging the entire
brain and brain slices. The specific association of lipid nanocarriers with rat
brain endothelial cells (bEnd3) was demonstrated using fluorescence microscopy.
The cellular uptake of LE and SLN was higher compared with NLC and the aqueous
control. LE were observed to be internalized by cells through caveola-mediated
and macropinocytotic energy-dependent endocytosis. CONCLUSION: The experimental
profiles indicated that LE with moderate additives are a promising brain
targeting nanocarrier. The composition of the lipid matrix played a significant
role in delivering compounds to the brain.
PMID- 22077463
TI - Magnetic targeting strategies in gene delivery.
AB - Gene delivery is a process of the insertion of transgenes into cells with the
purpose to obtain the expression of encoded protein. The therapeutic application
of this process is termed gene therapy, which is becoming a promising instrument
to treat genetic and acquired diseases. Although numerous methods of gene
transfer have already been developed, including biological, physical and chemical
approaches, the optimal strategy has to be discovered. Importantly, it should be
effective, selective and safe to be translated to the clinic. Magnetic targeting
has been demonstrated as an effective strategy to decrease side effects of gene
transfer, while increasing the selectivity and efficiency of the applied vector.
This article will focus on the latest progress in the development of different
magnetic vectors, based on both viral and nonviral gene delivery agents. It will
also include a description of magnetic targeting applications in stem cells and
in vivo, which has gained interest in recent years due to the rapid development
of technology.
PMID- 22077465
TI - Design of self-assembling peptides and their biomedical applications.
AB - Combining physics, engineering, chemistry and biology, we can now design,
synthesize and fabricate biological nanomaterials at the molecular scale using
self-assembling peptide systems. These peptides have been used for fabrication of
nanomaterials, including nanofibers, nanotubes and vesicles, nanometer-thick
surface coating and nanowires. Some of these peptides are used for stabilizing
membrane proteins and drug delivery, and others provide a more permissive
environment for 3D cell culture, tissue engineering and repair of tissues in
regenerative medicine. Self-assembling peptides are also useful for fabricating a
wide spectrum of exquisitely fine architectures, nanomaterials and nanodevices
for nanomedicine and nanobiotechnology. These peptide systems lie at the
interface between molecular biology, chemistry, materials science and
engineering. The studies of designed self-assembling peptides and their
applications will help us to understand nature?s enormous power and how to apply
it to benefit other disciplines and society.
PMID- 22077464
TI - Improving delivery and efficacy of nanomedicines in solid tumors: role of tumor
priming.
AB - Effectiveness of nanomedicines in cancer therapy is limited in part by inadequate
delivery and transport in tumor interstitium. This article reviews the
experimental approaches to improve nanomedicine delivery and transport in solid
tumors. These approaches include tumor vasculature normalization, interstitial
fluid pressure modulation, enzymatic extracellular matrix degradation, and
apoptosis-inducing tumor priming technology. We advocate the latter approach due
to its ease and practicality (accomplished with standard-of-care chemotherapy,
such as paclitaxel) and tumor selectivity. Examples of applying tumor priming to
deliver nanomedicines and to design drug/RNAi-loaded carriers are discussed.
PMID- 22077466
TI - Lipid-based nanoformulation of irinotecan: dual mechanism of action allows for
combination chemo/angiogenic therapy.
AB - A number of studies have outlined the antiangiogenic effects of cytotoxic agents
when administered frequently at low doses. These studies suggest that the effect
of the cytotoxic agent is on the vasculature within the tumor and it is assumed
that there is little or negligible cytotoxicity. Liposomal drug delivery systems
have the ability to provide a dual mechanism of activity where tumor accumulation
can deliver high local concentrations of the drug at the site of action with
concomitant slow release of the drug from carriers in the blood compartment that
results in antivascular effects, similar to that achieved when dosing frequently
at low levels. Although this dual mechanism of activity may be linked to other
lipid nanoparticle formulations of anticancer drugs, this article summarizes the
evidence supporting direct (cytotoxic) and indirect (antivascular) actions of a
liposomal formulation of irinotecan.
PMID- 22077468
TI - New variant of unclassified congenital dyserythropoietic anaemia: the concept of
the erythroid regulator?
PMID- 22077469
TI - Neuromonitoring.
PMID- 22077470
TI - Laminectomy, durotomy, and piotomy effects on spinal cord intramedullary pressure
in severe cervical and thoracic kyphotic deformity: a cadaveric study.
AB - OBJECT: Previous studies have shown that cervical and thoracic kyphotic deformity
increases spinal cord intramedullary pressure (IMP). Using a cadaveric model, the
authors investigated whether posterior decompression can adequately decrease
elevated IMP in severe cervical and thoracic kyphotic deformities. METHODS: Using
an established cadaveric model, a kyphotic deformity was created in 16 fresh
human cadavers (8 cervical and 8 thoracic). A single-level rostral laminotomy and
durotomy were performed to place intraparenchymal pressure monitors in the spinal
cord at C-2, C4-5, and C-7 in the cervical study group and at T4-5, T7-8, and T11
12 in the thoracic study group. Intramedullary pressure was recorded at maximal
kyphosis. Posterior laminar, dural, and pial decompressions were performed while
IMP was monitored. In 2 additional cadavers (1 cervical and 1 thoracic), a
kyphotic deformity was created and then corrected. RESULTS: The creation of the
cervical and thoracic kyphotic deformities resulted in significant increases in
IMP. The mean increase in cervical and thoracic IMP (change in IMP [DeltaIMP])
for all monitored levels was 37.8 +/- 7.9 and 46.4 +/- 6.4 mm Hg, respectively.
After laminectomies were performed, the mean cervical and thoracic IMP was
reduced by 22.5% and 18.5%, respectively. After midsagittal durotomies were
performed, the mean cervical and thoracic IMP was reduced by 62.8% and 69.9%,
respectively. After midsagittal piotomies were performed, the mean cervical and
thoracic IMP was reduced by 91.3% and 105.9%, respectively. In 2 cadavers in
which a kyphotic deformity was created and then corrected, the DeltaIMP increased
with the creation of the deformity and returned to zero at all levels when the
deformity was corrected. CONCLUSIONS: In this cadaveric study, laminar
decompression reduced DeltaIMP by approximately 15%-25%, while correction of the
kyphotic deformity returned DeltaIMP to zero. This study helps explain the
pathophysiology of myelopathy in kyphotic deformity and the failure of
laminectomy alone for cervical and thoracic kyphotic deformities with myelopathy.
In addition, the study emphasizes the need for correction of deformity during
operative treatment of kyphotic deformity, the need for maintaining adequate
intraoperative blood pressure during operative treatment, and the higher risk of
spinal cord injury associated with operative treatment of kyphotic deformity.
PMID- 22077471
TI - Percutaneous vertebroplasty.
PMID- 22077472
TI - Cervical decompression and reconstruction without intraoperative
neurophysiological monitoring.
AB - OBJECT: The primary goal of this study was to review the immediate postoperative
neurological function in patients surgically treated for symptomatic cervical
spine disease without intraoperative neurophysiological monitoring. The secondary
goal was to assess the economic impact of intraoperative monitoring (IOM) in this
patient population. METHODS: This study is a retrospective review of 720
consecutively treated patients who underwent cervical spine procedures. The
patients were identified and the data were collected by individuals who were not
involved in their care. RESULTS: A total of 1534 cervical spine levels were
treated in 720 patients using anterior, posterior, and combined (360 degrees )
approaches. Myelopathy was present preoperatively in 308 patients. There were 185
patients with increased signal intensity within the spinal cord on preoperative
T2-weighted MR images, of whom 43 patients had no clinical evidence of
myelopathy. Three patients (0.4%) exhibited a new neurological deficit
postoperatively. Of these patients, 1 had a preoperative diagnosis of
radiculopathy, while the other 2 were treated for myelopathy. The new
postoperative deficits completely resolved in all 3 patients and did not require
additional treatment. The Current Procedural Terminology (CPT) codes for IOM
during cervical decompression include 95925 and 95926 for somatosensory evoked
potential monitoring of the upper and lower extremities, respectively, as well as
95928 and 95929 for motor evoked potential monitoring of the upper and lower
extremities. In addition to the charge for the baseline [monitoring] study,
patients are charged hourly for ongoing electrophysiology testing and monitoring
using the CPT code 95920. Based on these codes and assuming an average of 4 hours
of monitoring time per surgical case, the savings realized in this group of
patients was estimated to be $1,024,754. CONCLUSIONS: With the continuing
increase in health care costs, it is our responsibility as providers to minimize
expenses when possible. This should be accomplished without compromising the
quality of care to patients. This study demonstrates that decompression and
reconstruction for symptomatic cervical spine disease without IOM may reduce the
cost of treatment without adversely impacting patient safety.
PMID- 22077473
TI - Examination of the influence of ossification of the anterior longitudinal
ligament on symptom progression and surgical outcome of ossification of the
thoracic ligamentum flavum: a multicenter study.
AB - OBJECT: The purpose of this study was to provide the first evidence for the
influence of an ossified anterior longitudinal ligament (OALL) on the clinical
features and surgical outcomes in an ossified ligamentum flavum (OLF) in the
thoracic region. METHODS: Sixty-three patients who underwent surgery for a 1
level thoracic OLF were identified, and preoperative symptoms, severity of
symptoms and myelopathy, disease duration, MR imaging and CT findings, surgical
procedure, intraoperative findings, complications, and postoperative recovery
were investigated in these patients. Entities of OALLs were found on sagittal CT
images to be adjacent to or at the same vertebral level as the OLF were
classified into 4 types: no discernible type (Type N), one-sided (Type O),
discontinuous (Type D), and continuous (Type C). RESULTS: The duration of
symptoms was especially long for Types D and C OALLs. Patients with Type D OALLs
had a significantly worse percentage of recovery, as well as worse preoperative
JOA scores. CONCLUSIONS: The authors' results showed that a Type D OALL had
strong associations with preoperative severity of symptoms and surgical outcomes.
These findings may allow surgeons to determine the severity of preoperative
symptoms and the probable surgical outcomes from the OALL classifications.
Moreover, surgery with instrumentation for Type D OALLs may produce better
surgical outcomes.
PMID- 22077474
TI - Briakinumab for the treatment of plaque psoriasis.
AB - Psoriasis is a chronic inflammatory skin disorder affecting approximately 2% of
individuals worldwide. An improved understanding of the pathogenesis of psoriasis
has led to the development of targeted biologic therapies. Briakinumab (ABT-874)
is a recombinant human antibody that blocks the biological activity of the
cytokines interleukin (IL)-12 and IL-23 through their shared subunit p40. IL-12
and IL-23 are key mediators in T-cell differentiation and have been shown to play
a significant role in maintaining inflammation and abnormal keratinocyte function
in psoriasis patients through development and stimulation of Th1 and Th17
subsets, respectively. In one phase II and four phase III studies (including two
52-week trials), the Psoriasis Area and Severity Index (PASI)-75 score at weeks
12 and 52 was achieved by at least 80.6% and 66.2% (p < 0.001) of patients
receiving more than one dose of briakinumab every 4 weeks, respectively, with
high proportions of patients achieving PASI-90 and PASI-100 scores (at least
55.4% and 28.8%, respectively; p < 0.001). These studies indicate safety and
tolerance of briakinumab therapy for patients with moderate-to-severe chronic
plaque psoriasis. In one clinical trial, therapy was associated with increased
incidence of major cardiac events. Available results from two briakinumab trials
show its positive impact on health-related quality of life. However, the
manufacturer has now withdrawn the application in the EU and US.
PMID- 22077476
TI - The veterinary quarterly: Changing to a review journal on animal diseases.
PMID- 22077475
TI - Effect of stressful life events on the onset and duration of recurrent aphthous
stomatitis.
AB - BACKGROUND: Recurrent aphthous stomatitis (RAS) is a common and painful oral
mucosal disease. Possible etiologies include genetics, vitamin deficiencies,
trauma, immune dysfunction, and stress. The goal of this study was to examine the
relationship between the occurrence, type, and magnitude of stressful events and
the onset and duration of RAS episodes. METHODS: One hundred and sixty subjects
with a history of RAS completed a weekly phone survey for up to 1 year, providing
data on the occurrence of RAS episodes and details of any stressful events they
experienced during the previous week. During RAS episodes, subjects also
completed daily paper diaries that recorded incidence and duration of the RAS
episode. Stressful events were quantified using the validated Recent Life Changes
Questionnaire (RLCQ) and were classified as mental or physical stressors.
RESULTS: Stressful life events were significantly associated with the onset of
RAS episodes (P < 0.001), however, not with the duration of the RAS episodes.
Experiencing a stressful life event increased the odds of an RAS episode by
almost three times (OR = 2.72; 95% CI = 2.04-3.62). When controlled for each
other, mental stressors had a larger effect (OR = 3.46, 95% CI = 2.54-4.72) than
physical stressors (OR = 1.44; 95% CI = 1.04-1.99) on the occurrence of RAS
episodes. RAS episodes did not occur more frequently or last longer with
increasing stress severity. CONCLUSIONS: In patients with a history of RAS,
stressful events may mediate changes involved in the initiation of new RAS
episodes. Mental stressors are more strongly associated with RAS episodes than
physical stressors.
PMID- 22077478
TI - Case of recurrent Sweet's syndrome in a patient with relapsing polychondritis and
myelodysplastic syndrome.
PMID- 22077479
TI - Retinoids and retinoid-metabolic gene expression in mouse adipose tissues.
AB - Vitamin A and its analogs (retinoids) regulate adipocyte differentiation. Recent
investigations have demonstrated a relationship among retinoids, retinoid-binding
protein 4 (RBP4) synthesized in adipose tissues, and insulin-resistance status.
In this study, we measured retinoid levels and analyzed the expression of
retinoid homeostatic genes associated with retinol uptake, esterification,
oxidation, and catabolism in subcutaneous (Sc) and visceral (Vis) mouse fat
tissues. Both Sc and Vis depots were found to contain similar levels of all-trans
retinol. A metabolite of retinol with characteristic ultraviolet absorption
maxima for 9-cis retinol was observed in these 2 adipose depots, and its level
was 2-fold higher in Sc than in Vis tissues. Vis adipose tissue expressed
significantly higher levels of RBP4, CRBP1 (intracellular retinol-binding protein
1), RDH10 (retinol dehydrogenase), as well as CYP26A1 and B1 (retinoic acid (RA)
hydroxylases). No differences in STRA6 (RBP4 receptor), LRAT (retinol
esterification), CRABP1 and 2 (intracellular RA-binding proteins), and RALDH1
(retinal dehydrogenase) mRNA expressions were discerned in both fat depots.
RALDH1 was identified as the only RALDH expressed in both Sc and Vis adipose
tissues. These results indicate that Vis is more actively involved in retinoid
metabolism than Sc adipose tissue.
PMID- 22077480
TI - Gemcitabine-loaded innovative nanocarriers vs GEMZAR: biodistribution,
pharmacokinetic features and in vivo antitumor activity.
AB - INTRODUCTION: Gemcitabine, an anticancer drug, is a nucleoside analog
deoxycytidine antimetabolite, which acts against a wide range of solid tumors.
The limitation of gemcitabine is its rapid inactivation by the deoxycytidine
deaminase enzyme following its in vivo administration. AREAS COVERED: One of the
most promising new approaches for improving the biopharmaceutical properties of
gemcitabine is the use of innovative drug delivery devices. This review explains
the current status of gemcitabine drug delivery, which has been under development
over the past 5 years, with particular emphasis on liposomal delivery. In
addition, the use of novel supramolecular vesicular aggregates (SVAs), polymeric
nanoparticles and squalenoylation were treated as interesting innovative
approaches for the administration of the nucleoside analog. EXPERT OPINION:
Different colloidal systems containing gemcitabine have been realized, with the
aim of providing important potential advancements through traditional ways of
therapy. A possible future commercialization of modified gemcitabine is
desirable, as was true in the case of liposomal doxorubicin (Doxil((r)),
Caely((r))).
PMID- 22077481
TI - Template-assisted assembly: scanning tunneling microscopy study of solvent
dependent adlattices of alkyl-derivatized tetrathiafulvalene.
AB - The self-assembly of an adsorbate as a function of the strength of solvent
substrate adsorption is an important yet relatively unexplored subject. In this
study, how the strength of solvent-substrate adsorption and solvent-solvent
attraction affects the assembly of tetrakis(octadecylthio)tetrathiafulvalene (1)
is scrutinized by scanning tunneling microscopy (STM). For solvents with strong
intermolecular interactions and adsorption onto graphite, such as long n-alkanes
(C(n)H(2n+2), n >= 13), STM reveals that the solvent molecules form lamellae
which become a template to direct the assembly of 1 into one-dimensional arrays.
The lengths of one of the unit cell vectors for the assemblies are increased and
well correlated with the solvent sizes. In situ STM monitoring of 1 introduced
onto graphite with preadsorbed n-tetradecane adlattices shows that the developed
assemblies of 1 have striped features aligned parallel to the underlying
template. In contrast, for solvents with weak adsorption, such as short n-alkanes
(C(n)H(2n+2), n <= 12), toluene, and 1,2,4-trichlorobenzene, the adlattice
structures of 1 are solvent-independent.
PMID- 22077483
TI - Medical and interventional management of patients with severe thrombocytopenia
undergoing percutaneous coronary intervention.
PMID- 22077482
TI - Effect of oral phytoestrogen on androgenicity and insulin sensitivity in
postmenopausal women.
AB - AIM: The aim of this study was to determine and compare the effect of treatment
with transdermal oestrogen and phytoestrogen on insulin sensitivity and sex
hormone-binding globulin (SHBG) levels in healthy postmenopausal women. METHODS:
Forty-three healthy postmenopausal women aged 68 +/- 7 (mean +/- SD) years who
were not receiving hormonal replacement therapy completed a 3 month randomized
drug therapy study. The participants were randomized to one of four groups: 0.05
mg or 0.1 mg transdermal oestrogen/day, or 40 or 80 mg oral phytoestrogen
(Promensil)/day insulin sensitivity was indirectly measured using the
quantitative insulin sensitivity check index (QUICKI). SHBG, total testosterone,
oestradiol, and fasting glucose and insulin levels for calculation of insulin
sensitivity were obtained at baseline and at monthly intervals during the 3
months of therapy. RESULTS: In healthy nondiabetic postmenopausal women, the rate
of change in QUICKI was significantly different between the red clover based
phytoestrogen and transdermal oestrogen groups, so that after three months of
therapy, QUICKI with red clover based phytoestrogen therapy was lower than that
in the transdermal oestrogen group, p = 0.01. Red clover based phytoestrogen
therapy was not associated with any changes in SHBG levels whereas transdermal
estrogen therapy significantly increased SHBG levels, p = 0.05. CONCLUSIONS: In
contrast to transdermal oestrogen therapy, oral phytoestrogen therapy does not
decrease androgenicity and is associated with a decrease in insulin sensitivity.
These effects are similar to those of raloxifene and consistent with
phytoestrogen's selective oestrogen receptor modulator properties.
PMID- 22077484
TI - Predicting the potential demographic impact of predators on their prey: a
comparative analysis of two carnivore-ungulate systems in Scandinavia.
AB - 1. Understanding the role of predation in shaping the dynamics of animal
communities is a fundamental issue in ecological research. Nevertheless, the
complex nature of predator-prey interactions often prevents researchers from
modelling them explicitly. 2. By using periodic Leslie-Usher matrices and a
simulation approach together with parameters obtained from long-term field
projects, we reconstructed the underlying mechanisms of predator-prey demographic
interactions and compared the dynamics of the roe deer-red fox-Eurasian lynx
human harvest system with those of the moose-brown bear-gray wolf-human harvest
system in the boreal forest ecosystem of the southern Scandinavian Peninsula. 3.
The functional relationship of both roe deer and moose lambda to changes in
predation rates from the four predators was remarkably different. Lynx had the
strongest impact among the four predators, whereas predation rates by wolves, red
foxes, or brown bears generated minor variations in prey population lambda.
Elasticity values of lynx, wolf, fox and bear predation rates were -0.157,
0.056, -0.031 and -0.006, respectively, but varied with both predator and prey
densities. 4. Differences in predation impact were only partially related to
differences in kill or predation rates, but were rather a result of different
distribution of predation events among prey age classes. Therefore, the age
composition of killed individuals emerged as the main underlying factor
determining the overall per capita impact of predation. 5. Our results confirm
the complex nature of predator-prey interactions in large terrestrial mammals, by
showing that different carnivores preying on the same prey species can exert a
dramatically different demographic impact, even in the same ecological context,
as a direct consequence of their predation patterns. Similar applications of this
analytical framework in other geographical and ecological contexts are needed,
but a more general evaluation of the subject is also required, aimed to assess,
on a broader systematic and ecological range, what specific traits of a carnivore
are most related to its potential impact on prey species.
PMID- 22077485
TI - Recovery rates of bluetongue virus serotypes 1, 2, 4 and 8 Spanish strains from
orally infected Culicoides imicola in South Africa.
AB - Bluetongue (BT) is an infectious disease of ruminants that has spread northwards
in Europe during the last decade. The aetiological agent of the disease is an
arbovirus [bluetongue virus (BTV)] that belongs to the genus Orbivirus (family
Reoviridae). The virus is transmitted by certain species of biting midge within
the genus Culicoides (Diptera: Ceratopogonidae). Information on the vector status
of the Culicoides species in a specific area will be essential to predict the
risk for BTV incursion. Field-collected Culicoides (Avaritia) imicola Kieffer
from South Africa were fed on blood containing several Spanish isolates of BTV.
Despite the high virus concentrations in the bloodmeal (5.1-6.4 log(10) TCID(50)
/mL of blood), virus was recovered from <1% of midges assayed after incubation.
Virus concentrations >2.5 log(10) TCID(50) /midge in individual infected C.
imicola suggest virus replication with possible risk for transmission to
susceptible vertebrate hosts in the field for at least two of the serotypes
assayed (BTV-1 and BTV-2). A third serotype (BTV-4) was very close to the
estimated threshold for transmission. The relatively low to near refractory
status of C. imicola compared with other vector species such as Culicoides
bolitinos supports previous results, indicating that Culicoides species other
than C. imicola may play a more important role in the epidemiology of BTV.
PMID- 22077486
TI - Spiradenocarcinoma with low-grade basal cell adenocarcinoma pattern: report of a
case with varied morphology and wild type TP53.
AB - We present a patient with a 2-cm spiradenocarcinoma of the left arm resembling
low-grade salivary gland basal cell adenocarcinoma. In addition to showing
attributes of conventional spiradenoma, the benign component showed prominent
areas of cystic change with focal apocrine differentiation, glands with and
without mucinous differentiation, clear cell change and focal adenoid cystic
carcinoma-like areas. The malignant component was composed of nodules of basaloid
cells arranged in sheets with variable tendency to luminal differentiation. The
nuclear atypia was low-grade, and the mitotic index was high in the malignant
component (to 8/10 high power fields). Immunohistochemically, there was diffuse
but variable positivity for cytokeratin 7 in both the benign and malignant
components. Epithelial membrane antigen was focally positive, highlighting cells
with ductal (luminal) differentiation. Expression of p63 was observed in 50 and
80% of the cells in the benign and malignant components, respectively. Calponin
was negative. The proliferative index (MIB-1/Ki-67) was <3% in the benign
component and up to 10% in the malignant component. Although the malignant
component displayed patchy areas with nuclear p53 immunoreactivity with variable
intensity, no mutation in the TP53 gene was identified.
PMID- 22077487
TI - T-cell activation genes differentially expressed at birth in CD4+ T-cells from
children who develop IgE food allergy.
AB - BACKGROUND: Presymptomatic immaturity in neonatal T-cell function is a consistent
antecedent of allergic disease, including reduced responsiveness to polyclonal
activation. METHODS: To elucidate the underlying mechanisms, we examined for
differences in T-cell gene expression in longitudinal samples collected at birth
and at 1 year of age in children with (n = 30) and without IgE-mediated food
allergy (n = 30). We employed a low-level soluble anti-CD3 stimulus to activate
the T-cell receptor (TCR) and surveyed gene expression by DNA microarray in
purified CD4(+) T-cells. Allergen-specific responses were assessed in parallel
functional studies. RESULTS: At birth, the allergic group showed a reduced number
of genes up regulated in response to anti-CD3 treatment on the microarray and a
reduced lympho proliferative capacity, suggesting clear differences in T-cell
signalling pathways. Polymerase chain reaction (PCR) validation of candidate
genes confirmed significantly lower expression of a number of genes in the
allergic group including RELB, NFKB2, LIF and FAS. By 12 months of age, there
were marked changes in the anti-CD3 response in all infants, culminating in
upregulation of cytokine genes (IL-5, IL-13, IL-17 and IL-22). Neonatal
differences were no longer apparent. Instead, the allergic group, all symptomatic
by this age, showed differential expression of T-cell lineage pathways including
GATA-3, MAL and FcER1 in unstimulated T-cells. Allergen stimulation induced
significantly higher cytokines production (IL-5, IL-13 and IFNgamma) in the
allergic group. CONCLUSION: Although transient, suboptimal neonatal T-cell
activation pathways that signal through the NF-kappaB complex may affect the
developmental transition of T-cell phenotypes in the periphery shortly after
birth and may increase the risk of food allergy.
PMID- 22077488
TI - Prophylactic effect of topical fluoroquinolones in a rabbit model of
Staphylococcus aureus endophthalmitis.
AB - PURPOSE: To evaluate the prophylactic effects of topical gemifloxacin,
pazufloxacin, moxifloxacin, and levofloxacin for experimental Staphylococcus
aureus endophthalmitis in a rabbit model. METHODS: Rabbits were randomly divided
into 5 groups with 14 rabbits each. The control group received balanced salt
solution (BSS); the other 4 groups received 1 drop of gemifloxacin, pazufloxacin,
moxifloxacin, or levofloxacin ophthalmic solution, respectively, in the left eye
at each of the following time points: 60, 45, 30, and 15 min before infection,
immediately after infection, and then 6, 12, 18, and 24 h postinfection. S.
aureus ATCC25923 [5*10(5) colony-forming units (CFUs) in 50 MUL] was injected
into the aqueous humor of each eye, and the clinical severity of the eyes was
assessed 24 h postinfection. Two random eyeballs from each group were collected
for histopathologic observation. Aqueous and vitreous samples of 12 other eyes
were collected, diluted, and plated to determine the number of recovered CFUs.
RESULTS: Fluoroquinolone-treated eyes had significantly lower clinical scores and
lower numbers of bacteria recovered from the aqueous humor than the BSS control
group eyes. There was no difference, however, among the 4 fluoroquinolone-treated
groups. In contrast, none of the fluoroquinolones reduced the number of bacteria
recovered (CFUs) from the vitreous humor. In terms of the histopathologic
results, less severe inflammation and relatively well-preserved ocular
architecture were observed in fluoroquinolone-treated eyes, when compared with
the control group. CONCLUSIONS: Gemifloxacin and pazufloxacin may be as effective
as moxifloxacin and levofloxacin for topical prophylaxis and for the treatment of
S. aureus-induced endophthalmitis in the rabbit model.
PMID- 22077490
TI - Resonance Raman intensity analysis of the A band short-time photochemical
dynamics of 4,5-ethylenedithio-1,3-dithiole-2-thione.
AB - Resonance Raman spectra (RRs) for 4,5-ethylenedithio-1,3-dithiole-2-thione (EDDT)
were obtained with 397.9 and 416 nm excitation wavelengths, and density
functional calculations were performed to elucidate the electronic transitions
and the RRs of EDDT in chloroform solvent. The RRs indicate that the Franck
Condon region photodynamics have multidimensional character with nuclear motion
predominantly along the C(4)?C(5) stretch and the C(4)?C(5) twist out-of-plane.
Resonance Raman cross-sections of A-band absorption have been obtained for the
vibrational modes of EDDT with its excitation frequencies spanning the 408 nm.
Resonance Raman intensity analysis of the resulting RRs excitation profiles and
absorption spectrum using a time-dependent wave packet formalism yields mode
specific nuclear displacement and vibrational reorganizational energies. The
intensity analysis results for EDDT were compared to previously reported results
for dimethyl 1,3-dithiole-2-thione-4,5-dicarboxylate (DDTD), which shows that the
additional six-member heterocycle of EDDT strongly affects the reorganizational
energy and energy participation. The authors briefly discuss the differences and
similarities of the spectra in terms of molecular symmetry and electron density.
PMID- 22077489
TI - Hydrogen-rich saline attenuates radiation-induced male germ cell loss in mice
through reducing hydroxyl radicals.
AB - Our recent studies suggest that H2 (hydrogen) has a potential as a novel
radioprotector without known toxic side effects. The present study was designed
to examine the underlying radioprotective mechanism of H2 and its protective role
on irradiated germ cells. Produced by the Fenton reaction and radiolysis of H2O,
hydroxyl radicals (*OH) were identified as the free radical species that were
reduced by H2. We used a H2 microelectrode to dynamically detect H2 concentration
in vivo, and found H2 significantly reduced in situ fluorescence intensity of
hydroxyphenyl fluorescein; however, as we treated the mice with H2 after
irradiation, the decrease is not significant. We found that pre-treatment of H2
to IR (ionizing radiation) significantly suppressed the reaction of *OH and the
cellular macromolecules which caused lipid peroxidation, protein carbonyl and
oxidatively damaged DNA. The radioprotective effect of H2 on male germ cells was
supported by ameliorated apoptotic findings examined by morphological changes and
TUNEL (terminal deoxynucleotidyltransferase-mediated dUTP nick-end labelling) in
testicular tissue, and by preserved viability of stem spermatogonia examined for
testicular histological parameters, daily sperm production and sperm quality; we
used WR-2721 [S-2-(3-aminopropylamino)ethyl phosphorothioic acid] as a reference
compound. Our results represent the first in vivo evidence in support of a
radioprotective role of H2 by neutralizing *OH in irradiated tissue with no side
effects.
PMID- 22077491
TI - Orthogonal test design for optimisation of extraction of trans-resveratrol from
Pinot Noir-grape pomace.
AB - Yield of trans-resveratrol from Pinot Noir-grape pomace obtained by microwave
assisted extraction (MAE) through an orthogonal experiment (16 (4(4))) was
investigated to get the best extraction conditions. In this method, the highest
yield was obtained when the extraction solvent used ethanol; the ratio of raw
material to solvent, the extraction time, the extraction temperature and
microwave irradiation power were 1:20 (g mL(-1)), 30 min, 55 degrees C and 1.0
kW, respectively. The average yield of trans-resveratrol was 90.87%, and the
recovery was in the range of 85.49-89.04% with relative standard deviation lower
than 1.39%. Then, the extract of MAE was separated by NKA-9 macroporous resin and
re-crystallisation. Finally, the purity of trans-resveratrol was 97.47%.
PMID- 22077492
TI - Attitudinal and demographic determinants of diet quality and implications for
policy targeting.
AB - BACKGROUND: Poor diet quality is a major public health concern that has prompted
governments to introduce a range of measures to promote healthy eating. For these
measures to be effective, they should target segments of the population with
messages relevant to their needs, aspirations and circumstances. The present
study investigates the extent to which attitudes and constraints influence
healthy eating, as well as how these vary by demographic characteristics of the
UK population. It further considers how such information may be used in segmented
diet and health policy messages. METHODS: A survey of 250 UK adults elicited
information on conformity to dietary guidelines, attitudes towards healthy
eating, constraints to healthy eating and demographic characteristics. Ordered
logit regressions were estimated to determine the importance of attitudes and
constraints in determining how closely respondents follow healthy eating
guidelines. Further regressions explored the demographic characteristics
associated with the attitudinal and constraint variables. RESULTS: People who
attach high importance to their own health and appearance eat more healthily than
those who do not. Risk-averse people and those able to resist temptation also eat
more healthily. Shortage of time is considered an important barrier to healthy
eating, although the cost of a healthy diet is not. These variables are
associated with a number of demographic characteristics of the population; for
example, young adults are more motivated to eat healthily by concerns over their
appearance than their health. CONCLUSIONS: The approach employed in the present
study could be used to inform future healthy eating campaigns. For example,
messages to encourage the young to eat more healthily could focus on the impact
of diets on their appearance rather than health.
PMID- 22077493
TI - Appearance of anatomical structures of mandible on panoramic radiographs in
Iranian population.
AB - PURPOSE: Currently panoramic radiography is used for diagnosis of dental and bone
lesions, but anatomical structures also can be seen and may be useful in dental
managements. This study aimed to investigate the visibility of some important
mandibular features relating to neurovascular structures in Iranian population.
MATERIALS AND METHODS: Panoramic radiographs were taken by Planmeca machine from
412 patients using standard exposure. The position of patient was in compliance
with standard protocol. Then mandibular incisive canal was evaluated and data
were analyzed statistically. RESULTS: Mental foramen, anterior loop of mental
nerve and incisive canal could be observed in 84.2, 66 and 51.7% of the cases.
Respectively, lingual foramen was observed in only 6.1% of the radiographs.
Gender doesn't affect on the visibility of these structures. There was a
relationship between mandibular foramen and canal with age. CONCLUSION:
Mandibular incisive canal was considered in 51.7% of cases, it was observed that
the result obtained was more than those of other researches.
PMID- 22077495
TI - Reviews on animal diseases recently published in other journals.
PMID- 22077494
TI - Factors associated with a drive for muscularity among gay and bisexual men.
AB - Among gay and bisexual men, body dissatisfaction can manifest itself in the form
of a desire for increased muscularity. Possibly in response to homophobia, the
socio-sexual culture of gay and bisexual men privileges muscularity and may help
to perpetuate a sense of body dissatisfaction. Little is known about factors
associated with a drive for muscularity among gay and bisexual men. This study
recruited participants (n = 400) at Toronto's 2008 lesbian, gay, bisexual and
transgender festival to provide data used to examine the relationship between a
drive for muscularity and demographics (age, race, education, HIV status),
psychological factors (depression, disordered eating, internalised homophobia,
substance use), body mass, a history of childhood sexual abuse and sexual risk.
Multivariate analyses revealed that a drive for muscularity was associated with
age, disordered eating, depression, sexual risk and internalised homonegativity.
These findings can be used to advance the health and wellbeing of gay and
bisexual men, particularly interventions designed to mitigate the effects of
internalised homonegativity and policies aimed at reducing homophobia.
PMID- 22077497
TI - Fundamental approaches to nonadiabaticity: toward a chemical theory beyond the
Born-Oppenheimer paradigm.
PMID- 22077498
TI - Increased natural killer cells and decreased CD3(+)CD8(+)CD62L(+) T cells in CML
patients who sustained complete molecular remission after discontinuation of
imatinib.
PMID- 22077499
TI - Primary cutaneous nocardiosis caused by Nocardia beijingensis in an
immunocompromised patient with chemotherapy for advanced prostate cancer.
PMID- 22077501
TI - Patient follow-up and monitoring medicines.
PMID- 22077503
TI - Thrombocytopenia in adult cancer patients receiving cytotoxic chemotherapy:
results from a retrospective hospital-based cohort study.
AB - BACKGROUND: Data on the frequency and relative risk (RR) of chemotherapy-induced
thrombocytopenia (CIT) in patients with solid tumours receiving chemotherapy in
clinical practice are limited. OBJECTIVE: The aim of the study was to estimate
the frequency and RR of thrombocytopenia in adult patients with solid tumours
receiving chemotherapy treatment. METHODS: For this retrospective, hospital-based
study, adult patients with solid tumours who received chemotherapy at the
University Medical Center Utrecht in the period 2004-6 were identified from the
Utrecht Patient Oriented Database. We examined the frequency of (i) overall
thrombocytopenia (defined as platelet count <100 * 109/L) with or without other
cytopenias; (ii) isolated thrombocytopenia (i.e. without other cytopenias); and
(iii) the frequency and RR of overall thrombocytopenia and isolated
thrombocytopenia associated with different cytotoxic agents. RESULTS: A total of
614 patients receiving one of 37 different chemotherapy regimens was included.
Overall thrombocytopenia frequency was 21.8% and isolated thrombocytopenia
frequency was 6.2%. The highest frequencies of thrombocytopenia were observed in
patients receiving carboplatin monotherapy (81.8%) and combination therapies that
included carboplatin (58.2%), gemcitabine (64.4%) or paclitaxel (59.3%). The
highest RRs of thrombocytopenia, compared with cisplatin-based therapy, were
observed for combination therapies of carboplatin/gemcitabine (RR 10.1; 95% CI
5.5, 18.5) and carboplatin/paclitaxel/etoposide (RR 11.8; 95% CI 6.7, 20.8). In
54% of cases, the thrombocytopenia was of grade 2-4, which are considered to be
the most clinically relevant grades. The highest frequencies of isolated
thrombocytopenia were found with combination therapies that included oxaliplatin
(28.6%) or gemcitabine (28.9%). CONCLUSIONS: The results suggest that CIT is a
relevant problem in clinical practice. Further research is necessary to
investigate the clinical consequences of thrombocytopenia. The observed
frequencies of thrombocytopenia were lower than those observed in older studies,
but comparable with that observed in a recent US-based study. The observed
increased risks for possible immune-mediated thrombocytopenia associated with
exposure to oxaliplatin and gemcitabine contribute to the suspicion that these
drugs can cause immune-mediated thrombocytopenia, and warrant further
investigation. For clinicians, the mechanism has important consequences because
in immune-mediated thrombocytopenia the drug must be avoided, while in dose
dependent thrombocytopenia a dose reduction may be sufficient.
PMID- 22077502
TI - Putting the cardiovascular safety of aromatase inhibitors in patients with early
breast cancer into perspective: a systematic review of the literature.
AB - In the adjuvant setting, the third-generation aromatase inhibitors (AIs)
anastrozole, letrozole and exemestane are recommended at some point during
treatment, either in the upfront, switch after tamoxifen or extended treatment
setting after tamoxifen in postmenopausal patients with hormone receptor-positive
early breast cancer. AIs have demonstrated superior disease-free survival and
overall benefit-to-risk profiles compared with tamoxifen. Potential adverse
events, including cardiovascular (CV) side effects, should be considered in the
long-term management of patients undergoing treatment with AIs. AIs reduce
estrogen levels by inhibiting the aromatase enzyme, thus reducing the levels of
circulating estrogen. This further reduction in estrogen levels may potentially
increase the risk of developing CV disease. This systematic review evaluated
published clinical data for changes in plasma lipoproteins and ischaemic CV
events during adjuvant therapy with AIs in patients with hormone receptor
positive early breast cancer. The electronic databases MEDLINE, EMBASE, Derwent
Drug File and BIOSIS were searched to identify English-language articles
published from January 1998 to 15 April 2011 that reported data on AIs and plasma
lipoproteins and/or ischaemic CV events. Overall, available data did not show any
definitive patterns or suggest an unfavourable effect of AIs on plasma
lipoproteins from baseline to follow-up assessment in patients with hormone
receptor-positive early breast cancer. Changes that occurred in plasma
lipoproteins were observed soon after initiation of AI therapy and generally
remained stable throughout the studies. Available data do not support a
substantial risk of ischaemic CV events associated with adjuvant AI therapy;
however, studies with longer follow-up are required to better characterize the CV
profile of AIs.
PMID- 22077504
TI - Serotonin reuptake inhibitors and hyperprolactinaemia: a case/non-case study in
the French pharmacovigilance database.
AB - BACKGROUND: Hyperprolactinaemia is a common endocrinological disorder that can be
caused by a variety of physiological and pathological conditions, although in a
large proportion of cases hyperprolactinaemia is drug-induced. Serotonin reuptake
inhibitors (SRIs) are reportedly associated with hyperprolactinaemia; however,
the number of published cases in the literature is limited. OBJECTIVE: The aim of
the study was to investigate the association between exposure to SRIs and the
risk of reporting of hyperprolactinaemia in a spontaneous reporting database.
METHODS: All cases of adverse drug reactions (ADRs) involving hyperprolactinaemia
spontaneously reported to the French Pharmacovigilance Database from 1985 to
December 2009 were reviewed. Cases of hyperprolactinaemia in SRI users were
described. In a case/non-case analysis, the association between reported cases of
hyperprolactinaemia and the use of SRIs was assessed by calculating reporting
odds ratios (ROR) with their 95% confidence intervals (CIs). RESULTS: A total of
11 863 reports with SRIs were collected, of which 187 reported
hyperprolactinaemia ADRs. Subjects were 39.7 +/- 13.5 years of age on average and
mainly female (71%). We observed an increased risk of reporting of
hyperprolactinaemia with the use of SRIs as antidepressants (overall ROR 3.3; 95%
CI 2.8, 3.8), particularly with fluvoxamine (ROR 4.5; 95% CI 2.8, 7.2),
citalopram (ROR 3.9; 95% CI 2.6, 5.8), fluoxetine (ROR 3.6; 95% CI 2.8, 4.7) and
paroxetine (ROR 3.1; 95% CI 2.3, 4.2). Duloxetine, milnacipran and sertraline
were not associated with an increased risk of reporting of hyperprolactinaemia.
CONCLUSIONS: Treatment with SRIs is associated with an increased risk of reported
hyperprolactinaemia. When investigating the aetiology of diagnosed
hyperprolactinaemia, physicians should systematically enquire about treatment
with SRIs. The risk of hyperprolactinaemia should be mentioned in the labelling
of all SRI compounds.
PMID- 22077505
TI - Pharmacogenetic risk for adverse reactions to irinotecan in the major ethnic
populations of Singapore: regulatory evaluation by the health sciences authority.
AB - BACKGROUND: For genetic polymorphisms known to alter drug effect or safety,
regulatory authorities can tap into population genomic databases and other
sources of allele and genotype distribution data to make a more informed decision
about the anticipated impact of such variants on the main ethnic groups in a
country's population. OBJECTIVE: The aim of this short communication is to
describe how the Singapore Health Sciences Authority (HSA) made use of allele and
genotype distributions in the main ethnic groups in Singapore (Chinese, Malay,
Indian) and population genetic tools to compare with North American Caucasians
and Japanese. METHODS: Published papers and publicly accessible genomic databases
were searched up to August 2009 to obtain allele and genotype frequencies for
UGT1A1*6 and *28, two common variants of UGT1A1, a gene that encodes for a key
enzyme in the pathway of irinotecan metabolism. These variants are associated
with greater risk of serious toxicity. RESULTS: In Singapore, the combined
prevalence of three high-risk genotypes, UGT1A1*6/*6, *6/*28 and *28/*28, is 9.7%
in Chinese, 5.0% in Malays and 18.7% in Indians, compared with 11.5% in North
American Caucasians and 8.1% in Japanese. Indians are at an elevated risk of
irinotecan-induced neutropenia associated with UGT1A1*28 compared with Chinese
and Japanese, and at an even higher risk compared with North American Caucasians.
On the other hand, Chinese and Japanese are at an elevated risk of irinotecan
induced neutropenia associated with UGT1A1*6 relative to Indians in Singapore or
North American Caucasians. Population genotype data were the basis for the HSA to
request revision of the package insert from manufacturers of irinotecan products.
Moreover, the data provided the impetus for the HSA to publicize the availability
of UGT1A1 genetic testing at the National Cancer Centre. CONCLUSION: With the
growing volume of genomic data and pharmacogenomic associations, a regulatory
authority is now able to more readily utilize population genetic information and
tools to supplement evaluations of drug products pertinent to the country's
ethnic demography.
PMID- 22077506
TI - Examining the utilization and tolerability of the non-sedating antihistamine
levocetirizine in England using prescription-event monitoring data.
AB - BACKGROUND: Levocetirizine was launched onto the UK market in September 2001. It
is indicated for symptomatic treatment of allergic rhinitis (AR), including
persistent AR and chronic idiopathic urticaria. OBJECTIVE: The aim of the study
was to monitor the safety of levocetirizine prescribed in the primary care
setting in England, in the immediate postmarketing period. METHODS: Exposure data
were derived from dispensed prescriptions written by primary care physicians
(general practitioners [GPs]) for levocetirizine (November 2001-November 2002):
patient demographic, indication, pattern of use and outcome (event) data from
enhanced prescription-event monitoring (PEM) questionnaires (with additional
questions to gather further relevant information) returned by GPs. Incidence
density observation rates (IDobs) [number of first reports/1000 patient-months]
between months 1 and 2 (IDobs(m1/m2)) were compared for the whole cohort and by
groups defined by indication and pattern of use. RESULTS: The cohort comprised 12
367 patients (median age 37 years [interquartile range 22-55]; 58% female). The
most frequent indication was AR (67%; n = 8275). After 2 months, 35.7% (n = 2414)
of patients were still taking levocetirizine. 'Condition improved' was the most
common event and reason for stopping treatment. Headache/migraine was uncommon
but associated with starting treatment (IDobs(m1/m2) 2.4 [95% CI 1.1, 6.0]), as
was drowsiness/sedation (IDobs(m1/m2) 11.5 [95% CI 4.2, 43.9]). Cardiovascular
events occurred rarely or very rarely, as did most central and peripheral nervous
system events. No serious adverse drug reactions (ADRs) were reported. Events
related to effectiveness were more frequent in month 1 than month 2 for all
patient subgroups. CONCLUSIONS: This postmarketing surveillance study shows that
levocetirizine is well tolerated when used in general practice in England. No
previously unrecognized ADRs were detected. This study highlights how
modifications to PEM, such as additional questions, are contributing to the
evaluation of drug utilization factors in relation to risks.
PMID- 22077507
TI - Comparing adverse event rates of oral blood glucose-lowering drugs reported by
patients and healthcare providers: a post-hoc analysis of observational studies
published between 1999 and 2011.
AB - BACKGROUND: Non-serious symptomatic adverse drug events (ADEs) affect the real
benefit-risk ratio of a drug. Currently, such ADEs are quantified in different
ways, often using reports from a healthcare provider or patients, resulting in
large variations in estimated rates. Several studies showed that patients report
bothersome or symptomatic ADEs more frequently than providers, but no comparisons
to an external reference or gold standard have been made. OBJECTIVE: We conducted
a literature review to assess the agreement and concurrent validity of healthcare
provider- and patient-oriented methods for quantifying symptomatic ADEs of oral
blood glucose-lowering drugs in patients with type 2 diabetes mellitus. METHODS:
We systematically searched MEDLINE and EMBASE databases for observational studies
reporting on rates of ADEs in patients treated for type 2 diabetes that were
published between 1999 and 2011. We included nine observational studies reporting
absolute rates of symptomatic ADEs in patients receiving monotherapy. We
calculated 95% confidence intervals and assessed agreement between rates observed
with different methods. We assessed concurrent validity using the range noted in
the Summary of Product Characteristics (SPC) as the gold standard. RESULTS: A
comparison of rates reported by patients and providers was only possible using
three studies of metformin that assessed mainly gastrointestinal (GI) ADEs.
Provider-oriented methods by means of medical record review gave lower rates for
abdominal pain (0.6-3.7%), dyspepsia (1.3-2.8%) and constipation (0.6-1.0%) than
a patient questionnaire method (8.5%, 11.9% and 20.7%, respectively). For
diarrhoea, the patient-reported rate (5.2%) was in agreement with the provider
based rates (1.6-7.6%). The majority of the rates reported by providers and
patients were not corresponding with the ranges in the SPC. For GI ADEs the rates
were all lower, whereas for lactic acidosis and hypoglycaemia the rates were
higher. CONCLUSION: Although it has repeatedly been proposed that patients'
reports on safety should be incorporated with providers' reports, especially for
symptomatic ADEs, the number of observational studies using patient-oriented
methods for assessing ADEs other than hypoglycaemia are limited. Provider-based
measurement tended to underestimate symptomatic ADEs. Patient-oriented methods
seemed to give ADE rates that were closer to the rates reported in the SPC.
PMID- 22077508
TI - Modified prescription-event monitoring studies: a tool for pharmacovigilance and
risk management.
AB - Prescription-Event Monitoring (PEM) is a well established postmarketing
surveillance technique designed to monitor the overall safety of newly marketed
medicines as used in real-life clinical practice, usually in cohorts of at least
10 000 patients. At the Drug Safety Research Unit in the UK we are now moving
towards a more targeted safety surveillance known as Modified PEM (M-PEM). These
studies combine the advantages of conventional PEM studies (in monitoring general
safety and identification of unexpected risks of a medicine) with that of a more
targeted safety study that addresses specific questions (to better understand
known or partially known risks with a medicine). Through the use of enhanced data
collection questionnaires, M-PEM expands the range of applications of
conventional PEM, which include more detailed characterization of real-life drug
use, adherence to prescribing recommendations and targeted analysis of events
requiring special monitoring by regulatory authorities. A particularly useful
application is the evaluation of the safety of a medicine in special populations
or subgroups (e.g. patients switching from another therapy or patients with a
particular risk factor) or following important changes in the product's lifecycle
(e.g. a licensing or formulation change). M-PEM studies therefore have an
important contribution to make to pharmacovigilance and the risk management of
medicines by providing valuable information on the use of new medications under
real-life situations.
PMID- 22077509
TI - Family planning programmes and action in Western Europe.
AB - Abstract Neo-Malthusian organisations for spreading birth control propaganda
were created in many West European countries in the 1870s and '80s. But the birth
control movement proper, with its provision of clinics and other means of
supplying advice on contraception, began much later-generally after World War I
and largely on a welfare basis, divorced from Malthusianism. Legal and other
difficulties impeded progress and conditioned the nature of the movement. Since
World War II, however, the relevance of birth control has come increasingly to be
recognized and the movement has expanded markedly. In some countries - especially
in France, West Germany and Italy - there are still legal obstacles, though ways
have been found of avoiding them. But even in other countries the direct impact
of birth control clinics is far smaller than might have been expected and married
couples still obtain their information on contraception from other sources. In
recent years the various national movements have shown a greater interest in the
evaluation of their activities. As a result, the near future may see substantial
changes in their structure and in the approach to their potential clientele.
PMID- 22077510
TI - A study of internal migration in England and Wales : Part I.
AB - Abstract Statistics ofpersons classified jointly by county of birth and county
ofresidence have been published in the England and Wales census volumes since
1851 and the present study draws mainly on these data. A group of persons
recorded in a census as natives of county A and residents of county Bare
sometimes referred to as lifetime migrants from county A to county B. Statistics
of lifetime migrants have often been used in studies of internal migration but
these have one great disadvantage. The number of lifetime migrants from A to B
does not relate to a specific period of time. It is impossible, for example, in
such studies to consider the association between specific migration streams and
differential economic activity. An attempt is made in this study to transform
lifetime migration data into intercensal migration streams. Some of the results
are presented and the most significant migration patterns during the period 1851
1951 are described.
PMID- 22077511
TI - Finite approximations in demography.
AB - Abstract Conventions exist for carrying out the arithmetic of population
projection, finding the intrinsic rate of natural increase, and other demographic
calculations. These are good approximations only for populations which are
stationary or increasing very slowly. For rapidly increasing populations, which
are presently the subject of much study, they can be readily improved. The
improved formulae take account of the way in which the increase shifts the
distribution of population within the five-year age group. A number of examples
are given of the derivation of corrections to existing formulae. While the
corrections are smaller than the error of the data available for most countries,
such formulae are worth introducing in anticipation of better data. They in no
way depend on machine computation, but the computer makes them especially easy to
apply.
PMID- 22077512
TI - An analytic simulation model of human reproduction with demographic and
biological components.
AB - Abstract This Monte Carlo model for simulating the reproductive history of a
cohort of women is described in detail. The model provides for patterns of
survival, sterility, formation and dissolution of sexual unions, fecundability,
lactation, foetal wastage, family planning practices etc. Natality indices
specific for marital status, for duration of marriage and for age, as well as
analyses of birth spacing patterns are among the results that may be obtained.
In the model, the experimental unit is an individual woman. The complete life
history of a woman is generated and recorded before the history of the next woman
is generated. The data for the whole cohort are analyzed at the end of the
programme. The model includes two kinds of states into which a woman may pass,
namely: (1) permanent changes of status such as death, sterility, or becoming a
family planner, and (2) temporary states, each with a probability distribution of
length of stay. The probabilities of the various events or changes of state may
vary from age, parity, and other features of a woman's status or history. Natural
fecundability at any age may also vary from woman to woman. In this programme
natality patterns and specific indices such as age-specific fertility rates are
produced, in a quasi-realistic fashion, by the interplay of the demographic and
biological parameters postulated for any cohort. Consequently, the effect of
changes in anyone factor can be studied, as well as the interaction resulting
from changes in several factors. The purposes and potentials of the model are
both substantive and methodological. As an illustration, a series of computer
runs attempting to simulate the reproductive patterns of Indian women is
presented. These results, as well as some additional ones, indicate some effects
of changes in marital patterns, levels of fecundability, duration of post-partum
non-susceptibility, age incidence of sterility and foetal wastage. In the final
section of the paper, the advantages and possible applications of the model are
discussed together with the limitations encountered to date in the efforts to
apply the model.
PMID- 22077513
TI - A problem of estimating a contingency table arising in demographic analysis.
AB - Abstract This note deals with a special problem of estimating a contingency
table arising in demographic analysis. What we require are the estimates of the
numbers of births and deaths in Malaya crossclassified by state and race for the
years of the Japanese occcupation, 1942-45. For many reasons this period had an
adverse effect on the Chinese and Indian Communities, and hence the two-fold
result of a greater reduction in the number of births and a larger increase in
the number of deaths in states with a smaller proportion of Malay population. The
estimates are worked out by means of a technique which takes into consideration
this actual demographic situation.
PMID- 22077514
TI - Changing population characteristics in Tibet, 1959 to 1965.
AB - Abstract This research note records the most recent Chinese figures for the
population of Tibet, together with the official explanation for a decline in
1959, compared with the totals announced for 1953 and 1957, followed by a steady
increase since 1960. Brief reference is made to political and economic changes
which are affecting the composition of population.
PMID- 22077515
TI - A note on nursing practices in an American isolate with a high birth rate.
AB - Abstract An unusually short interval between successive births in a non
contracepting and breast-feeding population has been reported by Dr. Mindel C.
Sheps (Pop. Studies, 19, 1). Nursing practices that might affect the interval
between birth and pregnancy are reported here from systematic observations made
in the field. Probable factors are: (1) a maternal diet high in calories and in
protein; (2) although infants are nursed for many months, each nursing period is
short in a busy and highly patterned community schedule; and (3) supplementary
feeding is introduced at an early age.
PMID- 22077519
TI - Unraveling the multiple myeloma genome in the next-generation sequencing era:
challenges to translating knowledge into the clinic.
PMID- 22077518
TI - Demetalation of Fe, Mn, and Cu chelates and complexes: application to the NMR
analysis of micronutrient fertilizers.
AB - The application of nuclear magnetic resonance (NMR) for the quality control of
fertilizers based on Fe(3+), Mn(2+), and Cu(2+) chelates and complexes is
precluded by the strong paramagnetism of metals. Recently, a method based on the
use of ferrocyanide has been described to remove iron from commercial iron
chelates based on the o,o-EDDHA [ethylenediamine-N,N'bis(2
hydroxyphenylacetic)acid] chelating agent for their analysis and quantification
by NMR. The present work extended that procedure to other paramagnetic ions,
manganese and copper, and other chelating, EDTA (ethylenediaminetetraacetic
acid), IDHA [N-(1,2-dicarboxyethyl)-d,l-aspartic acid], and complexing agents,
gluconate and heptagluconate. Results showed that the removal of the paramagnetic
ions was complete, allowing us to obtain (1)H NMR spectra characterized by narrow
peaks. The quantification of the ligands by NMR and high-performance liquid
chromatography showed that their complete recovery was granted. The NMR analysis
enabled detection and quantification of unknown impurities without the need of
pure compounds as internal standards.
PMID- 22077521
TI - Recent advances in hematology: an update from the 16th Congress of the EHA.
AB - The burden of hematological disorders on healthcare providers is reaching a new
peak as the population continues to age, making current research and debate in
hematology arguably of greater importance than ever before. At the 16th Congress
of the European Hematology Association in London, UK, the latest advances in
research, and their associated clinical implications, were highlighted. This
article provides a brief overview of a selection of presentations taken from the
extensive program.
PMID- 22077522
TI - Stem cells and beyond: report on the 40th Annual Scientific Meeting of the
International Society of Experimental Hematology.
AB - The 40th Annual Scientific Meeting of the International Society of Experimental
Hematology and Stem Cells was held in beautiful Vancouver, Canada, and was
chaired by David Scadden, Gerald de Haan and Peter Lansdorp. Topics such as
hematopoietic stem cell biology, development, aging, microenvironment, signaling,
genomics and transcriptional control in the context of normal and malignant
hematopoiesis were addressed by key speakers in the field.
PMID- 22077523
TI - Prevalence, risk factors and mortality of pulmonary hypertension defined by right
heart catheterization in patients with sickle cell disease.
PMID- 22077525
TI - Classification and therapeutic approaches in autoimmune hemolytic anemia: an
update.
AB - Autoimmune hemolytic anemia (AIHA) is an uncommon autoantibody-mediated immune
disorder that affects both children and adults. The diagnosis of AIHA relies
mainly on the direct antiglobulin test, which is a highly sensitive and
relatively specific test. The classification of AIHA is based on the pattern of
the direct antiglobulin test and on the immunochemical properties of the
autoantibody (warm or cold type), but also on the presence or absence of an
underlying condition or disease (secondary vs primary AIHAs) that may have an
impact on treatment and outcome. The distinction between AIHAs due to warm
antibody (wAIHA) and AIHAs due to cold antibody is a crucial step of the
diagnostic procedure as it influences the therapeutic strategy. Whereas
corticosteroids are the cornerstone of treatment in wAIHA, they have no or little
efficacy in cold AIHA. In wAIHA that is refractory or dependent to
corticosteroids, splenectomy and rituximab are both good alternatives and the
benefit?risk ratio of each option must be discussed on an individual basis. In
chronic agglutinin disease, the most common variety of cold AIHA in adults,
beyond supportive measures, rituximab given either alone or in combination with
chemotherapy may be helpful. In this article, the classification of AIHA and the
recent progress in therapeutics are discussed.
PMID- 22077524
TI - The epidemiology, evaluation and treatment of stroke in adults with sickle cell
disease.
AB - Stroke is a frequent and severe complication in adults with sickle cell disease.
Ischemic stroke often causes physical and cognitive disability, while hemorrhagic
stroke has a high mortality rate. As more children survive, the number of strokes
in adults is increasing, yet stroke remains poorly understood. We review the
epidemiology of ischemic and hemorrhagic stroke in adults with sickle cell
disease and outline a practical approach to the evaluation of stroke including
both sickle cell disease specific and general risk factors. We discuss the acute
treatment and secondary prevention of stroke in this population based on the
evidence in children with sickle cell disease and the general population, in
addition to the limited studies in adults with sickle cell disease.
PMID- 22077526
TI - Late-onset neutropenia following rituximab therapy: incidence, clinical features
and possible mechanisms.
AB - Late-onset neutropenia (LON) is emerging as a common adverse effect to rituximab
therapy owing to widespread use of this drug in the treatment of B-cell lymphomas
and autoimmune diseases. However, the true incidence and mechanisms are not fully
understood. LON has been reported in 5?27% of rituximab-treated lymphoma
patients. Similar figures apply for autoimmune patients but they appear to have
more infections during the neutropenic period. Recent reports imply that host
factors may play an intriguing role for development of LON, for example,
polymorphisms in FCGR3. Pronounced B-lymphocyte depletion and lower serum IgM, as
reported in LON patients during the period of neutropenia compared with matched
controls, may play a role for understanding the mechanisms and risk
stratification for emergence of LON.
PMID- 22077527
TI - Splenectomy for hereditary spherocytosis: complete, partial or not at all?
AB - Hereditary spherocytosis (HS) is an hematologic disorder, common in the Northern
European population, which is characterized by hemolytic anemia and splenomegaly.
The pathophysiological bedrock of HS consists of the retention and damage of
abnormal red cells, caused by an intrinsic erythrocyte membrane defect. Hemolysis
within the spleen is the main detrminant of erythrocyte destruction in patients
with HS. Splenectomy removes the primary ?graveyard? for spherocytes and, thus,
eliminates anemia and hyperbilirubinemia and lowers the high reticulocyte number
to nearly normal levels. Spleen removal is an effective therapeutic option but it
is not without risks. The incidence and public health impact of spleen surgery in
children with HS is substantial. Unfortunately, splenectomy is sometimes
recommended erroneously for young children who have a mild clinical phenotype or
is performed without relying on careful assessment of the risks and benefits.
This article aims to present criticism and address controversy about HS
management. Unclear and still controversial issues are tackled according to the
author?s personal viewpoint, because strong evidence in different aspects of HS
management is lacking.
PMID- 22077528
TI - A new era for IFN-alpha in the treatment of Philadelphia-negative chronic
myeloproliferative neoplasms.
AB - In recent years, several studies have shown that IFN-?2 is able to induce
molecular remissions with undetectable JAK2V617F in a subset of patients with
essential thrombocythemia (ET) and polycythemia vera (PV), even with
normalization of the bone marrow and sustained molecular remissions after
discontinuation of IFN-?2. Accordingly, interest in using IFN-?2 in the treatment
of patients with PV and related neoplasms has been revived. This article
highlights the current status of IFN-?2 in the treatment of patients with ET, PV,
primary myelofibrosis and myelofibrosis following ET and PV. In the context of
being able to induce ?minimal residual disease? in a subset of patients after
long-term treatment with IFN-?2, the current risk-stratification systems used for
treatment decisions are being challenged. It is argued that in 2011, the bulk of
evidence for the efficacy and safety of pegylated interferons in treating
patients with these neoplasms favors the upfront use of pegylated interferons,
the goal being to influence the development of the disease at the molecular level
and revert patients to a stage of ?minimal residual disease/operational cure?
instead of progressive clonal evolution, genomic instability and leukemic or
myelofibrotic transformation during long-term treatment with hydroxyurea.
PMID- 22077529
TI - Treatment of warfarin-associated coagulopathy with vitamin K.
AB - Warfarin is the most common form of oral anticoagulant therapy. Although it has
indisputable benefit in the management of thromboembolic disease, warfarin
associated coagulopathy (WAC) is a well-documented complication of its use. As
warfarin exerts its effect by impairing formation of the vitamin K-dependent
clotting factors, a cornerstone of WAC management is vitamin K replacement. Daily
vitamin K supplementation is an emerging approach to regulate international
normalized ratios in difficult-to-control patients. Mild WAC without bleeding can
often be managed with warfarin withdrawal alone. For excessive international
normalized ratio elevation in the absence of bleeding, low-dose oral vitamin K
(1?2.5 mg) is sufficient and achieves the same degree of international normalized
ratio correction by 24 h as intravenous therapy. The stable patient with WAC and
minor bleeding can also be given oral vitamin K, with correction of the
underlying defect. Major bleeding should first be managed with factor replacement
for immediate correction of the coagulopathy, using either a prothrombin complex
concentrate or fresh-frozen plasma. High-dose vitamin K (10 mg) should be given
concurrently via intravenous infusion to confer lasting correction. Warfarin
resistance and vitamin K-associated anaphylaxis are rare. Despite development of
new oral anticoagulant therapy compounds, warfarin will probably retain a
prominent role in thromboembolism management for several years to come.
PMID- 22077530
TI - Optimizing management of myelodysplastic syndromes post-allogeneic
transplantation.
AB - Allogeneic hematopoietic stem cell transplantation is still the only potentially
curative treatment for patients with myelodysplastic syndromes. Improvements in
donor selection, supportive care and the introduction of reduced-intensity
conditioning have led to a decrease in early transplant mortality. However,
relapse rates have not changed significantly in recent years. Furthermore,
treatment options for patients relapsing after hematopoietic stem cell
transplantation are limited and often short-lived. Thus, optimizing the post
transplant outcome by maintenance approaches or minimal residual disease-directed
preemptive therapy is an important goal of current clinical research. Further
strategies aiming at an improved prevention of graft-versus-host disease are
currently under investigation.
PMID- 22077532
TI - Use of data linkage to investigate the aetiology of acute lower respiratory
infection hospitalisations in children.
AB - AIM: To document the aetiology of acute lower respiratory infection (ALRI)
hospitalisations in Western Australian children by linking population-based
laboratory data with hospital morbidity data. METHODS: Data from all ALRI
hospitalisations and laboratory records related to respiratory pathogens between
2000 and 2005 were extracted and linked through a population-based record linkage
system. The proportion of specimens that were positive for each respiratory viral
or bacterial pathogen was documented. RESULTS: Eight thousand nine hundred and
eighty (45.2%) ALRI hospitalisations were linked to a laboratory record.
Admissions to a private hospital and admissions from non-metropolitan areas were
less likely to have a linked laboratory record. In 57.9% of linked
hospitalisations, a respiratory virus and/or a bacterial pathogen was identified.
Frequently identified viral pathogens included respiratory syncytial virus (RSV;
n= 3226; 39.5% of those tested), influenza viruses (n= 664; 8.5%), parainfluenza
virus type 3 (n= 348; 4.6%), picornaviruses (n= 292; 22.3%) and adenoviruses (n=
211; 2.7%). RSV was identified in 63.7% of bronchiolitis admissions in those aged
under 6 months and 33.1% of pneumonia admissions in those aged under 12 months.
Influenza viruses were identified in 81.6% of influenza-coded admissions. When a
test was requested, Bordetella pertussis was identified in 21.2% of ALRI
hospitalisations (n= 354), including 86.8% of whooping cough-coded admissions.
CONCLUSIONS: This is the first report of population-based data linkage between
statewide laboratory data and hospitalisation records and demonstrates proof of
principle. RSV continues to be an important pathogen in ALRI. As pathogens were
identified across all diagnoses, relying on hospital diagnosis coding alone may
not accurately estimate the burden of different categories of ALRI.
PMID- 22077533
TI - Review of longitudinal studies of MRI brain volumetry in patients with traumatic
brain injury.
AB - INTRODUCTION: Structural brain imaging in patients with traumatic brain injury
(TBI) has progressed remarkably over the years with respect to technology and
study design. METHODS: Published studies of patients with TBI which used magnetic
resonance imaging (MRI), volumetric measures and a longitudinal design--that is,
one in which data were collected at more than one point in time--were reviewed.
Some of these studies also included analyses using a cross-sectional (one point
in time) approach. RESULTS: Ten studies met the review criteria. Although methods
varied, these studies showed a consistent pattern of brain atrophy which
progressed over the months after injury. Effect sizes (brain size differences)
between patients and normal control subjects generally were much larger for
comparisons using the longitudinal approach than for those using a cross
sectional approach. Furthermore, atrophy correlated significantly with important
clinical variables. CONCLUSION: In comparison with the cross-sectional design,
the longitudinal design may be preferable for understanding the progression of
brain atrophy after injury and understanding its association with important
clinical variables.
PMID- 22077534
TI - The role of anxiety sensitivity in symptom perception after minor head injury: an
exploratory study.
AB - PRIMARY OBJECTIVE: This exploratory study examined the role of anxiety
sensitivity (AS) as a factor contributing to outcome following minor head injury
(MHI). Recent research has implicated AS in perception of pain intensity after
orthopaedic trauma. AS, therefore, has the potential to mediate perception of and
reaction to post-concussion symptoms at an early stage of recovery, increasing
the risk of a protracted and incomplete recovery. RESEARCH DESIGN: A case series
analysis. METHODS AND PROCEDURES: Sixty-three head trauma patients referred from
an accident and emergency unit to an acute minor head injury service were
compared to 58 patients who had suffered recent orthopaedic injury. Participants
completed the Rivermead Post-Concussion Questionnaire (RPQ), to determine the
number and severity of head injury-related symptoms, and the Anxiety Sensitivity
Index (ASI), to measure their emotional reaction to symptoms. MAIN OUTCOMES AND
RESULTS: Significant between-group differences were found for both RPQ and ASI
measures. The MHI group reported more serious symptoms. Both groups also recorded
significant positive correlations between the RPQ and ASI measures, with a
stronger relationship recorded for the MHI group. CONCLUSIONS: The results
support the impression that anxiety sensitivity may be an important factor
mediating perception of symptoms after injury, potentially influencing speed and
quality of recovery.
PMID- 22077535
TI - Assessment of symptoms in a concussion management programme: method influences
outcome.
AB - CONTEXT: Monitoring of subjective symptoms is the foundation of all sport
concussion management programmes. The purpose of this study is to examine
methodological variables that impact symptom reporting during baseline testing.
OBJECTIVE: To investigate how the administration method of a concussion
assessment tool (self-report vs interview) affects the report of symptoms.
DESIGN, SETTING AND PARTICIPANTS: This was a cross-sectional, semi-randomized
study of 117 athletes. MAIN OUTCOME MEASUREMENTS: Subjects completed the Post
Concussion Scale during pre-season evaluations. RESULTS: A two-factor ANOVA
revealed a significant difference in total symptom scores (p = 0.02) and number
of endorsed symptoms (p = 0.02) across administration modes. Athletes had a
greater total symptom score and reported a greater number of symptoms in the self
administration condition than in the interview condition. Furthermore, there was
a significant difference in symptom reporting across interviewer gender. Athletes
endorsed more symptoms when the interviewer was a woman. CONCLUSIONS: Because the
method of collecting symptoms, as well as interviewer gender, can impact test
results, self-report measures may be a better way of obtaining consistent
results. Clinicians and researchers should be aware that both the nature and
extent of symptom reporting is greater when using questionnaires than when
athletes are interviewed.
PMID- 22077536
TI - Independence in managing one's finances after traumatic brain injury.
AB - PRIMARY OBJECTIVE: To establish whether the budgeting task of the Instrumental
Activities of Daily Living (IADL) Profile discriminates individuals with a
traumatic brain injury (TBI) from healthy controls and to identify the nature of
the observed difficulties. METHOD: This study tested 27 adults with moderate or
severe TBI and 27 controls matched for age, sex and education on the budgeting
task of the IADL Profile. The budgeting task is a complex real-world problem
involving the preparation of a yearly budget for an individual living on a fixed
income with the goal of saving money to purchase a car. MAIN RESULTS: The results
showed that TBI subjects, the majority of whom had documented frontal lesions
and/or diffuse axonal injury based on CT scan reports, were more likely than
control subjects to experience difficulties on all task-related operations
(planning, carrying out and verifying attainment of goal), with planning being
particularly affected. These deficits were shown to impact on financial
abilities, an issue of particular concern for TBI individuals reporting full
responsibility of their finances. CONCLUSIONS: The budgeting task of the IADL
Profile discriminates TBI subjects from controls. Planning was shown to be the
most significant difficulty underlying reduced independence in the task.
PMID- 22077537
TI - To exclude or not to exclude: white matter hyperintensities in diffusion tensor
imaging research.
AB - OBJECTIVE: A practical methodological issue for diffusion tensor imaging (DTI)
researchers is determining what to do about incidental findings, such as white
matter hyperintensities (WMHI). The purpose of this study was to compare healthy
control subjects with or without WMHIs on whole brain DTI. METHOD: Participants
were 30 subjects (age = 37.7, SD = 11.3, Range = 18-60; 70% female) who had no
known developmental, general medical, neurological or psychiatric condition that
could have had an adverse affect on brain morphology. RESULTS: MRI (3 Tesla)
revealed, at minimum, a WMHI in eight subjects (26.7%). Fractional anisotropy
(FA) was calculated for 19 regions of interest (ROI). Frequency distributions of
FA scores for the 19 ROIs were calculated. The 10th percentile for each ROI was
selected as a cut-off score. Having four or more low FA scores occurred in 16.7%.
More subjects with incidental findings met criterion for low FA scores (37.5%),
compared to 9.1% of subjects with no findings. When subjects with minor WMHIs
were retained and only those with multiple incidental findings were excluded,
8.3% of the retained subjects met criterion for low FA scores compared to 50.0%
of the excluded subjects. CONCLUSIONS: The decision to include or exclude
subjects who have incidental findings can influence the results of a study.
PMID- 22077540
TI - Everyday life for the spouses of patients with untreated OSA syndrome.
AB - The aim of this study was to generate a theoretical model describing concerns for
spouses of patients with untreated obstructive sleep apnoea syndrome (OSAS) and
how they manage these concerns in their everyday life. Twelve spouses were
interviewed about their experiences and how they manage everyday life. The
interviews were analysed according to the Grounded Theory method as described by
Strauss and Corbin. Two main categories emerged from the data: 'Social
adjustment' and 'New feelings'. 'Social adjustment' reveals how the spouses made
adjustments in their daily lives, both according to their partners' tiredness and
owing to their own fatigue. 'New feelings' reveals emotional reactions related to
the effects of their partner's illness and the impact it had on the spouse's
everyday life. These two main categories could be seen in relation to four
dimensions describing how the spouses manage their everyday life: 'Sacrificing',
'Controlling', 'Changing' and 'Understanding'. The results show how the spouses
made adjustments in everyday life and how their feelings were affected by their
partner's OSAS. Healthcare personnel could use information from this study to
gain a deeper understanding and knowledge of what spouses of untreated patients
with OSAS experience as their main concerns and how they manage their everyday
life. This knowledge can be used to improve the support to the spouses, as well
as in the educational situation concerning the illness, as well as the treatment.
PMID- 22077541
TI - Assessment of hospice health professionals' knowledge, views, and experience with
medical marijuana.
AB - The medicinal and recreational use of cannabis has been controversial, especially
in the United States. Marijuana for medicinal use is approved in 14 U.S. states
and has recently been considered for legalization in several additional states.
Given its demonstrated efficacy in symptom management, marijuana has a potential
role in palliative care. This study utilized a 16-item questionnaire to assess
the knowledge, experience, and views of hospice professionals regarding the use
of marijuana in terminally ill patients. The study results revealed that, like
the general public, hospice health care providers are generally in favor of
legalization of marijuana and, if legalized, would support its use in symptom
management for their terminally ill patients.
PMID- 22077542
TI - "My baby is a person": parents' experiences with life-threatening fetal
diagnosis.
AB - Diagnosis of a lethal fetal diagnosis (LFD) early in pregnancy is devastating for
parents. Those who choose to continue with the pregnancy report intense emotional
reactions and inconsistent, often insensitive treatment by health care providers.
This qualitative descriptive study sought to clarify the experiences and needs of
families in order to design responsive perinatal palliative care services, and to
establish the feasibility and acceptability of conducting intensive interviews of
pregnant women and their partners during their pregnancy with a LFD. We
interviewed 2 women and 3 couples during pregnancy or just after birth, using
open ended questions. Audiotaped responses were analyzed by two investigators.
Two dimensions and six themes emerged. In the dimension of Personal Pregnancy
Experience, "Grieving Multiple Losses" elucidates that parents grieve the loss of
their normal pregnancy, healthy baby and future parenting. "Arrested Parenting"
describes their sudden interruption in the normal process of becoming a parent.
The theme "My Baby is a Person" reflects parents' unanimous desire to honor and
legitimize the humanity of their unborn baby. In the dimension of Interactions of
Others, three themes were found. "Fragmented Health Care" describes parent's
disjointed and distant encounters with multiple providers. "Disconnected Family
and Friends" describes the lack of understanding of what the families were
experiencing. "Utterly Alone," which crosses both dimensions, expresses how the
parents' sense of social isolation adds to their personal sense of loss and
loneliness. Recommendations are made for palliative care's role in respecting and
validating the experience of parents living through a doomed pregnancy.
PMID- 22077543
TI - Freezing in sealed capillaries for preparation of frozen hydratedsections.
PMID- 22077544
TI - Association of interleukin-10 gene promoter polymorphisms with chronic and
aggressive periodontitis.
AB - OBJECTIVE: Interleukin-10 gene promoter polymorphisms have been associated with
interleukin-10 decreased production, thereby playing a role in the pathogenesis
of periodontitis. This study aimed to investigate whether interleukin-10 single
nucleotide polymorphisms at positions -1087(G/A) and -597(C/A) are associated
with generalised chronic periodontitis and localised aggressive periodontitis.
METHODS: Genomic DNA samples were isolated from 276 unrelated Jordanian
participants. Subjects were categorised into 86 periodontally healthy controls,
105 chronic periodontitis patients and 85 localised aggressive periodontitis
patients. Genotype frequencies were calculated, and differences were determined
using Pearson chi-squared test, and odds ratio and 95% confidence intervals were
included. RESULTS: The frequencies of the -1087A and -597A alleles were
significantly more common in chronic periodontitis patients than controls. The A
positive allele genotypes (GA, AA) at position -1087 and A-positive allele
genotypes (CA, AA) at position -597 appeared to increase the risk of having
chronic periodontitis. No significant differences were observed in the genotype
frequencies between localised aggressive periodontitis patients and controls.
CONCLUSIONS: These findings indicate the possible use of interleukin-10 single
nucleotide polymorphisms as genetic markers in chronic periodontitis patients and
further emphasise the molecular differences between chronic periodontitis and
aggressive periodontitis.
PMID- 22077545
TI - Urological litigation.
PMID- 22077547
TI - Laparoscopic extravesical ureteric re-implantation.
PMID- 22077546
TI - Management of low (favourable)-risk prostate cancer.
AB - What's known on the subject? and What does the study add? Most men who are
diagnosed with favourable-risk prostate cancer undergo some form of active
intervention, despite evidence that treatment will not improve health outcomes
for many. The decision to undergo treatment after diagnosis is, in part, related
to the inability to precisely determine the long-term risk of harm without
treatment. Nevertheless, physicians should consider patient age, overall health,
and preferences for living with cancer and the potential side effects of curative
treatments, before recommending a management option. This is especially important
for older men, given the high level of evidence that those with low-risk disease
are unlikely to accrue any benefit from curative intervention. What is known on
the subject: Over treatment of favourable-risk prostate cancer is common,
especially among older men. What does the study add: A review of the natural
history of favourable-risk prostate cancer in the context of choices for
management of the disease. * The management of favourable-risk prostate cancer is
controversial, and in the absence of controlled trials to inform best practice,
choices are driven by personal beliefs with resultant wide variation in practice
patterns. * Men with favourable-risk prostate cancer diagnosed today often
undergo treatments that will not improve overall health outcomes. * A shared
decision approach for selecting optimal management of favourable-risk disease
should account for patient age, overall health, and preferences for living with
cancer and the potential side effects of curative treatments.
PMID- 22077548
TI - Vaginal extraction after laparoscopic nephrectomy.
PMID- 22077549
TI - Will 2012 be a good year?
PMID- 22077551
TI - Nepal Trek raises funds for prostate cancer research: 'There are no easy walks in
the Himalayas'.
PMID- 22077552
TI - Caveolin-1 and cancer metabolism in the tumor microenvironment: markers, models,
and mechanisms.
AB - Caveolins are a family of membrane-bound scaffolding proteins that
compartmentalize and negatively regulate signal transduction. Recent studies have
implicated a loss of caveolin-1 (Cav-1) expression in the pathogenesis of human
cancers. Loss of Cav-1 expression in cancer-associated fibroblasts results in an
activated tumor microenvironment, thereby driving early tumor recurrence,
metastasis, and poor clinical outcome in breast and prostate cancers. We describe
various paracrine signaling mechanism(s) by which the loss of stromal Cav-1
promotes tumor progression, including fibrosis, extracellular matrix remodeling,
and the metabolic/catabolic reprogramming of cancer-associated fibroblast, to
fuel the growth of adjacent tumor cells. It appears that oxidative stress is the
root cause of initiation of the loss of stromal Cav-1 via autophagy, which
provides further impetus for the use of antioxidants in anticancer therapy.
Finally, we discuss the functional role of Cav-1 in epithelial cancer cells.
PMID- 22077554
TI - Optical absorption and emission properties of fluoranthene, benzo[k]fluoranthene,
and their derivatives. A DFT study.
AB - Fluoranthene and benzo[k]fluoranthene-based oligoarenes are good candidates for
organic light-emitting diodes (OLEDs). In this work, the electronic structure and
optical properties of fluoranthene, benzo[k]fluoranthene, and their derivatives
have been studied using quantum chemical methods. The ground-state structures
were optimized using the density functional theory (DFT) methods. The lowest
singlet excited state was optimized using time-dependent density functional
theory (TD-B3LYP) and configuration interaction singles (CIS) methods. On the
basis of ground- and excited-state geometries, the absorption and emission
spectra have been calculated using the TD-DFT method with a variety of exchange
correlation functionals. All the calculations were carried out in chloroform
medium. The results show that the absorption and emission spectra calculated
using the B3LYP functional is in good agreement with the available experimental
results. Unlikely, the meta hybrid functionals such as M06HF and M062X
underestimate the absorption and emission spectra of all the studied molecules.
The calculated absorption and emission wavelength are more or less basis set
independent. It has been observed that the substitution of an aromatic ring
significantly alters the absorption and emission spectra.
PMID- 22077553
TI - Pathogenesis of plexiform neurofibroma: tumor-stromal/hematopoietic interactions
in tumor progression.
AB - Neurofibromatosis type 1 (NF1) is a genetic disease that results from either
heritable or spontaneous autosomal dominant mutations in the NF1 gene. A second
hit mutation precedes the predominant NF1 neoplasms, which include myeloid
leukemia, optic glioma, and plexiform neurofibroma. Despite this requisite NF1
loss of heterozygosity in the tumor cell of origin, nontumorigenic cells
contribute to both generalized and specific disease manifestations. In mouse
models of plexiform neurofibroma formation, Nf1 haploinsufficient mast cells
promote inflammation, accelerating tumor formation and growth. These recruited
mast cells, hematopoietic effector cells long known to permeate neurofibroma
tissue, mediate key mitogenic signals that contribute to vascular ingrowth,
collagen deposition, and tumor growth. Thus, the plexiform neurofibroma
microenvironment involves a tumor/stromal interaction with the hematopoietic
system that depends, at the molecular level, on a stem cell factor/c-kit-mediated
signaling axis. These observations parallel findings in other NF1 disease
manifestations and are clearly relevant to medical management of these
neurofibromas.
PMID- 22077555
TI - Actinic granuloma occurring in an unusual association with cutaneous B-cell
chronic lymphocytic leukemia.
AB - Granulomatous cutaneous reactions are well described in association with T-cell
non-Hodgkin lymphoma and Hodgkin lymphoma, but are rarely seen in association
with B-cell non-Hodgkin lymphoma or leukemia. We report a case of a 65-year-old
woman with B-cell chronic lymphocytic leukemia (CLL)/small lymphocytic lymphoma
(SLL) who presented with multiple, tender, firm pink papules on the face, upper
trunk and upper extremities 6 years after diagnosis of CLL. Biopsy revealed both
palisading granulomatous dermatitis consistent with actinic granuloma and a dense
perivascular lymphocytic infiltrate consistent with the patient's known history
of leukemia. This is an unusual manifestation of cutaneous B-cell CLL that is
rarely seen.
PMID- 22077557
TI - Reviews on animal diseases recently published in other journals.
PMID- 22077556
TI - Safety of quadrivalent human papillomavirus vaccine.
PMID- 22077560
TI - Constrained density functional theory.
PMID- 22077559
TI - Screening of antimicrobial activity of Cistus ladanifer and Arbutus unedo
extracts.
AB - In this work, the in vitro antimicrobial activity of different crude extracts
obtained from Cistus ladanifer L. and Arbutus unedo L. was investigated. The
ethanol, methanol and acetone/water extracts of Cistus ladanifer and Arbutus
unedo were prepared using different extraction methods and their antimicrobial
activities against reference strains, including three Gram-positive, five Gram
negative and three yeasts, and against clinical isolates of Helicobacter pylori
and methicillin-resistant Staphylococcus aureus, were investigated. All the
extracts inhibited more than one microorganism; moreover all of them presented
antimicrobial activity against the Gram-positive bacteria, Klebsiella pneumonia,
Candida tropicalis and Helicobacter pylori. It is noteworthy that the most
considerable in vitro effect was observed against Helicobacter pylori. These
inhibitory effects can be considered relevant to the development of new agents
for inclusion in the treatment or prevention of infections by the tested strains.
PMID- 22077561
TI - Differential expression of canonical and non-canonical Wnt ligands in
ameloblastoma.
AB - BACKGROUND: Canonical and non-canonical Wnt signaling pathways modulate diverse
cellular processes during embryogenesis and post-natally. Their deregulations
have been implicated in cancer development and progression. Wnt signaling is
essential for odontogenesis. The ameloblastoma is an odontogenic epithelial
neoplasm of enamel organ origin. Altered expressions of Wnts-1, -2, -5a, and -10a
are detected in this tumor. The activity of other Wnt members remains
unclarified. MATERIALS AND METHODS: Canonical (Wnts-1, -2, -3, -8a, -8b, -10a,
and -10b), non-canonical (Wnts-4, -5a, -5b, -6, 7a, -7b, and -11), and
indeterminate groups (Wnts-2b and -9b) were examined immunohistochemically in 72
cases of ameloblastoma (19 unicystic [UA], 35 solid/multicystic [SMA], eight
desmoplastic [DA], and 10 recurrent [RA]). RESULTS: Canonical Wnt proteins
(except Wnt-10b) were heterogeneously expressed in ameloblastoma. Their
distribution patterns were distinctive with some overlap. Protein localization
was mainly membranous and/or cytoplasmic. Overexpression of Wnt-1 in most subsets
(UA = 19/19; SMA = 35/35; DA = 5/8; RA = 7/10) (P < 0.05), Wnt-3 in granular cell
variant (n = 3/3), and Wnt-8b in DA (n = 8/8) was key observations. Wnts-8a and
10a demonstrated enhanced expression in tumoral buddings and acanthomatous areas.
Non-canonical and indeterminate Wnts were absent except for limited Wnt-7b
immunoreactivity in UA (n = 1/19) and SMA (n = 1/35). Stromal components
expressed variable Wnt positivity. CONCLUSION: Differential expression of Wnt
ligands in different ameloblastoma subtypes suggests that the canonical and non
canonical Wnt pathways are selectively activated or repressed depending on the
tumor cell differentiation status. Canonical Wnt pathway is most likely the main
transduction pathway while Wnt-1 might be the key signaling molecule involved in
ameloblastoma tumorigenesis.
PMID- 22077562
TI - Functional rather than immunoreactive levels of IgG4 correlate closely with
clinical response to grass pollen immunotherapy.
AB - BACKGROUND: Induction of allergen-specific IgG(4) antibodies is the most
consistent immunological finding in immunotherapy trials. However, quantitative
assessments of IgG(4) antibodies have not proven beneficial in evaluating
clinical changes during or after immunotherapy. In the current study, we
investigated the relationship between clinical outcome and allergen-specific
IgG(4) titres or functional antibody responses following immunotherapy. We
hypothesized that functional assays of serum IgG-associated inhibitory activity
such as inhibition of IgE-allergen interactions (IgE-blocking factor) and
inhibition of CD23-dependent IgE-facilitated allergen binding (IgE-FAB) correlate
more closely with clinical outcome and may be biomarkers of clinical response.
METHODS: In an 8-month dose-response randomized double-blind placebo-controlled
study, 221 polysensitized subjects with severe seasonal rhinitis received Alutard
SQ, Phleum pratense 100,000 SQ-U, 10,000 SQ-U or placebo injections. Serum
specimens were collected before treatment, after up-dosing, during the peak
season and at the end of the study. Allergen-specific IgG(4) titres and IgG
associated inhibitory activity were evaluated. RESULTS: A time- and dose
dependent increase in serum inhibitory activity for both the IgE-blocking factor
and IgE-FAB was observed, which paralleled increases in grass pollen-specific
IgG(4) antibodies. A modest but significant inverse relationship was demonstrated
between postimmunotherapy serum inhibitory activity and combined symptom-rescue
medication scores (IgE-FAB: r = -0.25, P = 0.0002; IgE-blocking factor: r =
0.28, P < 0.0001), whereas this was not observed for immunoreactive IgG(4) levels
(r = -0.11, P = 0.12). CONCLUSIONS: Functional assays of inhibitory IgG(4) and
IgE-blocking factor may be more useful surrogates of clinical response than
IgG(4). Whether these antibody effects may serve as predictive biomarkers of
clinical efficacy in individual patients requires further investigation.
PMID- 22077563
TI - Are babies consumer durables? A Critique of the Economic Theory of Reproductive
Motivation * The research discussed is supported by a grant from The Equitable
Life Assurance Society to International Population and Urban Research, Institute
of International Studies, University of California, Berkeley. The author wishes
to thank Kingsley Davis for his advice and criticism, and Valerie Caires,
Katherine Carter and Barbara Heyns for their assistance in processing the studies
involved in this analysis. The report is also indebted to General Research
Support Grant of the National Institutes of Health (1501-TR-544104) for
assistance to Statistical Services, School of Public Health.
AB - Abstract Never before have couples been able to control so effectively the
number of children they will have. Although involuntary factors still affect
family size, continuing advances in contraceptive techniques make deliberate
choice an ever more important determinant of fertility. But what factors
determine the size of family people will choose ? One type of answer advanced in
recent years by Gary Becker views reproductive performance simply as economic
behaviour. Couples, he believes, desire fewer children when poor, more when rich.
PMID- 22077564
TI - Natality and ethnocentrism: Some relationships suggested by an analysis of
catholic-protestant differentials.
AB - Abstract At the time of writing, the Roman Catholic Church is engaged in a
major re-evaluation of its position on contraception. Judging from newspaper
interest, there exists a widespread assumption that Catholic teaching, together
with the political and social influence of the Catholic clergy, is an important
deterrent to the practice of contraception and, for that reason, to the reduction
of human natality.
PMID- 22077565
TI - A comparison of the size of families of roman catholics and non-catholics in
Great Britain.
AB - Abstract In a recent study of family size ideals in the D.S.A. it was found
that in the 1960'S the mean ideal family size of Catholics was about half a child
higher than the mean ideal size of non-Catholics. This note describes an analysis
of similar data for married women in Great Britain, derived from an investigation
undertaken in 1966 for the Population Investigation Committee. A difference in
ideal family size, which was of the same order as the American difference, was
found; and, in addition, the actual fertility of Catholics was compared with that
of others.
PMID- 22077566
TI - The verification of data in historical demography.
AB - Abstract In all scientific studies care must be taken to work on data which are
correct and hence to make sure of the quality of the observations. In the field
of historical demography there is need for extra care. The data were collected a
long time ago in circumstances which were sometimes good, sometimes bad, but
often little known. Statisticians are, moreover, on a priori grounds, rather
inclined to be more suspicious of observations made in the past than of those
which are made currently. Results relating to past populations must therefore be
more rigorously established than any others if they are to be accepted. The
verification of the data thus forms an integral part of the work of the
historical demographer.
PMID- 22077567
TI - Fertility and nuptiality changes in Spain from the late 18th to the early 20th
century.
AB - Abstract 1.1. The course of Spanish population growth from the end of the 16th
to the beginning of the 18th century was set by the effects of what demographers
call 'catastrophic' mortality. As in most European populations, the occasional
but recurrent ravages of epidemics, especially plague, wiped out the excess of
births over deaths accumulated in 'normal' years. How accurate and close to
reality this statement is cannot be discussed here; but we shall assume that,
until the rSth century, short-term growth was offset by mortality above the
normal levels caused by epidemics.
PMID- 22077568
TI - Fertility trends in Europe since the second world war.
AB - Abstract Before discussing the movements in fertility in Europe since World War
II, it is necessary to consider, both as a background and a yardstick for
measurement, the general situation around the mid-1930's. This period has been
chosen for several reasons. First, it was at about this time that the crude birth
rates and other period indices of fertility in most Western and North-Western
countries of Europe reached their lowest points. The decline initiated in the
1870's and 1880's had proceeded without interruption except for the years
immediately after World War I, and had gathered momentum in the 1920's. Only in
France, in which the birth rate had been falling throughout the 19th century, did
there appear to be some approach to stabilization. Secondly, pro-natalist
policies began to expand in France, Belgium and Italy, and were initiated in
Germany with the Nazi takeover. The very expansion of such policies reinforced
the feeling of impending depopulation in other Western countries, a feeling made
more intense by the increasingly frequent use of period net reproduction rates as
indicators of national 'vitality' ('true' rates of natural increase were much
less frequently cited: they required more elaborate computations and appeared to
be less striking). Such rates were regarded as sophisticated and meaningful
measures of replacement tendencies and they were given a semi-official status by
inclusion in the League of Nations Statistical Yearbooks. The apparent
implications of these rates were made even more sharply visible by the
publication of population projections constructed on a component basis, and using
essentially the same approach as that embodied in net reproduction rates - that
is, with fertility measured in terms of age-specific fertility rates, and with no
regard paid to nuptiality. Thirdly, the early thirties saw the great economic
depression, with its correlate of mass unemployment, and offering a natural
economic explanation for at least part of the apparent demographic depression.
PMID- 22077569
TI - Fertility differentials in the Sudan (with reference to the nomadic and settled
populations) * This paper is based on a chapter from a Ph.D. thesis completed at
the London School of Economics, under the joint supervision of Professor D. V.
Glass and Dr. J. G. C. Blacker, to whom I am deeply indebted for guidance,
criticism and suggestions. I am also grateful to the Ford Foundation and the
Population Council Inc. for the grants which made this work possible.
AB - Abstract Statistically valid records of birth and death registration do not yet
exist in the Sudan. The 1955/56 sample census gave some evidence - though not
very conclusive 1 The evidence from the 1955/56 sample census is not quite
conclusive, partly because the administrative divisions for which the census data
are available do not always coincide with the ethnic or mode of life divisions.
Further, the available indices are inadequate and unreliable, and throw no light
on the possible causes of the differentials. For further details see my article
on 'Population of the Sudan' in Essays on Sudan Economy, forthcoming. - that
there were fertility differentials in the country. These differentials were of
three main types. First, there were differentials between the inhabitants of the
three Southern provinces where recorded fertility levels were exceptionally -
indeed suspiciously - high; and the Northern provinces where recorded fertility
levels were considerably lower. Second, within the Northern populations, there
appeared to be fertility differentials between the settled agricultural
populations on the one hand, and the pastoral nomads on the other, fertility
among the latter being apparently unusually low. Third, there was some evidence
of urban-rural differentials.
PMID- 22077570
TI - Some comments on Ursula M. Cowgill's article, 'life and death in the sixteenth
century in the city of York' (Population Studies, July 1967).
AB - Abstract The initial purpose of Miss Cowgill's investigation was to study
possible differences in mortality according to the season of birth, a study in
which groups of people must be followed from birth to death. In the present case
the groups were composed of people born in six parishes of the City of York
between 1538 and 1601.
PMID- 22077571
TI - A reply to M. Henry's comments on Cowgill's article (Population Studies, July
1967).
AB - Abstract To settle the excellent question that M. Henry has raised will
undoubtedly require more research. At present the 17th-eentury York situation is
being investigated. The number of matched births and deaths will be considerably
greater than that obtained from a study ofthe 16th-century records.
PMID- 22077576
TI - QALYs and carers.
AB - When going 'beyond the patient', to measure QALYs for unpaid carers, a number of
additional methodological considerations and value judgements must be made. While
there is no theoretical reason to restrict the measurement of QALYs to patients,
decisions have to be made about which carers to consider, what instruments to use
and how to aggregate and present QALYs for carers and patients. Current, albeit
limited, practice in measuring QALY gains to carers in economic evaluation
varies, suggesting that there may be inconsistency in judgements about whether
interventions are deemed cost effective. While conventional health-related
quality-of-life tools can, in theory, be used to estimate QALYs, there are both
theoretical and empirical concerns over the suitability of their use with carers.
Measures that take a broader view of health or well-being may be more
appropriate. Incorporating QALYs of carers in economic evaluations may have
important distributional consequences and, therefore, greater normative
discussion over the appropriateness of incorporating these impacts is required.
In the longer term, more flexible forms of cost-per-QALY analysis may be required
to take account of the broader impacts on carers and the weight these impacts
should receive in decision making.
PMID- 22077577
TI - Modelling the cost effectiveness of treatments for Parkinson's disease: a
methodological review.
AB - The objective of this review was to assess models of cost effectiveness for
Parkinson's disease (PD) published after July 2002 and to derive recommendations
for future modelling. A systematic literature search was performed in the
databases PubMed, Current Contents, EMBASE, EconLit, the Cochrane Database of
Systematic Reviews, and DARE (Database of Abstracts of Reviews of Effectiveness),
NHS EED (Economic Evaluation Database) and HTA (Health Technology Assessment) of
the UK NHS Centre for Review and Dissemination (July 2002 to March 2010). Only
fully published studies using decision trees, Markov models, individual
simulation models or sets of mathematical equations were included. Most of the 11
studies identified used Markov models (n = 9) and two employed were based on
decision trees. Based on the Hoehn & Yahr (HY) scale, authors evaluated the cost
effectiveness of drug treatments (n = 6), surgical approaches such as deep brain
stimulation (n = 1) or striatal cell grafting (n = 1), and diagnostic procedures
such as single photon emission computed tomography (SPECT) testing (n = 3) over a
time horizon of 1 year to lifetime. Costs were adapted to address a societal
and/or healthcare provider/third-party payer perspective. All but one of the
interventions investigated were considered cost effective or cost saving. Cost
effectiveness modelling in PD between 2003 and 2010 showed only minor improvement
when compared with our earlier review of models published from 1998 up to 2003.
Cost-effectiveness modelling recommendations were complied with to only a limited
extent, leaving room for quality improvement. More advanced modelling approaches
may, so far, be under-represented, but may be used in the future, driven by the
research question. Adverse events of treatment, co-morbidities or disease
complications are not yet sufficiently included in the models to adequately
represent clinical reality.
PMID- 22077578
TI - Cost-effectiveness analysis of prophylactic lamivudine use in preventing vertical
transmission of hepatitis B virus infection.
AB - BACKGROUND: As neonates born to mothers with positive hepatitis B e antigen may
not be completely protected by hepatitis B vaccination, prophylactic lamivudine
use in mothers with high viraemia has been proposed. However, the overall
effectiveness and the balance between cost and benefit for such a prophylactic
strategy have rarely been addressed. OBJECTIVE: Using a review of recent
literature, we aimed to assess the cost effectiveness, from the Taiwanese
societal perspective, of administering prophylactic lamivudine to mothers to
reduce vertical transmission of hepatitis B virus and its long-term sequelae in
neonates. METHODS: A meta-analysis of three randomized controlled trials was
conducted to evaluate the efficacy of lamivudine versus placebo. A Markov
decision model was constructed in which in both treatment arms infants received
active and passive immunoprophylaxis. An economic evaluation was performed to
calculate costs, acute infections averted, and QALYs gained. Probabilistic
sensitivity analyses were conducted and a cost-effectiveness acceptability curve
drawn. All these analyses were from the societal perspective. Costs ($US) were
valued in year 2008 prices. RESULT: Supplemental lamivudine use gained an
additional 0.0024 QALYs and averted 0.23 acute infections per birth compared with
the routine active-passive immunization without lamivudine. The cost
effectiveness analysis suggested that the use of additional prophylactic
lamivudine dominated the routine strategy. The acceptability curve suggested that
the probability of being cost effective under the willingness-to-pay threshold of
$US20,000 was 94%. CONCLUSION: This analysis suggests that supplemental use of
lamivudine in mothers with high hepatitis B viraemia is effective in reducing
vertical transmission and may be cost effective, from a Taiwanese societal
perspective, compared with the routine active-passive immunization without
lamivudine.
PMID- 22077579
TI - Cost effectiveness of tenofovir disoproxil fumarate for the treatment of chronic
hepatitis B from a Canadian public payer perspective.
AB - INTRODUCTION: Previous research has demonstrated that tenofovir disoproxil
fumarate (DF) is the most cost-effective nucleos(t)ide treatment for chronic
hepatitis B (CHB) in the UK, Spain, Italy and France. However, to our knowledge,
no published studies have yet evaluated the cost effectiveness of any treatments
for CHB in a Canadian setting, where relative prices and management of CHB differ
from those in Europe. AIM: Our objective was to determine the cost effectiveness
of tenofovir DF compared with other nucleos(t)ide therapies licensed for CHB in
Canada from the perspective of publicly funded healthcare payers. METHODS: A
Markov model was used to calculate the costs and benefits of nucleos(t)ide
therapy in three groups of patients with hepatitis B e antigen (HBeAg)-positive
and -negative CHB: nucleos(t)ide-naive patients without cirrhosis; nucleos(t)ide
naive patients with compensated cirrhosis; and lamivudine-resistant patients.
Disease progression was modelled as annual transitions between 18 disease states.
Transition probabilities, quality of life and costs were based on published
studies. Health benefits were measured in QALYs. The reference year for costs was
2007 and costs and outcomes were discounted at 5% per annum. RESULTS: First-line
tenofovir DF was the most effective nucleos(t)ide strategy for managing CHB,
generating 6.85-9.39 QALYs per patient. First-line tenofovir DF was also the most
cost-effective strategy in all patient subgroups investigated, costing between
$Can43,758 and $Can48,015 per QALY gained compared with lamivudine then
tenofovir. First-line tenofovir DF strongly dominated first-line entecavir.
Giving tenofovir DF monotherapy immediately after lamivudine resistance developed
was less costly and more effective than any other active treatment strategy
investigated for lamivudine-resistant CHB, including second-line use of adefovir
or adefovir + lamivudine. Probabilistic sensitivity analysis demonstrated 50%
confidence that first-line tenofovir DF is the most cost-effective nucleos(t)ide
strategy for treatment-naive patients with CHB, at a $Can50,000 per QALY
threshold, and confirmed that first-line tenofovir DF has the highest expected
net benefits. CONCLUSIONS: First-line tenofovir DF appears to be the most cost
effective nucleos(t)ide treatment for both cirrhotic and non-cirrhotic CHB
patients in Canada, providing that society is willing to pay at least $Can48,015
per QALY gained, although sensitivity analyses highlighted uncertainty around the
results.
PMID- 22077580
TI - Girls' schooling and the perceived threat of adolescent sexual activity in rural
Malawi.
AB - Despite its relative infrequency, pregnancy is perceived by parents in rural
Malawi as a leading cause of school dropout among female students. This paper
explores parents' beliefs about adolescent sexual activity and schoolgirl
pregnancy and how these perceptions frame parents' aspirations and expectations
about girls' schooling. In-depth interviews were collected in rural Malawi from
60 adults aged 25-50 who were the parent of at least one school-aged child. Four
themes emerged from the data: how expectations about sexual activity frame
parental expectations about schooling duration and dropout, the loss of parental
control, the negative influence of classmates and schools as unsafe environments.
These concerns frame how parents consider a daughter's schooling prospects and
are active even for parents whose daughters are not sexually active or who are
not yet old enough to have gone through puberty. Although all parents aspire for
their children to attend secondary school, these perceptions of daughters'
relative risk weaken parents' motivation to encourage daughters to remain in
school.
PMID- 22077581
TI - Novel clinical and molecular findings in Chinese families with dyschromatosis
symmetrica hereditaria.
PMID- 22077583
TI - In silico homology modeling to predict functional properties of cruciferin.
AB - Cruciferin is the major storage protein in Brassicaceae family oilseeds. The
predominant cruciferin isoforms in Arabidopsis thaliana were investigated using
homology modeling (HM) for their molecular structures and functional properties.
The structure of Brassica napus procruciferin was used as the template for HM
to determine the molecular structures and hypervariable regions. Hydrophobicity
and electrostatic surface potential distribution on the intradisulfide-containing
face (IA) and the interdisulfide-containing face (IE) indicated favorable
interfacial and solubility properties. More heat-induced structural changes were
predicted for the CruC homotrimer than for the CruA or CruB homotrimers.
Structural features that facilitate flavor binding and limit proteolytic
digestion were more readily observed in CruA and CruB than in CruC. On the basis
of these comparative models, structural differences among cruciferin isoforms and
their relevance to potential technofunctionalities were identified. This approach
of functional property prediction will link protein structure to utilities and
will be valuable in designing proteins for targeted applications.
PMID- 22077584
TI - Acute disc herniation in the elderly.
AB - BACKGROUND: Acute disc prolapse in young adults has been studied extensively.
However, little is known about acute disc prolapse in the elderly. OBJECTIVE: We
aimed to define the features of acute disc prolapse in the elderly. DESIGN,
SETTING AND PATIENTS: Elderly (>= 65 years) patients who had lumbar or thoracic
discectomy for acute (< 3 months) disc prolapse in our unit between July 2004 and
March 2010 were identified. For comparison, we used a 'young' (25-45 years)
patient cohort with acute thoracic or lumbar disc prolapse. Data collected
included age, symptom onset, preoperative signs and spinal level. RESULTS: During
the study period, 390 patients had discectomy, of which 59.7% were 'young' and
7.4% 'elderly'. The young and elderly patients had significantly different
distributions of prolapsed disc levels. In the young, 97% of all disc protrusions
were at L4/5 or L5/S1, but < 50% were at these levels in the elderly. In the
elderly, about 10% of disc protrusions were thoracic. CONCLUSIONS: Acute disc
prolapse is rare in the elderly and primarily affects the upper lumbar and lower
thoracic spine.
PMID- 22077582
TI - Noninvasive detection of passively targeted poly(ethylene glycol) nanocarriers in
tumors.
AB - The present studies noninvasively investigate the passive tumor distribution
potential of a series of poly(ethylene glycol) (PEG) nanocarriers using a
SkinSkan spectrofluorometer and an In Vivo Imaging System (IVIS) 100. Fluorescein
conjugated PEG nanocarriers of varying molecular weights (10, 20, 30, 40, and 60
kDa) were prepared and characterized. The nanocarriers were administered
intravenously to female balb/c mice bearing subcutaneous 4T1 tumors. Passive
distribution was measured in vivo (lambda(exc), 480 nm; lambda(em), 515-520 nm)
from the tumor and a contralateral skin site (i.e., control site). The signal
intensity from the tumor was always significantly higher than that from the
contralateral site. Trends in results between the two methods were consistent
with tumor distribution increasing in a molecular weight-dependent manner (10 <
20 < 30 ? 40 ? 60 kDa). The 10 kDa nanocarrier was not detected in tumors at 24
h, whereas 40-60 kDa nanocarriers were detected in tumors for up to 96 h. The 30,
40, and 60 kDa nanocarriers showed 2.1, 5.3, and 4.1 times higher passive
distribution in tumors at 24 h, respectively, as compared to the 20 kDa
nanocarrier. The 60 kDa nanocarrier exhibited 1.5 times higher tumor distribution
than 40 kDa nanocarrier at 96 h. Thus, PEG nanocarriers (40 and 60 kDa) with
molecular weights close to or above the renal exclusion limit, which for globular
proteins is >=45 kDa, showed significantly higher tumor distribution than those
below it. The hydrodynamic radii of PEG polymers, measured using dynamic light
scattering (DLS), showed that nanocarriers obtained from polymers with
hydrodynamic radii >=8 nm exhibited higher tumor distribution. Ex vivo mass
balance studies revealed that nanocarrier tissue distribution followed the rank
order tumor > lung > spleen > liver > kidney > muscle > heart, thus validating
the in vivo studies. The results of the current studies suggest that noninvasive
dermal imaging of tumors provides a reliable and rapid method for the initial
screening of nanocarrier tumor distribution pharmacokinetics.
PMID- 22077585
TI - Solitary fibrous tumour of the vagus nerve.
AB - We describe the complete removal of a foramen magnum solitary fibrous tumour in a
36-year-old woman. It originated on a caudal vagus nerve rootlet, classically
described as the 'cranial' accessory nerve root. This ninth case of
immunohistologically confirmed cranial or spinal nerve SFT is the first of the
vagus nerve.
PMID- 22077587
TI - A case of craniocervical abscess with sinus thrombosis in Lemierre's syndrome.
AB - A 74-year old gentleman with a cranio-cervical abscess failed to improve after
incision and drainage and standard intravenous antibiotic therapy. Imaging
demonstrated thrombosis of the internal jugular vein, sigmoid sinus and
transverse sinus; and microbiological analysis isolated Fusobacterium nucleatum.
The diagnosis of Lemierre's syndrome was confirmed, and he was effectively
treated with appropriate antibiotics and anti-coagulation.
PMID- 22077586
TI - Management of head trauma due to landmine explosions: from battle field to
operation room.
AB - BACKGROUND: Head injuries due to landmine explosions are not well studied and the
management of such injuries is not documented, even though there is,
unfortunately, a regrettably high incidence of such injuries in the military and
in civilians. METHODS: The data of 119 patients who underwent surgery for the
head injuries due to landmine explosions were reviewed retrospectively. All of
these patients were military personnel, and the mean age was 23 years. RESULTS: A
total 83 (69.7%) of the cases were injured by the anti-personnel devices and 36
(30.3%) by vehicle landmines. Fifty percent of the patients underwent surgery for
depressed skull fracture, intraparenchymal foreign body, epidural haematoma or
subdural haematoma. Six (0.05%) patients died in the emergency room. CONCLUSION:
Landmine explosions can produce classic injury patterns from blunt and
penetrating mechanisms to several organ systems, including the brain. They also
produce unique injury patterns to the brain. Understanding this important
difference is critical in management of these injuries. Early surgical treatment
and appropriate management could save more lives.
PMID- 22077588
TI - Intraoperative sealing of dura mater defects with a novel, synthetic, self
adhesive patch: application experience in 25 patients.
AB - BACKGROUND: The quest for an ideal sealant for dura mater defects persists. The
clinical experience with a novel, synthetic self-adhesive patch
(TissuePatchDural((r)), Tissuemed, Leeds, UK) and its ability to prevent
postoperative cerebrospinal fluid (CSF) leakage is described in this article.
METHODS: A recently developed, synthetic, self adhesive patch was implanted in 25
patients who underwent intradural neurosurgical procedures. The indication for
use was to adjunctively seal dura mater defects. The device is a multi-laminate
consisting of thin films of a commonly used structural polymer, poly(lactide-co
glycolide) and a tissue reactive polymer providing fast and strong chemical
bonding of the patch with the underlying biological surface. Intraoperative
handling and efficacy, biocompatibility, and postoperative observations/follow-up
were analysed. Infectious complications, surgical wound features, and
postoperative MRI scans were especially reviewed. The mean follow up period was
4.4 months. RESULTS: The device provided fast and efficacious sealing of
circumscribed dura mater defects within 1 minute in 23 patients (92%). Two of 25
patients developed a postoperative CSF leakage (8%), which may be secondary to
particular factors predisposing these patients to CSF leaks. Surgical handling
was straightforward. No infectious complications were recorded; furthermore,
wound healing was unremarkable. No clinical evidence of foreign body reactions
was observed. In 18 patients, postoperative MRI scans were available which did
not show irregularities in any case. CONCLUSIONS: Safe and effective sealing can
be accomplished with this bioabsorbable, purely synthetic and thin dural sealant,
avoiding the application of foreign biologic material. The product has been shown
to be effective in achieving watertight closure of the dura mater and has
prevented CSF leakage in 92% of patients treated.
PMID- 22077589
TI - Pattern of head injuries in Malta (EU): a small Mediterranean island.
AB - We have reviewed all acute neurosurgical admissions between December 2007 and
December 2009. Hundred and nine (46.6%) of our admissions were head injuries. A
subdural haematoma(SDH) was found in 51.4%(56) of the head injuries. Of these,
50/56 presented with a Glasgow Coma Scale(GCS) of 14 to 15, 41.1% (23) of these
SDH needed surgery. The commonest mode of injury was falls [67.9%(74) of head
injuries]. Risk factors for falls are co-morbidities which are particular to an
elderly population, these include osteoarthritis and audio/ visual impairment.
Falls are more common in rural areas where elderly are more likely to feel
comfortable to walk and sustain trivial falls resulting in chronic subdural
heamatomas.
PMID- 22077591
TI - Prenuptial dental extractions in Acadian women: first report of a cultural
tradition.
AB - BACKGROUND: Prenuptial tooth extractions, extractions of all teeth in at least
one dental arch before marriage, are not identified in the dental literature.
Driven by a professional encounter, the purpose of this study was to confirm the
existence of this practice among Acadian women. METHODS: An 8-item survey
instrument with space for comments was mailed to 182 dentists from traditionally
Acadian regions of Canada. The survey was provided in English and French.
RESULTS: Ninety dentists responded (50.3%); 8 of them (9%) had been asked to
perform prenuptial extractions, and an additional 9 volunteered awareness of this
practice. Awareness and requests were associated with dental practice in a county
with a >=20% French-speaking population. CONCLUSIONS: Prenuptial extractions in
this population have been confirmed by the current cohort of dentists. The
potential public health, clinical, and systemic health research implications for
women who are edentulous for most of their adult life merit further study.
Additionally, it is important to determine if interventions are needed to curtail
cultural expectations of such practices.
PMID- 22077590
TI - The discoidin domain receptor 1 gene has a functional A2RE sequence.
AB - Discoidin domain receptor 1 (DDR1) is expressed in myelin oligodendrocytes and co
localizes with myelin basic protein (MBP). Alternative splicing of DDR1 generates
five isoforms designated DDR1a-e. The MBP mRNA contains an hnRNP A2 response
element (A2RE) sequence that is recognized by heterogeneous nuclear
ribonucleoprotein (hnRNP) A2/B1, which is responsible for transport of the MBP
mRNA to oligodendrocyte processes. We hypothesized that DDR1 could have a
functional A2RE sequence. By in silico analysis, we identified an A2RE-like
sequence in the human DDR1 mRNA. We observed nuclear and dendrite cytoplasmic
immunofluorescence, indicating that DDR1 and hnRNP A2/B1 co-localize in human
oligodendrocytes and in differentiated HOG16 cells. The A2RE-like sequence of
DDR1 contains the single nucleotide polymorphism rs2267641, and we found that in
the human brain, the minor allele is associated with lower and higher levels
DDR1b and DDR1c mRNA expression, respectively. Moreover, a positive correlation
between DDR1c and the myelin genes myelin-associated glycoprotein and
oligodendrocyte lineage transcription factor 2 was found. Differentiated HOG16
cells transfected with an hnRNP A2/B1 siRNA simultaneously show a decrease and an
increase in the DDR1c and DDR1b mRNA expression levels, respectively, which was
accompanied by a decrease in DDR1 protein levels at the cytoplasmic edges. These
results suggest that the DDR1 A2RE sequence is functionally involved in the hnRNP
A2/B1-mediated splicing and transport of the DDR1c mRNA.
PMID- 22077592
TI - An essay about health professionals' attitudes to lesbian, gay, bisexual and
transgender parents seeking healthcare for their children.
AB - BACKGROUND: This paper is a polemic essay about an important but sometimes
controversial subject. Lesbian, gay, bisexual and transgender (LGBT) clients can
be reluctant to reveal their sexual orientation to health professionals from whom
they may be seeking health care for their children. Family-centred care (FCC),
where care is planned around the whole family not just the individual child, is
widely used across the world, but unless all aspects of the families who present
for care are respected, care delivery is compromised. This is particularly
important for minority groups and potentially vulnerable families such as LGBT.
AIM: This descriptive essay discusses the use of health services by LGBT parents,
how seeking health care is influenced by perceived perceptions of LGBT people
held by health professionals, and examines factors affecting such seeking of
health care. DISCUSSION: We show that LGBT people may be unwilling to disclose
sexual identity to health professionals when seeking health care for their
children. Health professional's attitudes can be affected by factors such as
gender, age, religious and political affiliations, education level and previous
interactions with LGBT people. CONCLUSION: We conclude our argument with the
assertion that all parents, including those from minority groups such as LGBT,
who bring their children for health care need supportive family-centred care, and
only by ensuring that the health professionals delivering care are well educated
about all aspects of sexuality will care be family-centred.
PMID- 22077593
TI - Transatlantic Consensus Group on active surveillance and focal therapy for
prostate cancer.
AB - What's known on the subject? and What does the study add? Active surveillance for
prostate cancer is gaining increasing acceptance for low risk prostate cancer.
Focal therapy is an emerging tissue preservation strategy that aims for treat
only areas of cancer. Early phase trials have shown that side-effects can be
significantly reduced using focal therapy. There is significant uncertainty in
both active surveillance and focal therapy. This consensus group paper provides a
road-map for clinical practice and research for both tissue-preserving strategies
in the areas of patient population, tools for risk stratification and cancer
localisation, treatment interventions as well as comparators and outcome measures
in future comparative trials. OBJECTIVE: To reach consensus on key issues for
clinical practice and future research in active surveillance and focal therapy in
managing localized prostate cancer. PATIENTS AND METHODS: A group of expert
urologists, oncologists, radiologists, pathologists and computer scientists from
North America and Europe met to discuss issues in patient population,
interventions, comparators and outcome measures to use in both tissue-preserving
strategies of active surveillance and focal therapy. Break-out sessions were
formed to provide agreement or highlight areas of disagreement on individual
topics which were then collated by a writing group into statements that formed
the basis of this report and agreed upon by the whole Transatlantic Consensus
Group. RESULTS: The Transatlantic group propose that emerging diagnostic tools
such as precision imaging and transperineal prostate mapping biopsy can improve
prostate cancer care. These tools should be integrated into prostate cancer
management and research so that better risk stratification and more effective
treatment allocation can be applied. The group envisaged a process of care in
which active surveillance, focal therapy, and radical treatments lie on a
continuum of complementary therapies for men with a range of disease grades and
burdens, rather than being applied in the mutually exclusive and competitive way
they are now. CONCLUSION: The changing landscape of prostate cancer epidemiology
requires the medical community to re-evaluate the entire prostate cancer
diagnostic and treatment pathway in order to minimize harms resulting from over
diagnosis and over-treatment. Precise risk stratification at every point in this
pathway is required alongside paradigm shifts in our thinking about what
constitutes cancer in the prostate.
PMID- 22077594
TI - Association of 3BP2 with SHP-1 regulates SHP-1-mediated production of TNF-alpha
in RBL-2H3 cells.
AB - Adaptor protein 3BP2, a c-Abl Src homology 3 (SH3) domain-binding protein, is
tyrosine phosphorylated and positively regulates mast cell signal transduction
after the aggregation of the high affinity IgE receptor (FcepsilonRI).
Overexpression of the Src homology 2 (SH2) domain of 3BP2 results in the dramatic
suppression of antigen-induced degranulation in rat basophilic leukemia RBL-2H3
cells. Previously, a linker for activation of T cells (LAT) was identified as one
of the 3BP2 SH2 domain-binding protein. In this report, to further understand the
functions of 3BP2 in FcepsilonRI-mediated activation of mast cell, we explored
the protein that associates with the SH2 domain of 3BP2 and found that SH2 domain
containing phosphatase-1 (SHP-1) inducibly interacts with the SH2 domain of 3BP2
after the aggregation of FcepsilonRI. The phosphorylation of Tyr(564) in the
carboxy (C)-terminal tail region of SHP-1 is required for the direct interaction
of SHP-1 to the SH2 domain of 3BP2. The expression of the mutant form of SHP-1
which was unable to interact with 3BP2 resulted in the significant reduction in
SHP-1-mediated tumor necrosis factor-alpha (TNF-alpha) production without any
effects on the degranulation in antigen-stimulated RBL-2H3 cells. These findings
suggest that 3BP2 directly interacts with Tyr(564) -phosphorylated form of SHP-1
and positively regulates the function of SHP-1 in FcepsilonRI-mediated signaling
in mast cells.
PMID- 22077595
TI - Spectroscopic structure-property relationships of a series of polyaromatic
platinum acetylides.
AB - To develop a structure-spectroscopic property relationship in platinum acetylides
having poly(aromatic hydrocarbon) ligands, we synthesized a series of
chromophores with systematic variation in the number of fused aromatic rings
(nFAR) and ligand topology (polyacene (L), polyphenanthrene (Z), or compact(C)).
We measured ground-state absorption, fluorescence, and phosphorescence spectra.
We also performed nanosecond and femtosecond transient absorption experiments. To
extend the range of compounds in the structure-property relationship, we did DFT
calculations on an expanded series of chromophores. Both the DFT results and
experiments show that the S(1) and T(1) state energies are a function of both
nFAR and the ligand topology. In the L chromophores, the S(1) and T(1) state
energies decrease linearly with nFAR. In contrast, the S(1) and T(1) state
energies of the Z chromophores oscillate around a fixed value with increasing
nFAR. The C chromophores have behavior intermediate between the L and Z
chromophores. A parallel series of calculations on the ligands shows the same
behavior. The S(1)-S(n) energy obtained from ultrafast time-resolved spectra has
a linear variation in nFAR. The rate constant for nonradiative decay, k(nr), was
calculated from the S(1) state lifetime and decreases with an increasing number
of pi electrons in the aromatic ring. The result is consistent with the spin
orbit coupling caused by the central platinum heavy atom decreasing with larger
nFAR. The present work shows that the framework developed for the analysis of
poly(aromatic hydrocarbon) properties is useful for the understanding of the
corresponding platinum acetylide complexes.
PMID- 22077596
TI - Kumada coupling of aryl, heteroaryl, and vinyl chlorides catalyzed by amido
pincer nickel complexes.
AB - A series of amido pincer complexes of nickel were examined for their catalysis in
the Kumada cross-coupling reaction. The P,N,O-pincer nickel complexes tested are
active catalysts for the cross-coupling of aryl, heteroaryl, and vinyl chlorides
with aryl Grignard reagents. The reactions can proceed at room temperature and
tolerate functional groups in aryl chlorides with the aid of LiCl and ZnCl(2)
additives.
PMID- 22077597
TI - Proteomic characterization of the greening process in rice seedlings using the MS
spectral intensity-based label free method.
AB - Illumination-induced greening in dark-grown plants is one of the most dramatic
developmental processes known in plants. In our current study, we characterized
the greening process of rice seedlings using comparative proteome analysis. We
identified 886 different proteins in both whole cell lysates of illuminated and
nonilluminated rice shoots and performed comparative proteome analysis based on
the MS spectral intensities obtained for unique peptides from respective
proteins. Furthermore, the changes in the levels of individual proteins were then
compared with those of the corresponding mRNAs. The results revealed well
coordinated increases in the enzymes involved in the Calvin cycle at both the
protein and mRNA levels during greening, and that the changes at the mRNA level
precede those at the protein level. Although a much lower effect of illumination
was found on the enzymes associated with glycolysis and the TCA cycle,
coordinated increases during greening were evident for the enzymes involved in
photorespiration and nitrogen assimilation as well as the components of the
chloroplastic translational machinery. These results thus define the differential
regulation of distinct biological systems during greening in rice and demonstrate
the usefulness of comprehensive and comparative proteome analysis for the
characterization of biological processes in plant cells.
PMID- 22077598
TI - Reviews on animal diseases recently published in other journals.
PMID- 22077600
TI - Hemifacial preferences for the perception of emotion and attractiveness differ
with the gender of the one beheld.
AB - Though the left cheek appears more emotive, perceptions of attractiveness vary
with gender. For the first time, this study assessed the relationship between
perceptions of emotion (happiness) and attractiveness in naturalistic
photographic portraits. One hundred ninety-two participants (63 male; 129 female)
viewed pairs of left and right cheek poses, and made a forced-choice decision
indicating which image appeared (a) more emotive and (b) more attractive (order
counterbalanced). Half the images were mirror-reversed to control for perceptual
biases. Results indicated a striking gender difference: for males, the left cheek
appears both more emotive and more attractive; for females, preferences are
subject to stronger perceptual influences, with the right cheek bias for emotion
and attractiveness reversing to a left cheek bias when images are mirror
reversed. These findings suggest that if you want to show the world your "best
side", men should lead with the left but for women, the right cheek is right.
PMID- 22077602
TI - Update 1 of: C2-symmetric chiral bis(oxazoline) ligands in asymmetric catalysis.
PMID- 22077601
TI - Primary cutaneous amyloidosis of the external ear: a clinicopathological and
immunohistochemical study of 17 cases.
AB - Primary cutaneous amyloidosis includes several forms of localized amyloidosis
characterized by superficial amyloid deposits occurring at or near the dermal
epidermal junction in the absence of systemic involvement. Primary cutaneous
amyloidosis of the auricular concha and external ear represents a rarely
described variant. There have been 27 cases reported in the English language
literature, and herein we report 17 additional cases. This article demonstrates
that the amyloid observed in this context is generally positive for Congo red,
crystal violet and thioflavin T. It also expresses cytokeratin 34betaE12 via
immunohistochemistry. Our immunohistochemical results and review of the
literature suggest that the amyloid in amyloidosis of the external ear is the
result of basal keratinocyte degeneration and does not signify deposition from a
systemic or generalized process.
PMID- 22077603
TI - Experiences of unmarried young abortion-seekers in Bihar and Jharkhand, India.
AB - While several studies have documented the prevalence of unprotected pre-marital
sex among young people in India, little work has explored one of its likely
consequences, unintended pregnancy and abortion. This paper examines the
experiences of 26 unmarried young abortion-seekers (aged 15-24) interviewed in
depth as part of a larger study of unmarried abortion-seekers at clinics run by
an NGO in Bihar and Jharkhand. Findings reveal that recognition of the unintended
pregnancy was delayed for many and many who suspected so further delayed
acknowledging it. Once recognised, most confided in the partner and, for the most
part, partners were supportive; a significant minority, including those who had
experienced forced sex, did not have partner support and delayed the abortion
until the second trimester of pregnancy. Family support was absent in most cases;
where provided, it was largely to protect the family reputation. Finally,
unsuccessful attempts to terminate the pregnancy were made by several young
women, often with the help of partners or family member. Findings call for
programmes for young women and men, their potential partners, parents and
families and the health system that will collectively enable unmarried young
women to obtain safe abortions in a supportive environment.
PMID- 22077604
TI - Pitfalls in benefit-cost analysis of birth prevention.
AB - Abstract The paper summarizes the procedure usually employed by Enke, Meier and
others to estimate the benefit-cost ratio of a prevented birth. Some possible
deficiencies in the formulation, the possible lack of relevance of the resulting
computations, are considered. For example, since the benefit-cost ratios are
exceptionally high they would imply unusually high rates of investment for family
planning. The results would also apply for the birth prevention of not only high
parity births, but also for first and second children. Some arguments are
presented which suggest the possibility that the income distribution might be
worsened as a consequence of the application of a family planning programme based
on these principles - especially those which employ subsidies to induce the
practice of family limitation. In addition it is also argued that the average
economic quality of the population may be lower than otherwise as a consequence
of such programmes, and that the consequences of such events are not taken into
account in the usual formulation. Also the usual estimates of costs of such
programmes are questioned since the relation between acceptances and births
prevented are unknown given the lack of knowledge about the substitution between
the proposed methods of family limitation and other means of population control.
Finally, we present a model based on reasonable but different assumptions than
the formulation popularized by Enke and others, and show that on the basis of
this model it is possible to obtain results which are the exact opposite of the
Enke model. Also it is argued that the model presented is much more sensitive to
actual data than the usual formulation.
PMID- 22077605
TI - The patterns and causes of fertility differentials in the Sudan (with reference
to nomadic and settled populations).
AB - Abstract A demographic survey was undertaken in the Sudan for the purpose of
studying fertility differentials between the nomadic and settled populations. In
a previous publication the magnitude of these differentials was examined. The
present paper examines the nature of the differentials and possible causes are
then assessed. These causes are divided into two categories: those arising from
the differential marriage patterns and those which are broadly termed 'medical
and physiological'. Under the former heading it was found that larger proportions
of nomadic women were still single, while those who had married had tended to do
so at later ages, more had experienced broken marriages, and more were in
polygamous marriages. Under the latter heading it was found that the nomadic
women had experienced higher rates of pregnancy loss, appeared to show a high
incidence of venereal disease and malaria, and tended to breast-feed their
children for periods two to three times as long as the women in agricultural
communities. Nutritional standards among the nomads were also substantially
lower, and may well have affected fecundity.
PMID- 22077606
TI - Size and structure of the household in England over three centuries.
AB - Abstract Data giving sizes and structures of households have been rare for any
country before the institution of the official census, and have to be gleaned
from surviving documents containing listings of inhabitants. This article, the
first of two, describes the collection of listings of inhabitants of English
communities which is being assembled by the Cambridge Group for the History of
Population and Social Structure and the methods by which the hundred most
informative of them have been submitted to analysis. When ranged alongside the
information on mean household size derived from the official British census since
its inception in 1801, the results of this analysis suggest the following. 1.
Mean household size in England and Wales as a whole was relatively constant at
4.75 or a little below for the whole period from the sixteenth century until
19II, and has only fallen since that date. The reduction of about one-third
starting in 1921 may therefore be the first of considerable magnitude ever to
occur: it seems to have been particularly rapid between 1911 and 1931. 2.
Mean household size in England and Wales has been surprisingly resistant to
demographic fluctuation on the one hand and to the structural influences of
industrialization on the other, until the last fifty or sixty years. 3. The
traditional household in England has never been extended on any definition, at
least since the sixteenth century. Mean household size varied with social status,
and a majority lived in households of six or more members. But this distribution
was due to the very large numbers of servants living in and not to the presence
of resident kin, who seem to have been rare. 4. The relationship between
fertility, mortality and mean household size is different from what has been
supposed. This article ends by registering the paradox that proportion of
children in a pre-industrial English community apparently seems to be negatively,
not positively, related to its mean household size, and this theme will be taken
up in the second article. These four points are illustrated by a series of tables
drawn from the analysis of the one hundred communities.
PMID- 22077607
TI - Expectancy of life at birth in 36 nationalities of the Soviet Union: 1958-60.
AB - Abstract In the 36 nationalities of the Soviet Union the estimated expectancy
of life at birth ranged from 50.0 years for Chechens to 71.1 years for Latvians
with a median of about 67.5 years for Russians. In essence, the life table
function e(0) was generated from the child-woman ratios with the use of intricate
equations based on empirical data obtained from official Soviet publications. A
modified version of Bourgeois-Pichat's model was used to estimate life
expectancies at birth among the 36 nationalities on the basis of their crude
death rates and the percentage of population aged 65 years and over. The 1959
U.S.S.R. Census of Population provided information pertaining to the older age
groups. The crude death rates were estimated separately with the aid of second
degree polynomials fitted to the crude demographic measures for 109
administrative areas of the Soviet Union for 1960. Information about recent
improvements in public health, as well as conjectural evaluations of economic
advancement in recent years were examined and related to the past and present
level of mortality among the Russian people and the remaining population of
minorities.
PMID- 22077608
TI - Inferences about abortion from foetal mortality data.
AB - Abstract In a longitudinal fertility study in Detroit the evidence indicates
that the foetal mortality rate in the prospective periods are better reported
than in retrospective parts of the fertility histories. While the data do not
specifically differentiate between induced abortions and other foetal deaths, the
foetal death rates vary in relation to other social and demographic
characteristics of the couples in such a way as make induced abortion a
consistently plausible explanation of differentials. Foetal mortality rates tend
to be high among sub-groups which have the incentive, information and the
financial means for induced abortions. If these inferences are correct, it is
likely that there is a considerable practice of induced abortion.
PMID- 22077609
TI - Contraceptive acceptance and pregnancy: a matrix approach to the analysis of
competing risks.
AB - Abstract This paper represents an initial attempt to formalize the
relationships among post-partum sterility, fecundability, and contraceptive
acceptance in terms of absorbing Markov chains. Acceptance of contraception
offered by family planning programmes is analyzed as a possible event in time for
a cohort of recently delivered women as they pass through phases of temporary
sterility and fecundability towards another possible pregnancy. The results of
the study indicate that once a woman leaves the post-partum anovulatory stage,
the probability of her becoming pregnant again is large compared to the competing
rates of contraceptive acceptance currently in force. Unless highly fecund non
contracepting women are approached by family planning programmes shortly after a
pregnancy has been terminated (by childbirth or abortion), they will quickly
become ineligible to accept either the pill or IUD because of once again being
'currently pregnant'.
PMID- 22077610
TI - Desired family size and the efficacy of current family planning programmes.
AB - Abstract This paper takes a look at the belief that the number of couples
currently desiring to limit family size is sufficiently large (and the intensity
of their desire sufficiently strong) that the provision of supplies, services and
education - the standard family planning package - will be adequate to bring the
birth rate down to acceptable levels within a reasonable time period. Evidence
comes from other countries, from attitude surveys and behavioural studies in
India, from a priori considerations about what is reasonable to expect, and from
certain demographic considerations. The most reasonable conclusion to draw from
this evidence is that the belief is not correct. If the birth rate is to be
brought down to target levels, additional methods - perhaps monetary incentives -
seem to be necessary.
PMID- 22077611
TI - Malaria eradication and its effect on mortality levels: A comment.
AB - Abstract In his article 'Malaria eradication and its effect on mortality
levels' (Population Studies d21, 3, November 1967) Dr. S. A. Meegama criticised
the approach followed by Professor Peter Newman in an earlier investigation of
the effects of malaria eradication in Ceylon. In the present series of comments
and rejoinders, Professor Newman and Dr. Meegama discuss in detail their
interpretations of the data and the methods they have used in assessing the role
of malaria eradication.
PMID- 22077612
TI - The decline in maternal and infant mortality and its relation to malaria
eradication.
AB - Abstract In his article 'Malaria eradication and its effect on mortality
levels' (Population Studies d21, 3, November 1967) Dr. S. A. Meegama criticised
the approach followed by Professor Peter Newman in an earlier investigation of
the effects of malaria eradication in Ceylon. In the present series of comments
and rejoinders, Professor Newman and Dr. Meegama discuss in detail their
interpretations of the data and the methods they have used in assessing the role
of malaria eradication.
PMID- 22077613
TI - Rejoinder.
PMID- 22077614
TI - A reply.
PMID- 22077617
TI - An optimized growth factor cocktail for ovine mesenchymal stem cells.
AB - Growth factors that regulate proliferation, migration, and invasion of ovine
mesenchymal stem cells (oMSCs) are not well defined. In this study, we have
evaluated five growth factors for their ability to initiate and support in vitro
proliferation, migration, and invasion of oMSCs. oMSCs were exposed to different
doses and combinations of the growth factors: basic fibroblast growth factor
(bFGF), transforming growth factor-beta (TGF-beta), epidermal growth factor
(EGF), insulin growth factor-I (IGF-I), connective tissue growth factor, and
platelet-derived growth factor-AB (PDGF-AB). Cellular proliferation, motility,
and invasiveness were assayed. The most proliferative stimulating growth factors
are PDGF-AB+TGF-beta and PDGF-AB+IGF-I. Combinations EGF+bFGF and EGF+bFGF+PDGF
AB demonstrated the greatest ability to stimulate migration. Moreover, the triple
cocktail EGF+bFGF+TGF-beta has the most significant effect on invasion. Different
growth factor cocktails are required to enhance proliferation, migration, and
invasion. These results may be useful for the development of a tissue-engineered
heart valve by stimulating cellular repopulation.
PMID- 22077618
TI - Effects of sarpogrelate hydrochloride on skin ulcers and quality of life in
patients with systemic sclerosis.
AB - 5-Hydroxytryptamine 2A serotonin receptor (5-HT(2A) ) is associated with the
contraction of vascular smooth muscle, platelet aggregation and thrombus
formation and coronary artery spasms. Sarpogrelate hydrochloride (sarpogrelate)
is a selective 5-HT(2A) antagonist and was supposed to be effective for Raynaud's
phenomenon with collagen disease. Sarpogrelate has not been investigated
regarding the effects, safety and quality of life (QOL) in patient with skin
ulcers of collagen disease. Eleven patients with skin ulcers and systemic
sclerosis (SSc) were administrated sarpogrelate p.o. three times a day for 3-6
months. The area (mean +/- standard error) of skin ulcer at the pretreatment, and
after 3 and 6 months of sarpogrelate intake was 2.1 +/- 0.8, 0.2 +/- 0.1 and 0.1
+/- 0.1 mm(2), respectively. The reduction of skin ulcer area was significant
after 3 months of sarpogrelate intake. In assessment of QOL, scores of symptoms
and emotions but not of functioning were significantly improved after
sarpogrelate intake. The global score (mean +/- SE) of Skindex-16 at
pretreatment, and after 3 and 6 months of sarpogrelate intake was 31.8 +/- 8.7,
23.7 +/- 8.3 and 10.9 +/- 4.6, respectively. The score was significantly improved
after 6 months of sarpogrelate intake. There were no obvious side-effects during
this study. Sarpogrelate was considered to be a useful drug to improve skin
ulcers and QOL in patients with SSc.
PMID- 22077619
TI - Patient preferences for first-line oral treatment for mild-to-moderate ulcerative
colitis: a discrete-choice experiment.
AB - BACKGROUND: Patients with ulcerative colitis (UC) frequently require long-term
therapy to prevent relapse. Treatments such as 5-aminosalicylic acid (5-ASA
[mesalazine]) are efficacious and well tolerated, but adherence to treatment is
often poor. OBJECTIVE: This discrete-choice experiment (DCE) was conducted to
estimate differences in patient preferences for 5-ASA treatment in mild-to
moderate UC based on levels of self-reported adherence. Inclusion of patients
residing in the US, UK, Germany, and Canada allowed for assessment of possible
cultural differences in patient preferences. METHODS: DCE attributes were
determined through literature review, clinician consultation, and patient
interviews. Six treatment attributes were identified: ease of swallowing, time of
day, quantity, extent of flare resolution, likelihood of flare occurrence, and
cost. A total of 400 patients in four countries completed the DCE and adherence
(Modified Morisky Scale) surveys. Data were analyzed using generalized estimating
equations to estimate patient preference and willingness to pay (WTP) by levels
of self-reported adherence and country of residence. RESULTS: All attributes had
expected polarity and were significant predictors of patient preference. Self
reported 'good' versus 'poor' adherers significantly preferred symptom control (p
= 0.0108) and mucosal healing (p = 0.0190) attributes. All patients stated
preference for symptom control/mucosal healing and flare risk attributes; the
latter attribute was significantly preferred across all countries. Country
differences in patient preference for convenience versus clinical attributes were
found. Overall, patients were willing to pay L29.24 ($US46.27) per month for
symptom control and mucosal healing, and an additional L78.81 ($US124.70) per
month for reduction in flare risk to 10% per year (WTP costs were equalized
between each country using the published 2008 purchasing power parity). Those
with flares in the past year significantly preferred avoiding future flares (p <
0.0001) versus other attributes, as well as lower risk of flares (10%, likelihood
ratio: 0.64-0.70). CONCLUSIONS: Findings indicate that self-reported adherers to
UC therapy have a stronger preference for clinical benefits over other treatment
attributes, suggesting that positive patient assessment of effectiveness may
influence adherence. Ongoing clinician assessment of patient preferences for
treatment attributes, as well as education on the importance of adherence, may
help improve treatment outcomes in UC.
PMID- 22077620
TI - Job strain in relation to body mass index: pooled analysis of 160 000 adults from
13 cohort studies.
AB - BACKGROUND: Evidence of an association between job strain and obesity is
inconsistent, mostly limited to small-scale studies, and does not distinguish
between categories of underweight or obesity subclasses. OBJECTIVES: To examine
the association between job strain and body mass index (BMI) in a large adult
population. METHODS: We performed a pooled cross-sectional analysis based on
individual-level data from 13 European studies resulting in a total of 161 746
participants (49% men, mean age, 43.7 years). Longitudinal analysis with a median
follow-up of 4 years was possible for four cohort studies (n = 42 222). RESULTS:
A total of 86 429 participants were of normal weight (BMI 18.5-24.9 kg m(-2) ),
2149 were underweight (BMI < 18.5 kg m(-2) ), 56 572 overweight (BMI 25.0-29.9 kg
m(-2) ) and 13 523 class I (BMI 30-34.9 kg m(-2) ) and 3073 classes II/III (BMI
>= 35 kg m(-2) ) obese. In addition, 27 010 (17%) participants reported job
strain. In cross-sectional analyses, we found increased odds of job strain
amongst underweight [odds ratio 1.12, 95% confidence interval (CI) 1.00-1.25],
obese class I (odds ratio 1.07, 95% CI 1.02-1.12) and obese classes II/III
participants (odds ratio 1.14, 95% CI 1.01-1.28) as compared with participants of
normal weight. In longitudinal analysis, both weight gain and weight loss were
related to the onset of job strain during follow-up. CONCLUSIONS: In an analysis
of European data, we found both weight gain and weight loss to be associated with
the onset of job strain, consistent with a 'U'-shaped cross-sectional association
between job strain and BMI. These associations were relatively modest; therefore,
it is unlikely that intervention to reduce job strain would be effective in
combating obesity at a population level.
PMID- 22077622
TI - Human neutrophil alloantigen genotype frequencies among blood donors with Turkish
and German descent.
AB - Antibodies against the human neutrophil antigens (HNA) are able to stimulate
transfusion reactions, autoimmune and neonatal neutropenia. The aim of this study
was to determine the HNA allele frequencies in the largest ethnic minority group
in Germany in comparison with the German population for predicting the risk of
alloimmunization and associated transfusion reactions, as well as the risk of
developing neonatal neutropenia for the newborn of racial mixed couples. However,
there exists no data about HNA genotype distribution in Turkish population. DNA
was isolated from blood samples of 119 German and 118 Turkish blood donors and
typed them for HNA-1, -3, -4, and -5 by using a commercial polymerase chain
reaction kit with sequence-specific primers (SSP-PCR) and compared the HNA
genotype distribution of both groups. In German blood donors, the gene
frequencies for HNA-1a and HNA-1b were 0.391 and 0.601, for HNA-3a and -3b, 0.744
and 0.256, for HNA-4a and -4b, 0.908 and 0.092, and for HNA-5a and -5bw, 0.731
and 0.269. In Turkish blood donors, we observed 0.420/0.564, 0.737/0.263,
0.881/0.119, and 0.754/0.246 for HNA-1a/1b, -3a/3b, -4a/4b, and -5a/5bw. No
statistic significant difference between genotypes in these populations was
observed. This study is the first to report HNA gene frequencies in a Turkish
population. It showed that there is no difference of HNA genotype in blood donors
with Turkish descent in comparison with German blood donors. The alternating
transfusion of blood and blood components is no increased risk for developing
alloantibodies against HNA antigens. In pregnancy of mixed couples no special
screening programs for HNA are necessary.
PMID- 22077621
TI - Natural killer cell engineering for cellular therapy of cancer.
AB - Natural killer (NK) cells can kill transformed cells and represent a promising
tool for the treatment of cancer. Their function is governed by a balance of
stimulatory and inhibitory signals triggered by surface receptors. Advances in NK
cell therapy require the development of dependable methods for obtaining an
adequate number of effector cells; additional activation or genetic modification
may further increase their anticancer capacity. A method for NK cell expansion
used in our laboratory relies on a genetically modified form of the K562 myeloid
leukemia cell line, engineered to express a membrane-bound form of interleukin-15
and the ligand for the costimulatory molecule 4-1BB (CD137). Expanded NK cells
can be transduced with genes encoding chimeric antigen receptors that stimulate
tumor cell-specific cytotoxicity. These methods for NK cell expansion and genetic
modification have been adapted to large-scale, clinical-grade, Current Good
Manufacturing Practice conditions and support two active clinical trials.
Summarized are current efforts for NK cell immunotherapy for cancer and future
perspectives.
PMID- 22077623
TI - Genome-wide analysis of extended pedigrees confirms IL2-IL21 linkage and shows
additional regions of interest potentially influencing coeliac disease risk.
AB - Coeliac disease is a chronic inflammatory condition of the small intestine,
triggered by dietary exposure to gluten in genetically susceptible individuals.
Risk alleles at HLA-DQA1 and HLA-DQB1 are necessary for disease development, but
are alone not sufficient for disease onset. We aimed to identify novel loci
underlying susceptibility to coeliac disease through the use of extended Finnish
and Hungarian families with multiple affected individuals. An initial whole
genome linkage approach yielded several loci that were followed up further using
the Immunochip custom array. Loci with a parametric logarithm of odds (LOD) score
of >1.3 were identified at 4q, 6p [human leukocyte antigen (HLA) region], 6q, 7p,
17p, 17q and at 22p. The 4q and 6q loci have been identified previously in
coeliac disease risk, whereas follow-up analyses indicate that the 17p and 22p
loci may be novel risk loci for coeliac disease. These loci harbour previously
described risk variants for other autoimmune diseases, but their segregation
patterns do not explain the linkage to coeliac disease. We followed up the
linkage to the 4q region, containing the previously described interleukin (IL)2
and IL21 genes. The risk variants at 4q in the studied pedigrees are most likely
distinct from previously described risk variants, indicating that the observed
linkage may be due to rare high-risk variants of still unknown nature. The
importance of this locus to coeliac disease risk was further shown by the finding
that serum levels of IL21 were elevated in both untreated and treated coeliac
patients compared to controls.
PMID- 22077624
TI - A CD40 single-nucleotide polymorphism affects the lymphocyte profiles in the
bronchoalveolar lavage of Japanese patients with sarcoidosis.
AB - CD40 plays a critical role in adaptive immunity, and alveolar macrophages in
patients with sarcoidosis express higher levels of CD40. This study investigated
the association of rs1883832, a functional single-nucleotide polymorphism in the
CD40 gene with susceptibility to sarcoidosis and phenotypes of sarcoidosis.
Genotyping of rs1883832 in 175 Japanese patients with sarcoidosis and 150 age-
and sex-matched controls revealed no significant difference between the genotypes
of the patient and control groups (CC/CT/TT, 32.8/52.0/14.7% in the patients;
37.3/48.0/14.7% in the controls, P = 0.66; allele C, 59.1% in the patients, 61.3%
in the controls, P = 0.57). T-cell and CD4+ cell counts in the bronchoalveolar
lavage fluid were significantly higher in the TT genotype group than in the CC
and CT genotype group.
PMID- 22077625
TI - Evaluation of DLA promoters in Doberman hepatitis.
AB - Doberman hepatitis (DH) is associated with homozygous DLA
DRB1*00601/DQA1*00401/DQB1*01303 indicating a role for the immune system in the
development of the disease. The dog leucocyte antigen (DLA) class II expression
is controlled at the transcriptional level with proximal promoters. Differential
expression of DLA class II molecules of antigen-presenting cells is reported to
affect susceptibility to or protection from different immune-mediated diseases.
The aim of this study was to evaluate, whether the variation in promoter areas of
homozygous DLA-DRB1*00601/DQA1*00401/DQB1*01303 Dobermans could explain why some
dogs become afflicted with DH and others do not. Our findings suggest that
promoter variants are not associated as risk modifiers in homozygous DLA
DRB1*00601/DQA1*00401/DQB1*01303 Dobermans, but additional factors are needed.
Nevertheless, our study indicates that the whole DLA block is associated to the
disease.
PMID- 22077626
TI - Nomenclature for factors of the HLA system, update July 2011.
PMID- 22077627
TI - Nomenclature for factors of the HLA system, update August 2011.
PMID- 22077628
TI - Nomenclature for factors of the HLA system, update September 2011.
PMID- 22077629
TI - Bony presentations of childhood haematological malignancy to the emergency room.
AB - AIM: Bony complaints are well-recognised symptoms of childhood haematological
malignancy. However, this elusive symptom complex of haematological malignancy is
not easily recognised in the emergency room (ER) where musculoskeletal problems
are frequent. This study reviews bony complaints of childhood haematological
malignancy in the ER. METHODS: This was a retrospective study of 148 children
diagnosed with haematological malignancy between March 2002 and February 2007.
RESULTS: Twenty-one cases (14.2%) presented with bony complaints to the ER within
3 months prior diagnosis of malignancy. The median age was 5.1 years, and
majority (90.5%) had acute lymphoblastic leukaemia. Fifteen cases were
undiagnosed for malignancy at first visit; diagnoses included pulled elbow, lower
limb sprain and septic arthritis, with 21 days median time to correct diagnosis.
In this undiagnosed group, history of trauma, fever, multiple bony site
involvement, normal radiography and blood counts were common. Undiagnosed cases
were referred to orthopaedics, paediatrics, rheumatology or the general
practitioner, hospitalised or discharged without follow-up. CONCLUSION: The
diagnosis of childhood haematological malignancy with first bony presentation to
the ER was challenging, with a high prevalence of 71.4% undiagnosed cases at
first visit. We highlight the common misdiagnoses because failure to correctly
diagnose could delay timely institution of treatment. Trauma or normal
investigations do not exclude an underlying haematological malignancy. This rare
diagnosis in the ER should always be considered when challenged with recurrent
bony complaints.
PMID- 22077630
TI - Reappraisal of KIT mutation in adenoid cystic carcinomas of the salivary gland.
AB - BACKGROUND: While overexpression of KIT protein has been well documented in
adenoid cystic carcinomas (ACCs), mutation of KIT gene has been a controversial
issue. We wanted to evaluate clinical value of the KIT mutation and protein
expression in ACC. METHODS: We analyzed 33 cases of ACC. Gene mutations in KIT
exons 9, 11, 13, and 17 were analyzed using paraffin-embedded tissue, and two
different sets of primers with direct sequencing after polymerase chain reaction
(PCR) for exon 9, 11, 13, and 17, and cloning of PCR products for exon 11. KIT
protein expression was assessed by immunohistochemistry. The correlation between
clinicopathological findings and these biomarkers was analyzed. RESULTS: No KIT
mutation was observed in all of the 33 cases. With one primer set, KIT mutation
was found in nine of 33 cases (27.3%). However, these mutations were not
reproducible in the experiment using another primer set. KIT protein
overexpression was detected in 22 of 33 patients (66.7%). KIT protein expression
was not statistically correlated with either clinicopathological factors or
survival. Patients with metastasis showed a tendency of longer progression-free
survival (P = 0.052) and overall survival (P = 0.080) when the tumor
overexpressed KIT protein. CONCLUSION: This study supports that mutational study
using paraffin-embedded tissue should be interpreted with great caution. KIT gene
mutation is very rare in ACC, and gene mutation is not the cause of protein
overexpression. KIT protein expression may have a potential value for better
prognostic factor in patients with metastasis.
PMID- 22077631
TI - Herbivore-induced volatiles from tea (Camellia sinensis) plants and their
involvement in intraplant communication and changes in endogenous nonvolatile
metabolites.
AB - As a defense response to attacks by herbivores such as the smaller tea tortrix (
Adoxophyes honmai Yasuda), tea ( Camellia sinensis ) leaves emit numerous
volatiles such as (Z)-3-hexen-1-ol, linalool, alpha-farnesene, benzyl nitrile,
indole, nerolidol, and ocimenes in higher concentration. Attack of Kanzawa spider
mites ( Tetranychus kanzawai Kishida), another major pest insect of tea crops,
induced the emission of alpha-farnesene and ocimenes from tea leaves. The
exogenous application of jasmonic acid to tea leaves induced a volatile blend
that was similar, although not identical, to that induced by the smaller tea
tortrix. Most of these herbivore-induced plant volatiles (HIPV) were not stored
in the tea leaves but emitted after the herbivore attack. Both the adaxial and
abaxial epidermal layers of tea leaves emitted blends of similar composition.
Furthermore, HIPV such as alpha-farnesene were emitted mostly from damaged but
not from undamaged leaf regions. A principal component analysis of metabolites
(m/z 70-1000) in undamaged tea leaves exposed or not to HIPV suggests that
external signaling via HIPV may lead to more drastic changes in the metabolite
spectrum of tea leaves than internal signaling via vascular connections, although
total catechin contents were slightly but not significantly increased in the
external signaling via HIPV.
PMID- 22077632
TI - Unraveling the intracellular efficacy of dextran-histidine polycation as an
efficient nonviral gene delivery system.
AB - In this study, we attempted to elucidate the capability of a natural polymer
dextran, by modification with histidine, to be an efficient, safe and promising
nucleic acid delivery system in gene therapy. Physicochemical characterizations
were performed to get an insight into the derivative. The efficiency of the
derivative as a gene delivery vehicle was also studied in depth using
fluorescence microscopy. Extensive efforts were made to have a better
understanding of the cellular dynamics involved. The derivative proved itself to
be 6.7-fold more excelling than PEI in its transfecting capability. Mechanisms
underlying cellular internalization, vector unpacking, intranuclear localization
and transgene expression were also investigated. The possibility of recruiting
intracellular histone to promote the entry of the gene into the nucleus seemed
promising. Our findings also explored the links that mediate the correlation
between the uptake of the derivative and various endocytic pathways. The results
thus obtained reflect the success of the entire journey of the synthesized
delivery vehicle.
PMID- 22077633
TI - Prognostic value of insulin-like growth factor II mRNA binding protein 3 in
patients treated with radical prostatectomy.
AB - Study Type - Prognosis (case series) Level of Evidence 4 What's known on the
subject? and What does the study add? Insulin-like growth factor II mRNA binding
protein 3 (IMP3) is associated with poor outcomes in a variety of malignancies.
The role of IMP3 in protate cancer remains poorly understood. IMP3 expression was
associated with features of aggressive biology and aggressive prostate cancer
recurrence after surgery. Although IMP3 is differentially expressed in patients
with features of biologically aggressive prostate cancer, it does not have
independent prognostic value in patients treated with RP. OBJECTIVE: To evaluate
the association of insulin-like growth factor II mRNA binding protein 3 (IMP3)
with pathological features and outcomes in patients treated with radical
prostatectomy (RP). PATIENTS AND METHODS: Immunohistochemical staining for IMP3
was performed on archival tissue microarray specimens from 232 consecutive
patients treated with RP for clinically localized disease. None of the patients
received neoadjuvant or adjuvant radiation or hormone therapy. IMP3 expression
was histologically categorized as normal or abnormal. Disease recurrence was
classified as aggressive if metastases were present, post-recurrence prostate
specific antigen (PSA) doubling time was less than 10 months, or if the patients
failed to respond to salvage local radiation therapy. RESULTS: The median follow
up was 69.8 months (interquartile range [IQR]: 40.1-99.5). IMP3 expression was
abnormal in 42 (18.1%) of 232 patients. IMP3 expression was associated with
extracapsular extension (P= 0.020), seminal vesicle invasion (P= 0.024),
lymphovascular invasion (P= 0.036) and a high pathological Gleason score (P=
0.009). The 5-year PSA recurrence-free survival for IMP3-negative patients was
83% (standard error [SE]= 3) vs 67% (SE = 8) in IMP3-positive patients (log-rank
test, P= 0.015). In a multivariable analysis that adjusted for the effects of
surgical margins, extracapsular extension and seminal vesicle invasion, PSA
(hazard ratio [HR]: 1.04, P= 0.013), lymph node metastasis (HR: 16.7, P < 0.001)
and a high pathological Gleason score (HR 4.3, P= 0.008) were significantly
associated with PSA recurrence-free survival, whereas IMP3 expression was not (P=
0.11). Similarly, IMP3 expression was only associated with aggressive recurrence
(HR 3.2, P= 0.006). CONCLUSION: IMP3 expression is abnormal in approximately one
fifth of prostate cancers. Although IMP3 is differentially expressed in patients
with features of biologically aggressive prostate cancer, it does not have an
independent prognostic value in patients treated with RP.
PMID- 22077635
TI - An appropriate SCORE to assess cardiovascular risk in hypertension?
PMID- 22077634
TI - Impaired mitochondrial biogenesis contributes to mitochondrial dysfunction in
Alzheimer's disease.
AB - Mitochondrial dysfunction is a prominent feature of Alzheimer's disease (AD)
brain. Our prior studies demonstrated reduced mitochondrial number in susceptible
hippocampal neurons in the brain from AD patients and in M17 cells over
expressing familial AD-causing amyloid precursor protein (APP) mutant (APPswe).
In the current study, we investigated whether alterations in mitochondrial
biogenesis contribute to mitochondrial abnormalities in AD. Mitochondrial
biogenesis is regulated by the peroxisome proliferator activator receptor gamma
coactivator 1alpha (PGC-1alpha)-nuclear respiratory factor (NRF)-mitochondrial
transcription factor A pathway. Expression levels of PGC-1alpha, NRF 1, NRF 2,
and mitochondrial transcription factor A were significantly decreased in both AD
hippocampal tissues and APPswe M17 cells, suggesting a reduced mitochondrial
biogenesis. Indeed, APPswe M17 cells demonstrated decreased mitochondrial
DNA/nuclear DNA ratio, correlated with reduced ATP content, and decreased
cytochrome C oxidase activity. Importantly, over-expression of PGC-1alpha could
completely rescue while knockdown of PGC-1alpha could exacerbate impaired
mitochondrial biogenesis and mitochondrial deficits in APPswe M17 cells,
suggesting reduced mitochondrial biogenesis is likely involved in APPswe-induced
mitochondrial deficits. We further demonstrated that reduced expression of p-CREB
and PGC-1alpha in APPswe M17 cells could be rescued by cAMP in a dose-dependent
manner, which could be inhibited by PKA inhibitor H89, suggesting that the
PKA/CREB pathway plays a critical role in the regulation of PGC-1alpha expression
in APPswe M17 cells. Overall, this study demonstrated that impaired mitochondrial
biogenesis likely contributes to mitochondrial dysfunction in AD.
PMID- 22077636
TI - Hepatitis in dogs. An indexed bibliography of journal articles (1994-2004).
PMID- 22077638
TI - Membrane-bound transporter controls the circadian transcription of clock genes in
Drosophila.
AB - Little is known about molecular mechanisms that control the Drosophila circadian
clock beyond the transcriptional-translational feedback regulation of clock genes
as an intracellular process. In this study, Early gene at 23 (E23) was identified
as a novel clock gene that encodes the membrane-bound ABC transporter that is
induced by the molting hormone ecdysone. E23 expresses in pacemaker neurons in
fly head, and its knockdown flies lengthened circadian period with an increased
expression of the clock gene vrille. E23 and vrille responded to both ecdysone
and clock signals, whereas E23 protein specifically suppressed the ecdysone
response and is necessary for rhythmicity. Thus, E23 forms its own feedback loop
in the ecdysone response to control circadian oscillation through ecdysone
mediated vrille expression. The ecdysone signaling pathway with E23 is essential
not only in developmental stage but also for the circadian behavior in adult fly.
PMID- 22077639
TI - Relative quantitation of proteins in expressed prostatic secretion with a stable
isotope labeled secretome standard.
AB - Expressed prostatic secretion (EPS) is a proximal fluid directly derived from the
prostate and, in the case of prostate cancer (PCa), is hypothesized to contain a
repertoire of cancer-relevant proteins. Quantitative analysis of the EPS proteome
may enable identification of proteins with utility for PCa diagnosis and
prognosis. The present investigation demonstrates selective quantitation of
proteins in EPS samples from PCa patients using a stable isotope labeled proteome
standard (SILAP) generated through the selective harvest of the "secretome" from
the PC3 prostate cancer cell line grown in stable isotope labeled cell culture
medium. This stable isotope labeled secretome was digested with trypsin and
equivalently added to each EPS digest, after which the resultant mixtures were
analyzed by liquid chromatography-tandem mass spectrometry for peptide
identification and quantification. Relative quantification of endogenous EPS
peptides was accomplished by comparison of reconstructed mass chromatograms to
those of the chemically identical SILAP peptides. A total of 86 proteins were
quantified from 263 peptides in all of the EPS samples, 38 of which were found to
be relevant to PCa. This work demonstrates the feasibility of using a SILAP
secretome standard to simultaneously quantify many PCa-relevant proteins in EPS
samples.
PMID- 22077640
TI - Brooke-Spiegler syndrome: report of two cases not associated with a mutation in
the CYLD and PTCH tumor-suppressor genes.
AB - Brooke-Spiegler syndrome represents an autosomal dominant disease characterized
by the occurrence of multiple cylindromas, trichoepitheliomas and (sporadically)
spiroadenomas. Patients with Brooke-Spiegler syndrome are also at risk of
developing tumors of the major and minor salivary glands. Patients with Brooke
Spiegler syndrome have various mutations in the CYLD gene, a tumor-suppressor
gene located on chromosome 16q. To date, 68 unique CYLD mutations have been
identified. We describe two families with Brooke-Spiegler syndrome, one with
familial cylindromatosis and one with multiple familial trichoepithelioma, which
showed wide inter-family phenotypic variability. Analysis of germline mutations
of the CYLD and PTCH genes was performed using peripheral blood. In addition,
formalin-fixed paraffin-embedded tumor samples were analyzed for PTCH somatic
mutations and cylindroma cell cultures were obtained directly from patients for
further growth and analysis. Clinically, the major features of Brooke-Spiegler
syndrome include the presence of heterogeneous skin tumors and wide inter- and
intra-familial phenotypic variability. Histopathologically, both cylindromas and
trichoepitheliomas were found in affected individuals. Mutations or loss of
heterozygosity was not found in CYLD and PTCH genes. In CYLD and PTCH mutation
negative patients, other genes may be affected and further studies are needed to
clarify whether these patients may be affected by de novo germline mutations.
PMID- 22077641
TI - Buonocore Memorial Lecture.
AB - Abstract For many years, operative dentistry has been using regenerative
approaches to treat dental disease. The use of calcium hydroxide to stimulate
reparative or reactionary dentin is clearly an example of such a therapeutic
strategy. The advent of tissue engineering is allowing dentistry to move forward
in the use of regeneration as an underlying principle for the treatment of dental
disease. Tissue engineering is a multi-disciplinary science that brings together
biology, engineering and clinical sciences with developing new tissues and
organs. It is based on fundamental principles that involve the identification of
appropriate cells, the development of conducive scaffolds and an understanding of
the morphogenic signals required to induce cells to regenerate the tissues that
were lost. This review is focused on the presentation and discussion of existing
literature that covers the engineering of enamel, dentin and pulp, as well on the
engineering of entire teeth. There are clearly major roadblocks to overcome
before such strategies move to the clinic and are used regularly to treat
patients. However, existing evidence strongly suggests that the engineering of
new dental structures to replace tissues lost during the process of caries or
trauma will have a place in the future of operative dentistry.
PMID- 22077642
TI - Highly sensitive high-pressure liquid chromatography with ultraviolet light
method detected the reduction of serum nitrite/nitrate levels after cold exposure
in patients with Raynaud's phenomenon.
PMID- 22077644
TI - Associations between serum homocysteine, holotranscobalamin, folate and cognition
in the elderly: a longitudinal study.
AB - OBJECTIVES: To examine the associations between serum homocysteine (tHcy),
holotranscobalamin (holoTC, the biologically active fraction of vitamin B12) and
folate and cognitive functioning in a longitudinal population-based study of
Finnish elderly subjects. SUBJECTS AND DESIGN: tHcy, holoTC and folate were
measured at baseline in 274 dementia-free subjects aged 65-79years from the
Cardiovascular Risk Factors, Aging and Dementia study. Subjects were re-examined
7years later, and global cognition, episodic memory, executive functioning,
verbal expression and psychomotor speed were assessed. RESULTS: Higher baseline
tHcy levels were associated with poorer performance in global cognition, relative
difference: 0.90 [95% confidence interval (CI) 0.81-0.99]; episodic memory: 0.87
(95% CI 0.77-0.99); executive functions: 0.86 (95% CI 0.75-0.98); and verbal
expression: 0.89 (95% CI 0.81-0.97) at follow-up. Increased holoTC levels were
related to better performance on global cognition: 1.09 (95% CI 1.00-1.19);
executive functions: 1.11 (95% CI 1.01-1.21); and psychomotor speed: 1.13 (95% CI
1.01-1.26). After excluding 20 cases of incident dementia, increased tHcy
remained associated with poorer performance in episodic memory, execution
functions and verbal expression. Higher holoTC levels tended to be related to
better performance in executive functions and psychomotor speed, while elevated
serum folate concentrations were significantly related to higher scores in global
cognition and verbal expression tests. CONCLUSIONS: tHcy, holoTC and folate
levels are related to cognitive performance 7years later even in nondemented
elderly subjects. Randomized trials are needed to determine the impact of vitamin
B12 and folate supplementation on preventing cognitive decline in the elderly.
PMID- 22077643
TI - Cerebellum and processing of negative facial emotions: cerebellar transcranial DC
stimulation specifically enhances the emotional recognition of facial anger and
sadness.
AB - Some evidence suggests that the cerebellum participates in the complex network
processing emotional facial expression. To evaluate the role of the cerebellum in
recognising facial expressions we delivered transcranial direct current
stimulation (tDCS) over the cerebellum and prefrontal cortex. A facial emotion
recognition task was administered to 21 healthy subjects before and after
cerebellar tDCS; we also tested subjects with a visual attention task and a
visual analogue scale (VAS) for mood. Anodal and cathodal cerebellar tDCS both
significantly enhanced sensory processing in response to negative facial
expressions (anodal tDCS, p=.0021; cathodal tDCS, p=.018), but left positive
emotion and neutral facial expressions unchanged (p>.05). tDCS over the right
prefrontal cortex left facial expressions of both negative and positive emotion
unchanged. These findings suggest that the cerebellum is specifically involved in
processing facial expressions of negative emotion.
PMID- 22077645
TI - 'We never expected this to happen': narratives of ageing with HIV among gay men
living in London, UK.
AB - The proportion of HIV-positive people over the age of 50 is rapidly increasing in
the UK. This reflects the use of antiretroviral therapies and the transformation
of HIV from life-threatening disease to chronic treatable illness. In this study
a biographical narrative approach was used to explore the lived experience of
ageing in 10 HIV-positive gay men aged between 50 and 78. While some participants
regarded ageing as an opportunity to continue progressing towards valued life
goals, others were more ambivalent about their future prospects. The findings
suggest that these differences were particularly influenced by an individual's
biographic relationship to the history of the HIV epidemic rather than
chronological age. Those with long histories of involvement with HIV were more
likely to be disadvantaged by careers interrupted by illness, to be dependent on
state benefits and to have social networks damaged by multiple AIDS-related
bereavements. The research identifies a cohort of older gay men likely to require
additional support in adapting to the challenge of growing older with HIV. The
article also explores the construction of moral identities in relation to
discourses of 'successful ageing' and the possibility of building supportive
communities that are sensitive to the needs of older gay men.
PMID- 22077646
TI - Large-scale screening of mitochondrial DNA mutations among Iranian patients with
prelingual nonsyndromic hearing impairment.
AB - Hereditary hearing impairment (HI) is a genetically heterogeneous disorder caused
by mutations either in nuclear DNA (nDNA) or in mitochondrial DNA (mtDNA). The
nDNA mutations account for the majority of prelingual nonsyndromic HI (NSHI). The
present survey was conducted to screen for known pathogenic mtDNA mutations
including A1555G, A3243G, C1494T, and A7445G to provide an accurate estimate of
their prevalence in prelingual NSHI for the first time in the Iranian
subpopulations. One thousand unrelated probands with NSHI (including both GJB2
negative and GJB2 heterozygote cases) and 1000 healthy matched controls were
investigated using the PCR/RFLP method followed by DNA sequencing to confirm the
observed mtDNA mutations. Two of the studied mutations, namely A3243G and A7445G,
were each found in a single family (a frequency of 0.1% for each). Mutation
screening for A3243G followed by DNA sequencing led to the identification of
G3316A substitution, with no prior link to HI. Surprisingly, screening for A3243G
in the studied population identified 6 cases (0.6%) in probands and 10 (1%) in
normal subjects. A1555G, the most common mtDNA mutation associated with deafness
in other populations, was not found in the studied samples. To conclude, our
findings indicate G3316A as a nonpathogenic variant in the prelingual NSHI
subpopulations of Iran and suggest that mtDNA mutations do not play a major role
in the etiology of NSHI in Iran.
PMID- 22077647
TI - How to start research in general practice? Research workshop in Slovakia.
PMID- 22077648
TI - Cancer risk in people with epilepsy using valproate-sodium.
AB - OBJECTIVES: Based on reports of antitumour properties of sodium-valproate, we
hypothesised that valproate has a cancer-protective effect in people with
epilepsy. We aimed to determine cancer risk in people with epilepsy using sodium
valproate. MATERIALS AND METHODS: Continuous data for 2997 people with epilepsy
who had been prescribed valproate for at least two years, and for 11,988
unexposed people were provided by the UK General Practice Research Database.
Hazard ratios (HRs) for all cancers and individual cancers between the exposed
and unexposed groups, with smoking and alcohol consumption and age as covariates,
were calculated using the Cox proportional hazards method. RESULTS: Exposure to
valproate had no influence on the incidence of the composite of all cancers [HR:
1.19, 95% CI: 0.97-1.47, P = 0.10]; there was, however, a significant excess of
colon cancers [HR: 3.95, 95% CI: 1.97-7.92, P = 0.001] and a trend towards an
excess of prostate neoplasms [HR: 2.15, 95% CI: 0.92-5.02, P = 0.08] and in
addition, a trend towards reduced incidence of breast cancer [HR: 0.40, 95% CI:
0.14-1.30, P = 0.08] in the exposed group. CONCLUSIONS: The lack of an inverse
association between valproate use and hazard ratios for all cancers and several
individual cancer sites does not lend support for a cancer-protective role for
valproate.
PMID- 22077657
TI - Angiographic findings of primary versus salvage varicoceles treated with
selective gonadal vein embolization: an explanation for surgical treatment
failure.
AB - BACKGROUND AND PURPOSE: Gonadal vein angioembolization is a successful means of
primary and salvage treatment for symptomatic varicoceles. We aim to investigate
angiographic findings during embolization of primary varicoceles vs those with
failed surgical ligation. PATIENTS AND METHODS: Between 1992 and 2010, 106 cases
referred to our interventional radiologists for primary or salvage
varicocelectomy were reviewed. These patients underwent venography and gonadal
vein embolization using a combination of embolization coils and vascular plugs.
All images were reviewed by an interventional radiologist to determine the
anatomic etiology of the varicocele. Primary and salvage embolization cohorts
were compared using t test and chi-square analyses for continuous and categorical
variables, respectively. Angiographic parameters were analyzed using univariate
and multivariable regression models to determine significance in predicting
primary vs salvage status. RESULTS: Of the 106 patients, 46 patients (57
testicles) underwent primary and 60 patients (62 testicles) underwent salvage
embolization. The salvage cohort of patients was younger (P<0.001) and comprised
more solely left-sided pathology (P=0.002). An equivalent number of gonadal vein
divisions and proportion of patent gonadal veins was found. However, there was a
significantly higher proportion (27.8% vs 6.7%) of inguinal collateral vessels
and combined presence of inguinal and retroperitoneal collateral veins (8.5% vs
2.1%) identified in the cohort undergoing embolization after failed surgical
varicocelectomy. Presence of inguinal collaterals (P=0.008) as well as presence
of both inguinal and retroperitoneal collaterals (P=0.038) on multivariable
regression analysis revealed both as independent prognosticators of salvage
status. CONCLUSION: Recurrence after surgical varicocelectomy is associated with
increased inguinal collaterals. The pitfall presented by this anatomic variant to
surgical ligation may be successfully managed with selective gonadal vein
embolization.
PMID- 22077658
TI - Anxiety and depression in obese and normal-weight individuals with diabetes type
2: a gender perspective.
AB - BACKGROUND: Obesity is a problem that is increasing worldwide, leading to an
increased incidence of type 2 diabetes mellitus (T2DM). Depression is more common
among individuals with diabetes, and they are more likely than non-diabetic
individuals to experience emotional problems. People with both T2DM and obesity
bear an additional emotional burden, which affects their quality of life.
OBJECTIVES: To describe the prevalence of symptoms of anxiety and depression in
groups of obese and normal-weight individuals with T2DM who are undergoing
primary care and to investigate possible differences between the groups and
between genders. METHOD: Three hundred and thirty-nine patients with T2DM from
nine primary-care centres participated in a cross-sectional study (n = 180 +
159). The response rate was 67%. The Hospital Anxiety and Depression Scale (HADS)
and the Beck Depression Inventory - second edition (BDI-II) were employed to
estimate the patients' symptoms of depression and anxiety. RESULTS: An
association between T2DM, obesity and depression was observed in both genders.
More than one in three women and one in five men with T2DM and obesity exhibited
symptoms of anxiety or depression. In the normal-weight group, the females
presented more symptoms of anxiety than did their male counterparts. CONCLUSION:
In primary healthcare, the fact that both obese men and women with T2DM are at
increased risk of anxiety and depression is an important finding, which must be
recognised and considered in the course of primary healthcare consultations.
Meeting the unique needs of each individual requires an understanding of both
laboratory data and the individual's emotional status.
PMID- 22077660
TI - Transrectal ultrasonography-guided biopsy does not reliably identify dominant
cancer location in men with low-risk prostate cancer.
AB - Study Type - Diagnostic (exploratory cohort) Level of Evidence 2b What's known on
the subject? and What does the study add? The widespread use of serum PSA testing
followed by TRUS-guided biopsy have resulted in profound prostate cancer stage
migration with many patients presenting with focal rather than multifocal
disease. There is increasing interest in the use of focal rather than whole-gland
treatment. However, current biopsy schemes may still miss cancer or, even when
cancer is identified, its extent or grade might not be accurately characterized.
In order for focal therapy to be effective, the area of highest tumour volume
and/or grade needs to localized accurately. The aim of this study was to assess
how well biopsy, as currently performed, locates the focus of highest prostate
cancer volume and/or grade. OBJECTIVE: To evaluate the ability of transrectal
ultrasonography (TRUS)-guided extended core biopsy to identify the dominant
tumour accurately in men with early stage prostate cancer. PATIENTS AND METHODS:
Patients with early stage, low-risk prostate cancer who subsequently underwent
radical prostatectomy (RP) and had complete surgical specimens were identified.
Re-review was performed by a single uropathologist using ImageJ software to
identify tumour location, dominant grade (DG) and dominant volume (DV). Pathology
findings were then compared with biopsy results. RESULTS: A total of 51 men with
early stage, low-risk prostate cancer, who had undergone RP, had complete
specimens for review and a median of 15 biopsy cores taken for diagnosis and
grading. Sixteen men had a single diagnostic biopsy, 21 had one repeat biopsy,
and 14 had two or more repeat biopsies. Compared with surgical findings, biopsy
correctly identified the sextant with the largest tumour volume in 55% (95% CI
0.5-0.6) of specimens and the highest grade in 37% (95 CI 0.3-0.5). No
demographic or clinical factors were significantly associated with identification
of DG. Interval between last biopsy and RP, total tissue length taken and total
length of tumour identified were significantly associated with correct
identification of DV. CONCLUSIONS: Our findings show that TRUS-guided biopsy
detects and localizes DV better than it does DG. Even with an extended scheme,
TRUS-guided biopsy does not reliably identify dominant cancer location in this
low-risk cohort of men with early stage prostate cancer. TRUS-guided biopsy may
perform better in similar men with low stage, but higher volume disease.
PMID- 22077659
TI - Proteome turnover in the green alga Ostreococcus tauri by time course 15N
metabolic labeling mass spectrometry.
AB - Protein synthesis and degradation determine the cellular levels of proteins, and
their control hence enables organisms to respond to environmental change.
Experimentally, these are little known proteome parameters; however, recently,
SILAC-based mass spectrometry studies have begun to quantify turnover in the
proteomes of cell lines, yeast, and animals. Here, we present a proteome-scale
method to quantify turnover and calculate synthesis and degradation rate
constants of individual proteins in autotrophic organisms such as algae and
plants. The workflow is based on the automated analysis of partial stable isotope
incorporation with (15)N. We applied it in a study of the unicellular pico-alga
Ostreococcus tauri and observed high relative turnover in chloroplast-encoded
ATPases (0.42-0.58% h(-1)), core photosystem II proteins (0.34-0.51% h(-1)), and
RbcL (0.47% h(-1)), while nuclear-encoded RbcS2 is more stable (0.23% h(-1)).
Mitochondrial targeted ATPases (0.14-0.16% h(-1)), photosystem antennae (0.09
0.14% h(-1)), and histones (0.07-0.1% h(-1)) were comparatively stable. The
calculation of degradation and synthesis rate constants k(deg) and k(syn)
confirms RbcL as the bulk contributor to overall protein turnover. This study
performed over 144 h of incorporation reveals dynamics of protein complex
subunits as well as isoforms targeted to different organelles.
PMID- 22077663
TI - BRCA2 is a mediator of RAD51- and DMC1-facilitated homologous recombination in
Arabidopsis thaliana.
AB - * Mutations in the breast cancer susceptibility gene 2 (BRCA2) are correlated
with hereditary breast cancer in humans. Studies have revealed that mammalian
BRCA2 plays crucial roles in DNA repair. Therefore, we wished to define the role
of the BRCA2 homologs in Arabidopsis in detail. * As Arabidopsis contains two
functional BRCA2 homologs, an Atbrca2 double mutant was generated and analyzed
with respect to hypersensitivity to genotoxic agents and recombination
frequencies. Cytological studies addressing male and female meiosis were also
conducted, and immunolocalization was performed in male meiotic prophase I. * The
Atbrca2 double mutant showed hypersensitivity to the cross-linking agent
mitomycin C and displayed a dramatic reduction in somatic homologous
recombination frequency, especially after double-strand break induction. The loss
of AtBRCA2 also led to severe defects in male meiosis and development of the
female gametophyte and impeded proper localization of the synaptonemal complex
protein AtZYP1 and the recombinases AtRAD51 and AtDMC1. * The results demonstrate
that AtBRCA2 is important for both somatic and meiotic homologous recombination.
We further show that AtBRCA2 is required for proper meiotic synapsis and mediates
the recruitment of AtRAD51 and AtDMC1. Our results suggest that BRCA2 controls
single-strand invasion steps during homologous recombination in plants.
PMID- 22077664
TI - NF-kappaB signaling pathway is inhibited by heat shock independently of active
transcription factor HSF1 and increased levels of inducible heat shock proteins.
AB - NF-kappaB transcription factor regulates numerous genes important for
inflammation, immune responses and cell survival. HSF1 is the primary
transcription factor activated under stress conditions that is responsible for
induction of genes encoding heat shock proteins. Previous studies have shown that
the NF-kappaB activation pathway is blocked by heat shock possibly involving heat
shock proteins. Here, we investigate whether active HSF1 inhibited this pathway
in the absence of stress conditions. Activation of the NF-kappaB pathway and
expression of NF-kappaB-dependent genes were analyzed in TNFalpha-stimulated U-2
OS human osteosarcoma cells that were either heat-shocked or engineered to
express a constitutively active form of HSF1 in the absence of heat shock. As
expected, heat shock resulted in a general blockade in the degradation of the
IkappaBalpha inhibitor, nuclear translocation of NF-kappaB and expression of NF
kappaB-dependent target genes. In marked contrast, the presence of constitutively
active HSF1 did not block TNFalpha-induced activation of the NF-kappaB pathway or
expression of a set of the NF-kappaB-dependent genes. We conclude that in the
absence of heat shock, the NF-kappaB activation pathway is inhibited by neither
active HSF1 transcription factor nor by increased levels of HSF1-induced heat
shock proteins.
PMID- 22077665
TI - Evaluation of the key aroma compounds in beef and pork vegetable gravies a la
chef by stable isotope dilution assays and aroma recombination experiments.
AB - Although the aroma compounds of meat processed as such have been studied
previously, data on complete homemade dishes containing beef and pork meat were
scarcely studied. Recently, 38 odor-active compounds were characterized in beef
and pork vegetable gravies using GC-olfactometry. In the present investigation,
the most odor-active compounds were quantitated in a freshly prepared stewed beef
vegetable gravy (BVG) as well as a stewed pork vegetable gravy (PVG) by means of
stable isotope dilution assays. Calculation of odor activity values (OAVs; ratio
of concentration to odor threshold) revealed 3-mercapto-2-methylpentan-1-ol,
(E,E)-2,4-decadienal, (E,Z)-2,6-nonadienal, (E)-2-decenal, (E)-2-undecanal, and 3
hydroxy-4,5-dimethyl-2(5H)-furanone as the most potent odorants in both gravies.
However, significantly different OAVs were found for 12-methyltridecanal, which
was much higher in the BVG, whereas (E,Z)-2,4-decadienal showed a clearly higher
OAV in the PVG. Aroma recombination experiments performed on the basis of the
actual concentrations of the odorants in both gravies revealed a good similarity
of the aromas of both model mixtures containing all odorants with OAVs > 1 with
those of the original gravies.
PMID- 22077666
TI - Herpes simplex virus-induced plasmacytic atypia.
AB - The clinical and histopathological features of cutaneous herpes simplex virus
(HSV) infection have been well described. Genital herpetic infections are largely
induced by HSV type 2, but 30% of cases can be caused by HSV type 1.
Immunocompromised patients are known to exhibit atypical patterns of clinical
presentation with variable lesion morphology and anatomic location. A subset of
patients may show morphology such as nodules or verrucous lesions. Analogously,
some biopsy specimens may show unusual microscopical features, such as a lack of
keratinocyte cytopathology, lymphocyte infiltration or vasculopathic changes that
are expected irrespective of the patient's immune status. We present the case of
a patient carrying a previous diagnosis of pemphigus vulgaris, status
posttreatment with methotrexate and prednisone, who developed a perineal ulcer
exhibiting significant numbers of plasma cells, many of which were cytologically
atypical. This morphology was suggestive of a hematopoietic malignancy.
Immunoperoxidase staining for HSV decorated a focal collection of keratinocytes
that lacked appreciable viral changes expected of HSV infection.
PMID- 22077667
TI - The half-size ABC transporters STR1 and STR2 are indispensable for mycorrhizal
arbuscule formation in rice.
AB - The central structure of the symbiotic association between plants and arbuscular
mycorrhizal (AM) fungi is the fungal arbuscule that delivers minerals to the
plant. Our earlier transcriptome analyses identified two half-size ABCG
transporters that displayed enhanced mRNA levels in mycorrhizal roots. We now
show specific transcript accumulation in arbusculated cells of both genes during
symbiosis. Presently, arbuscule-relevant factors from monocotyledons have not
been reported. Mutation of either of the Oryza sativa (rice) ABCG transporters
blocked arbuscule growth of different AM fungi at a small and stunted stage,
recapitulating the phenotype of Medicago truncatula stunted arbuscule 1 and 2
(str1 and str2) mutants that are deficient in homologous ABCG genes. This
phenotypic resemblance and phylogenetic analysis suggest functional conservation
of STR1 and STR2 across the angiosperms. Malnutrition of the fungus underlying
limited arbuscular growth was excluded by the absence of complementation of the
str1 phenotype by wild-type nurse plants. Furthermore, plant AM signaling was
found to be intact, as arbuscule-induced marker transcript accumulation was not
affected in str1 mutants. Strigolactones have previously been hypothesized to
operate as intracellular hyphal branching signals and possible substrates of STR1
and STR2. However, full arbuscule development in the strigolactone biosynthesis
mutants d10 and d17 suggested strigolactones to be unlikely substrates of
STR1/STR2. Interestingly, rice STR1 is associated with a cis-natural antisense
transcript (antiSTR1). Analogous to STR1 and STR2, at the root cortex level, the
antiSTR1 transcript is specifically detected in arbusculated cells, suggesting
unexpected modes of STR1 regulation in rice.
PMID- 22077668
TI - 'It [HIV] is part of the relationship': exploring communication among HIV
serodiscordant couples in South Africa and Tanzania.
AB - In many sub-Saharan African countries, a high proportion of people living with
HIV are in long-term serodiscordant relationships. This paper explores how HIV
serodiscordance shapes communication among couples in long-term HIV
serodiscordant relationships. A total of 36 couples were purposively recruited
through healthcare providers and civil society organisations in South Africa (26)
and Tanzania (10). We explored couples' portrayal of living in a serodiscordant
relationship by conducting semi-structured interviews with each partner
separately, followed by a joint interview with both partners. Using an adaptation
of Persson's model on sero-silence and sero-sharing, we categorised coping style
as 'sero-silent' if partners reported that they did not talk much with each other
about issues related to their serodiscordant status or as 'sero-sharing' if they
portrayed HIV as being an issue which they dealt with together. Some couples
exhibited features of both coping styles and, at times, partners differed in
their ways of coping.
PMID- 22077669
TI - Excisional biopsy for management of lateral tongue leukoplakia.
AB - BACKGROUND: Leukoplakia of the lateral tongue is frequently associated with the
risk of malignancy. Although incisional biopsy is accepted as a standard
technique for evaluation, the possibility of underdiagnosis, and therefore missed
diagnosis of malignancy, remains at all times. The aim of this study was to
determine the rate of underdiagnosis by incisional biopsy for leukoplakia of the
lateral tongue and to elucidate the underlying cause of for underdiagnosis.
METHODS: Twenty-two patients with leukoplakia in the lateral tongue who had
undergone excisional biopsy for suspicion of malignancy were included in the
study. Pathology was reviewed and classified into 'no dysplasia,''dysplasia,' and
'carcinoma'. Underdiagnosis was defined as a change of diagnosis to a graver
classification following excisional biopsy. To analyze the factors contributing
to underdiagnosis, incisional and excisional biopsy specimens were re-evaluated.
RESULTS: Of the 22 patients with leukoplakia of the lateral tongue, 59.1% had
coexistent malignancy, including nine squamous cell carcinomas and four verrucous
carcinomas. Incisional biopsy resulted in underdiagnosis in 73.3% of the cases.
Underdiagnosis was attributable to three common errors, which were mis-selection
of sampling site within a large area of leukoplakia, error in pathology specimen
preparation owing to small specimen size, and superficial biopsy frequently
associated with punch biopsy. CONCLUSION: Leukoplakia of the lateral tongue is
highly coexistent with malignancy, and incisional biopsy frequently leads to
underdiagnosis. Therefore, complete excision with adequate margin is warranted
for leukoplakia of the lateral tongue, preferably under general anesthesia, which
could be performed with minimal morbidity and function preservation.
PMID- 22077670
TI - Comparison of anion removal capacities of Octolig and Cuprilig.
AB - Mixtures of sodium salts of phosphate, arsenate, and fluoride were used in
chromatography and batch experiments to compare the capacity of two immobilized
ligands (IMLIGs) to remove these anions: Octolig and its copper (II)-based
metallolig, Cuprilig. The focus of this study was twofold. First, to find out
which material, Octolig or Cuprilig, would remove these anions most effectively;
and secondly to determine the optimal capacity for removal of representative
anions. Removal capacity was evaluated by two methods: by chromatography and by a
batch method. The methods gave identical results, but the batch method was more
convenient. Cuprilig had a lower capacity for removal of phosphate than Octolig.
The capability of Octolig for removing anions was: phosphate > arsenate >
fluoride >>> chloride >>>> bromide. Replication of phosphate removal was good for
a given batch of Octolig. Of three different samples of Octolig, two seemed to be
alike based on the phosphate- removal capacity; the third was different on the
basis of a Student's t-test. This distinction indicates the batch procedure could
serve as a quality control/assurance technique.
PMID- 22077671
TI - Enhanced screening of glutathione-trapped reactive metabolites by in-source
collision-induced dissociation and extraction of product ion using UHPLC-high
resolution mass spectrometry.
AB - A selective and sensitive approach, called extraction of product ion (XoPI)
method, was developed for the detection of l-glutathione (GSH)-trapped reactive
metabolites employing an Orbitrap high resolution mass spectrometer.
Fragmentation of GSH conjugates in the negative ion mode leads to a product ion,
deprotonated gamma-glutamyl-dehydroalanyl-glycine (m/z 272.0888). As a means of
utilizing this property, negative ion high resolution MS data were collected from
in vitro incubations by monitoring ions from m/z 269.5 to 274.5 under in-source
collision-induced dissociation. Extraction of product ions at m/z 272.0888 +/- 5
ppm from this data resulted in a chromatogram exhibiting deprotonated gamma
glutamyl-dehydroalanyl-glycine as the major peaks with no or very few
interferences. Therefore, peaks in this extracted product ion chromatogram
potentially came from GSH-trapped reactive metabolites. The GSH conjugate parent
ions were then confirmed in the corresponding full scan MS data, and their
structures were identified from their MS(2) fragmentation patterns. The
effectiveness of the approach was assessed with four model compounds,
amodiaquine, clozapine, diclofenac, and fipexide, all well-known to form GSH
trapped reactive metabolites, following incubation in human liver microsomes
supplemented with beta-nicotinamide adenine dinucleotide 2'-phosphate reduced
tetrasodium salt (NADPH) and GSH. The results from XoPI method were compared to
two other commonly employed liquid chromatography-mass spectrometry (LC-MS)
methods: precursor ion scan method and mass defect filter method. Overall, the
XoPI method was more selective and sensitive in detecting the GSH conjugates.
Many GSH conjugates previously not reported were detected and characterized in
this study.
PMID- 22077677
TI - Use of anti-tumor necrosis factor-alpha therapy in hepatitis B virus carriers
with psoriasis or psoriatic arthritis: a case series in Taiwan.
AB - The use of anti-tumor necrosis factor (TNF)-alpha therapy in patients with
psoriasis who are hepatitis B virus (HBV) carriers is usually not recommended,
and routine antiviral prophylaxis is suggested for those who need the treatment.
We report our experience on the safety of anti-TNF-alpha therapy in patients with
psoriasis who are HBV carriers in our clinic using HBV viral load as a guide for
HBV treatment. Between 2007 and 2011, seven HBV carriers receiving TNF-alpha
inhibitors for psoriasis in our clinic were collected retrospectively. The HBV
viral load and aminotransferase levels were regularly monitored. Two of the seven
patients were inactive HBV carriers, and the other five patients had chronic
hepatitis B. Only one patient received antiviral agents before the anti-TNF-alpha
treatment. The mean duration of the anti-TNF-alpha treatment was 26.6 months
(range, 14-45 months). These patients were followed up from the start of the anti
TNF-alpha therapy for a mean duration of 28.9 months (range, 14-45 months). HBV
reactivation was observed in three patients, one of whom required antiviral
treatment. No HBV reactivation-related hepatitis was observed. In conclusion,
prevention of HBV reactivation by monitoring of HBV viral load is cost-effective
and may decrease the risk of developing drug resistance from routine anti-HBV
prophylaxis treatment. It can be considered as an alternative in psoriasis
patients treated by TNF-alpha inhibitors, especially in areas with a high HBV
burden and in hepatitis B e-antigen-negative patients who have a lower risk of
viral reactivation.
PMID- 22077678
TI - Affective facilitation and inhibition of cultural influences on reasoning.
AB - Research in South Korea and the United States examined how affective states
facilitate or inhibit culturally dominant styles of reasoning. According to the
affect-as-information hypothesis, affective cues of mood influence judgements by
serving as embodied information about the value of accessible inclinations and
cognitions. Extending this line of research to culture, we hypothesised that
positive affect should promote (and negative affect should inhibit) culturally
normative reasoning. The results of two studies of causal reasoning supported
this hypothesis. Positive and negative affect functioned like "go" and "stop"
signals, respectively, for culturally typical reasoning styles. Thus, in happy
(compared to sad) moods, Koreans engaged in more holistic reasoning, whereas
Americans engaged in more analytic reasoning.
PMID- 22077679
TI - An appraisal and comparison of three methods of selecting patients for
orthodontic treatment.
AB - AIM: The selection of patients for orthodontic treatment is necessary where third
party payment occurs. Selection can be based on one of several systems and in
this report three methods are compared. METHODS: The examination was performed on
a population of 790 Polish children with a mean age of 12 years and 2 months. In
this report three methods of assessment are used. The authors' specific method
relates malocclusion to the estimated risk of problems arising as a result of the
malocclusion itself. The IOTN score system assesses the severity of different
aspects of malocclusion, thus dividing patients into 5 groups. The DAL index
quantifies the aesthetic appearance of the mouth. RESULTS: The results show that
using our specific method, approximately 23% of patients should undergo
treatment. The figure is approximately the same using the IOTN, though there is a
slight difference in the actual patients chosen. The DAI results in an entirely
different orthodontic treatment need. CONCLUSION: Our specific method is highly
recommended.
PMID- 22077680
TI - Halogen lamp and LED activation of resin-modified glass ionomer restorative
material. In vitro microhardness after long-term storage.
AB - AIM: The purpose of this study was to evaluate the activation of resin-modified
glass ionomer restorative material (RMGI, Vitremer-3M-ESPE, A3) by halogen lamp
(QTH) or light-emitting diode (LED) by Knoop microhardness (KHN) in two storage
conditions: 24 hrs and 6 months and in two depths (0 and 2 mm). MATERIALS AND
METHODS: The specimens were randomly divided into 3 experimental groups (n = 10)
according to activation form and evaluated in depth after 24 h and after 6 months
of storage. Activation was performed with QTH for 40s (700 mW/cm) and for 40 or
20 s with LED (1,200 mW/cm2). After 24 hrs and 6 months of storage at 37 degrees
C in relative humidity in light-proof container, the Knoop microhardness test was
performed. Statistics Data were analysed by three-way ANOVA and Tukey post-tests
(p < 0.05). RESULTS: All evaluated factors showed significant differences (p <
0.05). After 24 hrs there were no differences within the experimental groups. KHN
at 0 mm was significantly higher than 2 mm. After 6 months, there was an increase
of microhardness values for all groups, being the ones activated by LED higher
than the ones activated by QTH. CONCLUSION: Light-activation with LED positively
influenced the KHN for RMGI evaluated after 6 months.
PMID- 22077681
TI - Association between developmental enamel defects in the primary and permanent
dentitions.
AB - AIM: To determine if the presence of developmental enamel defects (DED) in the
primary dentition is a risk indicator for the presence of DED in the permanent
dentition in children with mixed dentition, as well as others factors. MATERIALS
AND METHODS: A cross-sectional study was undertaken in 1296 school children ages
six to 72 years. The DED [FDI; 1982] in both dentitions were identified by means
of an oral exam scoring enamel opacities [classified as demarcated or diffused],
and enamel hypoplasia. Sociodemographic and socioeconomic variables were
collected through a questionnaire. Socioeconomic status (SES) was determined
based on the occupation and maximum level of education of parents. Statistical
analysis included logistic regression. RESULTS: Mean age of participants was 8.40
+/- 1.68; 51.6% were boys. DED prevalence was 7.5% in the permanent dentition and
10.0% in the primary dentition. The logistic regression model, adjusting for
sociodemographic and socioeconomic variables, showed that for each primary tooth
with DED, the odds of observing DED in the permanent dentition increased 7.38
times [95% CI = 1.17-1.64; p < 0.001]. CONCLUSION: An association between DED
presence in both permanent and primary dentitions was observed. Further studies
are necessary to fully characterise such relationship.
PMID- 22077682
TI - Retrospective study on the occurrence of primary incisor trauma in preschool
children of a low-income area in Brazil.
AB - AIM: The objective of this study was to investigate the occurrence of trauma in
primary incisors in a sample of preschool Brazilian children living in a low
income area of Rio de Janeiro, Brazil. METHODS: For the study 527 dental records
of a Municipal Children's Hospital were evaluated, and the following related
factors were recorded: gender age, tooth affected, type and cause of trauma. Data
were tabulated and subjected to statistical analysis (chi-square test). RESULTS:
There were no significant differences in the prevalence of injuries among boys
and girls. Children 10 to 24 months-old were the most affected (p < 0.05). The
maxillary central incisor was the most affected tooth (p < 0.05), with
predominance of lateral luxation and concussions (p > 0.05). The most common
cause of trauma was associated with child's own-height fall (p < 0.05).
CONCLUSION: Based on the results, it was concluded that the high incidence of
incisor trauma in deciduous teeth should be viewed with concern, requiring the
implementation of health policies aimed primarily at the prevention of such
accidents.
PMID- 22077683
TI - External apical root resorption caused by orthodontic treatment: a review of the
literature.
AB - AIM: External apical root resorption is a common consequence of orthodontic
treatment. A number of treatment-related factors have been implicated in the
pathogenesis of root resorption in orthodontic patients; however recent evidence
suggests that genetic factors also play a major role in the development of this
condition. Herein, we review prevalence, diagnosis and aetiology of root
resorption with a particular emphasis on the effect of genetic variation and
orthodontic forces, as well as discuss effective prevention strategies.
PMID- 22077684
TI - Dental health education programme for 6-year-olds: a cluster randomised
controlled trial.
AB - AIM: Oral health is a reflection of general health and significantly influences
the quality of life. Dental caries is one of the most common chronic diseases of
childhood which may adversely affect physical, mental and social growth of
children. Oral hygiene methods can effectively prevent oral and dental diseases,
and oral hygiene education plays an important role in this regard. The aim of the
present study was then to assess the effect of oral hygiene education on the oral
and dental health of 6-year-olds. MATERIALS AND METHODS: A hundred and sixty 6
year-old children from 4 pre-school centers were divided into two groups, case
and control, in this cluster randomised controlled trail. The children in the
case group received oral and dental education in one session. The parents of the
case group were also instructed in one session. The children and parents of the
control group did not receive any instructions. Plaque index (PI): Turesky
Gilmore-Glickman; gingival index (GI): Loe & Silness; at a) baseline, b) 1.5 and
c) 6 months after the first examination. Data were analysed by repeated measure
ANOVA. RESULTS: PI: A significant difference was found between the baseline
examination and the first follow-up (p < 0.01), and between the baseline
examination and the second follow-up (p < 0.001). GI: Although values in the case
group were lower, no statistically significant difference was found between the
two groups and within each group (p > 0.05). Regarding the number of brushing
times, a statistically significant difference was found between the first and the
second follow-ups of the study groups (p > 0.05). The brushing type and the
application of other hygienic measures did not show any difference (p > 0.05).
CONCLUSION: Within the limitations of the present study it may be concluded that
oral hygiene instruction to 6-year-old children and their parents improves their
dental health.
PMID- 22077685
TI - Influence of posture on swallowing.
AB - AIM: This study investigates the relationship between posture disorders and
swallowing, either of infantile type or affected by the presence of a short
lingual frenulum. MATERIALS AND METHODS: The stabilometry examinations, taking
into account the Romberg index and the LFS value, and when needed a frenectomy,
showed positive variations in the recovery of a proper posture. The data were
analysed by means of the Wilcoxon signed-rank test. RESULTS AND CONCLUSION: This
study showed that swallowing is able to modulate postural control and it can be a
determining factor in postural syndromes that, if not promptly intercepted, may
evolve into full-blown and irreversible musculoskeletal disorders for which
treatment often proves ineffective.
PMID- 22077686
TI - Incidence and distribution of deciduous molar ankylosis, a longitudinal study.
AB - AIM: To study incidence and distribution of deciduous molar ankylosis. MATERIALS
AND METHODS: study design: longitudinal retrospective study. A total of 512
consecutive subjects (aged 5 to 15 years) were examined at the Orthodontics and
Paediatric Dentistry Department of the Genoa University School of Dentistry; for
each subject an ortopantomography x-ray was taken. RESULTS: Thirty-four children
were affected by deciduous molars ankylosis (6.6%). A statistically significant
difference was revealed between the distributions: the lower deciduous molars
were ankylosed more frequently than the upper ones (P < 0.001); the second
deciduous molars were ankylosed more frequently than the first molars (P <
0.001). No statistical significance was found between sex and number of
infraoccluded teeth (P = 0.74). CONCLUSION: This study found an incidence of
deciduous molar ankylosis of about 6.6%; the lower deciduous molars and second
deciduous molars were ankylosed more frequently (P < 0.001).
PMID- 22077687
TI - Morphological characteristics of primary enamel surfaces versus permanent enamel
surfaces: SEM digital analysis.
AB - AIM: The morphology of permanent and primary enamel surface merits further
analysis. The objective of this study was to illustrate a method of SEM digital
image processing able to quantify and discriminate between the morphological
characteristics of primary and permanent tooth enamel. METHODS: Sixteen extracted
teeth, 8 primary teeth and 8 permanent teeth, kept in saline solution, were
analysed. The teeth were observed under SEM. The SEM images were analysed by
means of digitally processed algorithms. The two algorithms used were: Local
standard deviation to measure surface roughness with the roughness index (RI);
Hough's theorem to identify linear structures with the linear structure index
(LSI). RESULTS: The SEM images of primary teeth enamel show smooth enamel with
little areas of irregularity. No linear structures are apparent. The SEM images
of permanent enamel show a not perfectly smooth surface; there are furrows and
irregularities of variable depth and width. CONCLUSION: In the clinical practice
a number of different situations require the removal of a thin layer of enamel.
Only a good morphological knowledge of both permanent and primary tooth enamel
gives the opportunity to identify and exploit the effects of rotary tools on
enamel, thus allowing for a correct finishing technique.
PMID- 22077688
TI - The dental age in the child with coeliac disease.
AB - AIM: The coeliac disease deprives affected individual of the main nutritive
factors, which are essential to promote body development: coeliac children are
often subject to weight loss and have a lower somatic growth rate compared to
healthy children. In addition, it is proven that prolonged malnutrition can have
irreversible effects on dental eruption: teeth development also suffers a delay
or it is slowed down in coeliac children. The purpose of this study was to
evaluate and quantify the persistence of a delay in the dental age in children
with coeliac disease and the presence of a possible constant relationship between
the estimated delay in skeletal development and that referred to the dental age.
MATERIALS AND METHODS: Seventy children (24 males and 46 females) were selected,
all affected by coeliac disease, aged between 5.3 and 13.8 years, with a mean age
of 9 years +/- 22 months SD. Through teleradiography of the skull in latero
lateral projection and orthopantomography of the dental arches, the estimate of
the skeletal development and dental age was carried out for each patient,
applying two specific methods extensively described in the literature. RESULTS
AND CONCLUSION: The results obtained, in agreement with the literature, show how
the dental age, which is delayed in children affected by coeliac disease, may be
considered as a reliable indicator of somatic growth and also of biological age.
Furthermore, a gluten-free diet has considerable beneficial effects on skeletal
development in relation to the dental age, in agreement with the hypothesis that
dental development is controlled by different regulatory mechanisms, totally
independent from those that influence skeletal development and the somatic and
sexual development of the individual, even if the delay of dental development
decreases progressively from the time of diagnosis of coeliac disease to
introduction of a gluten-free diet.
PMID- 22077689
TI - Treatment outcomes of pulpotomy in primary molars using two endodontic
biomaterials. A 2-year randomised clinical trial.
AB - AIM: To compare the treatment outcomes of calcium-enriched mixture (CEM) cement
and mineral trioxide aggregate (MTA) as pulp dressing biomaterials in vital
pulpotomy of carious primary molars. STUDY DESIGN: split-mouth randomised
clinical trial. MATERIALS AND METHODS: Forty children aged 4-8 years with 2
carious teeth requiring pulpotomy were selected and randomly assigned to MTA (n =
40) or CEM (n = 40) groups. After coronal pulp removal, the remaining radicular
pulp was covered with an appropriate biomaterial; the teeth were then permanently
restored. Clinical/radiographic success/failures were blindly evaluated at 6-, 12
and 24-month follow-ups. STATISTICS: the recorded data were analyzed with
McNemar test and GEE. RESULTS: A total of 36, 33 and 35 patients were available
for 6-, 12- and 24-month follow-ups, respectively. At the 12-month follow-up only
one and three teeth in the CEM and MTA groups had pathologic external root
resorption, respectively. The resorbed teeth were then missed due to
extraction/exfoliation at the 24-month follow-up; all other treated teeth were
sign/symptom-free. Overall, clinical and radiographic outcomes in both MTA/CEM
groups were comparable at the three follow-ups without significant differences.
Time had no significant effect on the success. CONCLUSION: MTA and CEM
demonstrated favourable treatment outcomes for pulpotomy of carious primary
molars; CEM may be an effective pulp dressing biomaterial.
PMID- 22077690
TI - Premature loss of maxillary primary incisor and delayed eruption of its
successor: report of a case.
AB - BACKGROUND: Traumatic injuries to primary teeth represent one of the most common
aetiologic factors of time-related eruption disturbances of permanent teeth.
These include premature eruption, delayed eruption or impactions. CASE REPORT: A
case of a 7.5-year-old male with delayed eruption of the maxillary permanent left
incisor is presented. The case history revealed a trauma around the age of 3
involving its primary tooth predecessor and its extraction by a dentist about one
year later due to frequent abscesses. The clinical examination showed an early
mixed dentition and the single presence of tooth 11 in the dental arch, with an
obvious aesthetic problem that affected the child's self image. The periapical x
ray ruled out any dimorphism on the impacted tooth, and it showed a root
developed by two thirds. About 2 months after the examination, a simple
gingivectomy was carried out, and the tooth could erupt completely 3 months later
without any need for orthodontic traction. One year after surgery, a complete
root development could be observed, as well as the presence of the lateral
incisors with a moderate crowding.
PMID- 22077691
TI - Crohn's disease of the mouth: report of a case.
AB - AIM: Crohn's disease is a chronic, inflammatory process, probably immunologically
mediated, that may affect any segment of the gastrointestinal tract from mouth to
anus, usually in a discontinuous fashion. Oral manifestations are important
clinical findings in Crohn's disease. CASE REPORT: Description of a young male
with oral manifestations of Crohn's disease is detailed and the current
literature is briefly reviewed. Past medical history and oral clinical
examination of the patient were analysed. He was treated with systemic
prednisone. The case reports a significant reduction of lesion after six months
of treatment.
PMID- 22077692
TI - Pre-hospital delays and intravenous thrombolysis in urban and rural areas.
AB - INTRODUCTION: It is crucial to understand the reasons behind pre- and in-hospital
delays to improve nationwide access to effective treatment for acute stroke.
AIMS: To evaluate the pre- and in-hospital delays and to compare the intravenous
(IV) thrombolysis rates in the urban and rural areas of the Province of
Pomerania, Poland. MATERIALS & METHODS: We evaluated the medical records of 2134
patients treated in the stroke units (SUs) and consecutively reported to the
Pomeranian Stroke Register from June 2006-December 2007. RESULTS: The time of
ischaemic stroke onset was known in 488 (59%) of the 834 urban patients and in
744 (70%) of the 1063 rural patients (P < 0.001). The proportion of patients who
called the emergency medical services with a delay of >45 min was similar in both
locations: urban, 314/488 (64.3%) vs rural, 490/744 (65.8%). Although the
proportion of patients who reached the emergency room within 3 h was higher in
the rural areas (29.0% vs 24.3%; P = 0.02), only 4.2% of these patients received
IV thrombolysis compared with 23.1% in the urban areas (P < 0.001). The
proportion of patients who did not seek any kind of professional medical help
prior to admission was lower in the rural areas (29/744 (3.9%) vs urban 50/488
(10.2%)) (P < 0.001). CONCLUSIONS: Pre-hospital delays reduced the number of
patients eligible for IV thrombolysis in both rural and urban areas. The low
proportion of patients treated with IV thrombolysis in rural SUs may be
attributed to ineffective in-hospital procedures.
PMID- 22077693
TI - Surgical and oncologic outcomes of laparoscopic partial nephrectomy: a Japanese
multi-institutional study of 1375 patients.
AB - BACKGROUND AND PURPOSE: Despite clear trends toward minimally invasive surgery,
information about laparoscopic partial nephrectomy (LPN) in Japan is sparse. We
conducted a retrospective survey to clarify time trends for LPN and analyze
surgical and oncologic outcomes. PATIENTS AND METHODS: A nationwide survey was
performed. Between 1998 and 2008, 1375 patients underwent LPN at 54 institutions.
Complications, patterns of tumor recurrence, and recurrence-free survival were
analyzed. RESULTS: Renal pedicle clamping was used in 1031 (75%) cases, and renal
cooling was performed in 64%. Median warm/cold ischemic time was 37/53 minutes.
Median tumor size was 2.26 cm (interquartile range 1.6 to 2.7). Multivariate
analysis identified total operative time, operative blood loss, and surgical
margin status as independently associated with high grade (grade 3-5) urologic
and nonurologic complications. Despite increases in central tumor, a trend was
seen toward shorter warm/cold ischemic time in recent cases, and the overall
complication rate did not change throughout the study period. With a median
follow-up of 26 months for 1193 malignancies, recurrence occurred in 22 (1.7%)
patients, including local recurrence in 7 (0.5%), lung in 8 (0.7%), lymph nodes
in 2 (0.1%), and bone in 4 (0.3%). Of the 26 cases with positive surgical
margins, local tumor recurrence occurred in only one. CONCLUSIONS: This is the
first nationwide survey of LPN in Japan to be reported. LPN could be performed
with acceptable positive margins and complication rates. Most tumor recurrences
occur as metastases, and surgical margin status appears to have little impact on
oncologic outcomes.
PMID- 22077694
TI - Evaluation of GSTP1 and APC methylation as indicators for repeat biopsy in a high
risk cohort of men with negative initial prostate biopsies.
AB - Study Type - Diagnostic (exploratory cohort) Level of Evidence 2b What's known on
the subject? and What does the study add? Hypermethylation of genes such as
glutathione-S-transferase P1 (GSTP1) and adenomatous polyposis coli (APC) occurs
with high frequency in prostate tumour tissue but is much less common in the
benign prostate; however, the potential value of gene methylation biomarkers as
an adjunct to biopsy histopathology has had little study. When measured in
histologically benign prostate biopsy tissue, APC gene hypermethylation was found
to have high negative predictive value and high sensitivity. GSTP1
hypermethylation was found to have lower performance than APC. OBJECTIVE: To
evaluate the performance of DNA methylation biomarkers in the setting of repeat
biopsy in men with an initially negative prostate biopsy but a high index of
suspicion for missed prostate cancer. PATIENTS AND METHODS: We prospectively
evaluated 86 men with an initial histologically negative prostate biopsy and high
risk features. All men underwent repeat 12-core ultrasonography-guided biopsy.
DNA methylation of glutathione-S-transferase P1 (GSTP1) and adenomatous polyposis
coli (APC) was determined using tissue from the initially negative biopsy and
compared with histology of the repeat biopsy. The primary outcome was the
relative negative predictive value (NPV) of APC compared with GSTP1, and its 95%
confidence interval (CI). RESULTS: On repeat biopsy, 21/86 (24%) men had prostate
cancer. APC and GSTP1 methylation ratios below the threshold (predicting no
cancer) produced a NPV of 0.96 and 0.80, respectively. The relative NPV was 1.2
(95% CI: 1.06-1.36), indicating APC has significantly higher NPV. Methylation
ratios above the threshold yielded a sensitivity of 0.95 for APC and 0.43 for
GSTP1. Combining both methylation markers produced a performance similar to that
of APC alone. APC methylation patterns were consistent with a possible field
effect or occurrence early in carcinogenesis. CONCLUSIONS: APC methylation
provided a very high NPV with a low percentage of false-negatives, in the first
prospective study to evaluate performance of DNA methylation markers in a
clinical cohort of men undergoing repeat biopsy. The potential of APC methylation
to reduce unnecessary repeat biopsies warrants validation in a larger prospective
cohort.
PMID- 22077695
TI - Accuracy and precision of the Pediatric Evaluation of Disability Inventory
computer-adaptive tests (PEDI-CAT).
AB - AIM: The aims of the study were to: (1) build new item banks for a revised
version of the Pediatric Evaluation of Disability Inventory (PEDI) with four
content domains: daily activities, mobility, social/cognitive, and
responsibility; and (2) use post-hoc simulations based on the combined normative
and disability calibration samples to assess the accuracy and precision of the
PEDI computer-adaptive tests (PEDI-CAT) compared with the administration of all
items. METHOD: Parents of typically developing children (n = 2205) and parents of
children and adolescents with disabilities (n = 703) between the ages of 0 and 21
years, stratified by age and sex, participated by responding to PEDI-CAT surveys
through an existing Internet opt-in survey panel in the USA and by computer
tablets in clinical sites. RESULTS: Confirmatory factor analyses supported four
unidimensional content domains. Scores using the real data post hoc demonstrated
excellent accuracy (intraclass correlation coefficients >= 0.95) with the full
item banks. Simulations using item parameter estimates demonstrated relatively
small bias in the 10-item and 15-item CAT versions; error was generally higher at
the scale extremes. INTERPRETATION: These results suggest the PEDI-CAT can be an
accurate and precise assessment of children's daily performance at all functional
levels.
PMID- 22077697
TI - Roles of cocaine- and amphetamine-regulated transcript in the central nervous
system.
AB - 1. Cocaine- and amphetamine-regulated transcript (CART), first isolated from the
ovine hypothalamus, is a potential neurotransmitter widely distributed throughout
the central and peripheral nervous systems, as well as in endocrine cells in the
pituitary and adrenal glands, pancreatic islets and stomach. 2. Numerous studies
have established the role of CART in food intake, maintenance of bodyweight,
stress control, reward and pain transmission. Recently, it was demonstrated that
CART, as a neurotrophic peptide, had a cerebroprotective against focal ischaemic
stroke and inhibited the neurotoxicity of beta-amyloid protein, which focused
attention on the role of CART in the central nervous system (CNS) and
neurological diseases. 3. In fact, little is known about the way in which CART
peptide interacts with its receptors, initiates downstream cascades and finally
exerts its neuroprotective effect under normal or pathological conditions. The
literature indicates that there are many factors, such as regulation of the
immunological system and protection against energy failure, that may be involved
in the cerebroprotection afforded by CART. 4. The present review provides a brief
summary of the current literature on CART synthesis and active fragments, its
distribution in the CNS and, in particular, the role of CART peptide (and its
receptors and signalling) in neurological diseases.
PMID- 22077696
TI - The formamidopyrimidines: purine lesions formed in competition with 8-oxopurines
from oxidative stress.
AB - DNA is constantly exposed to agents that induce structural damage, from sources
both internal and external to an organism. Endogenous species, such as oxidizing
chemicals, and exogenous agents, such as ultraviolet rays in sunlight, together
produce more than 70 distinct chemical modifications of native nucleotides. Of
these, about 15 of the lesions have been detected in cellular DNA. This kind of
structural DNA damage can be cytotoxic, carcinogenic, or both and is being linked
to an increasingly lengthy list of diseases. The formamidopyrimidine (Fapy)
lesions are a family of DNA lesions that result after purines undergo oxidative
stress. The Fapy lesions are produced in yields comparable to the 8-oxopurines,
which, owing in part to a perception of mutagenicity in some quarters, have been
subjected to intense research scrutiny. But despite the comparable abundance of
the formamidopyrimidines and the 8-oxopurines, until recently very little was
known about the effects of Fapy lesions on biochemical processes involving DNA or
on the structure and stability of the genomic material. In this Account, we
discuss the detection of Fapy lesions in DNA and the mechanism proposed for their
formation. We also describe methods for the chemical synthesis of
oligonucleotides containing Fapy.dA or Fapy.dG and the outcomes of chemical and
biochemical studies utilizing these compounds. These experiments reveal that the
formamidopyrimidines decrease the fidelity of polymerases and are substrates for
DNA repair enzymes. The mutation frequency of Fapy.dG in mammals is even greater
than that of 8-oxodGuo (8-oxo-7,8-dihydro-2'-deoxyguanosine, one of the 8
oxopurines), suggesting that this lesion could be a useful biomarker and
biologically significant. Despite clear similarities, the formamidopyrimidines
have lived in the shadow of the corresponding 8-oxopurine lesions. But the recent
development of methods for synthesizing oligonucleotides containing Fapy.dA or
Fapy.dG has accelerated research on these lesions, revealing that the
formamidopyrimidines are repaired as efficiently and, in some cases, more rapidly
than the 8-oxopurines. Fapy.dG appears to be a lesion of biochemical consequence,
and further study of its mutagenicity, repair, and interactions with DNA
structure will better define the cellular details involving this important
product of DNA stress.
PMID- 22077698
TI - Evaluation of online miRNA resources for biomedical applications.
AB - MicroRNAs (miRNAs) are endogenous single-stranded, 22-nt (nucleotide) RNAs which
complement mRNA to initiate post-transcriptional regulation. This review presents
updates and evaluations of the public domain resources available for miRNA
identification and target prediction toward their utilization in the biomedical
research approach. This study discusses the basic principles of miRNA
computational studies based on the nature and mechanism of action of miRNAs.
Furthermore, we have explored fifty-nine current online miRNA tools that can be
categorized into three classes in this paper: (i) miRNA identification; (ii)
miRNA target prediction; and (iii) specialized miRNA tools.
PMID- 22077699
TI - The school nursing profession in relation to Bourdieu's concepts of capital,
habitus and field.
AB - AIM: The aim was to define the work and professional role of school nurses, in
terms of Bourdieu's key concepts of capital and habitus. METHOD: A qualitative
study with a deductive approach, based on data from six focus-group interviews
with 24 school nurses and 15 individual interviews with school nurses. Thus, a
total of 39 school nurses participated in the study. The data were analysed using
content analysis. RESULTS: The results explain, in terms of Bourdieu's key
concepts of capital and habitus, how school nurses experience their work in the
educational setting. A model including different aspects of school nurses' work
is shown. CONCLUSION: The new Swedish Education Act focuses on promoting
students' general health, so that they are able to reach their academic
potential. In this task, the school nurse is to be one of a group of several
professionals working together. The present study shows how school nurses
experience their professional role and their work in relation to Bourdieu's
concepts of capital, habitus and field. To strengthen the school nursing
profession, school nurses need to show their competence in promoting students'
health.
PMID- 22077700
TI - Opportunities taken: the need for and effectiveness of secondary care
opportunistic immunisation.
AB - AIM: To evaluate the effectiveness of a formalised opportunistic immunisation
(OI) system in a hospital setting. METHODS: Pre-post implementation audit of
missed immunisation opportunities. RESULTS: Of 5583 children in the National
Immunisation Register cohort seen in a hospital setting, 1641 (29.4%) were under
immunised, compared with the concurrent regional cohort of 15%. Maori children
were less likely to be age-appropriately immunised (36.9% under-immunised, P <
0.0005, chi(2) = 41.4). Of the 1641 under-immunised children, 337 (20.5%) were
deemed to have current medical reasons not to be immunised acutely, and of the
remaining 1304, 244 (18.7%) declined immunisations. This left 1060 for whom
immunisation was possible, and we immunised 880 (83.0%) of these. All children
were re-engaged with primary care services. CONCLUSIONS: Children in contact with
secondary care services have low immunisation rates with ethnic disparity.
Appropriately resourced formalised OI is effective, with potential for further
improvement. The system we have implemented enhances primary care involvement.
PMID- 22077701
TI - DNA fingerprinting and new tools for fine-scale discrimination of Arabidopsis
thaliana accessions.
AB - One of the main strengths of Arabidopsis thaliana as a model species is the
impressive number of public resources available to the scientific community.
Exploring species genetic diversity--and therefore adaptation--relies on
collections of individuals from natural populations taken from diverse
environments. Nevertheless, due to a few mislabeling events or genotype mixtures,
some variants available in stock centers have been misidentified, causing
inconsistencies and limiting the potential of genetic analyses. To improve the
identification of natural accessions, we genotyped 1311 seed stocks from our
Versailles Arabidopsis Stock Center and from other collections to determine their
molecular profiles at 341 single nucleotide polymorphism markers. These profiles
were used to compare genotypes at both the intra- and inter-accession levels. We
confirmed previously described inconsistencies and revealed new ones, and suggest
likely identities for accessions whose lineage had been lost. We also developed
two new tools: a minimal fingerprint computation to quickly verify the identity
of an accession, and an optimized marker set to assist in the identification of
unknown or mixed accessions. These tools are available on a dedicated web
interface called ANATool (https://www.versailles.inra.fr/ijpb/crb/anatool) that
provides a simple and efficient means to verify or determine the identity of A.
thaliana accessions in any laboratory, without the need for any specific or
expensive technology.
PMID- 22077703
TI - Use of potassium iodide (KI) in a nuclear emergency.
PMID- 22077704
TI - Economic motives for family limitation.
AB - Abstract Taiwan can boast one of the most rapid rates of economic development
in the world over the past 20 years. Taiwan is also in the midst of the
demographic transition. The nature of the connection between economic development
and the onset and progress of the fertility decline is far from clear, however.
Rather than explore the relation between income and fertility change, this paper
will be concerned with some of the economic motivations which may be responsible
for fertility decline during the demographic transition. Inquiries in this area
have been handicapped by having to rely on aggregative or on household data which
included only a very limited set of economic variables (other than income) along
with demographic data. In order to re-examine the linkages between economic
change and fertility change at the family level, a special survey was conducted
in Taiwan in 1969. While recognizing that economic factors are not wholly,
perhaps not even primarily, responsible for the lowering of the birth rate, the
central interest of this paper is the complex of cost and benefit considerations
which may contribute to a decline of the rate, using the new data for Taiwan.
PMID- 22077705
TI - Fertility effects of the abolition of legal abortion in Romania.
AB - Abstract There is much interest to-day in governmental actions and regulations
designed to have an effect on fertility. Widespread concern with the implications
of population growth has led to unprecedented attention to the design and
implementation of governmental policies intended to affect fertility.
Unfortunately, there is very little empirical evidence of the effect of
governmental action, largely because of the difficulty of interpreting the causal
relations between changes in laws or programmes on the one hand, and fertility
trends on the other. For this reason, the drastic alteration of the fertility
laws in Romania in 1966 is of special interest in that it provides something
approaching an experimental context for examining the effect of a legal code on
fertility.
PMID- 22077706
TI - The influence of labour force participation and education on fertility in
Thailand.
AB - Abstract Increasingly, discussion of the appropriateness and effectiveness of
methods to limit population growth have focussed both on measures which seek to
provide new and efficient contraceptives to an ever larger target population and
on those measures which go beyond family planning to emphasize the need for
adoption of policies 'expressly related to family roles and opportunities for
legitimate alternative satisfactions and activities'.(1) Proponents of the latter
course of action argur that such policies offer greater promise of reduction in
family size because they directly assault the motivational framework of
reproduction. Among the means suggested for limiting reproductioe within marriage
as well as postponing marriage is modification of the complementarity of the
rolen of men and women.(2) Of particular interest in this regard is the nature of
the relation betweens female labour force participation and education and
fertility, and the implications these relations may have for future fertility
reduction, particularly in the developing world.
PMID- 22077707
TI - Implications of selective feedback in aspects of family planning research for
policy-makers in India.
AB - Abstract Research and evaluation on any programme of the size and complexity of
India's family planning programme provide essential feedback of information for
policy-makers. In India's case the volume of feedback is very large (a cumulative
total of about 300 KAP studies from the early fifties is reported). The
methodology, utility and comparability of such studies have already attracted
criticism.(1) In this article I hope to show that, in spite of an 'information
overload' from KAP, communication, and evaluation studies (the aspects of family
planning research considered here), the feedback is overwhelmingly selective in
many important respects, leading to an unbalanced and unduly optimistic basis for
further direction of the programme.
PMID- 22077708
TI - Recent fertility decline in Ceylon.
AB - Abstract The crude birth rate for Ceylon has been falling steadily between 1960
and 1970, except for a slight interruption in 1968. The fall between 1953 and
1963 has been documented elsewhere and this paper will therefore deal with the
period 1963-69.
PMID- 22077709
TI - Social and economic factors in Hong Kong's fertility decline.
AB - Abstract In both developed and underdeveloped areas, many attempts have been
made to alter the course of fertility through family planning intervention.
Doubtless the availability of such services facilitates birth control for those
who already desire to use it. Whether or not such exogenous interventions have
any independent effect upon the operation of endogenous forces in the economy and
society is, however, more problematical. Where fertility declines have been
observed, family planning services have often been made widely available only
after the decline in fertility had already set in. Nonetheless, observers have
often attempted to attribute some fraction of the continuing decline in fertility
to the operation of family planning activities. One especially notable case is
that of Hong Kong, which provides some of the more persuasive evidence about the
independent effects of family planning intervention.
PMID- 22077710
TI - The integrative potential of a fertility model: An analytical test.
AB - Abstract In an earlier paper a working model of marital fertility was
presented.(1) That model grew out of a close study of Becker's economic model for
fertility analysis.(2) Becker's model was modified in several respects in order
to meet a number of major objections levelled against it by Judith Blake, Deborah
Freedman, and others.(3) The purpose of the present paper is to examine how far
the model presented earlier can serve as a 'binder' for the interpretative
schemes now available in the literature on fertility differentials. More
specifically, the objective is to identify the underlying explanatory factors of
a number of analytical hypotheses concerning fertility differentials, and to
examine whether the factors thus identified can be located in the fertility model
presented in the earlier paper.(4) Little attention will be paid to the causal
directions, if any, recognized in the hypotheses studied, nor will we attempt to
provide a systematic review of empirical findings.
PMID- 22077711
TI - 'Continuation rate', 'use-effectiveness' and their assessment for the diaphragm
and jelly method.
AB - Abstract The application of the life-table technique in the calculation of use
effectiveness of a contraceptive was proposed by Potter in 1963.(1) The technique
was also found to be useful in assessing the duration for which the use of a
contraceptive was continued. The keen interest that existed in the use of IUD in
the mid-1960's was reflected in the terminology developed for assessment of the
continuity of use. 'Retention rate' was a frequently used index.(2) Because of
the development of the concept of segments whose end-period determined either
termination of the use of a method or its continuance on a cut-off date, 'closure
rate' and 'termination rate' have been used as measures of the discontinuance of
the use of methods primarily of the IUD.(3) While discussing concepts relating to
acceptance, use and effectiveness of family planning methods, more generally, an
expert group suggested that 'continuation' should be used to denote that a client
(or a couple) had begun to practise a method and that the method was still being
practised.(4) Since this group defined 'an acceptor' as a person taking service
and/or advice, i.e. having an IUD insertion or a sterilization operation or
receiving supplies (or advice on methods such as 'rhythm' or coitus-interruptus
with the intent of using the method), the base for the assessment of continuation
rates, according to this group, would be only those acceptors who had begun using
the method. The lifetable method has also been used for the study of the
continuation rate for pill acceptors.(5) Balakrishnan, et al., made a study of
continuation rates of oral contraceptives using the multiple decrement life-table
technique.(6).
PMID- 22077712
TI - Some problems in the use of open birth intervals as indicators of fertility
change.
AB - Abstract To measure changes in fertility brought about by specific family
planning programmes has been one of the problems faced by demographers as well as
policy-makers. This problem is particularly difficult in developing countries
where the basic data are poor. Hence, there is a need to find indices of
fertility that are easy to obtain, sensitive to changes in fertility and either
not grossly affected by errors in data, or alternatively amenable to correction
of data errors. Among many possible indices, the open live birth interval has
attracted attention of many researchers and experts.(1).
PMID- 22077713
TI - The time response in averted births.
AB - Abstract A calculation of the timing of births that are averted may seem a
curious exercise, when not only do the births in question not occur, but the
corresponding conceptions may never have existed. However, such a calculation may
have considerable use. In order to assess the likely direct impact of a
contraceptive programme on birth rates it is useful to estimate the number of
births that would, in the absence of the programme, have occurred among the
couples who accept it. Moreover, some time would necessarily elapse before a new
'steady state' in fertility could be reached, even if the programme and the
potentially fertile population did not change in any way; and it is worth while
to seek to find the times (for a few years after the start of a programme) when
the (averted) births would have occurred in its absence, and to examine any
inherent oscillations produced in birth rates by it. This question is considered
below only for groups of women aged 20 at marriage (a state which is taken to be
the start of regular exposure to the risk of conception), but the same methods
are applicable to other ages, (possibly allowing for mortality) and appropriate
combinations of age groups and cohorts in the fertile range may be used to
estimate changes in fertility and reproduction rates expected from a programme,
subject to given conditions, for several years after its start. The methods can
also be generalised, by means of convolution, to contraceptive programmes that
change with time, but these are not considered further.
PMID- 22077716
TI - Feelings of regret following uncommitted sexual encounters in Canadian university
students.
AB - In this study we explored the prevalence of regret following uncommitted sexual
encounters (i.e., casual sex that occurs with someone once and only once or with
someone known for less than 24 hours) among 138 female and 62 male Canadian
university students, who were approximately 21 years of age. The majority of
participants self-reported that they had experienced feelings of regret after an
uncommitted sexual encounter. We found women reported feeling significantly more
regret than men. However, men's regret was more closely tied to physical
attributes than women's regrets. Regret was also influenced by the quality of the
sex: high-quality sex rarely led to regret, while the reverse was true for poor
quality sex. In keeping with past studies, intoxication by alcohol and/or drugs
was often listed as a source of regret by both men and women.
PMID- 22077717
TI - Qualitative and quantitative MALDI imaging of the positron emission tomography
ligands raclopride (a D2 dopamine antagonist) and SCH 23390 (a D1 dopamine
antagonist) in rat brain tissue sections using a solvent-free dry matrix
application method.
AB - The distributions of positron emission tomography (PET) ligands in rat brain
tissue sections were analyzed by matrix-assisted laser desorption/ionization mass
spectrometry imaging (MALDI MSI). The detection of the PET ligands was possible
following the use of a solvent-free dry MALDI matrix application method employing
finely ground dry alpha-cyano-4-hydroxycinnamic acid (CHCA). The D2 dopamine
receptor antagonist 3,5-dichloro-N-{[(2S)-1-ethylpyrrolidin-2-yl]methyl}-2
hydroxy-6-methoxybenzamide (raclopride) and the D1 dopamine receptor antagonist 7
chloro-3-methyl-1-phenyl-1,2,4,5-tetrahydro-3-benzazepin-8-ol (SCH 23390) were
both detected at decreasing abundance at increasing period postdosing.
Confirmation of the compound identifications and distributions was achieved by a
combination of mass-to-charge ratio accurate mass, isotope distribution, and
MS/MS fragmentation imaging directly from tissue sections (performed using MALDI
TOF/TOF, MALDI q-TOF, and 12T MALDI-FT-ICR mass spectrometers). Quantitative data
was obtained by comparing signal abundances from tissues to those obtained from
quantitation control spots of the target compound applied to adjacent vehicle
control tissue sections (analyzed during the same experiment). Following a single
intravenous dose of raclopride (7.5 mg/kg), an average tissue concentration of
approximately 60 nM was detected compared to 15 nM when the drug was dosed at 2
mg/kg, indicating a linear response between dose and detected abundance. SCH
23390 was established to have an average tissue concentration of approximately 15
MUM following a single intravenous dose at 5 mg/kg. Both target compounds were
also detected in kidney tissue sections when employing the same MSI methodology.
This study illustrates that a MSI may well be readily applied to PET ligand
research development when using a solvent-free dry matrix coating.
PMID- 22077718
TI - Emerging concepts on the role of innate immunity in the prevention and control of
HIV infection.
AB - While neutralizing antibodies can provide sterilizing protection from HIV
infection via their variable domains, the antibody constant domain provides a
functional link between innate and adaptive immunity and offers a means to
harness the potent antiviral properties of a wide spectrum of innate immune
effector cells. There has been a growing appreciation of the role of these
effector mechanisms across fields from cancer immunotherapy to autoimmunity and
infectious disease, as well as speculation that this mechanism may be responsible
for the protection observed in the RV144 HIV vaccine trial. This review
summarizes these extraneutralizing humoral immune activities, progress in
defining the importance of these effector mechanisms during progression in HIV
infection, and the potential impact that such vaccine-induced immune responses
may have on protection from infection.
PMID- 22077721
TI - A collection of robust organic synthesis reactions for in silico molecule design.
AB - A focused collection of organic synthesis reactions for computer-based molecule
construction is presented. It is inspired by real-world chemistry and has been
compiled in close collaboration with medicinal chemists to achieve high practical
relevance. Virtual molecules assembled from existing starting material connected
by these reactions are supposed to have an enhanced chance to be amenable to real
chemical synthesis. About 50% of the reactions in the dataset are ring-forming
reactions, which fosters the assembly of novel ring systems and innovative
chemotypes. A comparison with a recent survey of the reactions used in early drug
discovery revealed considerable overlaps with the collection presented here. The
dataset is available encoded as computer-readable Reaction SMARTS expressions
from the Supporting Information presented for this paper.
PMID- 22077719
TI - Vaccines for malaria: how close are we?
AB - Vaccines are the most powerful public health tools mankind has created, but
malaria parasites are bigger, more complicated, and wilier than the viruses and
bacteria that have been conquered or controlled with vaccines. Despite decades of
research toward a vaccine for malaria, this goal has remained elusive.
Nevertheless, recent advances justify optimism that a licensed malaria vaccine is
within reach. A subunit recombinant protein vaccine that affords in the
neighborhood of 50% protective efficacy against clinical malaria is in the late
stages of clinical evaluation in Africa. Incremental improvements on this
successful vaccine are possible and worth pursuing, but the best hope for a
highly efficacious malaria vaccine that would improve prospects for malaria
eradication may lie with the use of attenuated whole parasites and powerful
immune-boosting adjuvants.
PMID- 22077722
TI - Localized granulation tissue-like nodules: an unusual cutaneous presentation of
secondary syphilis.
PMID- 22077723
TI - Test-retest reliability of an emotion maintenance task.
AB - Research on working memory has suggested domain-specific components for visual,
verbal, and spatial information, and more recently for emotion. Affective working
memory has been proposed as the set of processes involved in the maintenance of
emotions to guide behaviour. The current study examined the reliability of an
emotion maintenance/affective working memory task over two experimental sessions
separated by one week. Subjective accuracy based on individual ratings was found
to correlate over time and was highest for negatively valenced pictures. Results
suggest that this paradigm is a reliable measure of emotion maintenance,
underscoring the utility of this measure as an assessment tool for normative and
clinical populations.
PMID- 22077724
TI - An assessment of transgenomics as a tool for identifying genes involved in the
evolutionary differentiation of closely related plant species.
AB - * Transgenomics is the process of introducing genomic clones from a donor species
into a recipient species and then screening the resultant transgenic lines for
phenotypes of interest. This method might allow us to find genes involved in the
evolution of phenotypic differences between species as well as genes that have
the potential to contribute to reproductive isolation: potential speciation
genes. * More than 1100 20-kbp genomic clones from Leavenworthia alabamica were
moved into Arabidopsis thaliana by transformation. After screening a single
primary transformant for each line, clones associated with mutant phenotypes were
tested for repeatability and co-segregation. * We found 84 clones with possible
phenotypic effects, of which eight were repeatedly associated with the same
phenotype. One clone, 11_11B, co-segregated with a short fruit phenotype. Further
study showed that 11_11B affects seed development, with as much as one-third of
the seeds aborted in some fruit. * Transgenomics is a viable strategy for
discovering genes of evolutionary interest. We identify methods to reduce false
positives and false negatives in the future. 11_11B can be viewed as a potential
speciation gene, illustrating the value of transgenomics for studying the
molecular basis of reproductive isolation.
PMID- 22077725
TI - Effects of estrogen metabolite 2-methoxyestradiol on tumor suppressor protein p53
and proliferation of breast cancer cells.
AB - An endogenous 17beta-estradiol (E(2)) metabolite, 2-methoxyestradiol (2-ME(2)),
has been reported to exhibit estrogen receptor (ER)-independent anti-angiogenic
and anti-tumor effects. Several mechanisms have been proposed for 2-ME(2)
actions, but there is a lack of evidence for a common pathway for all of the cell
types sensitive to this metabolite. We have examined potential alterations in p53
in response to 2-ME(2), E(2) and the microtubule disruptor taxol in T47D breast
cancer cells. Cells were cultured for six days in medium depleted of endogenous
steroids or effectors. Semi-confluent cells were treated with 2-ME(2) (1 nM - 10
uM), 10 nM E(2) and/or 1 uM taxol and subjected to SDS-PAGE and Western blot
analysis, quantitative analysis, or laser-scanning confocal microscopy. Western
blot analysis revealed a concentration-dependent biphasic trend in p53 levels.
Addition of 10 nM - 1 uM 2-ME(2) induced significant up-regulation in p53, and
this response gradually diminished to levels comparable to the control upon
treatment with higher concentrations (2.5 - 10 uM). The observed upregulation of
p53 induced by 2-ME(2) is inhibited by concurrent treatment with 1 uM taxol. Cell
quantitation revealed a significant decrease (50 - 90%) in cell number upon
treatment with 1 - 10 uM 2-ME(2) with minimal effect at lower concentrations. No
additional effect on cell proliferation was observed when taxol was combined with
10 nM or 1 uM 2-ME(2). In a concentration dependent manner, treatment with 2
ME(2) for 24 h differentially influenced cellular localization of p53. These
results may aid in further understanding the relationship between steroid
receptors, tumor suppressor proteins, and effects of hormone metabolites on
breast cancer cells.
PMID- 22077726
TI - Autonomic dysfunction in ischemic stroke with carotid stenosis.
AB - OBJECTIVES: Impaired autonomic function is common in acute ischemic stroke.
Previous limited studies have suggested that atherosclerosis may affect the
distensibility of the carotid sinus and then impair the cardiovascular autonomic
function. This study sought to investigate cardiovascular autonomic function in
patients with ischemic stroke with carotid stenosis. METHODS: Eighty-five
patients with ischemic stroke (58 ones without carotid stenosis and 27 ones with
carotid stenosis, average 6 months after stroke onset) and 37 elderly controls
were recruited. All performed Ewing's battery autonomic function tests. RESULTS:
From Ewing's battery of autonomic function tests, atypical, definite, or severe
autonomic dysfunction was identified in 69.0% patients without carotid stenosis
and 88.9% with carotid stenosis, with significant difference between the two
groups, and the prevalence of autonomic dysfunction in both groups was higher
than that in controls (21.6%). Patients with carotid stenosis showed impairment
of all parasympathetic tests (all P < 0.05) and one of the sympathetic tests
[Mean fall in systolic blood pressure (BP) on standing: P = 0.051], and those
without carotid stenosis only showed impairment in two parasympathetic tests
(Valsalva ratio: P = 0.014; heart rate response to deep breathing: P < 0.001) in
comparison with controls. Patients with carotid stenosis had significantly more
impairment than those without carotid stenosis in some autonomic parameters
(Valsalva ratio: P < 0.05; mean fall in systolic BP on standing: P < 0.05).
CONCLUSIONS: Cardiovascular autonomic function is impaired in patients with
ischemic stroke, but patients with carotid stenosis show more severely impaired
parasympathetic and sympathetic functions.
PMID- 22077727
TI - Neuropsychological evaluation and follow-up of children with cerebellar cortical
dysplasia.
AB - AIM: To describe neuropsychological disturbances and the developmental course
associated with cerebellar cortical dysplasia (CCD). METHOD: The neuroimaging
findings from 10 children (five males, five females; aged 3-10 y) with CCD were
reviewed and classified. These children all underwent clinical neurological
examination and neuropsychological assessment (NPA) on admission, then were
followed for an average of 6 years using the cognitive Wechsler Scale, Vineland
Adaptive Behavior Scales, and Rey-Osterrieth Complex Figure/McCarthy Drawing
subtests. RESULTS: Based on magnetic resonance imaging, CCD was categorized as
minor (n = 4), moderate (n = 1), and severe (n = 5). The first NPA disclosed
mental retardation* in six (profound, three; moderate, one; mild, two) and normal
intelligence in four (low, two; average, one; high, one), but with
verbal/performance dissociation in three cases. Socio-adaptive functions were
altered in all children except one. Visuospatial abilities were delayed in eight
children. In the follow-up, no progression was observed in the three cases with
profound mental retardation, whereas the remainder showed homogeneous or
disharmonic progression, including improvement or deterioration of
verbal/performance function. Cognitive impairment and evolution was not
associated with the degree of cerebellar involvement. INTERPRETATION: The
neuropsychological profile and evolution associated with CCD do not appear to be
predictable, and some features might improve over time.
PMID- 22077728
TI - Dental erosion and salivary flow rate in cerebral palsy individuals with
gastroesophageal reflux.
AB - BACKGROUND: A high prevalence of gastroesophageal reflux (GERD) has been observed
in individuals with cerebral palsy (CP). One of the main risks for dental erosion
is GERD. This study aimed to evaluate the presence of GERD, variables related to
dental erosion and associated with GERD (diet consumption, gastrointestinal
symptoms, bruxism), and salivary flow rate, in a group of 46 non
institutionalized CP individuals aged from 3 to 13 years. METHODS: Twenty CP
individuals with gastroesophageal reflux (GERDG) and 26 without gastroesophageal
reflux (CG) were examined according to dental erosion criteria, drinking habits,
presence of bruxism, and salivary flow rate. A face-to-face detailed
questionnaire with the consumption and frequency of acid drinks, gastrointestinal
symptoms (regurgitation and heart burn), and the presence of bruxism were
answered by the caregivers of both groups. Unstimulated whole saliva was
collected under slight suction, and salivary flow rate (ml/min) was calculated.
RESULTS: The GERDG presented higher percentages of younger quadriplegics
individuals compared to CG. The presence of regurgitation, heart burn, and tooth
erosion (Grade 1) was significantly more prevalent in GERDG. It was observed
difference in the salivary flow rate between the studied groups. On logistic
multivariate regression analysis, the unique variable independently associated
with the presence of GERD was dental erosion (P = 0.012, OR 86.64). CONCLUSION:
The presence of GERD contributes significantly to dental erosion in the most
compromised individuals with quadriplegics cerebral palsy individuals, increasing
the risk of oral disease in this population.
PMID- 22077729
TI - General application of the National Institute for Health and Clinical Excellence
(NICE) guidance for active surveillance for men with prostate cancer is not
appropriate in unscreened populations.
AB - Study Type - Therapy (case series) Level of Evidence 4 What's known on the
subject? and What does the study add? Active surveillance (AS) is a well
recognised management strategy to minimise the morbidity associated with radical
treatment of prostate cancer. The National Institute for Health and Clinical
Excellence guidelines initially suggested that all men with low-risk prostate
cancer should first be offered AS. The cohort of men with upstaging and upgrading
of prostate cancer from diagnosis to final pathology has been described in North
American and European populations. As the rate of PSA testing in Britain is lower
than North America and parts of Europe, the risk of more advanced disease at
diagnosis of prostate cancer is higher. The present study is one of the first to
examine this cohort in a British population and found the rate of features of
advanced disease (extracapsular extension, seminal vesicle involvement and
Gleason 4 + 3, or 8-10) to be 37.2%. OBJECTIVE: To determine if the National
Institute for Health and Clinical Excellence (NICE) guidelines for men with low
risk prostate cancer were generally applicable in unscreened populations.
PATIENTS AND METHODS: Retrospective analysis of prospectively collected case
series from a single tertiary care centre in England. In all, 700 consecutive men
treated for prostate cancer from 2005 by robot-assisted laparoscopic
prostatectomy (RALP) were included. Patients satisfying NICE criteria for low
risk disease (PSA level < 10 ng/mL and Gleason score <= 6 and cT1-2a) had their
pathological samples analysed for advanced disease, defined as extracapsular
extension (ECE: pT3), seminal vesicle involvement (SVI), Gleason sum 7, or 8-10
or node-positive disease. RESULTS: In all, 275 patients (39.2%) met the NICE low
risk criteria, but pathologically advanced disease was found in 37.2% of this
group. There was ECE in 71 patients (25.8%), 10 had SVI (3.6%), nine (3.3%) had
Gleason score 7(4 + 3), and 12 had Gleason sum 8-10 (4.4%). CONCLUSIONS: The NICE
guidance was developed largely on data from North America where populations are
highly screened using PSA testing. In the UK, many men with low-risk disease
features have high-risk disease and the general applicability of the NICE
guidance is questionable in unscreened populations. We recommend that radical
therapy is discussed as an alternative option to active surveillance.
PMID- 22077730
TI - Knowledge about patients' rights among professionals in public health care in
Finland.
AB - BACKGROUND: The legal rights of patients include civil rights for patients and
the duties of healthcare professionals. Knowledge of legislation and the ability
to put juridical norms into practice are among the main aspects of professionals.
AIM: The aim of the study was to describe the level of healthcare professionals'
knowledge about patients' legal rights and to describe background variables
associated with the knowledge. The legal rights are based on the Finnish Act on
the Status and Rights of Patients. METHOD: The data were collected from
healthcare professionals (nurses and physicians) in public health care in Finland
(recruited N = 290, responded n = 191) with a questionnaire designed for the
study. The response rate was 66%. The data were analysed statistically. RESULTS:
Healthcare professionals were partially familiar with patients' legal rights. The
right to good health care, treatment and access to care and right to self
determination were the best-known areas. The respondents lacked knowledge on the
right to information and the right to use the services of patient ombudsman.
Based on self-evaluation, half of the respondents thought that they had weak
knowledge of the legislation on patients' rights. However, they perceived
knowledge about patients' rights as being important. There was no correlation
between respondents' self-evaluated knowledge and actual scoring on the knowledge
test. CONCLUSION: These results implicate a need for further education aimed at
healthcare professionals and development of professional training about patients'
legal rights.
PMID- 22077731
TI - A primer for biomedical scientists on how to execute model II linear regression
analysis.
AB - 1. There are two very different ways of executing linear regression analysis. One
is Model I, when the x-values are fixed by the experimenter. The other is Model
II, in which the x-values are free to vary and are subject to error. 2. I have
received numerous complaints from biomedical scientists that they have great
difficulty in executing Model II linear regression analysis. This may explain the
results of a Google Scholar search, which showed that the authors of articles in
journals of physiology, pharmacology and biochemistry rarely use Model II
regression analysis. 3. I repeat my previous arguments in favour of using least
products linear regression analysis for Model II regressions. I review three
methods for executing ordinary least products (OLP) and weighted least products
(WLP) regression analysis: (i) scientific calculator and/or computer spreadsheet;
(ii) specific purpose computer programs; and (iii) general purpose computer
programs. 4. Using a scientific calculator and/or computer spreadsheet, it is
easy to obtain correct values for OLP slope and intercept, but the corresponding
95% confidence intervals (CI) are inaccurate. 5. Using specific purpose computer
programs, the freeware computer program smatr gives the correct OLP regression
coefficients and obtains 95% CI by bootstrapping. In addition, smatr can be used
to compare the slopes of OLP lines. 6. When using general purpose computer
programs, I recommend the commercial programs systat and Statistica for those who
regularly undertake linear regression analysis and I give step-by-step
instructions in the Supplementary Information as to how to use loss functions.
PMID- 22077732
TI - Population policies in socialist countries of Europe.
AB - Abstract The emergence, in the mid-sixties, of policies aimed at counteracting
rapid fertility decline in some socialist countries of Europe is discussed in the
paper. Following a summary of recent population trends and policies in nine
European socialist countries, and brief comments on ideological and theoretical
considerations, factors relevant to policy decision are discussed. Population
policies aiming at encouraging fertility exist in five countries, viz. German
Demographic Republic, Romania, Bulgaria, Hungary and Czechoslovakia. Recent
developments appear to include attempts to stimulate third births with measures
aiming to improve economic conditions of large families, the status of women,
education and restriction of induced abortion.
PMID- 22077733
TI - Vital rates in India 1961-71 estimated from 1971 census data.
AB - Abstract In the last decade the increase in the population of India, while, of
course, very large, was smaller than predicted by official forecasts. With the
use of recent census and sample registration data - in the absence of age
specific rates and adequate vital statistics - this paper provides estimates of
fertility and mortality through the reverse-survival and forward-projection
methods. Birth rates are estimated as 40.5-42, death rates as 18-20, and life
expectancy at birth as 45-46 years. Mortality decline had been smaller than
forecast but more than during any comparable period in the past, even though
current mortality levels, particularly infant mortality, are still high. Males
continue to have a longer life expectation than females, with a difference that
has widened in the past decade. The decline of between seven and ten per cent in
the crude birth rate is largely due to changes in marital fertility and to some
extent to changes in age and marital composition. Because of greater decline in
death rates than birth rates, the 1961-71 decade shows a higher rate of
population growth than previous periods.
PMID- 22077734
TI - Regional trends in fertility and mortality in Brazil.
AB - Abstract Questions asked in the 1970 Brazilian census allow the application of
fertility and childhood mortality techniques developed by W. Brass. Using some
propositions based on fertility estimates from the 1970 census data it was
possible to extend the analysis to the 1940, 1950 and 1960 censuses. Estimates
are also provided for ten Brazilian regions, for 1940, 1950 and 1970. These
estimates show a slight decrease in the fertility level for the country as a
whole, but two different trends at regional levels. Between 1940-50 and 1960-70
the poorer regions experienced constant or increasing fertility levels while
developed regions experienced declining ones, with only one exception. The
mortality estimates indicate a consistent decline in the mortality level of all
regions, but also a divergent trend between poor and developed regions, in life
expectancies at birth. This work is a summarized version of Chapters II, III and
IV of my Ph.D. thesis written under the supervision of Professor D. V. Glass and
Mr J. Hobcraft at the University of London. I am most grateful to my supervisors
as well as to Professor W. Brass for valuable comments on several aspects of the
thesis. While carrying out this study, the author was supported by grants from
the Federal University of Minas Gerais, Brazil, and the Ford Foundation.
PMID- 22077735
TI - Demographic aspects of fertility in Thailand.
AB - Abstract Data from a national rural and urban sample survey are analysed in
order to examine various demographic aspects of fertility in Thailand. Marital
fertility rates found for Thailand are among the highest in Asia. Particularly
noteworthy is the persistence of high fertility at older ages of childbearing for
rural women. Cumulative fertility shows a pronounced relationship with age at
marriage and current marital status. Women who marry at an older age or who
experience disruption of their marriages are clearly more likely to have fewer
children ever born. Differences in both current and cumulative fertility are
strongly associated with residence. Rural women who constitute the vast majority
of Thai women, experience the highest fertility, Bangkok-Thonburi women
experience the lowest fertility and provincial urban women are characterized by
an intermediate fertility level which is closer, however, to the experience of
their counterparts in the capital than in the countryside. Rural-urban fertility
differences are mitigated but by no means eliminated by differences in infant
mortality. In both rural and urban areas a positive association between
cumulative fertility and infant morality is evident. Breast-feeding, commonly
practised for extended periods-among both rural and urban Thai women, undoubtedly
serves to some extent as an intervening variable in this relationship. A
comparison of current fertility with cumulative fertility strongly suggests that
a decline in marital fertility has been under way recently among urban women,
especially those residing in the capital, but not at all among rural women.
Although it seems safe to assume that the urban fertility decline results in
large part from an increasing use of contraception among urban women, those still
in the reproductive ages who were using or had previously used birth control were
characterized by higher cumulative fertility than women who had never practised
contraception. Evidently couples resort to family planning only late in the
family building process after they have already achieved or exceeded the number
of children they wish to have.
PMID- 22077736
TI - Fertility and number of partnerships in Barbados.
AB - Abstract This paper examines the relationship between the number of
partnerships ever engaged in and fertility, as measured by the average number of
live births. It was found that the larger the number of partnerships in which a
woman had been engaged the higher is her fertility. This relationship between
partnerships and fertility remains even when such variables as present age, age
at first partnership, age at first pregnancy, time lost between unions, time
spent in partnerships, time since entry into the first partnership, type of
sexual union at first pregnancy, present type of sexual union, and current use or
non-use of contraceptives are controlled by cross tabulation. Correlation
analysis also bears out the positive relationship between partnerships and
fertility. The data for this study came from a sample survey of 4,199 women of
lower, and lower middle, socio-economic status who were interviewed in 1971 on
the island of Barbados. The authors have confidence in the reliability and
validity of their data and hence in their findings and conclusions. The authors
believe that their findings contradict the previously established positive
relationship between patterns of stability of sexual unions and fertility in
English-speaking Caribbean societies. They conclude that the relationship was
either not rigorously examined in the past or else has undergone changes as these
societies modernize economically and socially.
PMID- 22077737
TI - The effect of an additional birth on low-income urban families.
AB - Abstract The effects of the birth of an additional child to families living in
poverty areas of New York City are studied in this paper. Surveys conducted by
the National Opinion Research Center in 1965 and 1967 provided the data in a
panel of parous or married women of childbearing age. Control ling for the number
of children in the family in 1965, the non-occurrence of an additional birth in
the following two years was found to have a significant effect on current income,
savings, reliance on public assistance, general ability to plan and organize
one's household, and wife's employment. No significant effects were found with
respect to possession of consumer durables or attending a school or training
course. While many claims have been made about the beneficial effects of family
planning on family welfare, this study is among a very small number where such
effects are empirically documented.
PMID- 22077738
TI - Systematic and chance components in fertility measurement.
AB - Abstract A Monte Carlo simulation model of fertility is developed to
incorporate a decline in fecundability with age of women as well as a variation
between women, and a family planning strategy in which couples prefer certain
sizes of families and seek to lengthen the last planned birth interval. In the
simulation of family planning and non-family planning populations, segmental
parity tables are computed. These are tables in which the numbers of women in the
columns representing the completed family sizes are sub-divided by rows
representing the sizes of the families for shorter durations of marriage. The
couples' success in their plans, under various conditions, and the rapidity with
which family sizes stabilize, are among the results obtained from the simulation.
The application of the tables to the estimation of family size preferences from
observed family size distributions is also discussed.
PMID- 22077739
TI - Risk, uncertainty and family formation: The social context of poverty groups.
AB - Abstract Despite the assumptions of many demographers that the net returns from
children for non-elite groups in underdeveloped areas are likely to be negative,
high fertility persists in most of these societies. Both cultural and
institutional explanations have been proposed to account for this. We attempt to
provide some mediation between these competing models, by introducing the
elements of risk and uncertainty as factors likely to induce poverty and lead
works to opt for the 'high fertility, low quality' pattern of investment of time
and money in family formation. Drawing upon the work of Wharton in subsistence
agriculture practices, several alternative decision-making models are proposed to
account for both the persistence of high fertility, and the declines that have
been registered in selected areas, such as Taiwan and perhaps China. The
empirical work of Mueller on Taiwanese expectations of returns on children and
the concomitant variation in family-size preferences is consistent with the
models proposed. Some implications for uncertainty and risk-reduction strategies
in family planning and other social welfare programmes are drawn.
PMID- 22077742
TI - Effect of communication style and physician-family relationships on satisfaction
with pediatric chronic disease care.
AB - Over 8% of children have a chronic disease and many are unable to adhere to
treatment. Satisfaction with chronic disease care can impact adherence. We
examine how visit satisfaction is associated with physician communication style
and ongoing physician-family relationships. We collected surveys and visit videos
for 75 children ages 9-16 years visiting for asthma, diabetes, or sickle cell
disease management. Raters assessed physician communication style (friendliness,
interest, responsiveness, and dominance) from visit videos. Quality of the
ongoing relationship was measured with four survey items (parent-physician
relationship, child-physician relationship, comfort asking questions, and trust
in the physician), while a single item assessed satisfaction. Correlations and
chi square were used to assess association of satisfaction with communication
style or quality of the ongoing relationship. Satisfaction was positively
associated with physician to parent (p < 0.05) friendliness. Satisfaction was
also associated with the quality of the ongoing parent-physician (p < 0.001) and
child-physician relationships (p < 0.05), comfort asking questions (p < 0.001),
and trust (p < 0.01). This shows that both the communication style and the
quality of the ongoing relationship contribute to pediatric chronic disease visit
satisfaction.
PMID- 22077743
TI - A genome-wide phylogenetic reconstruction of family 1 UDP-glycosyltransferases
revealed the expansion of the family during the adaptation of plants to life on
land.
AB - For almost a decade, our knowledge on the organisation of the family 1 UDP
glycosyltransferases (UGTs) has been limited to the model plant A. thaliana. The
availability of other plant genomes represents an opportunity to obtain a broader
view of the family in terms of evolution and organisation. Family 1 UGTs are
known to glycosylate several classes of plant secondary metabolites. A phylogeny
reconstruction study was performed to get an insight into the evolution of this
multigene family during the adaptation of plants to life on land. The
organisation of the UGTs in the different organisms was also investigated. More
than 1500 putative UGTs were identified in 12 fully sequenced and assembled plant
genomes based on the highly conserved PSPG motif. Analyses by maximum likelihood
(ML) method were performed to reconstruct the phylogenetic relationships existing
between the sequences. The results of this study clearly show that the UGT family
expanded during the transition from algae to vascular plants and that in higher
plants the clustering of UGTs into phylogenetic groups appears to be conserved,
although gene loss and gene gain events seem to have occurred in certain
lineages. Interestingly, two new phylogenetic groups, named O and P, that are not
present in A. thaliana were discovered.
PMID- 22077744
TI - Editorial: Preventing suicide by using consumer peer specialists.
PMID- 22077745
TI - Managing risk: clinical decision-making in mental health services.
AB - Risk assessment and management is a major component of contemporary mental health
practice. Risk assessment in health care exists within contemporary perspectives
of management and risk aversive practices in health care. This has led to much
discussion about the best approach to assessing possible risks posed by people
with mental health problems. In addition, researchers and commentators have
expressed concern that clinical practice is being dominated by managerial models
of risk management at the expense of meeting the patient's health and social care
needs. The purpose of the present study is to investigate the risk assessment
practices of a multidisciplinary mental health service. Findings indicate that
mental health professionals draw on both managerial and therapeutic approaches to
risk management, integrating these approaches into their clinical practice.
Rather than being dominated by managerial concerns regarding risk, the
participants demonstrate professional autonomy and concern for the needs of their
clients.
PMID- 22077746
TI - Obstetric and neonatology nurses' attitudes, beliefs, and practices related to
the management of symptoms of maternal depression.
AB - Although maternal depression is a common emotional disorder, assessment and
intervention rates are low. Using a cross-sectional survey design, we examined
attitudes, beliefs, and practices of obstetrical and neonatology nurses toward
the management of symptoms of maternal depression (SMD). Nurses believed they
were responsible to assess for SMD and intervene with women showing SMD. However,
only 50% assessed for SMD at least once monthly. Identified barriers to care
were: lack of time, training, language, and patient and family beliefs. NICU
nurses reported lower confidence, knowledge, and skills, than other nurses who
participated in this study. This study shows there is a need to enhance nurses?
confidence in assessing and intervening with SMD, and to clarify the referral
process.
PMID- 22077747
TI - Becoming a psychiatric/mental health nurse in the UK: a qualitative study
exploring processes of identity formation.
AB - Identity studies are well established across the social science literature with
mental health nursing beginning to offer evidenced insights into what may, or may
not, constitute key identity performances. For mental health nursing these
performances remain contested, both from within the profession and from
international contexts that favour generic constructions of mental health. This
paper offers findings from a qualitative study that focused upon the process of
how mental health nursing identity development is influenced, rather than what
that identity may or may not be. These findings highlight that mental health
nurses (MHNs) not only form their identity around service user centred education
and training, but that many also use the education as a means to leave the
profession. Through highlighting the impact of informal education (i.e., through
work), formal education, and training upon the formation of mental health nursing
identity, nurses are potentially alerted to the importance of clinically focussed
mental health being prominent within curricula, rewarding mental health nursing
skills specialisation, and the importance of the role of the service user in
mental health nurse education and, hence, identity formation.
PMID- 22077749
TI - A psychometric analysis of the functional social support questionnaire in low
income pregnant women.
AB - The purpose of this study was to examine the psychometric properties of the
Functional Social Support Questionnaire (FSSQ) in pregnant women. A secondary
analysis of data from 186 low-income pregnant women in their third trimester was
conducted to assess the internal consistency reliability, dimensionality, and
concurrent validity of the FSSQ. Cronbach's alpha was .83. Factor analysis
supported the unidimensionality of the FSSQ. Correlations with the Interpersonal
Support Evaluation List (ISEL) and the Center for Epidemiologic Studies
Depression Scale (CES-D) supported concurrent and construct validity. This
secondary analysis provided evidence of the psychometric soundness of the FSSQ in
this sample.
PMID- 22077748
TI - Depressive symptoms in extended-care employees: children, social support, and
work-family conditions.
AB - To examine the relation between having a child aged 18 years and under in the
home and employee depressive symptoms, we analyzed cross-sectional data from four
extended care facilities in Boston, MA (n = 376 employees). Results show that
having a child is associated with slightly higher depressive symptoms. The
strength of this relationship in our models is attenuated with the inclusion of
social support at home (beta = 1.08 and beta = 0.85, with and without support,
respectively) and may differ by gender. We recommend that future research examine
the role of parenting and social support in predicting employee mental health.
PMID- 22077750
TI - Knowledge and attitudes of Jordanian nurses towards patients with HIV/AIDS:
findings from a nationwide survey.
AB - This study explored the knowledge and attitudes of Jordanian nurses toward
patients with HIV/AIDS, particularly in regards to their sources of information
and education. This survey utilized a cross-sectional design. A self-administered
questionnaire developed by Eckstein was used in collecting the data. A total of
922 nurses completed the questionnaire. Overall, Jordanian nurses expressed
negative attitudes toward patients with HIV/AIDS, and their level of HIV/AIDS
knowledge was weak. Weak knowledge level was recorded among nurses in the
following subsections: agent and immunology; course and manifestation;
transmission and incidence; and precaution and prevention. Only in one subsection
(risk group), did nurses show a good level of knowledge about HIV/AIDS. More than
two-thirds of nurses (84%) refused to provide care to patients who tested
positive for HIV/AIDS. Most of the nurse participants believed that currently
provided HIV/AIDS information resources were inadequate (81.4 %). The majority of
nurses were interested in support groups for staff nurses (96.5%). The major
source of HIV/AIDS information obtained by Jordanian nurses was through Internet
web sites (52.7%). The majority of nurses (96.2%) ranked their fear of getting
AIDS from their nursing practice as overwhelming. The total attitude of
participants towards patients with HIV/AIDS in all five subsections (i.e., fear
of contagion, social stigma, fatal outcome of the disease, direct care, and
education and counseling) was negative (84.3%). Accurate knowledge about HIV/AIDS
along with an in-depth understanding of patients? needs can help alleviate much
of the fear, anxiety, and stigma associated with caring for patients with
HIV/AIDS.
PMID- 22077751
TI - Examining the efficacy of no-suicide contracts in inpatient psychiatric settings:
implications for psychiatric nursing.
AB - Verbal and written no-suicide contracts are commonly practiced by psychiatric
nurses in inpatient psychiatric facilities. However, evidence is sparse regarding
whether no-suicide contracts are effective tools for maintaining patient safety.
The purpose of this paper is to examine the use of the verbal and written no
suicide contracts, discuss the resulting implications for psychiatric nurses on
inpatient units, and suggest alternative clinical practices for inpatient
psychiatric nurses to utilize to increase patient safety.
PMID- 22077752
TI - Heartbreak and physical pain linked in brain.
PMID- 22077756
TI - Cerebellar haemorrhage in the extremely preterm infant.
AB - AIM: The aim of this study was to investigate the incidence, risk factors and
developmental outcomes of cerebellar haemorrhage in the extremely preterm infant.
METHODS: Over a 4-year period from January 2004, all patients with cerebellar
haemorrhage born at 24-27 weeks gestation or with a birthweight < 1000 g were
identified from database review. All patients had cranial ultrasound scans
including views from the mastoid fontanelle. To verify the incidence, a review of
all reports over the 4-year period and a review of all cranial imaging over a 2
year period were carried out. RESULTS: From the data analysed on 339 neonates,
five cases of cerebellar haemorrhage were identified, four on ultrasound scan
(1.2%) and one on magnetic resonance imaging (1.5% total). Two cases were
associated with grade III peri-intraventricular haemorrhage. The cases had
diverse maternal, antenatal and post-natal risk factors. The two with associated
peri-intraventricular haemorrhage have developmental delay. The cases with
isolated cerebellar haemorrhage had good neurodevelopmental outcomes.
CONCLUSIONS: A low incidence of cerebellar haemorrhage identified from the
mastoid fontanelle was demonstrated. The neurodevelopmental outcome was better
than that described in previous reports.
PMID- 22077757
TI - Surface plasmon-enhanced nanopillar photodetectors.
AB - We demonstrate nanopillar-(NP) based plasmon-enhanced photodetectors (NP-PEPDs)
operating in the near-infrared spectral regime. A novel fabrication technique
produces subwavelength elongated nanoholes in a metal surface self-aligned to
patterned NP arrays that acts as a 2D plasmonic crystal. Surface plasmon
Polariton Bloch waves (SPP-BWs) are excited by the metal nanohole array resulting
in electric field intensity "hot spots" in the NP. The NP periodicity determines
the peak responsivity wavelength while the nanohole asymmetry produces
polarization-dependent coupling of the SPP-BW modes. Resulting photodetectors
have 0.28 A/W responsivity peaked at 1100 nm at a reverse bias of -5 V. Designs
for further increasing the optical coupling efficiency into the nanopillar are
explored. This technology has potential applications for plasmonically enhanced
focal plane arrays and plasmonic photovoltaics.
PMID- 22077759
TI - The effect of facial expression and gaze direction on memory for unfamiliar
faces.
AB - We report data from an experiment that investigated the influence of gaze
direction and facial expression on face memory. Participants were shown a set of
unfamiliar faces with either happy or angry facial expressions, which were either
gazing straight ahead or had their gaze averted to one side. Memory for faces
that were initially shown with angry expressions was found to be poorer when
these faces had averted as opposed to direct gaze, whereas memory for individuals
shown with happy faces was unaffected by gaze direction. We suggest that memory
for another individual's face partly depends on an evaluation of the behavioural
intention of that individual.
PMID- 22077758
TI - Effect of saliva viscosity on the co-aggregation between oral streptococci and
Actinomyces naeslundii.
AB - BACKGROUND: The co-aggregation of oral bacteria leads to their clearance from the
oral cavity. Poor oral hygiene and high saliva viscosity are common amongst the
elderly; thus, they frequently suffer from pneumonia caused by the aspiration of
oral microorganisms. OBJECTIVES: To examine the direct effect of saliva viscosity
on the co-aggregation of oral streptococci with actinomyces. MATERIALS AND
METHODS: Fifteen oral streptococcal and a single actinomyces strain were used. Co
aggregation was assessed by a visual assay in phosphate buffer and a
spectrophotometric assay in the same buffer containing 0-60% glycerol or whole
saliva. RESULTS: Nine oral streptococci co-aggregated with Actinomyces naeslundii
ATCC12104 in the visual assay and were subsequently used for the
spectrophotometric analysis. All tested strains displayed a decrease in co
aggregation with increasing amounts of glycerol in the buffer. The co-aggregation
of Streptococcus oralis with A. naeslundii recovered to baseline level following
the removal of glycerol. The per cent co-aggregation of S. oralis with A.
naeslundii was significantly correlated with the viscosity in unstimulated and
stimulated whole saliva samples (correlation coefficients: -0.52 and -0.48,
respectively). CONCLUSION: This study suggests that saliva viscosity affects the
co-aggregation of oral streptococci with actinomyces and that bacterial co
aggregation decreases with increasing saliva viscosity.
PMID- 22077760
TI - An overview of swine influenza.
AB - Summary Swine influenza is a highly infectious viral disease of pigs, causing
considerable economic impact. The causative agent is known as a type A
orthomyxovirus with a segmented RNA genome. Influenza type A virus is a highly
contagious pathogen among a limited number of birds and mammals. The objective of
this review is to summarize the current knowledge in swine influenza infection in
pigs with emphasizing on epidemiology, pathogenesis, diagnostic techniques and
control measures.
PMID- 22077761
TI - First chronic wasting disease (CWD) surveillance of roe deer (Capreolus
capreolus) in the Northern part of Belgium.
AB - Summary Cases of chronic wasting disease (CWD) in wild cervids have yet not
been reported in Europe, Whereas the disease is considered enzootic in free
ranging mule deer, Rocky mountain elk and White-tailed deer in the area of
Colorado, Wyoming, and Nebraska. New foci of CWD continue to be detected in other
parts of the United States. However, no large-scale active epidemiosurveillance
of European wild cervids is yet installed in Europe. In accordance with the
opinion of the European Scientific Steering Conunittee, a preliminary (active)
surveillance scheme was installed, in order to Improve the knowledge of the CWD
status of wild cervids (roe deer) in the Northern part of Belgium. Spleen samples
(n = 206) and brain samples (n = 222) of roe deer collected in the Northern part
of Belgium, were examined for CWD using the antigen-capture enzyme-linked
immunoassay (EIA) of IDEXX. Afterwards, the EIA was systematically confirmed by
immunohistochemistry using three antibodies, namely R524, 2G11 and 12F10. There
were no indications on the occurrence of TSE in any of the samples. A Bayesian
framework was used for the estimation of the true prevalence of CWD in the
Northern part of Belgium that was estimated to have a median value of zero with a
95(th) percentile value of 0.0049 and 0.0045 for spleen and brain samples
respectively.
PMID- 22077762
TI - Borna Disease Virus (BDV) infection in cats a concise review based on current
knowledge.
AB - Summary Persistent viral infections of the central nervous system have been the
subject of intense interest for decades. One of these viral agents has been
identified as Borna disease virus (BDV) of the family Bornaviridae. There have
been various reports that link BDV to staggering disease in cats, with symptoms
that include ataxia and behavioural disorders, and the disease is often referred
to as feline Borna disease. Serological and molecular detection of BDV has been
reported at a higher prevalence in cats with neurological disorders in comparison
to healthy cats. The transmission route(s) of BDV remain largely unknown, and the
hypothesis that BDV is a zoonotic agent is yet to be proven. This review
summarises the current knowledge on BDV infection in cats and discusses
epidemiological aspects of infection.
PMID- 22077763
TI - Reviews on animal diseases recently published in other journals.
PMID- 22077765
TI - Protein-protein binding sites prediction by 3D structural similarities.
AB - Identifying the location of binding sites on proteins is of fundamental
importance for a wide range of applications including molecular docking, de novo
drug design, structure identification, and comparison of functional sites. In
this paper, we develop an efficient approach for finding binding sites between
proteins. Our approach consists of four steps: local sequence alignment, protein
surface detection, 3D structure comparison, and candidate binding site selection.
A comparison of our method with the LSA algorithm shows that the binding sites
predicted by our method are somewhat closer to the actual binding sites in the
protein-protein complexes. The software package is available at
http://sites.google.com/site/guofeics/pro-bs for noncommercial use.
PMID- 22077766
TI - Resolution and quantification of complex mixtures of polycyclic aromatic
hydrocarbons in heavy fuel oil sample by means of GC * GC-TOFMS combined to
multivariate curve resolution.
AB - Comprehensive two-dimensional gas chromatography time-of-flight mass spectrometry
(GC * GC-TOFMS) combined to multivariate curve resolution-alternating least
squares (MCR-ALS) is proposed for the resolution and quantification of very
complex mixtures of compounds such as polycyclic aromatic hydrocarbons (PAHs) in
heavy fuel oil (HFO). Different GC * GC-TOFMS data slices acquired during the
analysis of HFO samples and PAH standards were simultaneously analyzed using the
MCR-ALS method to resolve the pure component elution profiles in the two
chromatographic dimensions as well as their pure mass spectra. Outstandingly,
retention time shifts within and between GC * GC runs were not affecting the
results obtained using the proposed strategy and proper resolution of strongly
coeluted compounds, baseline and background contributions was achieved.
Calibration curves built up with standard samples of PAHs allowed the
quantification of ten of them in HFO aromatic fractions. Relative errors in their
estimated concentrations were in all cases below 6%. The obtained results were
compared to those obtained by commercial software provided with GC * GC-TOFMS
instruments and to Parallel Factor Analysis (PARAFAC). Inspection of these
results showed improvement in terms of data fitting, elution process description,
concentration relative errors and relative standard deviations.
PMID- 22077767
TI - Cerebellar contribution to cognitive, emotional, and behavioural functions in
children with cerebellar abnormalities.
PMID- 22077768
TI - Gabapentin enacarbil for the treatment of restless legs syndrome (RLS).
AB - INTRODUCTION: Gabapentin enacarbil is a new treatment for restless legs syndrome
(RLS). It is a prodrug of the anticonvulsant gabapentin. However, unlike
gabapentin, gabapentin enacarbil does not demonstrate saturable absorption. This
allows for once-daily dosing and less variability in serum levels. AREAS COVERED:
This review focuses on therapies used to treat RLS, both historical and recent.
Data from available trials are summarized, with a particular focus on the
efficacy and safety of gabapentin enacarbil. Potential advantages and
disadvantages of this therapy in comparison with other RLS treatment modalities
are discussed. EXPERT OPINION: Placebo-controlled trials of gabapentin enacarbil
demonstrate considerable efficacy in the treatment of RLS. However, head-to-head
trials comparing gabapentin enacarbil with other medications used in the
treatment of RLS, including gabapentin, are lacking. Potential advantages with
gabapentin enacarbil related to its pharmacokinetic profile are thus difficult to
ascertain. Efficacy of gabapentin enacarbil appears comparable with that of the
dopamine agonists, long considered the therapy of choice in patients with RLS.
Given the lack of direct-comparison trials, and the significant cost differential
of gabapentin enacarbil versus established therapies, the drug is likely to be
used for patients who have failed other medication trials, or those who
experience prolonged symptoms and prefer once-daily dosing.
PMID- 22077769
TI - Pyrophthalones as blue wavelength absorbers in thermoplastic media.
AB - We have explored the utility of pyrophthalones as violet-blue light filtering
dyes in polymer matrices for wavelengths below 450 nm. Further, we have
investigated the photodegradation of these molecules in thermoplastic media and
the mechanisms behind their degradation. Finally, a range of additives have been
explored to improve the photostability of these molecules to achieve the desired
performance.
PMID- 22077770
TI - Formal synthesis of berkelic acid: a lesson in alpha-alkylation chemistry.
AB - The full details of our enantioselective formal synthesis of the biologically
active natural product berkelic acid are described. The insertion of the C-18
methyl group proved challenging, with three different approaches investigated to
install the correct stereochemistry. Our initial Horner-Wadsworth-Emmons/oxa
Michael approach to the berkelic acid core proved unsuccessful upon translation
to the natural product itself. However, addition of a silyl enol ether to an
oxonium ion, followed by a one-pot debenzylation/spiroketalisation/thermodynamic
equilibration procedure, afforded the tetracyclic structure of the berkelic acid
core as a single diastereoisomer.
PMID- 22077771
TI - Vagal nerve modulation: a promising new therapeutic approach for cardiovascular
diseases.
AB - The physiological activities of the mammalian heart are regulated by the
autonomic nervous system. An imbalanced autonomic nervous system with increased
sympathetic tone and reduced vagal tone has been implicated in cardiovascular
diseases. Experimental and clinical reports have demonstrated that vagal nerve
activation is able to improve outcomes for multiple cardiovascular diseases, such
as ischaemic heart disease, heart failure, arrhythmia and hypertension. In this
paper, we mainly focus on the potential cardioprotective mechanisms of vagal
nerve activation. Based on the knowledge gained from our experiments and other
published reports, vagal activation results in cardioprotection is not only
associated with heart rate, anti-adrenergic effect but also related to anti
inflammatory activity, regulation of cellular redox states and regulation of
mitochondrial targets. In conclusion, vagal nerve activation may be a promising
new therapeutic approach for the treatment of cardiovascular diseases.
PMID- 22077772
TI - Risks with older adults in acute care settings: UK occupational therapists' and
physiotherapists' perceptions of risks associated with discharge and professional
practice.
AB - Internationally, there is evidence that hospital discharge to home for older
adults is a complex and challenging process that is dependent upon
multidisciplinary team working. At the centre of the discharge process is the
management of risk, which involves occupational therapists and other healthcare
professionals managing perceived dangers and determining why some dangers are
seen as presenting risks while others are not. This study did not aim to explore
interprofessional differences but to ascertain a greater understanding of
professionals' perceptions of risk in acute care settings. This qualitative study
utilised 12 semi-structured interviews with seven occupational therapists and
five physiotherapists in the United Kingdom (UK). During the interview,
therapists were asked to read and answer questions on a validated vignette. The
interview data were subjected to thematic content analysis and the vignettes to
template analysis. Our research is one of the first studies to explore
therapists' perceptions of risk with older adults in acute care settings. Our
study has highlighted that perception of risk does have an impact on discharge
decision-making and location. Therapists used negative terminology to refer to
patients who wanted to take risks, which could be a reflection of the therapists'
anxiety. Mental capacity, and patients' functioning and safety were key factors
in risk decision-making with older adults. Our research has highlighted the
potential value of multidisciplinary working to manage risk situations and the
need for reflection and discussion regarding how persons who do not have capacity
wishes are managed within acute care settings. There is a need to develop an
interprofessional care pathway to guide clinicians through the risk decision
making process which needs to ensure that the client's opinions and wishes are
taken into account throughout.
PMID- 22077773
TI - Recent fertility in Mexico: measurement and interpretation.
AB - Summary Mexican fertility has remained at a high level (a crude birth rate of
42-46) in spite of rapid economic development and its concomitants: rising levels
of urbanization, education, income, and female labour force participation, and
falling levels of infant mortality and agricultural population, combined with
rural-urban migration. Data on child-woman ratios and children-ever-born
statistics, for Mexico and each state, suggest that the constant crude birth rate
is not masking age or region-specific declines in fertility. Cross-section
regressions are employed in an attempt to explain Mexico's paradoxical fertility
behaviour. Using measures of income, education, urbanization, occupational
status, industrial composition, labour force participation, and the sex ratio, in
a weighted log-linear form, a large portion of the variation in state adjusted
child-woman ratios is explained by the 'demographic transition' variables. The
only two which might possibly explain the trend in Mexican fertility are the
income variable and the sex ratio, which have positive influences on Mexican
fertility in 1960 and 1970.
PMID- 22077774
TI - The fertility of the asian community of East Africa.
AB - Summary Fertility indices of one sort or another can be calculated for the
Asian populations of Uganda and Kenya back to 1931. These indices suggest that
fertility has fallen sharply during the 1950s and 1960s. Considerable problems
are experienced in trying to determine the actual level of fertility, however,
since all the techniques developed to deal with defective data are so affected by
the falling fertility and migratory movements of the population, as to be
virtually useless. An analysis of the causes of the fertility decline suggests
that both changes in marriage patterns and changes in fertility within marriage
have contributed to the fall, and that these changes have come about as a result
of the better education and economic opportunities available to the Asian
community.
PMID- 22077775
TI - Children as by-products, investment goods and consumer goods: A Review of some
micro-economic models of fertility.
AB - Summary This paper is a review of a number of applications of traditional micro
economics to the analysis of fertility. In this paper four general models of
family size are developed and utilized for classifying previous work on the micro
economic analysis of fertility. The general models describe four family
decision-making situations. The outcome of these decisions determines fertility
either directly or indirectly. In the first model, parents are forced to choose
between sexual activity and a higher standard of living. Children are the by
products of the amount of sexual activity chosen. In the second model, children
are an investment good and family size is determined by the choice between
current and future consumption. The third and fourth models depict situations in
which children are considered to be desirable in themselves, that is, they are
consumption goods.
PMID- 22077777
TI - Some principles of cost-benefit analysis of family planning services in
developing countries.
AB - Summary A number of controversial issues are discussed, relating to the
assessment of both costs and benefits of family planning services. All costs and
benefits, whether accruing to society or the parents of the child whose birth is
averted, and the child itself, should be included in the analysis, even if they
cannot easily be measured or appear as externalities. Different rates of interest
to be used in discounting to obtain present values apply to various items; these
rates may vary between the commercial rates of interest and negative values. It
is also shown that the costs of running a service include, in addition to current
expenditure, not only basic investments, but also that the benefit accruing to
society by averting births comprises a kind of investment, namely the amount
spent on the subsistence of the child whose birth is averted before he would have
started producing. Marginal values are to be preferred to average values for the
calculation of costs and benefits. Thus, the costs of a family planning service
should be expressed as the amount of money needed to avert one additional birth
and the benefits as the amount saved by one additional averted birth. The latter
cannot be measured by comparing the GNP per head when the birth is averted, with
the situation when it is not. This can only be done by computing the excess of
the child's life-time consumption over his life-time production.
PMID- 22077776
TI - The influence of human fertility on the economic conditions of the rural
population in poland.
AB - Summary In 1970, Polish women in agricultural households reported larger
numbers of children born alive than women in other occupations. When controlling
for the influence of age at marriage and duration of marriage, the average number
of children born per women among the farming population increased directly with
the amount of privately owned agricultural land. Consistently, women whose
principal source of livelihood was derived from non-agricultural sources even
though they resided in villages, showed lower fertility than those in the
agricultural sector, but significantly higher fertility than city women. This
study is based on the five per cent sample of ever-married women between 15 and
70 years of age interviewed about their past reproductive histories in Poland
during the 1970 Census of Population.The conclusions identify the high level of
human fertility in rural populations as the main determinant of economic status
among Polish peasants whose sources of support derive primarily from farming.
Additional evidence supporting the hypothesis of dependence of the agricultural
economy on the force of human reproduction is based on data for Slovakia and the
Czech regions.
PMID- 22077778
TI - Migration and fertility in Ticino.
AB - Summary Migration in the Swiss canton of Ticino is one example of the wide
variety of demographic systems that existed in pre-industrial Europe. The
continuous movement of men was a consequence of economic, social and geographic
conditions which restricted the demand for labour. Seasonal migration and
overseas migration were both sex and age selective. They resulted in an imbalance
of the sex ratio and a remarkably low female nuptiality. They also reduced
fertility within marriage by separating husbands and wives during their
childbearing years. The effect of long, medium and short-term migration on
fertility can be isolated from census and vital registration sources.
PMID- 22077779
TI - Population dynamics and drought: A village in Niger.
AB - Summary The paper reports the results of demographic research in a rural
village of about 1500 Hausaspeaking farmers in southern Niger, during the winter
of 1973-74. The research site lies at the heart of the Sahel-sudanic zone just to
the south of the Sahara, where drought, and in some areas, famine have exacted a
heavy human, animal, and economic toll since 1968. The study was designed to
measure and explain the change in the size and structure of the population during
the years 1969-73. Social anthropological field techniques were used to ensure
full and accurate reporting by community residents on all census topics. Data on
rainfall and crop yields, on health and sanitary conditions, and on the political
economy, social organization, and culture of the village were gathered in order
to interpret the demographic situation The analysis of this data yields the
following conclusions: 1. The population of the village appears younger (mean
age: 15 years) and growing faster (mean doubling time: 23 years) than reported
for Niger as a whole in 1972. 2. Contrary to what the researchers expected,
the crude death rate, while relatively high to begin with, actually declined
during the drought period (mean: 14.81); the crude birth rate remained very high
(mean: 46.01), and the crude rate of increase rose from 1969 to 1973. 3.
There was virtually no family out-migration from the target village during the
drought, although the number of adult males participating in seasonal migrations
to large West African towns rose from 35 per cent in 1969-70 to 75 per cent in
1973-74. 4. Problems of food production and distribution were acute, but
thanks to the availability of donated foods, these were sufficiently short-lived
during this drought cycle to make no discernible impact on population, although
prolonged protein/calorie malnutrition among the very young may affect future
fecundity.
PMID- 22077780
TI - Child survival and intervals between pregnancies in Guayaquil, Ecuador.
AB - Summary Intervals between births to 1934 women from poor areas of Guayaquil,
Ecuador, were subjected to analysis to determine what effect on survival chances
of their children, if any, was exerted by differences in these intervals. The
analysis showed that risks of miscarriage and stillbirth were increased when the
interval between last termination of pregnancy and conception was either very
short or very long. Post-neo-natal mortality was strongly influenced by the
length of the interval, reaching a minimum where the interval was around three
years. The influence of interval length diminished as the level of mortality fell
(with improving health standards over time). The possibility could not be
discarded that for neo-natal mortality and mortality in the second year of life,
extremely short intervals (under three months) carried significant additional
risks. For both periods, intervals longer than three years increased the risk
of infant mortality. Evidence was found that the survival chances of the first
child of a pair were seriously impaired during the first year of life where a
fresh conception supervened during that period (the earlier this happened the
more serious the impairment). An analysis of'double intervals' (not presented
here) showed that the effects of short intervals were exaggerated when two such
intervals succeeded one another. The principle conclusions remained valid when
competing sources of association between short intervals and mortality were
excluded, thus lending plausibility to the view that the two are connected by a
causal chain. While no great precision can be claimed, it seems likely that if
effective measures were taken to prevent the occurrence of pregnancy intervals
shorter than 27 months (corresponding to birth intervals of less than three
years) spontaneous abortions might be reduced by one-third and infant mortality
by one-half in populations similar to that studied here. These results justify a
recommendation that the prescription of contraception for a limited period post
partum in areas of moderate or high neo-natal mortality should become a routine
of responsible obstetric or maternity and child welfare care.
PMID- 22077781
TI - Comments on R. H. Gray's 'The decline in mortality in Ceylon and the demographic
effects of malaria control'.
AB - Abstract With his article 'The Decline in Mortality in Ceylon and the
Demographic Effects of Malaria Control', R. H. Gray has added a new contribution
to the long discussion of the effects of malaria eradication on the abrupt
mortality decline experienced by Ceylon immediately after World War II. He has
used new information and at the same time introduced slight modifications in the
statistical procedures designed to evaluate the validity of the hypothesis.
However, certain aspects of his article need to be clarified; they are related to
the methodology employed and to the theoretical approach used.
PMID- 22077782
TI - A reply to Mr Palloni's comments.
AB - Abstract The protracted and inconclusive debate on the cause of the post-war
mortality decline in Ceylon reflects our ignorance of this complex historical
event and although I am reticent to prolong this already lengthy discussion, I
feel that it is necessary to reply to certain points raised by Mr Palloni. The
object of my paper 'The Decline of Mortality in Ceylon and the Demographic
Effects of Malaria Control'(9) was to re-examine some of the past work on this
subject in order to attempt a synthesis of previous theories, was not, however,
intended to provide a definitive account of all the causal mechanisms underlying
the decline of mortality as it is my view that the data are insufficient for such
an undertaking. In the reappraisal I was mainly concerned with the validity of
Newman's regression model and, as far as the data would permit, an assessment of
Meegama's thesis that there were significant disturbing variables which
confounded the simple regression of mortality decline and malaria prevalence. I
will try first to respond to Mr Palloni's specific substantive points and then go
on to consider the broader question of regression models.
PMID- 22077785
TI - Bovine paratuberculosis: recent advances in vaccine development.
AB - Bovine paratuberculosis is a highly prevalent chronic infection of the small
intestine in cattle, caused by Mycobacterium avium subspecies paratuberculosis.
Current control strategies based on test-and-cull and biosecurity measures do not
suffice in lowering the prevalence of paratuberculosis in an adequate manner.
Therefore, control programmes are in need of an effective vaccine, but at the
moment no vaccine is registered for use in cattle in the European Union. This
review provides a brief overview of the microbiology, epidemiology and immunology
of bovine paratuberculosis, and focuses on recent advances in the development of
vaccines against paratuberculosis.
PMID- 22077786
TI - General application of the National Institute for Health and Clinical Excellence
(NICE) guidance for active surveillance for men with prostate cancer is not
appropriate in unscreened populations.
PMID- 22077787
TI - Leucine-rich repeat kinase 2 (LRRK2) cellular biology: a review of recent
advances in identifying physiological substrates and cellular functions.
AB - Mutations in the leucine-rich repeat kinase 2 (LRRK2) gene are the most common
forms of inheritable Parkinson's disease and likely play a role in sporadic
disease as well. LRRK2 is a large multidomain protein containing two key groups,
a Ras-like GTP binding domain and a serine, threonine kinase domain. Mutations in
the LRRK2 gene that associate with Parkinson's disease reside primarily within
the two functional domains of the protein, suggesting that LRRK2 function is
critical to the pathogenesis of the disease. The most common LRRK2 mutation
increases kinase activity, making LRRK2 kinase inhibition an attractive target
for small molecule drug development. However, the physiological function of LRRK2
kinase as well as its endogenous protein substrates remains poorly understood and
has hindered drug development efforts. Recent advances in LRRK2 biology have
revealed several potential cellular roles, interacting proteins, and putative
physiological substrates. Together, a picture emerges of a complex
multifunctional protein that exists in multiple cellular compartments. Through
unclear mechanisms, LRRK2 kinase regulates cytoskeleton architecture through
control of protein translation, phosphorylation of cytoskeletal proteins, and
response to cellular stressors. This article will briefly cover some interesting
recent studies in LRRK2 cellular biology and highlight emerging cellular models
of LRRK2 kinase function.
PMID- 22077788
TI - Serial developmental assessments in infants with deformational plagiocephaly.
AB - AIM: An association between positional plagiocephaly and developmental problems
has previously been noted, but whether delays persist over time has not been
established. This study aimed to determine developmental outcomes for children
with deformational plagiocephaly over 1 year of follow up. METHODS: This was a
longitudinal cohort study of 126 infants with deformational plagiocephaly
recruited at an outpatient clinic. Development was assessed with the parent
completed Ages and Stages Questionnaires at recruitment and repeated at follow-up
assessments in the home 3, 6 and 12 months later. Questionnaires were scored
according to cut-off scores from the Ages and Stages Questionnaires, Third
Edition. RESULTS: Ninety-six percent of children were followed up for the full 12
months. The existence of one or more delays initially was 30%; this rose to 42%
at the 3-month follow up then dropped back to 23% by the 12-month follow up.
Delays were predominantly in the gross motor domain. Ten percent had > 4 delays
in total over the four assessments. Mothers with tertiary education were more
likely to have infants showing delays that persisted over time. CONCLUSIONS:
Infants with deformational plagiocephaly exhibited marked delays especially in
early infancy. These delays were largely gross motor in type but had reduced to
approach the expected level by the time of the 12-month follow up, at a mean age
of 17 months.
PMID- 22077789
TI - A population-based breast cancer screening programme: conducting a comprehensive
survey to explore adherence determinants.
AB - This research examines several important individual and environmental variables
that can predict breast cancer screening practices among Portuguese women aged 45
69 years old, under a population-based programme. A cross-sectional study was
conducted to a convenience sample with 805 women in Aveiro municipality. Survey
interviews were applied to adherents and non-adherents in two different settings
(health centre, home places). A combination of bivariate (chi-squared test) and
multivariate analysis [decision tree by the chi-squared automatic interaction
detection (CHAID) algorithm] was performed to determine differences between the
groups and predict the variables. Findings showed that there is a significant
association between almost all 29 indicators with adherence and non-adherence.
Younger women (<50 years) with 'concordant' Behaviour Profile to the guidelines
are those who adhere more in comparison with the other age groups. On the other
hand, those with non-adherent behaviour need to have a 'good' attendance of
healthcare providers for becoming adherents to the screening programme. Multiple
strategies that combine enhancing primary health care access, individual
behaviour and knowledge must be addressed to uptake adherence. In this way, the
health teams must act in accordance with the guidelines, targeting more effective
health education practices to achieve the goals of the breast cancer screening.
PMID- 22077790
TI - Second-generation platelet concentrate (PRF) as a pulpotomy medicament in a
permanent molar with pulpitis: a case report.
AB - AIM: To discuss the clinical and radiographic success of a pulpotomy with
second-generation platelet concentrate (PRF), in a human mature permanent molar
tooth. SUMMARY: A 19-year-old female patient reported to the Department of
Conservative Dentistry and Endodontics with established pulpitis in tooth 36. The
tooth had a carious pulp exposure, with a history of lingering pain. After
isolation, caries removal and pulp exposure, pulpotomy with PRF was performed and
a permanent restoration was placed immediately. At the first recall (+1 day), no
postoperative pain was reported. At 6, 12, 18 and 22 months recall, the tooth
responded positively to pulp sensibility tests, and radiographic examination
revealed a normal periodontal ligament space. Positive results of this case imply
the need for more studies with larger sample sizes and a longer recall period to
justify the use of this novel material for the treatment of pulpitis in human
permanent molar teeth. KEY LEARNING POINTS: Pulpotomy with PRF could be an
alternate treatment to mineral trioxide aggregate or other materials in mature
permanent teeth with pulpitis.
PMID- 22077791
TI - Relationships between Geriatric Oral Health Assessment Index scores and general
physical status in community-dwelling older adults.
AB - OBJECTIVE: The aim of this study was to investigate the relationships between
Geriatric Oral Health Assessment Index (GOHAI) and general physical status among
community-dwelling older adults. BACKGROUND: Geriatric Oral Health Assessment
Index is a patient-centred assessment of oral health for older adults. We
hypothesised that GOHAI is a significant indicator of general physical status of
older adults. METHODS: This study included 354 adults (age, >=65 years) living
independently. Body mass index, handgrip strength and one-leg standing time with
eyes open were used to evaluate the general physical status. Spearman's rank
correlation coefficients were calculated to examine the relationships between the
GOHAI scores, its three subdivided categories (physical function, psychological
function and pain and discomfort) and each physical status measurement. A
stepwise linear regression model was applied with each physical status
measurement as the dependent variable and the GOHAI scores and its subdivisions
as the principal independent variable. RESULTS: Positive correlations were found
between the GOHAI scores, its three subdivided categories and handgrip strength.
The physical function category positively correlated with one-leg standing time.
The GOHAI and the pain and discomfort category scores were retained in the final
models of stepwise regression for handgrip strength, and significant
relationships persisted after adjustments for demographic, psychosocial, medical
and dental status. CONCLUSION: The GOHAI scores and its pain and discomfort
category score may be significant indicators of body muscle strength.
PMID- 22077792
TI - Reviews on animal diseases recently published in other journals.
PMID- 22077794
TI - Fotemustine for the treatment of melanoma.
AB - INTRODUCTION: Melanoma is a rare but very aggressive form of cancer. Survival in
melanoma varies widely depending on the stage of the tumor. In metastatic
melanoma, prognosis is usually poor and the treatment is based on chemotherapy.
So far, dacarbazine has been the drug of reference, with an average response rate
of 15 - 20% when used as a monotherapy. As single drugs go, fotemustine is
considered the second-best treatment, after dacarbazine. AREAS COVERED: This
review of the scientific literature focuses on the use of fotemustine in patients
with cutaneous melanoma and discusses its clinical efficacy and safety. EXPERT
OPINION: Fotemustine is a nitrosurea that has proved its efficacy in metastatic
melanoma and particularly on cerebral metastases, given its high lipophilicity,
facilitating its active penetration in all tissues including the central nervous
system. However, overall response rates are low, with only few complete
remissions and short response durations.
PMID- 22077795
TI - Design and synthesis of new antioxidants predicted by the model developed on a
set of pulvinic acid derivatives.
AB - Antioxidative activity expressed as protection of thymidine has been investigated
for a set of 30 pulvinic acid derivatives. A combination of in vitro testing and
in silico modeling was used for synthesis of new potential antioxidants.
Experimental data obtained from a primary screening test based on oxidation under
Fenton conditions and by an UV exposure followed by back-titration of the amount
of thymidine remaining intact have been used to develop a computer model for
prediction of antioxidant activity. Structural descriptors of 30 compounds tested
for their thymidine protection activity were calculated in order to define the
structure-property relationship and to construct predictive models. Due to the
potential nonlinearity, the counter-propagation artificial neural networks were
assessed for modeling of the antioxidant activity of these compounds. The
optimized model was challenged with 80 new molecules not present in the initial
training set. The compounds with the highest predicted antioxidant activity were
considered for synthesis. Among the predicted structures, some coumarine
derivatives appeared to be especially interesting. One of them was synthesized
and tested on in vitro assays and showed some antioxidant and radioprotective
activities, which turned out as a promising lead toward more potent antioxidants.
PMID- 22077797
TI - Faradaurate nanomolecules: a superstable plasmonic 76.3 kDa cluster.
AB - Information on the emergence of the characteristic plasmonic optical properties
of nanoscale noble-metal particles has been limited, due in part to the problem
of preparing homogeneous material for ensemble measurements. Here, we report the
identification, isolation, and mass spectrometric and optical characterization of
a 76.3 kDa thiolate-protected gold nanoparticle. This giant molecule is far
larger than any metal-cluster compound, those with direct metal-to-metal bonding,
previously known as homogeneous molecular substances, and is the first to exhibit
clear plasmonic properties. The observed plasmon emergence phenomena in
nanomolecules are of great interest, and the availability of absolutely
homogeneous and characterized samples is thus critical to establishing their
origin.
PMID- 22077796
TI - A tool kit for measuring functioning in children with neurodisability:
calibrating activities.
PMID- 22077798
TI - Filamentous actin is a substrate for protealysin, a metalloprotease of invasive
Serratia proteamaculans.
AB - Homologous bacterial metalloproteases ECP32/grimelysin from Serratia grimesii and
protealysin from Serratia proteamaculans are involved in the invasion of the
nonpathogenic bacteria in eukaryotic cells and are suggested to translocate into
the cytoplasm [Bozhokina ES et al. (2011) Cell Biol Int35, 111-118]. The
proteases have been characterized as actin-hydrolyzing enzymes with a narrow
specificity toward intact cell proteins. However, cleavage of filamentous actin
(F-actin) (i.e. the main actin species in the cell) and the properties of the
cleaved F-actin have not been investigated previously. In the present study, we
revealed the presence of protealysin in the cytoplasm of 3T3-SV40 cells infected
with S. proteamaculans or recombinant Escherichia coli expressing the protealysin
gene. We also show for the first time that purified protealysin and the lysates
of the recombinant E. coli producing protealysin cleave 20-40% of F-actin.
Cleavage limited predominantly to the bond Gly42-Val43 efficiently increases the
steady-state ATPase activity (dynamics) of F-actin. abolishes this effect and
promotes the nucleation of protealysin-cleaved Mg-globular-actin even in the
absence of 0.1 m KCl, most likely as a result of the stabilization of lateral
intermonomer contacts of actin subunits. The results obtained in the present
study suggest that F-actin can be a target for protealysin upon its translocation
into the host cell.
PMID- 22077799
TI - Poly(3-hexylthiophene)/TiO2 nanoparticle-functionalized electrodes for visible
light and low potential photoelectrochemical sensing of organophosphorus
pesticide chlopyrifos.
AB - A dramatic visible light photoelectrochemical sensing platform for the detection
of pesticide molecules at zero potential (versus saturated calomel electrode) was
first constructed using poly(3-hexylthiophene)-functionalized TiO(2)
nanoparticles. Poly(3-hexylthiophene) (P3HT) was synthesized via chemical
oxidative polymerization with anhydrous FeCl(3) as the oxidant, 3-hexylthiophene
as the monomer, and chloroform as the solvent, and the functional TiO(2)
nanoparticles were facilely prepared by blending TiO(2) nanoparticles and P3HT in
chloroform solution. The resulting photoelectrocatalysts were characterized by
scanning electron microscopy, Raman spectroscopy, and X-ray diffractometry. Under
visible light irradiation, P3HT generated the transition from the valence band to
the conduction band, delivering the excited electrons into the conduction band of
TiO(2) and then to the glassy carbon electrode. Simultaneously, a positive
charged hole (h(+)) of TiO(2) may form and migrate to the valence band of P3HT,
which can react with H(2)O to generate (*)OH, and then it converted chlopyrifos
into chlopyrifos(*) that promoted the amplifying photocurrent response. On the
basis of the proposed photoelectrochemical mechanism, a methodology for sensitive
photoelectrochemical sensing for chlopyrifos at zero potential was thus
developed. Under optimal conditions, the proposed photoelectrochemical method
could detect chlopyrifos ranging from 0.2 to 16 MUmol L(-1) with a detection
limit of 0.01 MUmol L(-1) at a signal-to-noise ratio of 3. The
photoelectrochemical sensor had an excellent specificity against the other
pesticides and could be successfully applied to the detection of reduced
chlopyrifos in green vegetables, showing a promising application in
photoelectrochemical sensing.
PMID- 22077801
TI - The emotional cost of charitable donations.
AB - Donations in support of a charitable cause can create a conflict between moral
intuitions (e.g., fulfilling moral obligations and helping as many individuals in
need as possible) and the cost entailed by following one's moral intuitions
(e.g., spending money). The present paper investigates this conflict by putting
people in a situation in which they must choose whether to help three women by
giving more money or help one woman by giving less. In addition, the paper uses
the attraction effect paradigm to counteract the single victim effect and reduce
the conflict. Experiment 1 demonstrates that in a two-alternative context the
majority of participants choose to help one woman by giving ?150 instead of
helping three women by giving ?450. Experiment 2 replicates this finding and
highlights the role of emotion regulation strategies in the management of the
emotional conflict arising in the two-alternative condition. In both studies, the
introduction of a third, dominated alternative reduces the conflict and makes it
easier to choose the programme asking for a higher donation and helping three
women. Implications for charitable donations and the role of the conflict between
moral intuitions and economic costs are discussed.
PMID- 22077800
TI - Fluorescent acridine-based receptors for H2PO4(-).
AB - Two new pseudopeptidic molecules (one macrocyclic and one open chain) containing
an acridine unit have been prepared. The fluorescence response of these receptors
to a series of acids was measured in CHCl(3). Receptors are selective to
H(2)PO(4)(-) versus HSO(4)(-), and an even higher selectivity is found over other
anions such as Cl(-), Br(-), CH(3)COO(-), and CF(3)COO(-). We show that the
macrocyclic receptor is more selective for H(2)PO(4)(-) than the related open
chain receptor. The supramolecular interactions of triprotonated receptors with
different anions have been modeled in silico and have been studied by different
experimental techniques. Optimized geometries obtained by computational
calculations agree well with experimental data, in particular fluorescence
experiments, suggesting that the selective supramolecular interaction takes
places through coordination of the anions to the triprotonated form of the
receptor.
PMID- 22077802
TI - Nuptiality patterns in an agrarian society.
AB - Summary The paper deals with the non-European marital pattern and its
determinants in an agrarian society before the onset of deliberate fertility
decline. A wide range of patterns, from very early and almost universal to late
marriage, existed among the populations of European Russia at the end of the
nineteenth century. The analysis confirmed a close association, particularly
between marital behaviour and socio-economic institutions. Scarcity of labour
relative to land, the principle of landholding and land usage according to the
amount of labour in the extensive type of family, and an equal-heir inheritance
system were found to be conducive to early and common marriage. The spatial
differentiation of marital patterns was found to be due to regional modifications
in the above institutions, the degree of literacy, size of rural settlements,
industrial and urban development, and the sex composition.
PMID- 22077803
TI - Intermediate fertility variables and marital fertility rates.
AB - Summary Using relatively simple mathematical techniques, an analysis is made of
a comprehensive reproductive model that describes the relationships between a set
of intermediate fertility variables and the marital fertility rate. Two types of
intermediate fertility variables are distinguished: (1) biological parameters and
(2) control variables. A homogeneous model is outlined first. Next, this version
is extended to include heterogeneity with respect to fecundability and coital
rates. Tests of the model with data from two historical populations (i.e. Crulai,
1674-1742, and Tourouvre au Perche, 1665-1765) demonstrate that the model is,
indeed, consistent with observed reproductive behaviour in actual populations.
PMID- 22077804
TI - An economist's non-linear model of self-generated fertility waves.
AB - Summary Standard one-sex linear models of Lotka or Bernardelli always approach
asymptotically an exponential growth mode with stable age distribution. Realistic
non-linear models need not possess this property. The present analysis uncovers a
possibly realistic ease where an existent mode of balanced growth is 'unstable',
giving way when slightly perturbed to an asymptotic every-other generation limit
cycle of determinable amplitude, and which is stable. The nonlinear model
utilizes the hypothesis of R. A. Easterlin that age-specific fertility will tend
to be lower for age classes that are relatively swollen in total number. By
virtue of the law of diminishing returns, wages and feeling of security will tend
to be low for such swollen groups. A possible rebound in fertility in the 1980s
is implicit in the Easterlin hypothesis.
PMID- 22077805
TI - The effect of child mortality experience on subsequent fertility: in Pakistan and
Bangladesh.
AB - Summary This paper presents an empirical analysis of the effects, behavioural
and biological, of child mortality experience on subsequent fertility in two
South Asian Islamic nations. Data for the investigation came from retrospective
pregnancy histories of 2,910 currently married women interviewed in the Pakistan
National Impact Survey (1968-69) and from longitudinal vital registration data
(1966-2070) of 5,236 women residing in a rural area of Bangladesh collected by
the Cholera Research Laboratory. The aim of this study was to assess the
importance of the child-replacement motivational response to child death
experience after biological effects have been controlled adequately. A common
approach employed previously has been to examine cumulative fertility according
to child death experience. In Pakistan and Bangladesh, a consistently positive
relationship was demonstrated between the number of children ever born and the
number of child deaths. This method, however, did not exclude the inverse
relationship, the influence of fertility on mortality, nor did it dissect out
behavioural from biological effects. Utilizing a measure of subsequent fertility,
live-birth-to-live-birth intervals, the study further illustrated another common
pitfall. Since the risk of infant death, which leads to shorter birth intervals,
is associated with the mother's reproductive history, women with child mortality
experience are more likely to experience shorter intervals because of the
biological effect of subsequent infant death. Behavioural influences may,
therefore, be observed by considering only those birth intervals in which the
first-born child survives to the end of the interval. With these limitations
controlled, very few, if any, behavioural influences were noted in the Pakistan
and Bangladesh data. Median birth intervals in Pakistan varied between 35-43 and
41-42 months, increasing with parity. Within each parity group, no consistent
difference was observed between women with and without previous child loss. In
Bangladesh, the median birth interval for all women with a surviving infant was
37-2 months. This was shortened to 24-31 months by an infant death. When
intervals with infant deaths were excluded, little or no behavioural influence
was detected among women of the same parity, but with varying levels of previous
child loss. Even without behavioural effects, elimination of infant mortality in
Bangladesh would reduce fertility by prolonging the average period of post-partum
sterility. In the Bangladesh setting, however, the size of the effect was only
about four per cent. This modest effect, more-over, was counterbalanced by an
overall increase of net reproduction by seven per cent due to better survivorship
of infants.
PMID- 22077806
TI - The child survival hypothesis.
AB - Summary Because of current interest in the child survival hypothesis, we have
reviewed available evidence bearing upon the relationships of infant and child
mortality to fertility and contraceptive behaviour. The evidence is drawn from
time series data for local and national vital events, from special in-depth
studies of the infant mortality-fertility relationships in family formation, and
from service statistics from health and family planning programmes. As a result
of this review, we suggest five clarifications which should be made in redefining
the child survival hypothesis and assessing its potential programme implications.
The child survival hypothesis states that improved child survival will contribute
to increased family planning motivation and consequent fertility decline. The
evidence presented here suggests that the effect is not automatic and probably
not a necessary pre-condition for fertility decline. There is certainly not a
reflexive one-to-one replacement, but a partial effect may still be important. In
the clearly demonstrated reduction in inter-pregnancy intervals after a child
death, the major component is undoubtedly the removal of the biological
protection of lactational amenorrhoea. A separate but somewhat smaller effect has
been demonstrated in situations where lactation did not seem to have been the
explanation. It is expected that increased child survival will contribute to
fertility decline mainly in countries experiencing rapid mortality decline and
population growth. The replacement of children who die is probably not so much
'volitional' as a result of alterations in sub-conscious expectations. It is
apparent that in traditional agrarian populations, few direct and manipulable
means of influencing motivation for fertility limitation are available, and,
therefore, it must be stressed that integrated health and family planning
programmes do provide opportunities for immediate programme development. By
making parents aware of improved changes of survival through health services in
which they develop confidence, the spontaneous linkages between mortality and
fertility can presumably be reinforced. Family planning services must be provided
as an essential initial step in programme development, but they can be made more
effective, as well as politically more acceptable if appropriately integrated
with maternal and child health and nutrition services.
PMID- 22077807
TI - Infant mortality and birth intervals.
AB - Summary In the course of a demographic inquiry which also offered medical
advice to the respondent women, information was obtained on the reproductive life
and child mortality of women in three regions of Upper Volta. Foetal mortality
rates are inversely correlated with fertility rates, whereas the opposite holds
true of the mortality of children aged up to four years. An explanation of this
phenomenon is attempted, showing how large variations in mortality rates continue
to exist in developing countries. The second section of the paper deals with
spontaneous abortions which happen much more frequently than is believed in Black
Africa and with the effect of pathological sterility on birth intervals. Account
is taken of the taboo on sexual relations after children have been born, a taboo
which continues to be kept in the region studied and which as an important effect
on inter-birth intervals.
PMID- 22077808
TI - Purposive concealment of death in household surveys in Misamis Oriental Province.
AB - Summary The randomized response technique was used in a household survey of
approximately 2,000 rural and 2,000 urban households in Misamis Oriental Province
in the southern Philippines in order to determine the extent of purposive
concealment of death. The estimated number of deaths deliberately not revealed to
the interviewers was 50 per cent or higher. Adjusted crude death rates of 11.5
and 13.4 per 1,000 population were computed for urban and rural areas,
respectively, by adding estimated concealed deaths to deaths reported to the
interviewers. Application of stable population techniques and of model life
tables suitable to the Philippine setting, while not permitting definite
conclusions, provided reasons for believing that these adjusted death rates are
close to the true mortality situation in the study areas. Randomized response
data further indicate that approximately 75 per cent of urban deaths and 47 per
cent of rural deaths of the population studied were not registered with municipal
authorities. The authors postulate that failure to register deaths with municipal
authorities, together with fear of legal involvement if this failure becomes
known outside the immediate neighbourhood, is a major reason for the purposive
concealment of death in household surveys.
PMID- 22077809
TI - Facts and artifacts in the study of intra-uterine mortality: A reconsideration
from pregnancy histories.
AB - Summary The analysis of intra-uterine mortality is made difficult by the
interaction of many factors, some of them being pure artifacts resulting from the
way in which the data are collected, or from the under-reporting of induced
abortions. This paper deals with some 'real factors' of variation in the risk of
spontaneous abortion (mother's age, pregnancy order, number of previous
abortions), and with some of these 'artifacts' (inclusion of induced abortion,
memory effect, differential continuation rates). Special attention is paid to the
effect of heterogeneity of the risk of abortion. After a discussion of problems
of observation, data from two different samples are analysed, first in a classic
way: variation with age and pregnancy order, comparison between the rates of
abortion for current and previous abortion. Next, detailed data on successive
pregnancies are used to derive estimates of the distribution of risk between
women. It is concluded that this distribution could and should be taken into
account, and that its effects are different from those of age. The possibility of
differential continuation rates by outcome of pregnancy is discussed briefly, in
connection with previous points.
PMID- 22077810
TI - Population planning in Asia in the 1970s.
AB - Summary To what extent is family planning integrated with broader population
planning in the countries of East Asia and South Asia? To what degree do these
countries combine population planning with economic and social planning in their
development plans? An attempt to answer these questions suggests that, despite
variability from country to country in development goals and policy
implementation, family planning has been largely separated from economic
planning, and birth control programmes have often been substituted for
intermediate and long-range population planning. Demographic factors have been
treated as exogenous variables rather than as integral parts of social-economic
demographic plans. Such comprehensive planning is difficult for both technical
and political reasons, but in any case is unlikely to be achieved so long as
family planning and population planning continue to be confused.
PMID- 22077813
TI - Erratum: Systematic and chance components in fertility measurement.
PMID- 22077811
TI - Extrapolation of IUD continuation curves.
AB - Summary Calculation of the number of contraceptive acceptors needed to register
predesignated effects on birth or growth rates presupposes that it is known for
how long couples practise each contraceptive method. Life table techniques may be
used to estimate proportions continuing with a given method: but most follow-up
studies yield data for durations no longer than from two to three years. To
estimate continuation rates for the longer durations relevant to a five-or ten
year target period, the curve of continuation must be extrapolated. Four
functions, including the one most commonly used and three new ones, are compared
on the basis of data from the Taichung IUD Follow-up Study that commands an
effective observation period of eight years. This unusual length makes it
possible to perform the experiment of pretending that observation length is only
one, two, ... five years and then compare results based on these varyingly
abbreviated spans with those based on the full observation period. No one of the
four functions is found to be ideal under all circumstances. A strategy for
choosing among the functions is suggested.
PMID- 22077814
TI - Photocatalytic synthesis of urea from in situ generated ammonia and carbon
dioxide.
AB - TiO(2) and Fe-titanate (different wt%) supported on zeolite were prepared by sol
gel and solid-state dispersion methods. The photocatalysts prepared were
characterized by X-ray diffraction, scanning electron microscopy and ultraviolet
(UV)-visible diffuse reflectance spectroscopy techniques. Photocatalytic
reduction of nitrate in water and isopropanol/oxalic acid as hole scavengers are
investigated in a batch reactor under UV illumination. The yield of urea
increased notably when the catalysts were supported on zeolite. The Fe-titanate
supported catalyst promotes the charge separation that contributes to an increase
in selective formation of urea. The product formation is because of the high
adsorption of in situ generated CO(2) and NH(3) over shape-selective property of
the zeolite in the composite photocatalyst. The maximum yield of urea is found to
be 18 ppm while 1% isopropanol containing solution over 10 wt% Fe-titanate/HZSM-5
photocatalyst was used.
PMID- 22077815
TI - Operating theatre nurses' experience of patient-related, intraoperative nursing
care.
AB - The way patient-related, intraoperative nursing care is performed by operating
theatre nurses' has not been elucidated in any great detail. The aim of this
study therefore was to describe theatre nurses' experience of patient-related,
intraoperative nursing care. The study draws on qualitative, interpretive
description methodology. Sixteen specialists in operating theatre nursing care,
working in rural or metropolitan hospitals in Sweden, were included in the study.
Data were collected by means of interviews and analysed using an inductive,
qualitative, descriptive analysis technique. The data analysis resulted in 15
overarching nursing care procedures and three motives for nursing care procedures
in the field of intraoperative nursing care with the goal of achieving the best
surgical outcome for the patient. The operating theatre nurses' experience of
patient-related, intraoperative nursing care was described as procedures to
create a continuous, confidence-based relationship and situation-related well
being; procedures to guarantee patient safety and well-being by keeping a
watchful eye; and procedures to create a secure environment that promotes wound
healing, recovery and well-being.
PMID- 22077817
TI - Long-term expression of human coagulation factor VIII in a tolerant mouse model
using the phiC31 integrase system.
AB - We generated a mouse model for hemophilia A that combines a homozygous knockout
for murine factor VIII (FVIII) and a homozygous addition of a mutant human FVIII
(hFVIII). The resulting mouse, having no detectable FVIII protein or activity and
tolerant to hFVIII, is useful for evaluating FVIII gene-therapy protocols. This
model was used to develop an effective gene-therapy strategy using the phiC31
integrase to mediate permanent genomic integration of an hFVIII cDNA deleted for
the B-domain. Various plasmids encoding phiC31 integrase and hFVIII were
delivered to the livers of these mice by using hydrodynamic tail-vein injection.
Long-term expression of therapeutic levels of hFVIII was observed over a 6-month
time course when an intron was included in the hFVIII expression cassette and
wild-type phiC31 integrase was used. A second dose of the hFVIII and integrase
plasmids resulted in higher long-term hFVIII levels, indicating that incremental
doses were beneficial and that a second dose of phiC31 integrase was tolerated.
We observed a significant decrease in the bleeding time after a tail-clip
challenge in mice treated with plasmids expressing hFVIII and phiC31 integrase.
Genomic integration of the hFVIII expression plasmid was demonstrated by junction
PCR at a known hotspot for integration in mouse liver. The phiC31 integrase
system provided a nonviral method to achieve long-term FVIII gene therapy in a
relevant mouse model of hemophilia A.
PMID- 22077819
TI - Screening urine analysis before bacille Calmette-Guerin instillation does not
reduce the rate of infectious complications.
AB - Study Type - Therapy (case series) Level of Evidence 4 What's known on the
subject? and What does the study add? Infectious complication is a risk of
bacille Calmette-Guerin instillation. Urine analysis in asymptomatic patients has
been used as a measure to reduce infections. This study suggests that screening
urine analysis prior to bacille Calmette-Guerin instillation did not affect the
rate of urinary tract infections. OBJECTIVE: * To discover if the routine use of
urine analysis decreases the rate of urinary tract infection (UTI) complications
after bacille Calmette-Guerin (BCG) administration. METHODS: * A retrospective
review of the outcomes of 202 patients undergoing BCG treatment for bladder
cancer at two medical centres with different pre-BCG screening strategies was
performed. * The medical records of 100 patients who received BCG by one
urologist at Memorial Sloan-Kettering Cancer Center (MSKCC) were reviewed. No
patient received a urine analysis immediately prior to BCG treatment. *
Similarly, 102 patients who received BCG at Northwestern Memorial Hospital (NMH)
were reviewed. Patients at NMH were screened by urine analysis for pyuria and
bacteriuria before BCG treatment, and, if clinically indicated, BCG instillation
was delayed for the results of the urine culture. * If the urine culture
confirmed infection, then the patient was treated before restarting BCG
instillation. RESULTS: * At MSKCC, 100 patients underwent 600 BCG treatments. *
After BCG administration, symptomatic UTI occurred in three patients (3%),
successfully treated with antibiotics. * No patients developed BCG sepsis or
required hospitalization due to infection. * At NMH, 102 patients underwent 612
BCG treatments. * Pre-BCG urine analysis was positive for pyuria (defined as
white blood cells >5 per high-power field) in 27.8%, and positive for bacteriuria
(defined as any bacteria on microscopy) in 18.1%. * Based on the results of pre
screening urine analysis, BCG instillation was delayed 15 times (2.5%). *
Overall, three patients (3%) had culture-proven UTIs after BCG instillation. * No
patients developed BCG sepsis or required hospitalization in either group and
there were no significant differences in the frequency of UTIs. CONCLUSIONS: *
Urine analysis can safely be omitted before administration of BCG in asymptomatic
patients. * Omission of urine analysis could save time and expense during the
office-based treatment of bladder cancer.
PMID- 22077818
TI - Maternal pre-pregnant body mass index, maternal weight change and offspring
birthweight.
AB - OBJECTIVE: To estimate the association between maternal pre-pregnant body mass
index (BMI) and maternal weight change during pregnancy and offspring birthweight
using the BMI classification developed by World Health Organization (WHO) and
adopted by the Institute of Medicine (IOM) in 2009. DESIGN: The Norwegian Mother
and Child Cohort Study (MoBa) is a population-based pregnancy cohort study
conducted by The Norwegian Institute of Public Health. SETTING: Women were
recruited from all geographic areas of Norway. POPULATION: The study includes
58,383 pregnant women. METHODS: Women were enrolled in 2000-2007 by a postal
invitation offered to women in Norway at 17-18 weeks of gestation. Linear
regression analyses are based on exposure data from two questionnaires during
pregnancy and on birthweight data. MAIN OUTCOME MEASURES: Birthweight. RESULTS:
Mean pre-pregnancy BMI was 24kg/m(2) (SD 4.3), mean maternal weight change in the
first 30 weeks of gestation was 9.3kg (SD 4.4), mean birthweight was 3675g (SD
487) and mean age 30.3 years. Of the women, 65.2% had a normal pre-pregnancy
weight, 2.9% were underweight, 22.3% overweight, and 9.5% obese (Classes 1-3).
Linear regression analyses adjusted for potential confounders showed that
offspring birthweight increased with increasing maternal pre-pregnant BMI, and
with increasing maternal weight gain during pregnancy in all six categories of
pre-pregnancy BMI. Women with the highest level of education had the highest
offspring birthweight. CONCLUSION: Offspring birthweight increased with both
increasing maternal pre-pregnant BMI and maternal weight gain during pregnancy in
all six categories of maternal pre-pregnancy BMI.
PMID- 22077820
TI - High prevalence of childhood asthma in Northern Israel is linked to air pollution
by particulate matter: evidence from GIS analysis and Bayesian Model Averaging.
AB - The medical records of 3922 school children residing in the Greater Haifa
Metropolitan Area in Northern Israel were analyzed. Individual exposure to
ambient air pollution (SO(2) and PM(10)) for each child was estimated using
Geographic Information Systems tools. Factors affecting childhood asthma risk
were then investigated using logistic regression and the more recently developed
Bayesian Model Averaging (BMA) tools. The analysis reveals that childhood asthma
in the study area appears to be significantly associated with particulate matter
of less than 10 MUm in aerodynamic diameter (PM(10)) (Odds Ratio (OR) = .11;
P<0.001). However, no significant association with asthma prevalence was found
for SO(2) (P >0.2), when PM(10) and SO(2) were introduced into the models
simultaneously. When considering a change in PM(10) between the least and the
most polluted parts of the study area (9.4 MUg/m(3)), the corresponding OR,
calculated using the BMA analysis, is 2.58 (with 95% posterior probability limits
of OR ranging from 1.52 to 4.41), controlled for gender, age, proximity to main
roads, the town of a child's residence, and family's socio-economic status. Thus,
it is concluded that exposure to airborne particular matter, even at relatively
low concentrations (40-50 MUg/m(3)), generally below international air pollution
standards (55-70 MUg/m(3)), appears to be a considerable risk factor for
childhood asthma in urban areas. This should be a cause of concern for public
health authorities and environmental decision-makers.
PMID- 22077821
TI - Neoadjuvant and adjuvant chemotherapy for locally advanced bladder carcinoma:
development of novel bladder preservation approach, Osaka Medical College
regimen.
AB - Cisplatin-based chemotherapy has been widely used in a neoadjuvant as well as
adjuvant setting. Furthermore, trimodal approaches including complete
transurethral resection of the bladder tumor followed by combined chemotherapy
and radiation have generally been performed as bladder preservation therapy.
However, none of the protocols have achieved a 5-year survival rate of more than
70%. Additionally, the toxicity of chemotherapy and/or a decreased quality of
life due to urinary diversion cannot be ignored, as most patients with bladder
cancer are elderly. We therefore newly developed the novel trimodal approach of
"combined therapy using balloon-occluded arterial infusion of anticancer agent
and hemodialysis with concurrent radiation, which delivers an extremely high
concentration of anticancer agent to the site of a tumor without systemic adverse
effects ("Osaka Medical College regimen" referred to as the OMC regimen). We
initially applied the OMC regimen as neoadjuvant chemotherapy for locally
advanced bladder cancer. However, since more than 85% of patients with
histologically-proven urothelial cancer achieved complete response with no
evidence of recurrence after a mean follow-up of 170 (range 21-814) weeks, we
have been applying the OMC-regimen as a new approach for bladder sparing therapy.
We summarize the advantage and/or disadvantage of chemotherapy in neoadjuvant as
well as adjuvant settings, and show the details of our newly developed bladder
sparing approach OMC regimen in this review.
PMID- 22077822
TI - Short communication: HIV type 1 escapes inactivation by saliva via rapid escape
into oral epithelial cells.
AB - Saliva contains anti-HIV-1 factors, which show unclear efficacy in thwarting
mucosal infection. When incubated in fresh, unfractionated whole saliva,
infectious HIV-1 IIIb and BaL (X4- and R5-tropic, respectively) persisted from 4
to at least 30 min in a saliva concentration-dependent manner. In salivary
supernatant for up to 6 h, both infectious HIV-1 strains "escaped" into
immortalized oral epithelial cells; infectious BaL showed selectively enhanced
escape in the presence of saliva. Fluorescently labeled HIV-1 virus-like
particles entered oral epithelial cells within minutes of exposure. Using a
previously unrecognized mechanism, therefore, strains of HIV-1 escape
inactivation by saliva via rapid uptake into oral epithelial cells.
PMID- 22077824
TI - Erratum.
PMID- 22077823
TI - Editorial.
PMID- 22077825
TI - A negative cooperativity mechanism of human CYP2E1 inferred from molecular
dynamics simulations and free energy calculations.
AB - Human cytochrome P450 2E1 (CYP2E1) participates in the metabolism of over 2% of
all the oral drugs. A hallmark peculiar feature of this enzyme is that it
exhibits a pronounced negative cooperativity in substrate binding. However the
mechanism by which the negative cooperativity occurs is unclear. Here, we
performed molecular dynamics simulations and free energy calculations on human
CYP2E1 to examine the structural differences between the substrate-free and the
enzymes with one and two aniline molecules bound. Our results indicate that
although the effector substrate does not bind in the active site cavity, it still
can directly interact with the active site residues of human CYP2E1. The
interaction of the effector substrate with the active site leads to a
reorientation of active site residues, which thereby weakens the interactions of
the active substrate with this site. We also identify a conserved residue T303
that plays a crucial role in the negative cooperative binding on the short-range
effects. This residue is a key factor in the positioning of substrates and in
proton delivery to the active site. Additionally, a long-range effect of the
effector substrate is identified in which F478 is proposed to play a key role. As
located in the interface between the active and effector sites, this residue
structurally links the active and effector sites and is found to play a
significant role in affecting substrate access and ligand positioning within the
active site. In the negative cooperative binding, this residue can decrease the
interactions of the active substrate with the active site by pi-pi stacking which
then lowers the hydroxylation activity for the active substrate. These findings
are in agreement with previous experimental observations and thus provide
detailed atomistic insight into the poorly understood mechanism of the negative
cooperativity in human CYP2E1.
PMID- 22077826
TI - Preparation of shape-persistent macrocycles with a single pyridine unit by double
cross-coupling reactions of aryl bromides and alkynes.
AB - A double Sonogashira-type coupling reaction between aryl bromides and alkynes
using a catalytic Pd/XPhos (2-dicyclohexylphosphino-2',4',6'
triisopropylbiphenyl) system was introduced as an efficient method for the
synthesis of shape-persistent macrocycles (SPMs). This approach is advantageous
in the synthesis of SPMs with a single pyridine unit.
PMID- 22077828
TI - Erratum.
PMID- 22077827
TI - "First reports": Recent publications on (aspects) of animal diseases not reported
before.
PMID- 22077830
TI - Nitrogen-doped graphene: efficient growth, structure, and electronic properties.
AB - A novel strategy for efficient growth of nitrogen-doped graphene (N-graphene) on
a large scale from s-triazine molecules is presented. The growth process has been
unveiled in situ using time-dependent photoemission. It has been established that
a postannealing of N-graphene after gold intercalation causes a conversion of the
N environment from pyridinic to graphitic, allowing to obtain more than 80% of
all embedded nitrogen in graphitic form, which is essential for the electron
doping in graphene. A band gap, a doping level of 300 meV, and a charge-carrier
concentration of ~8*10(12) electrons per cm2, induced by 0.4 atom % of graphitic
nitrogen, have been detected by angle-resolved photoemission spectroscopy, which
offers great promise for implementation of this system in next generation
electronic devices.
PMID- 22077832
TI - Telmisartan: just an antihypertensive agent? A literature review.
AB - INTRODUCTION: The modulation of the renin angiotensin aldosterone system (RAAS)
is an important pathway in managing high blood pressure, and its overexpression
plays a key role in target end-organ damage. Telmisartan is an angiotensin II
receptor blocker (ARB) with unique pharmacologic properties, including the
longest half-life among all ARBs; this leads to a significant and 24-h sustained
reduction of blood pressure. Telmisartan has well-known antihypertensive
properties, but there is also strong clinical evidence that it reduces left
ventricular hypertrophy, arterial stiffness and the recurrence of atrial
fibrillation, and confers renoprotection. AREAS COVERED: This paper reviews
telmisartan's pharmacological properties in terms of efficacy for hypertension
control and, importantly, focuses on its new therapeutic indications and their
clinical implications. EXPERT OPINION: ONTARGET (ongoing telmisartan alone and in
combination with ramipril global endpoint trial) demonstrated, that telmisartan
confers cardiovascular protective effects similar to those of ramipril, but with
a better tolerability. Moreover, recent investigations focused on the capability
of telmisartan to modulate the peroxisome proliferator-activated receptor-gamma
(PPAR-gamma), an established target in the treatment of insulin resistance,
diabetes and metabolic syndrome, whose activation is also correlated to anti
inflammatory and, finally, anti-atherosclerotic properties. Telmisartan shows
peculiar features that go beyond blood pressure control. It presents promising
and unique protective properties against target end-organ damage, potentially
able to open a scenario of new therapeutic approaches to cardiovascular disease.
PMID- 22077833
TI - Telavancin for the treatment of serious gram-positive infections, including
hospital acquired pneumonia.
AB - INTRODUCTION: Hospital-acquired pneumonia is a common infection, associated with
substantial mortality. Despite the increasing prevalence of nosocomial pneumonia
caused by methicillin-resistant Staphylococcus aureus (MRSA), approved treatment
options for this pathogen are limited. AREAS COVERED: This article reviews the
pharmacokinetics, dosing, preclinical studies and clinical efficacy, and safety
of telavancin, with a particular focus on results from trials in nosocomial
pneumonia. PubMed and Congress websites were searched for relevant articles
published between 2003 and 2010. EXPERT OPINION: Telavancin is a lipoglycopeptide
antibiotic with rapid, bactericidal activity against MRSA, and may provide
another option for the treatment of nosocomial pneumonia, owing to Gram-positive
pathogens.
PMID- 22077831
TI - Blockade of cytotoxic T-lymphocyte antigen-4 as a new therapeutic approach for
advanced melanoma.
AB - INTRODUCTION: The incidence of melanoma continues to rise, and prognosis in
patients with metastatic melanoma remains poor. The cytotoxic T-lymphocyte
antigen-4 (CTLA-4) serves as one of the primary immune check points and
downregulates T-cell activation pathways. Enhancing T-cell activation by antibody
blockade of CTLA-4 provides a new approach to overcome tumor-induced immune
tolerance. Recently, anti-CTLA-4 therapy demonstrated significant clinical
benefits in patients with metastatic melanoma, which led to the approval of
ipilimumab by the FDA in early 2011. AREAS COVERED: The fundamental concepts
underlying CTLA-4 blockade-potentiated immune activation are presented in this
paper, along with the scientific rationale for and the preclinical evidence
supporting CTLA-4-targeted cancer immunotherapy. It also provides an update on
clinical trials with anti-CTLA-4 inhibitors and discusses the associated
autoimmune toxicity. EXPERT OPINION: Given that overall survival is the only
validated end point for anti-CTLA-4 therapy, the clinical implications of the
antigen or tumor-specific immunity in patients remain to be clarified. Additional
research is necessary to elucidate the prognostic significance of immune-related
side effects and significantly optimize the treatment regimens. An improved
understanding of the mechanisms of action of CTLA-4 antibodies may also culminate
in wide-ranging clinical applications of this new therapy for other tumor types.
PMID- 22077835
TI - Catalytically active filaments - pyruvate decarboxylase from Neurospora crassa.
pH-controlled oligomer structure and catalytic function.
AB - Pyruvate decarboxylase is a key enzyme in organisms whose energy metabolism is
based on alcoholic fermentation. The enzyme catalyses the nonoxidative
decarboxylation of 2-oxo acids in the presence of the cofactors thiamine
diphosphate and magnesium ions. Pyruvate decarboxylase species from yeasts and
plant seeds studied to date are allosterically activated by their substrate
pyruvate. However, detailed kinetic studies on the enzyme from Neurospora crassa
demonstrate for the first time the lack of substrate activation for a yeast
pyruvate decarboxylase species. The quaternary structure of this enzyme species
is also peculiar because it forms filamentous structures. The complex enzyme
structure was analysed using a number of methods, including small-angle X-ray
solution scattering, transmission electron microscopy, analytical
ultracentrifugation and size-exclusion chromatography. These measurements were
complemented by detailed kinetic studies in dependence on the pH.
PMID- 22077836
TI - Depression and anxiety in episodic and chronic cluster headache: a pilot study.
AB - BACKGROUND: In contrast to migraine and tension-type headache, the psychiatric
comorbidities of cluster headache (CH) have not been well-studied. OBJECTIVE: We
assessed the presence of depression and anxiety in groups of episodic CH (ECH)
and chronic CH (CCH) patients and compared CH patients with and without
depression and anxiety. METHODS: Sociodemographics, comorbidities, and selected
headache features were ascertained from a clinic-based sample in a cross
sectional fashion from January 2007 to July 2010. Active depression and anxiety
were assessed using the Patient Health Questionnaire (PHQ-9) and the Generalized
Anxiety Disorder 7-item (GAD-7) scales. RESULTS: Of 49 CH patients, ECH patients
(n=32) had an earlier age of onset and consumed less caffeine than CCH patients
(n=17). Rates of depression as defined by a PHQ-9 score >=10 were low in both ECH
(6.3%) and in CCH (11.8%) with similar mean PHQ-9 scores (3.1 vs 3.7, P=.69).
Rates of anxiety as defined by a GAD-7 score >=10 were also low in both ECH
(15.6%) and CCH (11.8%) with similar mean GAD-7 scores (3.8 vs 3.4, P=.76). ECH
patients in and out of active attack periods had similar levels of depression and
anxiety. Depression and anxiety usually occurred together in ECH and CCH
patients. CH patients who were depressed or anxious were more likely to present
at a younger age and have attack-related nausea and prodromal symptoms. Depressed
CH patients were also more likely to have another pain disorder and had
undertaken twice as many prophylactic medication trials. CONCLUSION: In this
clinic-based cross-sectional study, ECH and CCH patients had similarly low rates
of depression and anxiety. Rates were lower than those reported for both episodic
and chronic migraine.
PMID- 22077837
TI - TSH-secreting pituitary carcinoma with intrathecal drop metastases.
PMID- 22077838
TI - The role of marital sexual abstinence in determining fertility: A study of the
Yoruba in Nigeria.
AB - Summary Although sexual abstinence has probably been the single most important
factor in restricting human fertility, Western researchers have tended to regard
it as a phenomenon mostly found outside marriage. The research reported here was
carried out amongst the Yoruba, a sub Saharan people, among whom it is more
desirable in terms of social stability to practise female sexual abstinence
mainly within marriage, rather than outside it. A similar situation is found
widely in tropical Africa. Data are reported from five surveys carried out in
1973-75 in the Changing African Family and Nigerian Family Projects. Three types
of marital abstinence are shown to have an effect in reducing fertility: post
natal abstinence (often wrongly described as a 'taboo'), terminal abstinence, and
abstinence at other times. Female sexual abstinence is not paralleled by an equal
practice of male abstinence, and the main reason for abstinence is to preserve
long birth intervals and periods of lactation in a society prone to high rates of
infant malnutrition and mortality. It is shown that the Index of Proportions
Married (I ( m )) is only one of a number of fertility-weighted indices which can
be employed to sub-divide the female reproductive span, and that a complete
series of indices adding to unity can be constructed. The duration of lactation
and abstinence are found to be related but, because abstinence is traditionally
of longer duration, lactation amenorrhoea is of little importance in containing
fertility. Married women spend less than half their reproductive lives in periods
when sexual relations are possible and marital abstinence is between three and
four times more important than delayed marriage in restricting fertility. The
period of abstinence is shown to be changing and it is probable that it has never
been of an agreed length; the concept of 'natural fertility' is examined in this
light. The partial substitution of contraception for the abstinence period is
analysed, and the possible effect on fertility considered.
PMID- 22077839
TI - Family limitation and the fertility transition: Evidence from the age patterns of
fertility in Europe and Asia.
AB - Summary The age patterns of marital fertility levels and decline in modern Asia
and historical Europe are analysed in order to answer two questions: (1) How
closely do the age patterns of marital fertility in both areas prior to a
systematic fertility decline conform to the age pattern of natural fertility? (2)
How similar are the age patterns of the fertility transition experienced in
Europe in the past, and the age pattern of fertility decline now under way in a
number of Asian populations? The answers have important implications for our
understanding of the fertility transition. They suggest that modern family
limitation (i.e. parity-specific fertility control) was largely absent prior to a
secular decline in marital fertility in both Europe and Asia. Furthermore, the
evidence indicates that once the practice of family limitation starts to spread
among the broader strata of the population, it seems almost inevitably to
increase until it becomes a common behavioural norm. In this respect, the modern
fertility transition appears to result from the spread of innovative behaviour
and cannot be viewed simply as an adjustment to new socio-economic circumstances
based on previously established behavioural mechanisms.
PMID- 22077840
TI - Family limitation among the Old Order Amish.
AB - Summary This paper shows that the Indiana Amish, a high-fertility Anabaptist
population, regulate their marital fertility according to their family finances.
We linked demographic data from the Indiana Amish Directory with personal
property tax records at 5, 15 and 25 years after marriage and found fertility
differences by occupation and wealth. Correlations between family size and wealth
at the beginning, middle and end of childbearing years were positive. Wealthier
women exhibited higher marital fertility, had longer first birth intervals, were
older at the birth of their last child, and had larger families than poorer
women. Over the past 30 years, marital fertility has remained constant among
older women; but birth rates among younger women have been rising rapidly.
PMID- 22077841
TI - Further developments in indirect mortality estimation.
AB - Summary A variety of indirect estimators of mortality; survival of children by
marriage duration of mother, survival of first spouse by marriage duration and by
age, maternal orphanhood, and survival of siblings, are investigated by the use
of a wide range of model fertility and mortality situations. Survival
probabilities are then related by regression analysis to the proportions with a
particular characteristic, to yield an equation which can then be used to
estimate the survival probability in a population. Maternal orphanhood and
survival of first spouse by age have already shown themselves to be useful, and
the new developments are only simplifications of the existing methodology.
Survival of first spouse by duration of marriage, and survival of siblings are,
however, new methods which have yet to be justified by field experience. In
conclusion, the features common to all indirect mortality estimation procedures
are outlined, and the direction future developments may take in response to
gradually improving data quality is suggested.
PMID- 22077842
TI - Problems in using birth-history analysis to estimate trends in fertility.
AB - Summary The problem investigated is the adequacy of birth-history analysis as a
method for estimating fertility change. The analysis demonstrates that inaccurate
reporting of the dates of birth of live-born children can, under reasonable
assumptions, significantly distort cohort fertility schedules in such a way that
estimates of change in fertility will be biased in the direction of exaggerating
declines in fertility. This kind of bias is shown to exist in fertility estimates
obtained from survey data in El Salvador and Bangladesh. An important implication
is that birth history questionnaires should begin with the most recent, rather
than the earliest, event in a respondent's experience.
PMID- 22077843
TI - Religious differentials in fertility: Lebanon, 1971.
AB - Summary The principal objects of this study are the description and explanation
of the effects of religious affiliation on fertility in the multi-religious
society of Lebanon. The data are derived from the 1971 National Fertility and
Family Planning Survey which is the first probability sample ever taken of
Lebanese couples with wives aged 15-49 years. The present inquiry yields two
major results. First, significant fertility differences do exist among Muslims
and among Christians. To speak of Muslim-Christian fertility differences is,
therefore, misleading. Secondly, religious fertility differentials, based on
cumulative fertility, are dependent on the level of wife's education. At low
levels of wife's education, differentials are great; at high levels, religious
differentials in fertility are insignificant. These findings are believed to be
of importance not only to researchers concerned with religious fertility
differentials, but also to those concerned with framing population policies in
countries where religious composition is a sensitive matter.
PMID- 22077844
TI - Decomposing the re-marriage process.
AB - Summary For those who experience marital disruption because of discord, the re
marriage process consists of a series of steps including divorce and re-marriage.
This paper analyses the overall differentials in re-marriage, decomposing them
into two distinct parts: differentials in propensity to divorce following
separation and re-marriage given that a divorce has occurred. The results
indicate that differentials in re-marriage depend in important ways on both steps
in the process.
PMID- 22077847
TI - Toward a final common pathway of depression: an editorial comment to Jarnum H,
Eskildsen SF, Steffensen EG et al. 'Longitudinal MRI study of cortical thickness,
perfusion, and metabolite levels in depressed patients' (1).
PMID- 22077848
TI - The heterogeneity of depression: an old debate renewed.
PMID- 22077849
TI - A new era in secondary prevention after acute coronary syndrome.
PMID- 22077850
TI - The development of children's regret and relief.
AB - Previous research found that children first experience regret at 5 years and
relief at 7. In two experiments, we explored three possibilities for this lag:
(1) relief genuinely develops later than regret; (2) tests of relief have
previously been artefactually difficult; or (3) evidence for regret resulted from
false positives. In Experiment 1 (N=162 4- to 7-year-olds) children chose one of
two cards that led to winning or losing tokens. Children rated their happiness
then saw a better (regret) or worse (relief) alternative. Children re-rated their
happiness. Regret after winning was first experienced at 4, regret after losing
and relief after winning were experienced at 5 years and relief after losing at 7
years. Experiment 2 (N=297 5- to 8-year-olds) used a similar task but manipulated
children's responsibility for the outcome. Greater responsibility for the outcome
resulted in a greater likelihood of an experience of regret and relief. Results
support that previous tests of relief were artefactually difficult and regret and
relief are experienced earlier than previously thought.
PMID- 22077851
TI - Wheat germ: not only a by-product.
AB - The wheat germ (embryonic axis and scutellum) represents about 2.5-3.8% of total
seed weight and is an important by-product of the flour milling industry. The
germ contains about 10-15% lipids, 26-35% proteins, 17% sugars, 1.5-4.5% fibre
and 4% minerals, as well as significant quantities of bioactive compounds such as
tocopherols [300-740 mg/kg dry matter (DM)], phytosterols (24-50 mg/kg),
policosanols (10 mg/kg), carotenoids (4-38 mg/kg), thiamin (15-23 mg/kg) and
riboflavin (6-10 mg/kg). Oil recovery is achieved by mechanical pressing or
solvent extraction, which retrieve about 50% or 90% lipids, respectively;
innovative approaches, such as supercritical carbon dioxide extraction, are also
proposed. The oil is rich in triglycerides (57% of total lipids), mainly linoleic
(18:2), palmitic (16:0) and oleic (18:1) acids, but relevant amounts of sterols,
mono- and diglycerides, phospho- and glycolipids are present. The lypophilic
antioxidants tocopherols and carotenoids are also abundant. The main by-product
of oil extraction is defatted germ meal, which has high protein content (30-32%),
is rich in albumin (34.5% of total protein) and globulin (15.6%), and thus
presents a well-balanced amino acid profile. Its principal mineral constituents
are potassium, magnesium, calcium, zinc and manganese, in decreasing order. Total
flavonoid content is about 0.35 g rutin equivalent/100 g DM. The wheat germ is
therefore a unique source of concentrated nutrients, highly valued as food
supplement. While the oil is widely appreciated for its pharmaceutical and
nutritional value, the defatted germ meal is a promising source of high-quality
vegetable proteins. Better nutrient separation from the kernel and improved
fractioning techniques could also provide high-purity molecules with positive
health benefits.
PMID- 22077852
TI - Quality-of-care framework in urological cancers: where do we stand?
AB - What's known on the subject? and What does the study add? Provision of high
quality care necessitates the identification and measurement of relevant quality
indicators. Urological surgery currently does not have a validated quality-of
care framework to guide surgical quality improvement. This article aims to
delineate quality of care processes, current status of quality indicators for
major urological cancers as well as recommend a provisional framework for
evaluation of quality for urological procedures. Growing demands for patient
safety, lower cost and quality of care have resulted in several initiatives of
quality measurement across urological surgery. Although candidate indicators have
been proposed in various procedures, the field still lacks a valid quality
framework. Better understanding of the interplay between patient selection,
surgical expertise, preoperative-, intraoperative, postoperative processes and
outcomes is needed. Consensus needs to be achieved in which validated structural,
process and outcomes measures to employ, how this data should be collected, which
agencies to share this data with and how to use this data to effect change in
health policy. Compliance with quality framework needs to be continuously audited
with its outcomes frequently benchmarked against international standards. Pursuit
of quality improvement schemes require significant investment and need to be
weighed against current budgetary constraints.
PMID- 22077853
TI - Bacterial meningitis among children under the age of 2 years in a high human
immunodeficiency virus prevalence area after Haemophilus influenzae type b
vaccine introduction.
AB - AIM: The aim of this study was to describe bacterial causes of meningitis among
children < 2 years in a high human immunodeficiency virus (HIV) prevalence area
after introduction of routine Haemophilus influenzae type b vaccination. METHODS:
Data collected between April 2003 and December 2008 were extracted from a
surveillance database and medical records of children < 2 years admitted in
Mbarara Hospital, Uganda with suspected bacterial meningitis. HIV infection was
confirmed using rapid tests and polymerase chain reaction and bacterial
meningitis by using cerebrospinal fluid culture. RESULTS: Between April 2003 and
December 2008, 1464 children under 5 years were admitted with suspected bacterial
meningitis of which 1235 (84.4%) had cerebrospinal fluid collected; 894 (72.4%)
of these samples were from children < 2 years. Of the 894 samples, 64 (7.2%) grew
an organism including Streptococcus pneumoniae (26; 41%), Salmonella species (20;
31%), H. influenzae (6; 9%) and coliforms (7; 11%), and five (8%) grew
contaminants that are all coagulase negative Staphylococcus. Of the 894 children,
468 (52.3%) were tested for HIV; 16.7% were positive. Fifty-one children had a
pathogenic isolate and a treatment outcome, and 23 (45%) died; 13 (56.6%) deaths
were due to S. pneumoniae, eight (34.8%) were due to Salmonella spp., one (4.3%)
was due to H. influenzae and one (4.3%) was due to coliforms. HIV infection was
associated with a threefold increase in mortality, increased likelihood of a
bacterial isolate and decreased likelihood of malaria parasitaemia. CONCLUSION:
Following H. influenzae type b vaccine introduction, S. pneumoniae and Salmonella
spp. are the major causes of bacterial meningitis among children < 2 years in
Uganda. Pneumococcal conjugate vaccines and reduction in mother to child
transmission of HIV could reduce the observed mortality.
PMID- 22077816
TI - Thrombin-receptor antagonist vorapaxar in acute coronary syndromes.
AB - BACKGROUND: Vorapaxar is a new oral protease-activated-receptor 1 (PAR-1)
antagonist that inhibits thrombin-induced platelet activation. METHODS: In this
multinational, double-blind, randomized trial, we compared vorapaxar with placebo
in 12,944 patients who had acute coronary syndromes without ST-segment elevation.
The primary end point was a composite of death from cardiovascular causes,
myocardial infarction, stroke, recurrent ischemia with rehospitalization, or
urgent coronary revascularization. RESULTS: Follow-up in the trial was terminated
early after a safety review. After a median follow-up of 502 days (interquartile
range, 349 to 667), the primary end point occurred in 1031 of 6473 patients
receiving vorapaxar versus 1102 of 6471 patients receiving placebo (Kaplan-Meier
2-year rate, 18.5% vs. 19.9%; hazard ratio, 0.92; 95% confidence interval [CI],
0.85 to 1.01; P=0.07). A composite of death from cardiovascular causes,
myocardial infarction, or stroke occurred in 822 patients in the vorapaxar group
versus 910 in the placebo group (14.7% and 16.4%, respectively; hazard ratio,
0.89; 95% CI, 0.81 to 0.98; P=0.02). Rates of moderate and severe bleeding were
7.2% in the vorapaxar group and 5.2% in the placebo group (hazard ratio, 1.35;
95% CI, 1.16 to 1.58; P<0.001). Intracranial hemorrhage rates were 1.1% and 0.2%,
respectively (hazard ratio, 3.39; 95% CI, 1.78 to 6.45; P<0.001). Rates of
nonhemorrhagic adverse events were similar in the two groups. CONCLUSIONS: In
patients with acute coronary syndromes, the addition of vorapaxar to standard
therapy did not significantly reduce the primary composite end point but
significantly increased the risk of major bleeding, including intracranial
hemorrhage. (Funded by Merck; TRACER ClinicalTrials.gov number, NCT00527943.).
PMID- 22077854
TI - Nerve supply of the proximal sesamoid bone in the horse.
AB - Summary In chronical proximal sesamoid bone lameness it is difficult to
localise the exact site of pain. A specific diagnostic analgesia is not available
because of a deficiency of detailed information about the nerve supply to the
proximal sesamoid bones and surrounding area. A macroscopic study of the nerve
distribution to the proximal sesamoid bones of 10 foals and 5 adult horses
revealed that these bones are innervated by two branches, in this study called
the medial and lateral sesamoidean nerve, respectively, originating from the
medial and lateral palmar nerve. Histology of the left forelimbs of two fetuses
and one foal confirmed the macroscopic findings. Additionally, histology of ten
proximal sesamoid bones of adult horses showed that myelinated nerve fibres are
present in the nutrient foramina and in the trabecular bone, accompanying the
larger arteries. This study provides possibilities for future diagnostics of
proximal sesamoid bone lameness by specific local perineural analgesia.
PMID- 22077855
TI - Clinical and force plate evaluation of the effect of a high plantar nerve block
in lameness caused by induced mid-metatarsal tendinitis.
AB - Summary To answer the question whether it is possible to differentiate, by
means of a high plantar nerve block in the hind limb, flexor tendon lameness from
a suspensory ligament lameness, mid-plantar tendinitis or desmitis was induced
with collagenase in five Standardbred horses in two trials. Before the
induction of lameness, and on the fourth (D4) and fourteenth day (D14) after the
induction of lameness the horses were evaluated subjectively (clinical lameness
score), objectively (ground reaction force (GRF) measurements), and
ultrasonographically. Clinical evaluation and GRF measurements were also done
on D4 and D14 after a high plantar nerve block. From the GRF measurements
variables were selected and analysed and related to the clinical lameness score.
The horses were significantly lame on D4; this lameness had decreased on D14. The
clinical findings were supported by the GRF data. In the flexor tendon group, a
high plantar nerve block resulted in soundness or lameness in the other hind
limb, whereas in the suspensory ligament group the effect was less conclusive.
The correlation between the subjective clinical lameness score and several
objectively measured GRF variables proved to be moderate to high. The collagenase
model proved to be useful to study the effect of a high plantar nerve block on
lameness resulting from induced tendon/ligament lesion. However, a high plantar
nerve block cannot be used to differentiate between flexor tendon and suspensory
ligament lesions.
PMID- 22077856
TI - Ultrasonographic evaluation and long term follow-up of flexor tendonitis/desmitis
in the metacarpal/metatarsal region in Dutch warmblood horses and standardbred
racehorses.
AB - Summary Over a 2 year-period, the ultrasonographic localization and
distribution of flexor tendinous and ligamentous injuries, the long-term follow
up, and the outcome were studied in 101 Dutch Warmblood horses (DW) with a mean
age of 8.7 years and in 71 Standardbred racehorses (ST) with a mean age of 5.2
years. The ratio between forelimb and hind limb flexor tendonitis/desmitis in
the DW-group was 4:1 and in the ST-group 4:3. In the DW-group,
tendonitis/desmitis of the suspensory ligament (32%), the superficial digital
flexor tendon (29%), the distal carpal check ligament (17%) of the forelimb (SLf,
SDFf, and CL, respectively) and the suspensory ligament (11%) of the hind limb
(SLh) occurred the most often. In the ST-group, tendonitis of the SDFf (34%) and
desmitis of the SLh (34%) and SLf (18%) were the most common injuries. Of the
60 Dutch Warmblood horses available for follow-up, 25% showed full-functional
recovery. Of the 61 Standardbreds available for follow-up, 18% showed full
functional recovery. Overall, local axial lesions seemed to have a worse
prognosis than local abaxial or diffusive lesions.
PMID- 22077857
TI - The effect of toe weights on linear and temporal stride characteristics of
standardbred trotters.
AB - Summary Toe weights are applied to influence the stride characteristics of
trotters. The quantitative effect of 88-g toe weights on the stride
characteristics of Standardbred trotters was evaluated in a kinematic study using
a CODA-3 analysis system. Six trotters were studied at a speed of 11 m/s on a
treadmill. Temporal gait variables, joint angles, and the trajectories of the
forelimb hoof were calculated. The stride patterns of the individual trotters
were assessed by a judge and compared to the CODA-output. Those trotters with
poor flexion of the carpal joint during the swing phase or with insufficient knee
action responded with better carpal flexion and more knee action when toe weights
were attached. No effect of toe weights on the protraction of the forelimb
could be demonstrated. Stride length, stride duration, and the relative duration
of the stance and swing phase as a percentage of the stride did not respond to
toe weights. It is concluded that toe weights can be useful in Standardbred
trotters, but their effect depends on the individual gait pattern.
PMID- 22077858
TI - Accessory carpal bone fractures in the horse.
AB - Summary The clinical signs, the radiographic appearance, and the treatment of
two cases of fracture of the accessory carpal bone are described. The fractures
were in the vertical plane. Surgical intervention consisted out of fixation of
the fracture with two lag screws. Follow-up information revealed that one horse
became sound and returned to complete athletic activity whereas the other horse
remained lame. A brief literature review is given and the surgical treatment
and the complications are discussed.
PMID- 22077859
TI - Cancellous bone grafting in the treatment of bovine septic physitis.
AB - Summary Eleven young cattle (8-24 months of age) were treated for septic
physitis of the metacarpal or metatarsal bones. Two new elements were added to
the traditional treatment. Firstly, homologous cancellous bone grafts were used
for their osteoinductive properties even in an infected surrounding. (Actinomyces
pyogenes was recovered most commonly.) Secondly, a walking cast was applied for
better immobilization of the lower limb with minimal discomfort to the animal.
After surgery, the 11 bovine patients needed an average walking cast period of
4.5 weeks and 1 to 2 weeks' treatment with antibiotics. The new treatment regime
expedites the healing process and shows a success rate of 100%. Follow-up after 6
months revealed that all animals were completely sound without recurrence of the
physitis.
PMID- 22077860
TI - A modified technique for implantation of polypropylene mesh for the repair of
external abdominal hernias in horses: A review of 21 cases.
AB - Summary During a 3-year period 21 horses were surgically treated because of
large abdominal wall defects. In each case the defect was bridged with a
polypropylene mesh, which was placed on the outside of the hernial ring. This was
in contrast with the technique for mesh herniorrhaphy generally described in
human and veterinary literature, in which the mesh is always implanted on the
inside of the hernial ring. One horse was destroyed on the first postoperative
day because of postanaesthetic myelomalacia. Surgical repair as described was
successful in 18 patients. Recurrence of herniation occurred in two horses. On re
herniorrhaphy a second and larger mesh was successfully implanted.
PMID- 22077861
TI - Single intraoperative administration of antibiotic to cows with caecal torsion:
Wound infection and postoperative performance. A retrospective and prospective
study.
AB - Summary Wound infection and postoperative performance after a single intra
abdominal administration of 9 g sodium ampicillin in cows operated on for caecal
dilatation or torsion (n=33) were evaluated. In the 25 animals that left the
clinic in good health (76% short-term survival), no wound infection occurred.
Postoperative performance was normal in 21 of these animals (84%). The figures
for short-term survival and postoperative performance are comparable to those
from a retrospective study of 169 animals operated on between 1985 and 1990.
Single intra- abdominal administration of sodium ampicillin during surgery
provides good protection against infection without negative effects on the
postoperative performance.
PMID- 22077862
TI - Oral bioavailability of pivampicillin in foals at different ages.
AB - Summary The plasma disposition of ampicillin after intravenous administration
at a dose rate of 15 mg/kg was studied in six healthy, 1-month-old foals. The
oral bioavailability of pivampicillin was determined in the same foals at four
ages, ranging from 11 days to 4 months. Pivampicillin was administered orally at
a dose rate of 19.9 mg/kg, which is equivalent on a molecular basis to 15 mg/kg
ampicillin. Ampicillin concentrations in plasma were determined up to 12 hours
after administration. After intravenous administration, the mean distribution
and elimination half-lives of ampicillin were 0.121 and 0.624 h, respectively.
The volume of distribution (Vss) appeared to be 0.334 1/kg. Orally administered
pivampicillin was rapidly absorbed in all age groups, producing mean peak plasma
concentrations of 3.83 to 5.69 MUg/ml 1 h after administration. The mean
bioavailability of pivampicillin in the different age groups ranged from 39.4 to
52.9 %. There was no statistically significant difference in peak plasma
concentration or bioavailability between the age groups. It is concluded that
pivampicillin at a dose rate of 19.9 mg/kg orally gives satisfactory plasma
concentrations in foals of all ages.
PMID- 22077863
TI - Laboratory and clinical evaluation of a chromogenic endotoxin assay for horses
with acute intestinal disorders.
AB - Summary In this study the laboratory and clinical performance of a chromogenic
endotoxin assay for equine plasma was evaluated. The assay was sensitive
(detection limit 3 ng LPS/L plasma), reproducible (within and between-assay CV at
50 ng LPS/L E.coli 0111:B4 LPS standard addition was 5% and 7.5%, respectively),
and not substantially affected by enhancement or inhibition phenomena (recovery
of an in vitro spike was 75-125% in 80% of the samples). LPS added to whole blood
was rapidly inactivated upon incubation at 37 degrees C but not at 0 degrees C.
A recently developed blood collection tube for LPS testing was found suitable,
i.e. LPS-free and providing non-contaminated samples. In 48 horses suffering from
acute abdominal diseases requiring surgical treatment, LPS levels were
significantly higher in platelet-rich plasma (PRP) than in platelet-poor plasma
(PPP), and the proportional difference was related to the PRP platelet count
(r=0.52, p<0.001, mean difference 48%, range 8-77%). LPS levels were also
significantly higher in horses that died or were euthanized than in surviving
horses (mean 16.5 and 7.1 ng/L PRP, respectively, p<0.05). We conclude that LPS
can be measured in equine plasma with picogram sensitivity and recommend the use
of PRP instead of PPP for clinical LPS testing. For clinical use a decision limit
for endotoxaemia of 5 ng LPS/L PRP appeared to be inadequate. Analysis at a
higher cut-off level for endotoxaemia and the evaluation of clinical,
pathological, and laboratory parameters would be more meaningful.
PMID- 22077864
TI - Intravenous anaesthesia in horses by guaiphenesinketamine-detomidine infusion:
Some effects.
AB - Summary The effects of total intravenous anaesthesia with an intravenous
infusion of a combination of guaiphenesin, ketamine and detomidine were studied
in 10 patients scheduled for elective surgery. Anaesthesia was maintained by the
infusion of guaiphenesin (100 mg/ml), ketamine (2 mg/ml) and detomidine (0.02
mg/ml). The infusion rate was 1 ml/kg/hr. During anaesthesia, pulse rate and
mean arterial blood pressure were continuously recorded. Arterial blood gases and
pH were determined immediately after induction and at stated times during
anaesthesia. Venous blood was sampled to determine plasma glucose, lactate,
lactate dehydrogenase (LDH), creatine phosphokinase (CPK) and aspartate
aminotransferase (AST) concentrations. Values were compared with those
determined in blood sampled before the premedication. All determined parameters
with the exception of the plasma glucose concentration, the arterial oxygen
tension and the AST concentration did not change significantly and remained
within normal ranges. The plasma glucose concentration increased significantly
after the induction of anaesthesia compared to the control value but decreased to
normal values during anaesthesia. The arterial oxygen tension was on average
30% lower than normally wished. Compared to the control value the plasma
concentration of AST was significantly decreased at the end of anaesthesia.
Based upon the results of this study an infusion of guaiphenesin, ketamine and
detomidine appears to be useful for the maintenance of total anaesthesia in
horses.
PMID- 22077866
TI - Increasing the exchange time-scale that can be probed by CPMG relaxation
dispersion NMR.
AB - Carr-Purcell-Meiboom-Gill relaxation dispersion NMR spectroscopy has emerged as a
valuable tool to characterize conformational exchange between major and minor
states in a large variety of biomolecules. The window of exchange that is
amenable for study, corresponding to rates on the order of 2000 s(-1) or less, is
limiting, however. Here we show that a combined analysis of both amide (15)N and
(1)H(N) CPMG profiles and major state exchange induced (15)N chemical shift
changes leads to significant increases in the exchange time scale for which
accurate exchange parameters and chemical shift differences between the
interconverting states can be obtained. The utility of the approach is
illustrated with examples involving a pair of protein systems that are in the
moderately fast exchange regime. In these cases the analysis of dispersion
profiles alone is not sufficient to obtain robust measures of exchange parameters
and chemical shift differences. Inclusion of major state exchange induced (15)N
chemical shift changes measured in ((15)N-(1)H(N)) HMQC and HSQC data sets in
addition to the (15)N and (1)H(N) dispersion profiles in the analysis "breaks"
the correlation in parameters, allowing accurate values to be obtained. The
approach is straightforward to implement and makes use of HMQC/HSQC data sets
that are recorded as a matter of routine to obtain chemical shifts of the excited
state. It promises to increase the range of exchanging systems involving low
populated, transiently formed excited states that can be studied by relaxation
dispersion NMR.
PMID- 22077867
TI - Osteopontin--a fibrosis-related marker--in dilated cardiomyopathy in patients
with Emery-Dreifuss muscular dystrophy.
AB - BACKGROUND: As osteopontin (OPN) may be assumed to have diagnostic/prognostic
value in heart diseases, it is worth assessing whether it is also involved in the
pathogenesis and can be applied in the diagnosis of the dilated cardiomyopathy
(DCM) in Emery-Dreifuss muscular dystrophy (EDMD). METHODS: Serum levels of
osteopontin were quantified by means of sandwich immunoassay in 25 EDMD patients
(10 laminopathies AD-EDMD and 15 emerinopathies--X-EDMD), eight carriers of X
EDMD, nine disease controls (patients with dystrophinopathy) and 20 age-matched
healthy controls. RESULTS: The levels of circulating OPN were elevated in all AD
EDMD and X-linked EDMD patients, as well as in X-EDMD carriers and patients
suffering progressive muscular dystrophy. There was no correlation between the
osteopontin level and different cardiac parameters, including left-ventricular
end-diastolic diameter, left atrial diameter, the left ventricular ejection
fraction and the CK-MB level. There was a slight negative correlation with the
ages of the patients. CONCLUSIONS: The presented results indicate that
assessments of circulating OPN levels may help to identify EDMD patients at risk
of dilated cardiomyopathy and might be therefore included among the set of
biomarkers referred to with a view to appropriate early cardiologic diagnosis and
therapy being commenced with in time.
PMID- 22077868
TI - Investigation of six selected bacterial species in endo-periodontal lesions.
AB - AIM: To investigate and determine possible associations of six tested bacteria
belonging to 'orange' and 'green' complexes, in endo-periodontal lesions:
Parvimonas micra, Fusobacterium nucleatum, Campylobacter rectus, Eubacterium
nodatum, Eikenella corrodens and Capnocytophaga sputigena. METHODOLOGY: Forty-six
patients presenting with different types of endo-periodontal lesions were
investigated. Clinical examinations, periapical radiographs and microbiological
sampling from the canal system (endo) and periodontal pockets (perio) were
performed. Qualitative and semiquantitative evaluation of bacteria was performed
by polymerase chain reaction (PCR) and DNA-DNA hybridization (micro-IDent plus;
Hain Lifescience, Germany). RESULTS: Extremely high bacterial loads in endodontic
samples were recorded for P. micra, F. nucleatum and C. sputigena, while
periodontal samples were often colonized by the same species, plus C. rectus.
Significant association was recorded between F. nucleatum-endo and P. micra-endo
(P = 0.03, Fisher's exact test). There was marginal evidence of associations
between: (i) C. sputigena-endo and C. sputigena-perio (P = 0.06, Fisher's exact
test); (ii) P. micra-endo and P. micra-perio (P = 0.05, Fisher's exact test).
Sensitivity to percussion was associated with an increased chance of cases with
P. micra-endo (P = 0.03, Pearson chi-square test). CONCLUSION: The findings
suggest that F. nucleatum, P. micra and C. sputigena may play a role in the
pathogenesis of endo-periodontal lesions.
PMID- 22077869
TI - Effects of hydrocortisone on acute beta-adrenoceptor blocker and histamine
induced bronchoconstriction.
AB - AIMS: beta-adrenoceptor blockers are avoided in asthma due to concerns of
bronchoconstriction. We investigated the safety of acute exposure to propranolol
in asthmatics, sequentially challenged with histamine to mimic an asthma
exacerbation and evaluated the role of intravenous hydrocortisone in potentiating
salbutamol reversibility. METHODS: Persistent atopic asthmatics, requiring <=
1000 ug day(-1) budesonide, performed a randomized double-blind placebo
controlled crossover study. Following 10 mg or 20 mg of oral propranolol,
patients received 400 mg intravenous hydrocortisone or placebo, followed by
histamine challenge with nebulized salbutamol 5 mg and ipratropium 500 ug
recovery. RESULTS: Thirteen patients completed per protocol. Hydrocortisone did
not potentiate salbutamol recovery post propranolol and histamine challenge vs.
placebo (mean difference in FEV(1) 0.04 ml, 95% CI -0.07, 0.15, P= 0.417). beta
adrenoceptor blocker induced bronchoconstriction was demonstrated by spirometry
and impulse oscillometry. For the placebo visit, FEV(1) fell 4.7% 2 hours post
propranolol (95% CI 1.8, 7.5, P= 0.008) whilst total airway resistance (R5%)
increased 31.3% (95% CI 15.6, 47.0, P= 0.04). On both visits FEV(1) % and R5%
returned to baseline after salbutamol post histamine. CONCLUSION: Nebulized
salbutamol and ipratropium produced a full recovery after propranolol and
histamine induced bronchoconstriction, independent of hydrocortisone use. Since
the greatest risk of beta-adrenoceptor blockade is after first dose, our findings
offer reassurance to those undertaking further evaluation of chronic beta
adrenoceptor blockade as a potential treatment for mild-to-moderate asthma.
PMID- 22077870
TI - Diversity of human hair pigmentation as studied by chemical analysis of eumelanin
and pheomelanin.
AB - Hair colour is one of the most conspicuous phenotypes in humans, ranging from
black, brown, blond to red. This diversity arises mostly from the quantity and
ratio of the black-dark brown eumelanin and the reddish-brown pheomelanin. To
study the chemical basis underlying the diversity of hair colour, we have
developed several chemical methods to quantify those two pigments. Alkaline H(2)
O(2) oxidation affords pyrrole-2,3,5-tricarboxylic acid (PTCA) as a eumelanin
marker and thiazole-2,4,5-tricarboxylic acid (TTCA) as a pheomelanin marker.
Pheomelanin can also be analysed as 4-amino-3-hydroxyphenylalanine (4-AHP) after
hydroiodic acid hydrolysis. Using those methods, we evaluated the contents of
eumelanin and pheomelanin (the 'chemical' phenotype) in human hairs of black,
dark brown, brown, light brown, blond and red colour (the 'visual' phenotype).
Eumelanin contents decrease in that order, with a trace but constant level of
pheomelanin, except for red hair which contains about equal levels of pheomelanin
and eumelanin. Thus, the chemical phenotype correlates well with the visual
phenotype. The genotype of melanocortin-1 receptor (MC1R), a gene regulating the
red hair phenotype, is predictive of hair melanin expressed as the log value of
eumelanin to pheomelanin ratio, with a dosage effect evident. Hair melanin
contents were also analysed in patients with various hypopigmentary disorders
including Hermansky-Pudlak syndrome, Menkes disease, proopiomelanocortin
deficiency, cystinosis, malnutrition and trace metal deficiency. The chemical
phenotype helped evaluate the precise effects of each disease on pigmentation. In
studies of human hair, the chemical phenotype will find more and more application
as an objective measure of pigmentation.
PMID- 22077871
TI - Localized Wegener's granulomatosis.
AB - BACKGROUND: Wegener's granulomatosis (WG) is a rare granulomatous necrotizing
vasculitis of small and medium vessels which has predilection for upper airways,
lungs and kidney. However, any other organ, including the skin and oral cavity,
can be involved. Although mucocutaneous lesions are relatively common, they have
only rarely been reported as localized manifestation of the disease. OBJECTIVES:
Our aim was to evaluate the type and sites of skin and mucosal lesions, clinical
course and response to treatment, histologic features and laboratory findings in
localized WG. METHODS: The medical records of three patients (two women and one
man) with localized WG followed up at our hospitals for a mean time of 10 years
were studied. RESULTS: All patients presented with facial plaques infiltrating
the nasal and palatal mucosae and cartilages and, in one case, perforating the
palatal bone. Anti-neutrophil cytoplasmic antibodies, which are the marker for
multisystem WG, were negative. The disease, refractory to various
immunosuppressants, responded well, albeit incompletely, to prednisone plus
cyclophosphamide. LIMITATIONS: The limited number of patients is counterbalanced
by the rarity of the disease. CONCLUSIONS: Our cases may represent a rare
distinctive subset of WG limited to the facial region and upper airway mucosa but
showing a locally aggressive behaviour leading to cartilage and bony destruction.
PMID- 22077872
TI - Usefulness of the script concordance test in dermatology.
AB - BACKGROUND: The script concordance test (SCT) measures clinical data
interpretation in the context of uncertainty. To our knowledge, its feasibility
has not yet been demonstrated in dermatology. OBJECTIVES: This study describes
the feasibility, reliability and validity of the SCT for use in dermatology
teaching to family medicine residents. METHODS: We designed an SCT for
dermatology teaching, adapted to the level of family medicine students. The
family medicine residents attending a dermatology lecture course and a reference
panel of dermatologists underwent the test. Software available on the SCT
dedicated website was used to determine the scores. The Cronbach's alpha was
calculated. The scores were described by means, standard deviation, and minimum
and maximum scores. Mann-Whitney tests were used to compare resident and
reference panel scores. RESULTS: The test contained 33 vignettes of four
questions each. Cronbach's alpha was 0.80. Mean scores were 75.6 for the
reference panel and 65.0 for the residents (P = 0.0009). CONCLUSIONS: This study
confirmed the SCT's capacity to distinguish groups on the basis of experience.
This study demonstrated the feasibility and reliability of the SCT in the field
of dermatology.
PMID- 22077874
TI - The role of cholesterol in UV light B-induced apoptosis.
AB - Modification of major lipid raft components, such as cholesterol and ceramide,
plays a role in regulation of programmed cell death under various stimuli.
However, the relationship between cholesterol level modification and the
activation of apoptotic signaling cascades upon UVB light has not been
established. In this report, we demonstrate that upon UVB irradiation cholesterol
levels in membrane rafts of skin cells increase, which leads to Fas-receptor
(Fas) aggregation in the rafts. Utilizing a continuous velocity floatation
technique, we show that Fas accumulated in the lipid rafts of human melanoma M624
cells after UVB irradiation. The subsequent events of death-inducing signaling
complex formation were also detected in the lipid raft fractions. Depletion of
cholesterol by methyl-beta-cyclodextrin reduces Fas aggregation, while
overloading increases. Disruption of lipid rafts also prevents Fas death domain
associated protein (Daxx) from dissociating from Fas in the lipid rafts, which is
accompanied with a reduced apoptotic, but increased nonapoptotic death of UVB
irradiated human keratinocytes, HaCaT cells. Results indicate that cholesterol
located in the plasma membrane of skin cells is required for lipid raft domain
formation and activation of UVB-induced apoptosis.
PMID- 22077875
TI - Isolation and biological characterization of non-B HIV type 1 from Kenya.
AB - The isolation and characterization of primary strains of human immunodeficiency
virus (HIV) is a vital tool for assessing properties of viruses replicating in
HIV-infected subjects. HIV-1 isolation was carried out from 30 HIV-1-infected
patients from a Comprehensive Care Clinic (CCC) after informed consent. Virus was
successfully isolated from 9 out of the 30 samples investigated. Seven of the
isolates were from drug-naive patients while two were from patients on
antiretroviral drugs. The isolates were biologically phenotyped through
measurement of the syncytium-inducing capacity in MT2 cells. Six of the isolates
exhibited syncytia induction (SI) associated with CXCR4 coreceptor usage while
three of the isolates were non-syncytia-inducing (NSI) isolates associated with
CCR5 coreceptor usage. In addition, the replication capacity of the isolates was
further determined in established cell line CD4(+) C8166. Indirect
immunofluorescence assay was used to check the antigen expression on the cells as
a supplementary test. HIV-1 isolation success was 70% (7/10) and 20% (2/20) in
naive and drug-experienced patients, respectively. The majority of the viral
isolates obtained (6/9) were of the SI phenotype, though SI virus strains are
rare among non-B subtypes. A significant correlation between virus isolation
success and viral load was established. Coreceptor use data for heavily treatment
experienced patients with limited treatment options are scanty and this is the
group with perhaps the most urgent need of novel antiretroviral agents.
PMID- 22077876
TI - Molecular docking and competitive binding study discovered different binding
modes of microsomal prostaglandin E synthase-1 inhibitors.
AB - Microsomal prostaglandin E synthase-1 (mPGES-1) is a newly recognized therapeutic
target for the treatment of inflammation, pain, cancer, atherosclerosis, and
stroke. Many mPGES-1 inhibitors have been discovered. However, as the structure
of the binding site is not well-characterized, none of these inhibitors was
designed based on the mPGES-1 structure, and their inhibition mechanism remains
to be fully disclosed. Recently, we built a new structural model of mPGES-1 which
was well supported by experimental data. Based on this model, molecular docking
and competition experiments were used to investigate the binding modes of four
representive mPGES-1 inhibitors. As the inhibitor binding sites predicted by
docking overlapped with both the substrate and the cofactor binding sites, mPGES
1 inhibitors might act as dual-site inhibitors. This inhibitory mechanism was
further verified by inhibitor-cofactor and inhibitor-substrate competition
experiments. To investigate the potency-binding site relationships of mPGES-1
inhibitors, we also carried out molecular docking studies for another series of
compounds. The docking results correlated well with the different inhibitory
effects observed experimentally. Our data revealed that mPGES-1 inhibitors could
bind to the substrate and the cofactor binding sites simultaneously, and this
dual-site binding mode improved their potency. Future rational design and
optimization of mPGES-1 inhibitors can be carried out based on this binding
mechanism.
PMID- 22077877
TI - Selective transition state stabilization via hyperconjugative and conjugative
assistance: stereoelectronic concept for copper-free click chemistry.
AB - Dissection of stereoelectronic effects in the transition states (TSs) for
noncatalyzed azide-alkyne cycloadditions suggests two approaches to selective
transition state stabilization in this reaction. First, the formation of both 1,4
and 1,5-isomers is facilitated via hyperconjugative assistance to alkyne bending
and C...N bond formation provided by antiperiplanar sigma-acceptors at the
propargylic carbons. In addition, the 1,5-TS can be stabilized via attractive C
H...F interactions. Although the two effects cannot stabilize the same transition
state for the cycloaddition to alpha,alpha-difluorocyclooctyne (DIFO), they can
act in a complementary, rather than competing, fashion in acyclic alkynes where
B3LYP calculations predict up to ~1 million-fold rate increase relative to 2
butyne. This analysis of stereoelectronic effects is complemented by the
distortion analysis, which provides another clear evidence of selective TS
stabilization. Changes in electrostatic potential along the reaction path
revealed that azide polarization may create unfavorable electrostatic
interactions (i.e., for the 1,5-regioisomer formation from 1-fluoro-2-butyne and
methyl azide). This observation suggests that more reactive azides can be
designed via manipulation of charge distribution in the azide moiety. Combination
of these effects with the other activation strategies should lead to the rational
design of robust acyclic and cyclic alkyne reagents for fast and tunable "click
chemistry". Further computational and experimental studies confirmed the
generality of the above accelerating effects and compared them with the
conjugative TS stabilization by pi-acceptors.
PMID- 22077879
TI - Comment on "do some NOx emissions have negative environmental damages? Evidence
and implications for policy".
PMID- 22077880
TI - The lived experiences of parents of children diagnosed with cancer in Iran.
AB - Threatening nature of childhood cancer and the aggressiveness of prescribed
treatments place enormous stress on the family of children suffering from cancer.
This study was conducted to better understand the lived experiences of parents of
children suffering from cancer and to document their account of such experiences.
The aim of this research was to describe the lived experiences of parents of
children diagnosed with cancer in Iran. This hermeneutic phenomenological study
was conducted between June 2008 and May 2009. Data were generated from 15 parents
using in-depth interviews to capture their experiences of having children with
cancer. Analysis is based on the framework of Diekelmann enabled data
interpretation and elaboration of shared themes. One constitutive pattern
'Feeling trapped in the cancer dead end' and four related themes, namely
'Contradiction in reconciliation with situation', 'Doomed to accept', 'Isolation
from others' and 'Devastated life', were identified. The results of this study
showed that parents feel as if they are trapped by their child's disease. They
are affected by several mental, social and familial issues while trying to
tolerate their child's illness and conform to the new order of life.
PMID- 22077881
TI - Myocardial performance index derived from preejection period: a novel and
feasible parameter in evaluation of cardiac performance in patients with
permanent atrial fibrillation.
AB - BACKGROUND: Using tissue Doppler echocardiography, we can measure preejection
period (PEPa), defined as the interval measured from the onset of QRS to the
onset of the systolic mitral annular velocity pattern, isovolumic relaxation time
(IVRTa), defined as the interval measured from the end of systolic mitral annular
velocity pattern to the onset of diastolic mitral annular velocity pattern, and
ejection time (ETa), defined as the interval measured from the onset to the end
of systolic mitral annular velocity pattern on the same cardiac cycle. The aim of
this study is to test the applicability of PEPa-derived myocardial performance
index (MPI), defined as the ratio of PEPa + IVRTa to ETa, as an indicator of
combined left ventricular systolic and diastolic function in patients with
permanent atrial fibrillation. METHODS: Echocardiographic examination was
performed in 54 consecutive patients with permanent atrial fibrillation. Clinical
and echocardiographic parameters were compared and analyzed. RESULTS: After a
multivariate analysis, the average RR interval on the tissue Doppler image (beta=
0.328, P = 0.002), left ventricular ejection fraction (beta=-0.260, P = 0.024),
and early diastolic mitral annular velocity (beta=-0.408, P < 0.001) were the
major determinants of PEPa-derived MPI. CONCLUSIONS: PEPa-derived MPI had a
significant correlation with echocardiographic left ventricular diastolic and
systolic function. It may be a novel and feasible indicator in assessment of
global left ventricular function in patients with permanent atrial fibrillation.
PMID- 22077883
TI - Mitral valve regurgitation in patients supported on continuous flow pumps.
PMID- 22077884
TI - The mixing mechanism during lithiation of Si negative electrode in Li-ion
batteries: an ab initio molecular dynamics study.
AB - In order to realize Si as a negative electrode material in commercial Li-ion
batteries, it is important to understand the mixing mechanism of Li and Si, and
stress evolution during lithiation in Si negative electrode of Li-ion batteries.
Available experiments mainly provide the diffusivity of Li in Si as an averaged
property, neglecting information regarding diffusivity of Si. However, if Si can
diffuse as fast as Li, the stress generated during Li diffusion can be reduced.
We, therefore, studied the diffusivity of Li as well as Si atoms in the Si-anode
of Li-ion battery using an ab initio molecular dynamics-based methodology. The
electrochemical insertion of Li into crystalline Si prompts a crystalline-to
amorphous phase transition. We considered this situation and thus examined the
diffusion kinetics of Li and Si atoms in both crystalline and amorphous Si. We
find that Li diffuses faster in amorphous Si as compared to crystalline Si, while
Si remains relatively immobile in both cases and generates stresses during
lithiation. To further understand the mixing mechanism and to relate the
structure with electrochemical mixing, we analyzed the evolution of the structure
during lithiation and studied the mechanism of breaking of Si-Si network by Li.
We find that Li atoms break the Si rings and chains and create ephemeral
structures such as stars and boomerangs, which eventually transform to Si-Si
dumbbells and isolated Si atoms in the LiSi phase. Our results are found to be in
agreement with the available experimental data and provide insights into the
mixing mechanism of Li and Si in Si negative electrode of Li-ion batteries.
PMID- 22077885
TI - Cu(I)- and proton-binding properties of the first N-terminal soluble domain of
Bacillus subtilis CopA.
AB - CopA, a P-type ATPase transporter involved in copper detoxification in Bacillus
subtilis, contains two soluble Atx1-like domains separated by a short linker at
its N-terminus, an arrangement that occurs widely in copper transporters from
both prokaryotes and eukaryotes. Both domains were previously found to bind Cu(I)
with very high affinity. Above a level of 1 Cu(I) per CopAab, dimerization
occurred, leading to a highly luminescent multinuclear Cu(I) species [Singleton C
& Le Brun NE (2009) Dalton Trans, 688-696]. To try to understand the
contributions of each domain to the complex Cu(I)-binding behaviour of this and
related proteins, we purified a wild-type form of the first domain (CopAa). In
isolation, the domain bound Cu(I) with very high affinity (K = ~ 1 * 10(18) m(-1)
) and underwent Cu(I)-mediated protein association, resulting in a mixture of
dimer and tetramer species. Addition of further Cu(I) up to 1 Cu(I) per CopAa
monomer led to a weakly luminescent species, whereas further additions [2 Cu(I)
per CopAa monomer] resulted in protein unfolding. Analysis of the MTCAAC binding
motif Cys residue acid-base properties revealed pK(a) values of 5.7 and 7.3,
consistent with the pH dependence of Cu(I) binding, and with the proposal that
low proton affinity is associated with high Cu(I) affinity. Finally, Cu(I)
exchange between CopAa and the chelator bathocuproine sulfonate revealed rapid
exchange in both directions, demonstrating an interaction between the protein and
the chelator that catalyses metal ion transfer. Overall, CopAa exhibits
similarities to CopAab in terms of affinity and complexity of Cu(I) binding, but
the details of Cu(I) binding are distinct.
PMID- 22077887
TI - Headache among patients with HIV disease: prevalence, characteristics, and
associations.
AB - BACKGROUND: Headache is one of the most common medical complaints reported by
individuals suffering from human immunodeficiency virus (HIV)/acquired immune
deficiency syndrome (AIDS), but limited and conflicting data exist regarding
their prevalence, prototypical characteristics, and relationship to HIV disease
variables in the current era of highly active antiretroviral therapy (HAART).
OBJECTIVES: The aims of the present cross-sectional study were to characterize
headache symptoms among patients with HIV/AIDS and to assess relations between
headache and HIV/AIDS disease variables. METHODS: Two hundred HIV/AIDS patients
(49% female; mean age = 43.22 +/- 12.30 years; 74% African American) from an
internal medicine clinic and an AIDS outreach clinic were administered a
structured headache diagnostic interview to assess headache characteristics and
features consistent with International Classification of Headache Disorders
(ICHD)-II diagnostic semiologies. They also completed 2 measures of headache
related disability. Prescribed medications, most recent cluster of
differentiation (CD4) cell count, date of HIV diagnosis, possible causes of
secondary headache, and other relevant medical history were obtained via review
of patient medical records. RESULTS: One hundred seven patients (53.5%) reported
headache symptoms, the large majority of which were consistent with
characteristics of primary headache disorders after excluding 4 cases
attributable to secondary causes. Among those who met criteria for a primary
headache disorder, 88 (85.44%) met criteria for migraine, most of which fulfilled
ICHD-II appendix diagnostic criteria for chronic migraine. Fifteen patients
(14.56%) met criteria for episodic or chronic tension-type headache. Severity of
HIV (as indicated by CD4 cell counts), but not duration of HIV or number of
prescribed antiretroviral medications, was strongly associated with headache
severity, frequency, and disability and also distinguished migraine from TTH.
CONCLUSIONS: Problematic headache is highly prevalent among patients with
HIV/AIDS, most of which conform to the semiology of chronic migraine, although
with some atypical features such as bilateral location and pressing/tightening
quality. A low frequency of identifiable secondary causes is likely attributable
to reduced frequency of opportunistic infections in the current era of HAART.
Disease severity is strongly predictive of headache, highlighting the importance
of physician attention to headache symptoms and of patient adherence to
treatment.
PMID- 22077889
TI - David victor glass (1911-1978).
PMID- 22077888
TI - Association of prostate cancer risk alleles with unfavourable pathological
characteristics in potential candidates for active surveillance.
AB - OBJECTIVE: * To assess whether the carrier status of 35 risk alleles for prostate
cancer (CaP) is associated with having unfavourable pathological features in the
radical prostatectomy specimen in men with clinically low risk CaP who fulfil
commonly accepted criteria as candidates for active surveillance. PATIENTS AND
METHODS: * We studied men of European ancestry with CaP who fulfilled the
commonly accepted clinical criteria for active surveillance (T1c, prostate
specific antigen <10 ng/mL, biopsy Gleason <=6, three or fewer positive cores,
<=50% tumour involvement/core) but instead underwent early radical prostatectomy.
* We genotyped these men for 35 CaP risk alleles. We defined 'unfavourable'
pathological characteristics to be Gleason >=7 and/or >= pT2b in their radical
prostatectomy specimen. RESULTS: * In all, 263 men (median age 60 [46-72] years)
fulfilled our selection criteria for active surveillance, and 58 of 263 (22.1%)
were found to have 'unfavourable' pathological characteristics. * The frequencies
of three CaP risk alleles (rs1447295 [8q24], P= 0.004; rs1571801 [9q33.2], P=
0.03; rs11228565 [11q13], P= 0.02) were significantly higher in men with
'unfavourable' pathological characteristics. * Two other risk alleles were
proportionately more frequent (rs10934853 [3q21], P= 0.06; rs1859962 [17q24], P=
0.07) but did not achieve nominal statistical significance. * Carriers of any one
of the significantly over-represented risk alleles had twice the likelihood of
unfavourable tumour features (P= 0.03), and carriers of any two had a sevenfold
increased likelihood (P= 0.001). * Receiver-operator curve analysis demonstrated
an area under the curve of 0.66, suggesting that the number of single nucleotide
polymorphisms carried provided discrimination between men with 'favourable' and
'unfavourable' tumour features in their prostatectomy specimen. CONCLUSION: * In
potential candidates for active surveillance, certain CaP risk alleles are more
prevalent in patients with 'unfavourable' pathological characteristics in their
radical prostatectomy specimen.
PMID- 22077890
TI - The Decline of marital fertility in Japan.
AB - Summary Studies concerning the demographic history of Tokuwara and Meiji Japan
suggest that fertility rose substantially before declining during the twentieth
century. Were the motivations and circumstances which held down natality during
the feudal period similar to those which account for the modern fertility decline
and the low birth rate obtaining in the Japan of to-day? The thesis of this paper
is that the pre-modern situation was fundamentally different from the modern one.
During the Tokugawa era infanticide and abortion were used, independently of
parity, to eliminate weak offspring whose chances of survival were deemed poor.
Desired natality generally exceeded natural fertility. With the rise of income
per head during the Meiji period the population's need for these desparate
practices vanished. To-day parity-specific control characterizes fertility.
Parityspecific control was diffused throughout Japan in response to declining
desired fertility. Desired fertility fell significantly below natural fertility
sometime during the late nineteenth or early twentieth century and the wish to
reduce actual fertility to the desired level stimulated the adoption of parity
specific control. The speed of decline in marital fertility was partially
governed by official policies toward contraception and abortion, contraception
and abortion.
PMID- 22077891
TI - The Relevance of the 'Easterlin hypothesis' and the 'new home economics' to
fertility movements in Great Britain.
AB - Summary The hypothesis that a family's economic status relative to its
aspirations (relative economic status) is an important determinant of its
fertility behaviour has been developed and applied to the explanation of swings
in American fertility by R. A. Easterlin. However, a recent application by Butz
and Ward of a model derived from the 'new home economics' (pioneered by Becker
and Mincer) strongly suggests that relative economic status is not the dominant
factor in explaining fertility movements in the U.S.A. Rather, both current men's
and women's wages operate independently in explaining the movement in fertility,
and in particular the decline in fertility is attributed to rising women's wages.
In this paper we explore the relevance of both the Easterlin hypothesis and the
hypotheses derived from the 'new home economics' to the 1955-75 fertility swing
in Great Britain. We find that we must reject the Easterlin hypothesis on the
basis of the measures of relative economic status suggested by Easterlin and
Wachter. A variant of the Easterlin hypothesis suggested by Oppenheimer does
receive some support from the available evidence, and the evidence provides
strong support for the model of fertility behaviour derived from the 'new home
economics', which emphasizes the distinction between the effects of changes in
men's and women's real wages on fertility decisions. The cause of the fertility
decline is attributed to rising women's wages and employment opportunities
through their direct effect on the opportunity cost of time and children among
working wives and through their effect on the labour force participation of
married women of childbearing age. The test of this model and the estimates of
its parameters are not definitive, however, because of deficiencies in the data
and problems of statistical estimation. We nevertheless conclude that both this
model and the Oppenheimer variant of the Easterlin hypothesis, as well as other
elements of a more comprehensive economic theory of fertility, point to a
continuation of low fertility and the possibility of a secular decline with
fertility approaching some lower asymptote.
PMID- 22077892
TI - Models of contemporary Dutch family building.
AB - Summary Recent data suggest that the level of use of oral contraceptives in the
Netherlands is perhaps the highest in the world. Moreover, the greatest recent
change in family building patterns is the tendency of newly weds to postpone
their first birth. A micro-simulation model was developed to test the effect on
fertility of such a change. An attempt was made to employ input data compatible
with conditions obtaining in the Netherlands, and the distributions of family
building patterns were based on recent survey evidence. The aim was not to
duplicate Dutch fertility, but rather to estimate the range over which marital
duration-specific fertility can be expected to vary with an increase in the
proportion of couples who space their first birth. Such an increase was found to
lower fertility dramatically after five years of marriage, although completed
fertility was seen to vary very little. This result indicates the care that must
be taken in ascribing a decline in the fertility of the early years of marriage
to an overall fertility decline.
PMID- 22077893
TI - The four-parameter logit life table system.
AB - Summary Brass's model life table system, which is a two parameter system based
on the logit transformation of survivorship values, has been widely and
successfully used to describe age patterns of mortality in many populations. As
more reliable information has become available for populations with mortality
patterns which differ in important ways from the assumed standard pattern of
mortality, a more flexible model system is needed. This paper shows how Brass's
system can be expanded into a four-parameter model, and evaluates the performance
of the new system by examining how well it can fit observed life table data.
PMID- 22077894
TI - Fertility and mortality in Finland since 1750.
AB - Summary In this paper the development of fertility and mortality in Finland,
and their interrelations with each other and with economic factors is discussed.
An analysis by individual years shows that rises and falls in mortality and
fertility rates did not always coincide with poor and good harvests. Fertility
in Finland decreased slightly at the turn of the eighteenth and nineteenth
centuries, but fell sharply over the period 1876-1925. This fall corresponded
closely to changes in the death rate, especially for infants, and appears to
justify the conclusion that the changes were connected. These population shifts
have been called the first and second demographic transitions, of which the
latter was the more dramatic. Factors tending to reduce mortality among infants
and in other age groups during the second demographic transition are obvious;
those underlying the first demographic transition are less clear. In this
connection, the importance of breast feeding and campaigns designed to favour the
practice are stressed. These helped to reduce infant mortality and were one of
the main reasons for the first demographic transition. Finnish material also
suggests that some kind of family planning existed during the pre-industrial
period; it is only by making this assumption that the various figures can be made
compatible.
PMID- 22077895
TI - Emigration from Canada in the 1960s.
AB - Summary Emigration from Canada can be assessed only by indirect means. Here,
survival ratios have been applied to the total population enumerated in the 1961
Census and to particular segments of it, so that a comparison of the calculated
numbers in 1971 with the population actually enumerated indicates the volume of
emigration during the decade, both in the whole population and in certain groups.
Amounting to two-thirds of the number of immigrants during the same period, the
estimate for the ten years is exactly double the volume of emigration reported to
the Joint Parliamentary Committee on immigration policy of 1975. Since 1971, the
level of emigration has probably fallen. Of the 960,000 emigrants during the
decade, 42 per cent were Canadian-born. Their favourite destination was the
United States; immigrants, on the other hand, tended to return home. Many
immigrants now stay in Canada for only a few years. Fewer Canadians emigrate to
the United States. These two factors have contributed to a new pattern of
emigration, up-to-date details of which will not be ascertained before the Census
of 1981.
PMID- 22077896
TI - The population policy of China.
AB - Summary China has a consistent and well-defined policy to regulate the growth
of her population. Population policy is considered as an integral part of overall
social and economic development policy. It promotes the reduction of the growth
rate in densely populated areas but encourages the increase of population in
sparsely populated areas and among national minorities. A series of planned
internal migration policies has regulated the spatial distribution of population.
Main factors affecting the implementation of China's population policy are the
establishment of an effective organization and communication system, the
participation of the masses in the work, the socialist transformation of the
national economy, the changes in the structure and functions of the Chinese
family, the changing status of Chinese women, the development of public health
services and the campaign for late marriage and family planning.
PMID- 22077897
TI - Cholera epidemics and their control in Ceylon.
AB - Summary Although cholera was never endemic in Ceylon, the country was subject
to frequent (and in many instances explosive) cholera epidemics, due to its many
contacts with the Indian sub-continent, the source where the disease was endemic.
The frequency of epidemics was caused by the heavy movement of Indian labour
working on the plantations of Ceylon between the two countries. This study charts
the course of cholera in Ceylon beginning in the nineteenth century and seeks to
identify the causes which led to its decline. Although there has always been
doubt regarding the efficacy of quarantine in controlling cholera, its history in
Ceylon indicates that whatever the drawbacks, quarantine was one of the major
factors leading to the decline of this disease in the country. In addition, one
of the essential elements in the battle against cholera in Ceylon was the
development of a public health department which had the capacity to restrict the
spread of an epidemic. This was done by the timely enforcement of various public
health measures when the disease escaped the quarantine net. This survey also
indicates that in the case of Ceylon, medical treatment and hospitalization was
of no significance in controlling the number of deaths among those who contracted
cholera, since all the great cholera epidemics occurred before treatment by
intravenous re-hydration was introduced.
PMID- 22077898
TI - The differential attainment of family size goals by Race.
AB - Summary The 1973 U.S. National Survey of Family Growth is used to examine the
effects of removing number and timing failures from the reproductive histories of
various cohorts of white and black married women. Blacks are more fertile than
whites primarily because of their greater unwanted fertility. Removing number and
timing failures from the past reproductive histories of American women would have
reduced their fertility considerably. These reductions would have been greater
for blacks than for whites and would be greater if some wanted pregnancies had
continued to terminate in foetal loss.
PMID- 22077899
TI - A method for deriving mortality estimates from incomplete vital Statistics.
AB - Summary Although they are available in many developing countries vital
registration records are very little used for mortality estimation which is still
mainly based on census returns. However, defective death records may yield
accurate estimations of mortality. This procedure requires few data only; a sex
age distribution of the population (preferably at the middle of a period) and a
sexage distribution of deaths, either derived from vital records or from census
returns to questions relating to deaths during the preceding twelve months. This
method is based on the observation that for a fixed age structure of the
population, there is a one-one relation between the age structure of deaths
(measured by the proportion of deaths at older ages) and the level of mortality
(measured by the death rate above a certain minimum age). It is assumed that at
ages above this minimum the rate of underregistration of deaths does not vary
significantly with age. Therefore, the age distribution of registered deaths
makes it possible to estimate the true proportion of deaths at older ages. This
in its turn will permit the estimation of the true level of mortality, because of
the relation which exists between age structure of deaths and level of mortality.
The true level is then compared with the observed, to estimate the rate of
underregistration, and observed age-specific death rates can be adjusted in the
light of this knowledge.
PMID- 22077900
TI - A study of the correlation bias of unrecorded events by two independent
enumeration systems.
AB - Summary In this paper the allegation that, when using the Chandrasekar-Deming
technique with two independent enumeration systems to record the incidence of
vital events and migrations, each individual system misses the same type of event
is investigated. If this correlation bias were to occur frequently enough, it
could result in a serious underestimation of a given type of event. Using vital
events and migrations data derived from a longitudinal survey conducted in
Liberia between 1969 and 1973, the estimated number of recorded events is
tabulated into homogeneous groups that are demonstrated to affect omission rates.
The proportion of missed eyents is computed separately for each enumeration
system, and the presence or absence of a significant correlation mathematically
determined. The results of this study suggest that no significant correlation
could be demonstrated in the type of birth, death or infant death that was
commonly missed by each individual enumeration system. This indicates that the
omission of a birth, death or infant death was apparently a random occurrence as
far as the individual casefinding systems were concerned. The authors conclude
that any underestimation of vital events from this type of correlation bias may
not be as serious as originally imagined. In contrast to this observation, the
omission of particular types of migrations by each enumeration system was
apparently not a random occurrence, but was associated with the direction of
migration. This implies that selected migration rates in the liberian survey were
probably understated and this could happen in other longitudinal surveys, unless
specific precautions are taken to avoid it.
PMID- 22077903
TI - Ustekinumab in clinical practice: response depends on dose and previous
treatment.
AB - OBJECTIVES: To evaluate the efficacy of ustekinumab in a series of patients with
moderate to severe psoriasis treated according to the European Medicines Agency
(EMA) label and to identify factors such as dose, baseline PASI or previous
treatment potentially related to therapeutic outcome. METHODS: Retrospective
review of the clinical records from 36 consecutive patients treated with
ustekinumab during at least 36 weeks at a single referral center. Candidates for
treatment had a PASI>=10 or a BSA>=10 and either failure to respond to, or a
contraindication to, or intolerance to some systemic or another biologic
treatment. The main outcome measures were PASI improvement with respect to
baseline at weeks 12 and 24 (prior to the third injection of ustekinumab).
RESULTS: Overall 75%, 69%, and 86% patients achieved PASI75 response rates at
weeks 12, 24 and 36, respectively. Patients weighing<=100 kg and treated with 45
mg doses had better PASI 50, PASI75 and PASI90 response rates than heavier
patients (treated with 90 mg) at every point in time, and the differences were
statistically significant at week 24. PASI75 response rates at week 24 were
significantly better in patients with no prior exposure to TNFalpha blocking
agents (85% vs. 50%, P=0.0235). CONCLUSIONS: In clinical practice, ustekinumab is
effective both in biologic-nayve patients and as salvage therapy when other
biological treatments have failed. The response rates prior to the third
injection in our series were better in patients weighing<=100 kg and in those
without previous exposure to biologics.
PMID- 22077905
TI - Increasing diversity of Human Immunodeficiency Virus type 1 subtypes circulating
in Australia.
AB - Characterization of HIV subtypes can provide a more comprehensive understanding
of the epidemic within a distinct region, and when combined with notification
data, may also be helpful in enhancing current HIV prevention strategies. In this
study, we characterized 1056 HIV-positive individuals (948 males and 108 females)
living in Victoria and whose infection was detected for the first time between
2005 and 2010 inclusive. HIV-1 strains were subtyped based on pol gene sequence.
Phylogenetic analysis was performed on all non-B subtype sequences identified. Of
the 1056 sequences analyzed, 825 were subtype B and 231 were non-B. Overall 6 HIV
1 subtypes, 6 circulating recombinant forms (CRFs), and 12 unique recombinant
forms (URFs) were identified. Regardless of gender, the majority of individuals
were infected with a subtype B virus (78%). Subtype B was dominant in males
(n=806, 85%). In contrast, the majority of females were infected with non-B
subtypes (n=89, 82%), in particular subtype C (n=48, 45%). Phylogenetic analysis
of the non-B subtypes revealed that the majority of clustering, and thereby
transmission, occurred with CRF01_AE strains. Despite the relatively high numbers
identified in females there was very little clustering of subtype C viruses.
Subtypes C and A1 both historically associated with heterosexual transmission,
and CRF01_AE often associated with IVDU, were also associated with transmission
within the MSM population, demonstrating the potential for non-B subtypes to
expand into the MSM population. The observation of increasing numbers of females
and heterosexual males infected with non-subtype B viruses, the majority imported
through migration and travel to countries where there is a high prevalence of
HIV, suggests a targeted public health message may be required to prevent further
increases within these two groups.
PMID- 22077904
TI - Miconazole induces fungistasis and increases killing of Candida albicans
subjected to photodynamic therapy.
AB - Cutaneous and mucocutaneous Candida infections are considered to be important
targets for antimicrobial photodynamic therapy (PDT). Clinical application of
antimicrobial PDT will require strategies that enhance microbial killing while
minimizing damage to host tissue. Increasing the sensitivity of infectious agents
to PDT will help achieve this goal. Our previous studies demonstrated that
raising the level of oxidative stress in Candida by interfering with fungal
respiration increased the efficiency of PDT. Therefore, we sought to identify
compounds in clinical use that would augment the oxidative stress caused by PDT
by contributing to reactive oxygen species (ROS) formation themselves. Based on
the ability of the antifungal miconazole to induce ROS in Candida, we tested
several azole antifungals for their ability to augment PDT in vitro. Although
miconazole and ketoconazole both stimulated ROS production in Candida albicans,
only miconazole enhanced the killing of C. albicans and induced prolonged
fungistasis in organisms that survived PDT using the porphyrin TMP-1363 and the
phenothiazine methylene blue as photosensitizers. The data suggest that
miconazole could be used to increase the efficacy of PDT against C. albicans, and
its mechanism of action is likely to be multifactorial.
PMID- 22077906
TI - Early family context and development of adolescent ruminative style: moderation
by temperament.
AB - We know very little about the development of rumination, the tendency to
passively brood about negative feelings. Because rumination is a risk factor for
many forms of psychopathology, especially depression, such knowledge could prove
important for preventing negative mental health outcomes in youth. This study
examined developmental origins of rumination in a longitudinal sample (N=337; 51%
girls) studied in preschool (ages 31/2 and 41/2 years) and early adolescence
(ages 13 and 15 years). Results indicated that family context and child
temperament, assessed during the preschool period, were risk factors for a
ruminative style in adolescence. Specifically, early family contexts
characterised by over-controlling parenting and a family style of negative
submissive expressivity predicted higher levels of later rumination. These
associations were moderated by children's temperamental characteristics of
negative affect and effortful control. Further, the interaction of these
temperament factors exerted an additional influence on later rumination.
Implications for prevention and intervention efforts are discussed.
PMID- 22077907
TI - A randomised controlled trial of the efficacy of supported employment.
AB - OBJECTIVE: Although numerous randomised controlled trials indicated the
superiority of supported employment (SE), we still have too little evidence that
SE is more effective than traditional vocational rehabilitation programmes (TVR)
in Western European countries with highly developed social security and welfare
systems, sophisticated rehabilitation programmes and high thresholds to the open
labour market. The aim of this study is to prove the efficacy of SE in
Switzerland. METHOD: Following a 2-week intake assessment, 100 unemployed
persons with stabilised severe mental illness (SMI) were randomly assigned to
either the SE programme (n=46) or to the most viable locally available TVR
(n=54). Follow-up lasted 24 months. RESULTS: After the first year, the rate of
competitive employment reached a mean level of 48.2% in the SE group and of 18.5%
in the TVR group. 58.7% of the SE group were ever competitively employed as
opposed to 25.9% of the TVR group. In the second year, SE group participants were
competitively employed for 24.5 weeks as compared with 10.2 in the TVR group. The
groups showed no significant differences in the non-vocational outcome criteria.
CONCLUSION: The SE programme in Switzerland also proved more effective than TVR
and seems to be applicable to the socio-economic context of Western European
countries.
PMID- 22077908
TI - "Lighthouse in the dark": a qualitative study of the role of breast care nurse
specialists in Israel.
AB - This study gains insight into the role of the breast care nurse specialist
through an analysis of thank you letters written by women with breast cancer in a
tertiary hospital in Israel. Descriptive content analysis was used to analyze 125
thank you letters. The findings revealed three aspects of the role of the breast
care nurse specialist: instrumental, cognitive, and emotional. The women
described these aspects as "paving the way through bureaucracy" (instrumental),
being a "traffic light at a crossroad in life" (cognitive), and treating each one
of them "as if I am your only patient" (emotional). This analysis highlighted the
significance of the breast care nurse specialist in a holistic and integrative
role that fills a gap within the biomedical healthcare system. Transferability of
the findings to other nurse specialist roles in different clinical settings
should be investigated.
PMID- 22077910
TI - Editorial.
PMID- 22077909
TI - Abciximab and heparin versus bivalirudin for non-ST-elevation myocardial
infarction.
AB - BACKGROUND: The combination of glycoprotein IIb/IIIa inhibitors and heparin has
not been compared with bivalirudin in studies specifically involving patients
with non-ST-segment elevation myocardial infarction undergoing percutaneous
coronary intervention (PCI). We compared the two treatments in this patient
population. METHODS: Immediately before PCI, we randomly assigned, in a double
blind manner, 1721 patients with acute non-ST-segment elevation myocardial
infarction to receive abciximab plus unfractionated heparin (861 patients) or
bivalirudin (860 patients). The study tested the hypothesis that abciximab and
heparin would be superior to bivalirudin with respect to the primary composite
end point of death, large recurrent myocardial infarction, urgent target-vessel
revascularization, or major bleeding within 30 days. Secondary end points
included the composite of death, any recurrent myocardial infarction, or urgent
target-vessel revascularization (efficacy end point) and major bleeding (safety
end point) within 30 days. RESULTS: The primary end point occurred in 10.9% of
the patients in the abciximab group (94 patients) and in 11.0% in the bivalirudin
group (95 patients) (relative risk with abciximab, 0.99; 95% confidence interval
[CI], 0.74 to 1.32; P=0.94). Death, any recurrent myocardial infarction, or
urgent target-vessel revascularization occurred in 12.8% of the patients in the
abciximab group (110 patients) and in 13.4% in the bivalirudin group (115
patients) (relative risk, 0.96; 95% CI, 0.74 to 1.25; P=0.76). Major bleeding
occurred in 4.6% of the patients in the abciximab group (40 patients) as compared
with 2.6% in the bivalirudin group (22 patients) (relative risk, 1.84; 95% CI,
1.10 to 3.07; P=0.02). CONCLUSIONS: Abciximab and unfractionated heparin, as
compared with bivalirudin, failed to reduce the rate of the primary end point and
increased the risk of bleeding among patients with non-ST-segment elevation
myocardial infarction who were undergoing PCI. (Funded by Nycomed Pharma and
others; ISAR-REACT 4 ClinicalTrials.gov number, NCT00373451.).
PMID- 22077911
TI - Cross-cultural adaptation and psychometric properties of the Brazilian-Portuguese
version of the Duke Anticoagulation Satisfaction Scale.
AB - AIM: The aim of this study was to evaluate the internal reliability and validity
of the Brazilian-Portuguese version of Duke Anticoagulation Satisfaction Scale
(DASS) among cardiovascular patients. BACKGROUND: Oral anticoagulation is widely
used to prevent and treat thromboembolic events in several conditions, especially
in cardiovascular diseases; however, this therapy can induce dissatisfaction and
reduce the quality of life. DESIGN: Methodological and cross-sectional research
design. METHODS: The cultural adaptation of the DASS included the translation and
back-translation, discussions with healthcare professionals and patients to
ensure conceptual equivalence, semantic evaluation and instrument pretest. The
Brazilian-Portuguese version of the DASS was tested among subjects followed in a
university hospital anticoagulation outpatient clinic. The psychometric
properties were assessed by construct validity (convergent, known groups and
dimensionality) and internal consistency/reliability (Cronbach's alpha). RESULTS:
A total of 180 subjects under oral anticoagulation formed the baseline validation
population. DASS total score and SF-36 domain correlations were moderate for
General health (r=-0.47, p<0.01), Vitality (r=-0.44, p<0.01) and Mental health
(r=-0.42, p<0.01) (convergent). Age and length on oral anticoagulation therapy
(in years) were weakly correlated with total DASS score and most of the
subscales, except Limitation (r=-0.375, p<0.01) (Known groups). The Cronbach's
alpha coefficient was 0.79 for the total scale, and it ranged from 0.76 (hassles
and burdens)-0.46 (psychological impact) among the domains, confirming the
internal consistency reliability. CONCLUSIONS: The Brazilian-Portuguese version
of the DASS has shown levels of reliability and validity comparable with the
original English version. RELEVANCE TO CLINICAL PRACTICE: Healthcare
practitioners and researchers need internationally validated measurement tools to
compare outcomes of interventions in clinical management and research tools in
oral anticoagulation therapy.
PMID- 22077913
TI - Could head circumference be used to screen for autism in young males with
developmental delay?
AB - AIM: Research has suggested an abnormal acceleration in head circumference growth
in children with autism within the first 12 months of life. This study aimed to
examine head circumference at birth and head circumference growth rates in young
children with autism and developmental delay, and young children with
developmental delay without autism. METHODS: This study assessed head
circumference at birth and rate of change in head circumference in young children
with autism (n=86) and children with developmental delay without autism (n=40).
RESULTS: For both groups of children, head circumference at birth and head
circumference growth were compared with Centers for Disease Control normative
data. No differences were found between the group of children with autism and
developmental delay compared with the group with developmental delay only.
However, when the sample was compared with a range of selected Centers for
Disease Control normative medians, the children with autism were found to have
significantly smaller head circumferences at birth and significantly larger head
circumference at 18.5 months of age. CONCLUSIONS: These results are discussed in
relation to the potential of accelerated head circumference growth as an early
marker for autism. This study failed to find a difference in the head
circumferences of children with autism and developmental delay and children with
developmental delay only, thus suggesting that head circumference measurement has
limited value as an early marker for autism.
PMID- 22077912
TI - The behavioral activation system and mania.
AB - For over two decades, theorists have suggested that mania relates to heightened
sensitivity of the behavioral activation system (BAS). In this article, we review
a burgeoning empirical literature on this model, drawing on both cross-sectional
and prospective studies. As evidence has emerged for this model, we argue that it
is time to consider more specific aspects of BAS sensitivity in this disorder. We
review evidence that bipolar disorder relates to an increased willingness to
expend effort toward reward and to increases in energy and goal pursuit after an
initial reward. We conclude by considering the strengths and weaknesses of this
literature, with an eye toward future directions and implications for treatment.
PMID- 22077914
TI - Low- vs. high-pressure suction drainage after total knee arthroplasty: a double
blind randomized controlled trial.
AB - AIM: The aim of this study was to assess the efficacy of continuous low-pressure
suction drainage compared with closed high-pressure suction following total knee
arthroplasty. BACKGROUND: Closed wound drainage systems are used in surgical
interventions to reduce the incidence of haematomas, promote wound healing and
reduce infections. However, evidence shows that using a closed wound drainage
system can increase transfusion requirements. DATA SOURCES: A randomized, double
blind and parallel controlled trial was performed. Adult knee replacement
patients recruited between May 2006 and March 2007 were assigned to receive low
pressure suction of 50 mmHg (experimental drainage) or high-pressure suction of
700 mmHg (comparator drainage). METHODS: The primary outcome was total blood loss
after surgery. Secondary outcomes were incidence of transfusion, complications
and mortality. Statistical analysis was based on an intention-to-treat approach.
Linear regression was performed to account for factors that could influence blood
loss. RESULTS: A total of 169 patients were included. Mean age was 73 (+/-6)
years, 128 women and 41 men. A total of 84 patients were randomized to the
experimental drainage and 85 to the comparator drainage. Analysis showed a total
postoperative blood loss of 541.8 mL in the experimental group and 524.4 mL in
the comparator group (P = 0.734). The only factor that showed an association with
blood loss was the length of surgery. Linear regression did not show differences
between the groups. CONCLUSION: Continuous low-pressure suction of 50 mmHg is not
more effective than the higher aspiration pressure system to diminish the blood
loss in total knee arthroplasty. The results do not support any change in current
nursing practice relating to the use of this drain system.
PMID- 22077915
TI - Effectiveness of calcium hydroxide-based intracanal medicaments against
Enterococcus faecalis.
AB - AIM: To evaluate the antimicrobial efficacy of calcium hydroxide-based intracanal
medicaments against Enterococcus faecalis. METHODOLOGY: One hundred and six
single-rooted human teeth were inoculated with E. faecalis (ATCC 29212) and
incubated in an microaerophilic environment for 21 days. Teeth were divided
according to the medicaments and period: G1 - Calen (7 days); G2 - Calen (14
days); G3 - Calen/camphorated paramonochlorophenol (CMCP) (7 days); G4 -
Calen/CMCP (14 days); G5 - Calen/0.4% chlorhexidine (CHX) (7 days); G6 -
Calen/0.4% CHX (14 days); G7 - Calen/1% CHX (7 days); G8 - Calen/1% CHX (14
days); G9 - chemo-mechanical preparation with no medication and G10 - no chemo
mechanical preparation and no medication. Microbial samples were harvested
immediately after removal of the intracanal dressing and 7 days later. Data were
subjected to anova (P < 0.05). RESULTS: All medicaments were associated with a
reduction in E. faecalis after removal of the dressing (post-medication harvest).
However, all specimens were associated with an increase in CFU mL(-1) values
after 7 days (final harvest). Calen/CMCP and Calen/CHX had the lowest CFU mL(-1)
values (P < 0.05). CONCLUSION: In this laboratory study using extracted teeth all
calcium hydroxide-based medicaments were able to significantly reduce the CFU mL(
1) values of E. faecalis in the root canal system.
PMID- 22077916
TI - Discovery of alpha7-nicotinic receptor ligands by virtual screening of the
chemical universe database GDB-13.
AB - The chemical universe database GDB-13 enumerates 977 million organic molecules up
to 13 atoms of C, N, O, Cl, and S that are virtually possible following simple
rules for chemical stability and synthetic feasibility. Analogs of nicotine were
identified in GDB-13 using the city-block distance in MQN-space (CBD(MQN)) as a
similarity measure, combined with a restriction eliminating problematic
structural elements. The search was carried out with a Web browser available at
www.gdb.unibe.ch . This virtual screening procedure selected 31 504 analogs of
nicotine from GDB-13, from which 48 were known nicotinic ligands reported in
Chembl. An additional 60 virtual screening hits were purchased and tested for
modulation of the acetylcholine signal at the human alpha7 nAChR expressed in
Xenopus oocytes, which led to the identification of three previously unknown
inhibitors. These experiments demonstrate for the first time the use of GDB-13
for ligand discovery.
PMID- 22077917
TI - Coronary artery fistula: 64-slice computed tomographic delineation and
correlation with multiplane transesophageal echocardiography and surgical
findings.
AB - A 49-year-old female who presented with 3 weeks of exertional chest pain had an
abnormal mediastinal finding at chest x-ray imaging. Conventional, nongated
computed tomography of the chest revealed a "mass" in proximity to the right
atrium. 64-slice, cardiac gated computed tomographic coronary angiography, and
transesophageal echocardiography delineated the "mass" as a coronary artery
fistula structure. The fistula originated from the left main as a tubular vessel
that continued into an aneurysmal sac-like cavity that emptied into the superior
vena cava near the right atrium. Computed tomographic coronary angiography showed
otherwise normal coronary arteries. Findings were ultimately confirmed at cardiac
catheterization. Coronary steal was clinically diagnosed and she underwent
surgical ligation and resection of the fistula and aneurysm. Her subsequent
course was uncomplicated.
PMID- 22077918
TI - Endocrine Society Congenital Adrenal Hyperplasia Guidelines: great content but
how to deliver?
PMID- 22077919
TI - Benzobisoxazole cruciforms: heterocyclic fluorophores with spatially separated
frontier molecular orbitals.
AB - We report the synthesis of nine conjugated cruciform-shaped molecules based on
the central benzo[1,2-d:4,5-d']bisoxazole nucleus, at which two conjugated
currents intersect at a ~90 degrees angle. Cruciforms' substituents were varied
pairwise among the electron-neutral phenyl groups, electron-rich 4-(N,N
dimethylamino)phenyl substituents, and electron-poor pyridines. Hybrid density
functional theory calculations revealed that the highest occupied molecular
orbitals (HOMOs) are localized (24-99%) in all cruciforms, in contrast to the
lowest unoccupied molecular orbitals (LUMOs) which are strongly dependent on the
substitution and less localized (6-64%). Localization of frontier molecular
orbitals (FMOs) along different axes of these cruciforms makes them promising as
sensing platforms, since analyte binding to the cruciform should mandate a change
in the HOMO-LUMO gap and the resultant optical properties. This prediction was
verified using UV/vis absorption and emission spectroscopy: cruciforms'
protonation results in hypsochromic and bathochromic shifts consistent with the
preferential stabilization of HOMO and LUMO, respectively. In donor-acceptor
substituted systems, a two-step optical response to protonation was observed,
wherein an initial bathochromic shift is followed by a hypsochromic one with
continued acidification. X-ray diffraction studies of three selected cruciforms
revealed the expected ~90 degrees angle between the cruciform's substituents,
and crystal packing patterns dominated by [pi...pi] stacking and edge-to-face [C
H...pi] contacts.
PMID- 22077921
TI - Co-administration of haloperidol and drugs affecting the angiotensin pathway:
effect on the extrapyramidal system.
AB - The present study investigates the extrapyramidal effects of co-administration of
enalapril (angiotensin-converting enzyme inhibitor) or losartan (angiotensin
receptor blocker) with haloperidol in mice. Enalapril/losartan (as a suspension
in 1% gum acacia) was administered by oral gavage and haloperidol was
administered as an intraperitoneal injection to all the animals for seven days.
Catalepsy was measured 30 min after the administration of haloperidol (1 mg/kg
i.p.) on days 1 and 7. Observations on day 1 constituted the acute study (single
dose administration) and observations on day 7, constituted the chronic study
(repeated dose administration). Both acute and chronic administration of
enalapril/losartan produced an increase in the duration of haloperidol induced
catalepsy at the highest dose (20 mg/kg). Enalapril produced a more pronounced
increase in the duration of catalepsy as compared to losartan on both acute and
chronic administration. Results of our study suggest that co-administration of
anti-psychotics and drugs affecting the angiotensin system can lead to an
increase in motor side effects and therefore should be used with caution in
patients with these co-morbid conditions.
PMID- 22077920
TI - An immunoassay to evaluate human/environmental exposure to the antimicrobial
triclocarban.
AB - A sensitive, competitive indirect enzyme-linked immunosorbent assay (ELISA) for
the detection of the antimicrobial triclocarban (TCC) was developed. The haptens
were synthesized by derivatizing the para position of a phenyl moiety of TCC. The
rabbit antisera were screened and the combination of antiserum 1648 and a
heterologous competitive hapten containing a piperidine was further
characterized. The IC(50) and detection range for TCC in buffer were 0.70 and
0.13-3.60 ng/mL, respectively. The assay was selective for TCC, providing only
low cross-reactivity to TCC-related compounds and its major metabolites except
for the closely related antimicrobial 3-trifluoromethyl-4,4'-dichlorocarbanilide.
A liquid-liquid extraction for sample preparation of human body fluids resulted
in an assay that measured low part per billion levels of TCC in small volumes of
the samples. The limits of quantification of TCC were 5 ng/mL in blood/serum and
10 ng/mL in urine, respectively. TCC in human urine was largely the N- or N'
glucuronide. TCC concentrations of biosolids measured by the ELISA were similar
to those determined by LC-MS/MS. This immunoassay can be used as a rapid,
inexpensive, and convenient tool to aid researchers monitoring
human/environmental exposure to TCC to better understand the health effects.
PMID- 22077922
TI - Outcome of HIV-1-associated cryptococcal meningitis, Denmark 1988-2008.
AB - INTRODUCTION: The risk of HIV-1-associated Cryptococcus neoformans meningitis
(CM) has decreased and the outcome has improved with the use of combination
antiretroviral therapy (cART). Outcome has not been reported in Denmark in the
cART era. METHODS: A review of all cases of HIV-1-associated CM treated at 2
hospitals in Denmark was carried out. Survival was compared by time-updated Cox
proportional hazards analysis. RESULTS: A total of 45 cases were evaluated. Six
individuals (13.3%) died within 30 days of being diagnosed with CM. cART was
initiated a median of 15 days (range 3-53) after a diagnosis of CM for 12
individuals and did not affect 30-day outcome. Older age, however, was associated
with an increased risk of death at 30 days (mortality rate ratio (MMR) 1.16 (95%
confidence interval (95% CI) 1.05-1.30) per y increment). Twenty-four (55.8%) of
43 individuals (2 had emigrated) died within the 1(st) y. Initiation of cART
significantly improved 1-y outcome (MMR 0.22, 95% CI 0.06-0.77). Mental status,
CD4 T cell count, and antifungal did not affect short- or long-term outcome.
CONCLUSIONS: We found that long-term survival after HIV-1-associated CM has
improved significantly with the use of cART. Short-term mortality was not
affected by initiation of cART and remained high.
PMID- 22077923
TI - Src family kinases in the nervous system.
AB - Src family kinases (SFKs) are key factors in the process of coupling signals from
the cell surface to intracellular machinery and critically involved in the
regulation of many neural functions mediated through growth factors, G-protein
coupled receptors or ligand-gated ion channels. The three minireviews here focus
on recent findings dealing with the regulation of N-methyl-d-aspartate (NMDA)
receptors by SFKs.
PMID- 22077924
TI - Short-lasting unilateral neuralgiform headache with autonomic symptoms syndrome
as the initial manifestation of idiopathic hypertrophic cranial pachymeningitis.
AB - This is the first report of 2 patients presenting with short-lasting unilateral
neuralgiform headache with autonomic symptoms as the initial manifestation of
idiopathic hypertrophic cranial pachymeningitis. They both had acute retro
orbital pain ipsilateral to the dural thickening on magnetic resonance imaging of
brain, and one had transient miosis as an additional parasympathetic feature.
Short-lasting unilateral neuralgiform headache with autonomic symptoms syndrome
may be associated with secondary central nervous system pathology, and
neuroimaging should be considered in all patients with trigeminal autonomic
cephalalgia.
PMID- 22077925
TI - Carbendazim impends hepatic necrosis when combined with imazalil or cypermethrin.
AB - Imazalil, cypermethrin and carbendazim are detected in plants for human
nutrition. To explore whether their combinations, applied orally in low doses,
would induce changes in metabolic patterns and hepatotoxicity, a subchronic in
vivo experiment was conducted. Doses of 10mg/kg of imazalil (im) and cypermethrin
(cy) and 20 mg/kg of carbendazim (car) and their combinations (im, 10 mg/kg+cy,
10mg/kg; im, 10mg/kg+car, 20mg/kg; car, 20 mg/kg + im, 10 mg/kg) were given to
Swiss mice daily over 28 days. After 24 hr from the last dose, the relationships
of cytotoxicity biomarkers were analysed: serum lactate dehydrogenase, aspartate
transaminase, alanine transferase, amylase, alkaline phosphatase, creatine
kinase, creatinine and total proteins. Individual pesticides showed different
toxic potential (cy>im car) generally characterized by increase in enzyme
activities. Histological analysis showed that cypermethrin, but not imazalil or
carbendazim, alone can cause mild necrosis. Combinations generally caused
decrease in the activity of enzymes, indicating liver damage. Low doses of
carbendazim in combination with low doses of imazalil or cypermethrin caused very
pronounced hepatic necrosis, more than any of the three individually applied
pesticides or combination of imazalil and cypermethrin. In fruits and vegetables
for human consumption, residues of these three pesticides and prolonged combined
intake of low doses, which by themselves acutely would not cause any effect, may
have similar hepatotoxic effects.
PMID- 22077926
TI - Inter-doctor variations in the assessment of functional incapacities by insurance
physicians.
AB - BACKGROUND: The aim of this study was to determine the--largely unexplored-
extent of systematic variation in the work disability assessment by Dutch
insurance physicians (IPs) of employees on long-term sick leave, and to ascertain
whether this variation was associated with the individual characteristics and
opinions of IPs. METHODS: In March 2008 we conducted a survey among IPs on the
basis of the 'Attitude--Social norm--self-Efficacy' (ASE) model. We used the
ensuing data to form latent variables for the ASE constructs. We then linked the
background variables and the measured constructs for IPs (n = 199) working at
regional offices (n = 27) to the work disability assessments of clients (n =
83,755) and their characteristics. These assessments were carried out between
July 2003 and April 2008. We performed multilevel regression analysis on three
important assessment outcomes: No Sustainable Capacity or Restrictions for
Working Hours (binominal), Functional Incapacity Score (scale 0-6) and Maximum
Work Disability Class (binominal). We calculated Intra Class Correlations (ICCs)
at IP level and office level and explained variances (R2) for the three outcomes.
A higher ICC reflects stronger systematic variation. RESULTS: The ICCs at IP
level were approximately 6% for No Sustainable Capacity or Restrictions for
Working Hours and Maximum Work Disability Class and 12% for Functional Incapacity
Score. Background IP variables and the measured ASE constructs for physicians
contributed very little to the variation--at most 1%. The ICCs at office level
ranged from 0% to around 1%. The R2 was 11% for No Sustainable Capacity or
Restrictions for Working Hours, 19% for Functional Incapacity Score and 37% for
Maximum Work Disability Class. CONCLUSION: Our study uncovered small to moderate
systematic variations in the outcome of disability assessments in the
Netherlands. However, the individual characteristics and opinions of insurance
physicians have very little impact on these variations. Our findings provided no
indications of other reasons for these variations. They may be related to
different work routines or to different views on the workload of a 'normal'
employee. If so, they could be reduced by well-developed and comprehensively
implemented guidelines. Therefore, further research is needed.
PMID- 22077928
TI - A pilot study to evaluate the effect of soy isolate protein on the serum lipid
profile and other potential cardiovascular risk markers in moderately
hypercholesterolemic Chinese adults.
AB - This article examines the effect of soy isolate protein on the serum lipids and
other potential cardiovascular risk markers in 90 moderately hypercholesterolemic
Chinese adults (64 women and 26 men, aged 25 to 70 years). Fasting blood samples
were taken before and after consuming 24 g of protein supplied by soy isolate
protein supplement (including 18 g soy protein and 6 g milk protein) or milk
protein supplement daily for 8 weeks. Dietary intake was assessed by a 3-day
record collected at baseline, week 4, and week 8 of the study. The results
indicate that the two kinds of protein can modestly improve serum lipids and
markers associated with obesity and inflammation.
PMID- 22077927
TI - Analysis of genome-wide association study data using the protein knowledge base.
AB - BACKGROUND: Genome-wide association studies (GWAS) aim to identify causal
variants and genes for complex disease by independently testing a large number of
SNP markers for disease association. Although genes have been implicated in these
studies, few utilise the multiple-hit model of complex disease to identify causal
candidates. A major benefit of multi-locus comparison is that it compensates for
some shortcomings of current statistical analyses that test the frequency of each
SNP in isolation for the phenotype population versus control. RESULTS: Here we
developed and benchmarked several protocols for GWAS data analysis using
different in-silico gene prediction and prioritisation methodologies. We adopted
a high sensitivity approach to the data, using less conservative statistical SNP
associations. Multiple gene search spaces, either of fixed-widths or proximity
based, were generated around each SNP marker. We used the candidate disease gene
prediction system Gentrepid to identify candidates based on shared biomolecular
pathways or domain-based protein homology. Predictions were made either with
phenotype-specific known disease genes as input; or without a priori knowledge,
by exhaustive comparison of genes in distinct loci. Because Gentrepid uses
biomolecular data to find interactions and common features between genes in
distinct loci of the search spaces, it takes advantage of the multi-locus aspect
of the data. CONCLUSIONS: Results suggest testing multiple SNP-to-gene search
spaces compensates for differences in phenotypes, populations and SNP platforms.
Surprisingly, domain-based homology information was more informative when
benchmarked against gene candidates reported by GWA studies compared to
previously determined disease genes, possibly suggesting a larger contribution of
gene homologs to complex diseases than Mendelian diseases.
PMID- 22077929
TI - Psychosocial variables and obesity-risk-reduction behaviors in Chinese Americans.
AB - The objective of this study was to utilize social-psychological theories to
explain obesity-risk-reduction behaviors. A questionnaire based on the health
belief model and theory of planned behavior was administered to a convenience
sample of 300 Chinese Americans in the New York metropolitan area. Psychosocial
variables accounted for 40.4% of the variance of obesity-risk-reduction
behaviors. Self-efficacy, behavioral intention, and perceived benefits emerged as
most influential variables. Forty-eight percent of the variance of behavioral
intention was accounted with self-efficacy predominating. Health professionals
targeting Chinese Americans need to address self-efficacy, behavioral intention,
and perceived benefits of adopting obesity-risk-reduction behaviors.
PMID- 22077930
TI - The gathering and consumption of wild edible plants in Nhema communal area,
Midlands Province, Zimbabwe.
AB - The study documented 67 wild edible plant species belonging to 45 genera and 30
families. Anacardiaceae and Moraceae were the dominant botanical families with 6
species each. Of the reported food plants, 67.7% were fruits, 14.9% vegetables,
and the remainder were edible roots, inner bark, seed kernel, juice, and gum. Of
edible plants, 79.1% had multiple uses, which included medicinal and construction
timber. Only 20.9% of the species were used exclusively for food. Wild edible
plants are a significant contributor to the socioeconomic well-being of the local
people as they contribute a recognizable source of income especially for women.
PMID- 22077931
TI - Nutritional value of 10 traditional dishes of the United Arab Emirates.
AB - In the present study, 10 traditional dishes commonly consumed in the United Arab
Emirates (U.A.E.) were chemically analyzed for proximate composition and mineral
content. In addition, exchange lists for meal planning were developed for those
dishes. Significant variations in nutritional value were found among the dishes.
Protein content was lowest in chicken harese (3.33%) and highest in maleh
(23.57%). The fat content ranged from 1.19% in ragag bread to15.47% in legemat (a
sweet dish) while carbohydrate levels ranged from traces in maleh to 76.83% in
ragag bread. Significant variations were also observed in major elements and
trace elements among the dishes. Data from this study will be useful in
calculating nutrient contributions from common traditional dishes in the U.A.E.
as well as in planning normal and therapeutic diets. Further studies on the
nutritional contributions of other traditional dishes in the U.A.E. are needed.
PMID- 22077933
TI - Randomized controlled trial comparing treatment outcome of two compression
bandaging systems and standard care without compression in patients with venous
leg ulcers.
AB - BACKGROUND: In Hong Kong, at the time of the study, compression treatment was not
considered usual care for venous leg ulcer patients. AIM: This randomized
controlled trial compared quality of life (QOL) aspects in venous leg ulcer
patients of over 55-years of age, of short-stretch compression (SSB), four-layer
compression bandaging (4LB) and usual care (UC) (moist wound healing dressing, no
compression). METHOD: Study period was 24-weeks, the primary outcome was the
patient functional status, disease-specific and generic health-related QOL
measures and ulcer healing rates, comparing week 1 vs. week 24 (end) results.
Assessments included photogrammetry, Brief Pain Inventory, SF-12 Health Survey,
Charing Cross Venous Ulcer Questionnaire and Frenchay Activity Index. Data
analysis was performed using, where appropriate; Kaplan Meier and log rank chi
square and the repeated measures analysis of variance test. RESULTS: A total of
321 patients participated in the study, 45 (14%) withdrew for various reasons.
Compression bandaging in both groups significantly reduced pain (P < 0.0001) and
improved functional status and QOL. Healing rate at 24 weeks for both compression
groups was significant (P < 0.001); for SSB this was 72.0% (77/107) vs. 67.3% in
the 4LB group (72/107) and 29.0% (31/107) with usual care. The reduction in ulcer
area from weeks 12 to 24 was significant only for SSB (P < 0.047). CONCLUSION:
Compression was shown to be feasible for elderly community care patients in Hong
Kong and is currently implemented as part of standard venous leg ulcer treatment.
PMID- 22077935
TI - Far eastern patterns of Mortality.
AB - Summary In this paper a mortality pattern is identified which has not
previously been described by model mortality schedules and seems to have occurred
only in populations in the Far East. Mortality schedules in Taiwan, Hong Kong,
Singapore, and Korea during the past several decades have been characterized by
excessively high death rates of men at the older ages. This excess mortality has
progressively diminished and most recent death rates for men show only slight
deviations from West model life tables. An examination of statistics on causes of
death suggests that tuberculosis is at least partly responsible for the excess
mortality of men.
PMID- 22077934
TI - Cost-effectiveness of Prostate Health Index for prostate cancer detection.
AB - OBJECTIVE: * To evaluate the cost-effectiveness of early prostate cancer
detection with the Beckman Coulter Prostate Health Index (phi) (not currently
available in the USA) adding to the serum prostate-specific antigen (PSA) test
compared with the PSA test alone from the US societal perspective. PATIENTS AND
METHODS: * Phi was developed as a combination of PSA, free PSA, and a PSA
precursor form [-2]proPSA to calculate the probability of prostate cancer and was
used as an aid in distinguishing prostate cancer from benign prostatic conditions
for men with a borderline PSA test (e.g. PSA 2-10 ng/mL or 4-10 ng/mL) and non
suspicious digital rectal examination. * We constructed a Markov model with
probabilistic sensitivity analysis to estimate expected costs and utilities of
prostate cancer detection and consequent treatment for the annual prostate cancer
screening in the male population aged 50-75 years old. * The transition
probabilities, health state utilities and prostate cancer treatment costs were
derived from the published literature. The diagnostic performance of phi was
obtained from a multi-centre study. Diagnostic related costs were obtained from
the 2009 Medicare Fee Schedule. * Cost-effectiveness was compared between the
strategies of PSA test alone and PSA plus phi under two PSA thresholds (>=2 ng/mL
and >=4 ng/mL) to recommend a prostate biopsy. RESULTS: * Over 25 annual
screening cycles, the strategy of PSA plus phi dominated the PSA-only strategy
using both thresholds of PSA >=2 ng/mL and PSA >=4 ng/mL, and was estimated to
save $1199 or $443, with an expected gain of 0.08 or 0.03 quality adjusted life
years, respectively. * The probabilities of PSA plus phi being cost effective
were approximately 77-70% or 78-71% at a range of $0-$200,000 willingness to pay
using PSA thresholds >=2 ng/mL and >=4 ng/mL, respectively. CONCLUSION: * The
strategy PSA plus phi may be an important strategy for prostate cancer detection
at both thresholds of PSA >=2 ng/mL and PSA >=4 ng/mL to recommend a prostate
biopsy compared with using PSA alone.
PMID- 22077936
TI - Polygyny and the rate of population growth.
AB - Summary The roots, motives and feasibility of practising polygyny in societies
with a balanced sex structure and the effect of polygyny on the rate of
population growth are considered. High demand for labour combined with limited
supply over the last several centuries, had been conducive to the evolution of a
polygynous nuptiality pattern. The unprecedentedly high rates of population
growth during the last several decades combined with progressive economic
development have led to a change in the role of the labour factor and
consequently diminished its impact upon polygyny. Polygyny is feasible because of
a sex-age differential at first marriage, which enables younger cohorts of women
to enter the marriage market, and thus results in a very early age at first
marriage and universal incidence of marriage among women. A very young pattern of
nuptiality inevitably evolves under polygyny, which tends to raise the rate of
population growth. No significant variation in fertility between polygynous and
monogamous women was found but substantial gaps in standards of living, child
mortality, and educational attainment were noted for polygynous households. The
findings imply that during the transition from polygyny to monogamy family size
will tend to diminish, although initially fertility may not decline concurrently
with changing socio-economic status. The most important effects on the rate of
population growth thus result from the increase in age at first marriage and
declining proportions of ever married women.
PMID- 22077937
TI - Estimating infant mortality trends from child survivorship data.
AB - Summary Brass's procedure for estimating mortality from census or survey data
on numbers of children born to women by age group and numbers of children
surviving is generalized to allow the estimation of mortality trends. The new
procedure is applied to data for Costa Rica and peninsular Malaysia. The
resulting infant mortality rate estimates are compared with rates calculated from
vital registration figures. The comparisons suggest, surprisingly, that the
estimates derived from statistics for women aged 30-50 are not noticeably
inferior to those derived from those for women aged 20-30. This suggests that the
common practice of disregarding statistics for women aged over 30 or 35 years may
be a mistake. Figures are presented which suggest that estimates based on women
aged less than 20 are likely to be very seriously biased because of differential
infant mortality by age of mother at birth.
PMID- 22077938
TI - Estimating infant and childhood mortality under conditions of changing mortality.
AB - Summary It is well known that estimates of infant mortality obtained using
Brass's technique are very accurate. Biases are introduced, however, when one or
more of the assumptions on which it relies are violated. Departures from the
assumption of constant fertility may be handled by using a variant of the
technique which depends on information on the age distribution of surviving
children, rather than on indexes of the fertility function. Violations of the
assumption of constant mortality - an increasingly common situation in most
developing societies - produce upward biases in the estimates. The amount of bias
is a function of the speed of mortality decline, the characteristics of the
fertility pattern and, finally, of the age of the mother. This paper presents a
simple technique which corrects these biases, and in addition, generates
estimates of the parameters of the mortality trend. It differs from others in
that it uses a cohort definition of mortality decline and relies on knowledge of
the age structure of surviving children rather than on indexes of the fertility
pattern.
PMID- 22077939
TI - The post-partum non-susceptible period: Development and application of model
schedules.
AB - Summary The duration of the post-partum non-susceptible period is a major
determinant of birth intervals in populations with relatively low levels of
contraception. Since it depends largely on the length of breast-feeding, it may
change rapidly with socio-economic development. Its study is, however, seriously
hampered by the limited and defective nature of most data that can be obtained.
In the absence of intensive, large-scale prospective studies, sizeable reporting
errors and/or sampling fluctuations are almost inevitable. A system of model
schedules that summarise the underlying regularities found in empirical schedules
is proposed. With a logit transformation, observed schedules of breast-feeding
can be related to a standard breast-feeding schedule by just two parameters.
Similarly, observed schedules of post-partum amenorrhoea can be related to a
standard amenorrhoea schedule. The two systems provide a means of obtaining
relatively robust estimates of the duration of lactation or amenorrhoea from
partial and flawed data. Several applications of the models for estimation
problems, for simulation purposes and for the analysis of the relationships
between breast-feeding, amenorrhoea and post-partum abstinence are discussed.
PMID- 22077940
TI - Rural-Urban fertility differentials in Southern Nigeria: An assessment of some
available evidence.
AB - Summary The dearth of information on fertility in Nigeria has hitherto impeded
efforts to arrive at a consistent pattern of rural-urban fertility differentials
in Nigeria. Attempts were made from the mid-sixties onwards to analyse the
pattern of rural-urban fertility differentials in some parts of Southern Nigeria
from a few independent sources. One such attempt was made by Olusanya; in his
study of Western Nigeria in 1966 and in 1969 he reported a higher urban than
rural fertility for that region. This result was supported by Ekanem on the basis
of data from his 1972 survey of parts of Eastern Nigeria. Given the relatively
wider range of available data presently available for Southern Nigeria, this
paper examines the Olusanya - Ekanem data together with recent statistics
collected from Western, Mid-Western, and Eastern parts of Nigeria by other
workers and points out certain inconsistencies and contradictions in the data and
conclusions of Olusanya and Ekanem. It suggests that the bulk of available
evidence to date suggests either that there is a trend towards the convergence of
rural-urban fertility or a definite pattern of higher fertility in the
countryside than in the towns. The conclusion also discusses some technical
problems of comparing urban and rural fertility.
PMID- 22077943
TI - Multidrug-resistant tuberculosis on Australia's northern border.
PMID- 22077944
TI - Management of chronic kidney disease in the elderly.
AB - Both chronic kidney disease (CKD) and end-stage renal disease are strongly age
related. Although the morbidity and mortality of CKD have significantly improved
in recent years because of a greater understanding of its pathophysiology and
evidence-based approach to management, the application of this evidence to the
elderly CKD patients is often fraught with difficulty. This is because, besides
age, the clinical and biological variables that are widely prevalent in the
elderly, such as multiple co-morbidities, functional impairments and
polypharmacy, and quality of life and functional outcome measures, which are
pertinent to this age group, have generally not been incorporated into the
available evidence. This paper reviews the current evidence with a view to
providing a framework for diagnosing and managing CKD in the elderly. Special
references are made to age-related physiological changes in the renal system,
assessment of renal function, and management of metabolic complications and end
stage renal disease.
PMID- 22077945
TI - Prosthetic valve endocarditis: what is the evidence for anticoagulant therapy?
AB - The use of anticoagulant therapy in prosthetic valve endocarditis is a
controversial management issue. Some authorities believe that anticoagulation
increases the potential risk of cerebral haemorrhage after a thromboembolism
whereas others, however, affirm that cessation of anticoagulation itself
increases the risk of thromboembolism and subsequent morbidity and mortality. We
reviewed the association of anticoagulant therapy and cerebral complications in
patients with prosthetic valve endocarditis. Our results suggest that
anticoagulant therapy reduces the risk of thromboembolism and is not associated
with increased risk of intracranial haemorrhage.
PMID- 22077946
TI - Right-sided pyriform sinus fistula.
PMID- 22077947
TI - Delayed isolated gallbladder rupture after blunt abdominal trauma.
PMID- 22077948
TI - Focal hyperperfusion on ictal cerebral perfusion computed tomography in partial
seizures mimicking acute stroke.
PMID- 22077949
TI - Sodium valproate - a rare cause of eosinophilic pleural effusion.
PMID- 22077950
TI - Flow sensing of single cell by graphene transistor in a microfluidic channel.
AB - The electronic properties of graphene are strongly influenced by electrostatic
forces arising from long-range charge scatterers and by changes in the local
dielectric environment. This makes graphene extremely sensitive to the surface
charge density of cells interfacing with it. Here, we developed a graphene
transistor array integrated with microfluidic flow cytometry for the "flow-catch
release" sensing of malaria-infected red blood cells at the single-cell level.
Malaria-infected red blood cells induce highly sensitive capacitively coupled
changes in the conductivity of graphene. Together with the characteristic
conductance dwell times, specific microscopic information about the disease state
can be obtained.
PMID- 22077951
TI - Integrins and their ligands in rheumatoid arthritis.
AB - Integrins play an important role in cell adhesion to the extracellular matrix and
other cells. Upon ligand binding, signaling is initiated and several
intracellular pathways are activated. This leads to a wide variety of effects,
depending on cell type. Integrin activation has been linked to proliferation,
secretion of matrix-degrading enzymes, cytokine production, migration, and
invasion. Dysregulated integrin expression is often found in malignant disease.
Tumors use integrins to evade apoptosis or metastasize, indicating that integrin
signaling has to be tightly controlled. During the course of rheumatoid
arthritis, the synovial tissue is infiltrated by immune cells that secrete large
amounts of cytokines. This pro-inflammatory milieu leads to an upregulation of
integrin receptors and their ligands in the synovial tissue. As a consequence,
integrin signaling is enhanced, leading to enhanced production of matrix
degrading enzymes and cytokines. Furthermore, in analogy to invading tumors,
synovial fibroblasts start invading and degrading cartilage, thereby generating
extracellular matrix debris that can further activate integrins.
PMID- 22077952
TI - In search of causality: a systematic review of the relationship between the built
environment and physical activity among adults.
AB - BACKGROUND: Empirical evidence suggests that an association between the built
environment and physical activity exists. This evidence is mostly derived from
cross-sectional studies that do not account for other causal explanations such as
neighborhood self-selection. Experimental and quasi-experimental designs can be
used to isolate the effect of the built environment on physical activity, but in
their absence, statistical techniques that adjust for neighborhood self-selection
can be used with cross-sectional data. Previous reviews examining the built
environment-physical activity relationship have not differentiated among findings
based on study design. To deal with self-selection, we synthesized evidence
regarding the relationship between objective measures of the built environment
and physical activity by including in our review: 1) cross-sectional studies that
adjust for neighborhood self-selection and 2) quasi-experiments. METHOD: In
September 2010, we searched for English-language studies on built environments
and physical activity from all available years in health, leisure,
transportation, social sciences, and geographical databases. Twenty cross
sectional and 13 quasi-experimental studies published between 1996 and 2010 were
included in the review. RESULTS: Most associations between the built environment
and physical activity were in the expected direction or null. Land use mix,
connectivity and population density and overall neighborhood design were however,
important determinants of physical activity. The built environment was more
likely to be associated with transportation walking compared with other types of
physical activity including recreational walking. Three studies found an
attenuation in associations between built environment characteristics and
physical activity after accounting for neighborhood self-selection. CONCLUSION:
More quasi-experiments that examine a broader range of environmental attributes
in relation to context-specific physical activity and that measure changes in the
built environment, neighborhood preferences and their effect on physical activity
are needed.
PMID- 22077953
TI - Visualization of multipolar longitudinal and transversal surface plasmon modes in
nanowire dimers.
AB - We study the transversal and longitudinal localized surface plasmon resonances in
single nanowires and nanowire dimers excited by the fast traveling electron beam
in a transmission electron microscope equipped with high-resolution electron
energy-loss spectroscopy. Bright and dark longitudinal modes up to the fifth
order are resolved on individual metallic nanowires. On nanowire dimers, mode
splitting into bonding and antibonding is measured up to the third order for
several dimers with various aspect ratio and controlled gap size. We observe that
the electric field maxima of the bonding modes are shifted toward the gap, while
the electric field maxima of the antibonding modes are shifted toward the dimer
ends. Finally, we observe that the transversal mode is not detected in the region
of the dimer gap and decays away from the rod more rapidly than the longitudinal
modes.
PMID- 22077954
TI - Exploring leadership in community nursing teams.
AB - AIMS: This article is a report on a study investigating how leadership is
perceived in community nursing teams and how these perceptions are translated
into working practices of team leaders. BACKGROUND: The consensus in community
nursing literature is that leadership is important, and especially so in a time
of change. However, little empirical evidence exists on how leadership works in
practice. METHOD: The study adopted an exploratory descriptive design, utilising
individual semi-structured interviews and focus groups in four case-studies, with
a total of 54 participants. Two case-studies focussed on district nursing teams
and two involved public health nursing teams, located in two geographical areas.
Participants debated their understanding of the concept of leadership, its
associated practices and behaviours in teams, if they saw themselves as leaders,
and what preparation was required. The study was undertaken in 2009. Framework
analysis techniques were employed to analyse the data. FINDINGS: A 'quasi-family'
model of leadership emerged, with significant emphasis on the importance of
personal relationships and support. Nursing grade had a greater impact on
perceptions of leadership than geographical context or professional and clinical
focus. CONCLUSION: No clear fit with any existing theoretical framework was
identified. However, nurses in the highest grade banding, in particular,
demonstrated practices associated with transformational leadership. Nurses
expressed the very clear need to be acknowledged, respected and valued, and that
those who provided this support were regarded as good leaders.
PMID- 22077955
TI - Regioselective stepwise bromination of boron dipyrromethene (BODIPY) dyes.
AB - Halogenated BODIPYs are important synthetic precursors and potential sensitizers
for photodynamic therapy (PDT). Electrophilic bromination of pyrrolic
unsubstituted BODIPYs using bromine regioselectively generated mono- to
heptabromoBODIPYs in a stepwise fashion in good to excellent yields. These
resultant bromoBODIPYs were applied for regioselective substitution and Suzuki
coupling reaction to generate BODIPYs 4, 5, 6, and 7 in good to excellent yields.
According to NMR and X-ray analysis results, the stepwise bromination first takes
place at 2,6-, then at 3,5-, and eventually at 1,7-positions, whereas the
regioselective substitution occurs first at 3,5- then at 1,7-positions of the
chromophore. The spectroscopic properties of these resultant BODIPYs were
studied, which shows the potential application of these bromoBODIPYs as
sensitizers for PDT.
PMID- 22077957
TI - The use of high doses of OROS hydromorphone in an acute palliative care unit.
AB - OBJECTIVES: To characterize patients who received high doses of OROS
hydromorphone (OROD-HY), a retrospective study of patients admitted in an acute
pain relief and palliative care unit for a period of two years (from June 2009 to
June 2011) was performed. METHODS: Globally, 79 patients were prescribed OROS-HY
during admission at mean maximum doses of 68 mg/day (+/-42). Twenty-two patients
were switched from other opioids to OROS-HY and 16 patients were switched from
OROS-HY to other opioids. Sixty-three patients were discharged home with a
prescription of OROS-HY with doses of 53 mg/day (+/-44). Doses were significantly
lower in older patients (p < 0.005). The mean admission time was 6.1 days (+/
3.2). During admission 10 patients (12.6%) were prescribed doses of OROS-HY of
more than 64 mg/day. RESULTS: The maximum mean doses of this group of patients
reached during admission were 238 mg/day. Eight of them were successfully treated
and discharged home with doses of 175 mg/day. Two patients did not achieve an
adequate balance between analgesia and adverse effects and received alternative
treatments. CONCLUSION: This study demonstrated that OROS-HY administered in
larger doses was relatively safe and effective, showing versatility and
flexibility similar to other opioids.
PMID- 22077956
TI - Radioresistance of human glioma spheroids and expression of HSP70, p53 and EGFr.
AB - BACKGROUND: Radiation therapy is routinely prescribed for high-grade malignant
gliomas. However, the efficacy of this therapeutic modality is often limited by
the occurrence of radioresistance, reflected as a diminished susceptibility of
the irradiated cells to undergo cell death. Thus, cells have evolved an elegant
system in response to ionizing radiation induced DNA damage, where p53, Hsp70
and/or EGFr may play an important role in the process. In the present study, we
investigated whether the content of p53, Hsp70 and EGFr are associated to
glioblastoma (GBM) cell radioresistance. METHODS: Spheroids from U-87MG and MO59J
cell lines as well as spheroids derived from primary culture of tumor tissue of
one GBM patient (UGBM1) were irradiated (5, 10 and 20 Gy), their relative
radioresistance were established and the p53, Hsp70 and EGFr contents were
immunohistochemically determined. Moreover, we investigated whether EGFr-phospho
Akt and EGFr-MEK-ERK pathways can induce GBM radioresistance using inhibitors of
activation of ERK (PD098059) and Akt (wortmannin). RESULTS: At 5 Gy irradiation
UGBM1 and U-87MG spheroids showed growth inhibition whereas the MO59J spheroid
was relatively radioresistant. Overall, no significant changes in p53 and Hsp70
expression were found following 5 Gy irradiation treatment in all spheroids
studied. The only difference observed in Hsp70 content was the periphery
distribution in MO59J spheroids. However, 5 Gy treatment induced a significant
increase on the EGFr levels in MO59J spheroids. Furthermore, treatment with
inhibitors of activation of ERK (PD098059) and Akt (wortmannin) leads to
radiosensitization of MO59J spheroids. CONCLUSIONS: These results indicate that
the PI3K-Akt and MEK-ERK pathways triggered by EGFr confer GBM radioresistance.
PMID- 22077959
TI - Field-scale reduction of PCB bioavailability with activated carbon amendment to
river sediments.
AB - Remediation of contaminated sediments remains a technological challenge because
traditional approaches do not always achieve risk reduction goals for human
health and ecosystem protection and can even be destructive for natural
resources. Recent work has shown that uptake of persistent organic pollutants
such as polychlorinated biphenyls (PCBs) in the food web is strongly influenced
by the nature of contaminant binding, especially to black carbon surfaces in
sediments. We demonstrate for the first time in a contaminated river that
application of activated carbon to sediments in the field reduces biouptake of
PCBs in benthic organisms. After treatment with activated carbon applied at a
dose similar to the native organic carbon of sediment, bioaccumulation in
freshwater oligochaete worms was reduced compared to preamendment conditions by
69 to 99%, and concentrations of PCBs in water at equilibrium with the sediment
were reduced by greater than 93% at all treatment sites for up to three years of
monitoring. By comparing measured reductions in bioaccumulation of tetra- and
penta-chlorinated PCB congeners resulting from field application of activated
carbon to a laboratory study where PCBs were preloaded onto activated carbon, it
is evident that equilibrium sorption had not been achieved in the field. Although
other remedies may be appropriate for some highly contaminated sites, we show
through this pilot study that PCB exposure from moderately contaminated river
sediments may be managed effectively through activated carbon amendment in
sediments.
PMID- 22077958
TI - Responses of immature permanent teeth with infected necrotic pulp tissue and
apical periodontitis/abscess to revascularization procedures.
AB - AIM: To report several types of response of immature permanent teeth with
infected necrotic pulp tissue and either apical periodontitis or abscess to
revascularization procedures. METHODOLOGY: Twenty immature permanent teeth with
infected necrotic pulp tissue and either apical periodontitis or abscesses from
20 patients were included. The teeth were isolated with rubber dam, and pulp
chambers was accessed through the crowns. The canals were gently irrigated with
5.25% sodium hypochlorite with minimal mechanical debridement. Calcium hydroxide
was used as an inter-appointment intracanal medicament and placed into the
coronal half of the canal space. After resolution of clinical signs and symptoms,
bleeding was induced into the canal space from the periapical tissues using K
files. The coronal canal space was sealed with a mixture of mineral trioxide
aggregate (MTA) and saline solution. The access cavity was filled with composite
resin. These immature permanent teeth with infected necrotic pulp tissue and
apical periodontitis/abscesses were followed up from 6 to 26 months. RESULTS:
Five types of responses of these immature permanent teeth with infected necrotic
pulp tissue and apical periodontitis/abscess to revascularization procedures were
observed: type 1, increased thickening of the canal walls and continued root
maturation; type 2, no significant continuation of root development with the root
apex becoming blunt and closed; type 3, continued root development with the
apical foramen remaining open; type 4, severe calcification (obliteration) of the
canal space; type 5, a hard tissue barrier formed in the canal between the
coronal MTA plug and the root apex. CONCLUSIONS: Based on this case series, the
outcome of continued root development was not as predictable as increased
thickening of the canal walls in human immature permanent teeth with infected
necrotic pulp tissue and apical periodontitis/abscess after revascularization
procedures. Continued root development of revascularized immature permanent
necrotic teeth depends on whether the Hertwig's epithelial root sheath survives
in case of apical periodontitis/abscess. Severe pulp canal calcification
(obliteration) by hard tissue formation might be a complication of internal
replacement resorption or union between the intracanal hard tissue and the apical
bone (ankylosis) in revascularized immature permanent necrotic teeth.
PMID- 22077960
TI - Peripheral CD300a+CD8+ T lymphocytes with a distinct cytotoxic molecular
signature increase in pregnant women with chronic chorioamnionitis.
AB - PROBLEM: CD300a is an immunomodulatory molecule of the immunoglobulin receptor
superfamily expressed in the leukocytes of myeloid and lymphoid lineages.
However, its biological function on CD8+ T lymphocytes remains largely unknown.
This study was conducted to assess the biological significance of CD300a
expression in T lymphocytes and to determine whether its expression in peripheral
T lymphocytes changes in pregnant women presenting with antifetal rejection.
METHODS OF STUDY: Microarray analysis was performed using total RNA isolated from
peripheral CD300a+ and CD300a- T lymphocytes. Flow cytometric analysis of the
peripheral blood samples of pregnant women and pathologic examination of the
placentas were conducted. RESULTS: A large number of genes (N = 1245) were
differentially expressed between CD300a- and CD300a+ subsets of CD8+ T
lymphocytes, which included CCR7, CD244, CX3CR1, GLNY, GZMB, GZMK, IL15, ITGB1,
KLRG1, PRF1, and SLAMF7. Gene ontology analysis of differentially expressed genes
demonstrated enrichment of biological processes such as immune response, cell
death, and signal transduction. CD300a expression in CD8+ T lymphocytes was
coupled to a more cytotoxic molecular signature. Of note, the proportion of
CD300a+CD8+ T lymphocytes increased in pregnant women with chronic
chorioamnionitis (antifetal rejection of the chorioamniotic membranes; P < 0.05).
CONCLUSION: The findings of this study strongly suggest an increase in systemic
T-lymphocyte-mediated cytotoxicity in pregnant women with chronic
chorioamnionitis as a manifestation of maternal antifetal rejection.
PMID- 22077961
TI - Higher free thyroxine levels are associated with frailty in older men: the Health
In Men Study.
AB - OBJECTIVE: Frailty is common in the elderly and predisposes to ill-health. Some
symptoms of frailty overlap those of thyroid dysfunction, but it is unclear
whether differences in thyroid status influence risk of frailty. We evaluated
associations between thyroid status and frailty in older men. DESIGN: Cross
sectional epidemiological study. PARTICIPANTS: Community-dwelling men aged 70-89
years. MEASUREMENTS: Circulating thyrotropin (TSH) and free thyroxine (FT(4) )
were assayed. Frailty was assessed as >=3 of the Fatigue, Resistance, Ambulation,
Illnesses and Loss (FRAIL) scale's 5 domains: fatigue; resistance (difficulty
climbing flight of stairs); ambulation (difficulty walking 100 m); illness (>5);
or weight loss (>5%), blinded to hormone results. RESULTS: Of 3943 men, 27 had
subclinical hyperthyroidism, 431 subclinical hypothyroidism and 608 were
classified as being frail (15.4%). There was an inverse log-linear association of
TSH with FT(4). There was no association between TSH and frailty. After adjusting
for covariates, men with FT(4) in the highest two quartiles had increased odds of
being frail (Q3:Q1, odds ratio [OR] = 1.32, 95% confidence interval [CI] = 1.01
1.73 and Q4:Q1, OR = 1.36, 95% CI = 1.04-1.79, P = 0.010 for trend). Higher FT(4)
was associated with fatigue (P = 0.038) and weight loss (P < 0.001). The
association between FT(4) and frailty remained significant when the analysis was
restricted to euthyroid men. CONCLUSIONS: High-normal FT(4) level is an
independent predictor of frailty among ageing men. This suggests that even within
the euthyroid range, circulating thyroxine may contribute to reduced physical
capability. Further studies are needed to clarify the utility of thyroid function
testing and the feasibility of preventing or reversing frailty in older men.
PMID- 22077962
TI - Efficacy and safety of topical WBI-1001 in patients with mild to moderate
psoriasis: results from a randomized double-blind placebo-controlled, phase II
trial.
AB - BACKGROUND: There is a need for the development of novel non-steroidal topical
drugs for the treatment of psoriasis. OBJECTIVE: To assess the efficacy and
safety of topical 1.0% WBI-1001 in patients with mild to moderate plaque
psoriasis. METHODS: A total of 61 patients with 1-10% body surface area (BSA)
covered with plaque psoriasis and a physician's global assessment score (PGA) of
2-4 were randomized (2:1) to receive either 1% WBI-1001 in a cream formulation or
placebo, applied twice daily for 12 weeks. Efficacy was evaluated using PGA, BSA
and Psoriasis Area and Severity Index (PASI). The primary endpoint was the change
from baseline (Day 0) in PGA at week 12. RESULTS: The improvement in PGA at week
12 was 62.8% for patients randomized to WBI-1001 when compared with 13.0% for
patients randomized to placebo (P<0.0001). At week 12, the proportion of patients
who achieved a PGA of clear or almost clear and the mean improvement in BSA were
67.5% and 79.1%, respectively, for patients randomized to WBI-1001, when compared
with 4.8% (P<0.0001) and an increase of 9.4% (P<0.0001), respectively, for
patients randomized to placebo. More application site adverse drug reactions were
observed in patients randomized to WBI-1001 than in those randomized to placebo.
These adverse drug reactions were all mild or moderate in intensity. CONCLUSION:
Topical WBI-1001 induces rapid and significant improvement in patients with
plaque psoriasis.
PMID- 22077963
TI - Predictive factors for urinary retention following kidney transplantation in male
patients.
AB - OBJECTIVE: Urinary retention frequently occurs in patients after kidney
transplantation. This study aimed to identify predictive factors for urinary
retention requiring transurethral resection of the prostate (TURP) following
kidney transplantation. MATERIAL AND METHODS: Seventy male patients (median age
56 years, range 37-73 years) who underwent kidney transplantation between 1995
and 2006, and experienced urinary retention and consecutively required TURP, were
studied retrospectively. Residual diuresis before transplantation, duration of
dialysis, patient age, prostate size, rejection reactions, transplant loss,
combined kidney and pancreas transplantation, type 1 and 2 diabetes mellitus, and
carcinoma of the prostate were evaluated as predictive factors. RESULTS: Duration
of dialysis longer than 120 months (p = 0.0174), patient age over 60 years (p =
0.0045) and the absence of diabetes (n = 46, p = 0.0029) were associated with a
significantly higher risk of urinary retention requiring TURP following kidney
transplantation. Residual diuresis, prostate size, frequency of rejection
reactions, transplant loss and detection of carcinoma, however, could not be
identified as predictive factors. CONCLUSIONS: In male patients after kidney
transplantation with a long history of dialysis, early TURP due to urinary
retention must be anticipated. Surprisingly, the presence of type 1 or 2 diabetes
seems to prevent the occurrence of retention, independently of age.
PMID- 22077964
TI - Extensive polymorphism in the porcine Toll-like receptor 10 gene.
AB - The great importance of the Toll-like receptors (TLRs) in innate immunity is well
established, but one family member--TLR10--remains elusive. TLR10 is expressed in
various tissues in several species, but its ligand is not known and its function
is still poorly understood. The open reading frame of TLR10 was sequenced in 15
wild boars, representing three populations, and in 15 unrelated domestic pigs of
Hampshire, Landrace and Large White origin. Amino acid positions corresponding to
detected nonsynonymous single nucleotide polymorphisms (SNPs) were analysed in
the crystal structures determined for the human TLR1-TLR2-lipopeptide complex and
the human TLR10 Toll/Interleukin 1 receptor (TIR) dimer. SNP occurrence in wild
boars and domestic pigs was compared, and haplotypes for the TLR10 gene and the
TLR6-1-10 gene cluster were reconstructed. Despite the limited number of animals
sequenced in the present study (N = 30), a larger number of SNPs were found in
TLR10 than recently reported for TLR1, TLR6 and TLR2. Thirty-three SNPs were
detected, of which 20 were nonsynonymous. The relative frequency of nonsynonymous
(d(N) ) and synonymous (d(S) ) SNPs between wild boars and domestic pigs was
higher in TLR10 than recently reported for TLR1, TLR6 and TLR2. However, the
polymorphism reported in the present study seems to leave the function of the
TLR10 molecule unaffected. Furthermore, no nonsynonymous SNPs were detected in
the part of the gene corresponding to the hinge region of the receptor, probably
reflecting rigorously acting functional constraint. The total number of SNPs and
the number of nonsynonymous SNPs were significantly lower (P < 0.05) in the wild
boars than in the domestic pigs, and fewer TLR10 haplotypes were present in the
wild boars. The majority of the TLR6-1-10 haplotypes were specific for either
wild boars or domestic pigs, probably reflecting differences in microbial
environment and population history.
PMID- 22077965
TI - Carry-over effect of host nutritional quality on performance of spruce budworm
progeny.
AB - The effect of host nutritional quality on spruce budworm (Choristoneura
fumiferana (Clemens)) parental and offspring performance was studied using field
and laboratory rearing experiments, and foliar chemical analyses. Foliage of
balsam fir (Abies balsamea (L.) Mill.), white spruce (Picea glauca (Moench) Voss)
and black spruce (P. mariana (Mill.) BSP) was used to rear the parental
generation in the field, whereas an artificial diet was used to rear the progeny
under laboratory conditions. Important differences in the food quality were
provided by the three hosts. Black spruce foliage had higher concentrations of
certain monoterpene deterrents and total phenolics, together with stronger
seasonal declines in nutrients such as N, P and Mg, compared with the other
hosts. We hypothesise that this trend may be related to poor performance and
survival of the progeny. Laboratory rearing showed that progeny of parents that
fed on black spruce exhibited longer developmental times and greater mortality,
and had lower pupal mass than progeny of parents fed on the other hosts. Further,
artificial food-fed progeny of insects reared on black spruce reached sixth
instar later, with lower mass, and exhibited higher relative growth rate (RGR)
than progeny of parents fed on the other hosts. These results suggest
nutritionally-based parental effects. These results also confirmed that the
quality of food consumed by the parents can influence the fitness of the next
generation.
PMID- 22077966
TI - Monofunctionalization of protein nanocages.
AB - Surface monofunctionalization of protein nanostructures will enable precise
topological control over the protein-templated assembly of nanoscale motifs,
however, this remains a formidable challenge. Here we demonstrated a novel
strategy for this purpose with a protein nanocage, virus-based nanoparticle (VNP)
of simian virus 40 as a model system. By simultaneously incorporating a function
modality (cysteine) and a purification modality (polyhistidine tag) into the
building block (VP1) of VNPs through rational design and genetic engineering, the
monofunctionalized cysteine-VNPs are readily obtained through a routine affinity
chromatography in virtue of the purification modality of polyhistidine tag, after
the coassembly of the functional VP1 and the nonfunctional VP1 at an optimal
ratio. This strategy has proved to be highly efficient in constructing
monofunctionalized protein nanostructures as highlighted by the
monofunctionalized-VNP-guided Au/QD-VNP nanostructures. These nanostructures
could be utilized in a wide range of disciplines, including basic biological
research, novel nanostructures, and nanodevices fabrication, etc.
PMID- 22077967
TI - Infrared spectroscopy of wafer-scale graphene.
AB - We report spectroscopy results from the mid- to far-infrared on wafer-scale
graphene, grown either epitaxially on silicon carbide or by chemical vapor
deposition. The free carrier absorption (Drude peak) is simultaneously obtained
with the universal optical conductivity (due to interband transitions) and the
wavelength at which Pauli blocking occurs due to band filling. From these, the
graphene layer number, doping level, sheet resistivity, carrier mobility, and
scattering rate can be inferred. The mid-IR absorption of epitaxial two-layer
graphene shows a less pronounced peak at 0.37 +/- 0.02 eV compared to that in
exfoliated bilayer graphene. In heavily chemically doped single-layer graphene, a
record high transmission reduction due to free carriers approaching 40% at 250
MUm (40 cm(-1)) is measured in this atomically thin material, supporting the
great potential of graphene in far-infrared and terahertz optoelectronics.
PMID- 22077968
TI - Analysis of medication errors of health care providers on the basis of data from
the Czech Toxicological Information Centre over an 11-year period (2000-2010).
AB - This study aimed to analyse medication errors committed by health care
professionals leading to toxicological consultations at the Czech Toxicological
Information Centre and to identify the categories of special concern for further
interventions of health care quality experts.
PMID- 22077969
TI - Characterization of the genome of bald cypress.
AB - BACKGROUND: Bald cypress (Taxodium distichum var. distichum) is a coniferous tree
of tremendous ecological and economic importance. It is a member of the family
Cupressaceae which also includes cypresses, redwoods, sequoias, thujas, and
junipers. While the bald cypress genome is more than three times the size of the
human genome, its 1C DNA content is amongst the smallest of any conifer. To learn
more about the genome of bald cypress and gain insight into the evolution of
Cupressaceae genomes, we performed a Cot analysis and used Cot filtration to
study Taxodium DNA. Additionally, we constructed a 6.7 genome-equivalent BAC
library that we screened with known Taxodium genes and select repeats. RESULTS:
The bald cypress genome is composed of 90% repetitive DNA with most sequences
being found in low to mid copy numbers. The most abundant repeats are found in
fewer than 25,000 copies per genome. Approximately 7.4% of the genome is
single/low-copy DNA (i.e., sequences found in 1 to 5 copies). Sequencing of
highly repetitive Cot clones indicates that most Taxodium repeats are highly
diverged from previously characterized plant repeat sequences. The bald cypress
BAC library consists of 606,336 clones (average insert size of 113 kb) and
collectively provides 6.7-fold genome equivalent coverage of the bald cypress
genome. Macroarray screening with known genes produced, on average, about 1.5
positive clones per probe per genome-equivalent. Library screening with Cot-1 DNA
revealed that approximately 83% of BAC clones contain repetitive sequences
iterated 103 to 104 times per genome. CONCLUSIONS: The BAC library for bald
cypress is the first to be generated for a conifer species outside of the family
Pinaceae. The Taxodium BAC library was shown to be useful in gene isolation and
genome characterization and should be an important tool in gymnosperm comparative
genomics, physical mapping, genome sequencing, and gene/polymorphism discovery.
The single/low-copy (SL) component of bald cypress is 4.6 times the size of the
Arabidopsis genome. As suggested for other gymnosperms, the large amount of SL
DNA in Taxodium is likely the result of divergence among ancient repeat copies
and gene/pseudogene duplication.
PMID- 22077970
TI - Abundant pleiotropy in human complex diseases and traits.
AB - We present a systematic review of pleiotropy among SNPs and genes reported to
show genome-wide association with common complex diseases and traits. We find
abundant evidence of pleiotropy; 233 (16.9%) genes and 77 (4.6%) SNPs show
pleiotropic effects. SNP pleiotropic status was associated with gene location (p
= 0.024; pleiotropic SNPs more often exonic [14.5% versus 4.9% for
nonpleiotropic, trait-associated SNPs] and less often intergenic [15.8% versus
23.6%]), "predicted transcript consequence" (p = 0.001; pleiotropic SNPs more
often predicted to be structurally deleterious [5% versus 0.4%] but not more
often in regulatory sequences), and certain disease classes. We develop a method
to calculate the likelihood that pleiotropic links between traits occurred more
often than expected and demonstrate that this approach can identify etiological
links that are already known (such as between fetal hemoglobin and malaria risk)
and those that are not yet established (e.g., between plasma campesterol levels
and gallstones risk; and between immunoglobulin A and juvenile idiopathic
arthritis). Examples of pleiotropy will accumulate over time, but it is already
clear that pleiotropy is a common property of genes and SNPs associated with
disease traits, and this will have implications for identification of molecular
targets for drug development, future genetic risk-profiling, and classification
of diseases.
PMID- 22077971
TI - A fatal mitochondrial disease is associated with defective NFU1 function in the
maturation of a subset of mitochondrial Fe-S proteins.
AB - We report on ten individuals with a fatal infantile encephalopathy and/or
pulmonary hypertension, leading to death before the age of 15 months.
Hyperglycinemia and lactic acidosis were common findings. Glycine cleavage system
and pyruvate dehydrogenase complex (PDHC) activities were low. Homozygosity
mapping revealed a perfectly overlapping homozygous region of 1.24 Mb
corresponding to chromosome 2 and led to the identification of a homozygous
missense mutation (c.622G > T) in NFU1, which encodes a conserved protein
suggested to participate in Fe-S cluster biogenesis. Nine individuals were
homozygous for this mutation, whereas one was compound heterozygous for this and
a splice-site (c.545 + 5G > A) mutation. The biochemical phenotype suggested an
impaired activity of the Fe-S enzyme lipoic acid synthase (LAS). Direct
measurement of protein-bound lipoic acid in individual tissues indeed showed
marked decreases. Upon depletion of NFU1 by RNA interference in human cell
culture, LAS and, in turn, PDHC activities were largely diminished. In addition,
the amount of succinate dehydrogenase, but no other Fe-S proteins, was decreased.
In contrast, depletion of the general Fe-S scaffold protein ISCU severely
affected assembly of all tested Fe-S proteins, suggesting that NFU1 performs a
specific function in mitochondrial Fe-S cluster maturation. Similar biochemical
effects were observed in Saccharomyces cerevisiae upon deletion of NFU1,
resulting in lower lipoylation and SDH activity. Importantly, yeast Nfu1 protein
carrying the individuals' missense mutation was functionally impaired. We
conclude that NFU1 functions as a late-acting maturation factor for a subset of
mitochondrial Fe-S proteins.
PMID- 22077972
TI - Muscarinic Acetylcholine Receptor M3 Mutation Causes Urinary Bladder Disease and
a Prune-Belly-like Syndrome.
AB - Urinary bladder malformations associated with bladder outlet obstruction are a
frequent cause of progressive renal failure in children. We here describe a
muscarinic acetylcholine receptor M3 (CHRM3) (1q41-q44) homozygous frameshift
mutation in familial congenital bladder malformation associated with a prune
belly-like syndrome, defining an isolated gene defect underlying this sometimes
devastating disease. CHRM3 encodes the M3 muscarinic acetylcholine receptor,
which we show is present in developing renal epithelia and bladder muscle. These
observations may imply that M3 has a role beyond its known contribution to
detrusor contractions. This Mendelian disease caused by a muscarinic
acetylcholine receptor mutation strikingly phenocopies Chrm3 null mutant mice.
PMID- 22077973
TI - Whole-exome-sequencing identifies mutations in histone acetyltransferase gene
KAT6B in individuals with the Say-Barber-Biesecker variant of Ohdo syndrome.
AB - Say-Barber-Biesecker-Young-Simpson syndrome (SBBYSS or Ohdo syndrome) is a
multiple anomaly syndrome characterized by severe intellectual disability,
blepharophimosis, and a mask-like facial appearance. A number of individuals with
SBBYSS also have thyroid abnormalities and cleft palate. The condition usually
occurs sporadically and is therefore presumed to be due in most cases to new
dominant mutations. In individuals with SBBYSS, a whole-exome sequencing approach
was used to demonstrate de novo protein-truncating mutations in the highly
conserved histone acetyltransferase gene KAT6B (MYST4/MORF)) in three out of four
individuals sequenced. Sanger sequencing was used to confirm truncating mutations
of KAT6B, clustering in the final exon of the gene in all four individuals and in
a further nine persons with typical SBBYSS. Where parental samples were
available, the mutations were shown to have occurred de novo. During mammalian
development KAT6B is upregulated specifically in the developing central nervous
system, facial structures, and limb buds. The phenotypic features seen in the Qkf
mouse, a hypomorphic Kat6b mutant, include small eyes, ventrally placed ears and
long first digits that mirror the human phenotype. This is a further example of
how perturbation of a protein involved in chromatin modification might give rise
to a multisystem developmental disorder.
PMID- 22077974
TI - Accuracy of two-dimensional echocardiography in determining aortic valve
structure in patients >50 years of age having aortic valve replacement for aortic
stenosis.
AB - We sought to measure the accuracy of 2-dimensional transthoracic echocardiography
in determining aortic valve structure in patients with aortic stenosis (AS)
undergoing aortic valve replacement (AVR). Few studies have compared aortic valve
structure determined by echocardiogram to that determined by examination of the
operatively excised stenotic aortic valve. Two-dimensional echocardiograms were
reviewed and interpreted by an expert echocardiographer in blinded fashion in 100
patients >50 years of age (mean 70) who had undergone AVR for isolated AS +/-
aortic regurgitation and the aortic valve structure (unicuspid, bicuspid,
tricuspid) was compared to that from examination of the operatively excised
stenotic valve. After excluding 14 cases in which echocardiograms were
uninterpretable because of heavy calcium and/or poor image quality, congenitally
malformed valves were present in 44 patients (51%) and tricuspid valves in 42 of
the 86 patients (49%). Ten of the 14 patients (71%) with uninterpretable
echocardiograms had congenitally malformed valves. Valve structure by
echocardiogram was concordant with morphologic interpretation in 57 of 86
patients (66% accuracy, kappa = 0.33). Accuracy trended toward improvement as
degree of AS decreased. In patients with valve areas similar to those enrolled in
the recent transcatheter aortic valve implantation trial (PARTNER; 0.7 +/- 0.2
cm(2)), aortic valve structure was accurately determined by echocardiography in
21 of 35 patients (60%). In conclusion, aortic valve structure was interpretable
by transthoracic echocardiogram in 86 of 100 patients and accurate in 57 of these
86 patients (66%).
PMID- 22077975
TI - Aortic medial elastic fiber loss in acute ascending aortic dissection.
AB - The cause of acute aortic dissection continues to be debated. One school of
thought suggests that underlying aortic medial cystic necrosis is the common
denominator. The purpose of the present study was to determine if there was loss
and, if so, how much loss of medial elastic fibers in the ascending aorta in
patients with acute aortic dissection with the entrance tear in the ascending
aorta. We examined operatively excised ascending aortas in 69 patients having
acute dissection with tears in the ascending aorta. Patients with previous
aortotomy, healed dissection, and connective tissue disorders were excluded. The
69 patients' ages ranged from 31 to 88 years (mean 56); 49 were men and 20 were
women. Loss of aortic medial elastic fibers was graded as 0 (no loss), 1+
(trace), 2+ (mild), 3+ (moderate), and 4+ (full thickness loss). Of these 69
patients, 56 (82%) had 0 or 1+ elastic fiber loss; 13 patients (18%), 2+ to 4+
loss including 4 with 2+, 6 with 3+, and 2 with 4+. Nearly all patients (97%) had
a history of systemic hypertension and/or had received antihypertensive drug
therapy. In conclusion, most patients (82% in this study) having acute aortic
dissection with entrance tears in the ascending aorta have normal numbers or only
trace loss of aortic medial elastic fibers. Thus, underlying abnormal ascending
aortic structure uncommonly precedes acute dissection.
PMID- 22077976
TI - Frequency and relevance of ischemic electrocardiographic findings in patients
with chronic obstructive pulmonary disease.
AB - Cardiovascular disease is common in patients with chronic obstructive pulmonary
disease (COPD) but often remains unrecognized. Ischemic electrocardiographic
(ECG) changes are associated with a higher risk of dying from coronary heart
disease but have never been systematically evaluated in COPD. Also, their
relation to clinical outcome has not been studied. We aimed to determine the
frequency of ischemic ECG changes and its relevance in relation to clinical
outcome and predictors of impaired survival in patients with COPD. Clinical
characteristics, pulmonary function, and co-morbidities were assessed in 536
patients with COPD during baseline assessment of a comprehensive pulmonary
rehabilitation program. Moreover, electrocardiograms at rest were obtained in all
patients. All electrocardiograms were scored independently by 2 cardiologists
using the Minnesota scoring system. Major or minor Q or QS pattern, ST junction
and segment depression, T-wave items, or left bundle branch block were considered
ischemic ECG changes. One hundred thirteen patients (21%) had ischemic ECG
changes. Moreover, 42 of 293 patients (14%) without self-reported cardiovascular
co-morbidities had ischemic ECG changes. In addition, patients with ischemic ECG
changes had higher dyspnea grades (Modified Medical Research Council (mMRC) 2.9
+/- 1.1 vs 2.6 +/- 1.1, p = 0.032), worse exercise performance (6-minute walking
distance 387 +/- 126 vs 425 +/- 126 m, p = 0.004), more systemic inflammation
(high-sensitivity C-reactive protein 11.2 +/- 16.2 vs 7.9 +/- 10.7 mmol/l, p =
0.01), higher scores on the Charlson Co-morbidity Index (1.8 +/- 0.9 vs 1.5 +/-
0.8 points), and higher scores BODE (5.3 +/- 3.7 vs 4.5 +/- 3.4 points, p =
0.033) and on ADO indexes (5.2 +/- 1.7 vs 4.8 +/- 1.7 points, p = 0.029) compared
to patients without ischemic ECG changes, whereas forced expiratory volume in the
first second was similar (40.8 +/- 15.2% vs 42.6% +/- 15.9%, p = 0.30). In
conclusion, ischemic ECG changes are common in patients with COPD and associated
with poor clinical outcome irrespective of forced expiratory volume in the first
second. These results suggest an important role for cardiovascular disease in
impaired survival in these patients.
PMID- 22077977
TI - Rotational mechanics of the left ventricle in amyloid light chain amyloidosis.
PMID- 22077978
TI - Underuse of anticoagulants in atrial fibrillation.
PMID- 22077979
TI - Flow limitation in coronary artery disease.
PMID- 22077980
TI - Anticoagulation in non-valvular atrial fibrillation: underused or wrongly used?
PMID- 22077981
TI - Anti-CTLA4 monoclonal antibodies: the past and the future in clinical
application.
AB - Recently, two studies using ipilimumab, an anti-CTLA-4 monoclonal antibody (mab)
demonstrated improvements in overall survival in the treatment of advanced
melanoma. These studies utilized two different schedules of treatment in
different patient categories (first and second line of treatment). However, the
results were quite similar despite of different dosage used and the combination
with dacarbazine in the first line treatment. We reviewed the result of
randomized phase II-III clinical studies testing anti-CTLA-4 antibodies
(ipilimumab and tremelimumab) for the treatment of melanoma to focus on practical
or scientific questions related to the broad utilization of these products in the
clinics. These analyses raised some considerations about the future of these
compounds, their potential application, dosage, the importance of the schedule
(induction/manteinance compared to induction alone) and their role as adjuvants.
Anti-CTLA-4 antibody therapy represents the start of a new era in the treatment
of advanced melanoma but we are on the steep slope of the learning curve toward
the optimization of their utilization either a single agents or in combination.
PMID- 22077982
TI - Basal progenitor cells in the embryonic mouse thalamus - their molecular
characterization and the role of neurogenins and Pax6.
AB - BACKGROUND: The size and cell number of each brain region are influenced by the
organization and behavior of neural progenitor cells during embryonic
development. Recent studies on developing neocortex have revealed the presence of
neural progenitor cells that divide away from the ventricular surface and undergo
symmetric divisions to generate either two neurons or two progenitor cells. These
'basal' progenitor cells form the subventricular zone and are responsible for
generating the majority of neocortical neurons. However, not much has been
studied on similar types of progenitor cells in other brain regions. RESULTS: We
have identified and characterized basal progenitor cells in the embryonic mouse
thalamus. The progenitor domain that generates all of the cortex-projecting
thalamic nuclei contained a remarkably high proportion of basally dividing cells.
Fewer basal progenitor cells were found in other progenitor domains that generate
non-cortex projecting nuclei. By using intracellular domain of Notch1 (NICD) as a
marker for radial glial cells, we found that basally dividing cells extended
outside the lateral limit of radial glial cells, indicating that, similar to the
neocortex and ventral telencephalon, the thalamus has a distinct subventricular
zone. Neocortical and thalamic basal progenitor cells shared expression of some
molecular markers, including Insm1, Neurog1, Neurog2 and NeuroD1. Additionally,
basal progenitor cells in each region also expressed exclusive markers, such as
Tbr2 in the neocortex and Olig2 and Olig3 in the thalamus. In Neurog1/Neurog2
double mutant mice, the number of basally dividing progenitor cells in the
thalamus was significantly reduced, which demonstrates the roles of neurogenins
in the generation and/or maintenance of basal progenitor cells. In Pax6 mutant
mice, the part of the thalamus that showed reduced Neurog1/2 expression also had
reduced basal mitosis. CONCLUSIONS: Our current study establishes the existence
of a unique and significant population of basal progenitor cells in the thalamus
and their dependence on neurogenins and Pax6. These progenitor cells may have
important roles in enhancing the generation of neurons within the thalamus and
may also be critical for generating neuronal diversity in this complex brain
region.
PMID- 22077983
TI - Evidence of a role for Th17 cells in the breach of immune tolerance in arthritis.
AB - Th17 cells are thought to play a pathogenic role in various autoimmune diseases.
Cytokines secreted by Th17 cells like IL-17, IL-17F and IL-22 have the capacity
to mediate a massive inflammatory response. These proinflammatroy cytokines are
likely to mediate the pathogenic potential of Th17 cells. Recent evidence
suggests a role for Th17 cells in the breach of immune tolerance. This might shed
some new light on the pathogenic role of Th17 cells in autoimmunity.
PMID- 22077984
TI - Nonalcoholic fatty liver disease is associated with increased GHBP and reduced
GH/IGF-I levels.
AB - INTRODUCTION: Nonalcoholic fatty liver disease (NAFLD) has been described in
adult GH deficiency syndrome. Furthermore, chronic liver disease can be
associated with significant changes in levels of IGF-I, GH-binding protein
(GHBP), IGF-binding proteins (IGFBPs) and acid-labile subunit (ALS). However, the
effect of liver steatosis on the GHBP production has not been investigated yet.
AIM OF THE STUDY: To explore whether GH secretion and/or levels of IGF-I, IGFBP
3, ALS and GHBP could be altered in obese patients in relation to the presence of
liver steatosis. MATERIALS AND METHODS: A total of 115 obese patients (BMI > 30)
were enrolled in the protocol (65 patients with liver steatosis and 50 age- and
BMI-matched controls). In all patients, the following parameters were studied:
serum levels of glucose, insulin, the HOMA index, IGF-I, GHBP, IGFBP-3, ALS and
GH after GHRH and arginine stimulation test. RESULTS: As expected, patients with
NAFLD had blood glucose, insulin, HOMA-R significantly higher than controls,
indicating a more severe insulin-resistance state in NAFLD. Furthermore, patients
with NAFLD had higher levels of GHBP and IGFBP-3 and lower GH peak and IGF-I
levels as compared to controls. No difference was found in ALS levels between the
groups. In a multivariate analysis, GHBP was positively associated with hepatic
steatosis while IGF-1 was negatively associated with hepatic steatosis.
CONCLUSIONS: This study demonstrates that in patients with NAFLD, the GHBP levels
are increased, and that the GH/IGF-I axis is significantly altered probably
leading to reduced IGF-I bioavailability at tissue level.
PMID- 22077985
TI - Development of a brief multidisciplinary education programme for patients with
osteoarthritis.
AB - BACKGROUND: Osteoarthritis (OA) is a prevalent progressive musculoskeletal
disorder, leading to pain and disability. Patient information and education are
considered core elements in treatment guidelines for OA; however, there is to our
knowledge no evidence-based recommendation on the best approach, content or
length on educational programmes in OA. OBJECTIVE: to develop a brief, patient
oriented disease specific multidisciplinary education programme (MEP) to enhance
self-management in patients with OA. METHOD: Twelve persons (80% female mean age
59 years) diagnosed with hand, hip or knee OA participated in focus group
interviews. In the first focus group, six participants were interviewed about
their educational needs, attitudes and expectations for the MEP. The interviews
were transcribed verbatim and thereafter condensed.Based on results from focus
group interviews, current research evidence, clinical knowledge and patients'
experience, a multidisciplinary OA team (dietist, nurse, occupational therapist,
pharmacist, physical therapist and rheumatologist) and a patient representative
developed a pilot-MEP after having attended a work-shop in health pedagogics.
Finally, the pilot-MEP was evaluated by a second focus group consisting of four
members from the first focus group and six other experienced patients, before
final adjustments were made. RESULTS: The focus group interviews revealed four
important themes: what is OA, treatment options, barriers and coping strategies
in performing daily activities, and how to live with osteoarthritis. Identified
gaps between patient expectations and experience with the pilot-programme were
discussed and adapted into a final MEP. The final MEP was developed as a 3.5 hour
educational programme provided in groups of 6-9 patients. All members from the
multidisciplinary team are involved in the education programme, including a
facilitator who during the provision of the programme ensures that the individual
questions are addressed. As part of an ongoing process, a patient representative
regularly attends the MEP and gives feedback concerning content and perceived
value. CONCLUSION: A MEP has been developed to enhance self-management in
patients with OA attending a multidisciplinary OA outpatient clinic. The
effectiveness of the MEP followed by individual consultations with members of the
multidisciplinary team is currently evaluated in a randomised controlled trial
with respect to patient satisfaction and functioning.
PMID- 22077986
TI - Effects of chemical, biological, and physical aging as well as soil addition on
the sorption of pyrene to activated carbon and biochar.
AB - In this study, the suitability of biochar and activated carbon (AC) for
contaminated soil remediation is investigated by determining the sorption of
pyrene to both materials in the presence and absence of soil and before as well
as after aging. Biochar and AC were aged either alone or mixed with soil via
exposure to (a) nutrients and microorganisms (biological), (b) 60 and 110
degrees C (chemical), and (c) freeze-thaw cycles (physical). Before and after
aging, the pH, elemental composition, cation exchange capacity (CEC), microporous
SA, and sorption isotherms of pyrene were quantified. Aging at 110 degrees C
altered the physicochemical properties of all materials to the greatest extent
(for example, pH increased by up to three units and CEC by up to 50% for
biochar). Logarithmic K(Fr) values ranged from 7.80 to 8.21 (ng kg(-1))(ng L(
1))(-nF) for AC and 5.22 to 6.21 (ng kg(-1))(ng L(-1))(-nF) for biochar after the
various aging regimes. Grinding biochar to a smaller particle size did not
significantly affect the sorption of d(10) pyrene, implying that sorption
processes operate on the subparticle scale. Chemical aging decreased the sorption
of pyrene to the greatest extent (up to 1.8 log unit for the biochar+soil). The
sorption to AC was affected more by the presence of soil than the sorption to
biochar was. Our results suggest that AC and biochar have a high sorption
capacity for pyrene that is maintained both in the presence of soil and during
harsh aging. Both materials could therefore be considered in contaminated land
remediation.
PMID- 22077988
TI - The epidemiology of fractures of the proximal ulna.
AB - INTRODUCTION: The aim of our study was to report the epidemiological
characteristics of fractures of the proximal ulna. METHODS: From our prospective
trauma database of 6872 fractures, we identified all acute fractures of the
proximal ulna from a 1-year period between July 2007 and June 2008. Age, gender,
mode of injury, fracture classifications, associated injuries and treatment were
the factors documented and analysed. RESULTS: There were 78 fractures of the
proximal ulna with a mean age of 57 years (15-97). Males (n=35) sustained their
fracture at a significantly younger age than females (p=0.041), with no gender
predominance seen (p=0.365). The overall fracture distribution was a unimodal
older male and unimodal older female type-F curve. The most common mode of injury
was a simple fall from standing height (n=52, 67%), with younger patients more
likely to sustain their injuries following a high-energy mechanism such as sports
or a motor vehicle collision (p<0.001). Seventeen (22%) patients sustained
associated injuries to the ipsilateral limb, with an associated proximal radial
fracture most frequent (n=13, 17%). Open fractures were seen in five (6.4%)
patients. A total of 64 patients had a fracture of the olecranon, with the Mayo
2A most frequently seen (n=47, 60%). CONCLUSIONS: Fractures of the proximal ulna
are fragility fractures that predominantly occur in elderly patients. Given the
number of elderly patients sustaining these injuries, research is needed to
determine the role of non-operative treatment for these fractures, particularly
in patients with multiple co-morbidities and low functional demands.
PMID- 22077989
TI - UK triage--an improved tool for an evolving threat.
AB - INTRODUCTION: A key challenge at a major incident is to quickly identify those
casualties most urgently needing treatment in order to survive - triage. The UK
Triage Sieve (TS) advocated by the Major Incident Medical Management (MIMMS)
Course categorises casualties by ability to walk, respiratory rate (RR) and heart
rate (HR) or capillary refill time. The military version (MS) includes assessment
of consciousness. We tested whether the MS better predicts need for life-saving
intervention in a military trauma population. Ideal HR, RR and Glasgow Coma Score
(GCS) thresholds were calculated. METHODS: A gold standard Priority 1 casualty
was defined using resource-based criteria. Pre-hospital data from a military
trauma database allowed calculation of triage category, which was compared with
this standard, and presented as 2*2 tables. Sensitivity and specificity of each
physiological parameter was calculated over a range of values to identify the
ideal cut-offs. RESULTS: A gold standard could be ascribed in 1657 cases. In 1213
both the MS and TS could ascribe a category. MS was significantly more sensitive
than TS (59% vs 53%, p<0.001) with similar specificity (89 vs 88%). Varying the
limits for each parameter allowed some improvements in sensitivity (70-80%) but
specificity dropped rapidly. DISCUSSION: Previous studies support the inclusion
of GCS assessment for blunt as well as penetrating trauma. Optimising the
physiological cut-offs increased sensitivity in this sample to only 71% - a Sieve
based purely on physiological parameters may not be capable of an acceptable
level of sensitivity. CONCLUSIONS: The MS is more sensitive than the TS. Major
incident planners utilising the Sieve should consider adopting the military
version as their first line triage tool. If validated, altering the HR and RR
thresholds may further improve the tool.
PMID- 22077987
TI - Global protein synthesis in human trophoblast is resistant to inhibition by
hypoxia.
AB - Placental growth and function depend on syncytial cell processes which require
the continuing synthesis of cellular proteins. The substantial energy demands of
protein synthesis are met primarily from oxidative metabolism. Although the
responses of individual proteins produced by the syncytiotrophoblast to oxygen
deprivation have been investigated previously, there is no information available
on global protein synthesis in syncytiotrophoblast under conditions of hypoxia.
These studies were designed to test the hypothesis that syncytial protein
synthesis is decreased in a dose-dependent manner by hypoxia. Experiments were
performed to measure amino acid incorporation into proteins in primary
syncytiotrophoblast cells exposed to oxygen concentrations ranging from 0 to 10%.
Compared to cells exposed to normoxia (10% O2), no changes were observed
following exposure to 5% or 3% O2, but after exposure to 1% O2, protein synthesis
after 24 and 48 h decreased by 24% and 23% and with exposure to 0% O2, by 65% and
50%. As a consequence of these results, we hypothesized that global protein
synthesis in conditions of severe hypoxia was being supported by glucose
metabolism. Additional experiments were performed therefore to examine the role
of glucose in supporting protein synthesis. These demonstrated that at each
oxygen concentration there was a significant, decreasing linear trend in protein
synthesis as glucose concentration was reduced. Under conditions of near-anoxia
and in the absence of glucose, protein synthesis was reduced by >85%. Even under
normoxic conditions (defined as 10% O2) and in the presence of oxidative
substrates, reductions in glucose were accompanied by decreases in protein
synthesis. These experiments demonstrate that syncytiotrophoblast cells are
resistant to reductions in protein synthesis at O2 concentrations greater than
1%. This could be explained by our finding that a significant fraction of protein
synthesis in the syncytiotrophoblast is sustained by glycolytic metabolism. This
suggests that with increasing degrees of chronic hypoxia there is a shift from
oxidative to glycolytic pathways, allowing a substantial degree of protein
synthesis to be maintained.
PMID- 22077990
TI - Discharge destination following lower limb fracture: development of a prediction
model to assist with decision making.
AB - BACKGROUND: Accurate prediction of the likelihood of discharge to inpatient
rehabilitation following lower limb fracture made on admission to hospital may
assist patient discharge planning and decrease the burden on the hospital system
caused by delays in decision making. AIMS: To develop a prognostic model for
discharge to inpatient rehabilitation. METHOD: Isolated lower extremity fracture
cases (excluding fractured neck of femur), captured by the Victorian Orthopaedic
Trauma Outcomes Registry (VOTOR), were extracted for analysis. A training data
set was created for model development and validation data set for evaluation. A
multivariable logistic regression model was developed based on patient and injury
characteristics. Models were assessed using measures of discrimination (C
statistic) and calibration (Hosmer-Lemeshow (H-L) statistic). RESULTS: A total of
1429 patients met the inclusion criteria and were randomly split into training
and test data sets. Increasing age, more proximal fracture type, compensation or
private fund source for the admission, metropolitan location of residence, not
working prior to injury and having a self-reported pre-injury disability were
included in the final prediction model. The C-statistic for the model was 0.92
(95% confidence interval (CI) 0.88, 0.95) with an H-L statistic of chi(2)=11.62,
p=0.17. For the test data set, the C-statistic was 0.86 (95% CI 0.83, 0.90) with
an H-L statistic of chi(2)=37.98, p<0.001. CONCLUSION: A model to predict
discharge to inpatient rehabilitation following lower limb fracture was developed
with excellent discrimination although the calibration was reduced in the test
data set. This model requires prospective testing but could form an integral part
of decision making in regards to discharge disposition to facilitate timely and
accurate referral to rehabilitation and optimise resource allocation.
PMID- 22077991
TI - Stromal cell-derived factor-1 (SDF-1/CXCL12) gene polymorphisms in pulmonary
tuberculosis patients of south India.
AB - CXCL12 gene polymorphisms influence CXCL12 levels and may be associated with the
outcome of host-pathogen interaction. Hence, the present study was carried out to
find out whether CXCL12 gene polymorphisms are associated with susceptibility or
resistance to pulmonary tuberculosis (PTB). Intron and 3' untranslated region
(UTR) polymorphisms of CXCL12 gene were investigated among 184 patients with PTB
and 187 healthy controls (HC) using polymerase chain reaction-based methods. The
results revealed an increased frequency of G/A genotype of In2 +5887 [P = 0.034;
odds ratio (OR) 1.66; 95% confidence intervals 1.04-2.66] and a decreased
frequency of G/A genotype of 3'UTR +12197 polymorphisms (P = 0.051; OR 0.64; 95%
CI 0.4-1.00) among patients than HCs. When the study subjects were categorized
based on sex, significantly increased frequencies of G/A genotype (P = 0.013 P(c)
= 0.039; OR 2.41) of In2 +5887 and G/G genotype (P = 0.005, P(c) = 0.015; OR
2.48) of 3'UTR +12197 polymorphisms were observed among female patients with PTB
as compared to female HC. A significantly decreased frequency of the haplotype G
C-A-T (P = 0.006, P(c) = 0.030; OR 0.48) was noticed among female patients with
PTB as compared to female HC. The study suggests that G/A genotype of In2 +5887
and G/G genotype of 3'UTR +12197 polymorphisms may be associated with
susceptibility to PTB among females, and the haplotype G-C-A-T of CXCL12 gene may
be associated with protection in females.
PMID- 22077992
TI - Lab-on-a-bubble: synthesis, characterization, and evaluation of buoyant gold
nanoparticle-coated silica spheres.
AB - This paper describes the development and preparation of a new class of materials
for surface-enhanced Raman scattering (SERS) consisting of gold nanoparticles
coated onto hollow, buoyant silica microspheres. These materials allow for a new
type of molecular assay designated as a lab-on-a-bubble (LoB). LoB materials
serve as a convenient platform for the detection of analytes in solution and
offer several advantages over traditional colloidal gold and planar SERS
substrates, such as the ability to localize and concentrate analytes for
detection. An example assay is presented using the LoB method and cyanide
detection. Cyanide binds to SERS-active, gold-coated LoBs and is detected
directly from the corresponding SERS signal. The abilities of LoBs and a gold
colloid to detect cyanide are compared, and in both cases, a detection limit of
~170 ppt was determined. Differences in measurement error using LoBs versus gold
colloid are also described, as well as an assay for 5,5'-dithiobis(2-nitrobenzoic
acid) that shows the benefit of using LoBs over SERS analyses in colloids, which
are often plagued by particle aggregation.
PMID- 22077993
TI - Biphasic peptide amphiphile nanomatrix embedded with hydroxyapatite nanoparticles
for stimulated osteoinductive response.
AB - Formation of the native bone extracellular matrix (ECM) provides an attractive
template for bone tissue engineering. The structural support and biological
complexity of bone ECM are provided within a composite microenvironment that
consists of an organic fibrous network reinforced by inorganic hydroxyapatite
(HA) nanoparticles. Recreating this biphasic assembly, a bone ECM analogous
scaffold comprising self-assembling peptide amphiphile (PA) nanofibers and
interspersed HA nanoparticles was investigated. PAs were endowed with
biomolecular ligand signaling using a synthetically inscribed peptide sequence
(i.e., RGDS) and integrated with HA nanoparticles to form a biphasic nanomatrix
hydrogel. It was hypothesized the biphasic hydrogel would induce osteogenic
differentiation of human mesenchymal stem cells (hMSCs) and improve bone healing
as mediated by RGDS ligand signaling within PA nanofibers and embedded HA
mineralization source. Viscoelastic stability of the biphasic PA hydrogels was
evaluated with different weight concentrations of HA for improved gelation. After
demonstrating initial viability, long-term cellularity and osteoinduction of
encapsulated hMSCs in different PA hydrogels were studied in vitro. Temporal
progression of osteogenic maturation was assessed by gene expression of key
markers. A preliminary animal study demonstrated bone healing capacity of the
biphasic PA nanomatrix under physiological conditions using a critical size
femoral defect rat model. The combination of RGDS ligand signaling and HA
nanoparticles within the biphasic PA nanomatrix hydrogel demonstrated the most
effective osteoinduction and comparative bone healing response. Therefore, the
biphasic PA nanomatrix establishes a well-organized scaffold with increased
similarity to natural bone ECM with the prospect for improved bone tissue
regeneration.
PMID- 22077995
TI - Effects of intramammary inoculation of Lactobacillus perolens CRL1724 in
lactating cows' udders.
AB - Bovine mastitis is the most important infectious disease on dairy farms.
Conventional antibiotic therapy is often unsatisfactory and alternative
treatments are continually under investigation. Lactobacillus (Lb.) perolens CRL
1724 and Lactobacillus plantarum CRL 1716 were previously isolated from milk of
dairy cows and selected according to their potential probiotic properties. In the
present work the in-vitro capacity of Lactobacillus strains to adhere to bovine
teat canal epithelial cells (BTCEC) and to inhibit and co-aggregate 14 mastitis
causing pathogens (MCPs) was investigated. The effect of Lb. perolens CRL 1724
after intramammary inoculation in lactating cows was evaluated through
determination of clinical signs of mastitis, milk appearance, somatic cell counts
and Lb. perolens CRL 1724 recovery from milk. Lb. perolens CRL 1724 was able to
inhibit 12 of 14 MCPs (85.7%) in vitro, especially those considered to be major
pathogens. In addition, Lb. perolens CRL 1724 co-aggregated with all of them. Lb.
plantarum CRL 1716 was able to inhibit 7 of 14 MCPs (50%) in vitro and showed co
aggregation ability similar to Lb. perolens CRL 1724. Lb. perolens CRL 1724
showed a higher efficacy of adhesion to BTCEC (values of percentage of adhesion
and adhesion index of 75% and 14.4, respectively) than Lb. plantarum CRL 1716
(37% and 7.4, respectively). Lb. perolens CRL 1724 was recovered from all mammary
quarters and no clinical signs or teat damage were observed after the inoculation
of 106 cfu/ml. The udders presented a normal aspect and there were no changes in
the appearance of the milk. The results obtained will serve as the basis for
further trials to evaluate the potential of Lb. perolens CRL 1724 to be included
in a non-antibiotic formulation for the prevention of bovine mastitis.
PMID- 22077994
TI - Developing a community-based genetic nomenclature for anole lizards.
AB - BACKGROUND: Comparative studies of amniotes have been hindered by a dearth of
reptilian molecular sequences. With the genomic assembly of the green anole,
Anolis carolinensis available, non-avian reptilian genes can now be compared to
mammalian, avian, and amphibian homologs. Furthermore, with more than 350 extant
species in the genus Anolis, anoles are an unparalleled example of tetrapod
genetic diversity and divergence. As an important ecological, genetic and now
genomic reference, it is imperative to develop a standardized Anolis gene
nomenclature alongside associated vocabularies and other useful metrics. RESULTS:
Here we report the formation of the Anolis Gene Nomenclature Committee (AGNC) and
propose a standardized evolutionary characterization code that will help
researchers to define gene orthology and paralogy with tetrapod homologs, provide
a system for naming novel genes in Anolis and other reptiles, furnish
abbreviations to facilitate comparative studies among the Anolis species and
related iguanid squamates, and classify the geographical origins of Anolis
subpopulations. CONCLUSIONS: This report has been generated in close consultation
with members of the Anolis and genomic research communities, and using public
database resources including NCBI and Ensembl. Updates will continue to be
regularly posted to new research community websites such as lizardbase. We
anticipate that this standardized gene nomenclature will facilitate the
accessibility of reptilian sequences for comparative studies among tetrapods and
will further serve as a template for other communities in their sequencing and
annotation initiatives.
PMID- 22077996
TI - The role of cardiovascular magnetic resonance in candidates for Fontan operation:
proposal of a new algorithm.
AB - BACKGROUND: To propose a new diagnostic algorithm for candidates for Fontan and
identify those who can skip cardiac catheterization (CC). METHODS: Forty-four
candidates for Fontan (median age 4.8 years, range: 2-29 years) were
prospectively evaluated by trans-thoracic echocardiography (TTE), Cardiovascular
magnetic resonance (CMR) and CC. Before CC, according to clinical, echo and CMR
findings, patients were divided in two groups: Group I comprised 18 patients
deemed suitable for Fontan without requiring CC; group II comprised 26 patients
indicated for CC either in order to detect more details, or for interventional
procedures. RESULTS: In Group I ("CC not required") no unexpected new information
affecting surgical planning was provided by CC. Conversely, in Group II new
information was provided by CC in three patients (0 vs 11.5%, p = 0.35) and in
six an interventional procedure was performed. During CC, minor complications
occurred in one patient from Group I and in three from Group II (6 vs 14%, p =
0.7). Radiation Dose-Area product was similar in the two groups (Median 20
Gycm(2), range: 5-40 vs 26.5 Gycm(2), range: 9-270 p = 0.37). All 18 Group I
patients and 19 Group II patients underwent a total cavo-pulmonary anastomosis;
in the remaining seven group II patients, four were excluded from Fontan; two are
awaiting Fontan; one refused the intervention. CONCLUSION: In this paper we
propose a new diagnostic algorithm in a pre-Fontan setting. An accurate non
invasive evaluation comprising TTE and CMR could select patients who can skip CC.
PMID- 22077997
TI - Effects of pelvic suspension of beef carcasses on quality and physical traits of
five muscles from four gender-age groups.
AB - Pelvic and Achilles suspension methods for beef carcasses were compared for four
gender-age groups (24month bulls, 34month bulls, heifers, and cows) and five
muscles [M. longissimus dorsi (LD), M. semimembranosus (SM), M. adductor (AD), M.
psoas major (PM), and M. gluteus medius (GM)]. Pelvic suspension increased muscle
and sarcomere lengths in the SM, LD, GM, and AD muscles. The following effects
were significant (p<0.05). Peak force was reduced by pelvic suspension in the LD
and GM of bulls-24 and bulls-34, but not heifers and cows. Furthermore, peak
forces decreased for the SM after pelvic suspension in bulls-24, bulls-34, and
heifers. For the AD, the only decrease in peak force was for bulls-34. Water
holding capacity increased and purge in vacuum bags decreased for pelvic
suspension of all muscles except the PM. Although the effects of pelvic
suspension varied somewhat between gender-age groups and muscles, this method of
hanging carcasses merits industrial consideration because it improves muscle
yields, tenderness, and reduces variation within muscles.
PMID- 22077998
TI - Exposure to a workday environment results in an increase in anterior tilting of
the scapula in dental hygienists with greater employment experience.
AB - BACKGROUND: Dental hygienists suffer a high incidence of shoulder pathology that
seems to increase with job longevity. It has been hypothesized that occupational
injuries could be due to local muscle fatigue caused by repetitive low level work
and awkward and constrained working postures. In the laboratory, scapular
kinematics can be temporarily altered using fatiguing protocols. It is unknown
whether or not workday fatigue causes changes to scapular kinematics. The aim of
this study was to examine if changes in scapular tilt and rotation occurs after a
workday in dental hygienists. METHODS: The pre and post workday scapular
kinematics were recorded from dental hygienists using an electromagnetic tracking
system. All data were recorded within the place of employment of the dental
hygienist. RESULTS: Following the workday, there was significantly more scapular
anterior tilt in dental hygienists (P<0.05); however, no changes were found for
upward or internal rotation. Greater kinematic differences were found for
hygienists with greater job longevity. INTERPRETATION: The increase in scapular
anterior tilting could be due to post workday fatigue. Anterior tilting of the
scapula may have an influence on the development of subacromial impingement
syndrome. Hygienists with greater duration of work experience may be at greater
risk for developing shoulder injuries as they have more anterior tilting of the
scapula post workday.
PMID- 22078000
TI - Ancient founder mutation is responsible for Imerslund-Grasbeck Syndrome among
diverse ethnicities.
AB - BACKGROUND: Imerslund-Grasbeck syndrome (IGS) was described just over 50 years
ago by Olga Imerslund and Ralph Grasbeck and colleagues. IGS is caused by
specific malabsorption of cobalamin (Cbl) due to bi-allelic mutations in either
the cubilin gene (CUBN) or the human amnionless homolog (AMN). Mutations in the
two genes are commonly seen in founder populations or in societies with a high
degree of consanguineous marriages. One particular mutation in AMN, c.208-2A>G,
causing an out-of-frame loss of exon 4 in the mRNA, is responsible for some 15%
of IGS cases globally. We present evidence that this founder mutation causes a
substantial percentage of cases among diverse ethnicities and that the mutation
is as old as human civilization. METHODS: Partial genotyping indicated a founder
event but its presence in diverse peoples of Arabic, Turkish, Jewish, and
Hispanic ancestry suggested that the mutation might be recurrent. We therefore
studied the flanking sequence spanning 3.5 Mb to elucidate the origin of the
haplotype and estimate the age of the mutation using a Bayesian inference method
based on observed linkage disequilibrium. RESULTS: The mutation's distribution,
the size of the shared haplotype, and estimates of growth rate and carrier
frequency indicated that the mutation was a single prehistoric event. Dating back
to the ancient Middle East around 11,600 BC, the mutation predates the advent of
writing, farming, and the monotheistic religions of the region. CONCLUSIONS: This
mutation causes over 50% of the IGS cases among Arabic, Turkish, and Sephardic
Jewish families, making it a primary target for genetic screening among diverse
IGS cases originating from the Middle East. Thus, rare founder mutations may
cause a substantial number of cases, even among diverse ethnicities not usually
thought to be related.
PMID- 22077999
TI - Adiponectin associates with markers of cartilage degradation in osteoarthritis
and induces production of proinflammatory and catabolic factors through mitogen
activated protein kinase pathways.
AB - INTRODUCTION: Adiponectin is an adipokine that regulates energy metabolism and
insulin sensitivity, but recent studies have pointed also to a role in
inflammation and arthritis. The purpose of the present study was to investigate
the association and effects of adiponectin on inflammation and cartilage
destruction in osteoarthritis (OA). METHODS: Cartilage and blood samples were
collected from 35 male OA patients undergoing total knee replacement surgery.
Preoperative radiographs were evaluated using Ahlback classification criteria for
knee OA. Circulating concentrations of adiponectin and biomarkers of OA, that is,
cartilage oligomeric matrix protein (COMP) and matrix metalloproteinase 3 (MMP
3), were measured. Cartilage samples obtained at the time of surgery were
cultured ex vivo, and the levels of adiponectin, nitric oxide (NO), IL-6, MMP-1
and MMP-3 were determined in the culture media. In addition, the effects of
adiponectin on the production of NO, IL-6, MMP-1 and MMP-3 were studied in
cartilage and in primary chondrocyte cultures. RESULTS: Plasma adiponectin levels
and adiponectin released from OA cartilage were higher in patients with the
radiologically most severe OA (Ahlback grades 4 and 5) than in patients with less
severe disease (Ahlback grades 1 to 3). Plasma adiponectin concentrations
correlated positively with biomarkers of OA, that is, COMP (r = 0.55, P = 0.001)
and MMP-3 (r = 0.34, P = 0.046). Adiponectin was released by OA cartilage ex
vivo, and it correlated positively with production of NO (r = 0.43, P = 0.012),
IL-6 (r = 0.42, P = 0.018) and MMP-3 (r = 0.34, P = 0.051). Furthermore,
adiponectin enhanced production of NO, IL-6, MMP-1 and MMP-3 in OA cartilage and
in primary chondrocytes in vitro in a mitogen-activated protein kinase (MAPK)
dependent manner. CONCLUSIONS: The findings of this study show that adiponectin
is associated with, and possibly mediates, cartilage destruction in OA.
PMID- 22078001
TI - Evaluation of thyroid nodules--combined use of (99m)Tc-methylisobutylnitrile
scintigraphy and aspiration cytology to assess risk of malignancy and stratify
patients for surgical or nonsurgical therapy--a retrospective cohort study.
AB - OBJECTIVE: Thyroid nodules are a common clinical problem, and differentiation
between benign and malignant nodules is essential. The aim of this study was to
evaluate an approach for cold thyroid nodules including (99m)Tc
methylisobutylnitrile (MIBI) scintigraphy to assess risk of malignancy and
stratify patients for therapy. DESIGN: Retrospective cohort study; 391 patients
with at least one cold thyroid nodule were consecutively admitted (between 1
January 2004 and 31 December 2006) and recommended for surgical or nonsurgical
therapy. MEASUREMENTS: Thyroid ultrasonography, (99m)Tc-pertechnetate
scintigraphy, laboratory tests, fine needle aspiration cytology (FNAC) and MIBI
scintigraphy. RESULTS: 57.3% (224/391) had one cold nodule, 17.9% (70/391) had
several cold nodules, and 24.8% (97/391) had both cold and hot nodules. MIBI
scintigraphy was classified into 'positive' (16.1%, 63/391), 'weakly positive'
(19.2%, 75/391) or 'negative' (64.7%, 253/391). FNAC was classified into benign
(87.9%, 247/281), nondiagnostic (6.8%, 19/281) or suspicious/malignant (5.3%,
15/281). 127 patients received surgery, revealing malignancy in 13.3% (17/127),
predominantly papillary (64.7%, 11/17) and follicular carcinoma (23.5%, 4/17).
MIBI scintigraphy was 'positive' (64.7%, 11/17) or 'weakly positive' (23.5%,
4/17) in most patients with malignant findings. FNAC was unavailable in 23.5%
(4/17) with malignancy, positive in 38.5% (5/13) and negative in 61.5% (8/13).
Among patients undergoing surgery, sensitivity, specificity, negative and
positive predictive values for MIBI scintigraphy were 88.2%, 35.5%, 95.1% and
17.4%, for FNAC 38.5%, 90.6%, 90.6% and 38.5%, respectively, and for the
combination (MIBI scan + FNAC) 92.3%, 30.6%, 96.3% and 16.9%. Benign MIBI
positive nodules were predominantly follicular adenomas (68%, 33/48). CONCLUSION:
Evaluation of cold thyroid nodules by MIBI scintigraphy aids therapeutic
decisions: MIBI-negative findings support nonsurgical management in about two
thirds of patients, while MIBI-positive findings have an increased risk of
malignancy, supporting surgical therapy. However, the positive predictive value
was low, which requires further research.
PMID- 22078003
TI - The clinical results of arthroscopic transtendinous repair of grade III partial
articular-sided supraspinatus tendon tears.
AB - PURPOSE: The purpose of this study was to evaluate the clinical results of
arthroscopic transtendinous repair of deep partial articular-sided rotator cuff
tears. METHODS: We retrospectively evaluated the results of 53 patients who
underwent arthroscopic transtendinous repair for Ellman grade III articular-sided
rotator cuff tears (>50% of the thickness of the rotator cuff). The intact bursal
side of the cuff was not detached, and all associated pathology was treated.
Fifty patients available for follow-up were evaluated with the American Shoulder
and Elbow Surgeons (ASES) questionnaire. RESULTS: American Shoulder and Elbow
Surgeons scores improved from a mean of 48.0 to 89.4 (+41.4) (P < .0001). Pain
scores on a visual analog scale improved from 5.7 to 1.0 (P < .0001). Ninety
eight percent of patients were satisfied with the results of surgery. Results for
the 50 patients available for follow-up were excellent in 32 (64%), good in 6
(12%), fair in 6 (12%), and poor in 6 (12%). Articular-sided rotator cuff tears
rarely occurred in isolation but were typically found in association with
coexisting pathology suggestive of the tears' etiology. Most common were
impingement lesions, seen in 94% of patients, and instability lesions such as
labral tears, seen in 30% of patients. Associated procedures included
acromioplasty in 47, distal clavicle resection in 29, treatment of biceps
pathology in 7, and instability repair in 15. One patient sustained a
postoperative pulmonary embolism, which represented the only complication. Tears
varied in size from 50% to 90% of the thickness of the cuff insertion.
Significant differences were identified in the results of Workers' Compensation
patients. Preoperative magnetic resonance imaging and magnetic resonance
arthrography were accurate in identifying a partial-thickness rotator cuff tear
in less than 40% of cases. CONCLUSIONS: Arthroscopic transtendinous repair of
partial articular-sided rotator cuff tears is a safe and effective treatment that
allows identification of commonly associated pathology and reliable improvement
in pain and function. LEVEL OF EVIDENCE: Level IV, therapeutic case series.
PMID- 22078002
TI - The interest of gait markers in the identification of subgroups among
fibromyalgia patients.
AB - BACKGROUND: Fibromyalgia (FM) is a heterogeneous syndrome and its classification
into subgroups calls for broad-based discussion. FM subgrouping, which aims to
adapt treatment according to different subgroups, relies in part, on
psychological and cognitive dysfunctions. Since motor control of gait is closely
related to cognitive function, we hypothesized that gait markers could be of
interest in the identification of FM patients' subgroups. This controlled study
aimed at characterizing gait disorders in FM, and subgrouping FM patients
according to gait markers such as stride frequency (SF), stride regularity (SR),
and cranio-caudal power (CCP) which measures kinesia. METHODS: A multicentre,
observational open trial enrolled patients with primary FM (44.1 +/- 8.1 y), and
matched controls (44.1 +/- 7.3 y). Outcome measurements and gait analyses were
available for 52 pairs. A 3-step statistical analysis was carried out. A
preliminary single blind analysis using k-means cluster was performed as an
initial validation of gait markers. Then in order to quantify FM patients
according to psychometric and gait variables an open descriptive analysis
comparing patients and controls were made, and correlations between gait
variables and main outcomes were calculated. Finally using cluster analysis, we
described subgroups for each gait variable and looked for significant differences
in self-reported assessments. RESULTS: SF was the most discriminating gait
variable (73% of patients and controls). SF, SR, and CCP were different between
patients and controls. There was a non-significant association between SF, FIQ
and physical components from Short-Form 36 (p = 0.06). SR was correlated to FIQ
(p = 0.01) and catastrophizing (p = 0.05) while CCP was correlated to pain (p =
0.01). The SF cluster identified 3 subgroups with a particular one characterized
by normal SF, low pain, high activity and hyperkinesia. The SR cluster identified
2 distinct subgroups: the one with a reduced SR was distinguished by high FIQ,
poor coping and altered affective status. CONCLUSION: Gait analysis may provide
additional information in the identification of subgroups among fibromyalgia
patients. Gait analysis provided relevant information about physical and
cognitive status, and pain behavior. Further studies are needed to better
understand gait analysis implications in FM.
PMID- 22078004
TI - Correlation between anterior cruciate ligament graft obliquity and tibial
rotation during dynamic pivoting activities in patients with anatomic anterior
cruciate ligament reconstruction: an in vivo examination.
AB - PURPOSE: To investigate the effect of coronal- and sagittal-plane anterior
cruciate ligament (ACL) graft obliquity on tibial rotation (TR) range of motion
(ROM) during dynamic pivoting activities after ACL reconstruction with bone
patellar tendon-bone (BPTB) autograft. METHODS: We evaluated 19 ACL-reconstructed
patients (mean age, 29 years; age range, 18 to 38 years; mean time interval
postoperatively, 19.9 months) and 19 matched control subjects (mean age, 30.6
years; age range, 24 to 37 years) using motion analysis during (1) descending a
stairway and pivoting and (2) landing from a jump and pivoting. Magnetic
resonance imaging was used to measure the coronal and sagittal ACL graft angle.
The dependent variables were TR ROM during pivoting and the side-to-side
difference (SSD) in TR ROM between the reconstructed knee and the contralateral
intact knee. RESULTS: TR ROM of the ACL-reconstructed knee was significantly
increased compared with both the contralateral intact knee and the healthy
control knee (P < .05). A significant positive correlation was observed between
TR ROM and coronal ACL graft angle (r = 0.727, P = .0006 for descending and
pivoting; r = 0.795, P = .0001 for landing and pivoting) as well as between SSD
of TR ROM and coronal ACL graft angle (r = 0.789, P < .0001 for descending and
pivoting; r = 0.799, P < .0001 for landing and pivoting). No correlation was
found with the sagittal ACL graft angle. CONCLUSIONS: After ACL reconstruction
with a BPTB graft, patients' knees showed higher TR values than their uninjured
knees and the knees of uninjured control volunteers during dynamic pivoting
activities. The findings of this study show that TR was better restored in ACL
reconstructed patients with a more oblique graft in the coronal plane. A similar
relation was not observed for graft orientation in the sagittal plane. Although
these data do not imply a cause-and-effect relation between the 2 variables, they
may indicate that a more oblique placement of a single BPTB ACL graft in the
coronal plane is correlated with better control of TR. LEVEL OF EVIDENCE: Level
IV, case series.
PMID- 22078005
TI - Anti-angiogenic therapy: concept to clinic.
AB - It has been 40 years since Folkman hypothesized the use of anti-angiogenic
therapy as a strategy in the treatment of cancer. Since then, vascular
endothelial growth factor (VEGF) has been identified as the most potent cytokine
to induce angiogenesis and drugs targeting VEGF, principally the humanized
monoclonal antibody bevacizumab and the tyrosine kinase inhibitors sunitinib and
sorafenib, have proven therapeutic benefit. The initial high expectations of
tumor vascular targeting agents, however, have yet to be fulfilled. In unselected
patient populations, the benefits of these agents is often marginal, they cause
harmful side effects, and drug resistance is quickly established. Biomarkers to
identify patients suitable for anti-angiogenic therapy will be key to the future
development of these drugs.
PMID- 22078006
TI - Tissue-specific LCPUFA accretion in fetal humans.
PMID- 22078007
TI - Synthesis and antitubercular activity of novel amino acid derivatives.
AB - In this work, 17 new N-acylhydrazone derivatives of amino acids have been
evaluated for their in vitro antibacterial activity against Mycobacterium
tuberculosis H37Rv. The compounds 8b, 8e, 8f, 9a-d, and 10c exhibited an
important minimum inhibitory concentration activity between 12.5 and 50 MUg/mL,
which can be compared with that of the tuberculostatic drug d-cycloserine (20
MUg/mL).
PMID- 22078008
TI - Sex-dimorphism in cardiac nutrigenomics: effect of trans fat and/or monosodium
glutamate consumption.
AB - BACKGROUND: A paucity of information on biological sex-specific differences in
cardiac gene expression in response to diet has prompted this present
nutrigenomics investigation. Sexual dimorphism exists in the physiological and
transcriptional response to diet, particularly in response to high-fat feeding.
Consumption of Trans-fatty acids (TFA) has been linked to substantially increased
risk of heart disease, in which sexual dimorphism is apparent, with males
suffering a higher disease rate. Impairment of the cardiovascular system has been
noted in animals exposed to Monosodium Glutamate (MSG) during the neonatal
period, and sexual dimorphism in the growth axis of MSG-treated animals has
previously been noted. Processed foods may contain both TFA and MSG. METHODS: We
examined physiological differences and changes in gene expression in response to
TFA and/or MSG consumption compared to a control diet, in male and female
C57BL/6J mice. RESULTS: Heart and % body weight increases were greater in TFA-fed
mice, who also exhibited dyslipidemia (P < 0.05). Hearts from MSG-fed females
weighed less than males (P < 0.05). 2-factor ANOVA indicated that the TFA diet
induced over twice as many cardiac differentially expressed genes (DEGs) in males
compared to females (P < 0.001); and 4 times as many male DEGs were downregulated
including Gata4, Mef2d and Srebf2. Enrichment of functional Gene Ontology (GO)
categories were related to transcription, phosphorylation and anatomic structure
(P < 0.01). A number of genes were upregulated in males and downregulated in
females, including pro-apoptotic histone deacetylase-2 (HDAC2). Sexual dimorphism
was also observed in cardiac transcription from MSG-fed animals, with both sexes
upregulating approximately 100 DEGs exhibiting sex-specific differences in GO
categories. A comparison of cardiac gene expression between all diet combinations
together identified a subset of 111 DEGs significant only in males, 64 DEGs
significant in females only, and 74 transcripts identified as differentially
expressed in response to dietary manipulation in both sexes. CONCLUSION: Our
model identified major changes in the cardiac transcriptional profile of TFA
and/or MSG-fed mice compared to controls, which was reflected by significant
differences in the physiological profile within the 4 diet groups. Identification
of sexual dimorphism in cardiac transcription may provide the basis for sex
specific medicine in the future.
PMID- 22078009
TI - Involvement of viral factors with head and neck cancers.
AB - The incidence of head and neck cancer remains high and is associated with many
deaths in both Western and Asian countries. Common risk factors in head and neck
carcinoma are smoking and alcohol abuse, however, in an increasing proportion of
cases, no significant smoking or drinking history has been reported. The
infectious nature of oncogenic viruses sets them apart from other carcinogenic
agents. As such, a thorough study of both the pathogenesis of viral infection and
the host response is crucial to a full understanding of the resulting cancers.
Such an understanding, in turn, has increased our knowledge of cellular pathways
involved in growth and differentiation and neoplasia as a whole. Even though
human oncogenic viruses belong to different virus families and utilize diverse
strategies to contribute to cancer development, they share many common features.
Viruses linked to cancers in humans are the human papilloma viruses, Epstein-Barr
virus, hepatitis C virus and human herpesvirus-8. The potential role and
involvement of these viruses in head and neck cancers along with brief
description of vaccine development is provided.
PMID- 22078010
TI - Oral oocyst-induced mouse model of toxoplasmosis: effect of infection with
Toxoplasma gondii strains of different genotypes, dose, and mouse strains
(transgenic, out-bred, in-bred) on pathogenesis and mortality.
AB - Humans and other hosts acquire Toxoplasma gondii infection by ingesting tissue
cysts in undercooked meat, or by food or drink contaminated with oocysts.
Currently, there is no vaccine to prevent clinical disease due this parasite in
humans, although, various T. gondii vaccine candidates are being developed. Mice
are generally used to test the protective efficacy of vaccines because they are
susceptible, reagents are available to measure immune parameters in mice, and
they are easily managed in the laboratory. In the present study, pathogenesis of
toxoplasmosis was studied in mice of different strains, including Human Leukocyte
Antigen (HLA) transgenic mice infected with different doses of T. gondii strains
of different genotypes derived from several countries. Based on many experiments,
the decreasing order of infectivity and pathogenicity of oocysts was: C57BL/6
background interferon gamma gene knock out (KO), HLA-A*1101, HLA-A*0201, HLA
B*0702, Swiss Webster, C57/black, and BALB/c. Mice fed as few as 1 oocyst of Type
I and several atypical strains died of acute toxoplasmosis within 21 days p.i.
Some Type II, and III strains were less virulent. The model developed herein
should prove to be extremely useful for testing vaccines because it is possible
to accurately quantitate a challenge inoculum, test the response to different
strains of T. gondii using the same preparations of oocysts which are stable for
up to a year, and to have highly reproducible responses to the infection.
PMID- 22078011
TI - Minimal residual disease and circulating tumor cells in breast cancer.
AB - Tumor cell dissemination in bone marrow or other organs is thought to represent
an important step in the metastatic process. The detection of bone marrow
disseminated tumor cells is associated with worse outcome in early breast cancer.
Moreover, the detection of peripheral blood circulating tumor cells is an adverse
prognostic factor in metastatic breast cancer, and emerging data suggest that
this is also true for early disease. Beyond enumeration, the characterization of
these cells has the potential to improve risk assessment, treatment selection and
monitoring, and the development of novel therapeutic agents, and to advance our
understanding of the biology of metastasis.
PMID- 22078012
TI - A rare bladder cancer--small cell carcinoma: review and update.
AB - Small cell carcinoma of the bladder (SCCB) is rare, highly aggressive and
diagnosed mainly at advanced stages. Hematuria is the main symptom of this
malignancy. The origin of the disease is unknown; however the multipotent stem
cell theory applies best to this case. Histology and immunohistochemistry shows a
tumour which is indistinguishable from small cell lung carcinoma (SCLC).
Coexistence of SCCB with other types of carcinoma is common. The staging system
used is the TNM-staging of bladder transitional cell carcinoma. The treatment is
extrapolated from that of SCLC. However, many patients with SCCB undergo radical
resection which is rarely performed in SCLC. Patients with surgically resectable
disease (< or = cT1-4aN0M0) should be managed with multimodal therapy associating
chemotherapy, surgery and/or radiotherapy. Neoadjuvant chemotherapy using four
chemotherapy cycles followed by radical cystectomy is the most effective
therapeutic sequence. Patients with unresectable disease (> or = cT4bN+M+) should
be managed with palliative chemotherapy based on neuroendocrine type regimens
comprising a platinum drug (cisplatin in fit patients). The prognosis of the
disease is poor mainly in the case of pure small cell carcinoma. Other research
programs are needed to improve the outcome of SCCB.
PMID- 22078016
TI - Clinical practice guideline for abnormal uterine bleeding: hysterectomy versus
alternative therapy.
AB - STUDY OBJECTIVE: To develop recommendations in selecting treatments for abnormal
uterine bleeding (AUB). DESIGN: Clinical practice guidelines. SETTING: Randomized
clinical trials compared bleeding, quality of life, pain, sexual health,
satisfaction, the need for subsequent surgery, and adverse events between
hysterectomy and less-invasive treatment options. PATIENTS: Women with AUB,
predominantly from ovulatory disorders and endometrial causes. INTERVENTIONS: On
the basis of findings from a systematic review, clinical practice guidelines were
developed. Rating the quality of evidence and the strength of recommendations
followed the Grades for Recommendation Assessment, Development, and Evaluation
system. MEASUREMENTS AND MAIN RESULTS: This paper identified few high-quality
studies that directly compared uterus-preserving treatments (endometrial
ablation, levonorgestrel intrauterine system and systemically administered
medications) with hysterectomy. The evidence from these randomized clinical
trials demonstrated that there are trade-offs between hysterectomy and uterus
preserving treatments in terms of efficacy and adverse events. CONCLUSION:
Selecting an appropriate treatment for AUB requires identifying a woman's most
burdensome symptoms and incorporating her values and preferences when weighing
the relative benefits and harms of hysterectomy versus other treatment options.
PMID- 22078015
TI - A systematic review comparing hysterectomy with less-invasive treatments for
abnormal uterine bleeding.
AB - STUDY OBJECTIVE: To compare hysterectomy with less-invasive alternatives for
abnormal uterine bleeding (AUB) in 7 clinically important domains. DESIGN:
Systematic review. SETTING: Randomized clinical trials comparing bleeding,
quality of life, pain, sexual health, satisfaction, need for subsequent surgery,
and adverse events between hysterectomy and less-invasive treatment options.
PATIENTS: Women with AUB, predominantly from ovulatory disorders and endometrial
causes. INTERVENTIONS: Systematic review of the literature (from inception to
January 2011) comparing hysterectomy with alternatives for AUB treatment.
Eligible trials were extracted into standardized forms. Trials were graded with a
predefined 3-level rating, and the strengths of evidence for each outcome were
evaluated with the Grades for Recommendation, Assessment, Development and
Evaluation system. MEASUREMENTS AND MAIN RESULTS: Nine randomized clinical trials
(18 articles) were eligible. Endometrial ablation, levonorgestrel intrauterine
system, and medications were associated with lower risk of adverse events but
higher risk of additional treatments than hysterectomy. Compared to ablation,
hysterectomy had superior long-term pain and bleeding control. Compared with the
levonorgestrel intrauterine system, hysterectomy had superior control of
bleeding. No other differences between treatments were found. CONCLUSION: Less
invasive treatment options for AUB result in improvement in quality of life but
carry significant risk of retreatment caused by unsatisfactory results. Although
hysterectomy is the most effective treatment for AUB, it carries the highest risk
for adverse events.
PMID- 22078017
TI - Editorial: economies of scale: the future of ageing.
PMID- 22078018
TI - Guest editorial: beyond knowledge translation, towards the 'public scholar'.
PMID- 22078020
TI - Best practice in fall prevention: roles of informal caregivers, health care
providers and the community.
AB - Falls are an important public health problem for older adults, resulting in
significant morbidity and mortality, as well as healthcare costs. Evidence
supports the assessment of older adults' fall risks and implementation of
interventions to reduce these risks. Older adults are the key stakeholder in
preventing falls, but need the support of their informal caregivers, healthcare
providers, and community groups. This article addresses the roles of these
additional stakeholders in providing and supporting best practices in fall
prevention. Together these stakeholders can assist older adults in self
management of fall prevention, based on the preferences of the individual, local
resources, and available programmes and healthcare services.
PMID- 22078019
TI - Older people's perspectives on fall risk and fall prevention programs: a
literature review.
AB - Despite evidence supporting fall prevention methods, fall-related injury and
death rates continue to rise. Understanding older people's views on fall risk and
prevention will help nurses and other health professionals in the design of fall
prevention strategies that will broaden their scope, reach and adoption. This
literature review synthesised 19 qualitative and quantitative studies examining
older people's perspectives about fall risk and prevention using a social
ecological framework. Three themes emerged about fall risk; fearing
vulnerability, maintaining autonomy and independence and interpreting risk. Four
themes emerged about fall prevention programs: influence of participant and
program characteristics, need for personal relevance and preference, maintaining
autonomy and independence and increased support for and access to programs.
Implications for practice include individual, interpersonal, organizational and
community level considerations for improving fall prevention efforts.
PMID- 22078021
TI - Falls prevention and support: translating research, integrating services and
promoting the contribution of service users for quality and innovative programmes
of care.
AB - Falls are a significant threat to the safety, health and independence of older
citizens. Despite the substantial evidence that is available around effective
falls prevention programmes and interventions, their translation into falls
reduction programmes and policies has yet to be fully realised. While hip
fracture rates are decreasing, the number and incidence of fall-related hospital
admissions among older people continue to rise. Given the demographic trends that
highlight increasing numbers of older people in the UK, which is broadly
reflected internationally, there is a financial and social imperative to minimise
the rate of falls and associated injuries. Falling is closely aligned to growing
older (Slips, Trips and Falls Update: From Acute and Community Hospitals and
Mental Health Units in England and Wales, Department of Health, HMSO, London,
2010). According to the World Health Organization, around 30% of older people
aged over 65 and 50% of those over 80 will fall each year (Falls Fact Sheet
Number 344, WHO, Geneva, 2010). Falls happen as a result of many reasons and can
have harmful consequences, including loss of mobility and independence,
confidence and in many cases even death (Cochrane Database Syst Rev 15, 2009,
146; Slips, Trips and Falls Update: From Acute and Community Hospitals and Mental
Health Units in England and Wales, Department of Health, HMSO, London, 2010;
Falling Standards, Broken Promises: Report of the National Audit of Falls and
Bone Health in Older People 2010, Health Care Quality Improvement Partnership,
London, 2011). What is neither fair nor correct is the common belief by old and
young alike that falls are just another inconvenience to put up with. The
available evidence justifiably supports the view that well-organised services,
based upon national standards and expert guidance, can prevent future falls among
older people and reduce death and disability from fractures. This paper will draw
from the UK, as an exemplar for policy and practice, to discuss the strategic
direction of falls prevention programmes for older people and the partnerships
that need to exist between researchers, service providers and users of services
to translate evidence to the clinical setting. Second, it will propose some
mechanisms for disseminating evidence to healthcare professionals and other
stakeholders, to improve the quality and capacity of the clinical workforce.
PMID- 22078022
TI - Nutritional supplementation for hip fracture aftercare in older people.
PMID- 22078024
TI - Annealing of gold nanostructures sputtered on polytetrafluoroethylene.
AB - Gold nanolayers sputtered on polytetrafluoroethylene (PTFE) surface and their
changes induced by post-deposition annealing at 100 degrees C to 300 degrees C
are studied. Changes in surface morphology and roughness are examined by atomic
force microscopy, electrical sheet resistance by two point technique, zeta
potential by electrokinetic analysis and chemical composition by X-ray
photoelectron spectroscopy (XPS) in dependence on the gold layer thickness.
Transition from discontinuous to continuous gold coverage takes place at the
layer thicknesses 10 to 15 nm and this threshold remains practically unchanged
after the annealing at the temperatures below 200 degrees C. The annealing at 300
degrees C, however, leads to significant rearrangement of the gold layer and the
transition threshold increases to 70 nm. Significant carbon contamination and the
presence of oxidized structures on gold-coated samples are observed in XPS
spectra. Gold coating leads to a decrease in the sample surface roughness.
Annealing at 300 degrees C of pristine PTFE and gold-coated PTFE results in
significant increase of the sample surface roughness.
PMID- 22078023
TI - Classic Kaposi's sarcoma in Morocco: clinico-epidemiological study at the
National Institute of Oncology.
AB - BACKGROUND: Classic Kaposi's sarcoma (CKS) is a rare disease likely associated
with human herpes virus 8 (HHV-8) infection, and occurs predominantly in Jewish,
Mediterranean and middle eastern men. There is a dearth of data in Moroccan
patients with CKS regarding epidemiology, clinical characteristics and outcomes.
This report examines a cohort of patients with CKS evaluated at the national
institute of oncology over 11-year period. METHODS: A retrospective analysis of
patients referred to the national institute of oncology with classical Kaposi
sarcoma, between January 1998 and February 2008, was performed. Reviewed
information included demographics, clinical and pathological staging, death or
last follow-up. RESULTS: During the study period, 56 patients with a diagnosis of
CKS have been referred to our hospital. There were 11 (19.7%) females and 45
(80.3%) males (male-to-female ratio: 4:1). Mean age at diagnosis was 61.7 +/- 15
(range: 15-86 years). Nodules and/or plaques were the most frequent type of
lesion. The most common location was the lower limbs, particularly the distal
lower extremity (90%). In addition to skin involvement, visceral spread was
evident in 9 cases. The most common visceral involvement sites were lymph nodes
(44%), lung (22%), and gastrointestinal tract (22%). Associated lymphoedema was
seen in 24 (42%) of the patients. There were 18 stage I patients (32.14%), 8:
stage II (14.28%), 21 stage III (37.5%) and 9 stage IV (16.07%). A second primary
malignancy was diagnosed in 6 cases (10.7%), none of the reticuloendothelial
system. With a median follow-up of 45 months, 38 (67.8) patients are alive, of
whom 25 (65.78%) patients with stable disease, five with progressive disease
currently under systemic chemotherapy and 8 (21.05%) are alive and free of
disease, over a mean interval of 5 years. CONCLUSION: This is the largest
reported series in our context. In Morocco, CKS exhibits some special
characteristics including a disseminated skin disease at diagnosis especially in
men, a more common visceral or lymph node involvement and a less frequent
association with second malignancies.
PMID- 22078025
TI - An assessment of the use of drug and non-drug interventions in the treatment of
Ichthyophthirius multifiliis Fouquet, 1876, a protozoan parasite of freshwater
fish.
AB - Infection by the ciliate protozoan Ichthyophthirius multifiliis Fouquet, 1876
causes significant economic losses in freshwater aquaculture worldwide. Following
the ban on the use of malachite green for treating food fish, there has been
extensive research aimed at identifying suitable replacements. In this paper we
critically assess drug and non-drug interventions, which have been tested for use
or have been employed against this parasite and evaluate possibilities for their
application in farm systems. Current treatments include the administration of
formaldehyde, sodium chloride (salt), copper sulphate and potassium permanganate.
However, purportedly more environmentally friendly drugs such as humic acid,
potassium ferrate (VI), bronopol and the peracetic acid-based products have
recently been tested and represent promising alternatives. Further investigation,
is required to optimize the treatments and to establish precise protocols in
order to minimize the quantity of drug employed whilst ensuring the most
efficacious performance. At the same time, there needs to be a greater emphasis
placed on the non-drug aspects of management strategies, including the use of non
chemical interventions focusing on the removal of free-swimming stages and
tomocysts of I. multifiliis from farm culture systems. Use of such strategies
provides the hope of more environmentally friendly alternatives for the control
of I. multifiliis infections.
PMID- 22078026
TI - The microenvironment in breast cancer progression: biology and implications for
treatment.
AB - Breast cancer comprises a heterogeneous group of malignancies derived from the
ductal epithelium. The microenvironment of these cancers is now recognized as a
critical participant in tumor progression and therapeutic responses. Recent data
demonstrate significant gene expression and epigenetic alterations in cells
composing the microenvironment during disease progression, which can be explored
as biomarkers and targets for therapy. Indeed, gene expression signatures derived
from tumor stroma have been linked to clinical outcomes. There is increasing
interest in translating our current understanding of the tumor microenvironment
to the development of novel therapies.
PMID- 22078028
TI - A multi-season national estimate of adult influenza vaccination by U.S. office
based pediatricians, 2006-2011.
AB - There is no national estimate of adult influenza vaccination by U.S. office-based
pediatricians. De-identified patient-level data from an electronic healthcare
claims database submitted to private and public insurers were analyzed for
pediatric offices from the 2006-2007 through 2010-2011 seasons. An average of
321,000 (range: 225,000-434,000) influenza vaccinations per year were estimated
to be administered to adults; 52%, 22%, and 26% were given to adults 19-49, 50
64, and >=65 years of age, respectively. Consistent with the 2010 changes to
national guidelines, recommending influenza vaccination of all individuals 6
months of age and older, pediatricians appear to be providing an increasing
proportion of adult vaccinations against influenza to adults 19-49 years of age
(probably parents of their pediatric patients).
PMID- 22078027
TI - Transcriptome analysis of orange-spotted grouper (Epinephelus coioides) spleen in
response to Singapore grouper iridovirus.
AB - BACKGROUND: Orange-spotted grouper (Epinephelus coioides) is an economically
important marine fish cultured in China and Southeast Asian countries. The
emergence of infectious viral diseases, including iridovirus and betanodavirus,
have severely affected food products based on this species, causing heavy
economic losses. Limited available information on the genomics of E. coioides has
hampered the understanding of the molecular mechanisms that underlie host-virus
interactions. In this study, we used a 454 pyrosequencing method to investigate
differentially-expressed genes in the spleen of the E. coioides infected with
Singapore grouper iridovirus (SGIV). RESULTS: Using 454 pyrosequencing, we
obtained abundant high-quality ESTs from two spleen-complementary DNA libraries
which were constructed from SGIV-infected (V) and PBS-injected fish (used as a
control: C). A total of 407,027 and 421,141 ESTs were produced in control and
SGIV infected libraries, respectively. Among the assembled ESTs, 9,616 (C) and
10,426 (V) ESTs were successfully matched against known genes in the NCBI non
redundant (nr) database with a cut-off E-value above 10-5. Gene ontology (GO)
analysis indicated that "cell part", "cellular process" and "binding" represented
the largest category. Among the 25 clusters of orthologous group (COG)
categories, the cluster for "translation, ribosomal structure and biogenesis"
represented the largest group in the control (185 ESTs) and infected (172 ESTs)
libraries. Further KEGG analysis revealed that pathways, including cellular
metabolism and intracellular immune signaling, existed in the control and
infected libraries. Comparative expression analysis indicated that certain genes
associated with mitogen-activated protein kinase (MAPK), chemokine, toll-like
receptor and RIG-I signaling pathway were alternated in response to SGIV
infection. Moreover, changes in the pattern of gene expression were validated by
qRT-PCR, including cytokines, cytokine receptors, and transcription factors,
apoptosis-associated genes, and interferon related genes. CONCLUSION: This study
provided abundant ESTs that could contribute greatly to disclosing novel genes in
marine fish. Furthermore, the alterations of predicted gene expression patterns
reflected possible responses of these fish to the virus infection. Taken
together, our data not only provided new information for identification of novel
genes from marine vertebrates, but also shed new light on the understanding of
defense mechanisms of marine fish to viral pathogens.
PMID- 22078029
TI - The logic layout of the TOL network of Pseudomonas putida pWW0 plasmid stems from
a metabolic amplifier motif (MAM) that optimizes biodegradation of m-xylene.
AB - BACKGROUND: The genetic network of the TOL plasmid pWW0 of the soil bacterium
Pseudomonas putida mt-2 for catabolism of m-xylene is an archetypal model for
environmental biodegradation of aromatic pollutants. Although nearly every
metabolic and transcriptional component of this regulatory system is known to an
extraordinary molecular detail, the complexity of its architecture is still
perplexing. To gain an insight into the inner layout of this network a logic
model of the TOL system was implemented, simulated and experimentally validated.
This analysis made sense of the specific regulatory topology out on the basis of
an unprecedented network motif around which the entire genetic circuit for m
xylene catabolism gravitates. RESULTS: The most salient feature of the whole TOL
regulatory network is the control exerted by two distinct but still intertwined
regulators (XylR and XylS) on expression of two separated catabolic operons
(upper and lower) for catabolism of m-xylene. Following model reduction, a
minimal modular circuit composed by five basic variables appeared to suffice for
fully describing the operation of the entire system. In silico simulation of the
effect of various perturbations were compared with experimental data in which
specific portions of the network were activated with selected inducers: m-xylene,
o-xylene, 3-methylbenzylalcohol and 3-methylbenzoate. The results accredited the
ability of the model to faithfully describe network dynamics. This analysis
revealed that the entire regulatory structure of the TOL system enables the
action an unprecedented metabolic amplifier motif (MAM). This motif synchronizes
expression of the upper and lower portions of a very long metabolic system when
cells face the head pathway substrate, m-xylene. CONCLUSION: Logic modeling of
the TOL circuit accounted for the intricate regulatory topology of this otherwise
simple metabolic device. The found MAM appears to ensure a simultaneous
expression of the upper and lower segments of the m-xylene catabolic route that
would be difficult to bring about with a standard substrate-responsive single
promoter. Furthermore, it is plausible that the MAM helps to avoid biochemical
conflicts between competing plasmid-encoded and chromosomally-encoded pathways in
this bacterium.
PMID- 22078031
TI - [Ethics and medical techniques: a transcultural approach].
PMID- 22078030
TI - Inhibitory effect of aqueous Dandelion extract on HIV-1 replication and reverse
transcriptase activity.
AB - BACKGROUND: Acquired immunodeficiency syndrome (AIDS), which is caused by the
human immunodeficiency virus (HIV), is an immunosuppressive disease that results
in life-threatening opportunistic infections. The general problems in current
therapy include the constant emergence of drug-resistant HIV strains, adverse
side effects and the unavailability of treatments in developing countries.
Natural products from herbs with the abilities to inhibit HIV-1 life cycle at
different stages, have served as excellent sources of new anti-HIV-1 drugs. In
this study, we aimed to investigate the anti-HIV-1 activity of aqueous dandelion
extract. METHODS: The pseudotyped HIV-1 virus has been utilized to explore the
anti-HIV-1 activity of dandelion, the level of HIV-1 replication was assessed by
the percentage of GFP-positive cells. The inhibitory effect of the dandelion
extract on reverse transcriptase activity was assessed by the reverse
transcriptase assay kit. RESULTS: Compared to control values obtained from cells
infected without treatment, the level of HIV-1 replication and reverse
transcriptase activity were decreased in a dose-dependent manner. The data
suggest that dandelion extract has a potent inhibitory activity against HIV-1
replication and reverse transcriptase activity. The identification of HIV-1
antiviral compounds from Taraxacum officinale should be pursued. CONCLUSIONS: The
dandelion extract showed strong activity against HIV-1 RT and inhibited both the
HIV-1 vector and the hybrid-MoMuLV/MoMuSV retrovirus replication. These findings
provide additional support for the potential therapeutic efficacy of Taraxacum
officinale. Extracts from this plant may be regarded as another starting point
for the development of an antiretroviral therapy with fewer side effects.
PMID- 22078032
TI - [Iatrogenic Kaposi's disease in Morocco in a non-transplant context].
AB - BACKGROUND: Kaposi's disease (KD) is a multifocal disease affecting the skin and
viscera. KD can occur in an endemic setting: it may be associated with human
immunodeficiency virus (HIV) or it may occur as a complication of
immunosuppression, particularly of iatrogenic origin in transplant patients. The
purpose of this study is to describe the epidemiological, clinical and
therapeutic profile and the course of iatrogenic KD in Morocco in a setting not
involving organ transplantation. PATIENTS AND METHODS: A retrospective study
conducted at the dermatology department of the Ibn Sina hospital centre in Rabat,
Morocco, covering a 21-year period and including 14 patients presenting
histologically confirmed iatrogenic KD. RESULTS: Eight men and six women were
included with a mean age of 56 years. All patients received corticosteroids, in
combination with cyclophosphamide in three cases and with azathioprine in one
case. The mean time to onset of lesions after the start of treatment was 16.5
months. The presentation in all cases was cutaneous. Impaired mucosal membrane
was seen in 35.7% of patients, with visceral involvement being seen in only one
patient. HIV serology tests were negative in all patients but HHV8 serology tests
were positive in 78.5% of patients. Treatment consisted primarily of reduction or
withdrawal of the immunosuppressant. The outcome was favourable in the majority
of cases. CONCLUSION: In Morocco, KD is a rare but not exceptional complication
of immunosuppressant therapy, particularly corticosteroids. The disease presented
as a skin disorder in all of our patients, thus emphasising the value of regular
follow-up and routine dermatological examination of patients on immunosuppressant
therapy, and suggesting the value of screening for HHV8 infection before
initiating such therapy.
PMID- 22078033
TI - [Multiple cutaneous osteomas of the face in a setting of chronic acne].
AB - BACKGROUND: Multiple cutaneous osteomas are a rare complication of chronic
inflammatory acne that often goes unrecognized. We report a case concerning a 35
year-old woman. PATIENTS AND METHODS: A 35-year-old woman had been treated for
acne since the age of 22 years, as part of which she received two courses of oral
isotretinoin. We noted the secondary appearance of several microcysts on the face
for which the excision was very difficult. Curiously, these small formations did
not contain keratin but were very callous. Histological examination revealed foci
of osseous metaplasia, probably of postinflammatory origin. Treatment consisted
solely of excision of the lesions. DISCUSSION: Osteoma cutis comprises two
distinct groups (primary and secondary). In our case, there were multiple
cutaneous osteomas of the face resulting from chronic acne. The differential
diagnosis was idiopathic miliary osteomatosis of the face, but this was ruled out
by the young age of the patient, the improvement of the acneiform lesions under
isotretinoin (confirming the initial diagnosis of acne) and the subsequent
appearance of microcysts. Although there are as yet no codified treatments,
excision appears to yield good results.
PMID- 22078034
TI - [Anti-p200 pemphigoid: a spectacular response to dapsone].
AB - BACKGROUND: Types of subepidermal autoimmune bullous dermatosis (AIBD) are
classified by anatomoclinical picture and target antigen. A new entity has
recently been identified: anti-p200 pemphigoid. PATIENTS AND METHODS: An 82-year
old man consulted for a profuse pruritic bullous eruption refractory to the
standard treatments for bullous pemphigoid (BP). Direct immunofluorescence
examination of a skin biopsy revealed linear deposits of IgG and of C3 at the
dermal-epidermal junction, but Elisa screening for circulating anti-BP180 and
anti-BP230 antibodies was negative. Indirect immunofluorescence (IIF) testing of
cleaved skin revealed a deposit of IgG4 antibodies on the dermal side.
Immunoblotting was negative for a dermal extract but showed an antibody directed
against a 200-kD epidermal antigen. A diagnosis of anti-p200 pemphigoid was
eventually made and the patient was successfully treated with dapsone.
DISCUSSION: The diagnosis of anti-p200 pemphigoid was made in this case in spite
of discrepancy between the IIF and immunoblotting results, and despite the fact
that the target antigen in this disease is considered as being restricted to
dermal sites. Anti-p200 pemphigoid usually begins in the second part of life and
differs from standard bullous pemphigoid in terms of more frequent mucous
membrane and cephalic involvement, as well as a greater degree of miliary
scarring. This disease appears more prominent in males and is associated with
psoriasis in around one third of cases. Autoantibodies recognize laminin gamma-1,
an extra-desmosomal protein that contributes to dermal-epidermal adhesion.
CONCLUSION: This recently described disease as probably under-diagnosed in
France. It should be considered in atypical presentations of bullous disease.
Diagnosis is confirmed by immunoblotting detection of autoantibodies directed
against a 200-kD antigen normally present in the extract. Dapsone appears to be
the most effective treatment.
PMID- 22078035
TI - [Associated Langerhans cell histiocytosis and Erdheim-Chester disease].
AB - BACKGROUND: Erdheim-Chester disease (ECD) is a rare non-Langerhans cell
histiocytosis with multiple organ involvement affecting middle-aged adults. A
case of ECD associated with Langerhans cell histiocytosis (LCH) is reported
herein. CASE REPORT: A 75-year-old woman presented maculopapular skin lesions on
her trunk, associated with constrictive pericarditis and pleurisy present for 1
year. The skin biopsy militated in favour of LCH since it revealed a histiocytic
infiltrate with a positive CD1a marker at immunohistochemistry (IHC). The
association with ECD was diagnosed on the basis of pericarditis, periaortitis,
pleurisy, pulmonary involvement and retroperitoneal fibrosis. The patient was
treated with interferon-alpha2a with good initial results, but died from septic
shock a year and a half later, a few months after discontinuing interferon due to
poor tolerability. DISCUSSION: The clinical, radiographic and histological
arguments in favour of ECD clearly differ from those for LCH. However, as already
reported, the two illnesses may be associated, thus underlining the possible
existence of a link between these two histiocytic proliferations emanating from
the same medullary precursor. Two hypotheses have been advanced in an attempt to
explain this association: the first involves a stimulus that might lead to
independent proliferation of the two cell lines while the second suggests the
existence of a transformation pathway from one form of proliferation to the
other. CONCLUSION: Screening for associated ECD should be routinely performed in
patients presenting LCH with signs evocative of ECD.
PMID- 22078036
TI - [Unilateral purpura of a limb revealing a periprosthetic abscess in an HIV
infected patient].
AB - BACKGROUND: Many diagnoses may be evoked in the presence of purpuric lesions of
the lower limbs in HIV-positive patients. We report here on a Staphylococcus
aureus abscess around a vascular prosthesis revealed by unilateral purpuric
lesions. PATIENTS AND METHODS: A 43-year-old HIV-positive man was referred to us
with febrile purpura of the left lower limb. His past medical history included a
crossover iliofemoral arterial bypass. Clinical examination revealed fever and
infiltrated purpuric lesions on the left ankle associated with cyanotic left
toes. A skin biopsy showed leucocytoclastic vasculitis. A voluminous right iliac
abscess was demonstrated by abdominal and pelvic CT scans. S. aureus was isolated
from the skin biopsy, two blood cultures and the periprosthetic abscess. The
abscess was drained without replacing the prosthesis and antibiotic therapy
consisting of oxacillin was given for 6 months, resulting in complete healing.
DISCUSSION: Vascular prosthetic infections are rare events that can occur early
or late after surgery. S. aureus is usually the causative infectious agent.
Clinical signs are non-specific and include purpuric lesions, which rarely reveal
these infections. The organism may generally be isolated from prosthetic
materials and blood cultures. CT scan is the recommended test to visualize
prosthetic impairment. Treatment comprises prolonged antibiotic therapy adapted
in accordance with the bacterial antibiogram, along with surgical debridement
and, preferably, prosthetic replacement. CONCLUSION: This case report describes a
unilateral purpura revealing a periprosthetic abscess. Dermatologists must be
aware of this sign as a potential indicator of prosthetic infection.
PMID- 22078037
TI - [Pityriasis rubra pilaris after vaccination].
AB - BACKGROUND: Pityriasis rubra pilaris (PRP) following vaccination is rarely
described in the literature. We report a case of PRP occurring two weeks after
measles-mumps-rubella (MMR) vaccination. CASE REPORT: A 17-month-old infant was
referred for a rash appearing two weeks previously. The child was presenting
diffuse erythematous scaly exanthema with follicular papules and orange
palmoplantar keratoderma. The clinical features were highly evocative of PRP. The
histology was non-specific, displaying epidermal acanthosis with a regular and
thick parakeratosis, and without any impairment of the follicular infundibulum.
An MMR vaccination had been given two weeks before onset of the rash. Treatment
with topical corticosteroids and emollients proved effective. DISCUSSION: Post
vaccinal PRP is rarely described in the literature. We report only the 3rd case.
The first case concerned a 32-year-old woman presenting two episodes of PRP 10
days after diphtheria-tetanus-polio vaccination. The second case concerned a 47
year-old woman presenting PRP 18 days after anti-influenza vaccination and
requiring treatment with acitretin. No cases have been described with MMR. These
three vaccines (DTP, Tetragrip and ROR) have no shared pharmacological
constituents, and the trigger mechanism could be immunological or parainfectious.
CONCLUSION: Questioning about recent vaccination during history taking appears
necessary to assess the importance of this trigger factor as well as the
mechanism responsible for the onset of PRP.
PMID- 22078038
TI - [Groove sign in cat-scratch disease].
PMID- 22078039
TI - [Aggressive universal calcinosis complicating dermatomyositis].
PMID- 22078040
TI - [Digital ulcers in systemic scleroderma].
PMID- 22078041
TI - [Cultural diversity: ethical issues for the dermatologist].
PMID- 22078042
TI - [Histopathology of leprosy].
PMID- 22078043
TI - [Histopathological diagnosis of inherited epidermolysis bullosa].
PMID- 22078044
TI - [Of genes and nails].
PMID- 22078045
TI - [Multiple self-healing squamous epithelioma, or Ferguson-Smith disease:
demonstration of mutations in the TGFbeta-receptor].
PMID- 22078046
TI - [A case of bullous lupus in black skin].
PMID- 22078047
TI - [Molluscum contagiosum on the buttocks of neonates].
PMID- 22078048
TI - [Giant cutaneous xanthomas revealing homozygous familial hypercholesterolaemia].
PMID- 22078049
TI - [Linear porokeratosis].
PMID- 22078050
TI - [Ballroom dancing and consort allergic contact dermatitis].
PMID- 22078051
TI - [Careful: skins cancers and voriconazole].
PMID- 22078052
TI - Transient osteoporosis of the hip in pregnancy resulting in bilateral fracture of
the neck of the femur.
PMID- 22078053
TI - The effect of time of day on unscheduled cesarean delivery and perinatal outcome.
PMID- 22078054
TI - Initiation of prenatal genetic diagnosis of chromosomal anomalies in Cameroon.
PMID- 22078055
TI - Sociological approaches to the study of drug use and drug policy.
PMID- 22078056
TI - A case of lymphomatoid gastropathy: An indolent CD56-positive atypical gastric
lymphoid proliferation, mimicking aggressive NK/T cell lymphomas.
AB - Lymphomatoid gastropathy (LyGa) is a new evolving pathological entity that has
been introduced recently. It is designated to describe CD56-positive atypical
gastric lymphoid proliferation, mimicking NK/T cell lymphomas, that shows an
indolent clinical course with spontaneous regression. We here present our
experience with one new case diagnosed and treated in our hospital. An annual
upper endoscopic check-up of a 50-year-old male with an unremarkable past history
revealed a small reddish lesion on the posterior wall of the gastric angle.
Endoscopic biopsy showed atypical cells of NK-cell lineage expressing CD56, CD16,
CD3, perforin, and TIA-1, but not CD4, CD5, and CD8. Epstein-Barr virus encoded
RNA was negative. The lesion regressed spontaneously after one month without
treatment, but recurred two years later in a different site of the stomach with
spontaneous regression again one month later. The recurrence of lymphomatoid
gastropathy is very rare and should be diagnosed carefully to distinguish it from
the aggressive lymphoma.
PMID- 22078057
TI - Spectrum of cardiac tumors excluding myxoma: Experience of a tertiary center with
review of the literature.
AB - The aim of this study was to determine the histological spectrum of operated
cardiac tumors, excluding myxoma, at a tertiary center in India. Between 1995 and
2010, we encountered 188 cases of operated cardiac tumors that had been subjected
to histopathological examination. Morphological characterization was done by
light microscopy along with histochemical stains. Immunohistochemistry using a
panel of antibodies, i.e., vimentin, desmin, myogenin, smooth muscle actin (SMA),
epithelial membrane antigen (EMA), cytokeratins, factor VIII-related antigen,
S100-protein, synaptophysin, chromogranin, Bcl2, MIB-1, leukocyte common antigen
(LCA), CD 3, CD20, CD34, and CD 99 (MIC-2) was performed wherever applicable. Out
of the 188 cases, 184 were primary cardiac tumors, including 170 cases of benign
cardiac tumors. Among the benign tumors, myxomas were the most frequent ones (168
cases), followed by fibroma (2 cases). Primary malignancy was diagnosed in 14
cases, including undifferentiated sarcomas, primitive neuroectodermal tumor,
rhabdomyosarcoma non-Hodgkin lymphoma, angiosarcoma, synovial sarcoma, and
leiomyosarcoma. Metastatic (secondary) tumors were seen in four cases, including
one each of adenocarcinoma, choriocarcinoma, renal cell carcinoma, and alveolar
soft part sarcoma. Hence, out of the total of 188 cases, 20 were non-myxoma
cardiac tumors (NMCTs), including 2 benign tumors, 14 malignant tumors, and 4
metastatic tumors. In our series, the majority of cardiac tumors were primary in
nature. The malignant primary tumors outnumbered benign ones, excluding myxomas,
and the most common malignant histology was undifferentiated sarcoma, as opposed
to the literature.
PMID- 22078058
TI - Association of family history of ESRD, prevalent albuminuria, and reduced GFR
with incident ESRD.
AB - BACKGROUND: The contribution of albuminuria to the increased risk of incident end
stage renal disease (ESRD) in individuals with a family history of ESRD has not
been well studied. STUDY DESIGN: Prospective cohort study. STUDY SETTING &
PARTICIPANTS: We analyzed data for family history of ESRD collected from 19,409
participants of the Renal REGARDS (Reasons for Geographic and Racial Differences
in Stroke) cohort study. PREDICTOR: Family history of ESRD was ascertained by
asking "Has anyone in your immediate family ever been told that he or she had
kidney failure? This would be someone who is on or had been on dialysis or
someone who had a kidney transplant." STUDY OUTCOMES: Incidence rate for ESRD.
MEASUREMENTS: Morning urine albumin-creatinine ratio (ACR) and estimated
glomerular filtration rate (eGFR). Incident cases of ESRD were identified through
the US Renal Data System. RESULTS: A family history of ESRD was reported by 11.1%
of participants. Mean eGFRs for those with and without a family history of ESRD
were 87.5 +/- 22.2 (SD) and 86.5 +/- 19.3 mL/min/1.73 m(2), respectively (P =
0.05) and the respective geometric mean ACRs were 12.2 and 9.7 mg/g (P < 0.001).
ESRD incidence rates for those with and without a family history of ESRD were
244.3 and 106.1/100,000 person-years, respectively. After adjusting for age, sex,
and race, the ESRD HR for those with versus those without a family history of
ESRD was 2.13 (95% CI, 1.18-3.83). Adjustment for comorbid conditions and
socioeconomic status attenuated this association (HR, 1.82; 95% CI, 1.00-3.28),
and further adjustment for baseline eGFR and ACR completely attenuated the
association between family history of ESRD and incident ESRD (HR, 1.12; 95% CI,
0.69-1.80). LIMITATIONS: The report of a family history of ESRD was not
validated. CONCLUSION: Family history of ESRD is common in older Americans and
the increased risk of ESRD associated with a family history reflects lower GFR,
higher albuminuria, and comorbid conditions.
PMID- 22078059
TI - Using experimental human influenza infections to validate a viral dynamic model
and the implications for prediction.
AB - The aim of this work was to use experimental infection data of human influenza to
assess a simple viral dynamics model in epithelial cells and better understand
the underlying complex factors governing the infection process. The developed
study model expands on previous reports of a target cell-limited model with
delayed virus production. Data from 10 published experimental infection studies
of human influenza was used to validate the model. Our results elucidate,
mechanistically, the associations between epithelial cells, human immune
responses, and viral titres and were supported by the experimental infection
data. We report that the maximum total number of free virions following infection
is 10(3)-fold higher than the initial introduced titre. Our results indicated
that the infection rates of unprotected epithelial cells probably play an
important role in affecting viral dynamics. By simulating an advanced model of
viral dynamics and applying it to experimental infection data of human influenza,
we obtained important estimates of the infection rate. This work provides
epidemiologically meaningful results, meriting further efforts to understand the
causes and consequences of influenza A infection.
PMID- 22078061
TI - [Prevention of drug administration errors: proposition of improved presentation
using a double packing technique for high-risk drugs].
PMID- 22078060
TI - Epigenetics in breast cancer: what's new?
AB - Epigenetic changes are critical for development and progression of cancers,
including breast cancer. Significant progress has been made in the basic
understanding of how various epigenetic changes such as DNA methylation, histone
modification, miRNA expression, and higher order chromatin structure affect gene
expression. The present review will focus on methylation and demethylation of
histones. While the acetylation of histones has been at the forefront of well
characterized post-translational modifications of histones, including the
development of inhibitors targeting de-acetylating enzymes, the past few years
have witnessed a dramatic increase in knowledge regarding the role of histone
methylation/demethylation. This is an exciting and rapidly evolving area of
research, with much promise for potential clinical intervention in several
cancers including breast cancer. We also summarize efforts to identity DNA
methylation signatures that could be prognostic and/or predictive markers in
breast cancer, focusing on recent studies using genome-wide approaches. Finally,
we briefly review the efforts made by both the National Institutes of Health
Epigenome Project and The Cancer Genome Atlas, especially highlighting the study
of breast cancer epigenetics, exciting technological advances, potential
roadblocks, and future directions.
PMID- 22078062
TI - Environmentally coordinated epigenetic silencing of FLC by protein and long
noncoding RNA components.
AB - In Arabidopsis, the role of the vernalization pathway is to repress expression of
a potent floral repressor, FLOWERING LOCUS C (FLC), after a sufficient period of
winter cold has been perceived. Following winter, the lack of FLC expression
allows unimpeded operation of the photoperiod pathway and hence rapid flowering
of vernalized plants in spring via the activation of floral integrator genes.
Molecular studies revealed that regulation of the key floral repressor, FLC, is
under the control of the interplay between Trithorax group (TrxG)-mediated
activation and Polycomb group (PcG)-mediated repression. On-off switch of genes
by TrxG and PcG is an evolutionarily conserved mechanism to coordinate cellular
identity in eukaryotes. Regulation of FLC by external cues provides an excellent
model system to study mechanisms in which cell identity is influenced by
environment. In this review, we discuss coordinated contributions by protein and
long noncoding RNA components to this environmentally induced epigenetic switch
of a developmental program in plants.
PMID- 22078063
TI - Secondary cell wall patterning during xylem differentiation.
AB - Xylem cell differentiation involves temporal and spatial regulation of secondary
cell wall deposition. The cortical microtubules are known to regulate the spatial
pattern of the secondary cell wall by orientating cellulose deposition. However,
it is largely unknown how the microtubule arrangement is regulated during
secondary wall formation. Recent findings of novel plant microtubule-associated
proteins in developing xylem vessels shed new light on the regulation mechanism
of the microtubule arrangement leading to secondary wall patterning. In addition,
in vitro culture systems allow the dynamics of microtubules and microtubule
associated proteins during secondary cell wall formation to be followed.
Therefore, this review focuses on novel aspects of microtubule dynamics leading
to secondary cell wall patterning with a focus on microtubule-associated
proteins.
PMID- 22078064
TI - The epidemiology of chronic pain in children and adolescents revisited: a
systematic review.
AB - Chronic and recurrent pain not associated with a disease is very common in
childhood and adolescence, but studies of pain prevalence have yielded
inconsistent findings. This systematic review examined studies of chronic and
recurrent pain prevalence to provide updated aggregated prevalence rates. The
review also examined correlates of chronic and recurrent pain such as age, sex,
and psychosocial functioning. Studies of pain prevalence rates in children and
adolescents published in English or French between 1991 and 2009 were identified
using EMBASE, Medline, CINAHL, and PsycINFO databases. Of 185 published papers
yielded by the search, 58 met inclusion criteria and were reviewed, and 41 were
included in the review. Two independent reviewers screened papers for inclusion,
extracted data, and assessed the quality of studies. Prevalence rates ranged
substantially, and were as follows: headache: 8-83%; abdominal pain: 4-53%; back
pain: 14-24%; musculoskeletal pain: 4-40%; multiple pains: 4-49%; other pains: 5
88%. Pain prevalence rates were generally higher in girls and increased with age
for most pain types. Lower socioeconomic status was associated with higher pain
prevalence especially for headache. Most studies did not meet quality criteria.
PMID- 22078065
TI - Advising people with back pain to take time off work: a survey examining the role
of private musculoskeletal practitioners in the UK.
AB - Research has demonstrated that health care practitioners' adherence to guidelines
for managing low back pain (LBP) remain suboptimal in recommending work absence,
but specific beliefs about their role in maintaining patients at work have not
been adequately researched. We examined private musculoskeletal practitioners'
(chiropractors, osteopaths, and physiotherapists) beliefs and reported clinical
behaviours in reference to patients' work. A cross-sectional postal questionnaire
of 900 musculoskeletal practitioners included the Attitudes to Back pain in
musculoskeletal practitioners questionnaires, reported frequency of four work
related behaviours, and a new measure of practitioners' work-related beliefs.
Data from 337 respondents (37%) were analysed. Eighty percent of respondents
reported recommending work absence to patients with LBP sometimes, and 14%
recommended a work absence often or always. Seventy percent of practitioners
never visit the patient's workplace. Most practitioners report that they
prescribe exercises that can be carried out at work. Physiotherapists visited the
workplace more frequently and gave less sick leave certification than either of
the other groups. They also regarded work as more beneficial and less of a threat
to exacerbate patients' LBP. There were small but significant correlations
between work-related beliefs and reported behaviours. Our study confirms that, in
contrast to current guidelines, many practitioners believe that LBP necessitates
work absence. Overall, practitioners perceived their role in returning patients
to work as limited, and believed that direct contact with employers was beyond
their remit. In the UK, physiotherapists appear to be better placed to liaise
with work in terms of both their beliefs and activities.
PMID- 22078066
TI - Mobilization of lymphoblasts from bone marrow to peripheral blood in childhood
acute lymphoblastic leukaemia: role of 9-O-acetylated sialoglycoproteins.
AB - Childhood acute lymphoblastic leukaemia is characterized by aberrant
proliferation and accumulation of malignant lymphoblasts in bone marrow (BM),
followed by their migration into circulation. An enhanced cell-surface expression
of ALL-associated 9-O-acetylated sialoglycoproteins (Neu5,9Ac(2)-GPs) was
demonstrated. Present investigation reports a positive correlation between the
increased density of Neu5,9Ac(2)-GPs on lymphoblasts and their mobilization from
BM involving enhanced Neu5,9Ac(2) on CD45 demonstrating modulation of FAK and ERK
molecules. In contrast, a small population of cells, identified as haematopoietic
precursors, with comparatively lesser Neu5,9Ac(2)-GPs showed increased binding
towards BM stroma. Thus, Neu5,9Ac(2)-GPs is a developmentally regulated
oncofoetal antigen, whose up-regulation is imperative in the interaction between
lymphoblasts and BM stroma, governing their mobilization into circulation.
PMID- 22078067
TI - Blockade of leukocyte haptokinesis and haptotaxis by ketoprofen, diclofenac and
SC-560.
AB - BACKGROUND: Nonsteroidal anti-inflammatory drugs (NSAID) represent a one of the
most widely used anti-inflammatory substances. Their anti-inflammatory effects
are mainly based on inhibition of cyclooxygenase. The potential direct effect of
NSAID on leukocyte migration was poorly investigated. Using time-lapse microscopy
and 96-well fluorescence-based assay, we studied the effect of three different
NSAID, ketoprofen, diclofenac and SC-560, on leukocyte haptokinesis and
haptotaxis in vivo and in vitro. RESULTS: NSAID induced an immediate inhibiting
effect on leukocyte migration both in vitro and in vivo. This effect was dose
dependent and was not restricted to a specific type of leukocytes. The inhibition
of leukocyte migration by NSAID was partially re-stored after removal of
inhibiting agent. Only complete blockade of leukocyte migration was accompanied
by a strong reduction of [Ca(2+)]i. CONCLUSIONS: NSAID strongly supress leukocyte
migration. The results of the present study may have important clinical
implications since blockade of leukocyte migration can be achieved after topical
application of NSAID.
PMID- 22078068
TI - Centromedian thalamic nuclei deep brain stimulation in refractory status
epilepticus.
AB - BACKGROUND: Refractory status epilepticus (RSE) is associated with high
mortality. We report a potential treatment alternative. HYPOTHESIS: Deep brain
stimulation (DBS) of the centromedian thalamic nuclei (CMN) can be effective in
the treatment of RSE. METHODS: Report of the evolution of RSE after DBS of the
CMN in a 27-year-old man. RESULTS: In the course of an encephalopathy of unknown
origin, and after a cardiac arrest, the patient developed RSE with myoclonic
jerks and generalized tonic-clonic seizures. The EEG showed continuous
generalized periodic epileptiform discharges (GPEDS). Five weeks after RSE onset,
bilateral DBS of the CMN was started. This treatment was immediately followed by
disappearance of tonic-clonic seizures and GPEDS, suggesting a resolution of RSE.
The patient continued having multifocal myoclonic jerks, probably subcortical in
origin, which resolved after 4 weeks. The patient remained clinically stable for
2 months in a persistent vegetative state. CONCLUSIONS: The remission of RSE, the
abolition of GPEDS, and the patient survival suggest that DBS of the CMN may be
efficacious in the treatment of refractory, generalized status epilepticus.
PMID- 22078069
TI - Atomistic aspects of ductile responses of cubic silicon carbide during nanometric
cutting.
AB - Cubic silicon carbide (SiC) is an extremely hard and brittle material having
unique blend of material properties which makes it suitable candidate for
microelectromechanical systems and nanoelectromechanical systems applications.
Although, SiC can be machined in ductile regime at nanoscale through single-point
diamond turning process, the root cause of the ductile response of SiC has not
been understood yet which impedes significant exploitation of this ceramic
material. In this paper, molecular dynamics simulation has been carried out to
investigate the atomistic aspects of ductile response of SiC during nanometric
cutting process. Simulation results show that cubic SiC undergoes sp3-sp2 order
disorder transition resulting in the formation of SiC-graphene-like substance
with a growth rate dependent on the cutting conditions. The disorder transition
of SiC causes the ductile response during its nanometric cutting operations. It
was further found out that the continuous abrasive action between the diamond
tool and SiC causes simultaneous sp3-sp2 order-disorder transition of diamond
tool which results in graphitization of diamond and consequent tool wear.
PMID- 22078070
TI - Growth, seed development and genetic analysis in wild type and Def mutant of
Pisum sativum L.
AB - BACKGROUND: The def mutant pea (Pisum sativum L) showed non-abscission of seeds
from the funicule. Here we present data on seed development and growth pattern
and their relationship in predicting this particular trait in wild type and
mutant lines as well as the inheritance pattern of the def allele in F2 and F3
populations. FINDINGS: Pod length and seed fresh weight increase with fruit
maturity and this may affect the abscission event in pea seeds. However, the seed
position in either the distal and proximal ends of the pod did not show any
difference. The growth factors of seed fresh weight (FW), width of funicles
(WFN), seed width (SW) and seed height (SH) were highly correlated and their
relationships were determined in both wild type and def mutant peas. The
coefficient of determination R2 values for the relationship between WFN and FW,
SW and SH and their various interactions were higher for the def dwarf type.
Stepwise multiple regression analysis showed that variation of WFN was associated
with SH and SW. Pearson's chi square analysis revealed that the inheritance and
segregation of the Def locus in 3:1 ratio was significant in two F2 populations.
Structural analysis of the F3 population was used to confirm the inheritance
status of the Def locus in F2 heterozygote plants. CONCLUSIONS: This study
investigated the inheritance of the presence or absence of the Def allele,
controlling the presence of an abscission zone (AZ) or an abscission-less zone
(ALZ) forming in wild type and mutant lines respectively. The single major gene
(Def) controlling this phenotype was monogenic and def mutants were characterized
and controlled by the homozygous recessive def allele that showed no palisade
layers in the hilum region of the seed coat.
PMID- 22078071
TI - Quality of hospital care for sick newborns and severely malnourished children in
Kenya: a two-year descriptive study in 8 hospitals.
AB - BACKGROUND: Given the high mortality associated with neonatal illnesses and
severe malnutrition and the development of packages of interventions that provide
similar challenges for service delivery mechanisms we set out to explore how well
such services are provided in Kenya. METHODS: As a sub-component of a larger
study we evaluated care during surveys conducted in 8 rural district hospitals
using convenience samples of case records. After baseline hospitals received
either a full multifaceted intervention (intervention hospitals) or a partial
intervention (control hospitals) aimed largely at improving inpatient paediatric
care for malaria, pneumonia and diarrhea/dehydration. Additional data were
collected to: i) examine the availability of routine information at baseline and
their value for morbidity, mortality and quality of care reporting, and ii)
compare the care received against national guidelines disseminated to all
hospitals. RESULTS: Clinical documentation for neonatal and malnutrition
admissions was often very poor at baseline with case records often entirely
missing. Introducing a standard newborn admission record (NAR) form was
associated with an increase in median assessment (IQR) score to 25/28 (22-27)
from 2/28 (1-4) at baseline. Inadequate and incorrect prescribing of penicillin
and gentamicin were common at baseline. For newborns considerable improvements in
prescribing in the post baseline period were seen for penicillin but potentially
serious errors persisted when prescribing gentamicin, particularly to low-birth
weight newborns in the first week of life. Prescribing essential feeds appeared
almost universally inadequate at baseline and showed limited improvement after
guideline dissemination. CONCLUSION: Routine records are inadequate to assess
newborn care and thus for monitoring newborn survival interventions. Quality of
documented inpatient care for neonates and severely malnourished children is poor
with limited improvement after the dissemination of clinical practice guidelines.
Further research evaluating approaches to improving care for these vulnerable
groups is urgently needed. We also suggest pre-service training curricula should
be better aligned to help improve newborn survival particularly.
PMID- 22078072
TI - Starting or switching to biphasic insulin aspart 30 (BIAsp 30) in type 2
diabetes: a multicenter, observational, primary care study conducted in Finland.
AB - AIMS: Assess safety and glycaemic control in patients initiating insulin with, or
switching from basal insulin to, biphasic insulin aspart 30/70 (BIAsp 30) in
primary care in Finland. METHODS: A non-randomised, non-interventional, open
label, 26-week study of type 2 diabetes (T2D) patients prescribed BIAsp 30 by
their physician, who determined starting dose, titration and injection frequency.
RESULTS: 496 patients provided safety data (insulin-naive n=197; prior insulin
n=299 [84.9% received NPH insulin]). Three patients (0.6%) reported four SADRs
(three hypoglycaemia, one hypoglycaemia with unconsciousness). HbA1c was
significantly (p<0.0001) reduced after 26 weeks' BIAsp 30 therapy (final dose):
insulin-naive -1.4% (44.4 IU); prior insulin -1.1% (77.4 IU). HbA1c<7.0% was
achieved by 10% of insulin-naive patients at baseline and 51% at 26-week follow
up. In the prior insulin group, 7% and 30% of patients had HbA1c<7.0% at baseline
and 26 weeks, respectively. Minor hypoglycaemia increased significantly from
baseline to study end: insulin-naive 0.66-6.45 events/patient/year (p<0.0001);
prior insulin 5.11-8.58 events/patient/year (p<0.05). Weight increased by 1.0 kg
(insulin-naive) and 1.3 kg (previous insulin). CONCLUSION: BIAsp 30, initiated
and titrated in T2D patients in primary care in Finland, showed a good safety
profile and significantly improved glycaemic control.
PMID- 22078073
TI - Metabolic syndrome in adult cancer survivors: a meta-analysis.
AB - BACKGROUND: Cross-sectional studies have reported inconsistent findings on
whether the risk of metabolic syndrome is high among cancer survivors. We
conducted a meta-analysis of cross-sectional studies. METHODS: We searched
MEDLINE (PubMed) and EMBASE in April 2010. Two evaluators independently reviewed
and selected articles, based on pre-determined selection criteria. RESULTS: Out
of 257 articles meeting our initial criteria, 9 cross-sectional studies, which
involved 6763 participants (1762 cases and 5001 controls) were included in the
final analysis. Compared with the healthy control groups, the cancer survivors
were at an increased risk of metabolic syndrome, in the random-effects meta
analysis of all 9 cross-sectional studies (OR=1.84; 95% CI=1.14-2.97; I(2)=80.5).
In the subgroup meta-analysis by cancer type, a significant positive association
was observed for hematologic malignancies, including ALL (acute lymphoblastic
leukemia), AML (acute myelogenous leukemia), NHL (non-Hodgkin's lymphoma), and
CML (chronic myelogenous leukemia) (OR=1.94; 95% CI=1.06-3.55; I(2)=68.1%),
whereas no significant association was found for non-hematologic malignancies,
including testicular tumor, prostate cancer, sarcoma, and epithelial ovarian
cancer. CONCLUSIONS: Our meta-analyses of cross-sectional studies found that
adult cancer survivors with hematologic malignancies were at an increased risk of
metabolic syndrome.
PMID- 22078074
TI - Elevated micronuclei frequency in type 2 diabetes with high glycosylated
hemoglobin.
AB - AIM: The role of oxidative damage to DNA due to hyperglycemia is well known. In
the current study we have evaluated the induction of micronuclei due to increased
glycosylation in type 2 diabetes. METHODS: Forty-nine subjects divided into two
groups of normoglycemic controls and type 2 diabetic cases were recruited in the
study. Whole blood was cultured and micronuclei were scored in all the cases.
This was correlated with age, sex, blood glucose levels and glycosylated
hemoglobin. RESULTS: Age and sex matched diabetic patients had an increased
micronuclei frequency in response to elevated glycosylation of hemoglobin
(R(2)=0.229, p=0.037) compared to normoglycemic subjects. CONCLUSION: The
increased glycosylation seems to induce oxidative damage in the DNA of the
diabetic patients, which manifests as an increased micronuclei frequency. This
has a potential to be used as a biomarker for subsequent diabetic complications.
PMID- 22078075
TI - Detection of benzo(a)pyrene photodegradation products using DNA electrochemical
sensors.
AB - The reactivity of photodegradation products of benzo(a)pyrene vs. DNA has been
assessed using both genomic and oligonucleotide based DNA electrochemical
sensors. The kinetic of a photooxidation reaction of benzo(a)pyrene (BaP) carried
out in controlled conditions using a 6 W UV lamp peaked at 365 nm has been
studied using LC with fluorimetric detection. Degradation of benzo(a)pyrene by
both UV and UV/H(2)O(2) exhibited pseudo-first-order reaction kinetics with half
lives ranging from 3.0 to 9.8h depending on the pH and on the amount of H(2)O(2).
The oxidation products of benzo(a)pyrene obtained in different conditions were
tested on genomic ssDNA electrochemical sensors obtained via immobilisation of
salmon testis ss-DNA on graphite screen-printed electrodes. Guanines oxidation
signals obtained using chronopotentiometry were used to detect the interaction of
the products with DNA. The dose-response curve obtained with benzo(a)pyrene
incubated 24 h at pH 4.7 was different from that of the parent compound
indicating a different type of interaction with DNA. A DNA hybridisation sensor
was also assembled using a thiolated/biotynilated 24-mer oligonucleotide
immobilised on a gold screen-printed electrode and avidin-alkaline phosphatase
conjugate. A voltammetric detection of naphtol was used to detect the
hybridisation reaction. A net inhibition of the hybridisation reaction was
observed after incubation with benzo(a)pyrene oxidation products that was
attributed to the formation of stable adducts with the guanines of the
biotinylated strand. LC-MS-MS studies of the oxidation products confirmed the
presence of chemical species potentially forming adducts with DNA. The data
reported demonstrate that DNA electrochemical sensors have the potential to be
used to monitor remediation processes and to assess the potential toxicity vs.
DNA of chemicals forming stable DNA adducts.
PMID- 22078076
TI - Staff outcomes from the caring for aged dementia care resident study (CADRES): a
cluster randomised trial.
AB - BACKGROUND: Dementia care mapping and person centred care are well-accepted as
processes for improving care and well-being for persons with dementia living in
the residential setting. However, the impact of dementia care mapping and person
centred care on staff has not been well researched. OBJECTIVES: The impact of
person centred care and dementia care mapping compared to each other and to usual
dementia care on staff outcomes was examined in terms of staff burnout, general
well-being, attitudes and reactions towards resident behavioural disturbances,
perceived managerial support, and quality of care interactions. DESIGN: A cluster
randomised, controlled trial. SETTINGS: The study was conducted between 2005 and
2007 in 15 residential aged care sites in the Sydney metropolitan area,
Australia, with comparable management structures, staffing mix and ratios, and
standards of care. PARTICIPANTS: 194 consenting managers, nurses, therapists and
nurse assistants working in the participating sites. METHODS: Intervention care
sites received training and support in either person centred care (n=5) or
dementia care mapping (n=5); control sites continued with usual dementia care
(n=5). Staff outcomes of those three groups were assessed before, directly after
the four month intervention (post) and after a further four months (follow-up).
The primary outcome measures were the Maslach Burnout Inventory-Human Services
Survey and the 12-item General Health Questionnaire. Analysis involved repeated
measures analyses of variance for each of the outcome measures and adjustment for
potential confounders to limit bias. RESULTS: The Maslach Burnout Inventory-Human
Services Survey results showed that change over time in emotional exhaustion
scores differed between the three groups. Post-hoc analyses for each group
separately revealed that the only significant time effect was in the dementia
care mapping group (p=0.006), with emotional exhaustion scores declining over
time. At baseline, more perceived support from management was associated with
less emotional exhaustion (r(s)=0.26, p=0.004, n=122) and less depersonalisation
(r(s)=0.21, p=0.023, n=122), but not for any of the other outcome measures.
CONCLUSIONS: This study has shown that person centred approaches of care, in
particular with dementia care mapping, may contribute to reducing staff job
related burnout. The findings also highlight a potentially important role of
managerial support and a whole of system approach.
PMID- 22078077
TI - Optical properties of organic nonlinear optical crystal--a combined experimental
and theoretical study.
AB - The optical properties of the synthesized imidazole derivative, 1-(4
methoxyphenyl)-4,5-diphenyl-2-styryl-1H-imidazole, has been studied both
experimentally and theoretically. Fluorescence enhancement have been found in the
presence of transition metal ions and this may result from the suppression of
radiationless transitions from the n-pi* state in the chemosensors. Quantum
chemical calculations of heat of formation, optimized geometry, NLO, HOMO-LUMO,
MEP and NBO analysis of 1-(4-methoxyphenyl)-4,5-diphenyl-2-styryl-1H-imidazole
(mpdsi) have been carried out by using density functional theory (DFT/B3LYP)
method with 6-31G(d,p) as basis set. This chromophore possess more appropriate
ratio of off-diagonal versus diagonal beta tensorial component
(r=beta(xyy)/beta(xxx)=-0.002) which reflects the inplane non-linearity
anisotropy. Since they have largest MUbeta(0) value, the reported imidazole can
be used as potential NLO material. The solvent effect on the absorption and
fluorescence has been analyzed simultaneously.
PMID- 22078078
TI - Reliability of dissociation constants and resolution capability of SQUAD(84) and
SPECFIT/32 in the regression of multiwavelength spectrophotometric pH-titration
data.
AB - The resolving power of multicomponent spectral analysis and the computation
reliability of the stability constants and molar absorptivities determined for
five variously protonated anions of physostigmine salicylate by the SQUAD(84) and
SPECFIT/32 programs has been examined with the use of simulated and experimental
spectra containing overlapping spectral bands. The reliability of the
dissociation constants of drug was proven with goodness-of-fit tests and by
examining the influence of pre-selected noise level s(inst)(A) in synthetic
spectra regarding the precision s(pK) and also accuracy of the estimated
dissociation constants. Precision was examined as the linear regression model
s(pK)=beta(0)+beta(1)s(inst)(A). In all cases the intercept beta(0) was
statistically insignificant. When an instrumental error s(inst)(A) is small and
less than 0.5 mAU, the parameters' estimates are nearly the same as the bias
DeltapK=pK(a,calc)-pK(a,true) is quite negligible. In all four dissociation
constants the bias seems to be quite small even though for pK(a4) it is a little
bit higher, i.e., +0.05 for s(inst)(A) about 1.0 mAU. In the interval of
s(inst)(A) from 0.1 to 1.0 mAU all four dissociation constants pK(i) are accurate
enough. Of the various regression diagnostics considered, the goodness-of-fit is
the most efficient criterion of whether the parameters found adequately represent
the data. The magnitude of instrumental error s(inst)(A) only slightly affects
the shape of a Cattel's scree graph s(k)(A)=f(k) to determine the true number of
light-absorbing species in the equilibrium mixture.
PMID- 22078079
TI - Synthesis, crystal structure, and properties of a double-helical zinc(II)
coordination polymer with Ozagrel drug.
AB - By the reaction of Zn(OAc)(2).2H(2)O with Ozagrel, (E)-3-(4-((1H-imidazol-1
yl)methyl)phenyl)acrylic acid (Himpaa), a novel coordination polymer
[Zn(impaa)(2)](n)(1) was synthesized and was characterized by IR, elemental
analysis, single-crystal X-ray diffraction analysis, photoluminescence
spectroscopy, and thermogravimetric and differential thermal analysis. The four
coordinate Zn(II) ions are linked into 1D double-helical chain by the
deprotonated impaa(-), which is extended into a 3D supramolecular structure
through intermolecular C-H...O hydrogen bonds.
PMID- 22078080
TI - Vibrational spectra, structure and antioxidant activity of gossypol imine
derivatives.
AB - The structures and tautomeric equilibria of natural polyphenol gossypol and four
its imine derivatives were studied by FT-IR-, NMR-spectroscopy and quantum
chemistry methods. It was shown that gossypol Schiff bases exist in solution as
enamine-enamine tautomer and hydrazones as imine-imine tautomer. Infrared
absorption spectra of studied compounds were simulated using the PM3 method. The
fundamental vibrational frequencies were evaluated using various scale factors
which yield a good agreement between observed and calculated frequencies. Free
radical scavenging activity of gossypol and its imine derivatives was evaluated
using DPPH method. Antioxidant activity of studied compounds was characterized.
Gossypol hydrazones were shown to be more efficient, while Schiff base to be less
efficient as antioxidants in comparison with gossypol itself.
PMID- 22078081
TI - Associations between child sexual abuse and negative sexual experiences and
revictimization among women: does measuring severity matter?
AB - Women with histories of child sexual abuse (CSA) are more likely than those
without such experiences to report a variety of negative sexual outcomes. This
study examines the explanatory power of a CSA summed composite versus dichotomous
(presence/absence) measurement in predicting a comprehensive negative sexual
behavior outcome. Study participants were obtained from a community based sample
examining women's sexual decision-making. The continuous CSA measurement reflects
cumulative histories of CSA through a composite score capturing abuse specific
characteristics. Using a cross-validation approach, the sample (n=835) was
randomly split and the explanatory power of each measure was examined through a
series of multiple linear regressions comparing model fit indexes and performing
a formal likelihood ratio test of one model against another. All CSA measures
explained a similar percentage of variance but overall the CSA summed composite
explained the data significantly better in terms predicting negative sexual
experiences and revictimization than a binary measure as demonstrated with the
likelihood ratio test. The results were replicated by cross-validating the
predictive power of the CSA composite score between the split samples.
Consistency of CSA regression estimates for the summed composite between training
and validation samples were also confirmed. Given the superiority of the CSA
summed composites over the binary variable, we recommend using this measure when
examining associations between CSA histories and negative sexual experiences and
revictimization.
PMID- 22078082
TI - Mercury, chronic hepatitis and hepatoma.
PMID- 22078083
TI - A comparison of the degree of retraction of full-thickness supraspinatus tears
with the Goutallier grading system.
AB - BACKGROUND: Tears of the supraspinatus are common and incompletely understood.
The degree of fatty infiltration into the muscle is perceived to be a determining
factor of successful surgical repair and postoperative function. It is the
hypothesis of this study that the degree of central tendon retraction (CTR) as
seen on magnetic resonance imaging corresponds to the amount of fatty
infiltration classified according to the Goutallier grading system. MATERIALS AND
METHODS: Magnetic resonance imaging scans of the supraspinatus were reviewed in 2
identifiable groups: 143 scans with no tear (NT) and 148 scans with a full
thickness tear (FTT) and CTR. The degree of CTR and the corresponding Goutallier
grade were measured. The difference in Goutallier grade between the NT and FTT
groups was measured with the Mann-Whitney test. The relationship between
Goutallier grade and increasing amount of CTR was described by use of Spearman
rank correlation. Studying the difference between the Goutallier grades and CTR
was achieved by use of Mann-Whitney tests. RESULTS: Of NT scans, 100% showed
Goutallier grade 0 or 1. Among FTT scans, 2 showed grade 0, 21 showed grade 1, 35
showed grade 2, 14 showed grade 3, and 76 showed grade 4. The difference was
statistically significant (P < .001) between the Goutallier grade 3 and 4 scans,
and the degree of Goutallier grade increased with increasing CTR (P < .001).
CONCLUSION: Fatty infiltration can be directly linked to CTR and, as such, may
help to determine surgical intervention between groups.
PMID- 22078084
TI - A challenging diagnosis of alpha-1-antitrypsin deficiency: identification of a
patient with a novel F/Null phenotype.
AB - Alpha-1-antitrypsin (A1AT) deficiency is a genetic disease characterized by low
levels and/or function of A1AT protein. A1AT deficiency can result in the
development of COPD, liver disease, and certain skin conditions. The disease can
be diagnosed by demonstrating a low level of A1AT protein and genotype screening
for S and Z mutations, which are the most common. However, there are many genetic
variants in A1AT deficiency, and this screening may miss rarer cases, such as
those caused by dysfunctional protein. We identified a patient with a previously
unreported F/null phenotype that was missed by routine screening. This case
highlights the wide variation in possible mutations, limitations in diagnostics,
and the importance of combining clinical suspicion with measurement of protein
levels, phenotypic analysis, and in appropriate cases expanded genetic analysis.
PMID- 22078085
TI - [Refractory thyroid cancers].
AB - Refractory thyroid cancers include medullary and differentiated cancers with
locally advanced disease that is not amenable to surgery or with distant
metastases, differentiated thyroid carcinomas being refractory to radio-iodine
treatment and all anaplastic carcinomas. These carcinomas are rare, with an
estimated incidence in France of 350 new cases per year. Their management may
benefit from the TUTHYREF network. Kinase inhibitors inhibit kinases of the VEGF
receptors, and this inhibits angiogenesis, and some of these agents also inhibit
other kinases of the MAPkinase pathway. These inhibitors are effective in
differentiated and medullary thyroid cancers, and induce a partial response or a
long-term stabilisation in more than half of patients. Their toxicity is
significant and these treatments should be given only to selected patients with
locally advanced or metastatic disease, with progression or with clinical
symptoms.
PMID- 22078086
TI - Lung function and quality of life in survivors of the acute respiratory distress
syndrome (ARDS).
AB - Recent studies have begun to describe the long-term outcomes of acute respiratory
distress syndrome (ARDS) survivors. These patients experience a number of
physical, mental and psychological morbidities that significantly impair their
health-related quality of life (HRQL). The trajectory of pulmonary recovery in
survivors of ARDS, as it relates to lung function, structure and health-related
quality of life (HRQL), is predictable and often persists years after hospital
discharge. True pulmonary parenchymal morbidity is uncommon and when present,
persistent restrictive disease is likely related to diaphragmatic weakness with a
mild reduction in diffusion capacity (DLCO). Future research should focus on
identifying patients at risk for long-term functional limitations and the design
of rehabilitation interventions tailored to individual patient needs.
PMID- 22078087
TI - Long-term successful management of an aortoesophageal fistula secondary to the
ingestion of a bone.
PMID- 22078088
TI - [Thyroid dysfunctions and pregnancy].
AB - Advances in understanding the physiology of the thyroid function in normal
pregnancy have highlighted the importance of the consequences of abnormal thyroid
function on mother and fetal outcomes. Thyroid diseases are common in young women
of childbearing age while management of thyroid diseases is relatively
straightforward. For each thyroid dysfunction (hypothyroxinemia, hypothyroidism,
hyperthyroidism, postpartum thyroiditis), the issues with the obstetric
complications of the mother and the fetus are considered. Indeed, early
recognition of thyroid diseases during pregnancy and appropriate management has
the potential to improve outcome for the mother and the fetus.
PMID- 22078089
TI - Prone positioning in acute respiratory distress syndrome (ARDS): when and how?
AB - Acute respiratory distress syndrome (ARDS) is a severe form of respiratory
failure. It remains one of the most devastating conditions in the intensive care
unit. Mechanical ventilation with positive end-expiratory pressure is a
cornerstone therapy for ARDS patients. One adjuvant alternative is to place the
patient in a prone position. Since it was first described in 1976, prone
positioning has been safely employed to improve oxygenation in many patients with
ARDS. Prone positioning may also minimize secondary lung injury induced by
mechanical ventilation, although this benefit has not been investigated as
extensively, despite its potential. In spite of a strong physiological
justification, prone positioning is still not widely accepted as an adjunct
therapy in ARDS patients and it is only used regularly in only 10% of ICUs. This
may be explained in part by the reluctance to change position, risks and unclear
effects on relevant outcomes. In this paper, we review all aspects of prone
positioning, from the pathophysiology to the clinical studies of patient outcome,
and we also discuss the latest controversies surrounding this treatment.
PMID- 22078090
TI - [The treatment of Graves' disease: current views and controversies].
AB - One of the more prevalent among the organ-specific autoimmune diseases, Graves'
disease share their chronic evolution and lack of immunomodulatory treatment.
Treatment strategy has to consider as opposite options as medical conservatory or
ablative approach which requires much expertise and attention to patients' wish.
Whatever treatment option, it is mandatory to prevent any risk of iatrogenic
hypothyroidism, especially a rise of TSH above normal limit. The long-lasting
benefit-risk ratio of treatment options is of primordial importance in this
usually benign but enduring disease. Occurrence of Graves' orbitopathy, a
significant complication, requires a special multidisciplinary management; the
same is true in the case of a current or planned pregnancy. Overall quality-of
life is often markedly affected by Graves' disease; this should not be
overlooked. Smoking increases relapse risk after a course of antithyroid drug; it
also increases the risk and severity of Graves' orbitopathy. Patients must be
made aware of these deleterious effects and encouraged to quit smoking.
PMID- 22078091
TI - Pulmonary perfusion scintigraphy: a tool to detect the presence of pulmonary
artery involvement in Takayasu's arteritis.
AB - OBJECTIVE: Takayasu arteritis (TA) is a large-vessel vasculitis that can also
involve pulmonary arteries. The aim of this study was to evaluate the frequency
of pulmonary artery involvement in TA patients using pulmonary perfusion
scintigraphy (PPS) and to determine the characteristics of patients with
pulmonary artery involvement. METHODS: Twenty-one patients classified as having
TA underwent PPS. PPS positivity was defined as the presence of perfusion defects
with normal ventilation. TA diagnosis was assessed using American College of
Rheumatology (ACR) and/or Ishikawa's modified criteria. RESULTS: Twenty-one
patients (median age 36 years [range; 21-78]; 18 females) were analyzed. PPS was
positive in 12 (57%) patients. Pulmonary symptoms were present in 4/12 patients
with positive PPS, but none in patients with negative PPS. Among the 12 patients
with positive PPS, eight patients (67%) were asymptomatic with regard to
pulmonary involvement. No difference was noted between patients with positive and
negative PPS with respect to general characteristics, vascular involvement,
biological parameters or treatment regimen. PPS sensitivity was 100%, specificity
at 53% and predictive negative value at 100% in patients with TA relative to the
presence of pulmonary symptoms. CONCLUSION: Although frequent, pulmonary artery
involvement in TA is often asymptomatic. Its systematic detection by PPS could be
valuable in the diagnosis of TA.
PMID- 22078092
TI - [Thyroid: model or victim?].
PMID- 22078093
TI - Noninvasive detection of intimal xanthoma using combined ultrasound, strain rate
and photoacoustic imaging.
AB - BACKGROUND AND MOTIVATION: The structure, composition and mechanics of carotid
artery are good indicators of early progressive atherosclerotic lesions. The
combination of three imaging modalities (ultrasound, strain rate and
photoacoustic imaging) which could provide corroborative information about the
named arterial properties could enhance the characterization of intimal xanthoma.
METHODS: The experiments were performed using a New Zealand white rabbit model of
atherosclerosis. The aorta excised from an atherosclerotic rabbit was scanned ex
vivo using the three imaging techniques: (1) ultrasound imaging of the
longitudinal section: standard ultrasound B-mode (74Hz frame rate); (2) strain
rate imaging: the artery was flushed with blood and a 1.5Hz physiologic pulsation
was induced, while the ultrasound data were recorded at higher frame rate
(296Hz); (3) photoacoustic imaging: the artery was irradiated with nanosecond
pulsed laser light of low fluence in the 1210-1230nm wavelength range and the
photoacoustic data was recorded at 10Hz frame rate. Post processing algorithms
based on cross-correlation and optical absorption variation were implemented to
derive strain rate and spectroscopic photoacoustic images, respectively. RESULTS:
Based on the spatio-temporal variation in displacement of different regions
within the arterial wall, strain rate imaging reveals differences in tissue
mechanical properties. Additionally, spectroscopic photoacoustic imaging can
spatially resolve the optical absorption properties of arterial tissue and
identify the location of lipid pools. CONCLUSIONS: The study demonstrates that
ultrasound, strain rate and photoacoustic imaging can be used to simultaneously
evaluate the structure, the mechanics and the composition of atherosclerotic
lesions to improve the assessment of plaque vulnerability.
PMID- 22078094
TI - Anisotropy of Lamb and SH waves propagation in langasite single crystal plates
under the influence of dc electric field.
AB - Paper is presented the results of computer simulation. Effect of the homogeneous
dc electric field influence on the propagation of zero and first order Lamb and
SH waves in piezoelectric langasite single crystal plates for a lot of cuts and
directions have been calculated. Crystalline directions and cuts with maximal and
minimal influence of dc electric field have indicated. Effect of hybridization of
plate modes has been discussed.
PMID- 22078095
TI - Prevalence of hepatitis B virus infection in The Netherlands in 1996 and 2007.
AB - We aimed to assess differences in the prevalence of hepatitis B virus (HBV)
infection in The Netherlands between 1996 and 2007, and to identify risk factors
for HBV infection in 2007. Representative samples of the Dutch population in 1996
and 2007 were tested for antibodies to hepatitis B core antigen (anti-HBc),
hepatitis B surface antigen (HBsAg) and HBV-DNA. In 2007, the weighted anti-HBc
prevalence was 3.5% (95% CI 2.2-5.5) and the HBsAg prevalence was 0.2% (95% CI
0.1-0.4). In indigenous Dutch participants, the anti-HBc prevalence was lower in
2007 than in 1996 (P=0.06). First-generation migrants (FGMs) had a 13-fold
greater risk of being HBsAg- and/or HBV-DNA-positive than indigenous Dutch
participants. In indigenous Dutch participants, risk factors for anti-HBc
positivity were older age and having received a blood product before 1990. In
FGMs, being of Asian origin was a risk factor. In second-generation migrants,
having a foreign-born partner and injecting drug use were risk factors. FGMs are
the main target group for secondary HBV prevention in The Netherlands.
PMID- 22078096
TI - A new simple approach for the determination of pyrimidine 5'-nucleotidase
activity in human erythrocytes using an ELISA reader.
AB - INTRODUCTION: Pyrimidine 5' nucleotidase type I (P5'N-1) deficiency is the most
frequent abnormality of cell nucleotide metabolism causing hereditary non
spherocytic hemolytic anemia (HNSHA). The aim of this study was to develop a
simple method of determination of P5'N-1 activity in human erythrocytes using an
ELISA reader METHODS: Determination of P5'N-1 activity is based on the liberation
of inorganic phosphorus (Pi) after incubation with uridine monophosphate/cytidine
monophosphate. Inorganic phosphorus (Pi), a product of the enzymatic reaction is
directly quantitated from its ultraviolet absorbance. Purine/Pyrimidine
nucleotides ratio (OD 260: OD 280) was also measured RESULTS: P5'N-1 deficient
patients showed reduction in P5'N-1 activity (Mean +/- SD; 4.06 +/- 0.66 using an
ELISA reader & 6.25 +/- 1.37 using a spectrophotometer) as compared to the normal
control group (ELISA reader: 13.24 +/- 3.42 & Spectrophotometer: 18.25 +/- 3.20).
Heterozygotes showed intermediate activity (ELISA reader: 6.06 +/- 0.48 &
Spectrophotometer: 8.06 +/- 1.28), however they would have been missed on
screening using the Purine/Pyrimidine nucleotides ratio CONCLUSION: Determination
of P5'N-1 activity by using an ELISA reader is a new, simple, less time consuming
and reliable method. It also avoids the use of radioactive material or HPLC which
is a significant advantage.
PMID- 22078098
TI - Myoblasts generated by lentiviral mediated MyoD transduction of myotonic
dystrophy type 1 (DM1) fibroblasts can be used for assays of therapeutic
molecules.
AB - BACKGROUND: Myotonic dystrophy type 1 (DM1) is the most common muscle dystrophy
in adults. The disease is caused by a triplet expansion in the 3'end of the
myotonic dystrophy protein kinase (DMPK) gene. In order to develop a human cell
model for investigation of possible effects of antisense and RNAi effector
molecules we have used lentiviral mediated myoD-forced myogenesis of DM1 patient
fibroblasts. FINDINGS: Transduced fibroblasts show a multinuclear phenotype and
express the differentiation marker myogenin. Furthermore, fluorescence in situ
hybridization (FISH) analysis revealed a statistical significant increase in the
amount of nuclear foci in DM1 patient fibroblasts after myogenesis. Finally, no
nuclear foci were found after treatment with oligonucleotides targeting the
repeat expansions. CONCLUSIONS: The abundance of nuclear foci in DM1 patient
fibroblasts increase following myogenesis, as visualized by FISH analysis. Foci
were eradicated after treatment with antisense oligonucleotides. Thus, we propose
that the current cell model is suitable for testing of novel treatment
modalities.
PMID- 22078097
TI - Breast cancer epithelial-to-mesenchymal transition: examining the functional
consequences of plasticity.
AB - The epithelial-to-mesenchymal transition (EMT) is a critical developmental
process that has recently come to the forefront of cancer biology. In breast
carcinomas, acquisition of a mesenchymal-like phenotype that is reminiscent of an
EMT, termed oncogenic EMT, is associated with pro-metastatic properties,
including increased motility, invasion, anoikis resistance, immunosuppression and
cancer stem cell characteristics. This oncogenic EMT is a consequence of cellular
plasticity, which allows for interconversion between epithelial and mesenchymal
like states, and is thought to enable tumor cells not only to escape from the
primary tumor, but also to colonize a secondary site. Indeed, the plasticity of
cancer cells may explain the range of pro-metastatic traits conferred by
oncogenic EMT, such as the recently described link between EMT and cancer stem
cells and/or therapeutic resistance. Continued research into this relationship
will be critical in developing drugs that block mechanisms of breast cancer
progression, ultimately improving patient outcomes.
PMID- 22078100
TI - Dr. Vince Hetherington and the Federation Internacionale des Podologues.
PMID- 22078099
TI - Fortunella margarita transcriptional reprogramming triggered by Xanthomonas citri
subsp. citri.
AB - BACKGROUND: Citrus canker disease caused by the bacterial pathogen Xanthomonas
citri subsp. citri (Xcc) has become endemic in areas where high temperature,
rain, humidity, and windy conditions provide a favourable environment for the
dissemination of the bacterium. Xcc is pathogenic on many commercial citrus
varieties but appears to elicit an incompatible reaction on the citrus relative
Fortunella margarita Swing (kumquat), in the form of a very distinct delayed
necrotic response. We have developed subtractive libraries enriched in sequences
expressed in kumquat leaves during both early and late stages of the disease. The
isolated differentially expressed transcripts were subsequently sequenced. Our
results demonstrate how the use of microarray expression profiling can help
assign roles to previously uncharacterized genes and elucidate plant pathogenesis
response related mechanisms. This can be considered to be a case study in a
citrus relative where high throughput technologies were utilized to understand
defence mechanisms in Fortunella and citrus at the molecular level. RESULTS:
cDNAs from sequenced kumquat libraries (ESTs) made from subtracted RNA
populations, healthy vs. infected, were used to make this microarray. Of 2054
selected genes on a customized array, 317 were differentially expressed (P <
0.05) in Xcc challenged kumquat plants compared to mock-inoculated ones. This
study identified components of the incompatible interaction such as reactive
oxygen species (ROS) and programmed cell death (PCD). Common defence mechanisms
and a number of resistance genes were also identified. In addition, there were a
considerable number of differentially regulated genes that had no homologues in
the databases. This could be an indication of either a specialized set of genes
employed by kumquat in response to canker disease or new defence mechanisms in
citrus. CONCLUSION: Functional categorization of kumquat Xcc-responsive genes
revealed an enhanced defence-related metabolism as well as a number of resistant
response-specific genes in the kumquat transcriptome in response to Xcc
inoculation. Gene expression profile(s) were analyzed to assemble a comprehensive
and inclusive image of the molecular interaction in the kumquat/Xcc system. This
was done in order to elucidate molecular mechanisms associated with the
development of the hypersensitive response phenotype in kumquat leaves. These
data will be used to perform comparisons among citrus species to evaluate means
to enhance the host immune responses against bacterial diseases.
PMID- 22078101
TI - What stops children with a chronic illness accessing health care: a mixed methods
study in children with Chronic Fatigue Syndrome/Myalgic Encephalomyelitis
(CFS/ME).
AB - BACKGROUND: Paediatric Chronic Fatigue Syndrome/Myalgic Encephalomyelitis
(CFS/ME) is relatively common and disabling with a mean time out of school of
more than one academic year. NICE guidelines recommend referral to specialist
services immediately if severely affected, within 3 months if moderately affected
and within 6 months if mildly affected. However, the median time-to-assessment by
a specialist service in the UK is 18 months. This study used a mixed-methods
approach to examine factors associated with time taken to access specialist
services. METHODS: Time-to-assessment was analysed as a continuous "survival
time" variable in Cox regression models using data from self-completed assessment
forms for children attending a regional specialist CFS/ME service between January
2006 and December 2009. Semi-structured interviews about barriers experienced in
accessing healthcare for their child were conducted with nine parents of children
aged < 17 years (8 individual and one parent couple). Interviews were digitally
recorded and analysed using "thematic analysis". RESULTS: 405 children were
assessed between 2006 and 2009 and information on school attendance was available
on 388. Only 1/125 with severe CFS/ME and 49/263 (19%) with mild to moderate
CFS/ME were seen within NICE recommended timeframe. Increased fatigue was
associated with shorter time to assessment (HR = 1.15; 95% CI 1.03, 1.29 per unit
increase in Chalder fatigue score; P = 0.01). Time-to-assessment was not
associated with disability, mood, age or gender. Parents described difficulties
accessing specialist services because of their own as well as their GP's and
Paediatrician's lack of knowledge. They experienced negative attitudes and
beliefs towards the child's condition when they consulted GPs, Paediatricians and
Child Psychiatrists. Parents struggled to communicate an invisible illness that
their child and not themselves were experiencing. CONCLUSIONS: GPs, Child
Psychiatrists and Paediatricians need more knowledge about CFS/ME and the
appropriate referral pathways to ensure timeliness in referral to specialist
services.
PMID- 22078102
TI - Long-term outcome of cap polyposis, with special reference to the effects of
steroid therapy.
PMID- 22078103
TI - Routine brush cytology and fluorescence in situ hybridization for assessment of
pancreatobiliary strictures.
AB - BACKGROUND: The specificity of brush cytology for detection of malignant
pancreatobiliary strictures is high, but its sensitivity is moderate.
Fluorescence in situ hybridization (FISH) can be used to detect chromosomal
aneuploidy in biliary brushing specimens, and, according to some reports, it may
improve the sensitivity of routine cytology. OBJECTIVE: To assess the role of
routine cytology and FISH in detection of malignant pancreatobiliary strictures.
DESIGN: Prospective study performed between September 2008 and August 2010.
SETTING: University hospital. PATIENTS: This study involved 81 patients with bile
duct or pancreatic duct strictures. INTERVENTION: Brush cytology obtained during
ERCP from pancreatic duct or bile duct strictures and analysis of smears by
routine cytology and FISH. MAIN OUTCOME MEASUREMENTS: Sensitivity, specificity,
and positive and negative predictive values of routine cytology and FISH
calculated with a 95% confidence interval. RESULTS: The sensitivity of routine
cytology was 35.19%, and specificity was 100%. When atypia was identified as
positive, the resultant sensitivity was 53.7%, and specificity was 100%.
Sensitivity of FISH was 51.85%, and specificity was 88.89%. When either routine
cytology was positive or atypia was observed or when the FISH result was
positive, sensitivity was the highest (72.22%), and it was statistically
significant in comparison with both routine cytology with atypia (P < .036) and
FISH (P < .023), but specificity was lower than that of routine cytology (88.89%
vs 100%). LIMITATIONS: Use of a DNA probe set that was designed for detection of
urothelial carcinoma. Limited number of patients. CONCLUSION: FISH improved the
sensitivity of routine cytology. Pancreatic duct brushings were a reliable
material for detection of chromosomal abnormalities by FISH. The best diagnostic
result was achieved by combining routine cytology with FISH.
PMID- 22078104
TI - US-guided ethanol ablation of insulinomas: a new treatment option.
PMID- 22078105
TI - Free-hand direct insertion ability into a simulated ex vivo model using a
prototype multibending peroral direct cholangioscope (with videos).
PMID- 22078106
TI - The contextual effects of social capital on health: a cross-national instrumental
variable analysis.
AB - Past research on the associations between area-level/contextual social capital
and health has produced conflicting evidence. However, interpreting this rapidly
growing literature is difficult because estimates using conventional regression
are prone to major sources of bias including residual confounding and reverse
causation. Instrumental variable (IV) analysis can reduce such bias. Using data
on up to 167,344 adults in 64 nations in the European and World Values Surveys
and applying IV and ordinary least squares (OLS) regression, we estimated the
contextual effects of country-level social trust on individual self-rated health.
We further explored whether these associations varied by gender and individual
levels of trust. Using OLS regression, we found higher average country-level
trust to be associated with better self-rated health in both women and men.
Instrumental variable analysis yielded qualitatively similar results, although
the estimates were more than double in size in both sexes when country population
density and corruption were used as instruments. The estimated health effects of
raising the percentage of a country's population that trusts others by 10
percentage points were at least as large as the estimated health effects of an
individual developing trust in others. These findings were robust to alternative
model specifications and instruments. Conventional regression and to a lesser
extent IV analysis suggested that these associations are more salient in women
and in women reporting social trust. In a large cross-national study, our
findings, including those using instrumental variables, support the presence of
beneficial effects of higher country-level trust on self-rated health. Previous
findings for contextual social capital using traditional regression may have
underestimated the true associations. Given the close linkages between self-rated
health and all-cause mortality, the public health gains from raising social
capital within and across countries may be large.
PMID- 22078107
TI - Smart pump alerts: all that glitters is not gold.
AB - INTRODUCTION: The implementation of smart pump technology can reduce the
incidence of errors in the administration of intravenous drugs. This approach
involves developing drug libraries for specific units and setting hard and soft
limits for each drug. If a programming error occurs and these limits are
exceeded, an alarm sounds and the infusion can be blocked. A detailed analysis of
these alarms is essential in order not to bias the results in favor of a positive
impact of this technology. PURPOSE: To evaluate the results of the first analysis
of the use of smart infusion pumps and to assess the significance and practical
implications of the alarms sounded. METHODS: The study was performed by a
multidisciplinary team that consisted of a clinical pharmacist, a pediatrician
from the pediatric intensive care unit (PICU), and the chief nurse of the unit. A
library of 108 drugs was developed over a 7-month period and introduced into 40
syringe pumps and 12 volumetric pumps (Alaris((r)) with Plus software) before
being applied in 6 of the 11 beds in the PICU. After four month's use, data were
analyzed using the Guardrails((r)) CQI v4.1 Event Reporter program. RESULTS:
Following the first four months of implementation, compliance with the drug
library was 87%. By analyzing the alerts triggered, we were able to detect
problems such as the need to increase user training, readjust limits that did not
correspond to clinical practice, correct errors in the editing of the drug
library and including a training profile. CONCLUSION: It is difficult to obtain
accurate data on the true impact of this technology in the early stages of its
implementation. This preliminary analysis allowed us to identify improvement
measures to distinguish, in future evaluations, the alarms triggered by a real
programming error from those caused by incorrect use.
PMID- 22078108
TI - The role of novelty detection in food memory.
AB - Memory plays a central role in food choice. Recent studies focusing on food
memory in everyday eating and drinking behaviour used a paradigm based on
incidental learning of target foods and unexpected memory testing, demanding
recognition of the target among distractors, which deviate slightly from the
target. Results question the traditional view of memory as reactivation of
previous experiences. Comparison of data from several experiments shows that in
incidentally learned memory, distractors are rejected, while original targets are
not recognised better than by chance guessing. Food memory is tuned at detecting
novelty and change, rather than at recognising a previously encountered food.
PMID- 22078109
TI - Prevalence of and risk factors for abnormal ankle-brachial index in patients with
type 2 diabetes.
AB - BACKGROUND: The aim of the present study was to examine abnormalities in the
ankle-brachial index (ABI) and related risk factors in patients with type 2
diabetes. METHODS: Between September 2003 and June 2010, the ABI was determined
in 3924 outpatients attending the Diabetes Center of the People's Liberation Army
306th Hospital. In addition, demographic and laboratory data were collected. The
risk factors for an abnormal ABI were determined using univariate and stepwise
logistic regression analysis. RESULTS: The ABI was normal (0.91-1.3) in 93.1% of
patients, low (<0.9) in 5.2%, and high (>1.3) in 1.7%. The prevalence of abnormal
lower ABI was greater in elderly (>= 65 years) patients (12.2%) than in younger
(< 65 years) patients (3.6%). Using normal ABI as the reference, low ABI in
younger patients was found to be independently associated with HbA1c, the urinary
albumin:creatinine ratio, diabetic peripheral neuropathy, diabetic retinopathy,
and cerebrovascular disease. A low ABI in elderly patients was found to be
independently associated with age, smoking, HbA1c, uric acid, total cholesterol,
diabetic peripheral neuropathy, diabetic retinopathy, diabetic nephropathy and
cerebrovascular disease. A high ABI in younger patients was associated with being
male. CONCLUSIONS: The prevalence of an abnormal ABI was high in patients with
type 2 diabetes, especially elderly patients. Early identification and intensive
treatment are needed to improve the quality of life and overall prognosis of
patients with type 2 diabetes.
PMID- 22078110
TI - Mutations in VP2 and VP1 capsid proteins increase infectivity and mouse lethality
of enterovirus 71 by virus binding and RNA accumulation enhancement.
AB - Enterovirus 71 (EV71) is a major cause of hand-foot-and-mouth disease. EV71
infection occasionally associates with severe neurological sequelae such as
brainstem encephalitis or poliovirus-like paralysis. We demonstrated that mouse
adapted strain increases infectivity, resulting in higher cytotoxicity of neuron
cells and mortality to neonatal mice than a non-adapted strain. Results pointed
to EV71 capsid region determining viral infectivity and mouse lethality. Mutant
virus with lysine to methionine substitution at VP2(149) (VP2(149M)) or glutamine
to glutamic acid substitution at VP1(145) (VP1(145E)) showed greater viral titers
and apoptosis. Synergistic effect of VP2(149M) and VP1(145E) double mutations
enhanced viral binding and RNA accumulation in infected Neuro-2a cells. The dual
substitution mutants markedly reduced value of 50% lethal dose in neonatal mice
infection, indicating they raised mouse lethality in vivo. In sum, VP2(149M) and
VP1(145E) mutations cooperatively promote viral binding and RNA accumulation of
EV71, contributing to viral infectivity in vitro and mouse lethality in vivo.
PMID- 22078111
TI - Human adenovirus species C (HAdV-C) fiber protein.
PMID- 22078112
TI - Dissipation and residue of fenpropidin in wheat and soil under field conditions.
AB - The residue levels and dissipation rate of fenpropidin in wheat and soil were
investigated by LC-MS/MS and GC-MS, respectively. The dissipation rates of
fenpropidin were described using first-order kinetics and its half-life ranged
from 3.1 to 3.3 days in wheat plants and 13.4-16.5 days in soils. During harvest
time, the terminal residues of fenpropidin in wheat were below the EUs maximum
residue limit (MRL, 0.5 mg kg(-1)) when collected 20 days after the final
application, which suggested that the use of this fungicide was safe for humans.
The residues persistence varied between two geographically separated experimental
sites, indicating that it might be influenced by climate, soil properties and
growth dilution factor. These results would be helpful in setting MRL guidance of
fenpropidin in wheat in China.
PMID- 22078113
TI - Interaction of silver nanoparticles with biological surfaces of Caenorhabditis
elegans.
AB - Silver nanoparticles (AgNPs) are being used in an increasing number of industrial
and commercial applications; this has resulted in an increased release of AgNPs
into the environment. Understanding the interaction of AgNPs with biological
surfaces is important, as such understanding will facilitate predictions of the
further effects of nanoparticles on biological systems. This study highlights the
interaction of citrate-coated silver nanoparticles (cAgNPs) with the biological
surfaces of the nematode C. elegans. General toxicity, as proxied by factors such
as mortality and reproduction, was evaluated in nematode growth medium (NGM),
which provides a more homogeneous distribution of cAgNPs than in K-medium. The
survival and reproduction of C. elegans evidenced a clear reduction in up to 100
mg/L and 10 mg/L of cAgNPs, respectively. We also noted significant interactions
of cAgNPs with the biological surfaces of C. elegans. Severe epidemic edema and
burst were detected in the exposure group, which may be associated with secondary
infections in soil ecosystems. We observed no evidence of cAgNPs intake by C.
elegans. This is, to the best of our knowledge, the first report to investigate
the nanotoxicity of cAgNPs as related to biological surfaces of C. elegans;
further research is needed to study the fate of cAgNPs inside of C. elegans.
PMID- 22078114
TI - Effects of the commercial formulation containing fipronil on the non-target
organism Cyprinus carpio: implications for rice-fish cultivation.
AB - The aim of this research was to evaluate possible toxic effects of commercial
formulation containing fipronil on Cyprinus carpio tissues under rice field
conditions. Antioxidant profile (SOD, catalase, glutathione S-transferase),
oxidative stress parameters (thiobarbituric acid-reactive substances, protein
carbonyl), and growth were investigated in carp exposed to fipronil under rice
field conditions for 7, 30, and 90 days. Waterborne insecticide concentrations
were measured and the detectable concentration of fipronil was observed up to 45
day after application. Common carp survival and growth was not affected by
fipronil. Liver superoxide dismutase activity was enhanced while liver catalase
activity was inhibited at 7, 30, and 90 days. Alterations were not observed in
the glutathione S-transferase activity in any experimental periods. Protein
carbonyl increased only after 30 and 90 days of exposure. The thiobarbituric acid
reactive substances levels were enhanced in all analyzed tissues (liver, muscle,
and brain) and periods of exposure. This study demonstrates that fipronil
insecticides cause alterations in the biochemical parameters in different tissues
of carp without affecting the growth or the survival of the fish.
PMID- 22078116
TI - Microbial contamination of ultrasound biomicroscopy probes: evaluation of cross
infection risk.
PMID- 22078117
TI - Comparison of a new-generation sectorial addition multifocal intraocular lens and
a diffractive apodized multifocal intraocular lens.
AB - PURPOSE: To compare visual, refractive, and satisfactory outcomes between a new
generation sectorial addition multifocal intraocular lens (IOL) (Lentis Mplus LS
312; study group) and a diffractive apodized multifocal IOL (Restor SN6AD1;
control group). SETTING: Private practice, Driebergen, and Department of
Ophthalmology, Academic Medical Center, Amsterdam, The Netherlands. DESIGN:
Comparative case series. METHODS: Refractive and visual outcomes at near and
distance, patient satisfaction, and dysphotopsia scores were recorded 3, 6, and
12 months postoperatively. RESULTS: The study group comprised 90 eyes and the
control group, 143 eyes. Three months postoperatively, the mean uncorrected
distance visual acuity (UDVA) was not statistically significantly different
between the study group and the control group (0.04 +/- 0.15 logMAR [SD] versus
0.06 +/- 0.25 logMAR). The control group had significantly better uncorrected
near visual acuity than the study group at 30 cm (0.05 +/- 0.14 logMAR versus
0.15 +/- 0.08 logMAR) and 40 cm (0.05 +/- 0.14 versus 0.16 +/- 0.21) (P<.01 and
P<.03, respectively). Patients in the control group were more satisfied with
their vision (P<.001). Dissatisfaction was related to younger age at surgery and
male sex (P<.0001 and P<.033 respectively). Dysphotopsia occurred in
approximately 18% of cases in both groups. CONCLUSIONS: The new sectorial
addition multifocal IOL performed comparably to the diffractive apodized
multifocal IOL in terms of UDVA and the presence of dysphotopsia. The diffractive
apodized multifocal IOL performed better at 30 cm and 40 cm reading distances and
had higher patient satisfaction.
PMID- 22078115
TI - Following the genes: a framework for animal modeling of psychiatric disorders.
AB - The number of individual cases of psychiatric disorders that can be ascribed to
identified, rare, single mutations is increasing with great rapidity. Such
mutations can be recapitulated in mice to generate animal models with direct
etiological validity. Defining the underlying pathogenic mechanisms will require
an experimental and theoretical framework to make the links from mutation to
altered behavior in an animal or psychopathology in a human. Here, we discuss key
elements of such a framework, including cell type-based phenotyping,
developmental trajectories, linking circuit properties at micro and macro scales
and definition of neurobiological phenotypes that are directly translatable to
humans.
PMID- 22078118
TI - Recurrent iris cyst discharge.
AB - A 23-year-old woman with the diagnosis of anterior uveitis in the left eye was
referred to our clinic. Circumferential midzonal iris epithelial cysts were
observed in the right eye and corneal endothelial pigment precipitates and
diffuse pigment discharge in the anterior chamber of the left eye. Topical
prednisolone acetate was prescribed. After 2 days, hyperemia and pain decreased
in the left eye and started in the right eye. During the following 3 months, the
patient experienced 3 similar episodes, which resulted in diffuse pigment
deposition in the anterior chamber angles. Intraocular pressure (IOP) elevation
was observed after 1 week and 3 weeks in the left eye and right eye,
respectively. Topical antiglaucomatous medication was prescribed. Nine months
after the last episode, the uncorrected distance visual acuity was 20/20 and the
IOP was 15 mm Hg bilaterally. The iris showed diffuse transillumination, and the
pupils were unresponsive to light.
PMID- 22078119
TI - Desktop auxiliary apparatus for A-scan ultrasound: repeatability and validity.
AB - PURPOSE: To evaluate the intraobserver repeatability and validity of biometric
measurements with a newly developed auxiliary A-scan ultrasound apparatus at a
rural clinic. SETTING: Rural county hospital in China. DESIGN: Evaluation of
diagnostic technology. METHODS: Patients awaiting surgery for age-related
cataract were consecutively enrolled for preoperative A-scan biometric
measurements. The applanation A-scan was performed by 2 experienced nurses, with
1 using the conventional handheld method and the other using the new method with
the auxiliary desktop apparatus. Two consecutive measurements were performed with
each method. The 95% limits of agreement (LoA) and Bland-Altman plot were used to
assess and compare the intersession measurement repeatability between the 2
methods. RESULTS: Data for 75 eyes of 75 consecutive patients were available for
analysis. The mean age of the patients was 74.3 years +/- 7.4 (SD); 40.0% were
men. The desktop method had a smaller standard deviation and coefficient of
variance than the conventional handheld method for both measurement sessions. For
intersession repeatability, the desktop method had a narrower 95% LoA range than
the handheld method for all the biometry parameters. The axial length (AL)
obtained with the desktop method was significantly longer than that with the
handheld method (23.32 mm versus 23.14 mm; P<.01). CONCLUSION: The newly
developed auxiliary device had better intraobserver repeatability and more
accurate AL measurements than the conventional handheld method, making it a
better option for preoperative biometric measurement of cataract patients.
PMID- 22078120
TI - Toxicity comparison of intraocular azithromycin with and without a bioadhesive
delivery system in rabbit eyes.
AB - PURPOSE: To determine whether the addition of a bioadhesive drug-delivery system
to topical azithromycin induces intraocular inflammation and damage when
introduced intraocularly by different approaches and in varying doses. SETTING:
John A. Moran Eye Center, Salt Lake City, Utah, USA. DESIGN: Experimental study.
METHODS: Commercial topical azithromycin 1.0% was duplicated, including the
benzalkonium chloride, but without inclusion of the Durasite bioadhesive drug
delivery system. Injections of 50 MUL, 25 MUL, and 10 MUL of the antibiotic
solutions were administered in a masked fashion to 2 rabbits; 1 eye (study eye)
in each rabbit was randomized to receive azithromycin with the delivery system
and the fellow eye (control eye) to receive azithromycin without the delivery
system. Two rabbits had topical drops of each solution placed after a 2.8 mm
incision was created. Masked slitlamp examinations, pachymetry, and intraocular
pressure (IOP) were determined 1 day and 2 days postoperatively. The animals were
humanely killed, and the endothelial density and histopathology were examined.
RESULTS: The IOP (P<.001), pachymetry (P<.001), and signs of inflammation (P=.38
to .003) were consistently higher in the study eye, especially at the 50 MUL
dose, than in the control eye. This was confirmed by histopathology. CONCLUSION:
If the drug-delivery system gains access to the anterior chamber, it may cause
substantial corneal edema and inflammation, even at low doses and after topical
administration.
PMID- 22078121
TI - Development of a questionnaire to assess the relative subjective benefits of
presbyopia correction.
AB - PURPOSE: To develop a standardized questionnaire of near visual function and
satisfaction to complement visual function evaluations of presbyopic corrections.
SETTING: Eye Clinic, School of Life and Health Sciences, Aston University,
Midland Eye Institute and Solihull Hospital, Birmingham, United Kingdom. DESIGN:
Questionnaire development. METHODS: A preliminary 26-item questionnaire of
previously used near visual function items was completed by patients with
monofocal intraocular lenses (IOLs), multifocal IOLs, accommodating IOLs,
multifocal contact lenses, or varifocal spectacles. Rasch analysis was used for
item reduction, after which internal and test-retest reliabilities were
determined. Construct validity was determined by correlating the resulting Near
Activity Visual Questionnaire (NAVQ) scores with near visual acuity and critical
print size (CPS), which was measured using the Minnesota Low Vision Reading Test
chart. Discrimination ability was assessed through receiver-operating
characteristic (ROC) curve analysis. RESULTS: One hundred fifty patients
completed the questionnaire. Item reduction resulted in a 10-item NAVQ with
excellent separation (2.92), internal consistency (Cronbach alpha = 0.95), and
test-retest reliability (intraclass correlation coefficient = 0.72). Correlations
of questionnaire scores with near visual acuity (r = 0.32) and CPS (r = 0.27)
provided evidence of validity, and discrimination ability was excellent (area
under ROC curve = 0.91). CONCLUSION: Results show the NAVQ is a reliable, valid
instrument that can be incorporated into the evaluation of presbyopic
corrections.
PMID- 22078122
TI - Self medication with antibiotics in Yogyakarta City Indonesia: a cross sectional
population-based survey.
AB - BACKGROUND: Self medication with antibiotics has become an important factor
driving antibiotic resistance. This study investigated the period prevalence,
patterns of use, and socio-demographic factors associated with self medication
with antibiotics in Yogyakarta City Indonesia. This cross-sectional population
based survey used a pre-tested questionnaire which was self-administered to
randomly selected respondents (over 18 years old) in Yogyakarta City Indonesia in
2010 (N = 625). Descriptive statistics, chi-square and logistic regression were
applied. RESULTS: A total of 559 questionnaires were analyzed (response rate =
90%). The period prevalence of self medication with antibiotics during the month
prior to the study was 7.3%. Amoxicillin was the most popular (77%) antibiotic
for self medication besides ampicilline, fradiomisin-gramisidin, tetracycline,
and ciprofloxacin to treat the following symptoms: the common-cold including
cough and sore throat, headache, and other minor symptoms; with the length of use
was mostly less than five days. Doctors or pharmacists were the most common
source of information about antibiotics for self medication (52%). Antibiotics
were usually purchased without prescription in pharmacies (64%) and the cost of
the purchases was commonly less than US $1 (30%). Previous experience was
reported to be the main reason for using non-prescribed antibiotics (54%). There
were no socio-demographic variables significantly associated with the actual
practice of using non-prescribed antibiotics. However, gender, health insurance,
and marital status were significantly associated with the intent to self medicate
with antibiotics (P < 0.05). Being male (Odds Ratio = 1.7 (1.2 - 2.6)) and having
no health insurance (Odds Ratio = 1.5 (1.0 -2.3)) is associated with the intent
to self medicate with antibiotics. CONCLUSIONS: This study is the first
population-based study of self-medication with antibiotics among the Indonesian
population. Usage of non-prescribed antibiotics as well as intent of doing so is
common across socio-demographic categories. Given the findings, factors
influencing people's intentions to self medicate with antibiotics are required to
be investigated to better understand such behavior. Impact of health insurance
coverage on self medication with antibiotics should also be further investigated.
PMID- 22078123
TI - Lactase non-persistent genotype influences milk consumption and gastrointestinal
symptoms in Northern Russians.
AB - BACKGROUND: Milk is an important source of nutrients. The consumption of milk,
however, may cause abdominal complaints in lactose intolerant individuals. The
frequency of -13910C/C genotype is known to be high among Northern Russians,
exceeding the prevalence in northern Europe. In our study we tested two
hypotheses: 1) subjects with lactase non-persistent genotype (-13910C/C) have
more gastrointestinal (GI) symptoms associated with milk 2) subjects with lactase
non-persistence avoid using milk. METHODS: In total, 518 students aged 17 to 26
years were randomly selected from different departments in the Northern State
Medical University (NSMU) for genotyping the lactase activity-defining -13910C/T
variant. All subjects filled in a questionnaire covering their personal data,
self-reported GI symptoms and milk consumption habits. RESULTS: Northern Russians
consume very small amounts of milk daily. Among carriers of the lactase non
persistent (LNP) genotype there were 10 percentage units of milk-consumers fewer
than among lactase-persistent (LP) subjects (p = 0.03). Complaints of GI
disorders caused by milk were different between the genotypes (p = 0.02). Among
all types of food analyzed only milk was associated with increased GI symptoms
among subjects with the LNP genotype (OR = 1.95, CI 1.03-3.69) CONCLUSIONS:
Subjects with -13910C/C have more GI symptoms from milk. Subjects with lactase
non-persistent genotype avoid using milk. In the case of increasing milk
consumption symptoms may increase the need for medical consultation. It is thus
important either for people themselves or for health care staff to be aware of
lactase persistence/non-persistence.
PMID- 22078124
TI - Cell-based detection of electrochemical oxidative stress by a fluorescent
tryptophan intermediate.
AB - The present study suggests a sensitive and rapid cell analysis method to evaluate
the oxidative stress produced in a physiological culture medium, by anodic
electrochemical products. The detection of these oxidizing agents, probably
involving hypochlorite, is carried out by measuring the presence of an oxidized
tryptophan intermediate, entrapped and stabilized in the cell cytoplasm. The
formation of this tryptophan intermediate depends solely on the presence of a
free tryptophan in the extracellular medium near the anode. This intermediate
possesses a characteristic emission maximum at lambda~560 nm, which can be
abolished by the presence of anti-oxidants in the media during the cells'
exposure to electric current. However, this intermediate's emission is unaffected
by increased concentrations of intracellular anti-oxidants. This suggests that
the anodic produced unstable tryptophan intermediate permeates the cell plasma
membrane and becomes stabilized by cytoplasmic proteins. Tryptophan oxidative
intermediates with similar spectra could also be formed by the chemical reaction
of hypochlorite with tryptophan in solution. The analysis of the intracellularly
stabilized tryptophan intermediate by flow cytometry can be used for measuring
external oxidation stress without the disturbance of intracellular anti-oxidative
capacity.
PMID- 22078125
TI - Hybrid biobattery based on arylated carbon nanotubes and laccase.
AB - Single-walled carbon nanotubes (SWCNT) were covalently modified with anthracene
and anthraquinone and used for the construction of cathodes for biocatalytic
reduction of dioxygen. The nanotubes with aromatic groups casted onto the
electrode increased the working surface of the electrode and enabled efficient
direct electron transfer (DET) between the enzyme and the electrode. The aryl
groups enter the hydrophobic pocket of the T1 center of laccase responsible for
exchanging electrons with the substrate. Glassy carbon electrode covered with
arylated SWCNT and coated with a layer of neutralized Nafion containing laccase
was found to be a very efficient cathode in the hybrid battery. Zn wire covered
with a Nafion film served as the anode. The cell parameters were determined:
power density was 2 mW/cm(2) and the open circuit potential was 1.5 V.
PMID- 22078126
TI - The end of gonad-centric sex determination in mammals.
AB - The 20th-century theory of mammalian sex determination states that the embryo is
sexually indifferent until the differentiation of gonads, after which sex
differences in phenotype are caused by the differential effects of gonadal
hormones. However, this theory is inadequate because some sex differences precede
differentiation of the gonads and/or are determined by non-gonadal effects of the
sexual inequality in the number and type of sex chromosomes. In this article, I
propose a general theory of sex determination, which recognizes multiple parallel
primary sex-determining pathways initiated by genes or factors encoded by the sex
chromosomes. The separate sex-specific pathways interact to synergize with or
antagonize each other, enhancing or reducing sex differences in phenotype.
PMID- 22078127
TI - A single nucleotide polymorphism assay for the identification of unisexual
Ambystoma salamanders.
AB - Unisexual (all female) salamanders in the genus Ambystoma are animals of variable
ploidy (2N-5N) that reproduce via a unique system of 'leaky' gynogenesis. As a
result, these salamanders have a diverse array of nuclear genome combinations
from up to five sexual species: the blue-spotted (A. laterale), Jefferson (A.
jeffersonianum), smallmouth (A. texanum), tiger (A. tigrinum) and streamside (A.
barbouri) salamanders. Identifying the genome complement, or biotype, is a
critical first step in addressing a broad range of ecological and evolutionary
questions about these salamanders. Previous work relied upon genome-related
differences in allele size distributions for specific microsatellite loci, but
overlap in these distributions among different genomes makes definitive
identification and ploidy determination in unisexuals difficult or impossible.
Here, we develop the first single nucleotide polymorphism assay for the
identification of unisexual biotypes, based on species-specific nucleotide
polymorphisms in noncoding DNA loci. Tests with simulated and natural unisexual
DNA samples show that this method can accurately identify genome complement and
estimate ploidy, making this a valuable tool for assessing the genome composition
of unisexual samples.
PMID- 22078129
TI - Rizatriptan for treatment of acute migraine in patients taking topiramate for
migraine prophylaxis.
AB - OBJECTIVE: To assess efficacy and tolerability of rizatriptan orally
disintegrating tablet (ODT) for treatment of acute migraine in patients using
topiramate for migraine prophylaxis. BACKGROUND: There are limited data from
prospective controlled trials demonstrating the benefit of triptans in patients
who experience migraine attacks while taking prophylactic medication. METHODS:
This was a worldwide, randomized, placebo-controlled, double-blind, multiple
attack study in adults with a >1-year history of migraine taking a stable dose of
topiramate for migraine prophylaxis and experiencing >=2 moderate/severe attacks
per month. Participants treated 3 moderate/severe attacks in crossover fashion (2
with rizatriptan 10-mg ODT, 1 with placebo) following random assignment to 1 of 3
treatment sequences. The primary end point was 2-hour pain relief. RESULTS: Two
hour pain relief was significantly greater with rizatriptan compared with placebo
(55.0% vs 17.4%, P < .001). Response rates also favored rizatriptan for sustained
pain relief from 2-24 hours (32.6% vs 11.1%, P < .001), 2-hour pain freedom
(36.0% vs 6.5%, P < .001), normal functional ability at 2 hours (42.2% vs 12.7%,
P < .001), and overall treatment satisfaction at 24 hours (60.8% vs 33.6%, P <
.001). Few participants reported adverse experiences (16 [15.8%] with
rizatriptan, 3 [3.2%] with placebo); none were serious. CONCLUSION: Rizatriptan
10-mg ODT was superior to placebo at all pain end points for treatment of acute
migraine in patients using topiramate for migraine prophylaxis. Rizatriptan was
generally well tolerated in this population. These results are comparable with
those from clinical trials in patients not using prophylaxis, suggesting that the
use of topiramate does not affect the efficacy or tolerability of rizatriptan for
acute migraine treatment.
PMID- 22078128
TI - Diagnosis of chronic conditions with modifiable lifestyle risk factors in
selected urban and rural areas of Bangladesh and sociodemographic variability
therein.
AB - BACKGROUND: Bangladesh suffers from a lack of healthcare providers. The growing
chronic disease epidemic's demand for healthcare resources will further strain
Bangladesh's limited healthcare workforce. Little is known about how Bangladeshis
with chronic disease seek care. This study describes chronic disease patients'
care seeking behavior by analyzing which providers diagnose these diseases.
METHODS: During 2 month periods in 2009, a cross-sectional survey collected
descriptive data on chronic disease diagnoses among 3 surveillance populations
within the International Center for Diarrheal Disease Research, Bangladesh
(ICDDR, B) network. The maximum number of respondents (over age 25) who reported
having ever been diagnosed with a chronic disease determined the sample size.
Using SAS software (version 8.0) multivariate regression analyses were preformed
on related sociodemographic factors. RESULTS: Of the 32,665 survey respondents,
8,591 self reported having a chronic disease. Chronically ill respondents were
63.4% rural residents. Hypertension was the most prevalent disease in rural
(12.4%) and urban (16.1%) areas. In rural areas chronic disease diagnoses were
made by MBBS doctors (59.7%) and Informal Allopathic Providers (IAPs) (34.9%). In
urban areas chronic disease diagnoses were made by MBBS doctors (88.0%) and IAP
(7.9%). Our analysis identified several groups that depended heavily on IAP for
coverage, particularly rural, poor and women. CONCLUSION: IAPs play important
roles in chronic disease care, particularly in rural areas. Input and cooperation
from IAPs are needed to minimize rural health disparities. More research on IAP
knowledge and practices regarding chronic disease is needed to properly utilize
this potential healthcare resource.
PMID- 22078130
TI - New studies in atherosclerosis research.
PMID- 22078131
TI - Association between sleep apnea, snoring, incident cardiovascular events and all
cause mortality in an adult population: MESA.
AB - BACKGROUND: We assessed the association between sleep apnea, snoring, incident
cardiovascular (CV) events and all-cause mortality in the Multi Ethnic Study of
Atherosclerosis (MESA) cohort. METHODS: Out of 5338 respondents to a sleep
questionnaire administered during the second MESA exam period, 208 had physician
diagnosed sleep apnea (PDSA), 1452 were habitual snorers (HS) and 3678 were
neither a habitual snorer nor had PDSA (normal participants). Cox proportional
hazard analysis was used to assess the associations adjusting for age, gender,
race/ethnicity, smoking, diabetes mellitus, total cholesterol, HDL,
triglycerides, BMI, current alcohol use, benzodiazepine use, BP medications and
statin use. RESULTS: Over a 7.5 year average follow-up period, 310 adjudicated CV
events including MI, stroke, angina, resuscitated cardiac arrest, stroke death
and CVD death and 189 deaths occurred. Compared to HS, PDSA was associated with
higher incident CV rates in both univariate and multivariable models [hazard
ratio (95%); 1.89 (1.22-2.93), p = 0.004 and 1.91 (1.20-3.04), p = 0.007,
respectively]. PDSA was also associated with a higher death rates compared with
HS [hazard ratio (95%); 2.13 (1.25-3.63), p = 0.006 and 2.70 (1.52-4.79), p =
0.007, respectively]. Compared with normal participants, PDSA had higher incident
CV event rates in both univariate and multivariable models [hazard ratio (95%);
2.23 [1.39-3.60], p = 0.001 and 2.16 [1.30-3.58], p = 0.003, respectively].
Similarly, PDSA had a higher death rate compared with normal participants in both
the univariate and multivariable models [hazard ratio (95% CI); 2.44 (1.36-4.37),
p = 0.003 and 2.71 (1.45-5.08), p =0 .002, respectively]. Habitual snorers had
similar incident CV event rates and death rates in both univariate and
multivariable models compared with normal participants. CONCLUSION: PDSA but not
habitual snoring was associated with high incident CV events and all-cause
mortality in a multi-ethnic population based study of adults free of clinical CV
disease at baseline.
PMID- 22078132
TI - The role of lactate clearance in the resuscitation bundle.
AB - The sepsis resuscitation bundle is the result of an effort on behalf of the
Surviving Sepsis Campaign and the Institute for Healthcare Improvement to
translate individual guideline recommendations into standardized, achievable
goals for physicians caring for the critically ill patient. Implementation of
this bundle is associated with decreased mortality. Many of the bundle items
reflect components of therapy shown to improve mortality in the seminal early
goal-directed therapy trial for severe sepsis and septic shock, including an
initial lactate measurement. Elevations in serum lactate are associated with
increased mortality, and may result from either increased lactate production or
impaired lactate clearance. Lactate clearance may be an important addition to the
monitoring and management bundles of patients with severe sepsis and septic
shock, However, specific mechanisms of lactate clearance, the relation of lactate
clearance to traditional hemodynamic parameters, and the importance of lactate
clearance as a therapeutic target or monitoring tool remain unclear.
PMID- 22078133
TI - Consciousness versus responsiveness: insights from general anesthetics.
PMID- 22078134
TI - Drifting policies are wasting billions.
PMID- 22078135
TI - [Management of symptomatic fibroids: role of supracervical hysterectomy and
laparoscopy].
AB - OBJECTIVE: Evaluate the relevance of supracervical hysterectomy, in particular by
laparoscopy, in the treatment of symptomatic myomas. METHODS: Examination of the
literature in the MEDLINE and Cochrane databases, between 1960 and 2010. RESULTS:
Because of reduced or identical complications when performed in laparotomy or
laparoscopy, respectively, subtotal hysterectomy is a possible alternative to
total hysterectomy (grade B). In case of previous or current cervical dysplasy,
total hysterectomy is preferable to subtotal hysterectomy (grade B). Conical
resection of the endocervix is recommended in case of subtotal hysterectomy
(grade C). There is no advantage to subtotal hysterectomy for the sole purpose of
avoiding functional or sexual pelvic troubles (grade A). CONCLUSION: In case of
symptomatic myomas, subtotal hysterectomy can be beneficial.
PMID- 22078136
TI - [Management of post-term pregnancies: the role for AFI, biophysical score and
doppler].
AB - OBJECTIVE: To evaluate the role of ultrasound and doppler assessment in the
management of prolonged pregnancies and to state its modalities. METHOD: Medline,
PubMed, embase and the Cochrane library were searched using terms prolonged
pregnancy, post date pregnancy amniotic fluid, ultrasound assessment, doppler,
biophysical profile. RESULTS: Single deepest vertical pool measurement is the
method of choice of the assessment of amniotic fluid. Indeed, when this method
was used, significantly fewer case of oligohydramnios were diagnosed and fewer
women had inductions of labor. However, this method is not superior to the
amniotic fluid index in the prevention of poor perinatal outcomes. There is a
significant difference in the incidence of fetal distress, meconium stained fluid
and caesarean section for fetal distress when the amniotic fluid is reduced as
compared with normal amniotic fluid. However, sensibility and predictive positive
value of oligohydramnios to predict poor perinatal outcomes is moderate.
Similary, in most studies, diagnosis of an abnormal uterine, umbilical, aortic or
cerebral blood flow doppler was associated with a weak prediction of a poor
perinatal outcome. Therefore, we do not recommend its use in management of
prolonged pregnancy. There were significantly more diagnosis of oligoamnios and
more abnormal antenatal monitoring results in the modified biophysical profile
group as compared with the group managed with only single deepest pool but no
differences in cord blood gases, neonatal outcome, or in outcomes related to
labour and delivery were noted between the two groups. Therefore, biophysical
profile including AFI offers no advantage in detecting adverse outcomes and may
cause more interventions. CONCLUSION: Close monitoring of fetal condition
including assessment of amniotic fluid by single deepest pool twice a week from
41 weeks of gestation is recommended in the management of prolonged pregnancy.
Induction of labor could be considered when oligohydramnios is diagnosed by
single deepest pool less than 2 cm.
PMID- 22078137
TI - [Therapeutic alternatives of uterine fibroids except medicinal treatment and
embolization].
AB - OBJECTIVES: To summarize the existing literature on therapeutic alternatives to
hysterectomy and myomectomy for management of symptomatic uterine fibroids other
than medicinal treatment and embolization. PATIENTS AND METHODS: Pubmed, Cochrane
and Embase contents were searched up to December 2010. RESULTS: The Nd:YAG laser
appeared to be effective but equipment's cost and postoperative adherence risk
limited its development. Bipolar, microwave and cryomyolysis are still
investigational. Radiofrequency thermal ablation is a less aggressive alternative
when feasible under ultrasound guidance. Focused ultrasound surgery MR or
ultrasound guided is accessible to approximately 10% of uterine fibroids with a
rate of 60-70% of long-term symptom relief. Data following laparoscopic uterine
artery occlusion suggest that outcomes are similar to those with uterine artery
embolization (UAE) but with less long-term durability; by transvaginal way, there
seem to be more complications. The efficiency of acupuncture remains uncertain.
CONCLUSION: Numerous technical innovations have been developed. However,
comparative studies with validated conservative options are still needed.
PMID- 22078138
TI - [Prolonged pregnancy term and beyond: guidelines for clinical practice - text of
the guidelines (short text)].
PMID- 22078139
TI - [Update of myoma management: guidelines for clinical practice - text of the
guidelines].
PMID- 22078140
TI - Misoprostol for postpartum hemorrhage: moving from evidence to practice.
AB - Clinical and operational evidence indicates that misoprostol is a safe and
effective technology for addressing postpartum hemorrhage, a major cause of
maternal death. This research has not yet been translated into effective
policies, programs, and practice in many parts of the world. Efforts to expand
evidence-based use of misoprostol are often complicated by misoprostol's range of
indications, insufficient availability, a lack of evidence-based guidelines and
provider training, and misconceptions about the drug. The medical and health
policy communities need to work together to translate research findings into
changes in policy, knowledge, and clinical practice so that we can deliver on the
world's promise to improve maternal health.
PMID- 22078141
TI - [Superficial acral fibromyxoma: a CD34+ periungual tumor].
PMID- 22078142
TI - [Painless ulcers on the fingers: an unusual presentation of severe bilateral
carpal tunnel syndrome].
PMID- 22078143
TI - [Risk factors for basal cell carcinoma: a study from the national dermatology
center of Colombia].
AB - BACKGROUND: Colombia is home to one of the areas with the highest levels of
exposure to UV radiation in the world, namely, the Andes Mountains, which stretch
along the equator. Recent studies have reported an increase in the incidence of
basal cell carcinoma in Colombia, but the risk factors associated with the
development of this disease have not been studied. OBJECTIVE: To determine the
risk factors for basal cell carcinoma in patients from the National Dermatology
Center of Colombia. MATERIAL AND METHODS: We performed a case-control study
involving 406 individuals, and analyzed sociodemographic, epidemiological, and
clinical factors using multiple logistic regression. RESULTS: The following risk
factors were identified: skin phototypes I to III (odds ratio [OR], 15.4), family
history of skin cancer (OR, 5.8), past history of actinic keratosis (OR, 3.3),
continued residence in a rural area after the age of 30 years (OR, 2.96),
practice of outdoor sports (OR, 2.67), history of 10 or more episodes of sunburn
(OR, 2.3), actinic conjunctivitis (OR, 2.26), and failure to use a hat in
childhood (OR, 2.11). CONCLUSIONS: Different factors specific to Colombia
increase the risk of basal cell carcinoma. In particular, the association with
phototype III could partly explain the increase in incidence detected in this
country. Preventive programs should target the risk groups detected and highlight
the importance of basing decisions on local evidence.
PMID- 22078144
TI - [Correlation between face-to-face assessment and telemedicine for the diagnosis
of skin disease in case conferences].
AB - BACKGROUND: In Panama, weekly case conferences are held in which all
dermatologists from throughout the country agree on a second opinion for the
diagnosis of complicated cases. Unfortunately, patients from the interior of the
country may have difficulty attending these case conferences. An alternative in
such situations is the use of telemedicine. To date, however, no studies have
been undertaken on the effectiveness of this approach in Panama. The aim of this
study was to assess the degree of correlation between the diagnoses obtained in
case conferences involving face-to-face examination and those involving
telemedicine. MATERIAL AND METHOD: A quasi-experimental nonblinded analysis of
correlation was carried out in which dermatologists were randomly assigned to 2
groups: face-to-face examination and telemedicine. Both groups were asked to
assess 30 cases and the degree of correlation (Cohen kappa coefficient) between
the diagnoses made by each group was assessed. RESULTS: The patient group
included 19 women (63.3%) and 9 patients (30%) were aged between 50 and 59 years.
There was a good correlation (kappa=0.6512) between the results of
teledermatology and face-to-face examination. Significant differences in the
diagnostic skills of the 2 groups were ruled out. CONCLUSIONS: Teledermatology
can be used effectively to facilitate diagnosis in case conferences involving
patients who cannot attend in person (gold standard).
PMID- 22078145
TI - KI-impregnated oyster shell as a solid catalyst for soybean oil
transesterification.
AB - Research on inexpensive and green catalysts is needed for economical production
of biodiesel. The goal of the research was to test KI-impregnated calcined oyster
shell as a solid catalyst for transesterification of soybean oil. Specific
objectives were to characterize KI-impregnated oyster shell, determine the effect
of reaction variables and reaction kinetics. The catalyst was synthesized by
impregnating KI on calcined oyster shells. X-ray diffraction analysis indicated
the presence of portlandite and potassium iodide on the surface and a 31-fold
increase in surface as a result of calcination and KI impregnation. Under the
conditions tested, ideal reaction variables were 1 mmol g(-1) for catalyst
loading, 50 degrees C for temperature, 10:1 for methanol/oil, and 4h for
reaction time. The transesterification followed a first-order reaction (k=0.4385
h(-1)). The option of using oyster shell for the production of
transesterification catalysts could have economic benefits to the aquaculture
industry in the US.
PMID- 22078146
TI - An outbreak of severe respiratory tract infection due to human metapneumovirus in
a long-term care facility for the elderly in Oregon.
AB - Human metapneumovirus (hMPV) was demonstrated to be responsible for an outbreak
of acute respiratory tract infection with high morbidity and mortality among
residents of a long-term care facility for the elderly during the late spring
summer in Oregon. Respiratory virus infections are a common cause of death in the
elderly and the burden of human metapneumovirus may be underestimated. This case
report stresses the importance of hMPV in causing outbreaks in long-term care
facilities for the elderly. Cough and elevated temperature were common to all the
resident patient cases. Six resident patient cases had hMPV laboratory
confirmation of which 5 had the diagnosis of pneumonia and 4 were hospitalized.
The fatality rate was 33.3% among laboratory confirmed cases and 31.3.0% among
probable resident patient cases. The signs and symptoms observed in the elderly
with acute respiratory infection caused by hMPV are difficult to distinguish from
those associated with other respiratory viruses and direct testing for hMPV with
molecular methods should be routinely pursued to prevent nosocomial infections.
PMID- 22078147
TI - Cost effectiveness analysis of the New Jersey rapid testing algorithm for HIV
testing in publicly funded testing sites.
AB - BACKGROUND: Before 2009, New Jersey (NJ) publicly funded counseling and testing
sites (CTS) tested for HIV using a single rapid test followed, when positive, by
a Western Blot (WB) for confirmation. With this strategy, 74.8% of confirmed
positive clients returned to receive test results. To improve the client
notification rate at these centers, the New Jersey (NJ) Division of HIV, STD and
TB Services (DHSTS) implemented a rapid testing algorithm (RTA) which utilizes a
second, different, rapid test to verify a preliminary positive. OBJECTIVE: To
compare the cost-effectiveness of the two testing algorithms. STUDY DESIGN: This
was a retrospective cost-effectiveness analysis. DATA SOURCES: New Jersey HIV
Rapid Testing Support Program (NJHIV) records, DHSTS grant documents, counseling
time estimates from an online survey of site supervisors. Costs included test
kits and personnel costs from month of RTA implementation through 11/30 in 2008
and 2009. The incremental cost of the RTA was calculated per additional percent
of positive clients who were notified and per day earlier notification. RESULTS:
In 2008, 215 of 247 clients with a positive rapid HIV test were confirmed
positive by WB. 90.9% of clients were notified a mean of 11.4 days after their
initial test. 12 refused confirmatory WB. In 2009, 152 of 170 clients with one
positive rapid test had a confirmatory second positive rapid test and were
notified on the same day. The incremental cost of the RTA was $20.31 per
additional positive person notified and $24.31 per day earlier notification or
$3.23 per additional positive person and $3.87 per day earlier notification if
the WB were eliminated. CONCLUSIONS: The RTA is a cost-effective strategy
achieving 100% notification of newly HIV positive clients a mean of 11.4 days
earlier compared to standard testing.
PMID- 22078148
TI - Molecular characterization of a novel entecavir mutation pattern isolated from a
multi-drug refractory patient with chronic hepatitis B infection.
AB - BACKGROUND: Prolonged antiviral treatment results in selection and accumulation
of resistant strains in quasispecies pool in hepatitis B virus (HBV) infection.
OBJECTIVES: The aim of this study was to characterise a novel HBV pattern which
shows resistance to lamivudine, adefovir dipivoxil and entecavir using in vitro
phenoyping assay. STUDY DESIGN: A male 36 years old patient diagnosed with anti
HBe-positive chronic hepatitis B (CHB) had received lamivudine treatment for 7
years following an initial unsuccessfull interferon treatment. The therapy had
been switched to adefovir and then to entecavir when breakthrough occcured during
each treatment. This led only to a temporary HBV DNA decline which soon was
followed by viral breakthrough despite the lack of known entecavir resistance
mutations. Patient died after 9 months of entecavir treatment from liver failure.
A total of 434 clones from 6 different serum samples were analysed
retrospectively. HBV genomes bearing mutation patterns suggestive of antiviral
resistance were analysed by in vitro phenotyping assay. RESULTS: Dominance of a
clone carrying L80LV, L91I, M204I, S219A, N238D, Y245H changes was detected in
the last serum sample of the patient just before his death. This pattern
displayed 30.4 fold resistance to entecavir when compared with the wild type HBV
by in vitro phenotyping assay. CONCLUSION: A novel mutation pattern showing a
high degree of resistance to entecavir was documented. In this pattern, the S219A
and Y245H mutations mainly seem to contribute to the emergence of ETV resistance.
PMID- 22078150
TI - Impact of ezetimibe coadministered with statins on cardiovascular events
following acute coronary syndrome: a 3-year population-based retrospective cohort
study in taiwan.
PMID- 22078152
TI - Effects of acarbose versus glibenclamide on glycemic excursion and oxidative
stress in type 2 diabetic patients inadequately controlled by metformin: a 24
week, randomized, open-label, parallel-group comparison.
AB - BACKGROUND: Glycemic excursion is significantly associated with oxidative stress,
which plays a role in the development of chronic complications in type 2 diabetes
mellitus (T2DM). Acarbose has been reported to reduce cardiovascular risk in
patients with impaired glucose tolerance and T2DM. We hypothesize that treatment
with acarbose could attenuate glycemic excursions and reduce oxidative stress in
patients with T2DM. OBJECTIVE: This study aimed to evaluate the effects of
acarbose versus glibenclamide on mean amplitude of glycemic excursions (MAGE) and
oxidative stress in patients with T2DM who are insufficiently controlled by
metformin. METHODS: T2DM outpatients aged 30 to 70 years who were taking single
or dual oral antidiabetic drugs for >=3 months and had a glycosylated hemoglobin
(HbA(1c)) value between 7.0% and 11.0% were eligible. Patients were treated with
metformin monotherapy (1500 mg daily) for 8 weeks, followed by randomization to
either acarbose or glibenclamide add-on for 16 weeks. The dosage of acarbose and
glibenclamide was 50 mg TID and 2.5 mg TID, respectively, for the first 4 weeks.
In the following 12 weeks, the dosage was doubled in both groups. Continuous
glucose monitoring (CGM) for 72 hours and a meal tolerance test (MTT) after a 10
hour overnight fast were conducted before randomization and at the end of study.
MAGE was calculated from CGM data. beta-cell response to postprandial glucose
increments was assessed by the ratio between incremental AUC of insulin and
glucose during MTT. Oxidative stress was estimated by plasma oxidized LDL (ox
LDL) and urinary excretion rates of 8-iso prostaglandin F(2alpha) (8-iso
PGF(2alpha)). The primary outcomes included changes in MAGE, plasma ox-LDL, and
urinary excretion of 8-iso PGF(2alpha). Adverse events, including hypoglycemia,
were recorded. RESULTS: A total of 55 patients were randomized (mean age, 54
years; males, 47%; mean body mass index, 25.9 kg/m(2); mean duration of diabetes,
6.9 years; mean HbA(1c), 8.3%) and 51 patients completed this study (acarbose, n
= 28; glibenclamide, n = 23). HbA(1c) decreased significantly in both treatment
groups (acarbose: 8.2 [0.8]% to 7.5 [0.8]% [P < 0.001]; glibenclamide: 8.6 [1.6]%
to 7.4 [1.2]% [P < 0.001]). MAGE did not change significantly in glibenclamide
treated patients (6.2 [2.8] mmol/L to 6.3 [2.3] mmol/L; P = 0.82), whereas ox-LDL
(242.4 [180.9] ng/mL to 470.7 [247.3] ng/mL; P = 0.004) and urinary excretion of
8-iso PGF(2alpha) (121.6 [39.6] pmol/mmol creatinine to 152.5 [41.8] pmol/mmol
creatinine; P = 0.03) increased significantly. Acarbose decreased MAGE (5.6 [1.5]
mmol/L to 4.0 [1.4] mmol/L; P < 0.001) without significant change in ox-LDL
levels (254.4 [269.1] ng/mL to 298.5 [249.8) ng/mL; P = 0.62) or 8-iso
PGF(2alpha) excretion rates (117.9 [58.1] pmol/mmol creatinine to 137.8 [64.4]
pmol/mmol creatinine; P = 0.12). Body weight and serum triglycerides (fasting and
2-hour postprandial) decreased (all, P < 0.01) and serum adiponectin increased (P
< 0.05) after treatment with acarbose, whereas HDL-C decreased (P < 0.01) after
treatment with glibenclamide. beta-cell response to postprandial glucose
increments was negatively correlated with MAGE (r = 0.570, P < 0.001) and
improved significantly with acarbose (35.6 [32.2] pmol/mmol to 56.4 [43.7]
pmol/mmol; P = 0.001) but not with glibenclamide (27.9 [17.6] pmol/mmol to 36.5
[24.2] pmol/mmol; P = 0.12). CONCLUSIONS: In this select population of adult
Taiwanese patients with T2DM who were inadequately controlled by metformin, add
on acarbose or glibenclamide significantly reduced HbA(1c). However, treatment
with acarbose decreased MAGE, body weight, and serum triglyceride and increased
serum adiponectin without significant effect on oxidative stress. Treatment with
glibenclamide had no statistically significant effect on MAGE but increased
oxidative stress and decreased HDL-C. ClinicalTrials.gov identifier: NCT00417729.
PMID- 22078153
TI - Impact of a fixed-dose combination of naproxen and esomeprazole magnesium on
serum thromboxane B2 inhibition by low-dose aspirin over 5 days in healthy
adults: a phase I, randomized, double-blind, placebo-controlled, noninferiority
trial.
AB - BACKGROUND: Low-dose aspirin (LDA) and nonsteroidal anti-inflammatory drugs
(NSAIDs) are often used concomitantly; however, some NSAIDs may interfere with
LDA antiplatelet activity. OBJECTIVE: We evaluated the impact of coadministered
enteric-coated naproxen 500 mg and immediate-release esomeprazole magnesium 20 mg
(fixed-dose combination) on LDA-mediated platelet cyclooxygenase (COX)-1
inhibition. METHODS: In this Phase I, single-center, double-blind, placebo
controlled study, healthy volunteers (50-75 years) received enteric-coated LDA 81
mg once daily (QD) on days 1 to 5 (open-label), then enteric-coated LDA 81 mg QD
plus either naproxen/esomeprazole magnesium or placebo twice daily (BID) on days
6 to 10 (randomized). Serum thromboxane B(2) (TXB(2)) inhibition from baseline to
day 11 was the primary end point. The primary analysis excluded volunteers with
<=95% inhibition at day 6. Assay sensitivity and noninferiority of
naproxen/esomeprazole magnesium versus placebo were concluded if the 90% CI lower
limit for percent inhibition of TXB(2) was >90.0% in both treatment groups
(prespecified criterion). Tolerability was a secondary end point. RESULTS:
Overall, 42 volunteers were enrolled, 40 randomized, and 32 included in the
primary pharmacodynamic analysis (day 6 TXB(2) inhibition >=95%). Most volunteers
(86%) were white, and 57% were female. Mean age was 60 (7) years, and mean body
mass index was 26.4 (2.6) kg/m(2). Day 11 mean serum TXB(2) inhibition was 99.1%
(90% CI, 98.7-99.6) in the LDA plus placebo group (n = 18) versus 99.6% (90% CI,
99.4-99.8) in the LDA plus naproxen/esomeprazole magnesium group (n = 14).
Noninferiority of naproxen/esomeprazole magnesium versus placebo was established
(CI lower limit >90.0%). Adverse event (AE) incidence was 40% (n = 8/20) in the
LDA plus placebo group and 15% (n = 3/20) in the LDA plus naproxen/esomeprazole
magnesium group. No serious AEs or discontinuations due to AEs were observed.
CONCLUSIONS: This pilot investigation suggests that LDA coadministered with
naproxen/esomeprazole magnesium is noninferior to LDA alone for platelet COX-1
inhibition, as measured by serum TXB(2) concentration, in healthy volunteers.
ClinicalTrials.gov identifier: NCT01094483.
PMID- 22078154
TI - Susceptibility to tigecycline and linezolid among gram-positive isolates
collected in the United States as part of the tigecycline evaluation and
surveillance trial (TEST) between 2004 and 2009.
AB - BACKGROUND: The Tigecycline Evaluation and Surveillance Trial (TEST) was
initiated in 2004 to chart the activity of tigecycline and comparator
antimicrobial agents against gram-positive and gram-negative organisms globally.
OBJECTIVES: This study aimed to provide an analysis of the antimicrobial
susceptibility of gram-positive organisms collected from the 9 census regions of
the United States between 2004 and 2009. METHODS: The MICs and antimicrobial
susceptibility were determined using Clinical and Laboratory Standards Institute
methodology. For tigecycline, US Food and Drug Administration susceptibility
criteria were used. RESULTS: A total of 8782 Staphylococcus aureus isolates
(54.5% methicillin-resistant S aureus) were collected, with the highest
percentage of MRSA isolates collected from the South Central region (67.9%). All
S aureus isolates were susceptible to tigecycline, linezolid, and vancomycin.
Overall, 4.6% of Enterococcus faecalis (n = 3753) and 69.1% of Enterococcus
faecium (n = 1417) isolates were vancomycin resistant, with the highest rates in
the East North Central region for E faecalis (7.1%) and the South Atlantic region
for E faecium (79.5%). Small numbers of linezolid nonsusceptible E faecalis (n =
13) were identified. MIC(90) values for tigecycline were <=0.25 mg/L against E
faecalis and 0.12 mg/L against E faecium. Of the Streptococcus pneumoniae
isolates collected (n = 4541), 1.1% were penicillin resistant. All S pneumoniae
isolates were susceptible to linezolid and vancomycin; susceptibility to
tigecycline varied between 80.9% (Pacific region) and 95.2% (West North Central
region). CONCLUSIONS: The rates of MRSA and vancomycin-resistant Enterococcus spp
varied among the 9 census regions; however, susceptibility to linezolid,
vancomycin, and tigecycline remained consistent, with low MIC(90) values and high
rates of antimicrobial susceptibility.
PMID- 22078155
TI - Pharmacokinetics and tolerability of nasal versus intravenous midazolam in
healthy Dutch volunteers: a single-dose, randomized-sequence, open-label, 2
period crossover pilot study.
AB - BACKGROUND: Intranasal (IN) midazolam is a potential alternative to rectal
diazepam for the acute treatment of epileptic seizures. OBJECTIVE: The purpose of
this pilot study was to investigate the pharmacokinetics and tolerability of IN
midazolam (50 mg/mL) compared with intravenous (IV) midazolam (2.5 mg) in healthy
adult volunteers. METHODS: In this single-dose, randomized-sequence, open-label,
2-period crossover pilot study subjects were randomly assigned to receive IN or
IV midazolam, with a washout period of at least 5 days between treatments. The 50
mg/mL IN midazolam formulation consisted of 5 mg midazolam base per 0.1 mL (1
spray) and was administered once in 1 nostril. The IV midazolam solution (2.5 mg)
was infused over 10 seconds. Blood samples were taken before and at regular
intervals up to 240 minutes after dosing. Pharmacokinetic data (ie, C(max),
T(max), t(1/2), and AUC) were analyzed using a 2-compartment model. RESULTS: Of 9
volunteers screened and enrolled, 7 completed the study (mean age 34.1 [9.0]
years; mean weight, 68.6 [10.4] kg, range 53-89 kg; 6 men, 3 women; all white).
The mean C(max) of 78 (40) ng/mL was reached 44 minutes after IN administration,
whereas the mean C(max) was 51 (5) ng/mL after IV administration. The mean
estimated C(t=5 min) was 31.4 (28.1) ng/mL after IN administration. The
elimination t(1/2) was 1.9 (0.41) hours for IN midazolam and 2.3 (0.19) hours for
IV midazolam. The bioavailability of IN midazolam was 82%. There were few adverse
events, with a local burning feeling in the nose being the most reported event (6
of 7 subjects). CONCLUSIONS: In this select group of healthy volunteers,
concentrations of midazolam >30 ng/mL were reached within 5 minutes of IN
administration at a dose of 5 mg/0.1 mL. A burning feeling in the nostril was the
main adverse effect. Additional research is needed to evaluate the safety
profile, convenience, satisfaction, and efficacy of nasal midazolam in the
treatment of adults with seizures. This trial is registered at www.isrctn.org,
No. ISRCTN79059168.
PMID- 22078156
TI - Removal of osteoid osteoma of the tibia using two-portal posterior ankle
arthroscopy.
AB - The case of a 30-year-old male with a history of pain in his left ankle is
presented. The pain was described as predominantly nocturnal and frequently
relieved by the use of nonsteroidal anti-inflammatory drugs. Computed tomography
indicated a diagnosis of an osteoid osteoma in the posteromedial portion of the
tibia. The patient underwent excision of the tumor using 2-portal posterior ankle
arthroscopy. A clearly visualized nidus was removed using a combination of a
cochlea and shaver. Histopathologic analysis of the resected tissue confirmed the
diagnosis of an osteoid osteoma. The patient reported immediate relief of the
pain and was rapidly allowed to bear weight on the foot. During regular follow
up, he had no pain recurrence and his joint mobility was normal. To our
knowledge, this is the first report of the removal of an osteoid osteoma of the
ankle using 2-portal posterior ankle arthroscopy.
PMID- 22078157
TI - Diabetic heel ulcer in the Sudan: determinants of outcome.
AB - Heel ulceration, on average, costs 1.5 times more than metatarsal ulceration. The
aim of this study was to analyze the determinant factors of healing in diabetic
patients with heel ulcers and the late outcomes at Jabir Abu Eliz Diabetic Centre
Khartoum, Khartoum, Sudan. Data were collected prospectively for 96 of 100
diabetic patients presenting with heel ulcers at the Jabir Abu Eliz Diabetic
Centre Khartoum from May 2003 to January 2005. Late outcome was assessed 3 years
later (February 2008). Heeling was achieved in one half of the patients (n = 48).
In the remaining 48 patients, 22 ended with major lower extremity amputation and
22 were still receiving wound care. A total of 8 patients died, 4 in each group,
the healed and unhealed. The most significant determinants of healing using a
logistic multivariate regression model, 95% confidence intervals, and odds ratios
included a shorter duration of diabetes (p < .009), adequate lower limb perfusion
(p < .043), and a superficial foot ulcer (p < .012). Three years later, of the 88
patients who could be traced, 78 were alive and 59 had healed ulcers (7 had died
of unrelated causes and 3 of diabetic-related complications), and no additional
lower extremity amputation was recorded. Mortality in the series was 18 patients,
of whom 14 had undergone a previous lower extremity amputation. Superficial heel
ulcers in diabetic patients with a short history of diabetes and with good limb
circulation are more likely to heal within an average duration of 25 weeks. At 3
years of follow-up, 75% showed a favorable outcome for ulcer healing, and 22
patients underwent lower extremity amputation (25%), of whom 14 were dead within
3 years.
PMID- 22078158
TI - Deep soft tissue leiomyoma mimicking fibromatosis in a 5-year-old male.
AB - Leiomyomas of the deep soft tissue in the extremities of children are very rare.
These benign soft tissue tumors occur more frequently in adults between the
fourth and sixth decades of age. Women are more commonly affected than men, with
the uterus the most common location. We present a rare case of deep soft tissue
leiomyoma in the foot of a 5-year-old male. The tumor was misdiagnosed as a
desmoid-type fibromatosis from the findings of both magnetic resonance imaging
and needle biopsy. The unusual age of presentation, atypical location, and
failure of magnetic resonance imaging and ultrasound-guided needle biopsy in
diagnosing the lesion make the case interesting. The case also highlights the
importance of treating such patients at specialist tertiary centers with a
multidisciplinary setting.
PMID- 22078159
TI - Two patients walk into a clinic...a genomics perspective on the future of
schizophrenia.
AB - Progress is being made in schizophrenia genomics, suggesting that this complex
brain disorder involves rare, moderate to high-risk mutations and the cumulative
impact of small genetic effects, coupled with environmental factors. The genetic
heterogeneity underlying schizophrenia and the overlap with other
neurodevelopmental disorders suggest that it will not continue to be viewed as a
single disease. This has radical implications for clinical practice, as diagnosis
and treatment will be guided by molecular etiology rather than clinical
diagnostic criteria.
PMID- 22078160
TI - Impulsivity and pathological gambling: Is it a state or a trait problem?
AB - BACKGROUND: This study tested 37 Chinese male pathological gamblers and 40
controls to understand the relationship between pathological gambling and
impulsivity as a long-term trait or a short-term state in the cognitive and
affective domain. RESULTS: Trait impulsivity was measured by the Barratt
Impulsiveness Scale-11. State impulsivity in the cognitive and affective domains
were measured by the Stroop Color Word Test and the Emotional Conflict Task,
respectively. The pathological gamblers scored significantly higher than the
controls on the Barratt Impulsiveness Scale-11. However, there were no
significant group differences in performance on the Stroop Color Word Test or the
Emotional Conflict Task. CONCLUSIONS: Findings clearly show that pathological
gambling is associated with trait but not state impulsivity. In other words,
pathological gambling is associated with an impulsivity stemming from enduring
personality characteristics that lead gamblers to focus on short-term gains
(trait impulsivity) rather than momentary cognitive or affective disinhibition
(state impulsivity). Interventions should aim to change pathological gamblers'
habitual functioning style by cultivating healthy reflection habits and focusing
on long-term rewards.
PMID- 22078161
TI - Experimental Study of Cement - Sandstone/Shale - Brine - CO2 Interactions.
AB - BACKGROUND: Reactive-transport simulation is a tool that is being used to
estimate long-term trapping of CO2, and wellbore and cap rock integrity for
geologic CO2 storage. We reacted end member components of a heterolithic
sandstone and shale unit that forms the upper section of the In Salah Gas Project
carbon storage reservoir in Krechba, Algeria with supercritical CO2, brine, and
with/without cement at reservoir conditions to develop experimentally constrained
geochemical models for use in reactive transport simulations. RESULTS: We observe
marked changes in solution composition when CO2 reacted with cement, sandstone,
and shale components at reservoir conditions. The geochemical model for the
reaction of sandstone and shale with CO2 and brine is a simple one in which
albite, chlorite, illite and carbonate minerals partially dissolve and boehmite,
smectite, and amorphous silica precipitate. The geochemical model for the
wellbore environment is also fairly simple, in which alkaline cements and rock
react with CO2-rich brines to form an Fe containing calcite, amorphous silica,
smectite and boehmite or amorphous Al(OH)3. CONCLUSIONS: Our research shows that
relatively simple geochemical models can describe the dominant reactions that are
likely to occur when CO2 is stored in deep saline aquifers sealed with overlying
shale cap rocks, as well as the dominant reactions for cement carbonation at the
wellbore interface.
PMID- 22078162
TI - The persisting burden of invasive pneumococcal disease in HIV patients: an
observational cohort study.
AB - BACKGROUND: The increasing use of highly active antiretroviral therapy (HAART)
and pneumococcal immunization along with shifting community exposures may have
altered the burden of Streptococcus pneumoniae disease in HIV-infected persons.
We describe the burden and risk factors for pneumococcal disease in the modern
era of HIV care and evaluate the use of a 23-valent pneumococcal polysaccharide
vaccine (PPV-23). METHODS: The incidence of invasive pneumococcal disease (IPD)
between January 1st, 2000 and January 1st, 2010 in a regional HIV population in
Southern Alberta, Canada was determined by linking comprehensive laboratory and
hospital surveillance data. Clinical and epidemiologic data including risk
factors for S. pneumoniae, history of pneumococcal immunization, serotypes of
infections, and length of any hospitalizations for pneumococcal disease were
evaluated with multivariate analysis. CD4 count and viral load at immunization
were evaluated with a nested case-control analysis. RESULTS: In 1946 HIV-patients
with 11,099 person-years of follow up, there were 68 distinct episodes of
pneumococcal disease occurring in 50 patients. Increased risk was seen if female,
age >60, Aboriginal ethnicity, lower education, injection drug use, smoking,
nadir CD4 <200/MUL, chronic obstructive pulmonary disease, and hepatitis C.
Overall, the incidence of IPD was 342/100,000 person-years and was reduced to
187/100,000 within three years of PPV-23 immunization (P < 0.01). Although 78% of
patients received PPV-23, 74% of IPD episodes were caused by PPV-23 serotypes. In
a case-control analysis, HIV viral load at immunization was significantly
predictive of PPV-23 failure, while CD4 count was not. 80% of IPD cases required
hospitalization: median length of stay was 7 days (range: 1-71); four patients
died. CONCLUSIONS: Despite universal access to intensive measures to prevent
pneumococcal disease including the widespread use of HAART and PPV-23
immunization, the incidence of IPD remains high in HIV patients with its
associated morbidity and mortality.
PMID- 22078163
TI - Turnip yellow mosaic virus forms infectious particles without the native beta
annulus structure and flexible coat protein N-terminus.
AB - Structural studies have implicated the TYMV N-terminal amino acids of the coat
protein (CP) in both static (virion stabilization) and dynamic (RNA encapsidation
and disencapsidation) roles. We have deleted residues 2-5, 2-10 and 2-26 from the
N-terminus and expressed the mutant CPs in E. coli to assess assembly in the
absence of genomic RNA and in plant infections to assess infectivity and virion
properties. In E. coli, the deletion constructs formed virus-like particles, but
in decreased yield. All mutants were infectious in Chinese cabbage, producing
normal symptoms but with a slight delay and decreased viral yields. Virions were
progressively less stable with increasing deletion size and also more accessible
to small molecules. These results show that the N-terminal 26 amino acids are not
essential for viral processes in vivo, although removal of these residues
decreases stability and increases porosity, both important factors for virion
integrity and survival outside the host.
PMID- 22078165
TI - Full genome analysis of a novel adenovirus from the South Polar skua (Catharacta
maccormicki) in Antarctica.
AB - Adenoviruses have been identified in humans and a wide range of vertebrate
animals, but not previously from the polar region. Here, we report the entire
26,340-bp genome of a novel adenovirus, detected by PCR, in tissues of six of
nine South Polar skuas (Catharacta maccormicki), collected in Lake King Sejong,
King George Island, Antarctica, from 2007 to 2009. The DNA polymerase, penton
base, hexon and fiber genes of the South Polar skua adenovirus (SPSAdV) exhibited
68.3%, 75.4%, 74.9% and 48.0% nucleotide sequence similarity with their
counterparts in turkey hemorrhagic enteritis virus. Phylogenetic analysis based
on the entire genome revealed that SPSAdV belonged to the genus Siadenovirus,
family Adenoviridae. This is the first evidence of a novel adenovirus, SPSAdV,
from a large polar seabird (family Stercorariidae) in Antarctica.
PMID- 22078164
TI - Hepatitis C virus epitope exposure and neutralization by antibodies is affected
by time and temperature.
AB - A recent study with flaviviruses suggested that structural dynamics of the virion
impact antibody neutralization via exposure of ostensibly cryptic epitopes. To
determine whether this holds true for the distantly related hepatitis C virus
(HCV), whose neutralizing epitopes may be obscured by a glycan shield,
apolipoprotein interactions, and the hypervariable region on the E2 envelope
protein, we assessed how time and temperature of pre-incubation altered
monoclonal antibody (MAb) neutralization of HCV. Notably, several MAbs showed
increased inhibitory activity when pre-binding was performed at 37 degrees C or
after longer pre-incubation periods, and a corresponding loss-of-neutralization
was observed when pre-binding was performed at 4 degrees C. A similar profile of
changes was observed with acute and chronic phase sera from HCV-infected
patients. Our data suggest that time and temperature of incubation modulate
epitope exposure on the conformational ensembles of HCV virions and thus, alter
the potency of antibody neutralization.
PMID- 22078167
TI - SAS macro programs for geographically weighted generalized linear modeling with
spatial point data: applications to health research.
AB - An increasing interest in exploring spatial non-stationarity has generated
several specialized analytic software programs; however, few of these programs
can be integrated natively into a well-developed statistical environment such as
SAS. We not only developed a set of SAS macro programs to fill this gap, but also
expanded the geographically weighted generalized linear modeling (GWGLM) by
integrating the strengths of SAS into the GWGLM framework. Three features
distinguish our work. First, the macro programs of this study provide more kernel
weighting functions than the existing programs. Second, with our codes the users
are able to better specify the bandwidth selection process compared to the
capabilities of existing programs. Third, the development of the macro programs
is fully embedded in the SAS environment, providing great potential for future
exploration of complicated spatially varying coefficient models in other
disciplines. We provided three empirical examples to illustrate the use of the
SAS macro programs and demonstrated the advantages explained above.
PMID- 22078166
TI - Genetic analysis and antigenic characterization of swine origin influenza viruses
isolated from humans in the United States, 1990-2010.
AB - Swine influenza viruses (SIV) have been recognized as important pathogens for
pigs and occasional human infections with swine origin influenza viruses (SOIV)
have been reported. Between 1990 and 2010, a total of twenty seven human cases of
SOIV infections have been identified in the United States. Six viruses isolated
from 1990 to 1995 were recognized as classical SOIV (cSOIV) A(H1N1). After 1998,
twenty-one SOIV recovered from human cases were characterized as triple
reassortant (tr_SOIV) inheriting genes from classical swine, avian and human
influenza viruses. Of those twenty-one tr_SOIV, thirteen were of A(H1N1), one of
A(H1N2), and seven of A(H3N2) subtype. SOIV characterized were antigenically and
genetically closely related to the subtypes of influenza viruses circulating in
pigs but distinct from contemporary influenza viruses circulating in humans. The
diversity of subtypes and genetic lineages in SOIV cases highlights the
importance of continued surveillance at the animal-human interface.
PMID- 22078168
TI - Recommendations for the incorporation of biomarkers into Alzheimer clinical
trials: an overview.
PMID- 22078169
TI - Blood-based biomarkers for Alzheimer's disease: plasma Abeta40 and Abeta42, and
genetic variants.
AB - Identifying a biomarker for Alzheimer's disease that can be obtained from a blood
sample has been a goal of researchers for many years. Over the past few years a
number of investigators have studied several plasma biomarkers but most
frequently plasma amyloid beta (Abeta)40 and Abeta42 while others have explored
the use of genetic variants as biomarkers for diagnosis or risk. This review
considers the cross-sectional and longitudinal data regarding plasma Abeta40 and
Abeta42 as diagnostic biomarkers as well as risk biomarkers. Review of recent
genome-wide association studies indicates as many as 10 genetic variants have
been associated with susceptibility to Alzheimer's disease (AD). Further analysis
suggests that these factors have modest effects on risk and are thus not helpful,
as yet, in the diagnosis of disease. Until the function of these genes is
understood, their role in risk and diagnosis will remain uncertain. Thus, there
are several types of peripheral biomarkers under investigation, but more work is
required before they can be deemed clinically useful.
PMID- 22078170
TI - Amyloid imaging as a biomarker for cerebral beta-amyloidosis and risk prediction
for Alzheimer dementia.
AB - Since the introduction of amyloid imaging nearly 10 years ago, this technique has
gained widespread use and acceptance. More recently, published reports have begun
to appear in which amyloid imaging is used to detect the effects of antiamyloid
therapies. This review will consider the issues involved in the use of amyloid
imaging in the development and evaluation of drugs for the treatment of
Alzheimer's disease. Current evidence regarding the postmortem correlates of in
vivo amyloid imaging data are considered. The application of amyloid imaging to
screening subjects for trials and use as an outcome measure is discussed in light
of longitudinal changes in the in vivo amyloid signal. While the bulk of this
review is directed at symptomatic patients with dementia, consideration is given
to the use of amyloid imaging in nondemented subjects as well. Similarities and
differences of cerebral amyloid assessment by amyloid imaging and cerebrospinal
fluid (CSF) measurements are delineated and an agenda for further research to
improve the applicability of amyloid positron emission tomography (PET) to
clinical trials is proposed.
PMID- 22078171
TI - Potential of functional MRI as a biomarker in early Alzheimer's disease.
AB - Functional magnetic resonance imaging (fMRI) is a relative newcomer in the field
of biomarkers for Alzheimer's disease (AD). fMRI has several potential
advantages, particularly for clinical trials, as it is a noninvasive imaging
technique that does not require the injection of contrast agent or radiation
exposure and thus can be repeated many times during a longitudinal study. fMRI
has relatively high spatial and reasonable temporal resolution, and can be
acquired in the same session as structural magnetic resonance imaging. Perhaps
most importantly, fMRI may provide useful information about the functional
integrity of brain networks supporting memory and other cognitive domains,
including the neural correlates of specific behavioral events, such as successful
versus failed memory formation.
PMID- 22078172
TI - CSF biomarkers for Alzheimer's disease: current utility and potential future use.
AB - Over the past 15 years, cerebrospinal fluid (CSF) biomarkers have been shown to
be useful for both the diagnosis as well as the prognosis in Alzheimer's disease.
It has been shown the CSF levels of amyloid-beta (Abeta)(42) are a very good
marker for the presence of amyloid deposition in the brain regardless of clinical
status and that total tau and phosphorylated forms of tau are useful in detection
of neurodegeneration. When combined together, these CSF markers are useful not
only in differential diagnosis but also in predicting conversion and rate of
progression from mild cognitive impairment/very mild dementia to more severe
impairment. The markers are also useful in predicting conversion from cognitive
normalcy to very mild dementia. This field is briefly reviewed and
recommendations for future studies in this area are provided.
PMID- 22078173
TI - Alliance for aging research AD biomarkers work group: structural MRI.
AB - Biomarkers of Alzheimer's disease (AD) are increasingly important. All modern AD
therapeutic trials employ AD biomarkers in some capacity. In addition, AD
biomarkers are an essential component of recently updated diagnostic criteria for
AD from the National Institute on Aging--Alzheimer's Association. Biomarkers
serve as proxies for specific pathophysiological features of disease. The 5 most
well established AD biomarkers include both brain imaging and cerebrospinal fluid
(CSF) measures--cerebrospinal fluid Abeta and tau, amyloid positron emission
tomography (PET), fluorodeoxyglucose (FDG) positron emission tomography, and
structural magnetic resonance imaging (MRI). This article reviews evidence
supporting the position that MRI is a biomarker of neurodegenerative atrophy.
Topics covered include methods of extracting quantitative and semiquantitative
information from structural MRI; imaging-autopsy correlation; and evidence
supporting diagnostic and prognostic value of MRI measures. Finally, the place of
MRI in a hypothetical model of temporal ordering of AD biomarkers is reviewed.
PMID- 22078174
TI - Changes in cognition.
AB - The clinical hallmark of Alzheimer's disease (AD) is a gradual decline in
cognitive function. For the majority of patients the initial symptom is an
impairment in episodic memory, i.e., the ability to learn and retain new
information. This is followed by impairments in other cognitive domains (e.g.,
executive function, language, spatial ability). This impairment in episodic
memory is evident among individuals with mild cognitive impairment (MCI) and can
be used to predict likelihood of progression to dementia, particularly in
association with AD biomarkers. Additionally, cognitively normal individuals who
are likely to progress to mild impairment tend to perform more poorly on tests of
episodic memory than do those who remain stable. This cognitive presentation is
consistent with the pathology of AD, showing neuronal loss in medial temporal
lobe structures essential for normal memory. Similarly, there are correlations
between magnetic resonance imaging (MRI) measures of medial temporal lobe
structures and memory performance among individuals with mild cognitive
impairment. There are recent reports that amyloid accumulation may also be
associated with memory performance in cognitively normal individuals.
PMID- 22078175
TI - Who attends antenatal care and expanded programme on immunization services in
Chad, Mali and Niger? The implications for insecticide-treated net delivery.
AB - BACKGROUND: Malaria remains one of the largest public health problems facing the
developing world. Insecticide-treated nets (ITNs) are an effective intervention
against malaria. ITN delivery through routine health services, such as antenatal
care (ANC) and childhood vaccination (EPI), is a promising channel of delivery to
reach individuals with the highest risk (pregnant women and children under five
years old). Decisions on whether to deliver ITNs through both channels depends
upon the reach of each of these systems, whether these are independent and the
effectiveness and cost effectiveness of each. Predictors of women attending ANC
and EPI separately have been studied, but the predictors of those who attend
neither service have not been identified. METHODS: Data from Chad, Mali and Niger
demographic and health surveys (DHS) were analyzed to determine risk factors for
attending neither service. A conceptual framework for preventative health care
seeking behaviour was created to illustrate the hierarchical relationships
between the potential risk factors. The independence of attending both ANC and
EPI was investigated. A multivariate model of predictors for non-attendance was
developed using logistic regression. RESULTS: ANC and EPI attendance were found
to be strongly associated in all three countries. However, 47% of mothers in
Chad, 12% in Mali and 36% in Niger did not attend either ANC or EPI. Region,
mother's education and partner's education were predictors of non-attendance in
all three countries. Wealth index, ethnicity, and occupation were associated with
non-attendance in Mali and Niger. Other predictors included religion, healthcare
autonomy, household size and number of children under five. CONCLUSIONS:
Attendance of ANC and EPI are not independent and therefore the majority of
pregnant women in these countries will have the opportunity to receive ITNs
through both services. Although attendance at ANC and EPI are not independent,
delivery through both systems may still add incrementally to delivery through one
alone. Therefore, there is potential to increase the proportion of women and
children receiving ITNs by delivering through both of these channels. However,
modelling is required to determine the level of attendance and incremental
potential at which it's cost effective to deliver through both services.
PMID- 22078176
TI - [Haemoglobin C/OArab: About a family].
PMID- 22078177
TI - Mucopolysaccharidosis IVA within Tunisian patients: Confirmation of the two novel
GALNS gene mutations.
AB - Mucopolysaccharidosis type IVA or Morquio A disease is an autosomal recessive
disease resulting from a deficiency of the lysosomal enzyme N-acetylgalactosamine
6-sulfate-sulfatase, which hydrolyses N-acetylgalactosamine-6-sulfate and
galactose-6-sulfate in glycosaminoglycans. Phenotypes in Morquio A disease vary
from the classical form with severe bone dysplasia, heart valve involvement,
corneal opacity, short trunk dwarfism and a life span of 20 to 30 years, to
attenuated forms with normal life span, mild bone involvement and mild visceral
organ involvement. Unlike the other forms of mucopolysaccharidoses, Morquio A
disease is characterized by normal intelligence. AIM OF THE STUDY: The aims of
this study were to determine if the novel GALNS anomalies IVS1+1G-A and G66R
identified in Tunisia are mutations or polymorphisms. PATIENTS AND METHODS: This
study was carried out on six Morquio A patients recruited from many regions of
Tunisia. We have used SCCP, sequencing and enzymatic digestion. RESULTS: IVS1+1G
A and G66R were two deleterious mutations and not polymorphisms. CONCLUSION:
Screening of mutations and polymorphisms in GALNS gene provide useful information
on genotype/phenotype correlations. It should also facilitate more accurate
genetic counselling of newly diagnosed cases and their family members.
PMID- 22078178
TI - Effects of gamma irradiation and repetitive freeze-thaw cycles on the
biomechanical properties of human flexor digitorum superficialis tendons.
AB - An increasing number of tissue banks have begun to focus on gamma irradiation and
freeze-thaw in the reconstruction of anterior cruciate ligaments using
allografts. The purpose of this study was to evaluate the biomechanical
properties of human tendons after exposure to gamma radiation and repeated freeze
thaw cycles and to compare them with fresh specimens. Forty flexor digitorum
superficialis tendons were surgically procured from five fresh cadavers and
divided into four groups: fresh tendon, gamma irradiation, freeze-thaw and gamma
irradiation+freeze-thaw. The dose of gamma irradiation was 25 kGy. Each freeze
thaw cycle consisted of freezing at -80 degrees C for 7 day and thawing at 25
degrees C for 6 h. These tendons underwent 4 freeze-thaw cycles. Biomechanical
properties were analyzed during load-to-failure testing. The fresh tendons were
found to be significantly different in ultimate load, stiffness and ultimate
stress relative to the other three groups. The tendons of the gamma+freeze-thaw
group showed a significant decrease in ultimate load, ultimate stress and
stiffness compared with the other three groups. Gamma irradiation and repeated
freezing-thawing (4 cycles) can change the biomechanical properties. However, no
significant difference was found between these two processes on the effect of
biomechanical properties. It is recommended that gamma irradiation (25 kGy) and
repetitive freeze-thaw cycles (4 cycles) should not be adopted in the processing
of the allograft tendons.
PMID- 22078179
TI - Haemodynamic optimisation: are we dynamic enough?
AB - Perioperative haemodynamic optimisation of high-risk surgical patients has long
been documented to improve both short-term and long-term outcomes, as well as to
reduce the rate of postoperative complications. Based on the evidence, cardiac
output monitoring and fluid resuscitation, combined with the use of inotropes,
would seem to be the gold standard of care for these difficult surgical cases.
However, clinicians do not universally apply these techniques and principles in
their everyday practice. By exploring the reasons why this is so, perhaps we
could move forward in the standardisation of care and the application of evidence
based practice.
PMID- 22078180
TI - The paradox of disability in abortion debates: bringing the pro-choice and
disability rights communities together.
PMID- 22078181
TI - Egon R. Diczfalusy, the discovery of the fetoplacental unit and much more.
PMID- 22078182
TI - Ovulation inhibition doses of progestins: a systematic review of the available
literature and of marketed preparations worldwide.
AB - BACKGROUND: The objective of this analysis was to provide a comprehensive review
of ovulation inhibition data of progestins currently available worldwide. This
analysis may serve as a reference tool for research on new progestin molecules.
STUDY DESIGN: We used literature search engines to detect data of progestin
monotherapies on ovulation inhibition in humans. Only treatments with stable
dosing during a cycle were accepted. In a second step, we tried to estimate the
99% ovulation inhibiting doses and their fiducial confidence limits using the
probit dose-response model. Finally, we analyzed the progestin doses of combined
oral contraceptives currently on the market. RESULTS: We found original data on
29 marketed and nonmarketed progestins in a total of 60 publications, published
between 1956 and May 2010. Details on methods used for determining ovulation,
number of doses and daily dose of each tested progestin, number of subjects,
cycles and ovulations are summarized in a table. We designed one example of a
dose-response curve using the statistical model. For most progestins, literature
data were insufficient for this purpose. A total of 13 progestins are components
of oral contraceptives currently on the market worldwide, five of them in
combination with 20 mcg ethinyl estradiol (EE). CONCLUSION: This review provides
a comprehensive overview of all progestins ever tested for their ovulation
inhibition potency and a summary of all preparations currently on the world
market, including their regimens and their combinations with EE.
PMID- 22078183
TI - Choice of birth control methods among European women and the role of partners and
providers.
AB - BACKGROUND: The choice of a birth control method is influenced by sociocultural
and personal factors. We explored the perceived influences in women's choice of a
birth control method in five European countries (Germany, France, the UK, Romania
and Sweden), where contraception is widely used. STUDY DESIGN: This is a cross
sectional study of 1137 randomly selected women aged 18-49 years. An anonymous,
31-item questionnaire related to birth control methods was used. Logistic
regression was used to identify variables associated with partner participation
in choice of a contraceptive. RESULTS: Oral contraceptives were mainly used in
Germany (54.3%), France (50.5%) and Sweden (34.6%) and condoms in the UK (29.6%)
and Romania (22.9%). Sweden showed the highest use of intrauterine devices (IUD,
19%). Romania had the lowest use of contraception. Oral contraceptives and IUDs
use were frequently suggested by providers instead of by women. Choosing the
method with the partner was associated with age [odds ratio (OR)=0.97, 95%
confidence interval (CI) 0.94-0.99], being a university graduate (OR=1.59, 95% CI
1.01-2.29), married (OR=1.52, 95% CI 1.01-2.29) and with using a method that
requires partner's cooperation (OR=8.18, 95% CI 5.46-12.27). CONCLUSIONS:
Hormonal contraceptives and IUDs are commonly recommended by providers rather
than requested by women. Partner preferences are taken into account when his
cooperation in the use of the method is needed. As fertility care is a male and
female issue, there is still more room for actively involving both women and men
in their choice of a birth control method.
PMID- 22078184
TI - Comparative pharmacokinetics and pharmacodynamics after subcutaneous and
intramuscular administration of medroxyprogesterone acetate (25 mg) and estradiol
cypionate (5 mg).
AB - BACKGROUND: The efficacy of contraceptives is affected by its route and ease of
administration. Herein, both pharmacokinetics and pharmacodynamics of the once-a
month combined injectable contraceptive medroxyprogesterone acetate (MPA) plus
estradiol cypionate (E(2)-Cyp) were compared after intramuscular (IM) or
subcutaneous (SC) injection in women of reproductive age. STUDY DESIGN: Thirty
women were randomly assigned to the SC (n=15) or IM (n=15) route of MPA 25
mg+E(2)-Cyp 5 mg administration. Serum samples were obtained daily for 7 days and
then three times a week for 40 days in order to quantify E(2), progesterone and
MPA. In addition, three ultrasounds were performed on each subject to determine
follicular development, and a daily record of the bleeding pattern and side
effects was maintained. RESULTS: A comparative analysis showed that the main
pharmacokinetic (peak serum concentration, peak serum time, area under the serum
concentration vs. time curve, absorption half-life and elimination half-life) and
pharmacodynamic parameters, such as follicular development and ovulation, were
similar in the SC vs. IM groups. Complete suppression in ovarian function was
present in all women. The bleeding patterns and side effects were similar in both
groups. CONCLUSIONS: The results presented herein demonstrate that the injection
of 25 mg of MPA plus 5 mg of E(2)-Cyp has similar efficacy and safety with either
the SC or IM route of administration. The SC option can be considered a viable
self-administered contraceptive option that might increase women's compliance to
contraceptive use.
PMID- 22078185
TI - Comparison of contraceptive method chosen by women with and without a recent
history of induced abortion.
AB - BACKGROUND: Women undergoing induced abortion may be more motivated to choose
long-acting reversible contraception (LARC), including the intrauterine device
(IUD) and implant, than women without a history of abortion. Our objective was to
determine whether the contraceptive method chosen is influenced by a recent
history of induced abortion and access to immediate postabortion contraception.
STUDY DESIGN: This was a subanalysis of the Contraceptive CHOICE Project. We
compared contraception chosen by women with a recent history of abortion to women
without a recent history. Participants with a recent history of abortion were
divided into immediate postabortion contraception and delayed-start contraception
groups. RESULTS: Data were available for 5083 women: 3410 women without a recent
abortion history, 937 women who received immediate postabortion contraception and
736 women who received delayed-start postabortion contraception. Women offered
immediate postabortion contraception were more than three times as likely to
choose an IUD [adjusted relative risk (RR(adj)) 3.30, 95% confidence interval
(CI) 2.67-4.85] and 50% more likely to choose the implant (RR(adj) 1.51, 95%CI
1.12-2.03) compared to women without a recent abortion. There was no difference
in contraceptive method selected among women offered delayed-start postabortion
contraception compared to women without a recent abortion. CONCLUSION: Women
offered immediate postabortion contraception are more likely to choose the IUD
and implant than women without a recent abortion history. Increasing access to
immediate postabortion LARC is essential to preventing repeat unintended
pregnancies.
PMID- 22078186
TI - Contraceptive experiences among adolescents who experience unintended birth.
AB - BACKGROUND: Adolescents are at high risk of unintended pregnancy due to
contraceptive nonuse and inconsistent use. STUDY DESIGN: We examined associations
between contraception and mistimed/unwanted birth among adolescents. For
contraceptive nonusers, we analyzed factors contributing to unintended birth.
RESULTS: Half of adolescents with unintended births did not use contraception at
conception. Those ambivalent about pregnancy reported fewer unwanted [relative
risk (RR)=0.06] compared to wanted births. Amongst contraceptive nonusers,
difficulty accessing birth control was the only factor associated with more
unwanted birth (RR=3.05). For Black adolescents, concerns of side effects
(RR=7.03), access issues (RR=10.95) and perceived sterility (RR=3.20) were
associated with unwanted birth. For younger teens, falsely perceived subfertility
increased unwanted birth (RR=2.74), whereas access issues were significant for
older teens (RR=3.97). CONCLUSIONS: Access issues and misconceptions around
contraceptive side effects and fertility place adolescents at higher risk for
unintended pregnancy, especially among younger and Black teens. Ambivalence
represents an additional area for intervention.
PMID- 22078187
TI - Patients' attitudes and experiences related to receiving contraception during
abortion care.
AB - BACKGROUND: High risk for additional unintended pregnancies among abortion
patients makes the abortion care setting an ideal one for facilitating access to
contraception. This study documents attitudes of abortion patients about
contraceptive services during their receipt of abortion services and identifies
patient characteristics associated with desire for contraception and interest in
using a long-acting reversible contraceptive method (LARC). STUDY DESIGN:
Structured surveys were administered to 542 patients at five US abortion
providing facilities between March and June of 2010. Supplementary information
was collected from 161 women who had had abortions in the past 5 years through an
online survey. RESULTS: Among abortion patients, two thirds reported wanting to
leave their appointments with a contraceptive method and 69% felt that the
abortion setting was an appropriate one for receiving contraceptive information.
Having Medicaid and having ever used oral contraceptives were predictive of
wanting to leave with a method. Women having a second or higher-order abortion
were over twice as likely as women having a first abortion to indicate interest
in LARC, while black women were half as likely as white women to indicate this
interest. CONCLUSION: Many women are interested in learning about and obtaining
contraceptive methods, including LARC, in the abortion care setting.
PMID- 22078188
TI - A survey of provider experience with misoprostol to facilitate intrauterine
device insertion in nulliparous women.
AB - BACKGROUND: There is a significant need for research on treatments that provide
pain relief during intrauterine device (IUD) insertion. Misoprostol is frequently
used before IUD insertion but is not always necessary and its use may increase
pain and side effects. This survey evaluated how providers who perform IUD
insertion in nulliparous women report using misoprostol to facilitate the
procedure. STUDY DESIGN: An anonymous Internet-based survey was distributed to
members of three professional organizations with family planning providers.
RESULTS: Of 2211 survey respondents, 1905 (86%) reported providing IUDs to
nulliparous women. Of those providing IUDs to nulliparous women, 947/1905 (49.7%)
reported using misoprostol, and 380 (40%) of 947 of misoprostol users reported
using the treatment empirically with all nulliparous IUD insertions. There was
wide variation reported in dose, route and timing of misoprostol administration.
Providers most commonly reported learning of misoprostol use for IUD insertion by
word of mouth rather than through the literature. CONCLUSIONS: Despite
conflicting published data, nearly half of survey respondents use misoprostol
before IUD insertion. Considerable variation in the timing of misoprostol use may
explain differences in perception of its effectiveness. Evidence-based
information about misoprostol for IUD insertion in nulliparous women, including
pharmacokinetics, efficacy and optimal dosing, is needed.
PMID- 22078189
TI - A randomized study of the effect of mifepristone alone or in conjunction with
ethinyl estradiol on ovarian function in women using the etonogestrel-releasing
subdermal implant, Implanon(r).
AB - BACKGROUND: Mifepristone alone or in combination with ethinyl estradiol (EE) can
effectively stop an episode of uterine bleeding in women using the etonogestrel
releasing contraceptive implant, Implanon(r) but could impair contraceptive
efficacy. AIM: To examine the effects of administration of mifepristone alone or
with EE on ovarian function and cervical mucus consistency in women using
Implanon. STUDY DESIGN: Women using Implanon were randomized to mifepristone 25
mg twice daily on day 1 plus placebo 1 daily for 4 days or plus EE 20 mcg daily
for days 2-5. Measurements of serum estradiol (E(2)), progesterone (P(4)),
luteinizing hormone (LH), follicle-stimulating hormone (FSH), cervical mucus
examination and maximal follicle size (by vaginal ultrasound) were carried out at
various times. RESULTS: Following mifepristone intake, there was a dramatic
increase in E(2) levels ranging from 543 to 1183 pmol/L (p=.000), which was not
correlated with maximal follicle size or preceded by LH or FSH increase. The
increase in E(2) triggered an LH increase resulting in development of a
luteinized follicle in four women with no evidence of ovulation. One of these
women had estradiol and progesterone levels suggestive of ovulation, but no
corpus luteum was seen. Almost all women had very low mucus scores, which did not
correlate with E(2) levels. DISCUSSION: Despite a transient increase in E(2)
levels after mifepristone, there was no evidence of subsequent ovulation
irrespective of whether they also received EE. The mechanism by which
mifepristone in the presence of etonogestrel results in a rapid increase in E(2)
levels remains unclear and could not be related to any significant changes in
FSH, LH, ovarian follicle dynamics or subsequent possible ovulation. CONCLUSION:
Pregnancy is very unlikely to occur if mifepristone and EE are given during use
of Implanon to stop an episode of bleeding.
PMID- 22078190
TI - Mifepristone-induced abortion and vaginal bleeding in subsequent pregnancy.
AB - BACKGROUND: The aim of this study is to explore the effect of first-trimester
mifepristone-induced abortion on vaginal bleeding in subsequent pregnancy. STUDY
DESIGN: This observational cohort study was conducted during 1998-2001 at
antenatal clinics in Beijing, Chengdu, and Shanghai, China. The study enrolled
4,931 women with one previous mifepristone-induced abortion, 4,925 women with no
history of induced abortion, and 4,800 women with one previous surgical abortion
and followed them through pregnancy and childbirth. RESULTS: The rates of vaginal
bleeding in pregnant women with a history of medical abortion, no abortion, and
surgical abortion were 16.5%, 13.9%, and 17.3%, respectively. The women with
medical abortion had a higher risk (adjusted relative risk (aRR)=1.17, 95%
confidence interval (CI): 1.07, 1.29) of vaginal bleeding compared with those
with no abortion but similar risk to prior surgical abortion. When the
correlation between medical abortion and vaginal bleeding was examined by period,
increased risk was observed only in the early period (<16 gestational weeks)
(aRR=1.25, 95% CI: 1.12, 1.39). The comparison between subgroups of medical
abortion and no abortion showed that the observed risks increased particularly in
those with abortion at gestational age <= 7 weeks (aRR=1.33, 95% CI: 1.18, 1.49),
those followed by a postabortion curettage (aRR=1.58, 95% CI: 1.37, 1.84) or
complications (aRR=1.99, 95% CI: 1.67, 2.37). There was no difference between
women with medical abortion and women with surgical abortion in the occurrence of
vaginal bleeding for either period. CONCLUSIONS: One previous mifepristone
induced abortion increased the risk of vaginal bleeding in early gestation period
of subsequent pregnancy compared with no abortion, especially if abortion
occurred before 7 weeks of gestation and was followed by a curettage or
complications.
PMID- 22078191
TI - Can nurses perform manual vacuum aspiration (MVA) as safely and effectively as
physicians? Evidence from India.
AB - BACKGROUND: Although legal, access to safe abortion remains limited in India.
Given positive experiences of task-shifting from other developing countries,
there is a need to explore the feasibility of expanding the manual vacuum
aspiration (MVA) provider base to include nurses in India. STUDY DESIGN: A
prospective, two-sided equivalence study was undertaken in five facilities of a
non-government organisation in Bihar and Jharkhand to explore whether efficacy
and safety rates associated with MVA provided by newly trained nurses were
equivalent to those provided by physicians. Eight hundred and ninety-seven
consenting women with gestation ages of <= 10 weeks were recruited. RESULTS:
Nurses were as skilled as physicians in assessing gestation age and completed
abortion status, performing MVA and obtaining patient compliance. Overall failure
and complication rates were low and equivalent between the two provider types,
and both provider types were equally acceptable to women who underwent the
procedure (98%). CONCLUSION: Findings of the study make a compelling case for
amending existing laws to expand the MVA provider base in order to increase
access to safe abortion in India.
PMID- 22078192
TI - Menstrual bleeding: perspective of Brazilian women.
AB - BACKGROUND: Menstrual patterns, induced amenorrhea and the use of some
contraceptive methods which induce non-bleeding are issues under debate among
health professionals and women. The objective of the study was to describe
perspectives and attitudes of Brazilian women regarding menstruation and its
interference in daily activities. STUDY DESIGN: A semistructured questionnaire
was applied to nonpregnant, nonlactating women between 18 and 45 years old, who
were menstruating, consulting at public health services for other complaints than
gynecological or reproductive health care, and staff members and teachers of
public universities in one city of each geographic region of Brazil and the
Federal District. RESULTS: Of the 885 women interviewed, 51.5% were aged 20-29
years, almost 60% reported normal frequency of bleeding, 22% and 43% reported
interference of menstruation in their school activities and in the relationship
with their partner, respectively. The value attributed to each interference (<5;
>= 5; in a scale up to 10) was >5 for more than 60% of the women in all evaluated
domains. The most common reason for disliking menstruation was inconvenient
and/or discomfort, and for liking menstruation were feeling healthy and
confirmation of not being pregnant. The variables associated to liking
menstruation were attending <8 years of school and low economic class, having
more than one child and no history of premenstrual tension. CONCLUSION: A great
proportion of the interviewed women disliked having menstruation even when they
did not present menstrual-related problems. However, some women still preferred
monthly menstruation because they felt healthy and it was a free pregnancy test.
PMID- 22078193
TI - A prospective double-blinded, randomized, placebo-controlled trial on the use of
letrozole pretreatment with misoprostol for second-trimester medical abortion.
AB - BACKGROUND: The aim of this randomized trial was to evaluate the abortion rate of
combined regimen of letrozole and misoprostol in second-trimester abortion. STUDY
DESIGN: This was a randomized, double-blinded, placebo-controlled trial of 130
women requesting legal termination of pregnancy at gestational age between 12 and
20 weeks. Letrozole 7.5 mg or placebo were given for 3 days, followed by
misoprostol 400 mcg vaginally every 3 h up to a maximum of five doses on the
third day. RESULTS: The abortion rate in 24 and 48 h were similar for the
letrozole and placebo groups (24 h: 93.8% vs. 90.8%, respectively, p=.718; 48 h:
98.5% vs. 95.4%, respectively, p=.496). The median induction-to-abortion interval
was also similar for the letrozole and placebo groups (9.6 h vs. 10.6 h, p=.145).
All the side effects were comparable between the two groups. CONCLUSION: The use
of letrozole pretreatment (7.5 mg daily for 3 days) with misoprostol in second
trimester abortion does not significantly improve the abortion rate of the
misoprostol-only regimen.
PMID- 22078194
TI - Oral contraceptive therapy modulates hemispheric asymmetry in spatial attention.
AB - BACKGROUND: Functional cerebral asymmetries (FCAs) are known to fluctuate across
the menstrual cycle. The visual line-bisection task administered to normally
cycling women showed different patterns of the interhemispheric interactions
during menses and the midluteal cycle phase. However, the contribution of
estrogens and progestins hormones to this phenomenon is still unclear. STUDY
DESIGN: The aim of our study was to show a variation of FCAs in women
administered oral contraceptives (OCs) using the visual line-bisection task.
Visual line-bisection task with three horizontal lines was administered to 36
healthy women taking a 21-day OC. Twenty-nine patients were right handed. The
task was administered during OC intake (day 10) and at the end of the pill-free
period. RESULTS: The right-handed women showed a significant leftward bias of
veridical center on the first and third lines during OC intake compared with an
opposite rightward bias during the pill-free period. The same phenomenon of
contralateral deviation was observed in left-handed women on day 10 of OC intake.
CONCLUSIONS: The results of this study confirm a hormonal modulation on
interhemispheric interaction and suggest that OCs may improve the
interhemispheric interaction reducing FCAs compared with the low hormone level
period. This opens new insights in OC prescription and choice of administration
schedule in order to improve cognitive performances.
PMID- 22078195
TI - Effect of copper intrauterine device on the cyclooxygenase and inducible nitric
oxide synthase expression in the luteal phase endometrium.
AB - BACKGROUND: To evaluate the effect of copper intrauterine device (IUD) on the
expression of cyclooxygenase (COX) and inducible nitric oxide synthase (iNOS) in
the luteal phase endometrium. STUDY DESIGN: A prospective clinical study was
conducted on 30 women who were willing to use a copper IUD contraception.
Endometrial biopsies and blood samples were taken before and 3 months after the
insertion of the IUD on Day 3 and Days 20-24 of the cycle. Main outcome measures
were to evaluate the effect of copper IUD on uterine artery blood flow using
pulsed color Doppler ultrasonography and the relationship of bleeding
abnormalities and menstrual pain level with the uterine blood flow, COX-2 and
iNOS expression. RESULTS: Only the left uterine artery pulsatility and resistance
indices decreased statistically significantly (p=.005 and p=.039, respectively).
Other Doppler parameters showed no change. Cyclooxygenase-2 expression of both
endometrial luminal epithelium (p=.03) and gland epithelium (p=.03) increased
significantly. Inducible NOS expression of the endometrial surface epithelium
decreased significantly after IUD insertion (p=.01). CONCLUSIONS: Although COX-2
expression increased 3 months after copper IUD insertion, iNOS expression of the
luminal epithelium decreased. Local hypoxia caused by copper and vasoconstrictor
prostanoids may play a role in IUD-related menstrual abnormalities.
PMID- 22078196
TI - Serine protease inhibitor 4-(2-aminoethyl)benzenesulfonyl fluoride hydrochloride
(AEBSF) inhibits the rat embryo implantation in vivo and interferes with cell
adhesion in vitro.
AB - BACKGROUND: This study was conducted to observe the in vivo effect of 4-(2
aminoethyl)benzenesulfonyl fluoride hydrochloride (AEBSF) on embryo implantation
in rats and its in vitro effect on cell adhesion. STUDY DESIGN: The anti
implantation efficacy of AEBSF in rats was determined by counting the number of
visible implanted embryos on day 8 of pregnancy following intrauterine (5 mg and
10 mg AEBSF per horn) or tail vein (10 mg AEBSF per rat) administration on day 3
of pregnancy. The effects of AEBSF on cell adhesion were detected, respectively,
by using the mouse blastocysts-endometrial cells or the human umbilical vein
endothelial cells (HUVECs)-HeLa cells co-culture model. The alteration in protein
secretion pattern of HUVECs and HeLa cells was detected by the proteome analysis.
RESULTS: 4-(2-Aminoethyl)benzenesulfonyl fluoride hydrochloride showed an in vivo
inhibitory effect on embryo implantation in rat. In vitro, AEBSF could disturb
the growth of blastocysts on endometrial cells and inhibit the adhesion of HeLa
cells on HUVECs. The treatment of AEBSF could alter the protein secretion pattern
of co-cultured HUVEC-HeLa cells. CONCLUSION: 4-(2-Aminoethyl)benzenesulfonyl
fluoride hydrochloride might be a potential leading compound for novel
contraceptives, and its inhibitory effect on implantation might result from the
interference in extracellular matrix remodeling process.
PMID- 22078197
TI - Etonorgestrel concentrations in morbidly obese women following Roux-en-Y gastric
bypass surgery: three case reports.
AB - BACKGROUND: Pregnancy should be avoided for 12 to 18 months after Roux-en-Y
gastric bypass (RYGB) surgery. The etonorgestrel (ENG)-releasing implant
(Implanon(r)) may represent a safe and effective contraceptive method in morbidly
obese women who are candidates for bariatric surgery. In addition, the
subcutaneous delivery of steroid is unaffected by malabsorptive surgery. METHODS:
Three cases of young women with ENG-releasing implant are reported. The device
was inserted 1-2 months prior to RYGB. RESULTS: Their initial weights were 130 to
176 kg, and the mean weight loss was 33.6 kg at 6 months. The concomitant serum
ENG concentrations decreased currently with weight loss but remained above the
minimum concentration required for effective contraceptive effect of the implant
for at least 6 months following RYGB (average, 170 pg/mL). The concentrations
observed before weight loss were lower than in normal-weight women, but decreases
in ENG concentrations following implant insertion were similar. CONCLUSION: These
unique data in morbidly obese women highlight the need for further
pharmacokinetic studies of contraceptive agents in obese women during weight
loss.
PMID- 22078198
TI - Response by the leadership of the Society of Assisted Reproductive Technology
(SART) to the article "Assisted reproduction and choices in the biotech age".
PMID- 22078199
TI - Recognizing existing framework for regulation of assisted reproductive
technologies in the United States.
PMID- 22078200
TI - Support for more research and evaluation of assisted reproductive technologies.
PMID- 22078202
TI - Repeat use of emergency contraception in Kenya: letter to the editor.
PMID- 22078204
TI - The impact of out-of-pocket expense on IUD utilization among women with private
insurance.
AB - BACKGROUND: The study was conducted to evaluate the impact of out-of-pocket
expense on intrauterine device (IUD) utilization among women with private
insurance. STUDY DESIGN: We reviewed the records of all women with private
insurance who requested an IUD for contraception from an urban academic
gynecology practice from May 2007 through April 2008. For each patient, we
determined the out-of-pocket expense that would be incurred and whether she
ultimately had an IUD placed. The total charge for placement of a copper or
levonorgestrel IUD (including the device) was $815. RESULTS: Ninety-five women
requested an IUD during the study period. The distribution of out-of-pocket
expense was bimodal: less than $50 for 35 (37%) women and greater than $500 for
52 (55%) women. Intrauterine device insertion occurred in 24 (25%) women, 19 of
whom had an out-of-pocket expense less than $50. In univariate and multivariable
analysis, women with insurance coverage that resulted in less than $50 out-of
pocket expense for the IUD were more likely to have an IUD placed than women
required to pay $50 or more (adjusted odds ratio=11.4, 95% confidence
interval=3.6-36.6). CONCLUSIONS: Women requesting an IUD for contraception are
significantly more likely to have an IUD placed when out-of-pocket expense is
less than $50.
PMID- 22078205
TI - Reciprocal coordination of a combination oral contraceptive containing
desogestrel+ethinyl estradiol on the expression of LOX-1 and LDLR in placental
trophoblast cells.
AB - BACKGROUND: The aim of this study was to assess the consistency of
antiatherosclerotic potential of a combination oral contraceptive steroid
(ethinyl estradiol+desogestrel) by rating its effect on the differential
expression of the low-density lipoprotein receptor (LDLR) and lectin-like
oxidized LDL (LOX-1) receptor. STUDY DESIGN: Cells from placental trophoblast
cell line (JAR) and differentiated primary placental trophoblast cells isolated
from term human placentae were used for this study. Expressions of LOX-1 and LDLR
were assessed by immunoblot and immunocytochemistry assays. Differential effects
of the constituent steroids in the combination of ethinyl estradiol and
desogestrel were verified on the expression profile of the receptors. RESULTS:
Desogestrel opposed the effect of ethinyl estradiol on LOX-1 expression, and when
used in combination, the combination oral contraceptive reduced the expression of
LOX-1 in contrast to LDLR. The characteristic change in the expressions of LOX-1
and LDLR showed an antiatherosclerotic improvisation at the unique combination of
ethinyl estradiol (10 ng/mL) and desogestrel (20 ng/mL). CONCLUSION: The
aforesaid combination of ethinyl estradiol and desogestrel keeps LOX-1 and LDLR
reciprocally expressed in antiatherosclerotic mode.
PMID- 22078206
TI - What helps the mother of a preterm infant become securely attached, responsive
and well-adjusted?
AB - OBJECTIVE: To investigate the relationship between the predictor variables of
experiential avoidance, relationship satisfaction, prenatal expectations
(compared to postnatal experience) and postpartum support, and the criterion
variables of maternal attachment, maternal psychological symptoms and maternal
responsiveness, after controlling for birth weight. DESIGN: A quantitative survey
study. METHOD: The participants were 127 mothers of preterm infants (delivery
prior to 37 weeks gestation, <24 months corrected age) recruited through parent
support organisations. The web-based survey included measures of: demographics,
postpartum support and prenatal expectations (compared to postnatal experience)
all designed for this study, as well as maternal attachment, (MPAS) maternal
responsiveness (MIRI), experiential avoidance (AAQ), maternal psychological
symptoms (DASS-21) and relationship satisfaction (RQI). Three standard multiple
regression analyses were conducted. RESULTS: The combined effects of experiential
avoidance, relationship satisfaction, prenatal expectations (compared to
postnatal experience) and postpartum support accounted for a significant 21% of
variance in maternal attachment, Fch (4,121)=8.01, p<.001, a significant 38% of
variance in maternal psychological symptoms Fch (4,121)=18.38, p<.001, and a
significant 11% of variance in maternal responsiveness, Fch (4,121)=3.78, p=.013
after controlling for birth weight. CONCLUSION: The four predictor variables
predicted maternal attachment, psychological symptoms and responsiveness after
controlling for birth weight, with experiential avoidance being the most
important predictor, followed by prenatal expectations (compared to postnatal
experience), relationship satisfaction, then postpartum support. This has
implications for designing interventions to optimise attachment and
responsiveness, and minimise psychological symptoms, in mothers of infants born
preterm.
PMID- 22078207
TI - Bee venom protects SH-SY5Y human neuroblastoma cells from 1-methyl-4
phenylpyridinium-induced apoptotic cell death.
AB - Parkinson's disease (PD) is a progressive neurodegenerative disorder
characterized by progressive selective loss of dopaminergic neurons in the
substantia nigra. Recently, bee venom was reported to protect dopaminergic
neurons in the 1-methyl-4-phenyl-1,2,3,6-tetrahydropyridine induced mice PD
model, however, the underlying mechanism is not fully understood. The objective
of the present study is to investigate the neuroprotective mechanism of bee venom
against Parkinsonian toxin, 1-methyl-4-phenylpyridine (MPP(+)), in SH-SY5Y human
neuroblastoma cells. Our results revealed that bee venom pretreatment (1-100
ng/ml) increased the cell viability and decreased apoptosis assessed by DNA
fragmentation and caspase-3 activity assays in MPP(+)-induced cytotoxicity in SH
SY5Y cells. Bee venom increased the anti-apoptotic Bcl-2 expression and decreased
the pro-apoptotic Bax, cleaved PARP expressions. In addition, bee venom prevented
the MPP(+)-induced suppression of Akt phosphorylation, and the neuroprotective
effect of bee venom against MPP(+)-induced cytotoxicity was inhibited by a
phosphatidylinositol 3-kinase (PI3K) inhibitor, LY294002. These results suggest
that the anti-apoptotic effect of bee venom is mediated by the cell survival
signaling, the PI3K/Akt pathway. These results provide new evidence for
elucidating the mechanism of neuroprotection of bee venom against PD.
PMID- 22078208
TI - Novel regulatory mechanism and functional implication of plasminogen activator
inhibitor-1 (PAI-1) expression in CpG-ODN-stimulated macrophages.
AB - Macrophages are activated by recognizing bacterial DNA and CpG
oligodeoxynucleotides (CpG-ODNs) through Toll-like receptor-9 (TLR-9).
Plasminogen activator inhibitor-1 (PAI-1) has been shown to be an important
factor in inflammation-induced macrophage migration which is essential for
defense functions. The aim of this study was to demonstrate the molecular
mechanism associated with the regulation of PAI-1 expression and its biological
significance in CpG-ODN-stimulated mouse macrophages. Our results clearly show
that PAI-1 expression in macrophages was highly up-regulated by CpG-ODN
stimulation in vitro and in vivo. The TLR-9-mediated stimulation of PAI-1
expression was independent of the NF-kappaB pathway and involved the synergistic
activation of Sp1 and Elk-1 by the MEK1/2-ERK and JNK signaling pathways. The
elevated PAI-1 expression resulted in significantly enhanced transmigration of
RAW264.7 cells through vitronectin but not through fibronectin. We suggest that
CpG-ODN plays a role in regulating macrophage migration by stimulating the
expression of PAI-1, and the migration is modulated depending on the
microenvironmental extracellular matrix components.
PMID- 22078210
TI - Expanding the scope of decision-making research for nursing and midwifery
practice.
AB - Decision making embedded in clinical situations is studied to inform nursing and
midwifery practice and to enhance clinical effectiveness. To date this knowledge
has mainly been derived from classical decision-making research approaches that
are limited in capturing cognition in rapidly changing 'real-world' clinical
environments. A naturalistic decision making approach can strengthen the
ecological validity of descriptive investigations in certain dynamic clinical
decision situations that include urgency and complexity. This paper presents a
discussion about naturalistic decision making, its relevance for studying certain
clinical decision situations in the 'real world' of nursing and midwifery
practice and its application in a midwifery decision-making situation. In
conclusion classical decision-making research approaches can be extended to
include the naturalistic decision making approach that can capture decision
making in dynamic clinical situations and show the underlying knowledge that
distinguishes more experienced clinicians.
PMID- 22078209
TI - Modulation of CD40-activated B lymphocytes by N-acetylcysteine involves decreased
phosphorylation of STAT3.
AB - B lymphocyte activation, maturation and reshaping require the interaction of its
receptor CD40 with its ligand CD154, which is expressed on activated T
lymphocytes. Metabolism in activated B lymphocytes is also characterized with
several REDOX changes including fluctuation of Reactive Oxygen Species (ROS).
Herein, we first confirm that stimulation of human peripheral blood B lymphocyte
with CD154 increases intracellular ROS level. Then, by treatments with two well
known antioxidants, N-acetylcysteine (NAC) and Trolox, we further investigate the
influence of REDOX fluctuation in CD40-activated B lymphocyte homeostasis in long
term culture (13 days). Treatments with NAC increase viability, decrease
proliferation and Ig secretion and enhance homoaggregation of B lymphocytes while
Trolox only induces a marginal increase of their Ig secretion. The NAC-induced
homoaggregation phenotype is paralleled with increased expressions of CD54,
CD11a, CD27 and CD38. Mechanistically, a 24h exposure of B lymphocytes with NAC
is sufficient to show strong inhibition of STAT3 phosphorylation. Besides, the
treatment of B lymphocytes with the STAT3 inhibitor VI increases viability and
decreases proliferation and secretion as in NAC-treated cells thus showing a role
for STAT3 in these NAC-induced phenotypes. This study done in a human-based model
provides new findings on how REDOX fluctuations may modulate CD40-activated B
lymphocytes during immune response and provide additional hints on NAC its
immunomodulatory functions.
PMID- 22078211
TI - Tools for measuring the impact of informal caregiving of the elderly: a
literature review.
AB - OBJECTIVES: (1) Describe available tools to assess the impact of informal
caregiving of home-dwelling elderly, (2) identify an acceptable and appropriate
tool for a study aiming at the evaluation of the impact of innovative projects
for care and support of care for elderly at home, on their main informal
caregiver and (3) find a definition of 'main informal caregiver'. STUDY DESIGN:
Literature review by searches of the following electronic databases: MEDLINE,
CINAHL, EMBASE, using firstly keywords and exclusion criteria, then citations and
reference search. RESULTS: This review has identified 105 scales assessing the
impact of informal caregiving of the elderly. Those scales were described in
terms of characteristics of the care receiver population, content and
psychometric properties. Most retrieved scales are intended to measure the impact
of caregiving on caregivers' health of elderly with dementia (n=49), overall
elderly (n=21), cancer patients (n=7), chronically ill patients (n=7),
psychiatric patients (n=7) and stroke patients (n=3). Dimensions of the impact of
caregiving were classified into its positive (n=34), negative (n=55) or neither
positive nor negative (n=16) consequences on the informal caregiver's health.
Internal consistency varied from 0.48 to 0.99 and in half of the cases (n=52),
construct validity was reported. Scales comprised 1-200 questions. The Zarit
Burden Interview (ZBI-12) was selected for the study and an operational
definition of the concept of "main informal caregiver" was constructed.
CONCLUSION: This review identified a large number of scales that can be used to
assess the impact of caregiving, viewed through different dimensions. The Zarit
Burden Interview can be a useful tool for researchers and clinicians due to its
user-friendliness, extensively validation and international use, making
comparisons between groups possible. Despite the fact that only the original
version of each scale was selected, this inventory should be a useful tool for
intervention studies and even clinicians work.
PMID- 22078212
TI - Challenges in transition from intervention to end of life care in intensive care:
a qualitative study.
AB - BACKGROUND: Providing quality end of life care is a challenging area in intensive
care practice. The most demanding aspect for doctors and nurses in this setting
is not the management of care at end of life per se, but facilitating the
transition from active intervention to palliation and finally, end of life care.
Whilst there is understanding about some aspects of this transition, recognition
of the complex and inter-related processes that work to shift the patient's
trajectory from cure to end of life care is required. This is important in order
to work towards solutions for issues that continue to pose problems for health
care professionals. OBJECTIVES: To identify the challenges for health care
professionals when moving from a recovery trajectory to an end of life trajectory
in intensive care. DESIGN: Qualitative methods of enquiry. METHODS AND SETTING:
Single semi-structured interviews with 13 medical staff and 13 nurses associated
with 17 decedents who underwent treatment withdrawal in intensive care were
carried out. Participants were drawn from two Intensive Care Units in a large
university-affiliated hospital in England. FINDINGS: Patients who died in
intensive care appeared to follow a three-stage end of life trajectory: admission
with hope of recovery; transition from intervention to end of life care; a
controlled death. The transition from intervention to end of life care was
reported as being the most problematic and ambiguous stage in the end of life
trajectory, with potential for conflict between medical teams, as well as between
doctors and nurses. CONCLUSIONS: End of life care policy emphasises the
importance of end of life care for all patients regardless of setting. These
findings demonstrate that in intensive care, there is need to focus on transition
from curative intervention to end of life care, rather than end of life care
itself so that effective and timely decision making underpins the care of the 20%
of intensive care patients who die in this setting each year.
PMID- 22078213
TI - Synthesis and evaluation of a novel (99m)Tc-labeled bioreductive probe for tumor
hypoxia imaging.
AB - Tumor hypoxia is closely associated with the malignant progression and/or the
high metastatic ability of tumors and often induces resistance to chemo- and/or
radiotherapy. Thus, the detection and evaluation of hypoxia is important for the
optimization of cancer therapy. We designed a novel (99m)Tc-labeled probe for
tumor hypoxia imaging that utilizes bioreductive reactions in hypoxic cells. This
probe, which contains a 4-nitrobenzyl ester group, is reduced in hypoxic cells to
produce a corresponding carboxylate anion that cannot penetrate cell membranes
because of its hydrophilicity and negative charge; therefore, it is expected to
be trapped inside hypoxic cells. Based on this unique strategy, we synthesized
the Technetium-99m ((99m)Tc)-labeled probe (99m)Tc-SD32. The uptake of (99m)Tc
SD32 in tumor cells was investigated under normoxic and hypoxic conditions.
(99m)Tc-SD32 showed sufficient accumulation and good retention in hypoxic cells.
In addition, we demonstrated that (99m)Tc-SD32 was subjected to bioreduction in
hypoxic cells and was trapped as the corresponding carboxylate anion. These
results indicated that (99m)Tc-SD32 would be a promising agent for in vivo
hypoxia imaging.
PMID- 22078214
TI - Synthesis and SAR development of novel P2X7 receptor antagonists for the
treatment of pain: part 2.
AB - Novel P2X(7) antagonists were developed using a purine scaffold. These compounds
were potent and selective at the P2X(7) receptor in human and rodent as well as
efficacious in rodent pain models. Compound 15a was identified to have oral
potency in several pain models in rodent similar to naproxen, gabapentin and
pregabalin. Structure-activity relationship (SAR) development and results of pain
models are presented.
PMID- 22078215
TI - Biaryl analogues of teriflunomide as potent DHODH inhibitors.
AB - The structure-activity relationships of a novel series of biaryl dihydroorotate
dehydrogenase (DHODH) inhibitors related to teriflunomide are disclosed. These
biaryl derivatives were the result of structure-based design and proved to be
potent DHODH inhibitors which in addition showed good antiproliferative
activities on peripheral blood mononuclear cells and good efficacies in vivo in
the rat adjuvant-induced-arthritis model.
PMID- 22078216
TI - 2,6-Naphthyridines as potent and selective inhibitors of the novel protein kinase
C isozymes.
AB - The present study describes a novel series of ATP-competitive PKC inhibitors
based on the 2,6-naphthyridine template. Example compounds potently inhibit the
novel Protein Kinase C (PKC) isotypes delta, epsilon, eta, theta (in particular
PKCepsilon/eta, and display a 10-100-fold selectivity over the classical PKC
isotypes. The prototype compound 11 was found to inhibit PKCtheta-dependent
pathways in vitro and in vivo. In vitro, a-CD3/a-CD28-induced lymphocyte
proliferation could be effectively blocked in 10% rat whole blood. In mice, 11
dose-dependently inhibited Staphylococcus aureus enterotoxin B-triggered IL-2
serum levels after oral dosing.
PMID- 22078217
TI - Chronic pain in older adults: a controlled pilot trial of a brief cognitive
behavioural group treatment.
AB - BACKGROUND: Chronic pain is a common condition among older adults. While
cognitive behaviour therapy (CBT) has been tested in numerous studies on adults
and children there are fewer studies on older persons. The objective of this
study was to investigate the effects of a six-session CBT group treatment for
older persons with chronic pain. As a secondary aim we investigated whether
treatment credibility was associated with outcome. METHOD: We included 21 persons
(mean age = 72.0 years) who were randomly allocated to either a waitlist
condition or treatment consisting of applied relaxation, with the addition of
problem solving, assertiveness, communication strategies, sleep management, and
relapse prevention. RESULTS: Few statistically significant effects were found on
measures of pain, mood, anxiety, and quality of life; however, a significant
treatment effect with a between group effect size of d = 1.0 was observed with
respect to perceived ability to function despite the discomfort of pain.
CONCLUSION: The study provides some preliminary support for the use of group
based CBT with a focus on applied relaxation for older adults with chronic pain.
PMID- 22078218
TI - Outcome after repair of cor triatriatum.
AB - Cor triatriatum represents <0.1% of all congenital cardiac malformations.
Symptoms in patients with cor triatriatum are related to pulmonary venous
obstruction and pressure loading of the right side of the heart. The aim of this
study was to describe our institutional experience with repair of cor
triatriatum. From June 1963 to June 2010, 65 patients underwent repair at a
median age of 7.2 months (range 2 days to 47.6 years). Among these patients, 49
(75%) had associated congenital heart defects. Atrial septal defect (n = 29),
ventricular septal defect (n = 15), partially or totally anomalous pulmonary
venous return (n = 14), mitral valve abnormalities (n = 11), and supravalvar
mitral ring (n = 5) were the most common associated defects. Surgical treatment
consisted of excision of the membrane, along with additional procedures in 47
patients (72%). Five patients had new postoperative supraventricular arrhythmias.
During a median follow-up period of 5.4 years, no patients underwent
reintervention for recurrent left atrial obstruction, 7 patients were noted to
have minor residual cor triatriatum without obstruction, and 8 patients
(including 4 diagnosed before cor triatriatum repair) had pulmonary vein
stenosis, 6 of whom underwent intervention for that reason. In conclusion, in
this large surgical series of patients who underwent repair of cor triatriatum,
there were no cases of significant residual or recurrent cor triatriatum.
Although the association between cor triatriatum and pulmonary vein stenosis has
been described previously, the relative frequency of this condition in our cohort
(>10%, including patients diagnosed before and after cor triatriatum repair) is
noteworthy. Abnormalities of the mitral valve and a supravalvar mitral ring were
also seen more often than the existing research would suggest, which may be
another important consideration in evaluating and following these patients.
PMID- 22078219
TI - Association of myocardial fibrosis, B-type natriuretic peptide, and cardiac
magnetic resonance parameters of remodeling in chronic ischemic cardiomyopathy.
AB - The left ventricular (LV) scar size detected by cardiac magnetic resonance (CMR)
imaging in ischemic cardiomyopathy (IC) has been correlated with mortality.
However, the associations among myocardial fibrosis, ventricular geometry, and
physiologic measures of myocardial performance remain to be defined. A
retrospective analysis of patients with stable chronic IC (LV ejection fraction
<=50%) who underwent CMR imaging from 2004 to 2010 and had plasma B-type
natriuretic peptide (BNP) measured within 14 days of the CMR study was
undertaken. A total of 38 patients met the criteria (mean age 66 +/- 10 years; 31
men [82%]). The duration of IC was 67 +/- 69 months. The CMR characteristics
included LV dilation (LV end-diastolic dimension 62 +/- 8 mm) and severe systolic
dysfunction (LV ejection fraction 28 +/- 11%). The average quantitated myocardial
fibrosis was 20 +/- 12% of the LV mass. When stratified by fibrotic mass,
increased myocardial scar size was associated with increased LV cavity size (p =
0.007), lower LV ejection fraction (p = 0.04), and higher BNP (p = 0.013). In
comparison, when stratified by median BNP (475 pg/ml), an elevated BNP level was
associated, not only with LV size, function, and degree of fibrosis, but also
with increased meridional wall stress (p = 0.002) and worse New York Heart
Association functional class (p = 0.006). In conclusion, in chronic IC,
quantitated myocardial fibrosis is associated with CMR structural and functional
LV abnormalities. Elevated BNP levels are related to high-risk structural and
functional CMR abnormalities and wall stress and functional status. Myocardial
fibrosis appears to be related to plasma BNP through the processes of ventricular
remodeling.
PMID- 22078220
TI - Analysis of echocardiograms in a large heterogeneous cohort of patients with
friedreich ataxia.
AB - Although Friedreich ataxia (FA) is associated with cardiomyopathy, the severity
and evolution of cardiac disease is poorly understood. To identify factors
predicting cardiomyopathy in FA, we assessed echocardiograms from a large
heterogenous cohort and their relation to disease traits. The most recent
echocardiograms from 173 subjects with FA were analyzed in a core laboratory to
determine their relation to disease duration, subject age, age of onset,
functional disability score, and GAA repeat length. Mean age of the cohort was
19.7 years, mean age of disease onset was 10.6 years, and mean shorter GAA length
was 681 repeats. Echocardiograms collectively illustrated systolic dysfunction,
diastolic dysfunction, and hypertrophy. Measurements of hypertrophy correlated
moderately with each other (r = 0.39 to 0.79) but not with measurements of
diastolic dysfunction (r <0.35). Diastolic measurements correlated poorly with
each other, although 26% of the cohort had multiple diastolic abnormalities. The
most common diastolic dysfunction classification was pseudonormalization.
Classification of diastolic dysfunction was predicted by GAA repeat length but
not by age or gender. Ejection fraction was below normal in 20% of the cohort. In
linear regression analysis, increasing age predicted decreasing ejection
fraction. Functional disability score, a measurement of neurologic ability, did
not predict any echocardiographic measurements. In conclusion, hypertrophy and
diastolic and systolic dysfunctions occur in FA and are substantially
independent; diastolic dysfunction is the most common abnormality with most
patients having an assigned diastolic dysfunction class of pseudonormalization.
PMID- 22078221
TI - Comparison of incidence of bleeding and mortality of men versus women with ST
elevation myocardial infarction treated with fibrinolysis.
AB - Gender-related differences in the incidence of bleeding and its relation to
subsequent mortality in patients with ST-segment elevation myocardial infarction
(STEMI) treated with fibrinolysis are not well understood. We studied patients
with STEMI receiving fibrinolysis enrolled in 6 clinical trials. Outcomes
included moderate or severe bleeding defined using Global Utilization of
Strategies to Open Occluded Arteries (GUSTO) criteria and adjusted 1-year
mortality (excluding deaths in first 24 hours). Moderate or severe bleeding was
1.9-fold higher in women compared to men (13.3% vs 7.1%, p <0.0001). Bleeding
remained higher in women even after adjustment for baseline differences (odd
ratios 1.52, 95% confidence interval [CI] 1.42 to 1.62). In fact, female gender
was second most important prognostic factor (Wald chi-square 153.6) after older
age (Wald chi-square 241.2) in the multivariable bleeding model. Overall 1-year
mortality was similar in women and men after adjusting for prognostically
important baseline differences (hazard ratio [HR] 1.06, 95% CI 0.97 to 1.17).
However, after adjustment for baseline confounders and bleeding, female gender
was associated with a lower risk of 1-year death. Thus, adjusted 1-year mortality
was similar in women compared to men without bleeding (HR 1.08, 95% CI 0.97 to
1.19) but lower in women compared to men with bleeding (HR 0.85, 95% CI 0.73 to
0.98, p for interaction of gender by bleeding = 0.0016). The highest adjusted 1
year mortality was observed in men with bleeding (HR 2.42, 95% CI 2.20 to 2.66)
followed by women with bleeding (HR 2.05, 95% CI 1.80 to 2.33) and women without
bleeding (HR 1.08, 95% CI 0.97 to 1.19, referent men without bleeding). In
conclusion, in patients with fibrinolytic-treated STEMI, women had a higher
incidence but lower mortality with bleeding than men. These data highlight the
importance of understanding factors associated with gender-related differences in
bleeding and represent an opportunity for improving outcomes of women and men
with fibrinolytic-treated STEMI.
PMID- 22078223
TI - Trauma networks: present and future challenges.
AB - In England, trauma is the leading cause of death across all age groups, with over
16,000 deaths per year. Major trauma implies the presence of multiple, serious
injuries that could result in death or serious disability. Successive reports
have documented the fact that the current ad hoc unstructured management of this
patient group is associated with considerable avoidable death and disability. The
reform of trauma care in England, especially of the severely injured patient, has
already begun. Strong clinical leadership is embraced as the way forward. The
present article summarises the steps that have been made over the last decade
that led to the recent decision to move towards a long anticipated restructure of
the National Health Service (NHS) trauma services with the introduction of
Regional Trauma Networks (RTNs). While, for the first time, a genuine political
will and support exists, the changes required to maintain the momentum for the
implementation of the RTNs needs to be marshalled against arguments, myths and
perceptions from the past. Such an approach may reverse the disinterest attitude
of many, and will gradually evolve into a cultural shift of the public,
clinicians and policymakers in the fullness of time.
PMID- 22078222
TI - Monophosphorylation of CD79a and CD79b ITAM motifs initiates a SHIP-1 phosphatase
mediated inhibitory signaling cascade required for B cell anergy.
AB - Anergic B cells are characterized by impaired signaling and activation after
aggregation of their antigen receptors (BCR). The molecular basis of this
impairment is not understood. In studies reported here, Src homology-2 (SH2)
containing inositol 5-phosphatase SHIP-1 and its adaptor Dok-1 were found to be
constitutively phosphorylated in anergic B cells, and activation of this
inhibitory circuit was dependent on Src-family kinase activity and consequent to
biased BCR immunoreceptor tyrosine-based activation motif (ITAM)
monophosphorylation. B cell-targeted deletion of SHIP-1 caused severe lupus-like
disease. Moreover, absence of SHIP-1 in B cells led to loss of anergy as
indicated by restoration of BCR signaling, loss of anergic surface phenotype, and
production of autoantibodies. Thus, chronic BCR signals maintain anergy in part
via ITAM monophosphorylation-directed activation of an inhibitory signaling
circuit involving SHIP-1 and Dok-1.
PMID- 22078224
TI - Consistent Differential Expression Pattern (CDEP) on microarray to identify genes
related to metastatic behavior.
AB - BACKGROUND: To utilize the large volume of gene expression information generated
from different microarray experiments, several meta-analysis techniques have been
developed. Despite these efforts, there remain significant challenges to
effectively increasing the statistical power and decreasing the Type I error rate
while pooling the heterogeneous datasets from public resources. The objective of
this study is to develop a novel meta-analysis approach, Consistent Differential
Expression Pattern (CDEP), to identify genes with common differential expression
patterns across different datasets. RESULTS: We combined False Discovery Rate
(FDR) estimation and the non-parametric RankProd approach to estimate the Type I
error rate in each microarray dataset of the meta-analysis. These Type I error
rates from all datasets were then used to identify genes with common differential
expression patterns. Our simulation study showed that CDEP achieved higher
statistical power and maintained low Type I error rate when compared with two
recently proposed meta-analysis approaches. We applied CDEP to analyze microarray
data from different laboratories that compared transcription profiles between
metastatic and primary cancer of different types. Many genes identified as
differentially expressed consistently across different cancer types are in
pathways related to metastatic behavior, such as ECM-receptor interaction, focal
adhesion, and blood vessel development. We also identified novel genes such as
AMIGO2, Gem, and CXCL11 that have not been shown to associate with, but may play
roles in, metastasis. CONCLUSIONS: CDEP is a flexible approach that borrows
information from each dataset in a meta-analysis in order to identify genes being
differentially expressed consistently. We have shown that CDEP can gain higher
statistical power than other existing approaches under a variety of settings
considered in the simulation study, suggesting its robustness and insensitivity
to data variation commonly associated with microarray experiments. AVAILABILITY:
CDEP is implemented in R and freely available at:
http://genomebioinfo.musc.edu/CDEP/. CONTACT: zhengw@musc.edu.
PMID- 22078225
TI - The impact of bromide/iodide concentration and ratio on iodinated trihalomethane
formation and speciation.
AB - The objective of this study was to evaluate the formation and speciation of
iodinated trihalomethanes (I-THMs) from preformed chloramination of waters
containing bromide (Br(-)) and iodide (I(-)) at a Br(-)/I(-) weight ratio of
10:1. The factors investigated were pH, iodide to dissolved organic carbon (I(
)/DOC) ratio, and NOM characteristics, specifically SUVA(254). A Br(-)/I(-) ratio
of 1:2 was also evaluated to determine the importance of Br(-) and I(-)
concentrations and ratio on I-THM formation and speciation. Regulated
triholamethanes (THMs) were measured alongside I-THMs for a more complete
understanding of trihalomethane formation. The results showed that, in general,
both I-THM and THM formation increased with decreased pH. Greater formation at
lower pH was likely attributed to monochloramine decomposition and the formation
of additional oxidants and substituting agents, most notably chlorine. For pH >=
7.5, I-THM yield increased with increasing I(-)/DOC ratio and decreasing specific
ultraviolet absorbance (SUVA(254)) of the water. The Br(-)/I(-), Br(-)/DOC and I(
)/DOC ratios were important factors for I-THM and THM speciation. At pH 6,
dichloroiodomethane (CHCl(2)I) and bromochloroiodomethane (CHBrClI) were the
dominant species at the common bromide and iodide levels. For pH >= 7.5 and for
elevated bromide and iodide levels, iodoform (CHI(3)) was always the dominant
specie regardless of the Br(-)/I(-) ratio. The results demonstrated that it is
important to examine I-THM formation and speciation at typical Br(-)/I(-) ratios
(~ 10) of natural waters, which have often been overlooked in previous
investigations, in order to obtain practical and relevant results.
PMID- 22078226
TI - Recycled water: potential health risks from volatile organic compounds and use of
1,4-dichlorobenzene as treatment performance indicator.
AB - Characterisation of the concentrations and potential health risks of chemicals in
recycled water is important if this source of water is to be safely used to
supplement drinking water sources. This research was conducted to: (i) determine
the concentration of volatile organic compounds (VOCs) in secondary treated
effluent (STE) and, post-reverse osmosis (RO) treatment and to; (ii) assess the
health risk associated with VOCs for indirect potable reuse (IPR). Samples were
examined pre and post-RO in one full-scale and one pilot plant in Perth, Western
Australia. Risk quotients (RQ) were estimated by expressing the maximum and
median concentration as a function of the health value. Of 61 VOCs analysed over
a period of three years, twenty one (21) were detected in STE, with 1,4
dichlorobenzene (94%); tetrachloroethene (88%); carbon disulfide (81%) and;
chloromethane (58%) most commonly detected. Median concentrations for these
compounds in STE ranged from 0.81 MUg/L for 1,4-dichlorobenzene to 0.02 MUg/L for
carbon disulphide. After RO, twenty six (26) VOCs were detected, of which 1,4
dichlorobenzene (89%); acrylonitrile (83%) chloromethane (63%) and carbon
disulfide (40%) were the more frequently detected. RQ(max) were all below health
values in the STE and after RO. Median removal efficiency for RO was variable,
ranging from -77% (dichlorodifluoromethane) to 91.2% (tetrachloroethene). The
results indicate that despite the detection of VOCs in STE and after RO, their
human health impact in IPR is negligible due to the low concentrations detected.
The results indicate that 1,4-dichlorobenzene is a potential treatment chemical
indicator for assessment of VOCs in IPR using RO treatment.
PMID- 22078227
TI - Boron bioremoval by a newly isolated Chlorella sp. and its stimulation by growth
stimulators.
AB - It has been well documented that excess concentrations of boron (B) causes toxic
effects on many of the environmental systems. Although Chlorella sp. has been
studied to remove pollutants from water, its capacity to remove B has not been
investigated yet. Boron removal levels of newly isolated Chlorella sp. were
investigated in BG 11 media with stimulators as triacontanol (TRIA) and/or sodium
bicarbonate (NaHCO(3)) and without them, to test if they could increase the
removal efficiency by increasing biomass. The assays were performed to determine
the effect of different medial compositions, B concentrations, pH and biomass
concentrations onto removal efficiency. Boron removal was investigated at 5-10
mg/L range at pH 8 in different medial compositions and maximum removal yield was
found as 32.95% at 5.45 mg/L B in media with TRIA and NaHCO(3). The effect of
different pH values on the maximum removal yield was investigated at pH 5-9, and
the optimum pH was found again 8. The interactive effect of biomass concentration
and B removal yield was also investigated at 0.386-1.061 g wet weight/L biomass.
The highest removal yield was found as 38.03% at the highest biomass range. This
study highlights the importance of using new isolate Chlorella sp. as a new
biomaterial for B removal process of waters containing B.
PMID- 22078228
TI - Effect of moderate pre-oxidation on the removal of Microcystis aeruginosa by
KMnO4-Fe(II) process: significance of the in-situ formed Fe(III).
AB - This study developed a novel KMnO(4)-Fe(II) process to remove the cells of
Microcystis aeruginosa, and the mechanisms involved in have been investigated. At
KMnO(4) doses of 0-10.0 MUM, the KMnO(4)-Fe(II) process showed 23.4-53.3% higher
efficiency than the KMnO(4)-Fe(III) process did. This was first attributed to the
moderate pre-oxidation of M. aeruginosa by KMnO(4), achieved by dosing Fe(II)
after a period of pre-oxidation, to cease the further release of intracellular
organic matter (IOM) and the degradation of dissolved organic matter (DOM). The
extensive exposure of M. aeruginosa to KMnO(4) in KMnO(4)-Fe(III) process led to
high levels and insufficient molecular weight of DOM, inhibiting the subsequent
Fe(III) coagulation. Additionally, Fe(II) contributed to lower levels of the in
situ formed MnO(2), the reduction product of KMnO(4) which adversely affected
algae removal by Fe(III) coagulation. However, the in-situ formed Fe(III), which
was derived from the oxidation of Fe(II) by KMnO(4), in-situ MnO(2), and
dissolved oxygen, dominated the remarkably high efficiency of KMnO(4)-Fe(II)
process with respect to the removal of M. aeruginosa. On one hand, in-situ formed
Fe(III) had more reactive surface area than pre-formed Fe(III). On the other
hand, the continuous introduction of fresh Fe(III) coagulant showed higher
efficiency than one-off dosage of coagulant to destabilize M. aeruginosa cells
and to increase the flocs size. Moreover, the MnO(2) precipitated on algae cell
surfaces and contributed to the formation of in-situ formed Fe(III), which may
act as bridges to enhance the removal of M. aeruginosa.
PMID- 22078229
TI - Microscale geochemical gradients in Hanford 300 Area sediment biofilms and
influence of uranium.
AB - The presence and importance of microenvironments in the subsurface at
contaminated sites were suggested by previous geochemical studies. However, no
direct quantitative characterization of the geochemical microenvironments had
been reported. We quantitatively characterized microscale geochemical gradients
(dissolved oxygen (DO), H(2), pH, and redox potential) in Hanford 300A subsurface
sediment biofilms. Our results revealed significant differences in geochemical
parameters across the sediment biofilm/water interface in the presence and
absence of U(VI) under oxic and anoxic conditions. While the pH was relatively
constant within the sediment biofilm, the redox potential and the DO and H(2)
concentrations were heterogeneous at the microscale (<500-1000 MUm). We found
microenvironments with high DO levels (DO hotspots) when the sediment biofilm was
exposed to U(VI). On the other hand, we found hotspots (high concentrations) of
H(2) under anoxic conditions both in the presence and in the absence of U(VI).
The presence of anoxic microenvironments inside the sediment biofilms suggests
that U(VI) reduction proceeds under bulk oxic conditions. To test this, we
operated our biofilm reactor under air-saturated conditions in the presence of
U(VI) and characterized U speciation in the sediment biofilm. U L(III)-edge X-ray
absorption spectroscopy (XANES and EXAFS) showed that 80-85% of the U was in the
U(IV) valence state.
PMID- 22078230
TI - Constitutive expression of a grapevine polygalacturonase-inhibiting protein
affects gene expression and cell wall properties in uninfected tobacco.
AB - BACKGROUND: Polygalacturonase-inhibiting proteins (PGIPs) directly limit the
effective ingress of fungal pathogens by inhibiting cell wall-degrading
endopolygalacturonases (ePGs). Transgenic tobacco plants over-expressing
grapevine (Vitis vinifera) Vvpgip1 have previously been shown to be resistant to
Botrytis infection. In this study we characterized two of these PGIP over
expressing lines with known resistance phenotypes by gene expression and hormone
profiling in the absence of pathogen infection. RESULTS: Global gene expression
was performed by a cross-species microarray approach using a potato cDNA
microarray. The degree of potential cross-hybridization between probes was
modeled by a novel computational workflow designed in-house. Probe annotations
were updated by predicting probe-to-transcript hybridizations and combining
information derived from other plant species. Comparing uninfected Vvpgip1
overexpressing lines to wild-type (WT), 318 probes showed significant change in
expression. Functional groups of genes involved in metabolism and associated to
the cell wall were identified and consequent cell wall analysis revealed
increased lignin-levels in the transgenic lines, but no major differences in cell
wall-derived polysaccharides. GO enrichment analysis also identified genes
responsive to auxin, which was supported by elevated indole-acetic acid (IAA)
levels in the transgenic lines. Finally, a down-regulation of xyloglucan
endotransglycosylase/hydrolases (XTHs), which are important in cell wall
remodeling, was linked to a decrease in total XTH activity. CONCLUSIONS: This
evaluation of PGIP over-expressing plants performed under pathogen-free
conditions to exclude the classical PGIP-ePG inhibition interaction indicates
additional roles for PGIPs beyond the inhibition of ePGs.
PMID- 22078231
TI - Preparation and full characterization of a micro-immunoaffinity monolithic column
and its in-line coupling with capillary zone electrophoresis with Ochratoxin A as
model solute.
AB - A micro-immunoaffinity monolithic column (MUIAC) was developed and in-line
coupled with capillary zone electrophoresis in a fully automated way with
Ochratoxin A as test solute. The in-line micro-immunoaffinity columns based on
monolithic methacrylate polymers (EDMA-GMA) were prepared in situ at the inlet
end of a PTFE coated fused silica capillary by UV initiated polymerization and
subsequently grafted with antibodies. These MUIACs were thoroughly characterized.
The synthesis of the polymeric support was first demonstrated to be reproducible
in terms of permeability, surface properties and efficiency. The antibodies
immobilization was then studied by a new original hydrodynamic method (ADECA)
allowing the in situ quantitative determination (at a miniaturized scale) of the
total amount of immobilized antibodies. The combination of this measurement with
the binding capacity of the MUIAC allowed, for the first time, the in situ
determination of immobilized antibody activity. A total of 260 +/- 15 ng (1.6 +/-
0.1 pmol) of IgG antibodies/cm in 75 MUm i.d. monolithic column (i.e. 18 MUgmg(
1)) was obtained with (anti-Ochratoxin A/Ochratoxin A) as antibody/antigen model.
40% of the immobilized antibodies remain active corresponding to a binding
capacity of 1.2 +/- 0.2 pmol antigen/cm (i.e. 600 pg/cm of our test solute OTA),
a very high capacity when dealing with trace analysis and with regard to the
detection limits (30 pg and 0.5 pg with UV and LIF detection, respectively). The
recovery yields were quantitative with negligible non-specific adsorption and
allow analysis of diluted samples (1 ngmL(-1)) for a percolated volume of 10 MUL.
It was also demonstrated that despite the progressive denaturation of antibodies
consecutive to the elution step, the binding capacity of the MUIAC remained high
enough to implement at least 15 consecutive analyses with the same column and in
a fully automated way.
PMID- 22078232
TI - A sub-two minutes method for monoclonal antibody-aggregate quantification using
parallel interlaced size exclusion high performance liquid chromatography.
AB - In process development and during commercial production of monoclonal antibodies
(mAb) the monitoring of aggregate levels is obligatory. The standard assay for
mAb aggregate quantification is based on size exclusion chromatography (SEC)
performed on a HPLC system. Advantages hereof are high precision and simplicity,
however, standard SEC methodology is very time consuming. With an average
throughput of usually two samples per hour, it neither fits to high throughput
process development (HTPD), nor is it applicable for purification process
monitoring. We present a comparison of three different SEC columns for mAb
aggregate quantification addressing throughput, resolution, and reproducibility.
A short column (150 mm) with sub-two micron particles was shown to generate high
resolution (~1.5) and precision (coefficient of variation (cv)<1) with an assay
time below 6 min. This column type was then used to combine interlaced sample
injections with parallelization of two columns aiming for an absolute minimal
assay time. By doing so, both lag times before and after the peaks of interest
were successfully eliminated resulting in an assay time below 2 min. It was
demonstrated that determined aggregate levels and precision of the throughput
optimized SEC assay were equal to those of a single injection based assay. Hence,
the presented methodology of parallel interlaced SEC (PI-SEC) represents a
valuable tool addressing HTPD and process monitoring.
PMID- 22078233
TI - Synthesis and application of a macroporous boronate affinity monolithic column
using a metal-organic gel as a porogenic template for the specific capture of
glycoproteins.
AB - A macroporous boronate affinity monolithic column was prepared and applied to
specifically capture glycoproteins using metal-organic gels (MOGs) as a porogenic
template. This newly explored application of MOGs has proven to be a more
convenient method for the formation of macropores in contrast to traditional
porogenic methods. The poly (3-acrylamidophenylboronic acid-co-ethylene
dimethacrylate) monolithic columns were synthesized in stainless columns by in
situ polymerization. To fabricate the macroporous formation with a uniformed open
channel network, the preparation conditions, such as reaction temperature, the
concentration of the MOGs and the ratio of monomers were systematically
investigated. The prepared macroporous monoliths were characterized by scanning
electron microscope (SEM) and mercury intrusion porosimetry. Furthermore,
horseradish peroxidase (HRP) and transferrin (TF) were chosen as test
glycoproteins, and the chromatographic analysis demonstrated that the macroporous
boronate affinity monoliths exhibited a higher selectivity and better dynamic
binding capacity toward glycoproteins compared with non-glycoproteins. The
resulted affinity monolithic column was successfully employed to specifically
capture TF from a bovine serum sample.
PMID- 22078234
TI - Ionic liquids as silica deactivating agents in gas chromatography for direct
analysis of primary amines in water.
AB - Analysis of primary amines in aqueous samples remains a challenging analytical
issue. The preferred approach by gas chromatography is hampered by interactions
of free silanol groups with the highly reactive amine groups, resulting in
inconsistent measurements. Here, we report a method for direct analysis of
aliphatic amines and diamines in aqueous samples by gas chromatography (GC) with
silanol deactivation using ionic liquids (ILs). ILs including
trihexyl(tetradecyl)phosphonium bis 2,4,4-(trimethylpentyl)phosphinate (Cyphos IL
104), 1-methyl-3-propylimidazolium bis(trifluoromethylsulfonyl)imide
[pmim][Tf(2)N] and N"-ethyl-N,N,N',N'-tetramethylguanidinium
tris(pentafluoroethyl)trifluorophosphate [etmg][FAP] were tested as deactivating
media for the GC liner. Solutions of these ILs in methanol were injected in the
system prior to the analysis of primary amines. Butane-1,4-diamine (putrescine,
BDA) was used as a reference amine. The best results were obtained using the
imidazolium IL [pmim][Tf(2)N]. With this deactivator, excellent reproducibility
of the analysis was achieved, and the detection limit of BDA was as low as 1mM.
The applicability of the method was proven for the analysis of two different
primary amines (C4-C5) and pentane-1,5-diamine.
PMID- 22078235
TI - Determination of phenylureas herbicides in food stuffs based on matrix solid
phase dispersion extraction and capillary electrophoresis with
electrochemiluminescence detection.
AB - A new method based on matrix solid phase dispersion-capillary electrophoresis
with electrochemiluminescence detection (MSPD-CE-ECL) has been developed for the
simultaneous determination of three kinds of phenylurea herbicides (PHUs). Poly
beta-cyclodextrin (poly-beta-CD) was used as an additive in the running buffer to
improve the separation of three analytes. The conditions for CE separation, ECL
detection and effect of poly-beta-CD were investigated in detail. Under the
optimal conditions, three kinds of herbicides (isoproturon, linuron and diuron)
were well separated and detected within 8 min. The linear ranges of the standard
solution for isoproturon and linuron were 1-300 MUg L(-1) with a detection limit
(S/N=3) of 0.1 MUg L(-1), and for diuron was 2-500 MUg L(-1) with a detection
limit of 0.2 MUg L(-1). The average recoveries were in the range of 86.9-102.8%,
and all relative standard deviation of the migration time and the ECL intensity
in intraday and interday were less than 9.0%. The proposed method was also
applied to the determination of three kinds of herbicides in green vegetable and
rice samples with recoveries in the range from 78.1 to 93.8%.
PMID- 22078236
TI - A multi-fiber handling device for in vivo solid phase microextraction-liquid
chromatography-mass spectrometry applications.
AB - Solid phase microextraction, an in vivo and ex vivo sample preparation method,
continues to capture growing interest among researchers for bioanalytical
applications. When coupled with liquid chromatography mass spectrometry, the
procedure often involves large numbers of fibers in, for example, both
pharmacokinetic and pharmadynamic studies as well as other bioapplications. In
this regard, appropriate and adequate precaution will be critical in preventing
the fibers firstly from any possible external contamination and damage to
maintain high analytical data integrity. In addition, improving the offline
desorption of fibers specifically for in vivo SPME will not only help in
improving data quality, but will also significantly decrease the overall analysis
time. This article introduces a prototype multi-fiber handling device capable of
simultaneous extraction/desorption of multiple solid phase microextraction (SPME)
fibers on a 96-deep well plate format. This device thus provides an alternative
approach to improving higher sample throughput for in vivo SPME liquid
chromatography mass spectrometry applications. The portable design of the device
ensures effective protection and prevention of fibers against damage and possible
contamination and thus maintains analytical data reliability. To ensure its
suitability for parallel extraction/desorption, the device was carefully
evaluated using four benzodiazepines (diazepam, nordiazepam, oxazepam and
lorazepam) as model drugs by monitoring inter- and intra-well variability. The
effect of agitation speed on data precision and accuracy, effect of device weight
on data precision, and comparison of the overall performance of the device with
traditional manual desorption approach were also assessed. Results obtained from
evaluation of the device with particular focus on the desorption process
indicated that the weight of the device has no effect on the reliability and
reproducibility of data acquired using the device. The average amount of diazepam
obtained for 20 selected wells with and without device was 48.8pg and 49.4pg,
respectively. Intra-, inter-well, and inter fiber variations recorded were all
<=13% indicating an excellent precision and reproducibility can be attained with
the device.
PMID- 22078237
TI - Opposite effects of interferon-beta on new B and T cell release from production
sites in multiple sclerosis patients.
AB - The release of newly produced B and T lymphocytes from the production sites was
analyzed in 30 multiple sclerosis patients treated with interferon-beta by
measuring T-cell receptor excision circles and k-deleting recombination excision
circles. We found that the therapy induces opposite effects on B- and T-cell
mobilization in 33% of patients. New B-cell production, which peaks after 6
months of therapy and then decreases to levels that, however, are still higher
than in controls, may cause a renewal of the B-cell compartment. On the contrary,
the decreased number of newly produced T lymphocytes observed at 12 months of
treatment and the association between reduced thymic output and low peripheral T
lymphocytes can be a cause of leukopenia, a frequent side effect of the therapy.
PMID- 22078238
TI - Galanin and alpha-MSH autoantibodies in cerebrospinal fluid of patients with
Alzheimer's disease.
AB - BACKGROUND: Neuropeptides galanin and alpha-melanocyte-stimulating hormone (alpha
MSH) are involved in the regulation of memory and appetite. Increased galanin and
decreased alpha-MSH levels were reported in postmortem brains of patients with
Alzheimer's disease (AD) but the underlying mechanisms are uncertain. Here we
studied if autoantibodies (autoAbs) reacting with galanin and alpha-MSH are
altered in AD. METHODS: Levels of free and total IgG autoAbs reacting with
galanin and alpha-MSH were measured in sera and cerebrospinal fluid (CSF) of 18
subjects with AD and in 15 age-matched non-demented controls. Values were
correlated with Mini-Mental State Examination (MMSE) score, body mass index (BMI)
and CSF levels of AD biomarkers. RESULTS: CSF levels of total but not free IgG
autoAbs against galanin were increased in AD, resulting in increased percentage
of galanin autoAbs present as immune complexes. CSF levels of galanin total
autoAbs and alpha-MSH free autoAbs correlated negatively with the severity of
cognitive impairment as measured by MMSE. Both total and free autoAbs against
galanin and alpha-MSH in CSF correlated negatively with age in AD patients but
not in controls. CSF levels of galanin autoAbs and free alpha-MSH AutoAbs
negatively correlated with CSF levels of t-Tau, p-Tau and ratios of t-Tau/Abeta42
or p-Tau/Abeta42 in AD patients but not in controls. CONCLUSIONS: AutoAbs
reacting with galanin and alpha-MSH are present in CSF and are associated with
clinical characteristics of AD patients. The functional significance and
therapeutic potential of these autoAbs should be further clarified.
PMID- 22078239
TI - SvO2 to monitor resuscitation of septic patients: let's just understand the basic
physiology.
AB - Real-time monitoring of mixed venous oxygen blood saturation (SvO2) or of central
venous oxygen blood saturation is often used during resuscitation of septic
shock. However, the meaning of these parameters is far from straightforward. In
the present commentary, we emphasize that SvO2--a global marker of tissue oxygen
balance--can never be simplistically used as a marker of preload responsiveness,
which is an intrinsic marker of cardiac performance. In some septic shock
patients, because of profound hypovolemia or myocardial dysfunction, SvO2 can be
low but obviously cannot alone indicate whether a fluid challenge would increase
cardiac output. In other patients, because of a profound impairment of oxygen
extraction capacities, SvO2 can be abnormally high even in patients who are still
able to respond positively to fluid infusion. In any case, other reliable dynamic
parameters can help to address the important question of fluid
responsiveness/unresponsiveness. However, whether fluid administration in fluid
responders and high SvO2 would be efficacious to reduce tissue dysoxia in the
most injured tissues is still uncertain.
PMID- 22078240
TI - Fruit and vegetables intake among elderly Iranians: a theory-based interventional
study using the five-a-day program.
AB - BACKGROUND: The benefit of FV intake in old age is well documented. However,
there is evidence that old people do not consume enough FV. The purpose of this
study was to evaluate the effectiveness of a tailored nutrition intervention that
aimed to increase the FV intake among elderly Iranians aged 60 and over. METHODS:
This quasi-experimental study was performed among a community-based sample of
elderly in Tehran, Iran in year 2008 to 2009. Data were collected at baseline and
4 weeks follow-up. At baseline face-to-face interviews were conducted using a
structured questionnaire including items on demographic information, stages of
change, self-efficacy, decisional balance, daily servings of FV intake. Follow-up
data were collected after implementing the intervention. RESULTS: In all 400
elderly were entered into the study (200 individuals in intervention group and
200 in control group). The mean age of participants was 64.06 +/- 4.48 years and
overall two-third of participants were female. At baseline total FV intake was
not differed between two groups but it was significantly increased in the
intervention group at posttest assessment (mean serving/day in intervention group
3.08 +/- 1.35 vs. 1.79 +/- 1.08 in control group; P = 0.001). Further analysis
also indicated that elderly in intervention group had higher FV intake, perceived
benefits and self-efficacy, and lower perceived barriers. Compared with control
group, greater proportions of elderly in intervention group moved from pre
contemplation to contemplation/preparation and action/maintenance stages (P <
0.0001), and from contemplation/preparation to action/maintenance stages (P =
0.004) from pretest to posttest assessments. CONCLUSION: This study suggests that
the Transtheoretical Model is a useful model that can be applied to dietary
behavior change, more specifically FV consumption among elderly population in
Iran and perhaps elsewhere with similar conditions.
PMID- 22078242
TI - The importance of worry across diagnostic presentations: prevalence, severity and
associated symptoms in a partial hospital setting.
AB - Although excessive worry has been linked primarily with Generalized Anxiety
Disorder (GAD), recent work suggests worry is dimensional, with potential
relevance to a range of psychiatric disorders. The current study examined
associations between worry and psychological symptoms across several primary
diagnoses and tested worry's hypothesized unique relation to GAD in an acute
psychiatric setting. Participants were 568 patients with primary diagnoses of
Major Depression, Bipolar Disorder-Depressed, Bipolar Disorder-Manic, and
Psychosis. Participants completed a structured diagnostic interview and
questionnaires at admission. Partial correlations controlling for GAD diagnosis
indicated that worry correlated with higher depression and poorer overall well
being in the Depressed, Bipolar-Depressed, and Psychosis groups and decreased
functioning in the Depressed, Bipolar-Manic, and Psychosis groups. Depressed and
Bipolar-Depressed groups endorsed the highest level of worry. A comorbid anxiety
disorder was associated with higher worry across primary diagnoses, even after
controlling for GAD. Of the anxiety disorders, GAD and Panic Disorder diagnoses
predicted higher worry scores. Results discussed in terms of conceptual
implications for worry as a transdiagnostic concept and clinical interventions.
PMID- 22078241
TI - Rationale and design of a randomized controlled trial of directly observed
hepatitis C treatment delivered in methadone clinics.
AB - BACKGROUND: Most methadone-maintained injection drug users (IDUs) have been
infected with hepatitis C virus (HCV), but few initiate HCV treatment. Physicians
may be reluctant to treat HCV in IDUs because of concerns about treatment
adherence, psychiatric comorbidity, or ongoing drug use. Optimal HCV management
approaches for IDUs remain unknown. We are conducting a randomized controlled
trial in a network of nine methadone clinics with onsite HCV care to determine
whether modified directly observed therapy (mDOT), compared to treatment as usual
(TAU), improves adherence and virologic outcomes among opioid users.
METHODS/DESIGN: We plan to enroll 80 HCV-infected adults initiating care with
pegylated interferon alfa-2a (IFN) plus ribavirin, and randomize them to mDOT
(directly observed daily ribavirin plus provider-administered weekly IFN) or TAU
(self-administered ribavirin plus provider-administered weekly IFN). Our outcome
measures are: 1) self-reported and pill count adherence, and 2) end of treatment
response (ETR) or sustained viral response (SVR). We will use mixed effects
linear models to assess differences in pill count adherence between treatment
arms (mDOT v. TAU), and we will assess differences between treatment arms in the
proportion of subjects with ETR or SVR with chi square tests. Of the first 40
subjects enrolled: 21 have been randomized to mDOT and 19 to TAU. To date, the
sample is 77% Latino, 60% HCV genotype-1, 38% active drug users, and 27% HIV
infected. Our overall retention rate at 24 weeks is 92%, 93% in the mDOT arm and
92% in the TAU arm. DISCUSSION: This paper describes the design and rationale of
a randomized clinical trial comparing modified directly observed HCV therapy
delivered in a methadone program to on-site treatment as usual. Our trial will
allow rigorous evaluation of the efficacy of directly observed HCV therapy (both
pegylated interferon and ribavirin) for improving adherence and clinical
outcomes. This detailed description of trial methodology can serve as a template
for the development of future DOT programs, and can also guide protocols for
studies among HCV-infected drug users receiving methadone for opiate dependence.
PMID- 22078243
TI - Validation of the Spence Children's Anxiety Scale's obsessive compulsive subscale
in a clinical and community sample.
AB - This study describes the validation of the obsessive compulsive subscale on the
Spence Children's Anxiety Scale (SCAS OCD) for use as a clinical assessment tool.
Data from 196 anxious children (102 males, ages 7-18) and their parent collected
during a diagnostic assessment were compared to data from 420 children (206
males, ages 8-13) from the community collected by mail. The validity of the SCAS
OCD parent- and child-report forms were supported by correlations with the
Children's Yale-Brown Obsessive Compulsive Scale and continuous OCD symptom
variables from the Anxiety Disorders Interview Schedule: Child Version. In
addition, children with OCD were found to have higher scores on the SCAS OCD
subscale than patients without OCD and children from the community without a
reported anxiety diagnosis. The sensitivity of the SCAS OCD to treatment effects
was also demonstrated in a subset of the clinical sample that received exposure
and response prevention therapy. Finally, cut-scores were identified that examine
the sensitivity and predictive utility of the scales.
PMID- 22078244
TI - Covalent linkage of N-methyl-6-oxyquinolinium betaine to trehalose.
AB - The common route to link quinolinium and pyridinium fluorophores to biomolecules
via bromoacetic acid has failed in labeling the disaccharide trehalose with N
methyl-6-oxyquinolinium betaine: the unexpected, extremely high instability of
the N-carboxymethyl ester was overcome by direct N-alkylation of the quinoline
derivative with trehalose triflate.
PMID- 22078245
TI - C1-esterase inhibitor protects against early vein graft remodeling under arterial
blood pressure.
AB - OBJECTIVES: Arterial pressure induced vein graft injury can result in endothelial
loss, accelerated atherosclerosis and vein graft failure. Inflammation, including
complement activation, is assumed to play a pivotal role herein. Here, we
analyzed the effects of C1-esterase inhibitor (C1inh) on early vein graft
remodeling. METHODS: Human saphenous vein graft segments (n=8) were perfused in
vitro with autologous blood either supplemented or not with purified human C1inh
at arterial pressure for 6h. The vein segments and perfusion blood were analyzed
for cell damage and complement activation. In addition, the effect of purified
C1inh on vein graft remodeling was analyzed in vivo in atherosclerotic
C57Bl6/ApoE3 Leiden mice, wherein donor caval veins were interpositioned in the
common carotid artery. RESULTS: Application of C1inh in the in vitro perfusion
model resulted in significantly higher blood levels and significantly more
depositions of C1inh in the vein wall. This coincided with a significant
reduction in endothelial loss and deposition of C3d and C4d in the vein wall,
especially in the circular layer, compared to vein segments perfused without
supplemented C1inh. Administration of purified C1inh significantly inhibited vein
graft intimal thickening in vivo in atherosclerotic C57Bl6/ApoE3 Leiden mice,
wherein donor caval veins were interpositioned in the common carotid artery.
CONCLUSION: C1inh significantly protects against early vein graft remodeling,
including loss of endothelium and intimal thickening. These data suggest that it
may be worth considering its use in patients undergoing coronary artery bypass
grafting.
PMID- 22078248
TI - Experience of nursing leaders.
PMID- 22078247
TI - Age- and sex-related differences in vascular function and vascular response to
mental stress. Longitudinal and cross-sectional studies in a cohort of healthy
children and adolescents.
AB - OBJECTIVE: Limited data, especially from longitudinal studies, are available
regarding vascular health assessment in childhood. In this study, we performed
longitudinal and cross-sectional studies in healthy children and adolescents to
investigate age- and sex-related differences in vascular functions and vascular
response to mental stress. METHODS: Pulse wave velocity (PWV) was measured by
tonometry. Endothelial function and vascular response to mental arithmetic test
were assessed using a peripheral artery tonometry device. Data were obtained in
162 adolescents (mean age of 17 years, 94 girls) in a 3-year follow-up study and
241 children (mean age of 10 years, 115 girls) in a first-time investigation.
Physical activity was assessed in adolescents by a self-report questionnaire.
RESULTS: Our 3-year follow-up study revealed that the increased PWV was greater
in male adolescents (0.79+/-0.79m/s) than in females (0.27+/-0.89m/s, p<0.001).
Adolescents who reported decreased physical activity over the 3-year period had
increased arterial stiffness. Comparing the cross-sectional data, we found that
sex-related differences in reactive hyperemic response was more apparent by
evaluating the overall response curve than measuring the reactive hyperemic index
from one arbitrary time point, with lower peak response and smaller area-under
curve found in boys. Moreover, we found that, in response to mental stress, male
adolescents had a more vasoconstrictive response, followed by a less vasodilatory
response, and needed longer time to return to baseline level than the females.
CONCLUSION: These findings suggest that boys are likely to have adverse changes
in vascular health earlier than the age-matched girls.
PMID- 22078246
TI - Relationship between hemodynamics and atherosclerosis in aortic arches of
apolipoprotein E-null mice on 129S6/SvEvTac and C57BL/6J genetic backgrounds.
AB - OBJECTIVE: We investigated the relationships between hemodynamics and
differential plaque development at the aortic arch of apolipoprotein E (apoE)
null mice on 129S6/SvEvTac (129) and C57BL/6J (B6) genetic backgrounds. METHODS:
Mean flow velocities at the ascending and descending aorta (mVAA and mVDA) were
measured by Doppler ultrasound in wild type and apoE-null male mice at 3 and 9
months of age. Following dissection of the aortic arches, anatomical parameters
and plaque areas were evaluated. RESULTS: Arch plaques were five times bigger in
129-apoE than in B6-apoE mice at 3 months, and twice as large at 9 months. The
geometric differences, namely larger vessel diameter in the B6 strain and broader
inner curvature of the aortic arch in the 129 strain, were exaggerated in 9-month
old apoE-null mice. Cardiac output and heart rate under anesthesia were
significantly higher in the B6 strain than in the 129 strain. The values of mVAA
were similar in the two strains, while mVDA was lower in the 129 strain. However,
there was a 129-apoE-specific reduction of flow velocities with age, and both
mVAA and mVDA were significantly lower in 129-apoE than in B6-apoE mice at 9
months. The mean relative wall shear stress (rWSS) over the aortic arch in 129
apoE and B6-apoE mice were not different, but animals with lower mean rWSS had
larger arch plaques within each strain. CONCLUSIONS: The plaque formation in the
arch of apoE-null mice is accompanied by strain-dependent changes in both arch
geometry and hemodynamics. While arch plaque sizes negatively correlate with mean
rWSS, additional factors are necessary to account for the strain differences in
arch plaque development.
PMID- 22078249
TI - A position paper: the continuing dilemma in nursing education.
PMID- 22078250
TI - Characterisation and application of a novel positively charged nanofiltration
membrane for the treatment of textile industry wastewaters.
AB - The present study demonstrates the high potential for the application of a novel
self assembled positively charged nanofiltration membrane, PA6DT-C, in processes
such as the recovery of valuable cationic macromolecules in the bioprocess and
pharmaceutical industries or removal of multi-valent cations such as dyes and
heavy metals in the paper and pulp, textiles, nuclear, and automotive industries.
The nanofiltration membrane, prepared in this laboratory, is further
characterised and then tested for the removal and recovery of Methylene Blue from
a synthetic dye house wastewater. The characterisation process involved the
construction of a rejection profile for NaCl over a wide range of pH and
concentration, which illustrates that the optimal process conditions for the
removal of small cations using this membrane is in the region pH <8.0 and
concentration less than 15 mol m(-3). The salt rejection data was used to
calculate the magnitude of the effective membrane charge density and this was
found to be significantly higher for the PA6DT-C membrane than two commercially
available membranes (Desal-DK and Nanomax-50). The membrane flux for this new
membrane is also superior to the commercial membranes with an approximate
increase of 3-4 fold. The PA6DT-C membrane was successful in removal of Methylene
Blue dye from synthetic dye house wastewaters achieving 98% rejection and a
membrane flux of ~ 17 LMH bar(-1). Thus, this new membrane both adds to and
complements the existing short supply of positively charged NF membranes.
PMID- 22078251
TI - Polyaluminum chloride with high Al30 content as removal agent for arsenic
contaminated well water.
AB - Polyaluminum chloride (PACl) is a well-established coagulant in water treatment
with high removal efficiency for arsenic. A high content of Al(30) nanoclusters
in PACl improves the removal efficiency over broader dosage and pH range. In this
study we tested PACl with 75% Al(30) nanoclusters (PACl(Al30)) for the treatment
of arsenic-contaminated well water by laboratory batch experiments and field
application in the geothermal area of Chalkidiki, Greece, and in the Pannonian
Basin, Romania. The treatment efficiency was studied as a function of dosage and
the nanoclusters' protonation degree. Acid-base titration revealed increasing
deprotonation of PACl(Al30) from pH 4.7 to the point of zero charge at pH 6.7.
The most efficient removal of As(III) and As(V) coincided with optimal
aggregation of the Al nanoclusters at pH 7-8, a common pH range for groundwater.
The application of PACl(Al30) with an Al(tot) concentration of 1-5mM in
laboratory batch experiments successfully lowered dissolved As(V) concentrations
from 20 to 230 MUg/L to less than 5 MUg/L. Field tests confirmed laboratory
results, and showed that the WHO threshold value of 10 MUg/L was only slightly
exceeded (10.8 MUg/L) at initial concentrations as high as 2300 MUg/L As(V).
However, As(III) removal was less efficient (<40%), therefore oxidation will be
crucial before coagulation with PACl(Al30). The presence of silica in the well
water improved As(III) removal by typically 10%. This study revealed that the
Al(30) nanoclusters are most efficient for the removal of As(V) from water
resources at near-neutral pH.
PMID- 22078252
TI - TiO2 and Fe (III) photocatalytic ozonation processes of a mixture of emergent
contaminants of water.
AB - A mixture of three emergent contaminants: testosterone (TST), bisphenol A (BPA)
and acetaminophen (AAP) has been treated with different photocatalytic oxidation
systems. Homogeneous catalysts as Fe(III) alone or complexed with oxalate or
citrate ions, heterogeneous catalysts as titania, and oxidants such as hydrogen
peroxide and/or ozone have been used to constitute the oxidation systems. For the
radiation type, black light lamps mainly emitting at 365 nm have been used. The
effects of pH (3 and 6.5) have been investigated due to the importance of this
variable both in ozone and Fe(III) systems. Removal of initial compounds and
mineralization (total organic carbon: TOC) were followed among other parameters.
For the initial compounds removal ozonation alone, in many cases, allows the
highest elimination rates, regardless of the presence or absence of UVA light and
catalyst. For mineralization, however, ozone photocatalytic processes clearly
leads to the highest oxidation rates.
PMID- 22078253
TI - Risk prioritisation of stormwater pollutant sources.
AB - This paper describes the development of a pollutant risk prioritisation
methodology for the comparative assessment of stormwater pollutants discharged
from differing land use types and activities. Guidelines are presented which
evaluate available data with respect to 'likelihood of occurrence' and 'severity
of impact'. The use of the developed approach is demonstrated through its
application to total suspended solids, biochemical oxygen demand, lead and
cadmium. The proposed benchmarking scheme represents a transparent and auditable
mechanism to support the synthesis of data from a variety of sources and is
sufficiently flexible to incorporate the use of chemical, physical and/or
ecological data sets. Practitioners involved in developing and implementing
pollutant mitigation programmes are assisted in two key ways. Firstly through
enabling the risks to receiving waters from diffuse pollution on a source-by
source and/or pollutant-by-pollutant basis at a catchment scale to be
comparatively assessed and prioritised. Secondly, the methodology informs the
selection of appropriate diffuse pollution control strategies.
PMID- 22078254
TI - Efficient electricity generation from sewage sludge using biocathode microbial
fuel cell.
AB - Microbial fuel cells (MFCs) with abiotic cathodes require expensive catalyst
(such as Pt) or catholyte (such as hexacynoferrate) to facilitate oxidation
reactions. This study incorporated biocathodes into a three-chamber MFC to yield
electricity from sewage sludge at maximum power output of 13.2 +/- 1.7 W/m(3)
during polarization, much higher than those previously reported. After 15 d
operation, the total chemical oxygen demand (TCOD) removal and coulombic
efficiency (CE) of cell reached 40.8 +/- 9.0% and 19.4 +/- 4.3%, respectively.
The anolyte comprised principally acetate and propionate (minor) as metabolites.
The use of biocathodes produced an internal resistance of 36-46 Omega, lower than
those reported in literature works, hence yielding higher maximum power density
from MFC. The massively parallel sequencing technology, 454 pyrosequencing
technique, was adopted to probe microbial community on anode biofilm, with
dominant phyla belonging to Proteobacteria (45% of total bacteria), Bacteroidetes
(19%), Uncultured bacteria (9%), Actinobacteria (7%), Firmicutes (7%), Chloroflex
(7%). At genera level, Rhodoferax, Ferruginibacter, Propionibacterium,
Rhodopseudomonas, Ferribacterium, Clostridium, Chlorobaculum, Rhodobacter,
Bradyrhizobium were the abundant taxa (relative abundances>2.0%).
PMID- 22078255
TI - Influence of temperature and salinity on Ostreopsis cf. ovata growth and
evaluation of toxin content through HR LC-MS and biological assays.
AB - In the Mediterranean Sea, blooms of Ostreopsis cf. ovata and Ostreopsis siamensis
have become increasingly frequent in the last decade and O. cf. ovata was found
to produce palytoxin-like compounds (putative palytoxin, ovatoxin-a, -b, -c, -d
and -e), a class of highly potent toxins. The environmental conditions seem to
play a key role in influencing the abundance of Ostreopsis spp. High cell
densities are generally recorded in concomitance with relatively high temperature
and salinity and low hydrodynamics conditions. In this study the effects of
temperature and salinity on the growth and toxicity of an Adriatic O. cf. ovata
isolate were investigated. The highest growth rates of the Adriatic strain were
recorded for cultures grown at 20 degrees C and at salinity values of 36 and 40,
in accordance with natural bloom surveys. Toxicity was affected by growth
conditions, with the highest toxin content on a per cell basis being measured at
25 degrees C and salinity 32. However, the highest total toxin content on a per
litre basis was recorded at 20 degrees C and salinity 36, since under such
conditions the growth yield was the highest. O. cf. ovata had lethal effects on
Artemia nauplii and juvenile sea basses, and produced haemolysis of sheep
erythrocytes. A comparison between haemolysis neutralization assay and HR LC-MS
results showed a good correlation between haemolytic effect and total toxin
content measured through HR LC-MS. Considering the increasing need for rapid and
sensitive methods to detect palytoxin in natural samples, the haemolytic assay
appears a useful method for preliminary quantification of the whole of palytoxin
like compounds in algal extracts.
PMID- 22078256
TI - Effects of microcystin-LR on the metal bioaccumulation and toxicity in
Chlamydomonas reinhardtii.
AB - Microcystin-LR (MC-LR) is one of the most notorious toxins liberated from
cyanobacteria in eutrophicated freshwater ecosystems. Its effects on the
bioaccumulation and toxicity of Cd(2+), CrO(4)(2-), Cu(2+), and Zn(2+) in a green
alga Chlamydomonas reinhardtii were investigated in the present study. The metal
bioaccumulation in the alga was unaffected by MC-LR. The surface-adsorbed and
intracellular metal concentrations in the treatments with and without the
addition of MC-LR could be well simulated by a single Freundlich isotherm for
each metal with their accumulation ability following the order of Cu(2+) > Cd(2+)
> Zn(2+) > CrO(4)(2-). The bioavailable metal concentrations measured by
diffusion gradients in thin-films remained unchanged when MC-LR was applied.
Accordingly, the growth of C. reinhardtii was similarly inhibited at the same
metal concentration regardless of the addition of MC-LR. The metal toxicity could
also be well delineated with the classic free ion activity and biotic ligand
models. However, the intracellular metal concentration was found to have the best
predictability suggesting its more direct relationship with metal toxicity. Metal
exposure induced the accumulation of MC-LR in the alga, which was leveled off at
high metal levels. The underlying uptake mechanisms need to be further examined.
PMID- 22078257
TI - The functional coding variant Asn107Ile of the neuropeptide S receptor gene
(NPSR1) is associated with schizophrenia and modulates verbal memory and the
acoustic startle response.
AB - Recently, the neuropeptide S (NPS) neurotransmitter system has been identified as
a promising psychopharmacological drug target given that NPS has shown anxiolytic
like and stress-reducing properties and memory-enhancing effects in rodent
models. NPS binds to the G-protein-coupled receptor encoded by the neuropeptide S
receptor gene (NPSR1). A functional variant within this gene leads to an amino
acid exchange (rs324981, Asn107Ile) resulting in a gain-of-function in the Ile107
variant which was recently associated with panic disorder in two independent
studies. A potential psychopharmacological effect of NPS on schizophrenia
psychopathology was demonstrated by showing that NPS can block NMDA antagonist
induced deficits in prepulse inhibition. We therefore explored a potential role
of the NPSR1 Asn107Ile variation in schizophrenia. A case-control sample of 778
schizophrenia patients and 713 healthy control subjects was successfully
genotyped for NPSR1 Asn107Ile. Verbal declarative memory and acoustic startle
response were measured in subsamples of the schizophrenia patients. The case
control comparison revealed that the low-functioning NPSR1 Asn107 variant was
significantly associated with schizophrenia (OR 1.19, p=0.017). Moreover,
specifically decreased verbal memory consolidation was found in homozygous Asn107
carriers while memory acquisition was unaffected by NPSR1 genotype. The
schizophrenia patients carrying the Ile107 variant demonstrated significantly
reduced startle amplitudes but unaffected prepulse inhibition and habituation.
The present study confirms findings from rodent models demonstrating an effect of
NPS on memory consolidation and startle response in schizophrenia patients. Based
on these findings, we consider NPS as a promising target for antipsychotic drug
development.
PMID- 22078258
TI - INbreast: toward a full-field digital mammographic database.
AB - RATIONALE AND OBJECTIVES: Computer-aided detection and diagnosis (CAD) systems
have been developed in the past two decades to assist radiologists in the
detection and diagnosis of lesions seen on breast imaging exams, thus providing a
second opinion. Mammographic databases play an important role in the development
of algorithms aiming at the detection and diagnosis of mammary lesions. However,
available databases often do not take into consideration all the requirements
needed for research and study purposes. This article aims to present and detail a
new mammographic database. MATERIALS AND METHODS: Images were acquired at a
breast center located in a university hospital (Centro Hospitalar de S. Joao
[CHSJ], Breast Centre, Porto) with the permission of the Portuguese National
Committee of Data Protection and Hospital's Ethics Committee. MammoNovation
Siemens full-field digital mammography, with a solid-state detector of amorphous
selenium was used. RESULTS: The new database-INbreast-has a total of 115 cases
(410 images) from which 90 cases are from women with both breasts affected (four
images per case) and 25 cases are from mastectomy patients (two images per case).
Several types of lesions (masses, calcifications, asymmetries, and distortions)
were included. Accurate contours made by specialists are also provided in XML
format. CONCLUSION: The strengths of the actually presented database-INbreast
relies on the fact that it was built with full-field digital mammograms (in
opposition to digitized mammograms), it presents a wide variability of cases, and
is made publicly available together with precise annotations. We believe that
this database can be a reference for future works centered or related to breast
cancer imaging.
PMID- 22078259
TI - The cerebellum in dystonia - help or hindrance?
AB - Dystonia has historically been considered a disorder of the basal ganglia. This
review aims to critically examine the evidence for a role of the cerebellum in
the pathophysiology of dystonia. We compare and attempt to link the information
available from both clinical and experimental studies; work detailing cerebellar
connectivity in primates; data that suggests a role for the cerebellum in the
genesis of dystonia in murine models; clinical observation in humans with
structural lesions and heredodegenerative disorders of the cerebellum; and
imaging studies of patients with dystonia. The typical electrophysiological
findings in dystonia are the converse to those found in cerebellar lesions.
However, certain subtypes of dystonia mirror cerebellar patterns of increased
cortical inhibition. Furthermore, altered cerebellar function can be demonstrated
in adult onset focal dystonia with impaired cerebellar inhibition of motor cortex
and abnormal eyeblink classical conditioning. We propose that abnormal, likely
compensatory activity of the cerebellum is an important factor within
pathophysiological models of dystonia. Work in this exciting area has only just
begun but it is likely that the cerebellum will have a key place within future
models of dystonia.
PMID- 22078260
TI - Reversibility of cadmium sorption to calcite revisited.
AB - Cadmium sorption to calcite was studied in aqueous solution at calco-carbonic
(CO(2)-H(2)O-CaCO(3)) equilibrium and at concentrations below the solubility
product of otavite (<10(-7) mol L(-1)). Experiments were conducted in a stirred
flow-through reactor, lasting for 15 and 60 h at flow rates of 1.6 and 0.5 mL
min(-1), respectively. Under both flow conditions, the cadmium breakthrough
curves reached steady state after a flow time of about 50 reactor volumes, but
different calcite saturation levels were achieved, which implied time-dependent
sorption rates. Desorption of cadmium was induced by switching from Cd-containing
to Cd-free solution in the reactor inflow. Reversibility of sorption was then
evaluated by comparing amounts sorbed and desorbed over identical time intervals.
On average, the desorption/sorption ratio was 95+/-10%. Desorption rates were
close to those for sorption and showed the same time dependency: Initially, rates
were fast and slowed down with time. "Irreversible" surface reactions such as
solid-solution formation could not be inferred. In previous research, cadmium
sorption was often shown to be partly irreversible. We surmise that calcite
surface ripening is conditioned by the concentration of lattice-building ions in
the EDL. At calco-carbonic equilibrium, these ion concentrations at the surface
are lowest. Therefore, irreversible binding of cadmium is not favoured.
PMID- 22078261
TI - Reduced inflammation accompanies diminished myelin damage and repair in the NG2
null mouse spinal cord.
AB - BACKGROUND: Multiple sclerosis (MS) is a demyelinating disease in which blood
derived immune cells and activated microglia damage myelin in the central nervous
system. While oligodendrocyte progenitor cells (OPCs) are essential for
generating oligodendrocytes for myelin repair, other cell types also participate
in the damage and repair processes. The NG2 proteoglycan is expressed by OPCs,
pericytes, and macrophages/microglia. In this report we investigate the effects
of NG2 on these cell types during spinal cord demyelination/remyelination.
METHODS: Demyelinated lesions were created by microinjecting 1% lysolecithin into
the lumbar spinal cord. Following demyelination, NG2 expression patterns in wild
type mice were studied via immunostaining. Immunolabeling was also used in wild
type and NG2 null mice to compare the extent of myelin damage, the kinetics of
myelin repair, and the respective responses of OPCs, pericytes, and
macrophages/microglia. Cell proliferation was quantified by studies of BrdU
incorporation, and cytokine expression levels were evaluated using qRT-PCR.
RESULTS: The initial volume of spinal cord demyelination in wild type mice is
twice as large as in NG2 null mice. However, over the ensuing 5 weeks there is a
6-fold improvement in myelination in wild type mice, versus only a 2-fold
improvement in NG2 null mice. NG2 ablation also results in reduced numbers of
each of the three affected cell types. BrdU incorporation studies reveal that
reduced cell proliferation is an important factor underlying NG2-dependent
decreases in each of the three key cell populations. In addition, NG2 ablation
reduces macrophage/microglial cell migration and shifts cytokine expression from
a pro-inflammatory to anti-inflammatory phenotype. CONCLUSIONS: Loss of NG2
expression leads to decreased proliferation of OPCs, pericytes, and
macrophages/microglia, reducing the abundance of all three cell types in
demyelinated spinal cord lesions. As a result of these NG2-dependent changes, the
course of demyelination and remyelination in NG2 null mice differs from that seen
in wild type mice, with both myelin damage and repair being reduced in the NG2
null mouse. These studies identify NG2 as an important factor in regulating
myelin processing, suggesting that therapeutic targeting of the proteoglycan
might offer a means of manipulating cell behavior in demyelinating diseases.
PMID- 22078263
TI - Constraints to universal coverage: inequities in health service use and
expenditures for different health conditions and providers.
AB - BACKGROUND: There is need for new information about the socio-economic and
geographic differences in health seeking and expenditures on many health
conditions, so to help to design interventions that will reduce inequity in
utilisation of healthcare services and ensure universal coverage. OBJECTIVES: The
paper contributes additional knowledge about health seeking and economic burden
of different health conditions. It also shows the level of healthcare payments in
public and private sector and their distribution across socioeconomic and
geographic population groups. METHODS: A questionnaire was used to collect data
from randomly selected householders from 4,873 households (2,483 urban and 2,390
rural) in southeast Nigeria. Data was collected on: health problems that people
had and sought care for; type of care sought, outpatient department (OPD) visits
and inpatient department (IPD) stays; providers visited; expenditures; and
preferences for improving access to care. Data was disaggregated by socio
economic status (SES) and geographic location (urban versus rural) of the
households. RESULTS: Malaria and hypertension were the major communicable and non
communicable diseases respectively that required OPD and IPD. Patent medicine
dealers (PMDs) were the most commonly used providers (41.1%), followed by private
hospitals (19.7%) and pharmacies (16.4%). The rural dwellers and poorer SES
groups mostly used low-level and informal providers. The average monthly
treatment expenditure in urban area was 2444 Naira (US$20.4) and 2267 Naira
(US$18.9) in the rural area. Higher SES groups and urbanites incurred higher
health expenditures. People that needed healthcare services did not seek care
mostly because the health condition was not serious enough or they could not
afford the cost of services. CONCLUSION: There were inequities in use of the
different providers, and also in expenditures on treatment. Reforms should aim to
decrease barriers to access to public and formal health services and also
identify constraints which impede the equitable distribution and access of public
health services for the general population especially for poor people and rural
dwellers.
PMID- 22078262
TI - SCFIA: a statistical corresponding feature identification algorithm for LC/MS.
AB - BACKGROUND: Identifying corresponding features (LC peaks registered by identical
peptides) in multiple Liquid Chromatography/Mass Spectrometry (LC-MS) datasets
plays a crucial role in the analysis of complex peptide or protein mixtures.
Warping functions are commonly used to correct the mean of elution time shifts
among LC-MS datasets, which cannot resolve the ambiguity of corresponding feature
identification since elution time shifts are random. We propose a Statistical
Corresponding Feature Identification Algorithm(SCFIA) based on both elution time
shifts and peak shape correlations between corresponding features. SCFIA first
trains a set of statistical models, and then, all candidate corresponding
features are scored by the statistical models to find the maximum likelihood
solution. RESULTS: We test SCFIA on publicly available datasets. We first compare
its performance with that of warping function based methods, and the results show
significant improvements. The performance of SCFIA on replicates datasets and
fractionated datasets is also evaluated. In both cases, the accuracy is above
90%, which is near optimal. Finally the coverage of SCFIA is evaluated, and it is
shown that SCFIA can find corresponding features in multiple datasets for over
90% peptides identified by Tandem MS. CONCLUSIONS: SCFIA can be used for accurate
corresponding feature identification in LC-MS. We have shown that peak shape
correlation can be used effectively for improving the accuracy. SCFIA provides
high coverage in corresponding feature identification in multiple datasets, which
serves the basis for integrating multiple LC-MS measurements for accurate peptide
quantification.
PMID- 22078264
TI - Differential function of NBS1 and ATR in neurogenesis.
AB - MRN (MRE11/RAD50/NBS) helps to activate ATM in response to DNA double strand
breaks (DSBs) and also facilitates ATR activation by catalyzing the formation and
extension of DNA single strand breaks (SSBs). Mutations of NBS1 and ATR cause
human genomic instability syndrome NBS and ATR-Seckel, respectively, both of
which feature neurodevelopmental defects. Whether these two DNA damage response
components interact to prevent neuropathology is largely unknown. Here we show
that a deletion of Nbs1 or Atr in the mouse central nervous system (CNS) results
in neurodevelopmental defects characterized by reduced proliferation and
increased apoptosis in embryonic brains. In contrast to Nbs1, deletion of Atr
alone and both Nbs1 and Atr in the CNS causes early postnatal lethality,
indicating a wider function of Atr. Importantly, deletion of Nbs1 and Atr
together results in dramatic proliferation defects in neuroprogenitors. Whereas
most apoptosis in the Nbs1-deleted cortex is restricted to the highly
proliferating progenitors, Atr knockout induces apoptosis in both proliferating
and non-proliferating neural cells. Consistently, an inducible deletion of Atr or
Nbs1-Atr, but not of Nbs1, triggers a p53-independent cell death pathway in
differentiated neurons, albeit elevated DNA damage in Nbs1 null neurons.
Altogether, we identify a distinct function of Nbs1 and Atr in neurogenesis,
namely a specific function of Nbs1 in proliferating neuroprogenitors and of Atr
in both proliferating and non-dividing cells.
PMID- 22078265
TI - Adiponectin receptor-1 expression is associated with good prognosis in gastric
cancer.
AB - BACKGROUND: Adiponectin is inversely related to BMI, positively correlates with
insulin sensitivity, and has anti-atherogenic effects. In recent years,
adiponectin has been well studied in the field of oncology. Adiponectin has been
shown to have antiproliferative effects on gastric cancer, and adiponectin
expression is inversely correlated with clinical staging of the disease. However,
no studies have reported the correlation between serum adiponectin and receptor
expression with disease progression. METHODS: In this study, we evaluated
expression levels of 2 adiponectin receptors--AdipoR1 and AdipoR2--and attempted
to correlate their expression with prognosis in gastric cancer patients. AdipoR1
and AdipoR2 expression in gastric cancer cell lines (MKN45, TMK-1, NUGC3, and
NUGC4) was evaluated by western blotting analysis, and the antiproliferative
potential of adiponectin was examined in vitro. Serum adiponectin levels were
evaluated in 100 gastric cancer patients, and the expression of AdipoR1 and
AdipoR2 was assessed by immunohistochemical staining. RESULTS: MKN45 and NUGC3
expressed higher levels of AdipoR1 compared to NUGC4, even though there was no
significance in AdipoR2 expression. The antiproliferative effect of adiponectin
was confirmed in MKN45 and NUGC3 at 10 MUg/ml. No significant associations were
observed between serum adiponectin levels and clinicopathological
characteristics, but lymphatic metastasis and peritoneal dissemination were
significantly higher in the negative AdipoR1 immunostaining group (24/32, p =
0.013 and 9/32, p = 0.042, respectively) compared to the positive AdipoR1 group
(lymphatic metastasis, 33/68; peritoneal dissemination, 8/68). On the other hand,
AdipoR2 expression was only associated with histopathological type (p = 0.001).
In survival analysis, the AdipoR1 positive staining group had significantly
longer survival rates than the negative staining group (p = 0.01). However,
multivariate analysis indicated that AdipoR1 was not an independent prognostic
factor on patient's survival on gastric cancer. CONCLUSIONS: In gastric cancer,
adiponectin has the possibility to be involved in cell growth suppression via
AdipoR1. The presence of AdipoR1 could be a novel anticancer therapeutic target
in gastric cancer.
PMID- 22078266
TI - FIBTEM provides early prediction of massive transfusion in trauma.
AB - INTRODUCTION: Prediction of massive transfusion (MT) among trauma patients is
difficult in the early phase of trauma management. Whole-blood thromboelastometry
(ROTEM(r)) tests provide immediate information about the coagulation status of
acute bleeding trauma patients. We investigated their value for early prediction
of MT. METHODS: This retrospective study included patients admitted to the AUVA
Trauma Centre, Salzburg, Austria, with an injury severity score >=16, from whom
blood samples were taken immediately upon admission to the emergency room (ER).
ROTEM(r) analyses (extrinsically-activated test with tissue factor (EXTEM),
intrinsically-activated test using ellagic acid (INTEM) and fibrin-based
extrinsically activated test with tissue factor and the platelet inhibitor
cytochalasin D (FIBTEM) tests) were performed. We divided patients into two
groups: massive transfusion (MT, those who received >=10 units red blood cell
concentrate within 24 hours of admission) and non-MT (those who received 0 to 9
units). RESULTS: Of 323 patients included in this study (78.9% male; median age
44 years), 78 were included in the MT group and 245 in the non-MT group. The
median injury severity score upon admission to the ER was significantly higher in
the MT group than in the non-MT group (42 vs 27, P < 0.0001). EXTEM and INTEM
clotting time and clot formation time were significantly prolonged and maximum
clot firmness (MCF) was significantly lower in the MT group versus the non-MT
group (P < 0.0001 for all comparisons). Of patients admitted with FIBTEM MCF 0 to
3 mm, 85% received MT. The best predictive values for MT were provided by
hemoglobin and Quick value (area under receiver operating curve: 0.87 for both
parameters). Similarly high predictive values were observed for FIBTEM MCF (0.84)
and FIBTEM A10 (clot amplitude at 10 minutes; 0.83). CONCLUSIONS: FIBTEM A10 and
FIBTEM MCF provided similar predictive values for massive transfusion in trauma
patients to the most predictive laboratory parameters. Prospective studies are
needed to confirm these findings.
PMID- 22078267
TI - Phyt'Eaux Cites: application and validation of a programme to reduce surface
water contamination with urban pesticides.
AB - This paper presents first results of Phyt'Eaux Cites, a program put in place by
the local water supply agency, the SEDIF (Syndicat des Eaux d'Ile-de-France), in
collaboration with 73 local authorities, private societies and institutional
offices (365 km(2)). The challenges included: measurement of the previous surface
water contamination, control of urban pesticide applications, prevention of
pesticide hazard on users and finally a overall reduction of surface water
contamination. An inquiry on urban total pesticide amount was coupled with a
surface water bi-weekly monitoring to establish the impact of more than 200
molecules upon the Orge River. For 2007, at least 4400 kg and 92 type of
pesticides (essentially herbicides) were quantified for all urban users in the
Phyt'Eaux Cites perimeter. At the outlet of the Orge River (bi-weekly sampling in
2007), 11 molecules were always detected above 0.1 MUg L(-1). They displayed the
mainly urban origin of pesticide surface water contamination. Amitrole, AMPA
(Aminomethyl Phosphonic Acid), demethyldiuron, diuron, glyphosate and atrazine
were quantified with a 100% of frequency in 2007 and 2008 at the Orge River
outlet. During the year, peaks of contamination were also registered for MCCP,
2,4 MCPA, 2,4 D, triclopyr, dichlorprop, diflufenican, active substances used in
large amount in the urban area. However, some other urban molecules, such as
isoxaben or flazasulfuron, were detected with low frequency. During late spring
and summer, contamination patterns and load were dominated by glyphosate,
amitrole and diuron, essentially applied by cities and urban users. Both
isoproturon and chlortoluron were quantified during autumn and winter months
according to upstream agricultural practices. In conclusion, 3 years after the
beginning of this programme, the cities reduced the use of 68% of the total
pesticide amount. An improvement on surface water quality was found from 2008 and
during 2009 for all pesticides. In particular, glyphosate showed a decrease of
the load above 60% in 2008, partly related to the Phyt'Eaux Cites action.
PMID- 22078268
TI - Lesser than diabetes hyperglycemia in pregnancy is related to perinatal
mortality: a cohort study in Brazil.
AB - BACKGROUND: Gestational diabetes related morbidity increases along the continuum
of the glycemic spectrum. Perinatal mortality, as a complication of gestational
diabetes, has been little investigated. In early studies, an association was
found, but in more recent ones it has not been confirmed. The Brazilian Study of
Gestational Diabetes, a cohort of untreated pregnant women enrolled in the early
1990's, offers a unique opportunity to investigate this question. Thus, our
objective is to evaluate whether perinatal mortality increases in a continuum
across the maternal glycemic spectrum. METHODS: We prospectively enrolled and
followed 4401 pregnant women attending general prenatal care clinics in six
Brazilian state capitals, without history of diabetes outside of pregnancy,
through to birth, and their offspring through the early neonatal period. Women
answered a structured questionnaire and underwent a standardized 2-hour 75-g oral
glucose tolerance test (OGTT). Obstetric care was maintained according to local
protocols. We obtained antenatal, delivery and neonatal data from hospital
records. Odds ratios (OR) were estimated using logistic regression. RESULTS: We
ascertained 97 perinatal deaths (67 fetal and 31 early neonatal). Odds of dying
increased according to glucose levels, statistically significantly so only for
women delivering at gestational age >=34 weeks (p < 0.05 for glycemia-gestational
age interaction). ORs for a 1 standard deviation difference in glucose, when
analyzed continuously, were for fasting 1.47 (95% CI 1.12, 1.92); 1-h 1.55 (95%
CI 1.15, 2.07); and 2-h 1.53 (95% CI 1.15, 2.02). The adjusted OR for IADPSG
criteria gestational diabetes was 2.21 (95% CI 1.15, 4.27); and for WHO criteria
gestational diabetes, 3.10 (95% CI 1.39, 6.88). CONCLUSIONS: In settings of
limited detection and treatment of gestational diabetes mellitus, women across a
spectrum of lesser than diabetes hyperglycemia, experienced a continuous rise in
perinatal death with increasing levels of glycemia after 34 weeks of pregnancy.
Current GDM diagnostic criteria identified this increased risk of mortality.
PMID- 22078269
TI - MUC1 mucin is expressed on human T-regulatory cells: function in both co
stimulation and co-inhibition.
AB - MUC1 mucin, an important protein of epithelial cells and epithelial-derived
carcinomas, is also expressed on activated T cells, showing both positive and
negative regulatory functions. It is currently unknown whether MUC1 is a true
regulatory protein of T cells and what conditions lead to MUC1 co-stimulation
versus co-inhibition. We have found that MUC1 is expressed on the majority of T
regulatory cells (CD4(+)/CD25(+)/FoxP3(+)) in humans (>90%) and that CD3/MUC1 co
stimulation leads to an increased number of T-regulatory cells. We also
discovered that the immunoregulatory function is dependent upon the number of
accessory (CD3(-)) cells present, with co-inhibition occurring with <5-10%
accessory cells while co-stimulation begins with a reconstitution of ~50%
accessory cells. Co-inhibition was also found to not be the result of the
apoptosis but a separate and unknown pathway. This data further characterizes
MUC1 as an immunoregulatory protein of T cells capable of giving a positive or
negative stimulus.
PMID- 22078270
TI - The role of PIM kinases in human and mouse CD4+ T cell activation and
inflammatory bowel disease.
AB - PIM kinases are a family of three serine/threonine kinases expressed following T
cell activation. Using potent selective small molecule antagonists of PIM-1/3
kinases, we demonstrate a potential role for these enzymes in naive and effector
CD4+ T cell activation. PIM-1/3 inhibition prevented CD4+ T cell proliferation by
inducing a G0/G1 cell cycle arrest without affecting cellular survival. In the
absence of PIM-1/3 kinase activity, naive CD4+ T cells failed to fully
differentiate into effector cells both in vitro and in vivo. Therapeutic dosing
of a PIM-1/3 inhibitor was efficacious in a CD4+ T cell-mediated model of
inflammatory bowel disease suggesting that PIM-1 and PIM-3 kinase activity
contributes to sustained disease severity. These results demonstrate that PIM-1/3
kinases have an important role in CD4+ T cell responses and inhibition of this
activity may provide a therapeutic benefit in T cell-mediated diseases.
PMID- 22078272
TI - Effect of walking speed on inter-joint coordination differs between young and
elderly adults.
AB - Investigating inter-joint coordination at different walking speeds in young and
elderly adults could provide insights to age-related changes in neuromuscular
control of gait. We examined effects of walking speed and age on the pattern and
variability of inter-joint coordination. Gait analyses of 10 young and 10 elderly
adults were performed with different self-selected speeds, including a preferred,
faster, and slower speed. Continuous relative phase (CRP), derived from phase
planes of two adjacent joints, was used to assess the inter-joint coordination.
CRP patterns were examined with cross-correlation measures and root-mean-square
(RMS) differences when comparing ensemble mean curves of the faster or slower
speed to preferred speed walking. Variability of coordination for each
participant was assessed with the average value of all standard deviations
calculated for each data point over a gait cycle from all CRP curves, namely the
deviation phase (DP). For hip-knee CRP pattern, RMS differences were
significantly greater between the slower and preferred walking speeds than
between the faster and preferred walking speeds in young adults, but this was not
found in elderly adults. Significant group differences in RMS differences and
cross-correlation measures were detected in hip-knee CRP patterns between the
slower and preferred walking speeds. No significant walking speed or age effects
were detected for the knee-ankle CRP. Significant walking speed effects were also
detected in hip-knee DP values. However, no significant group differences were
detected for all three speeds. These findings suggested that young and elder
adults compromise changes of walking speed with different neuromuscular control
strategies.
PMID- 22078271
TI - Histamine H(1) receptor signaling regulates effector T cell responses and
susceptibility to coxsackievirus B3-induced myocarditis.
AB - Susceptibility to autoimmune myocarditis has been associated with histamine
release by mast cells during the innate immune response to coxsackievirus B3
(CVB3) infection. To investigate the contribution of histamine H(1) receptor
(H(1)R) signaling to CVB3-induced myocarditis, we assessed susceptibility to the
disease in C57BL/6J (B6) H(1)R(-/-) mice. No difference was observed in mortality
between CVB3-infected B6 and H(1)R(-/-) mice. However, analysis of their hearts
revealed a significant increase in myocarditis in H(1)R(-/-) mice that is not
attributed to increased virus replication. Enhanced myocarditis susceptibility
correlated with a significant expansion in pathogenic Th1 and Vgamma4(+)
gammadelta T cells in the periphery of these animals. Furthermore, an increase in
regulatory T cells was observed, yet these cells were incapable of controlling
myocarditis in H(1)R(-/-) mice. These data establish a critical role for
histamine and H(1)R signaling in regulating T cell responses and susceptibility
to CVB3-induced myocarditis in B6 mice.
PMID- 22078273
TI - Biomechanical response of human spleen in tensile loading.
AB - Blunt splenic injuries are most frequently caused as a result of motor vehicle
collisions and are associated with high mortality rates. In order to accurately
assess the risk of automotive related spleen injuries using tools such as finite
element models, tissue level tolerance values and suitable material models must
be developed and validated based on appropriate biomechanical data. This study
presents a total of 41 tension tests performed on spleen parenchyma coupons and
29 tension tests performed on spleen capsule/parenchyma coupons. Standard dog
bone coupons were obtained from fresh human spleen and tested within 48 h of
death. Each coupon was tested once to failure at one of the four loading rates to
investigate the effects of rate dependence. Load and acceleration data were
obtained at each of the specimen grips. High-speed video and optical markers
placed on the specimens were used to measure local displacement. Failure stress
and strain were calculated at the location of failure in the gage length of the
coupon. The results of the study showed that both the spleen parenchyma and the
capsule are rate dependent, with higher loading rates yielding higher failure
stresses and lower failure strains. The results also show that the failure stress
of the splenic capsule is significantly greater than that of the underlying
parenchyma. Overall, this study provides novel biomechanical data that
demonstrate the rate dependent tissue level tolerance values of human spleen
tissue in tensile loading, which can aid in the improvement of finite element
models used to assess injury risk in blunt trauma.
PMID- 22078274
TI - Axial speed of sound is related to tendon's nonlinear elasticity.
AB - Axial speed of sound (SOS) measurements have been successfully applied to
noninvasively evaluate tendon load, while preliminary studies showed that this
technique also has a potential clinical interest in the follow up of tendon
injuries. The ultrasound propagation theory predicts that the SOS is determined
by the effective stiffness, mass density and Poisson's ratio of the propagating
medium. Tendon stiffness characterizes the tissue's mechanical quality, but it is
often measured in quasi-static condition and for entire tendon segments, so it
might not be the same as the effective stiffness which determines the SOS. The
objectives of the present study were to investigate the relationship between
axial SOS and tendon's nonlinear elasticity, measured in standard laboratory
conditions, and to evaluate if tendon's mass density and cross-sectional area
(CSA) affect the SOS level. Axial SOS was measured during in vitro cycling of 9
equine superficial digital tendons. Each tendon's stiffness was characterized
with a tangent modulus (the continuous derivative of the true stress/true strain
curve) and an elastic modulus (the slope of this curve's linear region). Tendon's
SOS was found to linearly vary with the square root of the tangent modulus during
loading; tendon's SOS level was found correlated to the elastic modulus's square
root and inversely correlated to the tendon's CSA, but it was not affected by
tendon's mass density. These results confirm that tendon's tangent and elastic
moduli, measured in laboratory conditions, are related to axial SOS and they
represent one of its primary determinants.
PMID- 22078275
TI - Mechanical coupling between transverse plane pelvis and thorax rotations during
gait is higher in people with low back pain.
AB - This study investigated whether people with low back pain (LBP) reduce
variability of movement between the pelvis and thorax (trunk) in the transverse
plane during gait at different speeds compared to healthy controls. Thirteen
people with chronic LBP and twelve healthy controls walked on a treadmill at
speeds from 0.5 to 1.72 m/s, with increments of 0.11 m/s. Step-to-step
variability of the trunk, pelvis, and thorax rotations were calculated. Step-to
step deviations of pelvis and thorax rotations from the average pattern (residual
rotations) were correlated to each other, and the linear regression coefficients
between these deviations calculated. Spectral analysis was used to determine the
frequencies of the residual rotations, to infer the relation of reduced trunk
variability to trunk stiffness and/or damping. Variability of trunk motion
(thorax relative to pelvis) was lower (P=0.02), covariance between the residual
rotations of pelvis and thorax motions was higher (P=0.03), and the linear
regression coefficients were closer to 1 (P=0.05) in the LBP group. Most power of
segmental residual rotations was below stride frequency (~1 Hz). In this
frequency range, trunk residual rotations had less power than pelvis or thorax
residual rotations. These data show that people with LBP had lower variability of
trunk rotations, as a result of the coupling of deviations of residual rotations
in one segment to deviations of a similar shape (correlation) and amplitude
(regression coefficient) in the other segment. These results support the argument
that people with LBP adopt a protective movement strategy, possibly by increased
trunk stiffness.
PMID- 22078276
TI - Antimicrobial susceptibility patterns and sensitivity to tulathromycin in goat
respiratory bacterial isolates.
AB - Bacterial pneumonia is a common and often life-threatening respiratory problem in
both meat and dairy goats. Options for approved antibiotic therapy in goats to
combat these bacterial infections are severely limited and frequently drugs must
be used in an extra-label manner. Tulathromycin, a triamilide macrolide
antimicrobial drug shown to be effective against swine and cattle respiratory
bacterial agents, has been identified as a potentially useful drug in caprines.
The present study was conducted to determine the susceptibility of recognized
bacterial respiratory pathogens to commonly prescribed antimicrobials, with a
particular emphasis on the efficacy of tulathromycin against these agents.
Minimum inhibitory concentration (MIC) testing using microbroth dilution was
performed on a collection of 45 Mannheimia haemolytica, 11 Pasteurella multocida,
and 11 Bibersteinia trehalosi isolates from the lungs of goats with clinical
pneumonia. To further characterize efficacy of tulathromycin against these
pathogens, minimum bactericidal concentration (MBC) testing and kinetic killing
assays were conducted. Most isolates were susceptible to the antimicrobials
tested; however, increased resistance as demonstrated by higher MIC values was
seen in all species to penicillin, in P. multocida to sulfadimethoxine, and in B.
trehalosi to the tetracyclines. All isolates were susceptible to tulathromycin,
which demonstrated a high killing efficiency in both bactericidal assays. Results
of this study indicate that most goat pneumonic bacterial pathogens remain
susceptible to commonly prescribed antibiotics, although some evidence of
resistance was seen to certain drugs; and that tulathromycin is highly effective
against goat respiratory pathogens which could make it a valuable medication in
this species.
PMID- 22078277
TI - Pestivirus and alphaherpesvirus infections in Swedish reindeer (Rangifer tarandus
tarandus L.).
AB - Herding semi-domesticated reindeer has economic and social value for Sami people
in the northern territories of Fennoscandia. However, with the intensification of
reindeer husbandry, interspecies transmission of pathogens between reindeer and
domestic animals may become a problem, especially for countries such as Sweden,
Norway, and Finland where pestivirus and alphaherpesvirus have been eradicated in
domestic ruminants. This study, which included 1158 Swedish reindeer, showed
relatively high prevalence of antibodies against bovine viral diarrhoea virus
(BVDV) (32%) and bovine herpesvirus-1 (BoHV-1) (53%). Adult animals were more
often seropositive for BVDV and BoHV-1 (50% and 78%, respectively) than were
calves (18 and 11%, respectively). While the seroprevalence of alphaherpesvirus
was similar in different herding districts, pestivirus seropositivity was highest
in the South and diminished towards the North of the Swedish reindeer herding
area. High correlation of the seropositivity against both pathogens at both
individual and herd levels may indicate possible mutual synergetic effects and
may be explained by the immunosuppressive nature of the viruses. While
alphaherpesvirus seroprevalence was probably related to putative cervid
herpesvirus 2 (CvHV-2), the pestivirus infecting reindeer remains undefined. The
virus neutralisation test of reindeer sera using different pestivirus strains,
revealed higher titres against Border disease virus strains like 137/4 (BDV-1)
and Reindeer-1 (BDV-2) than against BVDV-1. However, the virus was not identified
by real time RT-PCR in any of the samples (n=276) from seronegative reindeers.
The study showed that pestivirus and alphaherpesvirus infections are endemic in
the Swedish reindeer population.
PMID- 22078278
TI - Are postoperative consequences of neoadjuvant chemotherapy for non-small cell
lung cancer more severe in elderly patients?
AB - OBJECTIVES: The purpose of this study was to assess the postoperative morbidity
of patients >=75 years with non-small cell lung cancer (NSCLC) who underwent
neoadjuvant chemotherapy, comparing them to younger patients. METHODS: We
performed a case-control study over a 5-year period using Epithor, the French
Society of Thoracic and Cardiovascular Surgery database, including to date more
than 160,000 procedures from 103 institutions. We collected prospectively the
data concerning 1510 patients with NSCLC who underwent preoperative chemotherapy,
from January 2005 to December 2009. In order to compare patients with similar
characteristics, we matched the 81 patients >=75 to 81 controls (<75) for gender,
American Society of Anesthesia (ASA) score, Performance Status (PS), Forced
Expiratory Volume (FEV1) and histological subtype of the tumor. The patients of
the control group were randomized within the 1429 patients <75 included. Lung
cancer surgical treatment, post-operative morbidity and mortality rates, and
length of stay (LOS) were compared between the two age groups. RESULTS: There was
no significant difference in type of resection between the two groups (p=0.07):
pneumonectomy 15% (n=12) for patients >=75 vs 28% (n=23) for younger patients,
lobectomy 65% (n=53) vs 54% (n=44), bilobectomy 14% (n=11) vs 6% (n=5) and sub
lobar resection 4% (n=3) for the two groups. There was no significant difference
in type of mediastinal lymphadenectomy (p=0.48) between the two age groups.
Elderly patients presented a more important number of postoperative complications
(p=0.04) and these ones were more severe (p=0.03). There was no significant
difference in postoperative mortality with 30-day mortality: 4.9%, n=4, versus
2.5%, n=2, (p=0.83); 60-day mortality: 6.2%, n=5, versus 2.5%, n=2, (p=0.61); and
90-day mortality was the same. Hospital LOS was longer for the elderly (14.9
days, CI95%[12.5;17.4] vs 11.9 days, CI95%[10.7;13.3], p<0.001). CONCLUSION:
Postoperative morbidity after neoadjuvant chemotherapy is more important in
elderly patients. These data should be taken into account when considering the
interest of preoperative treatment in elderly patients with resectable NSCLC.
PMID- 22078279
TI - Purification of alginate and feasible production of monoclonal antibodies by the
alginate-immobilized hybridoma cells.
AB - Alginate has an extensive usage in the immobilization of many cell types.
Although they have high biocompatibility, commercial alginates contain various
degrees of contaminants such as polyphenols, endotoxins and proteins. Thus, these
alginates show cytotoxicity against sensitive cell types such as hybridoma cells.
In the studies so far, owing to this fact, commercially purchased high-priced
ultrapure alginates have been used in the immobilization of hybridoma cells for
monoclonal antibody production. However in this study, as a novelty, low-priced
commercial alginate was purified, and then the cultivation of alginate
immobilized hybridoma cells was performed for feasible monoclonal antibody
production. Low-priced commercial alginate was purified with a profitability
ratio of 40%. Then, an optimized immobilization procedure was conducted
effectively by using the purified alginate. During more than 25 days of
cultivation, serum concentration was kept low, and approximately 2 times greater
monoclonal antibody production was achieved, in comparison with its free
suspended counterpart. The results showed that the efficiency of monoclonal
antibody production via alginate-immobilized hybridoma cultivation can be
increased by performing a proved in-house purification method. By shedding light
on the efficiency of the in-house purification method, the results also indicated
a feasible way of monoclonal antibody production.
PMID- 22078280
TI - Influence of mercury exposure on blood pressure, resting heart rate and heart
rate variability in French Polynesians: a cross-sectional study.
AB - BACKGROUND: Populations which diet is rich in seafood are highly exposed to
contaminants such as mercury, which could affect cardiovascular risk factors
OBJECTIVE: To assess the associations between mercury and blood pressure (BP),
resting heart rate (HR) and HR variability (HRV) among French Polynesians
METHODS: Data were collected among 180 adults (>= 18 years) and 101 teenagers (12
17 years). HRV was measured using a two-hour ambulatory electrocardiogram
(Holter) and BP was measured using a standardized protocol. The association
between mercury and HRV and BP parameters was studied using analysis of variance
(ANOVA) and analysis of covariance (ANCOVA) RESULTS: Among teenagers, the high
frequency (HF) decreased between the 2nd and 3rd tertile (380 vs. 204 ms2, p =
0.03) and a similar pattern was observed for the square root of the mean squared
differences of successive R-R intervals (rMSSD) (43 vs. 30 ms, p = 0.005) after
adjusting for confounders. In addition, the ratio low/high frequency (LF/HF)
increased between the 2nd and 3rd tertile (2.3 vs. 3.0, p = 0.04). Among adults,
the standard deviation of R-R intervals (SDNN) tended to decrease between the 1st
and 2nd tertile (84 vs. 75 ms, p = 0.069) after adjusting for confounders.
Furthermore, diastolic BP tended to increase between the 2nd and 3rd tertile (86
vs. 91 mm Hg, p = 0.09). No significant difference was observed in resting HR or
pulse pressure (PP) CONCLUSIONS: Mercury was associated with decreased HRV among
French Polynesian teenagers while no significant association was observed with
resting HR, BP, or PP among teenagers or adults.
PMID- 22078281
TI - [Managment of head and neck cancers during pregnancy].
AB - The coincidence of malignant disease during pregnancy is uncommon. The incidence
of cancer in pregnancy has increased, due to the tendency to postpone childbirth
to an older age. Cancer complicates approximately 0.1% of all pregnancies.
Managing head and neck cancers during pregnancy requires additional pregnancy
related understanding of the aetiological effect of pregnancy on cancer,
knowledge of the direct and indirect effects of cancer on pregnancy, and the
effect of diagnostic and treatment modalities on pregnancy. The timing of
treatment is an important determinant on foetal wellbeing. A multidisciplinary
approach should be adopted to enable parents and clinicians to make the best
clinical decision. Clinicians must be cognizant with the ethical dilemmas of
treatment. In head and neck cancers, pregnancy has no effect on maternal
prognosis when compared to non-pregnant patients matched by age, cancer stage and
treatment.
PMID- 22078282
TI - Ocular vestibular evoked myogenic potentials to air conduction (AC oVEMP): useful
in clinical practice?
AB - Cervical vestibular-evoked myogenic potential (cVEMP) and ocular VEMP (oVEMP)
stimuli can be used to measure otolith function using air (AC) and bone conducted
(BC) stimuli. Cervical VEMPs reflect saccular function and can be recorded using
air conduction (AC), whereas oVEMPs reflect probably predominantly utricular
function. Air- and bone-conducted vibration can be used, because AC oVEMP
methodology seems to be fast and simple in clinical practice to measure otolith
function. In this study we discuss the advantages and problems of AC oVEMP
stimulation. AC oVEMP can be easily and quickly obtained within a few seconds.
N10 (first negative peak) and p15 (first positive peak) latencies may be used as
parameters for clinical interpretation but amplitude fluctuations are relatively
large. For daily clinical use of VEMP visualization in a normogram seems
feasible. Especially the AC oVEMP methodology (100 dB nHL, tone burst 500 Hz) is
fast and efficient in clinical practice to measure otolith function,
predominantly utricular function.
PMID- 22078283
TI - [Assessment of the efficiency of the auditory training in children with dyslalia
and auditory processing disorders].
AB - AIM: To assess effectiveness of the auditory training in children with dyslalia
and central auditory processing disorders. MATERIAL AND METHOD: Material
consisted of 50 children aged 7-9-years-old. Children with articulation disorders
stayed under long-term speech therapy care in the Auditory and Phoniatrics
Clinic. All children were examined by a laryngologist and a phoniatrician.
Assessment included tonal and impedance audiometry and speech therapists' and
psychologist's consultations. Additionally, a set of electrophysiological
examinations was performed - registration of N2, P2, N2, P2, P300 waves and
psychoacoustic test of central auditory functions: FPT - frequency pattern test.
Next children took part in the regular auditory training and attended speech
therapy. Speech assessment followed treatment and therapy, again psychoacoustic
tests were performed and P300 cortical potentials were recorded. After that
statistical analyses were performed. RESULTS: Analyses revealed that application
of auditory training in patients with dyslalia and other central auditory
disorders is very efficient. CONCLUSIONS: Auditory training may be a very
efficient therapy supporting speech therapy in children suffering from dyslalia
coexisting with articulation and central auditory disorders and in children with
educational problems of audiogenic origin.
PMID- 22078284
TI - [Our experience in the treatment advanced neoplasms of floor of the mouth].
AB - AIM: The aim of this study was to evaluate results of our surgical treatment of
advanced neoplasms of the floor of the mouth, treated from 2005-2011 in
Department of Otolaryngology and Department of Plastic Surgery Medical University
of Gdansk MATERIAL: We analyzed group of 12 patients (aged 36 to 70 years, mean
55) treated by primary surgical excision of neoplasms of the floor of the mouth
(with tracheotomy and mandibulotomy) and selective or radical neck dissection
(uni- or bilateral) in combination with chemoradiotherapy. All patients referees
with advancement of locoregional disease: primary tumors (T3 or T4) and neck
metastases (N1 or N2b) Most of the patients required to use free microvascular
reconstruction with free forearm skin flaps. Histological examinations revealed
squamous cell carcinoma (11/12) and adenocarcinoma (1/12). RESULTS: Two patients
die in 1 and 4 months after surgery. Three die from 2 to 3 years after surgery.
Rest live from 6 month to 6 year after therapy. CONCLUSION: Free forearm skin
microvascular flap is good (anatomical and functional) for reconstruction for
large defects after resection neoplasms of the floor of the mouth.
PMID- 22078285
TI - [Major salivary gland disorders in children and adolescents].
AB - The aim of this study was a review of 67 children treated for salivary gland
tumors in the ENT Department Medical University of Gdansk since 1974 to 2008. In
that group we observed 52 children with tumor of the parotid gland, 13 with tumor
of submandibular gland and 2 with subligual gland tumors. Tumor-like lesions were
stated the largest group of tumors and were observed in 33 (49%) of children,
mainly of chronic sialadenitis (17 cases). Predominant number of tumors was
observed in scholarship children. The most common symptoms were slow enlargement
of tumor and swelling of the salivary gland. In the group of benign tumors most
common was pleomorphic adenoma (19 cases) and in the group of malignant tumors
most common was clear cell carcinoma (2 cases) and rhabdomyosarcoma (2 cases). In
this report the authors describe basic epidemiological and clinical data (age,
sex, duration of symptoms, histological structure of tumor, diagnostic methods,
methods and results of treatment) and observations connected with differences of
salivary gland tumors in that group of patients.
PMID- 22078286
TI - [The analysis of mean arterial pressure and heart rate in patients treated with
laryngological surgery within pre- and peri- and postoperative periods].
AB - INTRODUCTION: This work aimed to analyse the mean arterial blood pressure (MBP)
and heart rate (HR) in patients who underwent laryngological surgery within the
preoperative, perioperative and postoperative periods. MATERIAL AND METHODS: The
study covered 502 patients, including 209 women and 293 men, aged 18-85, who were
divided into 6 groups according to the type of surgery: I - FESS (Functional
Endoscopic Sinus Surgery), II - septoplasty, III - endoscopic re-operation of the
paranasal sinuses, IV - microlaryngoscopy, V - tonsillectomy, VI - middle ear
surgery. Only the patients with BP below 140/90 mmHg and maximum class 2 in the
ASA scale were qualified for the surgical procedure. On admission, the arterial
blood pressure was measured and diagnostic investigations like FBC, blood
grouping determination, coagulogram, ESR, CRP and imaging studies were performed
in each patient. Every operation was conducted under TIVA. BT and HR were
monitored during an operation as well as through the four following hours in a
recovery room. RESULTS: The youngest group of patients with chronic palatine
tonsillitis showed the lowest pressure values while the oldest studied group
consisted of patients who were operated due to chronic otitis media and this
group demonstrated the highest BP values. The patients with treated or untreated
hypertension should be pharmacologically normalised by an anaesthesiologist.
However, considerable lowering of BP can often be not possible, contrary to the
patients with normal BP. CONCLUSIONS: The own experience indicates that
perioperative lowering of BP, including hypotension, ought to be avoided in
cardiology patients. The postoperative BP raise, usually accompanied by pain, was
noticed in the own studies. Therefore, effective analgesic treatment should be
implemented in a recovery room.
PMID- 22078287
TI - [The results and toxicity of organ preservation treatment for locoregionally
advanced laryngeal and hypopharyngeal cancer].
AB - The most frequent malignant tumor of the head and neck region is a squamous cell
cancer of the larynx. Squamous cell cancer of the hypopharynx is diagnosed
rarely, but it has poorer prognosis than laryngeal cancer. The surgical
treatment, especially in advanced disease, is a laryngectomy with the definitive
tracheostomy, what negatively influenced the quality of life. Therefore,
oncologists have been interested in new alternative methods of conservative
treatment from many years. THE AIM OF THE STUDY: The evaluation of efficacy and
toxicity of the organ preservation treatment in patients with locally advanced
laryngeal and hypopharyngeal cancer. MATERIAL AND METHODS: The patients with
diagnosed squamous cell laryngeal and hypopharyngeal cancer in III and IVa
clinical status were treated with concomitant radiochemotherapy with intention of
the organ preservation. Conformal 3D radiotherapy and SIB-IMRT technique was
applied in all cases. Concomitant chemotherapy consisted of cisplatin in daily
dose100mg/m(2) given two times during irradiation (1 and 22 day of treatment) or
once weekly in dose 40mg/m(2). Between January 2004 and November 2008 146
patients were treated with this method. There were 83 patients diagnosed with
laryngeal cancer and 62 patients with hypopharyngeal cancer in this group.
RESULTS: The median follow up is 42 months. Five years overall survival is 75%
and disease free survivak is 63%. Three years laryngectomy free survival (LFS) is
82% and 5-years LFS is 76%. This group of patients is alive with larynx
preservations. In 17.3% patients local recurrence was observed (4.5% regional
recurrence and 1.8% locoregional). Those patients underwent salvage surgery or
were treated with palliative chemotherapy. No severe life risking early and late
complications were observed. Only 7% of patients have required temporary
tracheostomy because of difficulties in breathing due to larynx edema.
CONCLUSION: We can conclude that organ preservation treatment is a valuable
alternative to surgical procedure in patients diagnosed with laryngeal and
hypopharyngeal cancer in III and IVa clinical status.
PMID- 22078288
TI - [Fungal rhinosinusitis - still unsolved problem].
AB - Chronic rhinosinusitis (CRS) is an inflammatory disease affecting the nose and
paranasal sinuses. Fungi are considered to be one of the pathogens responsible
for some kinds of CRS. Fungal rhinosinusitis - the classifications schemes, the
diagnostic criteria and methods of treatment are still being discussed. 338
patients underwent the endoscopic sinus surgery due to CRS in the Otolaryngology
Department of the Medical University of Lodz in the years 2009-2011 (February).
The histopathological examination revealed fungi only in three patients. The
authors report three cases of patients with fungal rhinosinustis - the fungal
ball of the sphenoid sinus in one patients, the fungal ball of the maxillary
sinus in the second one and non-invasive fungal rhinosinusitis. The different
classifications and diagnostic criteria are also presented. Based on the
publications using updated diagnostic standards and sensitive techniques to
detect fungi, a higher number of patients can now be diagnosed with fungal
rhinosinusitis.
PMID- 22078289
TI - [Diagnostic difficulties of the patient with sinonasal tract tumor; therapeutic
dilemmas - a case report and up to date review].
AB - Esthesioneuroblastoma (ENB) is a rare malignancy unique to the sinonasal tract.
It arises from the olfactory epithelium and has a tendency to originate from one
side of the nasal cavity and paranasal sinuses with frequent extension into the
cranial cavity and orbit. There is a bimodal age distribution between 11 and 20
years and between 51 and 60 years. ENB accounts for approximately 2-3% of
intranasal cancers. Due to the non-specific nature of the initial presentation
and slow growth of the tumor, patients often have a long history before
diagnosis. Physicians including pathologists are not always aware of distinctive
features of ENB especially radiographic, histologic and immunohistochemical
characteristics. Additional difficulty can be the fact that Esthesioneuroblastoma
can histologically mimic many tumors within the sinonasal tract. We report on a
38-year-old male patient with a Kadish stage C tumor with frontal lobe invasion.
The patient underwent a craniofacial resection with a combined head neck and
neurosurgeon team. After the surgery postoperative radiotherapy was used. The aim
of this study is to present the natural history of the malignancy, the diagnostic
process, treatment and prognosis, based on the literature review.
PMID- 22078290
TI - Histologic abnormalities of placental tissues in Fabry disease: a case report and
review of the literature.
AB - Fabry disease is an X-linked lysosomal storage disease caused by deficiency of
alpha-galactosidase A, resulting in the accumulation of globotriaosylceramide.
Many women experience symptoms, but the understanding of placental and fetal
aspects of the disease is limited. We report the pregnancy outcome in and
placental pathology of a 37-year-old woman with Fabry disease. She became
pregnant 2 years after starting enzyme replacement therapy and continued therapy
throughout her pregnancy. At 38 weeks' gestation, she gave birth to a healthy boy
with the same maternal Fabry mutation. The present case describes more extensive
placental involvement by Fabry disease than has been previously reported.
Globotriaosylceramide deposits were found within multiple cell types of the
placenta, cord, and membranes. Because of the small numbers of cases described in
the literature for comparison, it remains unclear if placental tissues are also
targeted by enzyme replacement therapy.
PMID- 22078291
TI - Relationship between alpha-methylacyl-coenzyme A racemase expression and mucin
phenotype in gastric cancer.
AB - Alpha-methylacyl-coenzyme A racemase controls beta-oxidation of branched-chain
fatty acid and their derivatives. Many investigators have described alpha
methylacyl-coenzyme A racemase expression in various neoplasias and their
precursor lesions. Although there have been a few reports regarding alpha
methylacyl-coenzyme A racemase expression in gastric neoplasia, these reports did
not discuss the relationship between alpha-methylacyl-coenzyme A racemase
expression and mucin phenotype. This study analyzed alpha-methylacyl-coenzyme A
racemase expression of gastric carcinomas with regard to mucin phenotype. Alpha
methylacyl-coenzyme A racemase expression was evaluated in 85 cases of gastric
biopsies including gastric epithelial neoplasia and nonneoplasia and in 108 cases
of surgically resected early gastric cancer. In biopsy cases, alpha-methylacyl
coenzyme A racemase was more highly expressed in neoplasia (69.7%, 23/33) than in
nonneoplasia (0%, 0/42) (P = .001). Alpha-methylacyl-coenzyme A racemase was
overexpressed in 20.0% (2/10) of cases that were indefinite for neoplasia, and
the 2 positive cases were ultimately diagnosed as adenocarcinoma. In resected
cases of early gastric adenocarcinoma, alpha-methylacyl-coenzyme A racemase
expression significantly correlated with mucin phenotype (P = .003), but not with
tumor progression, histologic classification, or clinicopathologic features.
Alpha-methylacyl-coenzyme A racemase expression was significantly higher in
intestinal-phenotype carcinoma (90.2%, 37/40) than in gastric-phenotype carcinoma
(56.3%, 18/31) (P = .006) and also correlated with an increase in CDX2 expression
(P = .018) and a decrease in MUC5AC expression (P = .048). This tendency was
observed in all histologic types. Our results indicate that alpha-methylacyl
coenzyme A racemase is a useful marker for distinguishing gastric neoplasia from
nonneoplasia even at an early stage. Alpha-methylacyl-coenzyme A racemase
expression is associated with mucin phenotypes of gastric neoplasia, particularly
with the expression of CDX2 and MUC5AC.
PMID- 22078292
TI - Efficient alpha, beta-motif finder for identification of phenotype-related
functional modules.
AB - BACKGROUND: Microbial communities in their natural environments exhibit
phenotypes that can directly cause particular diseases, convert biomass or
wastewater to energy, or degrade various environmental contaminants.
Understanding how these communities realize specific phenotypic traits (e.g.,
carbon fixation, hydrogen production) is critical for addressing health,
bioremediation, or bioenergy problems. RESULTS: In this paper, we describe a
graph-theoretical method for in silico prediction of the cellular subsystems that
are related to the expression of a target phenotype. The proposed (alpha, beta)
motif finder approach allows for identification of these phenotype-related
subsystems that, in addition to metabolic subsystems, could include their
regulators, sensors, transporters, and even uncharacterized proteins. By
comparing dozens of genome-scale networks of functionally associated proteins,
our method efficiently identifies those statistically significant functional
modules that are in at least alpha networks of phenotype-expressing organisms but
appear in no more than beta networks of organisms that do not exhibit the target
phenotype. It has been shown via various experiments that the enumerated modules
are indeed related to phenotype-expression when tested with different target
phenotypes like hydrogen production, motility, aerobic respiration, and acid
tolerance. CONCLUSION: Thus, we have proposed a methodology that can identify
potential statistically significant phenotype-related functional modules. The
functional module is modeled as an (alpha, beta)-clique, where alpha and beta are
two criteria introduced in this work. We also propose a novel network model,
called the two-typed, divided network. The new network model and the criteria
make the problem tractable even while very large networks are being compared. The
code can be downloaded from http://www.freescience.org/cs/ABClique/
PMID- 22078293
TI - The removal of post-sclerotherapy pigmentation following sclerotherapy alone or
in combination with crossectomy.
AB - BACKGROUND: Sclerotherapy is a widely used method for the obliteration of blood
vessels. Hyperpigmentation is a frequent complication that results from
haemosiderin (FeO) accumulation. Hyperpigmentation and changes in the skin can be
observed with ultrasound. OBJECTIVE: The aim of this study was to evaluate the
efficacy of hyperpigmentation elimination using an intense pulse light generator
(IPL) equipped with radio waves (RF) under ultrasonography (US) control. METHODS:
Twenty-one women with permanent hyperpigmentation (after sclerotherapy or
crossectomy combined with sclerotherapy) underwent a hyperpigmentation
eliminating therapy with the use of IPL + RF and were monitored by using US. The
thicknesses of the dermis and the subcutaneous tissue as well as the
echogenicities of each layer were assessed. RESULTS: As a result of the therapy,
a complete regression of hyperpigmentation was achieved in 90.48% of the women,
and in 9.52% of the women, the therapy led to a reduction in hyperpigmentation
but did not cause its complete disappearance. An increase in dermal echogenicity
and a decrease in subcutaneous tissue echogenicity were observed, but there was
no change in their thicknesses. After the therapy, the ultrasound images of areas
of previous hyperpigmentation corresponded with images that were characteristic
of healthy skin. CONCLUSION: IPL + RF therapy is effective for eliminating
permanent skin hyperpigmentation after sclerotherapy. US is also useful in this
therapeutic method.
PMID- 22078294
TI - Angioplasty of below-the-elbow arteries in critical hand ischaemia.
AB - BACKGROUND: Critical hand ischaemia (CHI) due to pure below-the-elbow (BTE)
artery obstruction is a disabling disease and there is still no consensus
concerning the most appropriate revascularisation strategy. The aim of this study
was to assess the feasibility, safety and outcomes of percutaneous transluminal
angioplasty (PTA) in the treatment of CHI due to pure BTE artery disease. METHODS
AND RESULTS: Twenty-eight patients (age 62 +/- 11 years; three females) with a
total of 34 hands affected by CHI (one pain at rest; 18 non-healing ulcer; 15
gangrene) due to pure BTE artery disease underwent PTA. Most of the patients were
males with a long history of diabetes mellitus, end-stage renal disease (ESRD) on
haemodialysis and systemic atherosclerosis. The interosseous artery was free of
disease in all cases, whereas the radial and ulnar arteries were simultaneously
involved in 31/34 hands with long stenosis/occlusions (91%; mean length 155 +/-
64 mm). The technical success rate was 82% (28/34), with only three minor
complications. In the three cases with a functioning radial arteriovenous
fistula, we successfully treated the ulnar artery. PTA was unsuccessful in 18%
(6/34) hands due to inability to cross severely calcified lesions. The hand
healing rate was 65% (22/34). The predictors of hand healing were PTA technical
success (odds ratio (OR) 0.5, confidence interval (CI) 0.28-0.88; p <= 0.0001)
and digital run-off (OR 0.37, CI 0.19-0.71; p <= 0.003). The mean follow-up
period was 13 +/- 9 months. Six patients (18%) underwent secondary procedures due
to symptomatic restenosis. In all these cases, a successful re-PTA was performed
at a mean 6 months after the index procedure, and there were no major procedure
related events. Ten patients (36%) died during follow-up. CONCLUSIONS:
Angioplasty of BTE vessels for CHI is a feasible and safe procedure with
acceptable rates of technical success and hand healing. Poor digital run-off due
to obstructive disease of the digital vessels can reduce the hand-healing rate
after a successful PTA. Pure isolated BTE vessel disease seems to characterise
patients with ESRD and diabetes mellitus.
PMID- 22078295
TI - Priming of spatial distance enhances children's creative performance.
AB - According to construal level theory, psychological distance promotes more
abstract thought. Theories of creativity, in turn, suggest that abstract thought
promotes creativity. Based on these lines of theorizing, we predicted that
spatial distancing would enhance creative performance in elementary school
children. To test this prediction, we primed spatial distance by presenting 6- to
9-year-olds with pictures of increasingly distal objects (from their own desk to
the galaxy) or increasingly proximal objects (from the galaxy to their own desk)
and then assessed the fluency and originality of their ideas in a creativity
test. We found, consistent with the hypothesis, that after priming of spatial
distance, compared with priming of spatial proximity, children were more
creative, as reflected in higher scores of both fluency and originality. This
result was not qualified by children's age or gender.
PMID- 22078296
TI - Cerebral thrombosis complicating Crohn's disease: two cases.
AB - Cerebrovenous thrombosis is quite rare in infammatory bowel disease. There are
only a few reports of this association in the literature. We report 2 cases of
patients with Crohn's disease (CD) who developed cerebral thrombophlebitis
confirmed by neuroimaging. The first case was a 35-year-old man with a history of
CD who presented with acute confusion. Brain magnetic resonance imaging
demonstrated a left temporoparietal infarction and thrombosis of the left lateral
sinus. Coagulation studies showed a marked protein S deficiency. His condition
improved significantly after initiation of anticoagulant therapy. The second case
was a 38-year-old woman who was admitted for a sudden loss of consciousness with
tetraplegia. Brain computed tomography revealed a profound cerebrovenous
thrombosis. She died within a few days after admission. Inflammatory bowel
disease carries an increased risk of venous and arterial thrombosis. Although the
pathogenic mechanisms of this predisposition are unclear, a possible role of
inherited risk factors for thrombosis in determining this predisposition has been
suggested. In these cases, both fibrinolysis and coagulation are activated as
well.
PMID- 22078297
TI - Tissue inhibitors of metalloproteinases.
AB - Orchestration of the growth and remodeling of tissues and responses of cells to
their extracellular environment is mediated by metalloproteinases of the
Metzincin clan. This group of proteins comprises several families of
endopeptidases in which a zinc atom is liganded at the catalytic site to three
histidine residues and an invariant methionine residue. Tissue inhibitors of
metalloproteinases (TIMPs) are endogenous protein regulators of the matrix
metalloproteinase (MMPs) family, and also of families such as the disintegrin
metalloproteinases (ADAM and ADAMTS). TIMPs therefore have a pivotal role in
determining the influence of the extracellular matrix, of cell adhesion
molecules, and of many cytokines, chemokines and growth factors on cell
phenotype. The TIMP family is an ancient one, with a single representative in
lower eukaryotes and four members in mammals. Although much is known about their
mechanism of action in proteinase regulation in mammalian cells, less is known
about their functions in lower organisms. Recently, non-inhibitory functions of
TIMPs have been identified in mammalian cells, including signaling roles
downstream of specific receptors. There are clearly still questions to be
answered with regard to their overall roles in biology.
PMID- 22078298
TI - Neuroimmune modulation following traumatic stress in rats: evidence for an
immunoregulatory cascade mediated by c-Src, miRNA222 and PAK1.
AB - BACKGROUND: Neuroimmune modulation following traumatic stress is accompanied by
cortical upregulation of c-Src expression, but the mechanistic details of the
potential regulatory link between c-Src expression and immunosuppression have not
been established. METHODS: We used a combination of techniques to measure
temporal changes in: (i) the parallel expression of c-Src and microRNA222; (ii)
levels of PAK1 (p21-activated kinase 1); and (iii) the association between PAK1
and interleukin 1beta signaling, both in cortex of rats following traumatic
stress and in primary cortical neurons. Techniques included real-time PCR,
immunoprecipitation, western blotting and subcellular fractionation by
discontinuous centrifugation. We also measured lymphocyte proliferation and
natural killer (NK) cell activity. RESULTS: We confirm robust upregulation of c
Src expression following traumatic stress. c-Src upregulation was accompanied by
marked increases in levels of miRNA222; other studied miRNAs were not affected by
stress. We also established that PAK1 is a primary target for miRNA222, and that
increased levels of miRNA222 following traumatic stress are accompanied by
downregulation of PAK1 expression. PAK1 was shown to mediate the association of
IL-1RI with lipid rafts and thereby enhance IL-1 signaling. Detailed analyses in
cultured neurons and glial cells revealed that PAK1-mediated enhancement of IL
1RI activation is governed to a large extent by c-Src/miRNA222 signaling; this
signaling played a central role in the modulation of lymphocyte proliferation and
NK cell activity. CONCLUSIONS: Our results suggest that neuroimmune modulation
following traumatic stress is mediated by a cascade that involves c-Src-mediated
enhancement of miRNA222 expression and downregulation of PAK1, which in turn
impairs signaling via IL-1beta/IL1-RI, leading to immunosuppression. The
regulatory networks involving c-Src/miRNA222 and PAK1/IL-1RI signaling have
significant potential for the development of therapeutic approaches designed to
promote recovery following traumatic injury.
PMID- 22078299
TI - An evolutionary based social rank explanation of why low income predicts mental
distress: a 17 year cohort study of 30,000 people.
AB - BACKGROUND: This paper presents a new psychological model of why low income
increases risk of mental distress. Consistent with evolutionary perspectives on
disorder, income was predicted to relate to mental distress only through acting
as an indirect proxy for social rank. METHODS: Participants were part of a
longitudinal cohort sample of 30,000 people who were representative of the
British population and who completed measures annually for up to 17 years. Mental
distress was assessed via the General Health Questionnaire which measures
anxiety, depression, and general functioning. RESULTS: Both income and the rank
of the income within the region (and the rank of income within other comparison
groups, such as similar individuals) predicted current and future distress.
However, when distress was jointly regressed on income and income rank, only
income rank remained a significant predictor. LIMITATIONS: The outcome measure
was self-report (although the predictor was objective). CONCLUSIONS: The results
support psychosocial rather than material explanations of why income relates to
distress, and suggest that a concern for social rank is the mechanism through
which these effects occur. This mechanism is consistent with an evolutionarily
based "involuntary defeat syndrome" where hard wired responses to low social rank
increase risk for disorder and the Decision by Sampling model of how people make
relative judgments. Negative cognitions associated with low social rank
(particularly defeat and entrapment) may be clinically targetable in both
prevention and treatment programs to reduce socio-economic mental health
disparities.
PMID- 22078301
TI - Response of spermatozoa from the emu (Dromaius novaehollandiae) to rapid cooling,
hyperosmotic conditions and dimethylacetamide (DMA).
AB - Three experiments conducted to improve the survival of emu sperm during
cryopreservation aimed to: (1) minimize chilling injury during the cooling phase;
(2) determine the osmotic effects of dimethylacetamide (DMA), sucrose and
trehalose; and (3) investigate the timing and nature of cryoprotectant toxicity.
We measured sperm membrane integrity, motility, morphology and egg membrane
penetration. In Experiment 1, semen diluted 1:1 with a pre-cooled diluent (5
degrees C) prevented chilling injury. In Experiment 2, semen was diluted with
DMA, trehalose or sucrose (300-2400mOsm/L) in deionized water. Only added DMA
decreased the percentage of morphologically normal sperm. The percentage of
motile sperm was higher with DMA than with the sugars, but membrane intact sperm
were comparable amongst all cryoprotectants. As for the osmotic effects, the
percentage of membrane intact sperm decreased with 2400mOsm/L and sperm motility
decreased with 1200-2400mOsm/L, but sperm morphology was similar at all
osmolarities. In Experiment 3, sperm membrane integrity, motility and morphology
were comparable at all DMA osmolarities between sperm equilibrated for 0 and
15min, and remained unchanged after removal of DMA. We conclude that: (a) loss of
sperm function during the cooling phase can be avoided by using a diluent
maintained at 5 degrees C; (b) emu spermatozoa tolerate upto 1400mOsm/L; (c) DMA
results in a permanent change in sperm morphology when it is dissolved in
deionized water, but does not alter sperm membrane integrity and motility; and
(d) equilibration time of sperm with DMA can be less than 10min.
PMID- 22078300
TI - Functional near-infrared spectroscopy (fNIRS) of brain function during active
balancing using a video game system.
AB - Functional near-infrared spectroscopy (fNIRS) is a portable, non-invasive, brain
imaging technology that uses low levels of non-ionizing light to record changes
in cerebral blood flow in the brain through optical sensors placed on the surface
of the scalp. These signals are recorded via flexible fiber optic cables, which
allow neuroimaging experiments to be conducted on participants while performing
tasks such as standing or walking. FNIRS has the potential to provide new
insights into the evolution of brain activation during ambulatory motor learning
tasks and standing tasks to probe balance and vestibular function. In this study,
a 32 channel fNIRS system was used to record blood flow changes in the frontal,
motor, sensory, and temporal cortices during active balancing associated with
playing a video game simulating downhill skiing (Nintendo WiiTM; Wii-fitTM).
Using fNIRS, we found activation of superior temporal gyrus, which was modulated
by the difficulty of the balance task. This region had been previously implicated
in vestibular function from other animal and human studies.
PMID- 22078302
TI - Obstetrical outcome valuations by patients, professionals, and laypersons:
differences within and between groups using three valuation methods.
AB - BACKGROUND: Decision-making can be based on treatment preferences of the patient,
the doctor, or by guidelines based on lay people's preferences. We compared
valuations assigned by three groups: patients, obstetrical care professionals,
and laypersons, for health states involving both mother and (unborn) child. Our
aim was to compare the valuations of different groups using different valuation
methods and complex obstetric health outcome vignettes that involve both maternal
and neonatal outcomes. METHODS: Patients (n = 24), professionals (n = 30), and
laypersons (n = 27) valued the vignettes using three valuation methods: visual
analogue scale (VAS), time trade-off (TTO), and discrete choice experimentation
(DCE). Each vignette covered five health attributes: maternal health ante partum,
time between diagnosis and delivery, process of delivery, maternal outcome, and
neonatal outcome. We used feasibility questionnaires, Generalization theory, test
retest reliability and within-group reliability to compare the valuation patterns
between groups and methods. We assessed relative weights from each valuation
method to test for consistency across groups. RESULTS: Test-retest reliability
was equal across groups, but different across methods: highest for VAS (ICC =
0.61-0.73), intermediate for TTO (ICC = 0.24-0.74) and lowest for DCE (kappa =
0.15-0.37). Within-group reliability was highest in all groups with VAS (ICC =
0.70-0.73), intermediate with DCE (kappa = 0.56-0.76) and lowest with TTO (ICC =
0.20-0.66). Effects of groups were smaller than effects of methods. Differences
between groups were largest for severe health states. CONCLUSION: Based on our
results, decision making among laypersons should use TTO or DCE; patients should
use VAS or TTO.
PMID- 22078303
TI - Association study of nonsynonymous single nucleotide polymorphisms in
schizophrenia.
AB - BACKGROUND: Genome-wide association studies using several hundred thousand
anonymous markers present limited statistical power. Alternatively, association
studies restricted to common nonsynonymous single nucleotide polymorphisms
(nsSNPs) have the advantage of strongly reducing the multiple testing problem,
while increasing the probability of testing functional single nucleotide
polymorphisms (SNPs). METHODS: We performed a case-control association study of
common nsSNPs in Galician (northwest Spain) samples using the Affymetrix GeneChip
Human 20k cSNP Kit, followed by a replication study of the more promising
results. After quality control procedures, the discovery sample consisted of 5100
nsSNPs at minor allele frequency >5% analyzed in 476 schizophrenia patients and
447 control subjects. The replication sample consisted of 4069 cases and 15,128
control subjects of European origin. We also performed multilocus analysis, using
aggregated scores of nsSNPs at liberal significance thresholds and cross
validation procedures. RESULTS: The 5 independent nsSNPs with false discovery
rate q <= .25, as well as 13 additional nsSNPs at p < .01 and located in
functional candidate genes, were genotyped in the replication samples. One SNP,
rs13107325, located at the metal ions transporter gene SLC39A8, reached
significance in the combined sample after Bonferroni correction (trend test, p =
2.7 * 10(-6), allelic odds ratio = 1.32). This SNP presents minor allele
frequency of 5% to 10% in many European populations but is rare outside Europe.
We also confirmed the polygenic component of susceptibility. CONCLUSIONS: Taking
into account that another metal ions transporter gene, SLC39A3, is associated to
bipolar disorder, our findings reveal a role for brain metal homeostasis in
psychosis.
PMID- 22078304
TI - Human proteome analysis by using reversed phase monolithic silica capillary
columns with enhanced sensitivity.
AB - We have developed one-dimensional liquid chromatography-tandem mass spectrometry
systems with meter-scale reversed phase monolithic silica-C18 capillary columns
for human proteome analysis. When tryptic peptides from 4 MUg HeLa cell lysate
proteins were directly injected onto a 4-m, 100 MUm i.d. monolithic silica-C18
column and an 8-h gradient was applied at 500 nL/min, 41,319 non-redundant
tryptic peptides from 5,970 proteins were successfully identified from
quadruplicate measurements; this is the best result yet reported without the use
of exhaustive pre-fractionation. Because separation efficiency in the 4-m long
monolithic column system (8-h gradient, 26,805 peptides identified on average)
was much higher than that in a 15-cm long, conventional particle-packed column
system (65-min gradient, 10,183 peptides identified), ion suppression caused by
co-elution of peptides was drastically reduced, resulting in a 5-fold improvement
in MS responses on average. However, we did not observe dynamic range extension
for the identified human peptides, whereas 78-fold extension was observed in our
previous analysis of the Escherichia coli proteome (Anal. Chem., 82 (2010) 2616).
This was probably because the current analytical technologies are still not
adequate to allow acquisition of MS/MS spectra for detected precursor ions from
highly complex human peptide mixtures, even though MS sensitivity was enhanced by
the improved separation in this LC system. More efficient LC separation and
faster MS/MS scanning are still needed for complete human proteome analysis.
PMID- 22078305
TI - The offline combination of thin-layer chromatography and high-performance liquid
chromatography with diode array detection and micrOTOF-Q mass spectrometry for
the separation and identification of spinochromes from sea urchin
(Strongylocentrotus droebachiensis) shells.
AB - Thin-layer chromatography (TLC) with off-line high-performance liquid
chromatography coupled to diode array detection and micrOTOF-Q mass spectrometry
(HPLC-DAD-MS) resulted in the successful fractionation, separation and
identification of spinochrome pigments from sea urchin (Strongylocentrotus
droebachiensis) shells. Two fractions of pigments were separated by TLC and
eluted with methanol using a TLC-MS interface. HPLC-DAD-MS analysis of the
fractions indicated the presence of six sea urchin pigments: spinochrome monomers
B and D, three spinochrome dimers (anhydroethylidene-6,6'-bis(2,3,7
trihydroxynaphthazarin) and its isomer and ethylidene-6,6'-bis(2,3,7
trihydroxynaphthazarin)), and one pigment that was preliminary identified as a
spinochrome dimer with the structural formula C(22)H(16)O(16).
PMID- 22078306
TI - Management of perioperative endoleaks during endovascular treatment of juxta
renal aneurysms.
AB - BACKGROUND: Evolution of stentgraft and vascular imaging technologies allows
endovascular treatment (ET) of juxta-renal aneurysms (JRA). However, endoleaks
rates and implants stability are not well documented. The aim of this study was
to report the incidence and the perioperative treatment of the endoleaks
occurring during ET for JRA. MATERIAL AND METHODS: Between January 2000 and April
2010, a total of 957 treated aneurysms were prospectively collected in a
database. ET cases for JRA were selected from this database. Pre- and
postoperative imaging was retrospectively analyzed to determine the incidence,
localization, and treatment of the endoleaks detected following this technique.
RESULTS: The series included 50 patients (5%; age, 73 +/- 12 years; 44 men). Mean
diameter was 60 +/- 12 mm. The ET included 38 fenestrated and/or branched
endografts and 12 endografts implanted according to the chimney technique. One
hundred and forty-three target vessels were perfused. Immediately after endograft
deployment, angiography showed endoleaks in 15 patients (30%): 11 type Ia, 1 type
II, and 3 type III endoleaks. These endoleaks were treated by aortic endograft
modeling and/or stenting in 11 patients, and by placing an aortic extension in
two patients. Despite modeling, two patients had a persistent type Ia endoleak
and were respectively treated by placing a Palmaz stent and by performing
proximal embolization. Despite these procedures, completion angiography showed
five residual endoleaks (10%): two type Ia, two type II, and one type III.
Immediate postoperative computed tomography (CT) angiography showed endoleaks in
13 patients (28%): six type I, six type II, and one mixed type II/III. Among
these 13 patients, on the initial angiography, nine presented with an endoleak,
three with a type II and one with a type Ib. Early mortality (<30 days) was 8%
(four patients). With a mean follow-up of 12 months, (range, 1-42), six patients
presented with a persisting endoleak (four type II, one type Ia, and one multiple
type). Aneurysm growth (>=5 mm) was reported in two patients (4%), and nine
secondary endovascular procedures were performed to treat these endoleaks.
CONCLUSION: Endoleaks are frequent during ET of JRA. They are treated not only
according to their type but also according to the implant characteristics
(fenestrated or chimney). Although most endoleaks can be perioperatively treated
with simple endovascular means, treatment of persisting type Ia endoleaks remains
challenging.
PMID- 22078307
TI - Positive selection on a bacterial oncoprotein associated with gastric cancer.
AB - BACKGROUND: Helicobacter pylori is a vertically inherited gut commensal that is
carcinogenic if it possesses the cag pathogenicity island (cag PaI); infection
with H.pylori is the major risk factor for gastric cancer, the second leading
cause of death from cancer worldwide (WHO). The cag PaI locus encodes the cagA
gene, whose protein product is injected into stomach epithelial cells via a Type
IV secretion system, also encoded by the cag PaI. Once there, the cagA protein
binds to various cellular proteins, resulting in dysregulation of cell division
and carcinogenesis. For this reason, cagA may be described as an oncoprotein. A
clear understanding of the mechanism of action of cagA and its benefit to the
bacteria is lacking. RESULTS: Here, we reveal that the cagA gene displays strong
signatures of positive selection in bacteria isolated from amerindian
populations, using the Ka/Ks ratio. Weaker signatures are also detected in the
gene from bacteria isolated from asian populations, using the Ka/Ks ratio and the
more sensitive branches-sites model of the PAML package. When the cagA gene
isolated from amerindian populations was examined in more detail it was found
that the region under positive selection contains the EPIYA domains, which are
known to modulate the carcinogenicity of the gene. This means that the
carcinogenicity modulating region of the gene is undergoing adaptation. The
results are discussed in relation to the high incidences of stomach cancer in
some latin american and asian populations. CONCLUSION: Positive selection on cagA
indicates antagonistic coevolution between host and bacteria, which appears
paradoxical given that cagA is detrimental to the human host upon which the
bacteria depends. This suggests several non-exclusive possibilities; that gastric
cancer has not been a major selective pressure on human populations, that cagA
has an undetermined benefit to the human host, or that horizontal transmission of
H.pylori between hosts has been more important in the evolution of H.pylori than
previously recognized, reducing the selective pressure to lower the pathogenicity
of the bacteria. The different patterns of adaptation of the gene in different
human populations indicates that there are population specific differences in the
human gut environment - due either to differences in host genetics or diet and
other lifestyle features.
PMID- 22078308
TI - [Preliminary validation of the Simulap((r)) physical simulator and its assessment
system for laparoscopic surgery].
AB - INTRODUCTION: Our aim is to assess the face and content validities of the
physical simulator Simulap((r)), as well as the construct validity of its
assessment method. MATERIAL AND METHODS: Five novice surgeons (G1) and five
experts (G2) performed seven basic tasks and one suturing exercise on
Simulap((r)), which were assessed through an exam based on mistakes and
performance time. Face and content validations were carried out by novice
surgeons and expert surgeons, respectively. Both validations consisted of a
questionnaire graded on a five-point scale about the Simulap((r)) and its tasks.
Construct validity of the assessment system was determined by comparing the
scores of both groups. RESULTS: Surgeons rated the Simulap((r)) simulator and its
training program positively, obtaining an average score of 4+/-1.1 for G1 and of
4.9+/-0.6 for G2. G2 considered training on Simulap((r)) very useful for the
training of residents and surgeons, obtaining a maximum score of 5. G2
outperformed G1 in all task scores, with statistically significant differences in
the eye-hand coordination (G1: 52.2+/-6.7 vs. G2: 39.6+/-6.5; P=.027), dissection
(G1: 301.8+/-100.2 vs. G2: 150.8+/-66.7; P=.028) and suturing exercises (G1:
258.5+/-87.0 vs. G2: 108.4+/-20.2; P=.009). CONCLUSIONS: The assessment method
for Simulap((r)) is able to distinguish different levels of experience in
laparoscopic surgery. Furthermore, this simulator showed a great acceptance by
surgeons for the learning of basic skills.
PMID- 22078309
TI - Emergency medical dispatch - more than merely sending the ambulance!
PMID- 22078310
TI - Early human dispersals into the Iberian Peninsula: a comment on Martinez et
al.(2010) and Garcia et al. (2011).
AB - Garcia et al. (2011) recently discussed early human dispersals into the Iberian
Peninsula, describing several putative lithic artifacts (Martinez et al., 2010)
recovered from layer 7 of the Vallpara dissection (Madurell-Malapeira et al.,
2010) in Terrassa (Valles-Penedes Basin, Catalonia, Spain). According to the
authors' opinion, such evidence (1) fills a gap in the chronology of early human
occupation in Iberia, (2) indicates that these populations had primary and early
access to carcasses, and (3) confirms that early human populations were equipped
with advanced cultural traits enabling them to survive in unfavourable climatic
conditions. We argue below that the record of human activity at Vallparadis
(Martinez et al., 2010;Garcia et al., 2011) is doubtful and even that if
confirmed, a chronological gap would remain (contra Garcia et al., 2011).
Additional remarks on assertions by these authors on the Vallparadis geology,
taphonomy and paleonvironment are also provided.
PMID- 22078311
TI - The clinical effectiveness and cost-effectiveness of management strategies for
sciatica: systematic review and economic model.
PMID- 22078312
TI - Exact score distribution computation for ontological similarity searches.
AB - BACKGROUND: Semantic similarity searches in ontologies are an important component
of many bioinformatic algorithms, e.g., finding functionally related proteins
with the Gene Ontology or phenotypically similar diseases with the Human
Phenotype Ontology (HPO). We have recently shown that the performance of semantic
similarity searches can be improved by ranking results according to the
probability of obtaining a given score at random rather than by the scores
themselves. However, to date, there are no algorithms for computing the exact
distribution of semantic similarity scores, which is necessary for computing the
exact P-value of a given score. RESULTS: In this paper we consider the exact
computation of score distributions for similarity searches in ontologies, and
introduce a simple null hypothesis which can be used to compute a P-value for the
statistical significance of similarity scores. We concentrate on measures based
on Resnik's definition of ontological similarity. A new algorithm is proposed
that collapses subgraphs of the ontology graph and thereby allows fast score
distribution computation. The new algorithm is several orders of magnitude faster
than the naive approach, as we demonstrate by computing score distributions for
similarity searches in the HPO. It is shown that exact P-value calculation
improves clinical diagnosis using the HPO compared to approaches based on
sampling. CONCLUSIONS: The new algorithm enables for the first time exact P-value
calculation via exact score distribution computation for ontology similarity
searches. The approach is applicable to any ontology for which the annotation
propagation rule holds and can improve any bioinformatic method that makes only
use of the raw similarity scores. The algorithm was implemented in Java, supports
any ontology in OBO format, and is available for non-commercial and academic
usage under: https://compbio.charite.de/svn/hpo/trunk/src/tools/significance/
PMID- 22078314
TI - Loss of air sacs improved hominin speech abilities.
AB - In this paper, the acoustic-perceptual effects of air sacs are investigated.
Using an adaptive hearing experiment, it is shown that air sacs reduce the
perceptual effect of vowel-like articulations. Air sacs are a feature of the
vocal tract of all great apes, except humans. Because the presence or absence of
air sacs is correlated with the anatomy of the hyoid bone, a probable minimum and
maximum date of the loss of air sacs can be estimated from fossil hyoid bones.
Australopithecus afarensis still had air sacs about 3.3 Ma, while Homo
heidelbergensis, some 600 000 years ago and Homo neandethalensis some 60 000
years ago, did no longer. The reduced distinctiveness of articulations produced
with an air sac is in line with the hypothesis that air sacs were selected
against because of the evolution of complex vocal communication. This relation
between complex vocal communication and fossil evidence may help to get a firmer
estimate of when speech first evolved.
PMID- 22078313
TI - Reduction of post-surgical pericardial adhesions using a pig model.
AB - BACKGROUND: Post-surgical pericardial adhesions pose an increased risk of
complications during redo sternotomies. Adhesive tissue formation is a normal
response to tissue injury and involves complex patho-physiological processes
including the actions of prostaglandins to cause plasma leakage and fibrin
formation. The purpose of this study was to assess the ability of two non
steroidal anti-inflammatory agents (Indomethacin and Rofecoxib) and a barrier
(Coseal, a polyethylene glycol) to limit adhesion formation following cardiac
surgery in a pig model. METHODS: Forty-four piglets were allocated equally to
four treatment groups: Group 1: Control, Group 2: intramuscular Indomethacin,
Group 3: oral Rofecoxib and Group 4: Coseal sprayed on the heart. A full median
sternotomy was performed on each animal and the heart exposed. Adhesions were
induced by rubbing tissues with gauze, applying sutures and leaving blood in the
pericardial sac before chest closure. Plasma inflammatory markers including
prostaglandin E(2) and thromboxane B(2) were measured preoperatively and on Days
2, 5 and 10 after surgery. Eight animals from each group were slaughtered after
12 weeks and 3 after 25 weeks. Adhesions were assessed macroscopically and
microscopically. RESULTS: Compared to the Control group, the extent of adhesions
was significantly less in all other groups whilst adhesion density was least in
the Indomethacin and Coseal groups. Indomethacin and less so Rofecoxib, inhibited
the synthesis of prostaglandin E(2) and thromboxane B(2) but there were no
significant changes in other inflammatory markers. CONCLUSIONS: We conclude that
systemic Indomethacin, and locally applied Coseal are suitable methods to
markedly reduce pericardial and retrosternal adhesions.
PMID- 22078315
TI - An introduction to the special section on application of leading pretreatments to
switchgrass by the Biomass Refining Consortium for Applied Fundamentals and
Innovation (CAFI).
PMID- 22078318
TI - Differential expression of FCRLA in naive and activated mouse B cells.
AB - FCRLA is an intracellular B cell protein that belongs to the FcR-like family.
Using newly generated FCRLA-specific antibodies, we studied the constitutive
expression pattern of mouse FCRLA and monitored changes during an immune response
and following in vitro B cell activation. All B cell subpopulations examined
expressed FCRLA. However, the level of FCRLA expression is determined by the
stage of B cell differentiation. Low expression of FCRLA is characteristic of
naive follicular and marginal zone B cells. High expression was detected in a
small fraction of activated B cells scattered along migratory pathways in the
lymphoid tissues. FCRLA-bright cells could be subdivided into two subpopulations,
with high and low/undetectable level of intracellular immunoglobulins, which
phenotypically resemble either plasma or memory B cells. High expression of FCRLA
in subset(s) of terminally differentiated B-cells suggests that, being an ER
protein, FCRLA may participate in the regulation of immunoglobulin assembly and
secretion.
PMID- 22078316
TI - Papillomavirus E5: the smallest oncoprotein with many functions.
AB - Papillomaviruses (PVs) are established agents of human and animal cancers. They
infect cutaneous and mucous epithelia. High Risk (HR) Human PVs (HPVs) are
consistently associated with cancer of the uterine cervix, but are also involved
in the etiopathogenesis of other cancer types. The early oncoproteins of PVs: E5,
E6 and E7 are known to contribute to tumour progression. While the oncogenic
activities of E6 and E7 are well characterised, the role of E5 is still rather
nebulous. The widespread causal association of PVs with cancer makes their study
worthwhile not only in humans but also in animal model systems. The Bovine PV
(BPV) system has been the most useful animal model in understanding the oncogenic
potential of PVs due to the pivotal role of its E5 oncoprotein in cell
transformation. This review will highlight the differences between HPV-16 E5
(16E5) and E5 from other PVs, primarily from BPV. It will discuss the targeting
of E5 as a possible therapeutic agent.
PMID- 22078319
TI - Overlapping and distinct roles of GRK5 in TLR2-, and TLR3-induced inflammatory
response in vivo.
AB - G-protein coupled receptor kinase-5 (GRK5) is a recently described NFkappaB
regulator in TLR4 signaling pathway. To determine whether the role of GRK5 is
MyD88- or TRIF-dependent, we injected wild type and GRK5 knockout mice with
Pam3CSK4 (MyD88-dependent TLR1/2 ligand) and Poly(I:C) (TRIF-dependent TLR3
ligand) and examined the in vivo systemic inflammatory response. Our results
demonstrate that GRK5 regulates IL-12p40 and G-CSF via a mechanism that is common
to both MyD88 and TRIF. However, GRK5 regulates IL-5 and MCP-1 in a MyD88
dependent but TNFalpha in a TRIF-dependent manner. Together, our results
demonstrate multiple roles of GRK5 in TLR signaling.
PMID- 22078320
TI - Gender effect on in vitro lymphocyte subset levels of healthy individuals.
AB - Differences in gender immune response have resulted in differences in immune
protection and susceptibility to inflammatory diseases. Cultured peripheral blood
mononuclear cells (PBMC) are widely used in immunomodulation studies, yet the
influence of gender is usually not considered. We examined the effect of in vitro
culture and phytohaemagglutinin (PHA) stimulation on PBMC lymphocyte subsets
using flowcytometry. Full blood counts of whole blood showed higher levels of
lymphocyte in male subjects. Lymphocyte subsets enumeration revealed higher NK
cell counts in males and higher B cells in females. Cultured PBMC resulted in
significant increases in B and total T cell percentages among females and NK
cells among males. PHA stimulated significantly increased percentages of NK and
total T cells in males and total activated T cells (CD69+) in females. Our
results showed significant gender differences in lymphocyte subsets in cultured
conditions. This may affect experimental outcome.
PMID- 22078322
TI - A ten-year radiologic comparison of two-all polyethylene glenoid component
designs: a prospective trial.
AB - BACKGROUND: Aseptic glenoid component loosening remains a common problem in total
shoulder arthroplasty (TSA). This study presents long-term prospective follow-up
of 2 cemented all-polyethylene glenoid components with different backside design
geometry and the effect on the presence and progression of radiolucent lines
(RLLs). MATERIALS AND METHODS: Fifty-six TSAs were performed for primary
osteoarthritis. Two surgeons used an identical technique to implant 32 flat-back
and 24 convex-back glenoids. In particular, the glenoid components were cemented
after a minimal reaming and bone compaction. Standardized postoperative
radiologic and clinical follow-up was at 2 and 10 years. Three independent
observers evaluated the x-ray images for RLLs around the base plate and keel. The
results were analyzed for progression and influencing factors. RESULTS: At 10
years, progression of RLL was seen in both components, but there was no
difference between the 2 glenoid designs (P = .16). Younger patient age (P =
.03), hand dominance (P = .017), and presence of early RLLs (P = .018) were
significant factors for progression of RLLs. Constant scores deteriorated with
progression of RLLs (P = .006). The glenoid revision rate at 10 years was 5%.
CONCLUSION: At 10 years there was no difference in the presence or progression of
RRLs between a flat-back and a convex-back glenoid all-polyethylene design. Young
age, hand dominance, and poor implantation technique influence glenoid RLLs and
affect the clinical result of TSA.
PMID- 22078323
TI - Implant sonication for the diagnosis of prosthetic elbow infection.
AB - BACKGROUND: Periprosthetic infection is a potentially devastating complication of
elbow arthroplasty, associated with formation of microbial biofilm on the implant
surface. The definitive microbiologic diagnosis of periprosthetic infection after
elbow arthroplasty may be difficult to establish. Our study aim was to compare
the diagnostic accuracy of conventional periprosthetic tissue culture and culture
of fluid derived from vortexing and bath sonication of the explanted hardware (a
biofilm-sampling strategy). MATERIALS AND METHODS: Patients undergoing revision
elbow arthroplasty at our institution between July 2007 and July 2010, from each
of whom 2 or more periprosthetic tissue cultures and 1 implant sonicate culture
were obtained, were studied. A standardized definition of orthopedic implant
associated infection was applied. RESULTS: We identified 27 subjects with aseptic
failure and 9 with prosthetic elbow infection. Rheumatoid arthritis was the most
common underlying disorder. The Coonrad-Morrey prosthesis was the most common
type of implant used. The sensitivities of implant sonicate and periprosthetic
tissue culture were 89% and 55%, respectively (P = .18), and the specificities
were 100% and 93%, respectively (P = .16). Coagulase-negative staphylococci (n =
7) and Staphylococcus aureus (n = 2) were isolated in cases of infection.
CONCLUSION: Culture of the implant by sonication is at least as sensitive as
periprosthetic tissue culture to detect prosthetic elbow infection.
PMID- 22078324
TI - Treatment of proximal humeral dysplasia epiphysealis hemimelica with custom
hemiarthroplasty: a case report.
PMID- 22078325
TI - The emerging NDM carbapenemases.
AB - Carbapenems were the last beta-lactams retaining near-universal anti-Gram
negative activity, but carbapenemases are spreading, conferring resistance. New
Delhi metallo-beta-lactamase (NDM) enzymes are the latest carbapenemases to be
recognized and since 2008 have been reported worldwide, mostly in bacteria from
patients epidemiologically linked to the Indian subcontinent, where they occur
widely in hospital and community infections, and also in contaminated urban
water. The main type is NDM-1, but minor variants occur. NDM enzymes are present
largely in Enterobacteriaceae, but also in non-fermenters and Vibrionaceae.
Dissemination predominantly involves transfer of the blaNDM-1 gene among
promiscuous plasmids and clonal outbreaks. Bacteria with NDM-1 are typically
resistant to nearly all antibiotics, and reliable detection and surveillance are
crucial.
PMID- 22078326
TI - Burn resuscitation.
AB - Fluid resuscitation following burn injury must support organ perfusion with the
least amount of fluid necessary and the least physiological cost. Under
resuscitation may lead to organ failure and death. With adoption of weight and
injury size-based formulas for resuscitation, multiple organ dysfunction and
inadequate resuscitation have become uncommon. Instead, administration of fluid
volumes well in excess of historic guidelines has been reported. A number of
strategies including greater use of colloids and vasoactive drugs are now under
investigation to optimize preservation of end organ function while avoiding
complications which can include respiratory failure and compartment syndromes.
Adjuncts to resuscitation, such as antioxidants, are also being investigated
along with parameters beyond urine output and vital signs to identify endpoints
of therapy. Here we briefly review the state-of-the-art and provide a sample of
protocols now under investigation in North American burn centers.
PMID- 22078327
TI - Role and expression of FRS2 and FRS3 in prostate cancer.
AB - BACKGROUND: FGF receptor substrates (FRS2 and FRS3) are key adaptor proteins that
mediate FGF-FGFR signalling in benign as well as malignant tissue. Here we
investigated FRS2 and FRS3 as a means of disrupting global FGF signalling in
prostate cancer. METHODS: FRS2 and FRS3 manipulation was investigated in vitro
using over-expression, knockdown and functional assays. FRS2 and FRS3 expression
was profiled in cell lines and clinical tumors of different grades. RESULTS: In a
panel of cell lines we observed ubiquitous FRS2 and FRS3 transcript and protein
expression in both benign and malignant cells. We next tested functional
redundancy of FRS2 and FRS3 in prostate cancer cells. In DU145 cells, specific
FRS2 suppression inhibited FGF induced signalling. This effect was not apparent
in cells stably over-expressing FRS3. Indeed FRS3 over-expression resulted in
enhanced proliferation (p = 0.005) compared to control cells. Given this
functional redundancy, we tested the therapeutic principle of dual targeting of
FRS2 and FRS3 in prostate cancer. Co-suppression of FRS2 and FRS3 significantly
inhibited ERK activation with a concomitant reduction in cell proliferation (p <
0.05), migration and invasion (p < 0.05). Synchronous knockdown of FRS2 and FRS3
with exposure to cytotoxic irradiation resulted in a significant reduction in
prostate cancer cell survival compared to irradiation alone (p < 0.05).
Importantly, this synergistic effect was not observed in benign cells. Finally,
we investigated expression of FRS2 and FRS3 transcript in a cohort of micro
dissected tumors of different grades as well as by immunohistochemistry in
clinical biopsies. Here, we did not observe any difference in expression between
benign and malignant biopsies. CONCLUSIONS: These results suggest functional
overlap of FRS2 and FRS3 in mediating mitogenic FGF signalling in the prostate.
FRS2 and FRS3 are not over-expressed in tumours but targeted dual inhibition may
selectively adversely affect malignant but not benign prostate cells.
PMID- 22078328
TI - Assessment and analysis of non-point source nitrogen and phosphorus loads in the
Three Gorges Reservoir Area of Hubei Province, China.
AB - Eutrophication due to non-point source (NPS) of nitrogen (N) and phosphorus (P)
has become a serious pollution problem in many Chinese rivers. In this paper, the
export coefficient model (ECM) was used to assess the influence of NPS on N and P
loading to the Three Gorges Reservoir Area (TGRA) of Hubei Province, People's
Republic of China. Data from the main non-point sources were analyzed from 1995
to 2007, including rural domestic wastes, distributed livestock farms,
fertilizers and soil erosion. Additionally, the potential N and P loading from
NPS originated from a variety of sources were estimated and analyzed from 1995 to
2007, including runoff from rural domestic waste, livestock farms, land use, and
atmospheric deposition, using the ECM. These gave the temporal distributions of
the potential NPS loads within the reservoir area. The results indicated that the
potential total nitrogen (TN) load was much higher than the potential total
phosphorus (TP) load. The calculated TN load was 2.83*10(4) tonnes, while the TP
load was 2.14*10(3) tonnes in 2007, with a ratio of TN/TP of 13.23. Record shows
that "algae blooms" occurred 8 times in TGRA that year. Therefore, there may be a
correlation between the eutrophication potential in the inlet water of TGRA and
the TN/TP ratio of potential NPS loads. These findings demonstrate that the
export coefficient model could provide a simple and reliable approach to evaluate
the potential N and P loading to the Three Gorges Reservoir Area of Hubei
Province in the People's Republic of China and may be useful for the planning and
management of the local agricultural watersheds.
PMID- 22078329
TI - Influence of urban shapes on environmental noise: a case study in Aracaju-Brazil.
AB - This paper discusses the results of a study about the influence of urban shapes
on environmental noise in the city of Aracaju (Brazil). The study, which involved
in situ measurements and acoustic simulations using SoundPLAN software, began
with an analysis of the current acoustic scenario, followed by the creation and
simulation of hypothetical scenarios in as yet unoccupied sectors of the region
under study. The acoustic modeling and simulations were based on measurements of
equivalent-continuous sound pressure level, LAeq, and vehicle flow data, and on
the region's geometrics. The results reveal that the physical characteristics of
the urban shape, such as construction density, the existence of open spaces, and
the shape and physical position of buildings exert a significant influence on
environmental noise.
PMID- 22078330
TI - Sediment-adsorbed total mercury flux through Yolo Bypass, the primary floodway
and wetland in the Sacramento Valley, California.
AB - The fate and transport of mercury are of critical concern in lowland floodplains
and wetlands worldwide, especially those with a history of upstream mining that
increases the mobility of both dissolved and sediment-bound Hg in watersheds. A
mass budget of total mercury (THg) quantifies sources and storage for particular
areas - knowledge that is required for understanding of management options in
lowland floodplains. In order to assess contaminant risk in the largest flood
control bypass, prime wetland, and restoration target in the Sacramento River
basin, we estimated empirical relationships between THg, suspended sediment
concentration (SSC), and streamflow (Q) for each of the major inputs and outputs
using data from various publicly available sources. These relationships were
improved by incorporating statistical representations of the dynamics of seasonal
and intra-flood exhaustion (hysteresis) of sediment and mercury. Using continuous
records of Q to estimate SSC suspended sediment flux and SSC to estimate THg
flux, we computed the net transfer of sediment-adsorbed mercury through the Yolo
Bypass over a decade, 1993-2003. Flood control weirs spilling Sacramento River
floodwaters into the bypass deliver ~75% of the water and ~50% of the river's
suspended sediment load, while one Coast Range tributary of the bypass, Cache
Creek, contributes twice the THg load of the mainstem Sacramento. Although
estimated sediment flux entering Yolo Bypass is balanced by efflux to the
Sacramento/San Francisco Bay-Delta, there is much evidence of deposition and
remobilization of sediment in Yolo Bypass during flooding. These factors point to
the importance of the bypass as sedimentary reservoir and as an evolving
substrate for biogeochemical processing of heavy metals. The estimates of mercury
flux suggest net deposition of ~500 kg in the 24,000 ha floodway over a decade,
dominated by two large floods, representing a storage reservoir for this
important contaminant.
PMID- 22078332
TI - Patient-reported outcomes in bladder pain syndrome: qui auget dolorem, auget et
scientiam (as pain increases, so increases knowledge).
PMID- 22078333
TI - Preoperative prostate-specific antigen isoform p2PSA and its derivatives, %p2PSA
and prostate health index, predict pathologic outcomes in patients undergoing
radical prostatectomy for prostate cancer.
AB - BACKGROUND: Currently available predictive models fail to assist clinical
decision making in prostate cancer (PCa) patients who are possible candidates for
radical prostatectomy (RP). New biomarkers would be welcome. OBJECTIVE: Test the
hypothesis that prostate-specific antigen (PSA) isoform p2PSA and its derivates,
percentage of p2PSA to free PSA (%p2PSA) and the Prostate Health Index (PHI),
predict PCa characteristics at final pathology after RP. DESIGN, SETTING, AND
PARTICIPANTS: An observational prospective study was performed in 350 consecutive
men diagnosed with clinically localised PCa who underwent RP. MEASUREMENTS: We
determined the predictive accuracy of serum total PSA (tPSA), free PSA (fPSA),
fPSA-to-tPSA ratio (%fPSA), p2PSA, %p2PSA, and PHI. The primary end point was to
determine the accuracy of these biomarkers in predicting the presence of pT3
disease, pathologic Gleason sum>=7, Gleason sum upgrading, and tumour volume<0.5
ml. INTERVENTION: Open retropubic and robot-assisted laparoscopic RP was
performed. Pelvic lymphadenectomy was performed according to baseline oncologic
parameters and the surgeon's judgement. RESULTS AND LIMITATIONS: The %p2PSA and
PHI levels were significantly higher in patients with pT3 disease, pathologic
Gleason sum>=7, and Gleason sum upgrading (all p values<0.001). Conversely,
%p2PSA and PHI levels were significantly lower in patients with tumour volume<0.5
ml (p<0.001). By univariate analysis, both %p2PSA and PHI were accurate
predictors of pT3 disease, pathologic Gleason sum>=7, Gleason sum upgrading, and
tumour volume<0.5 ml. By multivariate analyses, the inclusion of both %p2PSA and
PHI significantly increased the predictive accuracy of a base multivariate model
(excluding the tumour volume prediction for both variables, and Gleason sum
upgrading for the model including %p2PSA) that included patient age, tPSA, fPSA,
f/tPSA, clinical stage, and biopsy Gleason sum. CONCLUSIONS: We found that p2PSA
and its derivatives are predictors of PCa characteristics at final pathology
after RP and are more accurate than currently available markers.
PMID- 22078334
TI - Residual tumor size and IGCCCG risk classification predict additional vascular
procedures in patients with germ cell tumors and residual tumor resection: a
multicenter analysis of the German Testicular Cancer Study Group.
AB - BACKGROUND: Residual tumor resection (RTR) after chemotherapy in patients with
advanced germ cell tumors (GCT) is an important part of the multimodal treatment.
To provide a complete resection of residual tumor, additional surgical procedures
are sometimes necessary. In particular, additional vascular interventions are
high-risk procedures that require multidisciplinary planning and adequate
resources to optimize outcome. OBJECTIVES: The aim was to identify parameters
that predict additional vascular procedures during RTR in GCT patients. DESIGN,
SETTING, AND PARTICIPANTS: A retrospective analysis was performed in 402 GCT
patients who underwent 414 RTRs in 9 German Testicular Cancer Study Group (GTCSG)
centers. Overall, 339 of 414 RTRs were evaluable with complete perioperative data
sets. MEASUREMENTS: The RTR database was queried for additional vascular
procedures (inferior vena cava [IVC] interventions, aortic prosthesis) and
correlated to International Germ Cell Cancer Collaborative Group (IGCCCG)
classification and residual tumor volume. RESULTS AND LIMITATIONS: In 40 RTRs,
major vascular procedures (23 IVC resections with or without prosthesis, 11
partial IVC resections, and 6 aortic prostheses) were performed. In univariate
analysis, the necessity of IVC intervention was significantly correlated with
IGCCCG (14.1% intermediate/poor vs 4.8% good; p=0.0047) and residual tumor size
(3.7% size < 5 cm vs 17.9% size >= 5 cm; p < 0.0001). In multivariate analysis,
IVC intervention was significantly associated with residual tumor size >= 5 cm
(odds ratio [OR]: 4.61; p=0.0007). In a predictive model combining residual tumor
size and IGCCCG classification, every fifth patient (20.4%) with a residual tumor
size >= 5 cm and intermediate or poor prognosis needed an IVC intervention during
RTR. The need for an aortic prosthesis showed no correlation to either IGCCCG
(p=0.1811) or tumor size (p=0.0651). CONCLUSIONS: The necessity for IVC
intervention during RTR is correlated to residual tumor size and initial IGCCCG
classification. Patients with high-volume residual tumors and intermediate or
poor risk features must initially be identified as high-risk patients for
vascular procedures and therefore should be referred to specialized surgical
centers with the ad hoc possibility of vascular interventions.
PMID- 22078335
TI - Re: Guillaume Ploussard, Alexandre de la Taille, Younes Bayoud, et al. The risk
of upstaged disease increases with body mass index in low-risk prostate cancer
patients eligible for active surveillance. Eur Urol 2012;61:356-62.
PMID- 22078336
TI - How do you know if you are any good? A surgeon performance feedback system for
the outcomes of radical prostatectomy.
PMID- 22078337
TI - Prospective randomised controlled trial comparing trigone-sparing versus trigone
including intradetrusor injection of abobotulinumtoxinA for refractory idiopathic
detrusor overactivity.
AB - BACKGROUND: Botulinum toxin A is effective for treatment of idiopathic detrusor
overactivity (IDO). The trigone is generally spared because of the theoretical
risk of vesicoureteric reflux (VUR), although studies assessing injection sites
are lacking. OBJECTIVE: Evaluate efficacy and safety of trigone-including versus
trigone-sparing intradetrusor injections of abobotulinumtoxinA in patients with
IDO. DESIGN, SETTING, AND PARTICIPANTS: Twenty-two patients from one centre were
randomised to trigone-including or trigone-sparing injections. INTERVENTION:
Injection of 500 U abobotulinumtoxinA diluted to 20ml into 20 trigone-including
or trigone-sparing sites. MEASUREMENTS: The primary outcome measure was total
overactive bladder symptom score (OABSS) at 6 wk. The OABSS questionnaire was
completed at 0, 6, 12, and 26 wk. Baseline and postinjection urodynamic studies
and micturating cystourethrograms were performed. Baseline values and subsequent
time points were compared by t test. A mixed-effect model was used for repeated
measures in time. RESULTS AND LIMITATIONS: For symptom scores at baseline
compared with scores at 6 wk postinjection, the mean total OABSS improved from
22.4 to 8.7 (p<0.001) in the trigone-including group compared with 22.7 to 13.4
(p<0.03) in the trigone-sparing group. The difference in mean change from
baseline was 4.4 points in favour of the trigone-including group (p=0.03). The
total OABSS at 12 and 26 wk and the urgency subscale scores at 6, 12, and 26 wk
showed significant improvement in favour of the trigone-including group. Mean
postvoid residual volumes and clean intermittent self-catheterisation rates
between the two groups were similar. No patients developed VUR. Performing
injections under general anaesthetic was a limitation, as tolerability under
local anaesthetic was not assessed. A further limitation is the lack of a trigone
only arm. CONCLUSIONS: Trigone-including injections are superior to trigone
sparing injections for the treatment of refractory IDO and did not cause VUR in
this study.
PMID- 22078338
TI - Updated nomogram predicting lymph node invasion in patients with prostate cancer
undergoing extended pelvic lymph node dissection: the essential importance of
percentage of positive cores.
AB - BACKGROUND: Few predictive models aimed at predicting the presence of lymph node
invasion (LNI) in patients with prostate cancer (PCa) treated with extended
pelvic lymph node dissection (ePLND) are available to date. OBJECTIVE: Update a
nomogram predicting the presence of LNI in patients treated with ePLND at the
time of radical prostatectomy (RP). DESIGN, SETTING, AND PARTICIPANTS: The study
included 588 patients with clinically localised PCa treated between September
2006 and October 2010 at a single tertiary referral centre. INTERVENTION: All
patients underwent RP and ePLND invariably including removal of obturator,
external iliac, and hypogastric nodes. MEASUREMENTS: Prostate-specific antigen,
clinical stage, and primary and secondary biopsy Gleason grade as well as
percentage of positive cores were included in univariable (UVA) and multivariable
(MVA) logistic regression models predicting LNI and formed the basis for the
regression coefficient-based nomogram. The area under the curve (AUC) method was
used to quantify the predictive accuracy (PA) of the model. RESULTS AND
LIMITATIONS: The mean number of lymph nodes removed and examined was 20.8
(median: 19; range: 10-52). LNI was found in 49 of 588 patients (8.3%). All
preoperative PCa characteristics differed significantly between LNI-positive and
LNI-negative patients (all p<0.001). In UVA predictive accuracy analyses,
percentage of positive cores was the most accurate predictor of LNI (AUC: 79.5%).
At MVA, clinical stage, primary biopsy Gleason grade, and percentage of positive
cores were independent predictors of LNI (all p<=0.006). The updated nomogram
demonstrated a bootstrap-corrected PA of 87.6%. Using a 5% nomogram cut-off, 385
of 588 patients (65.5%) would be spared ePLND. and LNI would be missed in only 6
patients (1.5%). The sensitivity, specificity, and negative predictive value
associated with the 5% cut-off were 87.8%, 70.3%, and 98.4%, respectively. The
relatively low number of patients included as well as the lack of an external
validation represent the main limitations of our study. CONCLUSIONS: We report
the first update of a nomogram predicting the presence of LNI in patients treated
with ePLND. The nomogram maintained high accuracy, even in more contemporary
patients (87.6%). Because percentage of positive cores represents the foremost
predictor of LNI, its inclusion should be mandatory in any LNI prediction model.
Based on our model, those patients with a LNI risk<5% might be safely spared
ePLND.
PMID- 22078340
TI - Mesoporous hollow spheres from soap bubbling.
AB - The smaller and more stable bubbles can be generated from the large parent
bubbles by rupture. In the presence of a bubble blowing agent, hollow spheres can
be prepared by bubbling a silica sol. Herein, the trapped gas inside the bubble
acts as a template. When the porogen, i.e., other surfactant, is introduced, a
mesostructured shell forms by the co-assembly with the silica sol during sol-gel
process. Morphological evolution emphasizes the prerequisite of an intermediate
interior gas flow rate and high exterior gas flow rate for hollow spheres. The
method is valid for many compositions from inorganic, polymer to their
composites.
PMID- 22078339
TI - Ultrasound-assisted preparation and characterization of crystalline cellulose
ionic liquid blend polymeric material: a prelude to the study of its application
toward the effective adsorption of chromium.
AB - The molecular interaction of biopolymers with an array of substrates offers
interesting insight into the adsorption phenomenon. The present work proposes the
preparation and characterization of cellulose-methyltrioctylammonium chloride
(MeTOACl)-a room temperature ionic liquid (IL) blend polymeric sorbent and its
application for the adsorption of carcinogenic chromium(VI). The blend adsorbent
material was synthesized in a relatively green solvent (methylisobutylketone)
medium by ultrasonication. The mechanism of interaction of biopolymer with the
ionic liquid could be conceptualized as electrostatic attraction, hydrogen
bonding, and Van der Waals force of attraction with the hydroxyl groups of
cellulose as a bilayer assembly. The composition, crystallinity, and the surface
area of the prepared material were comprehensively characterized using FT-IR,
solid-state (13)C NMR, TGA, XRD, SEM, EDX, XPS, and BET isotherm study. The
adsorption capacity of chromium(VI) calculated from Langmuir isotherm model was
found to be 38.94 mg g(-1) with adherence to the second-order kinetics. The study
of thermodynamic parameters that affect the sorption process indicated the
spontaneity and exothermic nature of adsorption. The green aspect in the
methodology is brought out in the regeneration of the adsorbent, where Cr(VI)
could be effectively reduced to the less toxic Cr(III) using ascorbic acid.
PMID- 22078341
TI - Advances in information and communication technology (ICT): issues, challenges
and opportunities for health care professionals.
PMID- 22078342
TI - Thoracic epidural anaesthesia for open cholecystectomy.
AB - OBJECTIVE: To compare the postoperative pain relief and vomiting and the length
of hospital stay in patients undergoing open cholecystectomy under general
anaesthesia versus those receiving thoracic epidural anaesthesia. STUDY DESIGN:
Quasi experimental study. PLACE AND DURATION OF STUDY: The Combined Military
Hospital, Skardu, from February 2009 to July 2010. METHODOLOGY: American Society
of Anaesthesiology (ASA) physical status (PS) I and II patients of either gender
undergoing un-complicated open cholecystectomy were randomly divided into two
groups, group 1 (n=51) received general anaesthesia (GA) and group 2 (n=49)
received thoracic epidural anaesthesia (EA). Patients of both the groups were
assessed for postoperative pain, vomiting and length of hospital stay. Chi-square
test was applied to compare the two groups and obtain the p-value. P-value of
less than 0.05 was considered significant. RESULTS: Thirty six patients of GA
group did not require additional analgesics for postoperative pain relief;
however, injection Ketorolac had to be administered to 15 patients (29.4%) for
pain relief in the postoperative period. Two patients (4.1%) in the EA group
required additional analgesic during that period. Eleven patients (21.5%) in the
GA group had postoperative vomiting. In the EA group only 1 patient (2%) had
postoperative vomiting. Patients in EA group had better postoperative pain relief
(p = 0.001) and remained free from vomiting than the GA group (p = 0.003). Thirty
six patients (70.5%) of the GA group and 34 patients (69.4%) in the EA group were
discharged within 36 hours postoperatively (p = 0.896). CONCLUSION: The use of
intra-operative epidural anaesthesia combined with postoperative epidural
analgesia was found to be associated with reduction in the postoperative pain and
vomiting in patients undergoing open cholecystectomy.
PMID- 22078343
TI - Personal and social factors regarding medical non-compliance in cardiac failure
patients.
AB - OBJECTIVE: To determine the frequency and association of various personal and
social factors with medical non-compliance in cardiac failure patients. STUDY
DESIGN: Cross-sectional, observational study. PLACE AND DURATION OF STUDY:
National Institute of Cardiovascular Diseases (NICVD), Karachi from January to
August 2010. METHODOLOGY: Patients admitted in the medical wards of NICVD, who
were being treated for cardiac failure, were included. Information regarding
basic demographics, education level, self engagement in therapy and status of
compliance was obtained by questionnaire. Statistical analysis was carried out by
using Fisher's exact test and chi-square. Level of significance was < 0.05. Data
was analyzed using SPSS V-15. RESULTS: Out of 267 patients, 73 (27.3%) were
compliant while 194 (72.7%) were non-compliant. Educated, self caring patient,
and those who knew names of their medications were more compliant than the rest.
CONCLUSION: Medical non-compliance is very common in heart failure patients.
Illiteracy and no self engagement in therapy are associated with non-compliance.
PMID- 22078344
TI - Factors associated with tobacco smoking among 6-10 grade school students in an
urban Taluka of Sindh.
AB - OBJECTIVE: To determine the factors related to tobacco smoking among students of
grade 6-10 in an urban setting in Sindh, Pakistan. STUDY DESIGN: Cross-sectional
survey. PLACE AND DURATION OF STUDY: The study was conducted in public and
private schools of Hyderabad, Sindh, Pakistan, from January 2008 to June 2009.
METHODOLOGY: A sample of 501 students from grade 6-10 were selected through
simple random sampling and probability proportional to size. Students answered to
a pre-tested questionnaire on sociodemography and tobacco use. Descriptive
statistics were used to determine frequency distribution. RESULTS: About 9% of
the students were smoking some form of tobacco. Ten percent had tried cigarette
smoking and about 80% and 61% were chewing Areca nuts and 'Paan' (concoction of
Areca nuts, tobacco, hydrated lime, herbs and spices wrapped in betel leaf. Being
old, male gender, peer influence, personal attitude toward future smoking,
chewing 'Gutka' (concoction of tobacco, Areca nuts and hydrated lime) and having
a more educated mother was associated with greater frequency of smoking any form
of tobacco. CONCLUSION: High frequency of tobacco smoking, the attitude toward
tobacco consumption and a very high consumption of Areca nuts and other chewable
tobacco products by the children warrants urgent action in order to control the
tobacco epidemic in Pakistan.
PMID- 22078345
TI - Efficacy of L-ornithine-L-aspartate as an adjuvant therapy in cirrhotic patients
with hepatic encephalopathy.
AB - OBJECTIVE: To evaluate the efficacy of L-ornithine-L-aspartate (LOLA) as an
adjuvant therapy in cirrhotic patients with hepatic encephalopathy (HE). STUDY
DESIGN: Randomized placebo controlled study. PLACE AND DURATION OF STUDY: The Aga
Khan University Hospital, Karachi in the year 2003-2004. METHODOLOGY: Patients
with HE were randomized to receive LOLA or placebo medicine as an adjuvant to
treatment of HE. Number connection test-A (NCT-A), ammonia level, clinical grade
of HE and duration of hospitalization were assessed. RESULTS: Out of 120
patients, there were 62 males with mean age of 57 +/- 11 years. Improvement in HE
was higher (n=40, 66.7%) in LOLA group as compared to the placebo group (n=28,
46.7%, p=0.027). In patients with grade I or less encephalopathy, improvement was
seen in 6 (35.3%) and 3 (20%) patients in LOLA and placebo groups respectively
(p=0.667). Patients with HE grade II and above showed improvement in 34 (79.1%)
and 25 (55.6%) cases in LOLA and placebo group respectively (p=0.019). On
multivariate analysis patients with HE of grade II and above showed prothrombin
time, creatinine level and use of LOLA influencing the outcome. Duration of
hospitalization was 93.6 +/- 25.7 hours and 135.2 +/- 103.5 hours in LOLA and
placebo groups respectively (p=0.025). No side effects were observed in either
groups. CONCLUSION: In cirrhotic patients with advanced hepatic encephalopathy
treatment with LOLA was safe and associated with relatively rapid improvement and
shorter hospital stay.
PMID- 22078346
TI - Surgical management of femoral artery pseudoaneurysms secondary to drug abuse.
AB - OBJECTIVE: To assess the outcome of surgical management of mycotic false
aneurysms due to local injury after intravenous injection of drugs. STUDY DESIGN:
Case series. PLACE AND DURATION OF STUDY: Department of Surgery, Kerman Medical
School of Sciences, Kerman, Iran, from July 2001 to June 2009. METHODOLOGY:
Twenty one consecutive patients presenting with infected femoral artery
pseudoaneurysms (IFAPs) secondary to parenteral drug abuse treated in our
department were studied. Data analyzed included demographic characteristics,
modes of presentation, side of involvement, management and outcome. RESULTS: All
patients were male with mean age of 32.2 +/- 5.2 years. All presented with pain
and swelling in the groin. Five patients presented with significant hemorrhage.
The locations were the left side in 9 cases and the right side in 12 cases. The
injured artery was the common femoral artery and its bifurcation. In 14 patients
(64%), ligation-excision was carried out. In 5 patients (26%), primary repair of
the artery with over-sewing was done. In 2 patients (10%), revascularization with
saphenous interposition was carried out. Below-knee amputation was necessary in 1
patient who underwent ligationexcision. One patient died due to severe
septicemia. CONCLUSION: Ligation of IFAPs is an effective, safe and simple
option. Primary repair with preservation of the native vessel is suggested if
infection is limited.
PMID- 22078347
TI - Ocular digital massage for the management of post- trabeculectomy underfiltering
blebs.
AB - OBJECTIVE: To evaluate the efficacy and safety of ocular digital massage (ODM) in
the management of underfiltering blebs after trabeculectomy. STUDY DESIGN: Quasi
experimental study. PLACE AND DURATION OF STUDY: Glaucoma Clinic of Al-Shifa
Trust Eye Hospital, Rawalpindi, from January 2007 to November 2008. METHODOLOGY:
ODM was performed 3-4 times daily in 20 eyes having intraocular pressure (IOP)
above the target level after trabeculectomy. Equal number of eyes with
satisfactory IOP after trabeculectomy were taken as controls. Both groups were
evaluated at 1 week, 3 weeks, 6 weeks, 3 months, 6 months and 9 months. Mean IOP,
frequency of complications and required number of IOP lowering medicines in both
groups were compared using 't' test. RESULTS: Massage group had a significantly
higher mean IOP than the control group before the initiation of massage (p <
0.001). After initiating ODM, there were no significant differences in the mean
IOP of two groups at 1 week (p = 0.421), 3 weeks (p = 0.073), 6 weeks (p =
0.575), 3 months (p = 0.071), 6 months (p = 0.085) and 9 months (p = 0.369). The
difference in terms of required number of IOP lowering medicines (p = 0.075) and
complication rates (p = 0.411) was also not significant. CONCLUSION: ODM is an
effective method for controlling IOP after trabeculectomy, and thus contributes
to long-term surgical success with an acceptable risk / benefit ratio.
PMID- 22078348
TI - BRCA1 status in Pakistani breast cancer patients with moderate family history.
AB - OBJECTIVE: To determine BRCA1 status in breast carcinoma patients of Pakistani
origin. STUDY DESIGN: Observational study. PLACE AND DURATION OF STUDY: The
Oncology Clinics of the Aga Khan University Hospital, Karachi, between May 2005
and December 2009. METHODOLOGY: Fifty three breast cancer patients based on
clinical and laboratory diagnosis were recruited for this study. Moderate family
history was defined as having a close relative (mother, daughter, sister)
diagnosed with breast cancer under 45 years. Peripheral blood samples were
collected from each patient in a 5 ml tube containing EDTA as anticoagulant.
Subsequent to DNA extraction, mutational analysis of BRCA1 exons 2, 5, 6, 16, 20
and 22 was carried out using single strand conformation polymorphism (SSCP) assay
while protein truncation test (PTT) was used to examine mutations in exon 11. All
BRCA1 sequence variants were confirmed by DNA sequencing. RESULTS: Twenty-three
patients were diagnosed with early onset breast cancer, 30 patients had moderate
family history. At the time of diagnosis, the median age of enrolled patients was
39 years (range 24-65 years). Out of 53 patients, analyzed by SSCP assay,
mobility shift was detected in exon 6, 16 and 20 of three patients, whereas one
patient was tested positive for mutation in exon 11 by PTT assays. All patients
with BRCA1 mutations were further confirmed by DNA sequencing analysis. In exon
16 c.4837A > G was confirmed, which is a common polymorphism reported in several
populations including Asians. Moreover, mutations in exon 6 (c.271T > G), exon 20
(c.5231 delG) and exon 11 (c.1123 T > G) were reported first time in the
Pakistani population. CONCLUSION: Several BRCA1 mutations were observed in
Pakistani breast cancer patients with moderate family history. Therefore,
mutation-based genetic counselling for patients with moderate family history can
facilitate management, if one first or second degree relative or early onset
disease is apparent.
PMID- 22078349
TI - Clinical applicability of variations in arch dimensions and arch forms among
various vertical facial patterns.
AB - OBJECTIVE: To compare dental arch dimensions and arch forms in various vertical
facial patterns. STUDY DESIGN: Cross-sectional comparative study. PLACE AND
DURATION OF STUDY: The Aga Khan University Hospital, Karachi, from June 2007 to
May 2008. METHODOLOGY: Cases of age ranging 13-30 years were reviewed having full
complement of teeth (upto second permanent molars) with less than 7 mm crowding.
Exclusion criteria included presence of dental anomalies, previous dentoalveolar
surgery, trauma or orthodontic treatment and craniofacial syndromes. One hundred
cases including 40 normodivergent, 30 hypodivergent and 30 hyperdivergent facial
patterns were selected. Occlusograms were made and various arch dimensions were
measured. Arch forms were calculated according to ratios of three sagittal to
three transverse dimensions. RESULTS: The mean age of the sample was 21 years and
5 months. Significant differences in arch dimensions were found only in maxillary
total arch length (p=0.03) and mandibular posterior intermolar width (p=0.04).
Wide lower arches were predominant in all face types. Wide upper arches were
predominant only in hypo- and hyperdivergent subjects. CONCLUSION: Statistically
significant difference in arch dimensions was found only in maxillary total arch
length and mandibular posterior intermolar width. A non-linear relationship was
found between arch length and arch width. Wide lower arches were predominant in
all face types whereas wide upper arches were predominant in hypo- and
hyperdivergent subjects.
PMID- 22078350
TI - The burnt child: an epidemiological profile and outcome.
AB - OBJECTIVE: To determine the epidemiologic pattern and outcome of childhood burns
by finding the presenting features of the burn victims, causes / circumstances of
burn injury, involvement of body area, need for hospitalization, duration of
hospital stay and mortality. STUDY DESIGN: Case series. PLACE AND DURATION OF
STUDY: The Burns Care Centre (BCC), Pakistan Institute of Medical Sciences
(PIMS), Islamabad, from January 2008 to June 2010. METHODOLOGY: All children of
either gender with burn injuries who were managed at the centre after primarily
presenting to the study centre were included. Children over 15 years were
excluded. Lund and Browder chart was used to calculate the total body surface
area (TBSA) burnt. Children with extensive and critical burns, high voltage
electric burns and those needing any surgical interventions were admitted for
indoor management. Data were recorded on a proforma. RESULTS: A total of 1725
children were included in the study. Out of those, 66.84% (n=1153) were males and
33.15% (n=572) were females. The mean age was 5.04 +/- 2.78 years. Majority
(67.47%) of children were aged 3-6 years. Scalds were the commonest burns
(70.31%). Household environment was the commonest site of acquisition of burns
(91.47%). Winter was the most frequent season of sustaining burn injury (63%).
The commonest anatomic regions affected were hands / upper limbs (65.68%).
Overall the affected mean TBSA was 9.37 +/- 9.61 %, while for the hospitalized
children it was 27.07 +/- 10.84%. Two hundred and ninety seven children (17.21%)
were admitted. The mean hospital stay was 15.59 +/- 5.61 days. The mortality rate
was 9.09% for the hospitalized children and 1.56% for the entire study sample.
CONCLUSION: Male gender, age of 3-6 years and winter season were found to have an
increased frequency of childhood burns. Scalds were the commonest type of
injuries, and hands/ upper limbs were the most frequently affected body parts.
There is a need to revisit the health care system and institute focused burn
prevention strategies consistent with our local circumstances.
PMID- 22078351
TI - Mortality in meconium aspiration syndrome in hospitalized babies.
AB - OBJECTIVE: To determine the outcome of the babies in terms of mortality with the
diagnosis of Meconium Aspiration Syndrome (MAS). STUDY DESIGN: An observational
study. PLACE AND DURATION OF STUDY: The Neonatal Unit of Services Institute of
Medical Sciences and Services Hospital, Lahore, Pakistan, from February 2008 to
January 2009. METHODOLOGY: All the babies admitted to the neonatal unit during
the period of study with the diagnosis of MAS were included. At admission,
demographic, maternal, antenatal and natal data were recorded on a specific form.
The progress of the baby, including need for ventilation, medications,
complications and outcome were also followed and documented. RESULTS: One hundred
and nine babies admitted with MAS, 32% died. Most of the babies (n=73) were
admitted from our obstetrical unit and the rest through the emergency department.
Majority (60 of 109) were admitted within the 1st hour of life. Most (14 of 15)
of the newborns requiring intubation within 1st hour of life, died. Forty four
babies were ventilated and 35 of these babies succumbed. Of ventilated babies, 11
developed pneumothoraces. Seventy two percent (13 out of 18) of expired babies
stayed for less than 24 hours. CONCLUSION: Mortality rate for MAS was higher in
the study group as compared to international figures. It was especially high in
babies requiring mechanical ventilation in 1st hour of life or with co-existing
severe hypoxic ischemic encephalopathy.
PMID- 22078352
TI - Right sided single coronary artery origin: surgical interventions without
clinical consequences.
AB - Congenital coronary anomalies are uncommon and are usually diagnosed incidentally
during coronary angiogram or autopsy. Isolated coronary artery anomalies and the
anomalous origin of left main stem (LMS) from the proximal portion of the right
coronary artery or from the right sinus of valsalva are extremely rare. A 68
years old woman with atypical chest pains was referred for risk assessment for
the general anaesthesia. A stress exercise treadmill test and myocardial
perfusion scan revealed evidence of mild myocardial ischemia. Her coronary
angiography revealed her left coronary artery to have a single origin with the
right coronary artery. There were no flowlimiting lesions. A CT aortography
confirmed a retro-aortic course of the left coronary artery. She successfully
underwent multiple surgical procedures under general anaesthesia including total
abdominal hysterectomy, Burch colposuspension (twice) for stress incontinence,
intravesical botox injection for urge incontinence and haemorrhoidectomy for
recurrent rectal mucosal prolapse. Various anaesthetic agents including
halothane, thiopentone, suxamethonium, pancuronium, enflurane, fentanyl, propofol
and isoflurane were used without any adverse clinical consequences. She remained
well on 48 months follow-up.
PMID- 22078353
TI - Paraurethral leiomyoma.
AB - Vaginal leiomyoma is a rare solid tumour with a variable presentation that can
lead to pre-operative misdiagnosis. Local recurrence and transformation into
sarcoma are also rare and surgical excision is recommended. Here, we present the
case of a 23-year-old woman with a painless vaginal mass associated with severe
dyspareunia since marriage (2 months ago) and dysmenorrhoea. She underwent
surgery by vaginal route and histological findings confirmed a leiomyoma. After 2
months, the patient was disease-free and symptoms disappeared.
PMID- 22078354
TI - Lingual tuberculosis.
AB - Tuberculosis is a common disease in developing countries like Pakistan. Although
it can involve almost any region of the body, some presentations are still very
rare. This is a case report of a 36 years old male with tuberculous lesion on the
tongue presenting as a lump. Patient also had disseminated disease. There are
very few cases reported of this rare presentation across the globe.
PMID- 22078355
TI - Amyloidosis of the nasopharynx: an unexpected cause of unilateral middle ear
effusion.
AB - Amyloidosis is an idiopathic disease that is characterized by the extracellular
deposition of fibrillar proteins. The disease can be categorized as primary or
secondary where deposits occur in conjunction with chronic diseases such as
rheumatoid arthritis or tuberculosis. The deposits can be localized or
systemically distributed. It can mimic, and also be associated with underlying
malignancy. Primary amyloidosis is a rare cause of a nasopharyngeal lesion, and
less so of a secondary middle ear effusion. Its association with underlying
chronic and malignant disease must not be over-looked if serious complications
are to be avoided. It is, therefore, important to consider this as a differential
diagnosis in such patients.
PMID- 22078356
TI - Digital gangrene in end-stage renal disease.
AB - Ischemic complications presenting as digital gangrene occurring in a patient of
end-stage renal disease undergoing haemodialysis is rarely reported in
literature. We report one such case of dry gangrene of a single finger in a 53
years old male undergoing haemodialysis. The condition was likely a steal
phenomenon secondary to the surgical angioaccess for dialysis.
PMID- 22078357
TI - Zygodactyly with thumb aplasia: an unusual variant in a male subject.
AB - This is a presentation of a male subject with unusual combination of limb
malformations. The subject had unilateral zygodactyly of the left foot with thumb
aplasia in the right hand. Further, the webbing between second and third toes was
complete culminating in osseous fusion of the terminal phalanges and valgus
deviation of the affected digits of the foot. The nails were also involved but
had separate origins. In the right hand, first digital ray was observed to be
completely omitted. There was aplasia of certain carpals while the radius showed
minimal clinical symptoms. The subject was the product of first cousins union. To
the best of our knowledge, this combination of limb phenotype has not been
described before.
PMID- 22078358
TI - Primary renal leiomyosarcoma.
AB - Primary renal sarcomas are very rare. We report a case of renal leiomyosarcoma
with 36 months follow-up. Neither ultrasonography, computed tomography nor
magnetic resonance imaging are able to differentiate between leiomyosarcoma and
renal cell carcinoma. Radical nephrectomy and adrenalectomy was curative.
Diagnosis was established on histology and immunohistochemistry. There were no
metastases. Histology and later on immunohistochemistry is the only mean by which
these tumours can be diagnosed. After a period of 36 months, patient is alive and
well.
PMID- 22078359
TI - Demographic and clinical profile of children under two years of age with
recurrent wheezing.
AB - Sixty-seven children less than two years of age with recurrent wheezing were
evaluated clinically and demographically by proper history and clinical
examinations. The mean age of the study subjects at enrolment and at onset of
wheezing was 10.8 + 5.24 months and 7.1 + 3.857 months, respectively. The male
female ratio was 9:2. The majority of these cases (82%) had onset of wheezing at
less than 1 year of age. One-third of the cases were diagnosed as asthma and
viral infection associated wheeze. Increasing age in the first 2 years of life
was significantly associated with decreasing trend of cases with history
suggestive of reflux. The children with wheeze due to causes other than
gastroesophageal reflux were more likely to be not exclusively breast fed. The
present study did not find any significant difference in the prevalence of
various socioeconomic, environmental factors and clinical factors among the
various types of the early wheezers.
PMID- 22078360
TI - Deferasirox induced liver injury in haemochromatosis.
PMID- 22078361
TI - Iatrogenic severe protein deficiency in a child.
PMID- 22078363
TI - Meta-analytic approach to the accurate prediction of secreted virulence effectors
in gram-negative bacteria.
AB - BACKGROUND: Many pathogens use a type III secretion system to translocate
virulence proteins (called effectors) in order to adapt to the host environment.
To date, many prediction tools for effector identification have been developed.
However, these tools are insufficiently accurate for producing a list of putative
effectors that can be applied directly for labor-intensive experimental
verification. This also suggests that important features of effectors have yet to
be fully characterized. RESULTS: In this study, we have constructed an accurate
approach to predicting secreted virulence effectors from Gram-negative bacteria.
This consists of a support vector machine-based discriminant analysis followed by
a simple criteria-based filtering. The accuracy was assessed by estimating the
average number of true positives in the top-20 ranking in the genome-wide
screening. In the validation, 10 sets of 20 training and 20 testing examples were
randomly selected from 40 known effectors of Salmonella enterica serovar
Typhimurium LT2. On average, the SVM portion of our system predicted 9.7 true
positives from 20 testing examples in the top-20 of the prediction. Removal of
the N-terminal instability, codon adaptation index and ProtParam indices
decreased the score to 7.6, 8.9 and 7.9, respectively. These discrimination
features suggested that the following characteristics of effectors had been
uncovered: unstable N-terminus, non-optimal codon usage, hydrophilic, and less
aliphathic. The secondary filtering process represented by coexpression analysis
and domain distribution analysis further refined the average true positive counts
to 12.3. We further confirmed that our system can correctly predict known
effectors of P. syringae DC3000, strongly indicating its feasibility.
CONCLUSIONS: We have successfully developed an accurate prediction system for
screening effectors on a genome-wide scale. We confirmed the accuracy of our
system by external validation using known effectors of Salmonella and obtained
the accurate list of putative effectors of the organism. The level of accuracy
was sufficient to yield candidates for gene-directed experimental verification.
Furthermore, new features of effectors were revealed: non-optimal codon usage and
instability of the N-terminal region. From these findings, a new working
hypothesis is proposed regarding mechanisms controlling the translocation of
virulence effectors and determining the substrate specificity encoded in the
secretion system.
PMID- 22078364
TI - The smallest insects evolve anucleate neurons.
AB - The smallest insects are comparable in size to unicellular organisms. Thus, their
size affects their structure not only at the organ level, but also at the
cellular level. Here we report the first finding of animals with an almost
entirely anucleate nervous system. Adults of the smallest flying insects of the
parasitic wasp genus Megaphragma (Hymenoptera: Trichogrammatidae) have only 339
372 nuclei in the central nervous system, i.e., their ganglia, including the
brain, consist almost exclusively of processes of neurons. In contrast, their
pupae have ganglia more typical of other insects, with about 7400 nuclei in the
central nervous system. During the final phases of pupal development, most
neuronal cell bodies lyse. As adults, these insects have many fewer nucleated
neurons, a small number of cell bodies in different stages of lysis, and about
7000 anucleate cells. Although most neurons lack nuclei, these insects exhibit
many important behaviors, including flight and searching for hosts.
PMID- 22078365
TI - Self-reported tobacco smoke exposure and plasma cotinine levels during pregnancy-
a validation study in Northern Japan.
AB - Maternal smoking is a critical public health concern requiring the establishment
of its prevalence rate and clinical impact. Maternal self-reported information of
tobacco smoke exposure requires validation using accurate biochemical analysis.
This study examined the association between self-reported exposure to tobacco
smoke and plasma cotinine level in Japanese pregnant women. We collected
information about smoking and secondhand smoke (SHS) exposure during pregnancy
from 5128 pregnant women in a prospective cohort design, and analyzed
biochemically maternal blood samples using the enzyme-linked immunosorbent assay
(ELISA) technique. Based on self-reports, the subjects were classified into three
groups: 650 smokers, 728 ex-smokers and 3750 non-smokers. Using the receiver
operating characteristic (ROC) curve, plasma cotinine cut-off value of 11.48
ng/mL was established for separating smokers from non-smokers, resulting in a
smoking prevalence of 14%. A cotinine cut-off value of 0.21 ng/mL for
discriminating exposed and unexposed nonsmokers resulted in a 63% prevalence of
exposure to tobacco smoke among nonsmokers. Cotinine biomarker analysis proved
accurate in validating self-reported smoking information in the subjects. Lower
validity of SHS exposure suggests a need to confirm questionnaire information
with biochemical analysis.
PMID- 22078366
TI - Three decades of atmospheric metal deposition in Norway as evident from analysis
of moss samples.
AB - Monitoring of atmospheric deposition of metals in Norway on a nationwide scale
using samples of terrestrial moss started in 1977 and has been repeated every 5
years. This has facilitated a detailed record of temporal and spatial trends of
metal deposition all over the country as a supplement to measurements based on
bulk deposition sampling on a small number of sites. Pb, Zn, Cd, As, Sb, V, Sn,
Mo, and Bi all show highest deposition in the far south due to trans-boundary
pollution from other parts of Europe, but the contribution from long-range
atmospheric transport to metal deposition has decreased substantially over the
years. The distributions of Fe, Ni, Cu, Cr, and Co are more affected by local
sources, but a decreasing time trend is also evident for these elements. Se is
mainly derived from processes in the marine environment. Deposition of metals
from Cu-Ni smelters in Russia situated close to the Norwegian border has shown a
steadily increasing trend over the time period concerned.
PMID- 22078367
TI - Inclusion of soil arsenic bioaccessibility in ecological risk assessment and
comparison with biological effects.
AB - The purpose of this study was to conduct an ecological risk assessment (ERA) for
meadow voles (Microtus pennslvanicus) found at three arsenic contaminated sites
in Nova Scotia, Canada (as well as two background locations) and to compare the
numeric results to measured biomarkers of exposure and effect. The daily intake
of arsenic by meadow voles was determined by three separate calculations:
estimated daily intake (EDI), bioaccessible estimated daily intake (BEDI, with
bioaccessibility of soil included), and actual daily intake (ADI, which is
calculated with arsenic concentrations in the stomach contents). The median
bioaccessibility of arsenic in soils from the contaminated locations was
significantly greater than at background locations. The bioaccessible arsenic
concentration in soil from all samples (both contaminated and background) was
significantly less than the total concentration. Use of site-specific
bioaccessibility (hazard quotients=38 at Upper Seal Harbour (USH); 60 at Lower
Seal Harbour (LSH); and 120 at Montague tailings (MONT)) and stomach arsenic
contents (hazard quotients=2.1 at USH; 7.9 at LSH; and 6.7 at MONT) in the ERA
resulted in lower numeric risk than compared to risk calculated with 100%
bioavailability (hazard quotient=180 at USH; 75 at LSH; and 680 at MONT).
Further, the use of bioaccessibility on the calculation of risk was aligned with
biomarker results (changes in glutathione and micronucleated erythrocytes) in
voles captured at the sites. This study provides evidence that using site
specific bioaccessibility in ERAs may provide a more realistic level of
conservatism, thereby enhancing the accuracy of predicting risk to wildlife
receptors. Furthermore, when numeric risk assessments are combined with site
specific biological data (i.e., biomarkers of exposure and effect), both lines of
evidence can be used to make informed decisions about ecological risk and site
management.
PMID- 22078368
TI - A systematic examination of a random sampling strategy for source apportionment
calculations.
AB - Estimating the relative contributions from multiple potential sources of a
specific component in a mixed environmental matrix is a general challenge in
diverse fields such as atmospheric, environmental and earth sciences. Perhaps the
most common strategy for tackling such problems is by setting up a system of
linear equations for the fractional influence of different sources. Even though
an algebraic solution of this approach is possible for the common situation with
N+1 sources and N source markers, such methodology introduces a bias, since it is
implicitly assumed that the calculated fractions and the corresponding
uncertainties are independent of the variability of the source distributions.
Here, a random sampling (RS) strategy for accounting for such statistical bias is
examined by investigating rationally designed synthetic data sets. This random
sampling methodology is found to be robust and accurate with respect to
reproducibility and predictability. This method is also compared to a numerical
integration solution for a two-source situation where source variability also is
included. A general observation from this examination is that the variability of
the source profiles not only affects the calculated precision but also the
mean/median source contributions.
PMID- 22078369
TI - Possible social relevance of illicit psychotropic substances present in the
atmosphere.
AB - Although the worldwide presence of illicit psychotropic compounds in the
environment is well known, the social impact of drug abuse on the community has
yet to be determined. Besides, the possibility of deriving indicators of the
prevalence of drug abuse from the content of illicit substances in the air
remains unexplored. In this study, the atmospheric concentrations of psychotropic
compounds recorded in Italy were plotted vs. a series of criminal statistics.
Meaningful links were found between atmospheric cocaine and the amount of drugs
seized, the number of drug related crimes and the demand for clinical treatment
recorded in the Italian regions. Atmospheric cocaine and cannabinoids also seemed
to be correlated with tumour insurgence and mental disease frequency,
respectively. However, further investigations are necessary to
elucidate/explain/clarify if the behaviours observed for cocaine vs. the
parameters usually adopted to estimate drug abuse prevalence (correspond to an
effective relationships)/are directly linked, and to understand why the same
approach failed when applied to cannabinoids. Moreover, according to our study
illicit drugs are suspected to promote long-term ill health effects even when
present at low concentrations the air.
PMID- 22078370
TI - Land-ocean contributions of arsenic through a river-estuary-ria system (SW
Europe) under the influence of arsenopyrite deposits in the fluvial basin.
AB - Water was sampled monthly from September 2005 to August 2006 at 14 stations
distributed throughout the coastal system of Anllons-Laxe, from where 30 surface
sediment samples were also taken. After filtration through 0.22 MUm polycarbonate
filters, dissolved inorganic and total arsenic (UV oxidation) concentration was
determined by HG-AFS. After microwave digestion, the arsenic in SPM and sediment
was determined by AAS. Ultra-clean procedures were adopted during sampling,
handling and analysis and the analytical accuracy was checked using certified
reference material. Spatial distribution of As in water (0.2-4.0 MUg L(-1)), SPM
(21-169 mg kg(-1)) and sediment of the river reservoir was altered by the
presence of arsenopyrite deposits in the middle fluvial basin that increases
2.1+/-0.5 and 1.7+/-0.5 times the concentrations of inorganic dissolved (DI-As)
and particulate (P-As) arsenic, respectively. At the termination fluvial zone As
fluxes can be calculated to be: [DI-As]=7.09.Q(-0.69). The Anllons River exports
to its estuary 460 kg a(-1) of dissolved (<7% as organic) arsenic annually. It is
higher (i.e. 0.83 kgs(-1) km(-2) of DI-As) than that of most of European rivers.
In the estuary reservoir, the influence of arsenopyrite is also evident as the
river concentration of DI-As, which was lower than in seawater during the wet
season and higher during the dry season. Arsenic has non-conservative behaviour,
as in other European estuaries, but the Anllons shows an ambivalent pattern: as
it usually gains DI-As during the wet season and loses it during the dry season,
whilst P-As seems to behave contrary to the DI-As. When the fluvial arsenic
reaches the ria its concentration varies due to the estuarine processes. In the
wet season DI-As increases its concentration by one third whilst in the dry
season it decreases by one fifth and the annual contribution to the ria is 10%
higher than the fluvial output. In the case of P-As more data are necessary to
quantify its behaviour; however, the estuarine sediments are contaminated by
arsenic. In the ria reservoir DI-As levels were similar to those of the ocean, DO
As comprises 9-22% of the inorganic, P-As ranges from 3 to 40 mg kg(-1), and As
sedimentary can be classified as uncontaminated (4-18 mg kg(-1)), except in the
fishing ports. The ria circulation, reinforced by upwelling favours the
exportation of arsenic to the ocean. In the Anllons-Laxe system as a whole, the
freshwater-saline interface processes do not lead to a decrease in the dissolved
fluvial arsenic flux to the ocean.
PMID- 22078371
TI - Arsenic in Chinese coals: distribution, modes of occurrence, and environmental
effects.
AB - Arsenic, one of the most hazardous elements occurring in coals, can be released
to the environment during coal processing and combustion. Based on the available
literature and published results obtained in our laboratory, the content,
distribution and the modes of occurrence of As in Chinese coals, and its
environmental and impacts are reviewed in this article. With the 4763 sets of
data (from the literature) rearranged, the arithmetic mean As concentration of
each province and weighted mean As concentration of the entire country (using the
expected coal reserves as the weighting factor) were calculated. The weighted
mean As concentration in Chinese coals is 3.18 mg/kg, with As concentration
increasing from northern China to southern China. The As concentration in coal
varies with coal-forming ages and coal ranks. Arsenic has several modes of
occurrence in coals. According to results obtained by other studies and our own
experiments, As is mainly associated with mineral matter (such as pyrite and
other sulfide minerals) in coals, although a significant amount of arsenic is
associated with organic matter. The accumulation of As in coal is controlled by
many geological factors during coal-forming processes, including plant
decomposition, sedimentary environments, and epigenetic hydrothermal activity.
During the combustion of coal, As is released to the air, water, and soil,
causing serious environmental pollution. More than 45% of the coal consumed in
China is utilized by power plants, and it is estimated that nearly 522 tonnes, 21
tonnes and 252 tonnes of As are emitted into the atmosphere by industries,
residential buildings and coal-fired power plants, respectively, every year.
PMID- 22078372
TI - Mercury emission inventory and its spatial characteristics in the Pearl River
Delta region, China.
AB - A 3 km * 3 km gridded mercury emission inventory in the Pearl River Delta (PRD)
region for 2008 was compiled from the best available emission factors and
official statistical data. The inventory presented a comprehensive estimation of
anthropogenic mercury sources and roughly estimated the emissions from natural
sources. The total mercury emissions in the PRD region for the year of 2008 are
estimated to be 17,244 kg, of which 85% released as Hg(0), 11% as Hg(2+), and 4%
as Hg(P). Anthropogenic activities are dominant sources, accounting for 91% of
the total emissions, while natural sources constitute the remaining emissions.
Ranking by cities, Foshan produces the largest mercury emissions, followed by
Dongguan, Guangzhou and Jiangmen. Coal combustion, municipal solid waste (MSW)
incineration, fluorescent lamp and battery production are dominant contributors,
responsible for 28%, 21%, 19% and 16% of the anthropogenic emissions,
respectively. The high contribution of MSW incineration results from the rapid
growth of MSW incineration in this region, reflecting a new trend of mercury
emissions in China, especially in the fast developing regions. This implies the
urgent need for further investigation of mercury emissions and the importance of
controlling mercury emissions from MSW incineration.
PMID- 22078373
TI - Dendritic cell lineage commitment is instructed by distinct cytokine signals.
AB - Dendritic cells (DC) develop from hematopoietic stem cells, which is guided by
instructive signals through cytokines. DC development progresses from multipotent
progenitors (MPP) via common DC progenitors (CDP) into DC. Flt3 ligand (Flt3L)
signaling via the Flt3/Stat3 pathway is of pivotal importance for DC development
under steady state conditions. Additional factors produced during steady state or
inflammation, such as TGF-beta1 or GM-CSF, also influence the differentiation
potential of MPP and CDP. Here, we studied how gp130, GM-CSF and TGF-beta1
signaling influence DC lineage commitment from MPP to CDP and further into DC. We
observed that activation of gp130 signaling promotes expansion of MPP.
Additionally, gp130 signaling inhibited Flt3L-driven DC differentiation, but had
little effect on GM-CSF-driven DC development. The inflammatory cytokine GM-CSF
induces differentiation of MPP into inflammatory DC and blocks steady state DC
development. Global transcriptome analysis revealed a GM-CSF-driven gene
expression repertoire that primes MPP for differentiation into inflammatory DC.
Finally, TGF-beta1 induces expression of DC-lineage affiliated genes in MPP,
including Flt3, Irf-4 and Irf-8. Under inflammatory conditions, however, the
effect of TGF-beta1 is altered: Flt3 is not upregulated, indicating that an
inflammatory environment inhibits steady state DC development. Altogether, our
data indicate that distinct cytokine signals produced during steady state or
inflammation have a different outcome on DC lineage commitment and
differentiation.
PMID- 22078374
TI - Interaction between boron and aluminum and their effects on phenolic metabolism
of Linum usitatissimum L. roots.
AB - Aluminum toxicity is the most important limiting factor for plant growth and
development in acidic soils (pH < 5.5). Inhibition of root growth has been
considered as a sensitive marker of aluminum toxicity and the best indicator of
boron deficiency as well. On the other hand cell wall phenolics (lignin and
phenolic acids) have important roles in the reduction of extensibility of cell
wall under stress conditions. Therefore, the interaction between boron and
aluminum on phenolic compounds and the activity of the enzymes involved in their
biosynthesis were investigated in flax seedlings. The seedlings were grown in
Hoagland's solution and were treated with 3 B levels (4.5, 45 and 450 MUM of
H3BO3 at deficient, normal, and excess conditions, respectively) and 3 Al levels
(0, 50 and 100 MUM of AlCl3.6H2O). The results showed that Al treatment did not
affect B content of roots (B content of Al-treated and non-treated plants were
identical), while B treatment, particularly in higher concentrations, decreased
Al content of roots compared with the control plants. In addition, the highest B
concentration prevented the inhibitory effect of Al on the root length of plants.
High concentrations of B also resulted in the decrease of enzyme activities
involved in phenolic compounds (i.e., phenylalanine ammonia-lyase, polyphenol
oxidase and peroxidase), decrease of lignin content and wall-bound phenols under
Al stress, thereby ameliorating Al toxicity. The results suggest that the
requirement of flax plants for B under Al stress conditions is higher than that
required for growth in normal conditions without Al.
PMID- 22078375
TI - Induced over-expression of the transcription factor OsDREB2A improves drought
tolerance in rice.
AB - The DREB and CBF transcription factors play a critical role in plant development
and abiotic stress responses and, therefore, represent attractive targets for a
molecular plant breeding approach. In this study, the rice OsDREB2A gene was
isolated and expressed under the control of a stress-inducible promoter (4ABRC)
to improve the abiotic stress tolerance of japonica rice variety TNG67. T2 and T3
transgenic lines over-expressing OsDREB2A were found to have improved survival
rates under severe drought and salt stress conditions relative to non-transgenic
rice plants or rice plants transformed with the empty vector control. OsDREB2A
expression was found to be markedly induced by drought and ABA treatment. The
results indicate that the induced over-expression of OsDREB2A driven by the 4ABRC
promoter in engineered rice plants may protect cells during stress.
PMID- 22078376
TI - Variegation in Arum italicum leaves. A structural-functional study.
AB - The presence of pale-green flecks on leaves (speckling) is a frequent character
among herbaceous species from shady places and is usually due to local loosening
of palisade tissue (air space type of variegation). In the winter-green Arum
italicum L. (Araceae), dark-green areas of variegated leaf blades are ca. 400 MUm
thick with a chlorophyll content of 1080 mg m-2 and a palisade parenchyma
consisting of a double layer of oblong cells. Pale-green areas are 25% thinner,
have 26% less chlorophyll and contain a single, loose layer of short palisade
cells. Full-green leaves generally present only one compact layer of cylindrical
palisade cells and the same pigment content as dark-green sectors, but the leaf
blade is 13% thinner. A spongy parenchyma with extensive air space is present in
all leaf types. Green cells of all tissues have normal chloroplasts. Assays of
photosynthetic activities by chlorophyll fluorescence imaging and O2 exchange
measurements showed that variegated pale-green and dark-green sectors as well as
full-green leaves have comparable photosynthetic activities on a leaf area basis
at saturating illumination. However, full-green leaves require a higher
saturating light with respect to variegated sectors, and pale-green sectors
support relatively higher photosynthesis rates on a chlorophyll basis. We
conclude that i) variegation in this species depends on number and organization
of palisade cell layers and can be defined as a "variable palisade" type, and ii)
the variegated habit has no limiting effects on the photosynthetic energy budget
of A. italicum, consistent with the presence of variegated plants side by side to
full-green ones in natural populations.
PMID- 22078377
TI - Differential salinity-induced variations in the activity of H+-pumps and Na+/H+
antiporters that are involved in cytoplasm ion homeostasis as a function of
genotype and tolerance level in rice cell lines.
AB - The characterisation of cellular responses to salinity in staple crops is
necessary for the reliable identification of physiological markers of salinity
tolerance. Under saline conditions, variations in proton gradients that are
generated by membrane-bound H+ pumps are crucial for maintaining cytoplasm
homeostasis. We examined short (15 h) and longer term effects (4 days) of NaCl
stress on the H+ pumping activities that are associated with the plasma membrane
(P-ATPase) and the tonoplast (V-ATPase and V-PPase) in rice (Oryza sativa L.)
callus lines that displayed different levels of NaCl tolerance and were
established from two japonica rice cultivars. The applied stress conditions were
based on those that were used in the induction of a stress-responsive
polyubiquitin gene promoter (UBI1) in transgenic rice calli. The most remarkable
effect of NaCl stress on H+ pumping was the rapid activation of tonoplast-bound
pumps; this was particularly observed in cv. Bomba, in which the response of the
P-ATPase was slower and showed a higher level of activity after 4 days of stress.
The responses were cultivar-dependent; however, in general, a stronger activation
occurred in the lines that had a higher tolerance (L-T) than in the less-tolerant
(L-S) lines. Substrate hydrolysis was less affected than H+ pumping, and it
yielded higher H+/substrate coupling ratios, which is indicative of an enhanced
H+ pumping efficiency under saline conditions. The Na+/H+ antiport activity was
generally limited to salt-stressed calli, and higher values and stronger
activation of the tonoplast antiporter were observed in the L-T lines than in the
L-S lines. The results that were obtained with the NaCl-stressed transgenic lines
confirmed the close relationship between metabolic activity, H+ pumping and the
induction of Na+/H+ exchange activities.
PMID- 22078378
TI - Differential responses of the antioxidant defence system and ultrastructure in a
salt-adapted potato cell line.
AB - Changes in lipid peroxidation and ion content and the possible involvement of the
antioxidant system in salt tolerance at the cellular level was studied in a
potato (Solanum tuberosum L.) callus line grown on 150 mM NaCl (salt-adapted) and
in a non-adapted line exposed to 150 mM NaCl (salt-stressed). Salinity reduced
the growth rate and increased lipid peroxidation in salt-stressed line, which
remained unaltered in the adapted line. Na+ and Cl- content increased due to
salinity in both lines, but the adapted line displayed greater K+/Na+ ratio than
the stressed one. Total superoxide dismutase (SOD, EC 1.15.1.1), ascorbate
peroxidase (APX, EC 1.11.1.11), and glutathione reductase (GR, EC 1.6.4.2)
activities decreased in both salt-exposed lines; catalase (CAT, EC 1.11.1.6)
activity did not change in the adapted line, but decreased in the stressed cell
line. Salinity caused the suppression of one GR isoform, while the isozyme
patterns of SOD, APX, and CAT were not affected. Ascorbate and reduced
glutathione increased in both salt-exposed calli lines. alpha-Tocopherol
increased as a result of salt exposure, with higher levels found in adapted
calli. Electron microscopy showed that neither the structural integrity of the
cells nor membrane structure were affected by salinity, but plastids from adapted
cells had higher starch content. The results suggest that the enzymic and non
enzymic components of the antioxidant system are differentially modulated by
salt. Different concentrations of antioxidant metabolites are more relevant to
the adaptive response to salinity in potato calli than the differences in
activity of the antioxidant enzymes.
PMID- 22078379
TI - Heat shock response in tomato brassinosteroid mutants indicates that
thermotolerance is independent of brassinosteroid homeostasis.
AB - Brassinosteroids (BRs) are plant steroid hormones and, when applied exogenously,
they induce physiological responses, including tolerance to heat shock (HS). How
endogenous BR content and altered perception of BRs influence thermal tolerance
is poorly understood. BR-induced thermotolerance in tomato seedlings with altered
BR homeostasis was examined by assessing the survival, ion leakage and lipid
peroxidation of seedlings from a BR-deficient mutant (extreme dwarf d(x)), a
partially BR-insensitive mutant curl3(-abs) allele (curl3 altered brassinolide
sensitivity) and a line overexpressing the Dwarf, BR-biosynthesis gene (35SD). We
confirmed that treatment with 1 MUM of epi-brassinolide (EBL) induces
thermotolerance of wild type seedlings following a HS regime at 45 degrees C.
The curl3(-abs) seedlings had the highest basal tolerance to heat, whereas the
EBL-induced thermal tolerance of d(x) seedlings was greatest and responded to
lower EBL concentrations. The d(x) and 35SD seedlings had similar thermal
tolerance; however, they showed increased signs of oxidative stress. EBL reduced
the induction of lipid peroxidation of seedlings after recovery from heat.
Highest oxidative stress and peroxidase (POX) activity (EC 1.11.1.7) was in BR
deficient d(x) mutant seedlings. EBL was able of inducing POX activity but not
other antioxidant enzymes; however, effects of HS on POX activity of seedlings
were absent or less marked. Taking together, results indicate that thermal
tolerance is independent of endogenous BR content, but HS-mediated oxidative
stress depends on BR levels.
PMID- 22078380
TI - HbMyb1, a Myb transcription factor from Hevea brasiliensis, suppresses stress
induced cell death in transgenic tobacco.
AB - Tapping panel dryness (TPD) is a complex physiological syndrome found widely in
rubber tree (Hevea brasiliensis) plantations that causes severe yield loss in
natural rubber-producing countries. In an earlier study, we confirmed that there
is a negative correlation between HbMyb1 expression and TPD severity. To further
investigate the function of HbMyb1 in TPD, HbMyb1 was over-expressed in tobacco
controlled by a CaMV 35S promoter. In transgenic plants expressing HbMyb1, cell
death induced by UV-B irradiation, paraquat and the hypersensitive reaction to
necrotrophic fungal infection (Botrytis cinerea) was suppressed with a close
correlation between HbMyb1 protein levels and the extent of suppression. In
addition the nuclear condensation and degradation were observed in laticifer
cells of TPD trees, while the nucleus of laticifer cells of healthy trees was
morphologically normal. On the basis of the results described above, we propose
that HbMyb1 maybe suppress stress induced cell death in rubber trees.
PMID- 22078381
TI - SCOF-1-expressing transgenic sweetpotato plants show enhanced tolerance to low
temperature stress.
AB - Low-temperature stress represents one of the principal limitations affecting the
distribution and productivity of many plant species, including crops such as
sweetpotato. Transgenic sweetpotato (Ipomoea batatas L. cv. Yulmi) plants
expressing the soybean cold-inducible zinc finger protein (SCOF-1) under control
of an oxidative stress-inducible peroxidase (SWPA2) promoter (referred to as SF
plants), were developed and evaluated for enhanced tolerance to low-temperature
conditions. Following 4 degrees C treatment of SF plants, SCOF-1 expression
correlated positively with tolerance to low-temperature stress at the leaf disc
level. Increased SCOF-1 expression also correlated with enhanced tolerance to
different low-temperature treatments at the whole plant level. SF plants treated
with low-temperature stress (4 or 10 degrees C for 30 h) exhibited less of a
reduction in photosynthetic activity and lipid peroxidation levels than non
transgenic (NT) plants. Furthermore, the photosynthetic activity and lipid
peroxidation levels of SF plants recovered to near pre-stress levels after 12 h
of recovery at 25 degrees C. In contrast, these activities remained at a reduced
level in NT plants after the same recovery period. Thus, this study has shown
that low-temperature stress in sweetpotato can be efficiently modulated by
overexpression of SCOF-1.
PMID- 22078382
TI - Effect of root age on the allocation of metals, amino acids and sugars in
different cell fractions of the perennial grass Paspalum notatum (bahiagrass).
AB - This work aimed to compare the allocation of Al, Fe, Cu, Ni, amino acids and
sugars in different fractions of root cells of Paspalum notatum with 21 and 120
days old grown in quartz sand. In general younger roots showed a higher content
of Al, Fe, Cu Ni, amino acids and sugars, compared to older roots. This can be
due to a higher metabolic activity of younger roots and/or to structural changes
that can occur with cell ageing. Al and Fe were mainly allocated to fractions
with pectin, hemicellulose and cellulose, both in younger and older roots.
However, older roots also showed a significant fraction of Al allocated to the
intracellular fraction. It seems that older roots were less able to prevent the
entry of Al in the cytoplasm. The proportion of Cu was higher in intracellular
components, both in younger and older roots, as expected from an essential
nutrient. Ni content was very low in older roots and in younger roots it was
mainly allocated to the intracellular fraction and to the cell wall
polysaccharides fraction. The amino acids were mainly allocated to the cytoplasm
and polysaccharide fraction. Although younger roots showed a higher total amount
of amino acids compared to older roots, the amino acids profile and allocation,
mainly in the cytoplasm polysaccharides, was quite similar. Arabinose, a major
component of structural glycoproteins of the primary cell wall matrix, was only
detected in younger roots. Thus, it seems that root cells of P. notatum suffered
changes in the composition of the cell wall components with ageing. To further
understand the structural changes of root cells with ageing and its effect on
metal allocation, it is important to quantify several components of the cell wall
matrix, namely pectins and glycoproteins.
PMID- 22078383
TI - Induced accumulation of cuticular waxes enhances drought tolerance in Arabidopsis
by changes in development of stomata.
AB - Cuticular waxes are involved in the regulation of the exchange of gases and water
in plants and can impact tolerance to drought. However, the molecular mechanisms
of the relationship between wax accumulation and drought tolerance are largely
unknown. We applied the methoxyfenozide gene switching system to regulate
expression of the WIN1/SHN1 gene (WAX INDUCER 1/SHINE1; At1G15360), a
transcriptional activator, to regulate production of cuticular waxes and cutin
and followed changes of gene expression, metabolites, and drought tolerance.
Treatment with the inducer resulted in expression of the target gene and specific
downstream genes, and gradually increased cuticular waxes. Induction of cuticular
wax conferred tolerance to drought and recovery from drought, and was correlated
with reduced numbers of stomata. Quantitative RT-PCR assays using RNAs from
transgenic plants revealed that when expression of the WIN1/SHN1 gene was induced
there was increased expression of genes involved in wax development, and reduced
expression of selected genes, including SPCH (At5g53210); MUTE (At3g06120); and
FAMA (At3g241400); and YODA (At1g63700), each of which is involved in stomatal
development. These studies suggest that drought tolerance caused by the induction
of WIN1/SHIN gene may be due to reduced numbers of stomata as well as to
cuticular wax accumulation.
PMID- 22078384
TI - Exogenous nitrate induces root branching and inhibits primary root growth in
Capsicum chinense Jacq.
AB - The effects of nitrate (NO3-) on the root system are complex and depend on
several factors, such as the concentration available to the plant, endogenous
nitrogen status and the sensitivity of the species. Though these effects have
been widely documented on Arabidopsis and cereals, no reports are available in
the Capsicum genus. In this paper, we have determined the effect of an exogenous
in vitro application of this nutrient on root growth in habanero pepper (Capsicum
chinense Jacq.). Exposure to NO3- inhibited primary root growth in both, dose-
and time-dependent manners. The highest inhibition was attained with 0.1 mM NO3-
between the fourth and fifth days of treatment. Inhibition of primary root growth
was observed by exposing the root to both homogeneous and heterogeneous
conditions of the nutrient; in contrast, ammonium was not able to induce similar
changes. NO3--induced inhibition of primary root growth was reversed by treating
the roots with IAA or NPA, a polar auxin transport inhibitor. Heterogeneous NO3-
application stimulated the formation and elongation of lateral roots in the
segment where the nutrient was present, and this response was influenced by
exogenous phytohormones. These results demonstrate that habanero pepper responds
to NO3- in a similar fashion to other species with certain particular
differences. Therefore, studies in this model could help to elucidate the
mechanisms by which roots respond to NO3- in fluctuating soil environments.
PMID- 22078385
TI - Enhanced in vitro regeneration and change in photosynthetic pigments, biomass and
proline content in Withania somnifera L. (Dunal) induced by copper and zinc ions.
AB - In the present study the effect of inorganic nutrients (CuSO4 & ZnSO4) on
morphogenic and biochemical responses from nodal explants in Withania somnifera
L. was investigated. Incorporation of either Copper sulphate (25-200 MUM) or Zinc
sulphate (50-500 MUM) in the optimized Murashige and Skoog (MS) medium highly
influenced the shoot bud formation and subsequent elongation, which induced
maximum percentage (95%) regeneration, number (61.7 +/- 0.25) of shoots with
shoot length (5.46 +/- 0.16 cm) on CuSO4 (100 MUM) and maximum percentage
regeneration (100%), number of shoots (66.1 +/- 0.96) with shoot length (6.24 +/-
0.21 cm) on ZnSO4 (300 MUM) after 12 weeks of culture. Healthy growing in vitro
microshoots rooted efficiently on 1/2 MS medium supplemented with NAA (0.5 MUM),
which induced (16.2 +/- 0.12) roots with root length (3.30 +/- 0.12 cm) after 4
weeks. Pigment content increased with increasing concentration of Cu and Zn and
the maximum Chl. a (0.47), (0.41); Chl. b (0.52), (0.42); total Chl. (0.99),
(0.83) and Carotenoid (0.16), (0.16) mg/g FW contents in regenerants were found
on CuSO4 (100 MUM) and ZnSO4 (300 MUM), respectively. Maximum proline content
(0.17), (0.16) MUg/g FW was observed on high concentrations of CuSO4 (200 MUM)
and ZnSO4 (500 MUM) respectively, in the basal medium. Regenerated plantlets were
acclimatized successfully in soilrite with a survival rate of 95%. No
morphological variations were detected among the micropropagated plants when
compared with seedling raised plants of the same age.
PMID- 22078387
TI - Improving the quality of reviews in veterinary science: the author's
responsibility.
PMID- 22078386
TI - Long noncoding intronic RNAs are differentially expressed in primary and
metastatic pancreatic cancer.
AB - BACKGROUND: Pancreatic ductal adenocarcinoma (PDAC) is known by its
aggressiveness and lack of effective therapeutic options. Thus, improvement in
current knowledge of molecular changes associated with pancreatic cancer is
urgently needed to explore novel venues of diagnostics and treatment of this
dismal disease. While there is mounting evidence that long noncoding RNAs
(lncRNAs) transcribed from intronic and intergenic regions of the human genome
may play different roles in the regulation of gene expression in normal and
cancer cells, their expression pattern and biological relevance in pancreatic
cancer is currently unknown. In the present work we investigated the relative
abundance of a collection of lncRNAs in patients' pancreatic tissue samples
aiming at identifying gene expression profiles correlated to pancreatic cancer
and metastasis. METHODS: Custom 3,355-element spotted cDNA microarray
interrogating protein-coding genes and putative lncRNA were used to obtain
expression profiles from 38 clinical samples of tumor and non-tumor pancreatic
tissues. Bioinformatics analyses were performed to characterize structure and
conservation of lncRNAs expressed in pancreatic tissues, as well as to identify
expression signatures correlated to tissue histology. Strand-specific reverse
transcription followed by PCR and qRT-PCR were employed to determine strandedness
of lncRNAs and to validate microarray results, respectively. RESULTS: We show
that subsets of intronic/intergenic lncRNAs are expressed across tumor and non
tumor pancreatic tissue samples. Enrichment of promoter-associated chromatin
marks and over-representation of conserved DNA elements and stable secondary
structure predictions suggest that these transcripts are generated from
independent transcriptional units and that at least a fraction is under
evolutionary selection, and thus potentially functional.Statistically significant
expression signatures comprising protein-coding mRNAs and lncRNAs that correlate
to PDAC or to pancreatic cancer metastasis were identified. Interestingly, loci
harboring intronic lncRNAs differentially expressed in PDAC metastases were
enriched in genes associated to the MAPK pathway. Orientation-specific RT-PCR
documented that intronic transcripts are expressed in sense, antisense or both
orientations relative to protein-coding mRNAs. Differential expression of a
subset of intronic lncRNAs (PPP3CB, MAP3K14 and DAPK1 loci) in metastatic samples
was confirmed by Real-Time PCR. CONCLUSION: Our findings reveal sets of intronic
lncRNAs expressed in pancreatic tissues whose abundance is correlated to PDAC or
metastasis, thus pointing to the potential relevance of this class of transcripts
in biological processes related to malignant transformation and metastasis in
pancreatic cancer.
PMID- 22078388
TI - Investigation of the aetiology of udder-thigh dermatitis in French dairy cattle.
AB - Udder-thigh dermatitis (UTD) is a common disease in dairy cattle. The aim of this
study was to define UTD incidence, its risk factors and the involved pathogens.
Of 74 respondents, 72 (97%) reported having had the disease. On those farms the
incidence was 5.3 cases per 100 cow years. The odds ratio (OR) of UTD in
primiparous compared to multiparous cows was 23.4 (95% CI 17.3-33.8). Compared to
tied stalls, the ORs of UTD were 0.65 (95% CI 0.45-0.92) and 0.43 (95% CI 0.24
0.71) for free stalls and straw yards, respectively. Udder oedema was reported in
98.3% of cows with UTD. The most common bacteria isolated from affected skin were
Fusobacterium spp. (12/14 cases). This study suggests that UTD management should
focus on local treatment, reducing udder oedema and increasing exercise.
PMID- 22078389
TI - Ultrasonographic appearance of bony abnormalities at the dorsal aspect of the
fetlock joint in geriatric cadaver horses.
AB - This article describes the ultrasonographic (US) appearance of bony abnormalities
on the dorsal aspect of the third metacarpal/metatarsal bone of the equine
fetlock in cadavers with radiographic signs of osteoarthrosis. After US, computed
tomography was undertaken to better characterise the lesions. Twelve fetlock
joints were collected and all had more than one bone abnormality on US. Normal
subchondral bone appeared on US as a well-defined and regular hyperechoic line
with distal acoustic shadowing. Bone abnormalities detected on US included (1)
gaps in the proximal subchondral bone filled with material of heterogeneous
echogenicity, (2) bone fragments represented as small straight smoothly
delineated hyperechoic lines with distal shadowing located superficial to the
surface of the adjacent bone, (3) proximal new bone formation visible as mild to
severe cortical protrusions, (4) marginal osteophytoses seen as an elevation of
the hyperechoic surface of the subchondral bone at the edges of the joint
surfaces, (5) indentations in subchondral bone seen as a concave deviation of the
hyperechoic line without interruption, (6) focal or diffuse irregularities of the
subchondral bone seen as disruptions of the normal smooth bony contours, and (7)
focal hyperechoic spikes originating from the subchondral plate and invading the
articular cartilage. These findings are discussed.
PMID- 22078390
TI - The mystery of sudden death in Williams-Beuren syndrome: cardiomyopathy or Kounis
syndrome?
PMID- 22078391
TI - Poliomyelitis and left ventricular hypertrabeculation (noncompaction).
PMID- 22078392
TI - Optimal treatment of ACS patients: issues and considerations for upstream
antiplatelet therapy.
AB - Acute coronary syndromes (ACS) caused by atherosclerotic plaque rupture are
clinically manifested as an ST-elevation myocardial infarction, non-ST-elevation
myocardial infarction, or unstable angina. Regardless of the management strategy
chosen, antithrombotic therapy is necessary to optimize patient outcomes. The
American College of Cardiology/American Heart Association guidelines provide a
degree of flexibility in the use of antithrombotic and antiplatelet therapies;
although this is largely influenced by the clinical severity of the ACS
presentation, it can still be difficult for clinicians to decide which
antiplatelet therapy regimen should be used. In this article, current
recommendations for the use of antiplatelet therapy in the management of ACS are
reviewed, along with an overview of the timing of upstream treatment and the
decision points involved in choosing the appropriate antiplatelet regimen.
PMID- 22078393
TI - Comparison of bleeding complications and one-year survival of low molecular
weight heparin versus unfractioned heparin for acute myocardial infarction in
elderly patients. The FAST-MI registry.
AB - BACKGROUND: There are limited data on the safety and efficacy of low molecular
weight heparin (LMWH) in elderly patients with acute myocardial infarction (AMI).
METHODS: We aimed to compare LMWH with unfractioned heparin (UFH) in the
management of AMI in elderly patients. FAST-MI is a nationwide registry carried
out over a 1-month period in 2005, including consecutive patients with AMI
admitted to intensive care unit <48 h from symptom onset in 223 participating
centers. We assessed the impact of LMWH on bleeding, the need for blood
transfusion and one-year survival in elderly patients (>= 75 years). RESULTS: 963
patients treated with heparin were included (mean age 82 +/- 5 years; 51% women;
42.5% ST-elevation myocardial infarction). Major bleeding (2.4% vs. 6.1%,
P=0.004) and blood transfusions (4.6% vs. 9.7%, P=0.002) were significantly less
frequent with LMWH compared with the UFH, a difference that persisted after
multivariate adjustment (OR=0.41, 95% CI: 0.20-0.83 and OR=0.49, 95% CI: 0.28
0.85, respectively). One-year survival and stroke and reinfarction-free survival
were also significantly higher with LMWH compared with UFH (OR=0.66, 95% CI: 0.50
0.85 and OR=0.71, 95% CI: 0.56-0.91, respectively). In two cohorts of patients
matched on a propensity score for getting LMWH and with similar baseline
characteristics (328 patients per group), major bleeding and transfusion were
significantly lower while one-year survival was significantly higher in patients
receiving LMWH. CONCLUSIONS: The present data show that in elderly patients
admitted for AMI, use of LMWH is associated with less bleeding, less need for
transfusion, and higher survival, compared with the use of UFH.
PMID- 22078394
TI - Comparison of heart-type fatty acid binding protein and sensitive troponin for
the diagnosis of early acute myocardial infarction.
AB - BACKGROUND: The current development of serological biomarkers allows detection of
smaller myocardial necrosis and early acute myocardial infarction (AMI). We
evaluated the relevance of the heart-type fatty acid binding protein (H-FABP)
assay, which has recently been approved in Japan, for early diagnosis of AMI as
compared with the sensitive troponin assay. METHODS: This is an observational
study in a single center. From 2010 July to 2011 January, 114 patients who
presented with symptoms suggestive of AMI were enrolled. RESULTS: AMI was
adjudicated in 45 patients (40%). The diagnostic accuracy of measurements
obtained at presentation for AMI, as quantified by the area under the receiver
operating-characteristic curve (AUC), was significantly lower with H-FABP assay
than the sensitive troponin assay [AUC for H-FABP, 0.59; 95% confidence interval
(CI) 0.48-0.70; and for troponin I, 0.89; 95% CI, 0.83-0.94; P<.0001]. Among
patients who presented within 2h after the onset of chest pain, the AUC for H
FABP was even low as compared with sensitive troponin (0.55; 0.39-0.72 vs. 0.89;
0.80-0.98, p<0.001). The clinical sensitivity for the diagnosis of AMI with the
cutoff point of 99 th percentile was similar in both assays (81% and 81%,
respectively), however, the specificity was extremely low in the H-FABP assay as
compared with sensitive troponin assay (19% and 79%, respectively). CONCLUSION:
The measurement of H-FABP in 114 consecutive patients with chest pain suggestive
of AMI showed no improvement of diagnosis for early AMI as compared with the
current sensitive troponin assay because of its extremely low specificity.
PMID- 22078395
TI - Cross-cultural analysis of type D (distressed) personality in 6222 patients with
ischemic heart disease: a study from the International HeartQoL Project.
AB - BACKGROUND: Type D (distressed) personality, the conjoint effect of negative
affectivity (NA) and social inhibition (SI), predicts adverse cardiovascular
outcomes, and is assessed with the 14-item Type D Scale (DS14). However,
potential cross-cultural differences in Type D have not been examined yet in a
direct comparison of countries. AIM: To examine the cross-cultural validity of
the Type D construct and its relation with cardiovascular risk factors, cardiac
symptom severity, and depression/anxiety. METHODS: In 22 countries, 6222 patients
with ischemic heart disease (angina, 33%; myocardial infarction, 37%; or heart
failure, 30%) completed the DS14 as part of the International HeartQoL Project.
RESULTS: Type D personality was assessed reliably across countries (alphaNA>.80;
alphaSI>.74; except Russia, which was excluded from further analysis). Cross
cultural measurement equivalence was established for Type D personality at all
measurement levels, as the factor-item configuration, factor loadings, and error
structure were not different across countries (fit: CFI=.91; NFI=.88;
RMSEA=.018), as well as across gender and diagnostic subgroups. Type D
personality was more prevalent in Southern (37%) and Eastern (35%) European
countries compared to Northern (24%) and Western European and English-speaking
(both 27%) countries (p<.001). Type D was not confounded by cardiac symptom
severity, but was associated with a higher prevalence of hypertension, smoking,
sedentary lifestyle, and depression. CONCLUSION: Cross-cultural measurement
equivalence was demonstrated for the Type D scale in 21 countries. There is a pan
cultural relationship between Type D personality and some cardiovascular risk
factors, supporting the role of Type D personality across countries and cardiac
conditions.
PMID- 22078396
TI - Large and small artery endothelial dysfunction in chronic fatigue syndrome.
PMID- 22078397
TI - Prevalence of atrial fibrillation in patients with history of paroxysmal
supraventricular tachycardia.
AB - OBJECTIVES: This study was performed to evaluate the prevalence of atrial
fibrillation (AF) in patients seen for paroxysmal supraventricular tachycardia
(PSVT) and to identify factors favoring AF. AF incidence is increased in patients
with PSVT, but AF risk factors are unknown. POPULATION: 1187 patients, mean age
50 +/- 19 years, were consecutively studied for spontaneous PSVT confirmed by
electrophysiological study (EPS). Patients with anterograde conduction through an
accessory pathway were excluded. METHODS: Clinical factors, age, gender, heart
disease (HD) and electrophysiological data were noted. Patients with and without
AF were compared. Mean follow-up was 4.48 +/- 4.9 years. RESULTS: 61 patients
developed documented paroxysmal or permanent AF or atrial flutter (5%). They were
older than patients without AF (59 vs 49 years, p<0.0005), were more frequently
men (59% vs 37%) (p<0.002), had more frequently prior AF (24.5% vs 0.5%)
(p<0.0001) and associated HD (18% vs 5%) (p<0.004). There were no differences at
EPS concerning the mechanism of re-entry. AF induction or occurrence during EPS
was more frequent in patients with AF (31%) than in patients without AF (9%)
(p<0.001). Multivariate analysis showed age, male gender, prior AF, HD and atrial
vulnerability during EPS were independent predictors of AF. Univariate comparison
between both groups suggests no effect of PSVT ablation on the incidence of AF.
CONCLUSIONS: The prevalence of AF in our population was only 5%. The risk of AF
was correlated with the classical risk factors of AF and atrial vulnerability
during electrophysiological study. Patients with these risk factors should be
followed.
PMID- 22078398
TI - Successful shunt closure and improvement of hemodynamics in an ASD patient with
severe pulmonary arterial hypertension and small shunt following a long-term use
of bosentan.
PMID- 22078399
TI - Hypertrophic cardiomyopathy or non-compaction? How the first impression can be
wrong.
PMID- 22078400
TI - Participation of mitochondrial permeability transition pore in the effects of
ischemic preconditioning in hypertrophied hearts: role of NO and mitoKATP.
AB - BACKGROUND: The mitochondrial permeability transition pore (mPTP) plays an
important role in ischemia-reperfusion in normotensive animals. Our study aims to
define their participation in the ischemic preconditioning (IP) in hypertrophied
hearts and to assess the role played by NO and mitochondrial ATP-dependent K
channels (mitoKATP). MATERIAL AND METHODS: Isolated hearts from spontaneously
hypertensive rats (SHR) and age-matched normotensive rats Wistar Kyoto (WKY) were
subjected to 35-min or 50-min global ischemia (GI) followed by 2-hour reperfusion
(R). IP was induced by a single cycle of 5-min GI and 10-min R (IP1) or three
cycles of 2-min GI and 5-min R (IP3) applied before to prolonged ischemia. L-NAME
(NOS inhibitor) or 5-HD (mitoKATP blocker) to investigate the role played by NO
and mitoKATP, respectively were administered. Infarct size (IS), myocardial
function, reduced glutathione (GSH) - as marker of oxidative stress and MnSOD
cytosolic activity - as an index of mPTP opening were determined. RESULTS: IP1
significantly decreased the IS in WKY hearts at both ischemia duration times. In
SHR, IP1 decreased the IS observed in GI35 but it did not modify that detected at
50-min GI, which was limited by IP3. IP preserved GSH content and decreased MnSOD
cytosolic activity in both rat strains. These protective effects were annulled by
L-NAME and 5-HD for both ischemic periods in SHR, whereas in WKY they were only
effective for 50-min GI. CONCLUSION: Our data demonstrate that the
cardioprotection achieved by ischemic preconditioning in hearts from SHR hearts
involves an attenuation of mPTP opening NO and mitoKATP-mediated.
PMID- 22078401
TI - Systemic inflammation impairs cardiac glucose uptake.
PMID- 22078402
TI - Inflammatory and anti-inflammatory indicators as predictive biomarkers of
metabolic syndrome.
PMID- 22078403
TI - [Spontaneous drainage of a pancreatic pseudocyst into the colon].
PMID- 22078404
TI - Molecular imaging of the small renal mass.
PMID- 22078405
TI - Highlights of the 2010 SUO Annual Meeting.
PMID- 22078406
TI - Warm ischemia less than 30 minutes is not necessarily safe during partial
nephrectomy: every minute matters.
AB - OBJECTIVE: At the 11th Annual Meeting of the Society of Urologic Oncology (SUO),
an expert panel discussed the importance of warm ischemia time on renal function
during partial nephrectomy. The position of this manuscript is that every minute
of warm ischemia time has a deleterious effect on renal function outcomes
following partial nephrectomy. MATERIALS AND METHODS: The presentation was
derived from a review of the published urologic, nephrology, and transplant
literature related to warm ischemia time and renal function outcomes. RESULTS:
There exist numerous clinical models to study the effects of warm ischemia on
renal function. These include the bilateral kidney, unilateral partial
nephrectomy, solitary kidney partial nephrectomy, and transplant kidney model.
Each of these models provides evidence for minimizing warm ischemia time to
prevent acute renal failure, chronic kidney disease, and end stage renal failure.
In the best available model, solitary kidney partial nephrectomy, each minute of
warm ischemia was found to be associated with a 6% increased risk of acute renal
failure, 7% increased risk of acute-onset end stage renal disease (ESRD), and 4%
increased risk of new-onset ESRD while controlling for preoperative renal
function, tumor size, and surgical approach. CONCLUSIONS: There is ample
evidence, consistent across multiple human kidney models, supporting the
potentially deleterious renal effects of warm ischemia during partial
nephrectomy. There does not appear to be a known safe threshold of warm ischemia
since each minute sequentially contributes to the risk of developing acute kidney
injury and renal function decline. Ultimate renal function following PN is
dependent on the "3 Qs": quality (renal function prior to surgery), quantity
(renal parenchyma preserved during surgery), and quickness (ischemia time).
PMID- 22078407
TI - Argument in favor of performing partial nephrectomy for tumors greater than 7 cm:
the metastatic prescription has already been written.
AB - The acceptance of partial nephrectomy over the past few decades has been gradual
with initial utilization of nephron sparing approach for tumors up to 4 cm and
more recently up to 7 cm. The arbitrary cutoff values used in the historic
recommendations are based on the oncologic outcomes documenting the increase in
metastatic potential of renal lesions that is strongly associated with increase
in tumor size. Despite these observations, radical nephrectomy has not been found
to be protective from development of metastatic disease, and oncologic outcomes
of partial nephrectomy for tumors matched for size or stage have not been
inferior to radical nephrectomy. The present manuscript argues for avoidance of
specific size cutoffs as patients with larger masses may benefit from maximal
preservation of nephrons. These are the very patients at higher risk for
metastatic disease, who may benefit from preserved renal function to allow for
future additional therapies or adjuvant trials.
PMID- 22078408
TI - Surgical therapy for intermediate risk prostate cancer.
AB - Optimal surgical management of intermediate risk prostate cancer has yet to be
defined. This is in part due to the heterogeneity of the disease burden in this
patient population. When choosing the surgical approach for intermediate risk
prostate cancer, urologists should attempt to maximize oncologic outcomes while
balancing quality of life concerns. Due to significant risk of failure following
solo treatment, multi-modality therapy should be available for those patients
with poor pathologic outcomes following surgical therapy.
PMID- 22078409
TI - The management of subcentimeter residual mass in NSGCT: pcRPLND vs. observation.
AB - Patients with advanced non-seminomatous germ cell tumors may achieve a serologic
and radiographic complete response (CR) to first-line chemotherapy (defined as a
residual mass < 1 cm in size). Recent reports suggest that these patients may be
observed with a low rate of relapse but there remain compelling arguments for
surgical excision. The arguments for and against post-chemotherapy
retroperitoneal lymph node dissection (pcRPLND) are presented. There is clear
consensus that patient's with residual masses > 1 cm should undergo post
chemotherapy surgery.
PMID- 22078410
TI - Time-dependent slowly-reversible inhibition of monoamine oxidase A by N
substituted 1,2,3,6-tetrahydropyridines.
AB - A novel class of N-substituted tetrahydropyridine derivatives was found to have
multiple kinetic mechanisms of monoamine oxidase A inhibition. Eleven
structurally similar tetrahydropyridine derivatives were synthesized and
evaluated as inhibitors of MAO-A and MAO-B. The most potent MAO-A inhibitor in
the series, 2,4-dichlorophenoxypropyl analog 12, displayed time-dependent mixed
noncompetitive inhibition. The inhibition was reversed by dialysis, indicating
reversible enzyme inhibition. Evidence that the slow-binding inhibition of MAO-A
with 12 involves a covalent bond was gained from stabilizing a covalent
reversible intermediate product by reduction with sodium borohydride. The reduced
enzyme complex was not reversible by dialysis. The results are consistent with
slowly reversible, mechanism-based inhibition. Two tetrahydropyridine analogs
that selectively inhibited MAO-A were characterized by kinetic mechanisms
differing from the kinetic mechanism of 12. As reversible inhibitors of MAO-A,
tetrahydropyridine analogs are at low risk of having an adverse effect of
tyramine-induced hypertension.
PMID- 22078411
TI - Structure-based rational design of novel hit compounds for pyruvate dehydrogenase
multienzyme complex E1 components from Escherichia coli.
AB - Pyruvate dehydrogenase multienzyme complex (PDHc) E1 component plays a pivotal
role in cellular metabolism to convert the product of glycolysis (pyruvate) to
acetyl-CoA, and has been reported as a potential target for anti-microbial and
herbicide. In present study, based on the thiamin diphosphate (ThDP) site, four
novel hit compounds with high inhibitory activity against the PDHc-E1 from
Escherichia coli were firstly designed by using structure-based molecular docking
methods. As expected, among four compounds, the compound 3a is the best inhibitor
by far, with IC(50) value of 6.88 MUM against PDHc-E1 from E. coli. To elucidate
the interaction mechanism between the active site of PDHc-E1 and its inhibitor,
the docking-based molecular dynamics simulation (MD) and MD-based ab initio
fragment molecular orbital (FMO) calculations were also further performed. The
positive results indicated that all modeling strategies presented in the current
study most like to be an encouraging way in design of novel lead compounds with
structural diversity for PDHc-E1 in the future.
PMID- 22078412
TI - Disulfide and amide-bridged cyclic peptide analogues of the VEGF81-91 fragment:
synthesis, conformational analysis and biological evaluation.
AB - The design, synthesis, conformational studies and binding affinity for VEGFR-1
receptors of a collection of linear and cyclic peptide analogues of the beta
hairpin fragment VEGF(81-91) are described. Cyclic 11-mer peptide derivatives
were prepared from linear precursors with conveniently located Cys, Asp or Dap
residues, by the formation of disulfide and amide bridges, using solid-phase
synthesis. Molecular modelling studies indicated a tendency to be structured
around the central beta-turn of the VEGF(81-91) beta-hairpin in most synthesized
cyclic compounds. This structural behavior was confirmed by NMR conformational
analysis. The NHCO cyclic derivative 7 showed significant affinity for VEGFR-1,
slightly higher than the native linear fragment, thus supporting the design of
mimics of this fragment as a valid approach to disrupt the VEGF/VEGFR-1
interaction.
PMID- 22078413
TI - Synthesis and in vitro evaluation of fluorinated styryl benzazoles as amyloid
probes.
AB - The formation of proteinaceous aggregates is a pathognomonic hallmark of several
neurodegenerative disorders such as Alzheimer's and Parkinson's diseases. To
date, the final diagnostic for these diseases can only be achieved by
immunostaining of post-mortem brain tissues with the commonly used congo red and
Thioflavin T/S amyloid-dyes. The interest in developing amyloid-avid radioprobes
to be used for protein aggregates imaging by positron emission tomography has
grown substantialy, due to the promise in assisting diagnosis of these disorders.
To this purpose, the present work describes the synthesis and characterization of
four novel fluorinated styryl benzazole derivatives 1-4 by means of the Wittig
reaction, as well as their in vitro evaluation as amyloid-probing agents. All
compounds were obtained as mixtures of geometric E and Z isomers, with the
preferable formation of the E isomer. Photoisomerization reactions allowed for
the maximization of the minor Z isomers. The authentic 1-4E/Z isomers were
isolated after purification by column chromatography under dark conditions.
Profiting from the fluorescence properties of the different geometric isomers of
1-4, their binding affinities towards amyloid fibrils of insulin, alpha-synuclein
and beta-amyloid peptide were also measured. These compounds share similarities
with Thioflavin T, interacting specifically with fibrillary species with a red
shift in the excitation wavelengths along with an increase in the fluorescence
emission intensity. Apparent binding constants were determined and ranged between
1.22 and 23.96 MUM(-1). The present data suggest that the novel fluorinated
styryl benzazole derivatives may prove useful for the design of (18)F-labeled
amyloid radioprobes.
PMID- 22078414
TI - Small interfering RNA targeting mcl-1 enhances proteasome inhibitor-induced
apoptosis in various solid malignant tumors.
AB - BACKGROUND: Targeting the ubiquitin-proteasome pathway is a promising approach
for anticancer strategies. Recently, we found Bik accumulation in cancer cell
lines after they were treated with bortezomib. However, recent evidence indicates
that proteasome inhibitors may also induce the accumulation of anti-apoptotic Bcl
2 family members. The current study was designed to analyze the levels of several
anti-apoptotic members of Bcl-2 family in different human cancer cell lines after
they were treated with proteasome inhibitors. METHODS: Different human cancer
cell lines were treated with proteasome inhibitors. Western blot were used to
investigate the expression of Mcl-1 and activation of mitochondrial apoptotic
signaling. Cell viability was investigated using SRB assay, and induction of
apoptosis was measured using flow cytometry. RESULTS: We found elevated Mcl-1
level in human colon cancer cell lines DLD1, LOVO, SW620, and HCT116; human
ovarian cancer cell line SKOV3; and human lung cancer cell line H1299, but not in
human breast cancer cell line MCF7 after they were treated with bortezomib. This
dramatic Mcl-1 accumulation was also observed when cells were treated with other
two proteasome inhibitors, MG132 and calpain inhibitor I (ALLN). Moreover, our
results showed Mcl-1 accumulation was caused by stabilization of the protein
against degradation. Reducing Mcl-1 accumulation by Mcl-1 siRNA reduced Mcl-1
accumulation and enhanced proteasome inhibitor-induced cell death and apoptosis,
as evidenced by the increased cleavage of caspase-9, caspase-3, and poly (ADP
ribose) polymerase. CONCLUSIONS: Our results showed that it was not only Bik but
also Mcl-1 accumulation during the treatment of proteasome inhibitors, and
combining proteasome inhibitors with Mcl-1 siRNA would enhance the ultimate
anticancer effect suggesting this combination might be a more effective strategy
for cancer therapy.
PMID- 22078416
TI - Short-term and long-term outcome of anti-Jo1-positive patients with anti-Ro52
antibody.
AB - OBJECTIVES: The aims of the present study were to (1) assess clinical features
and long-term outcome in anti-Jo1-positive patients with anti-Ro52 antibody; (2)
compare characteristics of anti-Jo1-positive patients with and without anti-Ro52
antibody; and (3) compare features of anti-Ro52-positive patients with and
without anti-Jo1 antibody. METHODS: The medical records of 89 consecutive anti
Jo1-positive patients with antisynthetase syndrome (ASS) were reviewed; 36 of
these patients had coexistent anti-Ro52 antibody. Furthermore, the medical
records of 13 consecutive anti-Ro52-positive patients without anti-Jo1 antibody
were also reviewed. RESULTS: Nine anti-Jo1-positive patients (25%) with anti-Ro
52 antibody achieved remission of ASS, whereas 19 other patients (52.8%) improved
and 8 patients (22.2%) worsened their clinical status. Anti-Jo1-positive patients
with anti-Ro52 antibody experienced ASS-related complications: interstitial lung
disease (n = 28), esophageal dysfunction (n = 9), and joint manifestations (n =
25), including periarticular hydroxyapatite calcifications and erosions of
metacarpophalangeal and interphalangeal joints and wrists (n = 3); 7 anti-Ro52
positive patients (19.4%) had cancer. Anti-Jo1-positive patients with anti-Ro52
antibody, compared with those without, more commonly experienced deterioration of
myositis and joint involvement, symptomatic form of ILD, and cancer; they also
had decreased survival rate (P = 0.05). We further found that anti-Ro52-positive
patients with anti-Jo1 antibody, compared with those without, were younger and
more frequently exhibited ILD with poorer prognosis. CONCLUSIONS: Our series
underlines that the presence of anti-Ro52 antibody is associated with a
particular phenotype of ASS, leading to more severe myositis and joint
impairment. Moreover, the coexistence of anti-Ro52 antibody seems to be
associated with an increased risk of cancer. We therefore suggest that anti-Jo1
positive patients should routinely undergo the search for anti-Ro52 antibody, as
this autoantibody appears to impact patients' prognosis.
PMID- 22078415
TI - Aflatoxin levels, plasma vitamins A and E concentrations, and their association
with HIV and hepatitis B virus infections in Ghanaians: a cross-sectional study.
AB - BACKGROUND: Micronutrient deficiencies occur commonly in people infected with the
human immunodeficiency virus. Since aflatoxin exposure also results in reduced
levels of several micronutrients, HIV and aflatoxin may work synergistically to
increase micronutrient deficiencies. However, there has been no report on the
association between aflatoxin exposure and micronutrient deficiencies in HIV
infected people. We measured aflatoxin B1 albumin (AF-ALB) adduct levels and
vitamins A and E concentrations in the plasma of HIV-positive and HIV-negative
Ghanaians and examined the association of vitamins A and E with HIV status,
aflatoxin levels and hepatitis B virus (HBV) infection. METHODS: A cross
sectional study was conducted in which participants completed a demographic
survey and gave a 20 mL blood sample for analysis of AF-ALB levels, vitamins A
and E concentrations, CD4 counts, HIV viral load and HBV infection. RESULTS: HIV
infected participants had significantly higher AF-ALB levels (median for HIV
positive and HIV-negative participants was 0.93 and 0.80 pmol/mg albumin,
respectively; p <0.01) and significantly lower levels of vitamin A (-16.94
MUg/dL; p <0.0001) and vitamin E (-0.22 mg/dL; p <0.001). For the total study
group, higher AF-ALB was associated with significantly lower vitamin A (-4.83
MUg/dL for every 0.1 pmol/mg increase in AF-ALB). HBV-infected people had
significantly lower vitamin A (-5.66 MUg/dL; p = 0.01). Vitamins A and E levels
were inversely associated with HIV viral load (p = 0.02 for each), and low
vitamin E was associated with lower CD4 counts (p = 0.004). CONCLUSIONS: Our
finding of the significant decrease in vitamin A associated with AF-ALB suggests
that aflatoxin exposure significantly compromises the micronutrient status of
people who are already facing overwhelming health problems, including HIV
infection.
PMID- 22078417
TI - Feasibility of using a handheld electronic device for the collection of patient
reported outcomes data from children.
AB - The manner in which a communication disorder affects health-related quality of
life (QOL) in children is not known. Unfortunately, collection of quality of life
data via traditional paper measures is labor intensive and has several other
limitations, which hinder the investigation of pediatric quality of life in
children. Currently, there is not sufficient research regarding the use of
electronic devices to collect pediatric patient reported outcomes in order to
address such limitations. Thus, we used a cross-over design to compare responses
to a pediatric health quality of life instrument (PedsQL 4.0) delivered using a
handheld electronic device to those from a traditional paper form. Respondents
were children with (n=9) and without (n=10) a speech or voice disorder. For paper
versus the electronic format, we examined time to completion, number of
incomplete or inaccurate question responses, intra-rater reliability, ease of
use, and child and parent preference. There were no significant differences
between children's scores, time to complete the measure, or ratings related to
ease of answering questions. The percentage of children who made answering errors
or omissions with paper and pencil was significantly greater than the percentage
of children who made such errors using the device. This preliminary study
demonstrated that use of an electronic device to collect QOL or patient-reported
outcomes (PRO) data from children is more efficient than and just as feasible,
reliable, and acceptable as using paper forms. The development of hardware and
software applications for the collection of QOL and/or PRO data in children with
speech disorders is likely warranted. LEARNING OUTCOMES: The reader will be able
to understand: (1) The potential benefits of using electronic data capture via
handheld devices for collecting pediatric patient reported outcomes; (2) The
Pediatric Quality of Life Inventory 4.0 is a measure of the perception of general
health quality that has distinguished between healthy children and those with
chronic health conditions; (3) Past research in communication disorders indicates
that voice and speech disorders may impact quality of life in children; (4) Based
on preliminary data, electronic collection of patient reported outcomes in
children with and without speech/voice disorders is more efficient and equally
feasible, reliable, and acceptable when compared to paper forms.
PMID- 22078418
TI - Tocilizumab treatment for neuro-Behcet's disease, the first report.
PMID- 22078419
TI - Stroke associated with surgical and transcatheter treatment of aortic stenosis: a
comprehensive review.
AB - Stroke is a potential complication of treating patients with aortic stenosis via
surgical aortic valve replacement (AVR), transcatheter aortic valve replacement
(TAVR), and balloon aortic valvuloplasty. Because there are limited and
heterogeneous data on the incidence, risk factors, and outcomes of stroke among
patients being treated for aortic stenosis, we performed a comprehensive review
of the literature. The risk of stroke after AVR in the general population is
approximately 1.5%, and the risk is increased (to approximately 2% to 4%) in
older and higher-risk patients. Strokes were reported in 1.5% to 6% of patients
treated with TAVR, and in the only randomized trial of AVR versus TAVR, there was
an increased risk of 30-day strokes (minor and major strokes and transient
ischemic attacks) with TAVR (5.5% vs. 2.4%, p = 0.04).
PMID- 22078420
TI - Clinical outcomes of patients with severe aortic stenosis at increased surgical
risk according to treatment modality.
AB - OBJECTIVES: The aim of this study was to assess the role of transcatheter aortic
valve implantation (TAVI) compared with medical treatment (MT) and surgical
aortic valve replacement (SAVR) in patients with severe aortic stenosis (AS) at
increased surgical risk. BACKGROUND: Elderly patients with comorbidities are at
considerable risk for SAVR. METHODS: Since July 2007, 442 patients with severe AS
(age: 81.7 +/- 6.0 years, mean logistic European System for Cardiac Operative
Risk Evaluation: 22.3 +/- 14.6%) underwent treatment allocation to MT (n = 78),
SAVR (n = 107), or TAVI (n = 257) on the basis of a comprehensive evaluation
protocol as part of a prospective registry. RESULTS: Baseline clinical
characteristics were similar among patients allocated to MT and TAVI, whereas
patients allocated to SAVR were younger (p < 0.001) and had a lower predicted
peri-operative risk (p < 0.001). Unadjusted rates of all-cause mortality at 30
months were lower for SAVR (22.4%) and TAVI (22.6%) compared with MT (61.5%, p <
0.001). Adjusted hazard ratios for death were 0.51 (95% confidence interval: 0.30
to 0.87) for SAVR compared with MT and 0.38 (95% confidence interval: 0.25 to
0.58) for TAVI compared with MT. Medical treatment (<0.001), older age (>80
years, p = 0.01), peripheral vascular disease (<0.001), and atrial fibrillation
(p = 0.04) were significantly associated with all-cause mortality at 30 months in
the multivariate analysis. At 1 year, more patients undergoing SAVR (92.3%) or
TAVI (93.2%) had New York Heart Association functional class I/II as compared
with patients with MT (70.8%, p = 0.003). CONCLUSIONS: Among patients with severe
AS with increased surgical risk, SAVR and TAVI improve survival and symptoms
compared with MT. Clinical outcomes of TAVI and SAVR seem similar among carefully
selected patients with severe symptomatic AS at increased risk.
PMID- 22078421
TI - Are you too young?
PMID- 22078422
TI - Multimodality imaging in transcatheter aortic valve implantation and post
procedural aortic regurgitation: comparison among cardiovascular magnetic
resonance, cardiac computed tomography, and echocardiography.
AB - OBJECTIVES: The purpose of this study was to determine imaging predictors of
aortic regurgitation (AR) after transcatheter aortic valve implantation (TAVI)
and the agreement and reproducibility of cardiovascular magnetic resonance (CMR),
cardiac computed tomography (CCT), and transthoracic echocardiography (TTE) in
aortic root assessment. BACKGROUND: The optimal imaging strategy for planning
TAVI is unclear with a paucity of comparative multimodality imaging data. The
association between aortic root morphology and outcomes after TAVI also remains
incompletely understood. METHODS: A total of 202 consecutive patients assessed by
CMR, CCT, and TTE for TAVI were studied. Agreement and variability among and
within imaging modalities was assessed by Bland-Altman analysis. Postoperative AR
was assessed by TTE. RESULTS: Of the 202 patients undergoing TAVI assessment with
both CMR and TTE, 133 also underwent CCT. Close agreement was observed between
CMR and CCT in dimensions of the aortic annulus (bias, -0.4 mm; 95% limits of
agreement: -5.7 to 5.0 mm), and similarly for sinus of Valsalva, sinotubular
junction, and ascending aortic measures. Agreement between TTE-derived measures
and either CMR or CCT was less precise. Intraobserver and interobserver
variability were lowest with CMR. The presence and severity of AR after TAVI were
associated with larger aortic valve annulus measurements by both CMR (p = 0.03)
and CCT (p = 0.04) but not TTE-derived measures (p = 0.10). Neither CCT nor CMR
measures of annulus eccentricity, however, predicted AR after TAVI (p = 0.33 and
p = 0.78, respectively). CONCLUSIONS: In patients undergoing imaging assessment
for TAVI, the presence and severity of AR after TAVI were associated with larger
aortic annulus measurements by both CMR and CCT, but not TTE. Both CMR and CCT
provide highly reproducible information in the assessment of patients undergoing
TAVI.
PMID- 22078423
TI - The evolution from surgery to percutaneous mitral valve interventions: the role
of the edge-to-edge technique.
AB - The edge-to-edge technique is a versatile procedure for mitral valve repair. Its
technical simplicity has been the prerequisite for the development of a number of
transcatheter technologies to perform percutaneous mitral valve repair. The
evolution from a standard open heart surgical to percutaneous procedure involved
the application of the technique in minimally invasive robotic surgery and direct
access (transatrial) off-pump suture-based repair and finally in the fully
percutaneous approach with either suture-based or device (clip)-based approach.
The MitraClip (Abbott Vascular, Menlo Park, California) is currently available
for clinical use in Europe, and it is mainly applied to treat high-risk patients
with functional mitral regurgitation. A critical review of the surgical as well
as the early percutaneous repair data is necessary to elucidate the clinical role
and the potential for future developments of the edge-to-edge repair in the
treatment of mitral regurgitation.
PMID- 22078424
TI - Correction of mitral regurgitation in nonresponders to cardiac resynchronization
therapy by MitraClip improves symptoms and promotes reverse remodeling.
AB - OBJECTIVES: This study evaluated the safety, efficacy, and effect of MitraClip
treatment on symptoms and left ventricular (LV) remodeling in nonresponders to
cardiac resynchronization therapy (CRT). BACKGROUND: Moderate to severe
functional mitral regurgitation (FMR) frequently persists after CRT, contributing
to reduced or no response to CRT. Percutaneous repair with the MitraClip has been
proposed as an additional therapeutic option in select patients with significant
FMR. METHODS: Fifty-one severely symptomatic CRT nonresponders with significant
FMR (grade >=2, 100%) underwent MitraClip treatment. Changes in New York Heart
Association functional class, degree of FMR, LV ejection fraction (EF), and LV
end-diastolic/end-systolic volumes (EDV/ESV) before and after (3, 6, and 12
months) MitraClip implantation were recorded. Mortality data, including cause of
death, were collected. RESULTS: MC treatment was feasible in all patients (49% 1
clip, 46% 2 clips). There were 2 periprocedural deaths. Median follow-up was 14
months (25th to 75th percentile: 8 to 17 months). New York Heart Association
functional class improved acutely at discharge (73%) and continued to improve
progressively during follow-up (regression model, p < 0.001). The proportion of
patients with significant residual FMR (grade >=2) progressively decreased during
follow-up (regression model, p < 0.001). Reverse LV remodeling and improved LVEF
were detected at 6 months, with further improvement at 12 months (regression
model, p = 0.001, p = 0.008, and p = 0.031 for ESV, EDV, and LVEF, respectively).
Overall 30-day mortality was 4.2%. Overall mortality during follow-up was 19.9
per 100 person-years (95% confidence interval: 10.3 to 38.3). Nonsurvivors had
more compromised clinical baseline conditions, longer QRS duration, and a more
dilated heart. CONCLUSIONS: FMR treatment with the MitraClip in CRT nonresponders
was feasible, safe, and demonstrated improved functional class, increased LVEF,
and reduced ventricular volumes in about 70% of these study patients.
PMID- 22078425
TI - Echocardiographic and clinical outcomes of MitraClip therapy in patients not
amenable to surgery.
AB - OBJECTIVES: The aim of this study was to assess the outcomes of patients at
prohibitive surgical risk undergoing MitraClip therapy (Abbott Vascular, Redwood
City, California) for severe mitral regurgitation (MR). BACKGROUND: The safety of
percutaneous mitral valve repair has been documented. However, midterm
development of mitral valve function, ventricular remodeling, and clinical
outcomes in patients not amenable to surgery are unknown. METHODS: A total of 104
consecutive patients (mean age 74 +/- 9 years; 64 men; 49 and 54 with MR 3+ and
4+, respectively; 69 with functional MR; 59 and 45 in New York Heart Association
classes III and IV, respectively) were followed for a median of 359 days.
RESULTS: Device success was achieved in 96 patients (92%). In patients with
successful index procedures, MR grade <=2+ was present at follow-up in 82.5%,
left ventricular end-diastolic and -systolic volumes were reduced, and forward
stroke volumes were significantly increased. Improvements in New York Heart
Association functional class were observed in 80% of patients, with 69% in class
I or II; 75% improved in the 6-min walk test; and 74% reported improvements in
quality of life. One-year estimates of mortality and rehospitalization were 22%
and 31%, respectively. Forward stroke volume at discharge emerged as a predictor
of event-free survival. CONCLUSIONS: MitraClip therapy improves clinical and
echocardiographic outcomes at 1 year in about three-quarters of critically ill,
elderly patients with moderate to severe MR not amenable to surgery.
PMID- 22078426
TI - Transcatheter valve-in-valve implantation for failed surgical bioprosthetic
valves.
AB - When bioprosthetic cardiac valves fail, reoperative valve replacement carries a
higher risk of morbidity and mortality compared with initial valve replacement.
Transcatheter heart valve implantation may be a viable alternative to surgical
aortic valve replacement for high-risk patients with native aortic stenosis, and
valve-in-valve (V-in-V) implantation has been successfully performed for failed
surgical bioprostheses in the aortic, mitral, pulmonic, and tricuspid positions.
Despite some core similarities to transcatheter therapy of native valve disease,
V-in-V therapy poses unique clinical and anatomic challenges. In this paper, we
review the challenges, selection criteria, techniques, and outcomes of V-in-V
implantation.
PMID- 22078427
TI - Clinical outcomes in patients undergoing percutaneous closure of periprosthetic
paravalvular leaks.
AB - OBJECTIVES: The purpose of this study was to evaluate the feasibility and
efficacy of the percutaneous device closure of a consecutive series of patients
with periprosthetic paravalvular leaks referred to our structural heart disease
center with congestive heart failure and hemolytic anemia. BACKGROUND: Clinically
significant periprosthetic paravalvular leak is an uncommon but serious
complication after surgical valve replacement. Percutaneous closure has been
utilized as an alternative to surgical repair of this defect in high-risk
surgical patients. METHODS: This is a retrospective review of 57 percutaneous
paravalvular leak closures that were performed in 43 patients (67% male, mean age
69.4 +/- 11.7 years) between April 2006 and September 2010. Integrated imaging
modalities were used for the evaluation, planning, and guidance of the
interventions. RESULTS: Closure was successful in 86% of leaks and in 86% of
patients. Twenty-eight of 35 patients improved by at least 1 New York Heart
Association functional class. The percentage of patients requiring blood
transfusions and/or erythropoietin injections post-procedure decreased from 56%
to 5%. Clinical success was achieved in 89% of the patients in whom procedure was
successful. The survival rates for patients at 6, 12, and 18 months after
paravalvular leak closures were 91.9%, 89.2%, and 86.5%, respectively. Freedom
from cardiac-related death at 42 months post-procedure was 91.9%. CONCLUSIONS:
Percutaneous closure of symptomatic paravalvular leaks, facilitated by integrated
imaging modalities has a high rate of acute and long-term success and appears to
be effective in managing symptoms of heart failure and hemolytic anemia.
PMID- 22078428
TI - Long-term follow-up of percutaneous repair of paravalvular prosthetic
regurgitation.
AB - OBJECTIVES: The goal of this study was to determine the long-term clinical
efficacy of percutaneous repair of paravalvular prosthetic regurgitation.
BACKGROUND: Percutaneous repair has emerged as an effective therapy for patients
with paravalvular prosthetic regurgitation. METHODS: We retrospectively
identified 126 patients who underwent catheter-based treatment of symptomatic
prosthetic paravalvular regurgitation. Patients were contacted for symptoms,
clinical events, and vital status. RESULTS: The 3-year estimate for survival was
64.3% (95% confidence interval: 52.1% to 76.8%). Mortality occurred due to
cardiac, noncardiac, and unknown causes in 9.5%, 7.1%, and 5.6% of patients,
respectively. Among survivors, 72% of patients who had presented with heart
failure were free of severe symptoms and need for cardiac surgery. Severity of
residual regurgitation was not related to overall survival but was an important
determinant of other clinical events. For those with no, mild, or moderate or
severe residual regurgitation, 3-year estimate of survival free of death or need
for surgery was 63.3%, 58.3%, and 30.3% (p = 0.01), respectively. CONCLUSIONS:
Percutaneous repair of paravalvular prosthetic regurgitation can lead to durable
symptom relief in selected patients. Nonetheless, mortality remains significant
in symptomatic patients with paravalvular prosthetic regurgitation. Long-term
clinical efficacy is highly dependent on residual regurgitation.
PMID- 22078430
TI - Wrinkles in the atrium: age, atrial fibrillation, or something else.
PMID- 22078429
TI - Structural abnormalities in atrial walls are associated with presence and
persistency of atrial fibrillation but not with age.
AB - OBJECTIVES: The purpose of this study was to assess the association between
structural changes in human atria, age, and history of atrial fibrillation (AF).
BACKGROUND: Development of fibrosis in atrial walls is associated with
deterioration of atrial conduction and predisposes to AF in experiment. Human
data, however, are scarce, and whether fibrosis is a cause or consequence of AF
is not known. METHODS: Medical records for consecutive autopsies were checked for
AF history and duration. Atrial specimens from 30 patients (ages 64 +/- 12 years)
were collected in 3 equal age-matched groups as patients without AF history, with
paroxysmal AF, or with permanent AF. Tissue samples were obtained at the level of
superior pulmonary veins, inferior pulmonary veins, center of posterior left
atrial wall, terminal crest, and Bachmann's bundle. Histology sections were
assessed for extent of fibrosis, fatty tissues, and inflammatory infiltration at
each location. RESULTS: No correlation was observed between age and fibrosis at
any location. Fibrosis extent and fatty infiltration were twofold to threefold
higher at all locations in patients with history of AF and correlated with
lymphomononuclear infiltration. Patients with permanent AF had greater fibrosis
extent than did patients with paroxysmal AF. CONCLUSIONS: In post-mortem
material, structural changes in the atria were not associated with age, but were
significantly correlated with presence of AF and its severity. Our findings
suggest that age-related changes per se are unlikely to be the sole cause of
advanced fibrosis underlying AF.
PMID- 22078431
TI - Transcatheter patch occlusion of the left atrial appendage using surgical
adhesives in high-risk patients with atrial fibrillation.
AB - OBJECTIVES: The efficacy of left atrial appendage (LAA) occlusion using the
Transcatheter Patch (TP) (Custom Medical Devices, Athens, Greece) in conjunction
with surgical adhesives was assessed. BACKGROUND: The TP is a bioabsorbable
device that can be adjusted for the shape and size of the LAA without the risk of
perforation. It is attached by a surgical adhesive and is released in 45 min.
METHODS: Occlusion of the LAA was performed in 20 high-risk patients, 59 to 89
years of age, with atrial fibrillation. A 2-stage polyethylene glycol surgical
adhesive was applied to the distal half of the device. Activation of the adhesive
was achieved by direct injection of alkaline solution. Fluoroscopy and
transesophageal echocardiography only were used for device placement in 17
patients. In 3 patients, angiography was used as well. Follow-up transesophageal
echocardiography was performed upon discharge. RESULTS: The procedure was
successful in 17 cases. In the 3 patients in whom angiography was performed, the
patch did not attach and was retrieved. In 1 case, the patch was placed beyond
the mouth of the appendage, resulting in a residual opening. There was further
improvement of the occlusion rate on the follow-up transesophageal
echocardiography. There was 1 complication related to the procedure, namely,
thrombus was released from the long sheath in the left atrium upon withdrawal and
required treatment to be dissolved. No recurrent strokes were reported.
CONCLUSIONS: Occlusion of the LAA by the TP is feasible and effective in most
patients with atrial fibrillation at high risk for embolic stroke. Angiography
before placement probably affects patch adhesion and is contraindicated.
PMID- 22078432
TI - Birth prevalence of congenital heart disease worldwide: a systematic review and
meta-analysis.
AB - Congenital heart disease (CHD) accounts for nearly one-third of all major
congenital anomalies. CHD birth prevalence worldwide and over time is suggested
to vary; however, a complete overview is missing. This systematic review included
114 papers, comprising a total study population of 24,091,867 live births with
CHD identified in 164,396 individuals. Birth prevalence of total CHD and the 8
most common subtypes were pooled in 5-year time periods since 1930 and in
continent and income groups since 1970 using the inverse variance method.
Reported total CHD birth prevalence increased substantially over time, from 0.6
per 1,000 live births (95% confidence interval [CI]: 0.4 to 0.8) in 1930 to 1934
to 9.1 per 1,000 live births (95% CI: 9.0 to 9.2) after 1995. Over the last 15
years, stabilization occurred, corresponding to 1.35 million newborns with CHD
every year. Significant geographical differences were found. Asia reported the
highest CHD birth prevalence, with 9.3 per 1,000 live births (95% CI: 8.9 to
9.7), with relatively more pulmonary outflow obstructions and fewer left
ventricular outflow tract obstructions. Reported total CHD birth prevalence in
Europe was significantly higher than in North America (8.2 per 1,000 live births
[95% CI: 8.1 to 8.3] vs. 6.9 per 1,000 live births [95% CI: 6.7 to 7.1]; p <
0.001). Access to health care is still limited in many parts of the world, as are
diagnostic facilities, probably accounting for differences in reported birth
prevalence between high- and low-income countries. Observed differences may also
be of genetic, environmental, socioeconomical, or ethnic origin, and there needs
to be further investigation to tailor the management of this global health
problem.
PMID- 22078433
TI - Percutaneous implantation of the Edwards SAPIEN transcatheter heart valve for
conduit failure in the pulmonary position: early phase 1 results from an
international multicenter clinical trial.
AB - OBJECTIVES: The purpose of this study was to evaluate the safety and
effectiveness of the Edwards SAPIEN transcatheter heart valve (Edwards
Lifesciences LLC, Irvine, California) in the pulmonary position in patients with
moderate to severe pulmonary regurgitation with or without stenosis. BACKGROUND:
Transcatheter pulmonary valve replacement is evolving, but to date, experience
has been limited to the Melody valve (Medtronic Inc., Minneapolis, Minnesota).
METHODS: Eligible patients with dysfunctional right ventricle-to-pulmonary artery
conduits were screened if body weight was >=35 kg and the in situ conduit
diameter was >=16 mm and <=24 mm. Standardized implantation and follow-up
protocols were used. RESULTS: Thirty-six patients from 4 centers were recruited
between April 2008 and May 2010. Mean body weight was 73.4 +/- 22.9 kg.
Successful valve deployment was achieved in 33 of 34 attempts (97.1%). Valve
migration occurred in 3 patients, with 2 requiring surgical retrieval; however, 1
patient underwent successful perventricular valve implantation. Further
intraprocedure complications included pulmonary hemorrhage (n = 2), ventricular
fibrillation (n = 1), and stent migration (n = 1). Pullback gradient across the
conduit decreased from 26.8 +/- 18.4 mm Hg to 11.7 +/- 8.0 mm Hg (p < 0.001). The
right ventricular/aortic pressure ratio decreased from 0.6 +/- 0.2 to 0.4 +/- 0.1
(p < 0.001). Peak Doppler gradient across the right ventricular outflow tract
decreased from 41.9 +/- 27.9 mm Hg to 19.1 +/- 13.3 mm Hg (p < 0.001). At 6-month
follow-up, all patients were alive. The number of patients with New York Heart
Association functional class I increased from 5 at baseline to 27 at follow-up.
Pulmonary regurgitation was <=2+ in 97% of patients. Freedom from reintervention
was 97% with 1 patient undergoing elective placement of a second valve due to
conduit-induced distortion of the initial implant. CONCLUSIONS: Transcatheter
pulmonary valve replacement using the Edwards SAPIEN transcatheter heart valve is
safe and effective in patients with dysfunctional right ventricle-to-pulmonary
artery conduits.
PMID- 22078434
TI - Permanent right-to-left shunt is the key factor in managing patent foramen ovale.
AB - OBJECTIVES: We sought to prospectively evaluate risk of stroke and impact of
transcatheter patent foramen ovale (PFO) closure in patients with permanent right
to left shunt compared with those with Valsalva maneuver-induced right-to-left
shunt. BACKGROUND: Pathophysiology and properly management of PFO still remain
far from being fully clarified: in particular, the contribution of permanent
right-to-left shunt remains unknown. METHODS: Between March 2006 and October
2010, we enrolled 180 (mean age 44 +/- 10.9 years, 98 women) of 320 consecutive
patients referred to our center for transcatheter PFO closure, who had
spontaneous permanent right-to-left shunt on transcranial Doppler and
transthoracic/transesophageal echocardiography. All patients fulfilled the
standard current indications for transcatheter closure and underwent preoperative
transesophageal echocardiography and brain magnetic resonance imaging, with
subsequent intracardiac echocardiographic-guided transcatheter PFO closure. We
compared the clinical echocardiographic characteristics of these patients
(Permanent Group) with the rest of 140 patients with right-to-left shunt only
during Valsalva maneuver (Valsalva Group). RESULTS: Compared with the Valsalva
Group patients, patients of the Permanent Group had increased frequency of
multiple ischemic brain lesions on magnetic resonance imaging, previous recurrent
stroke, previous peripheral arteries embolism, migraine with aura, and-more
frequently-atrial septal aneurysm and prominent Eustachian valve. The presence of
permanent shunt confers the highest risk of recurrent stroke (odds ratio: 5.9,
95% confidence interval: 2.0 to 12, p < 0.001). No differences were recorded
between the 2 groups with regard to recurrence of ischemic events after the
closure procedure. CONCLUSIONS: Despite its small-sample nature, our study
suggests that patients with permanent right-to-left shunt have potentially a
higher risk of paradoxical embolism compared with those without.
PMID- 22078435
TI - SIGNATURE: a workbench for gene expression signature analysis.
AB - BACKGROUND: The biological phenotype of a cell, such as a characteristic visual
image or behavior, reflects activities derived from the expression of collections
of genes. As such, an ability to measure the expression of these genes provides
an opportunity to develop more precise and varied sets of phenotypes. However, to
use this approach requires computational methods that are difficult to implement
and apply, and thus there is a critical need for intelligent software tools that
can reduce the technical burden of the analysis. Tools for gene expression
analyses are unusually difficult to implement in a user-friendly way because
their application requires a combination of biological data curation, statistical
computational methods, and database expertise. RESULTS: We have developed
SIGNATURE, a web-based resource that simplifies gene expression signature
analysis by providing software, data, and protocols to perform the analysis
successfully. This resource uses bayesian methods for processing gene expression
data coupled with a curated database of gene expression signatures, all carried
out within a GenePattern web interface for easy use and access. CONCLUSIONS:
SIGNATURE is available for public use at
http://genepattern.genome.duke.edu/signature/.
PMID- 22078436
TI - Hyperalgesia by synaptic long-term potentiation (LTP): an update.
AB - Long-term potentiation of synaptic strength (LTP) in nociceptive pathways shares
principle features with hyperalgesia including induction protocols,
pharmacological profile, neuronal and glial cell types involved and means for
prevention. LTP at synapses of nociceptive nerve fibres constitutes a
contemporary cellular model for pain amplification following trauma,
inflammation, nerve injury or withdrawal from opioids. It provides a novel target
for pain therapy. This review summarizes recent progress which has been made in
unravelling the properties and functions of LTP in the nociceptive system and in
identifying means for its prevention and reversal.
PMID- 22078437
TI - Obesity and pulmonary embolism: the mounting evidence of risk and the mortality
paradox.
AB - PURPOSE: To determine the prevalence of pulmonary embolism in obese patients
according to age, gender and comorbid conditions and explore the relation of
obesity to mortality. METHODS: The number of patients discharged from short-stay
hospitals throughout the United States from 1998-2008 with pulmonary embolism who
were obese or not obese, and in-hospital all-cause mortality were determined from
the Nationwide Inpatient Sample. RESULTS: From 1998-2008, 203,500 of 17,979,200
(1.1%) obese patients were diagnosed with pulmonary embolism compared with
2,034,100 of 346,049,800 (0.6%) non-obese patients [relative risk (RR) =2.03].
Relative risk for pulmonary embolism was highest among obese patients aged 11-20
years (RR=5.80) and was higher in obese women (RR=2.08) than in obese men
(RR=1.74). Mortality was 4.3% in obese patients with pulmonary embolism compared
with 9.5% in non-obese patients (RR=0.45). Obesity had the greatest effect on
mortality in older patients and little effect in teenagers and young adults.
Among stable patients who did not receive thrombolytic therapy, mortality was
3.8% in obese patients and 8.4% in non-obese patients (RR=0.45). Among unstable
patients, obesity had little effect on mortality. CONCLUSIONS: The prevalence of
pulmonary embolism in hospitalized patients was higher in obese patients than in
non-obese patients. Mortality in patients with pulmonary embolism was lower in
obese patients than in non-obese patients, with the greatest effects in women,
older patients and stable patients.
PMID- 22078438
TI - [Intranasal vaccination with mycobacterial 65-kD heat-shock protein can prevent
insulitis and diabetes in non-obese diabetic mice].
AB - AIM: To study the efficacy of heat shock protein 65 kDa (HSP65) of Mybobacterium
tuberculosis var. bovis in prevention of autoimmune diabetes by intranasal.
METHODS: The HSP65 gene was derived from Mybobacterium tuberculosis var. bovis
genome by PCR and successfully expressed as soluble protein in Escherichia coli.
The recombinant protein HSP65 was purified by anion exchange column
chromatography, then used to immunize prediabetic NOD (non-obese diabetic) mice
via three intranasal (i.n.) delivery in absence of adjuvants. Serum samples from
the immunized mice were collected at monthly intervals. The anti-HSP65 antibody
was detected by enzyme-linked immunosorbent assay (ELISA) and verified by Western
blot analysis. The concentration of blood glucose was measured by automatic
analyzer. RESULTS: Specific anti-HSP65 antibodies were successfully induced in
mice immunized via intranasal routes. Histochemical analysis of mice pancreas
tissue showed that HSP65 intranasal vaccination could decrease pathological
changes in NOD mice. CONCLUSION: Intranasal vaccination with HSP65 in NOD mice
could prevent the development of diabetes. Our results demonstrate that
intranasal vaccination with HSP65 reduces significantly the inflammatory process
associated with auto-immune diabetes. This approach may offer novel therapeutic
avenues for the treatment for of type 1 diabetes mellitus.
PMID- 22078439
TI - [Prokaryotic expression and immunogenicity analysis of the chimeric HBcAg
containing APP beta cleavage site peptide and Abeta(1-15);].
AB - AIM: To construct the recombinant prokaryotic expression plasmid pET/c-ABCSP
Abeta(15-c);, and evaluate the immunogenicity of the fusion protein expressed in
E.coli. METHODS: The gene fragment HBc88-144 was amplified by PCR and subcloned
to pUC19. The APP beta cleavage site peptide(ABCSP) and Abeta(1-15);
gene(ABCSP-Abeta(15);) was amplified by PCR and inserted downstream of HBc1-71
in pGEMEX/c1-71. After restriction enzyme digestion, c1-17-ABCSP-Abeta(15); were
connected with HBc88-144, yielding the recombinant gene c-ABCSP-Abeta(15-c);. c
ABCSP-Abeta(15-c); gene was subcloned into pET-28a(+).The fusion protein
expressed in transformed E.coli BL21 was induced with IPTG and analyzed by SDS
PAGE. The virus-like particles (VLP) formed by fusion protein was observed with
Transmission Electron Microscope (TEM). 4 Kunming (KM) mice received
intraperitoneal injection (i.p) of fusion protein VLP. The antibody was detected
by indirect ELISA. RESULTS: The recombinant gene was confirmed by restriction
enzyme digestion and DNA sequencing. After IPTG induction, fusion protein was
expressed and mainly existed in the sediment of the bacterial lysate. The
expression level was 40% of all the proteins in the sediment. The fusion protein
could form VLP. After 5 times of immunization, the titer of anti-ABCSP and anti
Abetaantibody in sera of KM mice reached up to 1:5 000 and 1:10 000 respectively,
while the anti-HBc antibody was undetectable. CONCLUSION: Recombinant c-ABCSP
Abeta(15-c); gene can be expressed in E.coli. The expressed protein could form
VLP and has a strong immunogenicity. This study lays the foundation for the study
of AD genetic engineering vaccine.
PMID- 22078440
TI - [Synthesis and identification of artificial complete antigen 25-hydroxyvitamin
D(3);].
AB - AIM: To synthesize the 25-hydroxyvitamin D(3); artificial complete antigen and to
prepare the specific antibody against 25-hydroxyvitamin D(3);. METHODS: The
active group carboxyl was introduced into 25-hydroxyvitamin D(3); and formed 25
hydroxyvitamin D(3);-hemisuccinate which possessed the structure of the hapten by
chemical modification. The EDC method was applied to conjugate 25-hydroxyvitamin
D(3);-hemisuccinate to bovine serum albumin as an artificial immunogen. The
coating antigen 25-hydroxyvitamin D(3);-hemisuccinate-OVA was obtained in the
same way. Ultraviolet, SDS-PAGE and MALDI-TOF were used to identify 25
hydroxyvitamin D(3);-hemisuccinate-BSA. RESULTS: BALB/c mice were immunized with
25-hydroxyvitamin D(3);-hemisuccinate-BSA to generate the polyclonal antibody of
the 25-hydroxyvitamin D(3); worth high titer and the immunogen, 25
hydroxyvitamin D(3);-hemisuccinate-BSA, was successfully prepared with coupling
ratio (12+/-0.16):1(N=3) coupling. CONCLUSION: The high titer and good
sensitivity of anti-25-hydroxyvitamin D(3); antibody are produced in sera
immunized BALB/c mice, which made it possible to develop a clinical diagnostics
for illness.
PMID- 22078441
TI - [Identification and expression analysis of a novel splice variant of human tumor
necrosis factor receptor associated factor 2].
AB - AIM: Identification of a novel splice variant of tumor necrosis factor receptor
associated factor 2 and its expression analysis. METHODS: By PCR analysis, we
identified the existence of a new splice variant of TRAF2 using human brain cDNA
library as template. RNA isolated from various cell lines and tissues was
subjected to RT-PCR and differential expression analysis of TRAF2 splice variants
was carried out. RESULTS: An amplification of about 1 500 bp was found using P1
and P2 as the primers. we performed PCR using exon 6 flanking primers P3 and P4,
yielding a full-length transcript of 268 bp (including exon 6) and a novel splice
variant of 193 bp lacking the 75 bp of exon 6. The full-length transcript of
TRAF2 was found to be dominant in T47D, glioma of grade II and grade III. However
the novel splice lacking exon 6 was dominant in Hep3B, GC-1, MCF7, fetus brain
and glioma of grade I, the two splices of TRAF2 were similar in PANCI, Hek293
and SW480.We couldn't find any expression of TRAF2 in HepG2, HBL100, A549 and
HeLa . CONCLUSION: TRAF2 has a novel splice lacking 6 exon in human beside the
full-length splice. The two splices are differential expression in tissues and
cell lines.
PMID- 22078442
TI - [The study of anti-tumor activities of DC vaccine loaded with multi-epotipes of
survivin].
AB - AIM: To observe the anti-tumor activity of dendritic cell (DC)vaccine loaded with
multi-epitopes of survivin. METHODS: The recombinant plasmid pPIRESneo3.0
survivin (4)/Th which include four survivin HLA-A2-restricted CD8(+); CTL
epitopes and a CD4(+);Th epitope, pPIRESneo3.0-survivin (4) which include four
survivin CD8(+); CTL epitopes, were transfected into human dendritic cells
respectively. There were five groups, which included survivin(4)/Th group,
survivin(4)group, empty plasmid group, untransfected group and T lymphocytes
group The expression of CD83 and CD86 on the surface of DCs, the expression of
CD4 and CD8a on the surface of T lymphocytes, the apoptotic rates of MCF-7 cells
after treated by DC vaccine were measured by flow cytometry; IFN-gamma levels of
all groups were detected by ELISA and the growth inhibition of MCF-7 cells after
being treated with DC vaccine was tested by MTT colorimetry. RESULTS: The results
of flow cytometry revealed that high levels CD83 and CD86 were expressed on the
surface of DCs; high levels CD4 and CD8a were expressed on the surface of T
lymphocytes; the IFN-gamma levels in survivin(4)/Th group [(66.50+/
3.34)ng/L]were significantly higher than that in survivin(4)group[(46.10+/
1.35)ng/L], empty plasmid group[(25.17+/-0.32)ng/L], untransfected group
[(25.47+/-0.95)ng/L] or T lymphocytes group[(23.73+/-0.50)ng/L](P<0.05). The
inhibition rate of MCF-7 cells in survivin(4)/Th group was significantly higher
than that in survivin(4)group, empty plasmid group, untransfected group or T
lymphocytes group(P<0.05). The apoptotic rate of MCF-7 cells in survivin(4)/Th
group was (10.63+/-0.29)% after treated by DC vaccine, which was significantly
higher than that in in survivin(4)group, empty plasmid group, untransfected
group or T lymphocytes group(P<0.05). CONCLUSION: The DCs vaccine loaded with
multi- CD8(+); CTL epitopes of survivin has strong anti-tumor effects. CD4(+); Th
cells can promote the anti-tumor activity of CD8(+);CTL.
PMID- 22078443
TI - [Construction of recombinant shuttle plasmid pIMP1-eHER2/neu and screening and
identification of its stable Clostridium sporogenes transformants].
AB - AIM: To construct recombinant clostridium sporogenes modified with the
extracellular domain of human oncogene HER2/neu, to lay a foundation for further
study of its antitumor effect. METHODS: The extracellular domain (ECD) of
HER2/neu gene was attached to the downstream of promoter and signal sequence of
clostridia endo-1, 4-glucanase (eglAp) by SOE-PCR to construct fusion gene eglAp
HER2/neu, which was then inserted into E.coli-clostridia shuttle plasmid pIMP1
to construct recombinant plasmid pIMP1-eHER2/neu. The recombinant plasmid was
firstly transformed into E.coli DH5alpha.Then the correct construct was
identified and introduced into C. sporogenes by electroporation. Positive clones
were selected by erythromycin resistance, bacteria PCR were used for
verification. RESULTS: Restriction map and sequencing result showed that the
sequence and ORF of fusion gene eglAp-HER2/neu in recombinant plasmid pIMP1
eHER2/neu was correct. Bacteria PCR results indicated that the recombinant
plasmid pIMP1-eHER2/neu was successfully transformed into C.sporogenes. After
more than 20 passages under antibiotic pressure, C.sporogenes transformants
could stably carry the recombinant plasmid pIMP1-eHER2/neu. CONCLUSION: Stable
C.sporogenes transformants with the recombinant plasmid pIMP1-eHER2/neu are
successfully acquired, which laid a foundation for further anti-tumor study.
PMID- 22078445
TI - [The Enhanceing effect of IL-12 on phagocytosis and killing of Mycobacterium
tuberculosis by neutrophils in tuberculosis patients].
AB - AIM: To explore the effects of IL-12 on phagocytosis and killing of Mycobacterium
tuberculosis by neutrophils or polymorphonuclear cells (PMNs) in tuberculosis
patients. METHODS: The fresh peripheral blood samples from TB patients and
healthy adults were incubated with M.tb labeled with FITC, and the percentages
of phagocytosis of M.tb by PMNs was measured by flow cytometry (FCM). The fresh
peripheral blood samples were incubated with DCFH-DA, and with or without M.tb
for different times, the percentage of activation and the ROS production of PMNs
were measured by FCM. Whole blood samples were pretreated with IL-12, the
changes of phagocytosis, activation and ROS production of PMNs were measured by
FCM. RESULTS: The percentages of phagocytosis by PMNs, activation and ROS
production of PMNs in both TB patients and healthy adults increased dependent on
the time of incubation with M.tb. Only the phagocytosis of M.tb by PMNs at 5 min
in TB patients of tuberculosis patients (51.82+/-6.93)% was obviously higher than
that in healthy adults (47.20+/-4.26)%, (P<0.05). Pretreatment of whole blood
with IL-12 before incubation with M.tb, the percentages of phagocytosis,
activation and ROS production of PMNs in both TB patients and healthy adults
increased in dose dependent manner, but no significant difference was found
between both groups. CONCLUSION: The results indicated that the phagocytosis of
M.tb and ROS production by PMNs in TB patients were almost the same as that in
healthy controls, except for phagocytosis is higher at early stage. Furthermore,
IL-12 can enhance the responsiveness to the phagocytosis and ROS production of
PMNs.
PMID- 22078444
TI - [Mechanism of ING4 mediated inhibition of the proliferation and migration of
human glioma cell line U251].
AB - AIM: To investigate the effect of Ad-ING4 on proliferation and migration of
glioma cells and explore its probable mechanism. METHODS: U251 were infected with
Ad-ING4. ING4 gene expression was evaluated by RT-PCR. MTT assay was adopted to
evaluate the effect of ING4 on proliferation of U251; Boyden chamber assay was
used to check the effect of ING4 on the migration of U251. In ING4 transfected
U251, Western blot was used for detecting NGF and TrkA expression; Pull-down
assay was used for detecting active RhoA expression. RESULTS: ING4 was
overexpressed in Ad-ING4 transfected U251 cells. ING4 inhibited proliferation and
migration of U251 significantly. Moreover, overexpression of ING4 result in
depression of NGF, TrkA and active RhoA. CONCLUSION: ING4 mediated inhibition of
the proliferation and migration of human glioma cells by down regulating NGF,
TrkA and active RhoA expression.
PMID- 22078446
TI - [Study on macrophages infected with Mycobacterium tuberculosis H37Ra in vitro].
AB - AIM: To study the production of nitric oxide and secretion of cytokines after
infection of macrophages with Mycobacterium tuberculosis H37Ra. METHODS: 24 hours
after infection of RAW264.7 cells with Mycobacterium tuberculosis H37Ra, the
production of NO and H(2);O(2); as well as the secretion levels of IL-12 and TNF
alpha in the supernatants of culture were determined by Griess method, chemical
method and ELISA assay respectively. The expression of IL-12 and TNF-alpha mRNA
in macrophages was detected by reverse transcription polymerase chain reaction
(RT- PCR). RESULTS: Macrophages infected with Mycobacterium tuberculosis H37Ra
produced effectively more NO, H(2);O(2);, and enhanced the release of IL-12,
TNF-alpha and the expression of IL-12, TNF-alpha mRNA (P<0.05). CONCLUSION:
Mycobacterium tuberculosis H37Ra can induce the production of more nitric oxide
and cytokines which play important roles in the host immune response.
PMID- 22078447
TI - [Effect of the ethanol extracts of starfish Asterias amurensis on the levels of
serum IL-4 and IFN-gamma in mice].
AB - AIM: To investigate the effect of the ethanol extracts of the starfish Asterias
amurensis on the levels of serum IL-4 and IFN-gamma in mice. METHODS: The whole
bodies of the starfish were chopped and extracted with ethanol. The ethanol
extracts were chromatographed on silica gel column. The separating fractions of
the ethanol extracts were intraperitoneally injected into mice, respectively.
The levels of serum IL-4 and IFN-gamma in mice were detected by ELISA. RESULTS:
The ethanol extracts from the starfish were separated through silica gel column
chromatography to obtain 8 fractions (I-VIII). The high levels of IL-4 and IFN
gamma were produced in serum of the mice injected with fractions III and VIII of
the ethanol extracts from the starfish Asterias amurensis. CONCLUSION: The
fractions III and VIIII separated from the ethanol extracts of the starfish
Asterias amurensis can stimulate the mice to produce high lelves of IL-4 and IFN
gamma, which has the characteristic of natural kill T (NKT) cells activator. It
is suggests that there is the active substance that can activate NKT cells in the
starfish Asterias amurensis.
PMID- 22078448
TI - [Construction and expression of human anti-HER2 scFv-9R fusion protein and
identification of its activity].
AB - AIM: To construct, express and purify a human fusion protein, which is composed
of a single-chain antibody fragment scFv that recognizes HER2 protein and an
oligo-9-arginine, and to analyze the binding activity of the expressed fusion
protein. METHODS: Pairs of oligonucleotide primers were designed and used to
amplify the scFv-9R. The fusion protein gene scFv-9R was then cloned into
expression vector pQE30 and expressed in E.coli M15.Expressed protein was
detected by SDS-PAGE and Western blot and purified by Ni-NTA chelating agarose.
Then, the purified protein was refolded by dialysis and concentrated by
ultrafiltration. The antigen-binding activity of the scFv-9R fusion protein was
confirmed by ELISA, and the siRNA binding ability was confirmed by
electrophoretic mobility shift assay (EMSA). RESULTS: HER2 scFv-9R encoding
sequence was correctly cloned into the expression vector. The recombinant
protein was insolubly expressed in E.coli M15 induced by IPTG. ELISA confirmed
that it had specific antigen binding activity; EMSA assured that it had siRNA
binding activity. CONCLUSION: The scFv-9R fusion protein can specially bind with
both HER2 antigen and siRNA.
PMID- 22078449
TI - [Preparation and characterization of monoclonal antibodies specific for CjaA
protein of Campylobacter jejuni].
AB - AIM: Expression, purification of Campylobacter jejuni CjaA protein and
development of monoclonal antibodies (mAbs) against this protein. METHODS: The C.
jejuni cjaA gene was amplified and inserted into the expression plasmids, pGEX
6p-1 and pET30a (+). The purified rGST-CjaA protein was used as an immunogen in 8
week-old BALB/c mice, and injected subcutaneously. The purified rHis-CjaA
protein used as a detecting antigen for screening mAbs against CjaA was prepared.
The specificity of mAbs was characterized by Dot-ELISA and Western blot assays.
RESULTS: The recombinant expression plasmids, pGEX-6p-1-cjaA and pET30a(+)-cjaA
were obtained. The sizes of the recombinant proteins, rGST-CjaA and rHis-CjaA,
were consistent with their predicted size. Specific reaction was found between
CjaA positive serum and expressed protein by Western blot assay, confirming its
identification as a Campylobacter jejuni immunogen. Three hybridoma cell lines,
designated 2B6, 3C2 and 4F11, secreting mAbs against CjaA were obtained. Their
immunoglobulin subclasses were all IgG1. The ELISA titers of the ascites fluid
were 1:1*10(5);, 1:2*10(5); and 1:4*10(5);, respectively. Western blot analysis
confirmed that the three mAbs reacted with the rHis-CjaA fusion protein but not
the His tag. The Dot-ELISA results demonstrated that the three mAbs only with
CjaA and not the tags for the expression vectors. CONCLUSION: The successful
preparation of three mAbs specific for the CjaA protein lays the foundation for
further study regarding the biological characteristics of CjaA and the
pathogenesis of C. jejuni.
PMID- 22078450
TI - [Preparation and characterization of three novel monoclonal antibodies against
human PD-L1].
AB - AIM: To prepare a functional mouse anti-human PD-L1 monoclonal antibody and to
characterize its biological activities. METHODS: A stable human PD-L1 transfected
cell line L929/PD-L1 was used as an antigen to immunize BALB/c mice. By means of
the cell fusion technique, multiple cell subcloning, repeated screening with
L929/ PD-L1 as target cells and the L929/mock cells used as the negative control,
the hybridomas specifically secreting mouse anti-PD-L1 monoclonal antibodies were
generated. Then its biological characterization was investigated by rapid murine
Ig-subclass typing, Western blotting, indirect immune of luorescene assay, mutual
competitive inhibition test. By means of MTT incorporation assay, detected the
infection of mAb to T cell proliferation. Three mouse anti-human PD-L1 monoclonal
antibodies were generated, named as 11G8, 2G5 and 5C3. RESULTS: The results of
characterization study showed that the monoclonal antibodies could recognize the
PD-L1 on the activated T cells. The mAbs could promote T cells proliferation.
CONCLUSION: It is evident that the functional monoclonal antibodies for human PD
L1 have been generated, and it would provide the initial material for further
study on the role of PD-1/PD-L1 signaling pathways.
PMID- 22078451
TI - [Primary detection of biological functions of anti-hGITR(aa27-165);PcAb].
AB - AIM: To detect the rabbit-derived polyclonal antibodies against extracellular
protein segments of human glucocorticoid-inducible tumor necrosis factor
receptors (anti-hGITR(aa27-165);PcAb) with regard to its capacity of linkage to
natural GITR molecules and the function on CD4(+); T cells. METHODS: Human
peripheral blood mononuclear cells (PBMCs) were isolated and cultured under
stimulation; flow cytometry was applied to check the capacity of anti-hGITR(aa27
165);PcAb for linkage to natural GITR molecules on PBMCs; human CD4(+); T cells
were isolated by immunological magnetic beads and (3);H-TdR incorporation tests
were performed to observe improving-proliferation action of anti-hGITR(aa27
165);PcAb while CD4(+); T cells were cultured with or not with some cytokines.
RESULTS: Anti-hGITR(aa27-165);PcAb was able to bind GITR molecules with natural
conformation in a concentration-dependent way; furthermore, this PcAb could
improve the reproduction of CD4(+); T cells. CONCLUSION: The rabbit-derived anti
hGITR(aa27-165);PcAb prepared in our laboratory is capable of linking to natural
target molecules and possesses the activation function upon CD4(+); T cells, the
further exploration should allow for its applications for diagnosis and treatment
of relavent diseases.
PMID- 22078452
TI - [Association between polymorphisms of interleukin-6 gene promoter and breast
cancer].
AB - AIM: To study possible association between three single nucleotide polymorphisms
(-597G/A, -572C/G and -174G/C) of interleukin-6 (IL-6) gene promoter and breast
cancer. METHODS: Genomic DNA was isolated from the venous blood leukocytes from
176 unrelated patients with breast cancer and 200 healthy unrelated females
(control group). Polymorphisms of -597G/A, -572C/G and -174G/C, were
genotyped by PCR-restriction fragment length polymorphisms (PCR-RFLP). SPSS 11.5
software was employed for statistical analysis and the association of IL-6
polymorphisms with breast cancer was evaluated by x(2); test. RESULTS: There was
significant differences in both allele and genotype frequencies of -572C/G in
case group compared with control group. The allele G of -572C/G was significantly
higher in cancer patients than the controls(x(2);=15.438, P<0.01). CONCLUSION:
There is an association between -572C/G polymorphism and breast cancer risk. The
females with G allele of -572C/G are susceptible to breast cancer compared with
non-carrying females.
PMID- 22078453
TI - [Study on functions and mechanism of curcumin in inducing gastric carcinoma BGC
apoptosis].
AB - AIM: discuss the biological function and regulation mechanism of curcumin in
promoting human gastric carcinoma BGC-823 apoptosis. METHODS: Conventional in
virto culture in logarithmic phase gastric carcinoma BGC-823 cells; cells are
divided into four groups: control group, low treatment group, middle treatment
group and high treatment group, with curcumin concentration being 0 mg/L, 5
mg/L, 10 mg/L, and 20 mg/L, respectively. 24 hours after curcumin is treated,
cell proliferation level and apoptosis rate are measured with MTT colorimetry and
flow cytometry, Bax, Bcl-2 protein expression is measured with
immunohistochemistry; mRNA of Caspase-3 is tested by means of PCR. RESULTS: MTT
test indicates that curcumin can inhibit human gastric carcinoma BGC-823 cell
proliferation, showing concentration dependency; flow cytometry shows that
curcumin can effectively induce apoptosis, showing concentration dependency,
where the apoptosis rate is 48.3% 24 hours after 20 mg/L curcumin is treated;
immunohistochemistry test shows that curcumin treatment enables Bax expression
level in human gastric carcinoma BGC-823 cells to go up, meanwhile, the Bcl-2
protein expression level to go down, besides, the mRNA expression level of
Caspase-3 in cells increases through induction of curcumin. CONCLUSION: Curcumin
has obvious inhibitory effect on human gastric carcinoma BGC-823 cell
proliferation, showing concentration dependency to promote apoptosis. Such
biological effect may be associated with activating Caspase-3 signal channel by
activating Bax protein expression and inhibiting Bcl-2 protein. This study lays
an important foundation for further discussing the mechanism of curcumin in
inducing human gastric carcinoma BGC-823 apoptosis.
PMID- 22078454
TI - [Expression and clinical significance of Nrf2 in esophageal squamous cell
carcinoma].
AB - AIM: To study the expression and clinical significance of Nrf2 (Nuclear factor E2
p45-related factor 2) in esophageal squamous cell carcinoma (ESCC). METHODS: The
expression of Nrf2 in 32 cases of EC tissues, 30 cases of adjacent tissues, 21
positive Lymph node tissues and 24 negative Lymph node tissues was assessed by SP
immunohistochemical method. RESULTS: The main location of Nrf2 was nuclear, and
the positive rates of Nrf2 in the cancer tissues was 78.13%, while that in the
adjacent tissues group was 13.33%, and showed 66.67% and 20.83% in the positive
Lymph node tissues and negative Lymph node tissues respectively, there was a
significant difference between the two groups (P<0.05). The Nrf2 positive rate
was closely correlated with the lymph node metastasis (P<0.05), but showed no
statistical associated with age, sex, TNM stage, degree of tumor
differentiation and the location of tumor. CONCLUSION: The Nrf2 has high
expression in ESCC tissues, and the positive rate is closely correlated with the
lymph node metastasis, The Nrf2 may play an important role in ESCC oncogenesis
and drug resistence.
PMID- 22078455
TI - Characterization of a naturally occurring degradation product of the LDL
receptor.
AB - In this study we have characterized a naturally occurring truncated form of the
low density lipoprotein receptor (LDLR). Western blot analysis of transfected
cells indicated that the truncated form (?N-LDLR) is a degradation product of the
full-length LDLR generated by cleavage in the linker region between ligand
binding repeats 4 and 5 of the ligand-binding domain. The cleavage of the linker
was not caused by components of the culture media, as heat inactivation of the
media did not prevent cleavage. Rather, it is assumed that cleavage was caused by
an enzyme secreted from the cells. Biotinylation experiments showed that ?N-LDLR
is located on the cell surface and is detectable approximately 5 h after
synthesis of the full-length LDLR. Flow cytometric analysis showed that ?N-LDLR
was not able to bind and internalize low density lipoprotein (LDL). ?N-LDLR
appeared to be equally stable as the full-length LDLR. Thus, generation of ?N
LDLR does not appear to be the first signal for degradation of the LDLR. The
existence of two functionally different populations of LDLRs on the cell surface,
of which ?N-LDLR constitutes 28%, must be taken into account when interpreting
results of experiments to study LDLRs on the cell surface. Furthermore, if the
cleavage of the linker between ligand-binding repeats 4 and 5 could be prevented
by an enzyme inhibitor, this could represent a novel therapeutic strategy to
increase the number of functioning LDLRs and thereby decrease the levels of
plasma LDL cholesterol.
PMID- 22078456
TI - Developing treatment options for metachromatic leukodystrophy.
AB - Metachromatic leukodystrophy (MLD) represents a devastating lysosomal storage
disease characterized by intralysosomal accumulation of the sphingolipid
sulfatide in various tissues. Three types of the disease are currently
distinguished: the late-infantile, which is the most commonly observed, the
juvenile and the adult type. Demyelination represents the main histopathological
feature of the disorder, leading to neurological impairment with no curative
treatment currently available. Nevertheless, the increased scientific interest on
the disease has led to the experimental use of innovative therapeutic approaches
in animal models, aiming to provide an effective therapeutic regimen for human
patients, as well. This paper provides an overview of developing treatment
options among patients with MLD. Apart from hematopoietic stem cell
transplantation, already in use for decades, other recent data discussed includes
umbilical cord blood and stem cell transplantation, enzyme replacement therapy,
gene therapy and autologous hematopoietic transplantation of genetically modified
stem cells. Gene therapy with oligodedroglial, neural progenitor, embryonic and
microencapsulated recombinant cells represents add-on treatment options still on
experimental level.
PMID- 22078457
TI - Neurologic considerations in propionic acidemia.
AB - Propionic acidemia (PA) is an organic acidemia which has a broad range of
neurological complications, including developmental delay, intellectual
disability, structural abnormalities, metabolic stroke-like episodes, seizures,
optic neuropathy, and cranial nerve abnormalities. As the PA consensus conference
hosted by Children's National Medical Center progressed from January 28 to 30,
2011, it became evident that neurological complications were common and a major
component of morbidity, but the role of imaging and the basis for brain
pathophysiology were unclear. This paper reviews the hypothesized
pathophysiology, presentation and uses the best available evidence to suggest
programs for treatment, imaging, and monitoring the neurological complications of
PA.
PMID- 22078458
TI - Rheological and dielectric properties of different gold nanoparticle sizes.
AB - BACKGROUND: Gold nanoparticles (GNPs) have found themselves useful for
diagnostic, drug delivery and biomedicine applications, but one of the important
concerns is about their safety in clinical applications. Nanoparticle size has
been shown to be an extremely important parameter affecting the nanoparticle
uptake and cellular internalization. The rheological properties assume to be very
important as it affects the pressure drop and hence the pumping power when nano
fluids are circulated in a closed loop. The rheological and dielectric properties
have not been documented and identified before. The aim of the present study was
to investigate the rheology and the dielectric properties of different GNPs sizes
in aqueous solution. METHODS: 10, 20 and 50 nm GNPs (Product MKN-Au, CANADA) was
used in this study. The rheological parameters were viscosity, torque, shear
stress, shear rate, plastic viscosity, yield stress, consistency index, and
activation energy. These rheological parameters were measured using Brookfield
LVDV-III Programmable rheometer supplied with temperature bath and controlled by
a computer. RESULTS: The shear stress and shear rate of GNPs have shown a linear
relationship and GNPs exhibited Newtonian behaviour. The GNPs with larger
particle size (50 nm) exhibited more viscosity than those with smaller particle
sizes (10 and 20 nm). Viscosity decreased with increasing the temperature for all
the examined GNP sizes. The flow behaviour index (n) values were nearly <= 1 for
all examined GNP sizes. Dielectric data indicated that the GNPs have strong
dielectric dispersion in the frequency range of 20-100 kHz. The conductivity and
relaxation time decreased with increasing the GNP size. CONCLUSIONS: This study
indicates that the GNP size has considerable influence on the viscosity of GNPs.
The strong dielectric dispersion was GNP size dependent. The decrease in
relaxation time might be attributed to increase in the localized charges
distribution within the medium confirmed by the conductivity data. This study
suggests that further experiments are required to be done after the
administration of GNPs through different routes in rats in vivo.
PMID- 22078459
TI - Evaluation of PAN-based manganese dioxide composite for the sorptive removal of
cesium-137 from aqueous solutions.
AB - Hydrous manganese dioxide-polyacrylonitrile (MnO(2)-PAN) was chemically
synthesized and evaluated, as an organic-inorganic composite material, for the
removal of radio-contaminant cesium-137 from aqueous solutions. The physico
chemical characterization was carried out by Fourier transform infrared
spectroscopy (FT-IR), X-ray powder diffraction (XRD), CHN elemental analysis,
scanning electron microscopy (SEM), nitrogen adsorption-desorption studies and
thermogravimetry-differential scanning calorimetry (TGA-DSC). Batch experiments
were carried out as a function of contact time, interference of the coexisting
ions and initial pH of adsorptive solution applying a radiotracer technique. The
effect of temperature on the distribution coefficient of cesium has been utilized
in order to evaluate the changes in the standard thermodynamic parameters. The
results indicated that Cs(+) ions could be efficiently removed using MnO(2)-PAN
composite in the pH range of 4-9 from aqueous solutions and the uptake of cesium
is affected to varying degrees by the presence of some diverse co-ions. The
equilibrium isotherms have been determined and the sorption data were
successfully modeled using Freundlich model.
PMID- 22078461
TI - Accuracy of MRI findings in chronic lateral ankle ligament injury: comparison
with surgical findings.
AB - AIM: To evaluate the accuracy of magnetic resonance imaging (MRI) findings in
chronic lateral ankle ligament injury in comparison with that of surgical
findings. MATERIALS AND METHODS: Forty-eight cases (25 men, 23 women, mean age 36
years) of clinically suspected chronic ankle ligament injury underwent MRI
studies and surgery. Sagittal, coronal, and axial, T1-weighted, spin-echo, proton
density and T2-weighted, fast spin-echo images with fat saturation were obtained
in all patients. MRI examinations were read in consensus by two fellowship
trained academic musculoskeletal radiologists who evaluated the lateral ankle
ligaments, including the anterior talofibular ligament (ATFL) and calcaneofibular
ligament (CFL) without clinical information. The results of the MRI studies were
then compared with the surgical findings. RESULTS: The MRI findings of ATFL
injury showed a sensitivity of detection of complete tears of 75% and specificity
of 86%. The sensitivity of detection of partial tears was 75% and the specificity
was 78%. The sensitivity of detection of sprains was 44% and the specificity was
88%. Regarding the MRI findings of CFL injury, the sensitivity of detection of
complete tears was 50% and the specificity was 98%. The sensitivity of detection
of partial tear was 83% and the specificity was 93%. The sensitivity of detection
of sprains was 100% and the specificity was 90%. Regarding the ATFL, the
accuracies of detection were 88, 58, 77, and 85% for no injury, sprain, partial
tear, and complete tear, respectively, and for the CFL the accuracies of
detection were 90, 90, 92, and 96% for no injury, sprain, partial tear, and
complete tear, respectively. CONCLUSIONS: The diagnosis of a complete tear of the
ATFL on MRI is more sensitive than the diagnosis of a complete tear of the CFL.
MRI findings of CFL injury are diagnostically specific but are not sensitive.
However, only normal findings and complete tears were statistically significant
between ATFL and CFL (p < 0.001).
PMID- 22078460
TI - Cataract research using electronic health records.
AB - BACKGROUND: The eMERGE (electronic MEdical Records and Genomics) network, funded
by the National Human Genome Research Institute, is a national consortium formed
to develop, disseminate, and apply approaches to research that combine DNA
biorepositories with electronic health record (EHR) systems for large-scale, high
throughput genetic research. Marshfield Clinic is one of five sites in the eMERGE
network and primarily studied: 1) age-related cataract and 2) HDL-cholesterol
levels. The purpose of this paper is to describe the approach to electronic
evaluation of the epidemiology of cataract using the EHR for a large biobank and
to assess previously identified epidemiologic risk factors in cases identified by
electronic algorithms. METHODS: Electronic algorithms were used to select
individuals with cataracts in the Personalized Medicine Research Project
database. These were analyzed for cataract prevalence, age at cataract, and
previously identified risk factors. RESULTS: Cataract diagnoses and surgeries,
though not type of cataract, were successfully identified using electronic
algorithms. Age specific prevalence of both cataract (22% compared to 17.2%) and
cataract surgery (11% compared to 5.1%) were higher when compared to the Eye
Diseases Prevalence Research Group. The risk factors of age, gender, diabetes,
and steroid use were confirmed. CONCLUSIONS: Using electronic health records can
be a viable and efficient tool to identify cataracts for research. However, using
retrospective data from this source can be confounded by historical limits on
data availability, differences in the utilization of healthcare, and changes in
exposures over time.
PMID- 22078462
TI - Thrombosis and obesity: cellular bases.
AB - The prevalence of obesity has dramatically increased during the past two decades.
Epidemiological studies suggest that obesity is an independent, modifiable risk
factor for coronary heart disease, possibly due, at least in part, to the
development of a pro-inflammatory and a pro-thrombotic state in obese subjects.
In addition, numerous cohort studies have shown a link between obesity and
different types of cancer. Accordingly, the regulation of body weight is becoming
a serious concern for public health experts and scientists. Although the
mechanisms responsible for these associations are still to be fully elucidated, a
key role has been assigned to adipokines, a family of hormones which act as
modulators of metabolism or inflammation, secreted by adipocytes. Tissue factor,
the major physiological trigger of the blood coagulation cascade in vivo, which
plays a central role in atherothrombosis and tumor biology, has also been
proposed as one of the key molecules responsible for these associations.
PMID- 22078463
TI - Access to safe abortion: building choices for women living with HIV and AIDS.
AB - In many areas of the world where HIV prevalence is high, rates of unintended
pregnancy and unsafe abortion have also been shown to be high. Of all pregnancies
worldwide in 2008, 41% were reported as unintended or unplanned, and
approximately 50% of these ended in abortion. Of the estimated 21.6 million
unsafe abortions occurring worldwide in 2008 (around one in 10 pregnancies),
approximately 21.2 million occurred in developing countries, often due to
restrictive abortion laws and leading to an estimated 47,000 maternal deaths and
untold numbers of women who will suffer long-term health consequences. Despite
this context, little research has focused on decisions about and experiences of
women living with HIV with regard to terminating a pregnancy, although this
should form part of comprehensive promotion of sexual and reproductive health
rights.In this paper, we explore the existing evidence related to global and
country-specific barriers to safe abortion for all women, with an emphasis on
research gaps around the right of women living with HIV to choose safe abortion
services as an option for dealing with unwanted pregnancies. The main focus is on
the situation for women living with HIV in Brazil, Namibia and South Africa as
examples of three countries with different conditions regarding women's access to
safe legal abortions: a very restrictive setting, a setting with several
indications for legal abortion but non-implementation of the law, and a rather
liberal setting.Similarities and differences are discussed, and we further
outline global and country-specific barriers to safe abortion for all women,
ending with recommendations for policy makers and researchers.
PMID- 22078464
TI - Neural dynamics of saccadic and smooth pursuit eye movement coordination during
visual tracking of unpredictably moving targets.
AB - How does the brain coordinate saccadic and smooth pursuit eye movements to track
objects that move in unpredictable directions and speeds? Saccadic eye movements
rapidly foveate peripheral visual or auditory targets, and smooth pursuit eye
movements keep the fovea pointed toward an attended moving target. Analyses of
tracking data in monkeys and humans reveal systematic deviations from predictions
of the simplest model of saccade-pursuit interactions, which would use no
interactions other than common target selection and recruitment of shared
motoneurons. Instead, saccadic and smooth pursuit movements cooperate to cancel
errors of gaze position and velocity, and thus to maximize target visibility
through time. How are these two systems coordinated to promote visual
localization and identification of moving targets? How are saccades calibrated to
correctly foveate a target despite its continued motion during the saccade? The
neural model proposed here answers these questions. Modeled interactions
encompass motion processing areas MT, MST, FPA, DLPN and NRTP; saccade planning
and execution areas FEF, LIP, and SC; the saccadic generator in the brain stem;
and the cerebellum. Simulations illustrate the model's ability to functionally
explain and quantitatively simulate anatomical, neurophysiological and behavioral
data about coordinated saccade-pursuit tracking.
PMID- 22078465
TI - CYR61 controls p53 and NF-kappaB expression through PI3K/Akt/mTOR pathways in
carboplatin-induced ovarian cancer cells.
AB - CYR61 over-expression promotes cell proliferation by inhibiting carboplatin
induced apoptosis, decreasing Bax expression, and increasing Bcl-xL, Mcl-1, and
Bcl-2. At the same time, down-regulating p53 expression, while up-regulated NF
kappaB expression. Additionally, p21 and p53 promoter activities were reduced,
while NF-kappaB and Bcl-2 activities increased. In parallel, CYR61-expressing
cells, during carboplatin-induced apoptosis, resulted in an increase of Akt
phosphorylation, while rapamycin-treated cells were not affected. Carboplatin
effectively inhibited the activation of mTOR signaling cascade, which includes
mTOR, 4E-BP1, p70S6K, HIF-1alpha, and VEGF. These results provide evidence that
CYR61 promotes cell proliferation and inhibits apoptosis.
PMID- 22078466
TI - Strategy for improving extracellular lipolytic activities by a novel
thermotolerant Staphylococcus sp. strain.
AB - BACKGROUND: Extracellular bacterial lipases received much attention for their
substrate specificity and their ability to function under extreme environments
(pH, temperature...). Many staphylococci produced lipases which were released
into the culture medium. Reports of extracellular thermostable lipases from
Staphylococcus sp. and active in alkaline conditions are not previously
described. RESULTS: This study focused on novel strategies to increase
extracellular lipolytic enzyme production by a novel Staphylococcus sp. strain
ESW. The microorganism needed neutral or alkaline pH values between 7.0 and 12.0
for growth. For pH values outside this range, cell growth seemed to be
significantly inhibited. Staphylococcus sp. culture was able to grow within a
wide temperature range (from 30 to 55 degrees C). The presence of oils in the
culture medium leaded to improvements in cells growth and lipolytic enzyme
activity. On the other hand, although chemical surfactants leaded to an almost
complete inhibition of growth and lipolytic enzyme production, their addition
along the culture could affect the location of the enzyme. In addition, our
results showed that this novel Staphylococcus sp. strain produced biosurfactants
simultaneously with lipolytic activity, when soapstock (The main co-product of
the vegetable oil refining industry), was used as the sole carbon source.
CONCLUSION: A simultaneous biosurfactant and extracellular lipolytic enzymes
produced bacterial strain with potential application in soap stock treatment.
PMID- 22078467
TI - Tyrosine phosphorylation of cortactin by the FAK-Src complex at focal adhesions
regulates cell motility.
AB - BACKGROUND: Cell migration plays an important role in many physiological and
pathological processes, including immune cell chemotaxis and cancer metastasis.
It is a coordinated process that involves dynamic changes in the actin
cytoskeleton and its interplay with focal adhesions. At the leading edge of a
migrating cell, it is the re-arrangement of actin and its attachment to focal
adhesions that generates the driving force necessary for movement. However, the
mechanisms involved in the attachment of actin filaments to focal adhesions are
still not fully understood. RESULTS: Signaling by the FAK-Src complex plays a
crucial role in regulating the formation of protein complexes at focal adhesions
to which the actin filaments are attached. Cortactin, an F-actin associated
protein and a substrate of Src kinase, was found to interact with FAK through its
SH3 domain and the C-terminal proline-rich regions of FAK. We found that the
autophosphorylation of Tyr(397) in FAK, which is necessary for FAK activation,
was not required for the interaction with cortactin, but was essential for the
tyrosine phosphorylation of the associated cortactin. At focal adhesions,
cortactin was phosphorylated at tyrosine residues known to be phosphorylated by
Src. The tyrosine phosphorylation of cortactin and its ability to associate with
the actin cytoskeleton were required in tandem for the regulation of cell
motility. Cell motility could be inhibited by truncating the N-terminal F-actin
binding domains of cortactin or by blocking tyrosine phosphorylation
(Y421/466/475/482F mutation). In addition, the mutant cortactin phosphorylation
mimic (Y421/466/475/482E) had a reduced ability to interact with FAK and promoted
cell motility. The promotion of cell motility by the cortactin phosphorylation
mimic could also be inhibited by truncating its N-terminal F-actin binding
domains. CONCLUSIONS: Our results suggest that cortactin acts as a bridging
molecule between actin filaments and focal adhesions. The cortactin N-terminus
associates with F-actin, while its C-terminus interacts with focal adhesions. The
tyrosine phosphorylation of cortactin by the FAK-Src complex modulates its
interaction with FAK and increases its turnover at focal adhesions to promote
cell motility.
PMID- 22078468
TI - Predominant localization of the major Alternaria allergen Alt a 1 in the cell
wall of airborne spores.
PMID- 22078469
TI - Predetermined challenge eligibility and cessation criteria for oral food
challenges in the HealthNuts population-based study of infants.
PMID- 22078470
TI - Lung function after viral early childhood wheezing.
PMID- 22078473
TI - Comparing activation and recoil forces generated by epinephrine autoinjectors and
their training devices.
PMID- 22078471
TI - Excellent survival after sibling or unrelated donor stem cell transplantation for
chronic granulomatous disease.
AB - BACKGROUND: Matched related donor (MRD) hematopoietic stem cell transplantation
(HSCT) is a successful treatment for chronic granulomatous disease (CGD), but the
safety and efficacy of HSCT from unrelated donors is less certain. OBJECTIVE: We
evaluated the outcomes and overall survival in patients with CGD after HSCT.
METHODS: We report the outcomes for 11 children undergoing HSCT from an MRD (n =
4) or an HLA-matched unrelated donor (MUD) (n = 7); 9 children were boys, and the
median age was 3.8 years (range, 1-13 years). We treated both X-linked (n = 9)
and autosomal recessive (n = 2) disease. Nine children had serious clinical
infections before transplantation. The conditioning regimens contained busulfan,
cyclophosphamide, cytarabine, or fludarabine according to the donor used. All
patients received alemtuzumab (anti-CD52 antibody). Additional graft-versus-host
disease (GvHD) prophylaxis included cyclosporine and methotrexate for MUD
recipients and cyclosporine and prednisone for MRD recipients. RESULTS:
Neutrophil recovery took a median of 16 days (range, 12-40 days) and 18 days
(range, 13-24 days) for MRD and MUD recipients, respectively. Full donor
neutrophil engraftment occurred in 9 patients, and 2 had stable mixed chimerism;
all patients had sustained correction of neutrophil oxidative burst defect. Four
patients had grade I skin acute GVHD responding to topical treatment. No patient
had grade II to IV acute GvHD or chronic GvHD. All patients are alive between 1
and 8 years after HSCT. CONCLUSION: For CGD, equivalent outcomes can be obtained
with MRD or MUD stem cells, and HSCT should be considered an early treatment
option.
PMID- 22078474
TI - Aggression.
PMID- 22078475
TI - Evolutionary aspects of aggression the importance of sexual selection.
AB - Aggressive behaviors in animals, for example, threat, attack, and defense, are
commonly related to competition over resources, competition over mating
opportunities, or fights for survival. In this chapter, we focus on aggressive
competition over mating opportunities, since this competition explains much of
the distribution of weaponry and large body size, but also because this type of
competition sheds light on the sex skew in the use of violence in mammals,
including humans. Darwin (1871) termed this type of natural selection, where
differences in reproductive success are caused by competition over mates, sexual
selection. Not all species have a pronounced competition over mates, however.
Instead, this aspect of sociality is ultimately determined by ecological factors.
In species where competition over mates is rampant, this has evolutionary effects
on weaponry and body size such that males commonly bear more vicious weapons and
are larger than females. A review of sexual selection in mammals reveals how
common aggressive competition over mating opportunities is in this group. Nearly
half of all mammal species exhibit male-biased sexual size dimorphism, a pattern
that is clearly linked to sexual selection. Sexual selection is also common in
primates, where it has left clear historical imprints in body mass differences,
in weaponry differences (canines), and also in brain structure differences.
However, when comparing humans to our closest living primate relatives, it is
clear that the degree of male sexual competition has decreased in the hominid
lineage. Nevertheless, our species displays dimorphism, polygyny, and sex
specific use of violence typical of a sexually selected mammal. Understanding the
biological background of aggressive behaviors is fundamental to understanding
human aggression.
PMID- 22078476
TI - Signaling aggression.
AB - From psychological and sociological standpoints, aggression is regarded as
intentional behavior aimed at inflicting pain and manifested by hostility and
attacking behaviors. In contrast, biologists define aggression as behavior
associated with attack or escalation toward attack, omitting any stipulation
about intentions and goals. Certain animal signals are strongly associated with
escalation toward attack and have the same function as physical attack in
intimidating opponents and winning contests, and ethologists therefore consider
them an integral part of aggressive behavior. Aggressive signals have been molded
by evolution to make them ever more effective in mediating interactions between
the contestants. Early theoretical analyses of aggressive signaling suggested
that signals could never be honest about fighting ability or aggressive
intentions because weak individuals would exaggerate such signals whenever they
were effective in influencing the behavior of opponents. More recent game theory
models, however, demonstrate that given the right costs and constraints,
aggressive signals are both reliable about strength and intentions and effective
in influencing contest outcomes. Here, we review the role of signaling in lieu of
physical violence, considering threat displays from an ethological perspective as
an adaptive outcome of evolutionary selection pressures. Fighting prowess is
conveyed by performance signals whose production is constrained by physical
ability and thus limited to just some individuals, whereas aggressive intent is
encoded in strategic signals that all signalers are able to produce. We
illustrate recent advances in the study of aggressive signaling with case studies
of charismatic taxa that employ a range of sensory modalities, viz. visual and
chemical signaling in cephalopod behavior, and indicators of aggressive intent in
the territorial calls of songbirds.
PMID- 22078477
TI - Self-structuring properties of dominance hierarchies a new perspective.
AB - Using aggressive behavior, animals of many species establish dominance
hierarchies in both nature and the laboratory. Rank in these hierarchies
influences many aspects of animals' lives including their health, physiology,
weight gain, genetic expression, and ability to reproduce and raise viable
offspring. In this chapter, we define dominance relationships and dominance
hierarchies, discuss several model species used in dominance studies, and
consider factors that predict the outcomes of dominance encounters in dyads and
small groups of animals. Researchers have shown that individual differences in
attributes, as well as in states (recent behavioral experiences), influence the
outcomes of dominance encounters in dyads. Attributes include physical,
physiological, and genetic characteristics while states include recent
experiences such as winning or losing earlier contests. However, surprisingly, we
marshal experimental and theoretical evidence to demonstrate that these
differences have significantly less or no ability to predict the outcomes of
dominance encounters for animals in groups as small as three or four individuals.
Given these results, we pose an alternative research question: How do animals of
so many species form hierarchies with characteristic linear structures despite
the relatively low predictability based upon individual differences? In answer to
this question, we review the evidence for an alternative approach suggesting that
dominance hierarchies are self-structuring. That is, we suggest that linear forms
of organization in hierarchies emerge from several kinds of behavioral processes,
or sequences of interaction, that are common across many different species of
animals from ants to chickens and fish and even some primates. This new approach
inspires a variety of further questions for research.
PMID- 22078478
TI - Neurogenomic mechanisms of aggression in songbirds.
AB - Our understanding of the biological basis of aggression in all vertebrates,
including humans, has been built largely upon discoveries first made in birds. A
voluminous literature now indicates that hormonal mechanisms are shared between
humans and a number of avian species. Research on genetics mechanisms in birds
has lagged behind the more typical laboratory species because the necessary tools
have been lacking until recently. Over the past 30 years, three major technical
advances have propelled forward our understanding of the hormonal, neural, and
genetic bases of aggression in birds: (1) the development of assays to measure
plasma levels of hormones in free-living individuals, or "field endocrinology";
(2) the immunohistochemical labeling of immediate early gene products to map
neural responses to social stimuli; and (3) the sequencing of the zebra finch
genome, which makes available a tremendous set of genomic tools for studying gene
sequences, expression, and chromosomal structure in species for which we already
have large datasets on aggressive behavior. This combination of hormonal,
neuroendocrine, and genetic tools has established songbirds as powerful models
for understanding the neural basis and evolution of aggression in vertebrates. In
this chapter, we discuss the contributions of field endocrinology toward a
theoretical framework linking aggression with sex steroids, explore evidence that
the neural substrates of aggression are conserved across vertebrate species, and
describe a promising new songbird model for studying the molecular genetic
mechanisms underlying aggression.
PMID- 22078480
TI - The neurochemistry of human aggression.
AB - Various data from scientific research studies conducted over the past three
decades suggest that central neurotransmitters play a key role in the modulation
of aggression in all mammalian species, including humans. Specific
neurotransmitter systems involved in mammalian aggression include serotonin,
dopamine, norepinephrine, GABA, and neuropeptides such as vasopressin and
oxytocin. Neurotransmitters not only help to execute basic behavioral components
but also serve to modulate these preexisting behavioral states by amplifying or
reducing their effects. This chapter reviews the currently available data to
present a contemporary view of how central neurotransmitters influence the
vulnerability for aggressive behavior and/or initiation of aggressive behavior in
social situations. Data reviewed in this chapter include emoiric information from
neurochemical, pharmaco-challenge, molecular genetic and neuroimaging studies.
PMID- 22078479
TI - Genetics of aggression in voles.
AB - Prairie voles (Microtus ochrogaster) are socially monogamous rodents that form
pair bonds-a behavior composed of several social interactions including
attachment with a familiar mate and aggression toward conspecific strangers.
Therefore, this species has provided an excellent opportunity for the study of
pair bonding behavior and its underlying neural mechanisms. In this chapter, we
discuss the utility of this unique animal model in the study of aggression and
review recent findings illustrating the neurochemical mechanisms underlying pair
bonding-induced aggression. Implications of this research for our understanding
of the neurobiology of human violence are also discussed.
PMID- 22078482
TI - Perinatal risk factors in the development of aggression and violence.
AB - Over the past several decades, the relative contribution of both environmental
and genetic influences in the development of aggression and violence has been
explored extensively. Only fairly recently, however, has it become increasingly
evident that early perinatal life events may substantially increase the
vulnerability toward the development of violent and aggressive behaviors in
offspring across the lifespan. Early life risk factors, such as pregnancy and
birth complications and intrauterine exposure to environmental toxins, appear to
have a profound and enduring impact on the neuroregulatory systems mediating
violence and aggression, yet the emergence of later adverse behavioral outcomes
appears to be both complex and multidimensional. The present chapter reviews
available experimental and clinical findings to provide a framework on perinatal
risk factors that are associated with altered developmental trajectories leading
to violence and aggression, and also highlights the genetic contributions in the
expression of these behaviors.
PMID- 22078481
TI - Human aggression across the lifespan: genetic propensities and environmental
moderators.
AB - This chapter reviews the recent evidence of genetic and environmental influences
on human aggression. Findings from a large selection of the twin and adoption
studies that have investigated the genetic and environmental architecture of
aggressive behavior are summarized. These studies together show that about half
(50%) of the variance in aggressive behavior is explained by genetic influences
in both males and females, with the remaining 50% of the variance being explained
by environmental factors not shared by family members. Form of aggression
(reactive, proactive, direct/physical, indirect/relational), method of assessment
(laboratory observation, self-report, ratings by parents and teachers), and age
of the subjects-all seem to be significant moderators of the magnitude of genetic
and environmental influences on aggressive behavior. Neither study design (twin
vs. sibling adoption design) nor sex (male vs. female) seems to impact the
magnitude of the genetic and environmental influences on aggression. There is
also some evidence of gene-environment interaction (G * E) from both
twin/adoption studies and molecular genetic studies. Various measures of family
adversity and social disadvantage have been found to moderate genetic influences
on aggressive behavior. Findings from these G * E studies suggest that not all
individuals will be affected to the same degree by experiences and exposures, and
that genetic predispositions may have different effects depending on the
environment.
PMID- 22078483
TI - Neurocriminology.
AB - In the past several decades there has been an explosion of research into the
biological correlates to antisocial behavior. This chapter reviews the state of
current research on the topic, including a review of the genetics, neuroimaging,
neuropsychological, and electrophysiological studies in delinquent and antisocial
populations. Special attention is paid to the biopsychosocial model and gene
environment interactions in producing antisocial behavior.
PMID- 22078484
TI - Prognostic significance of weight changes during treatment of feline lymphoma.
AB - The study purpose was to determine the prognostic significance of weight changes
during feline lymphoma treatment. A secondary purpose was to compare weight
changes according to baseline body weight, cell type and location. Records of 209
cats treated for lymphoma with chemotherapy from 1995 to 2007 were evaluated.
Signalment, cell type, lymphoma location, baseline body weight, weight during
treatment, and outcome information were collected. Lymphoma specific survival
(LSS) was compared according to baseline weight and weight changes during
treatment. Weight change over time was compared according to cell type (small
versus large), location (gastrointestinal versus non-gastrointestinal) and
baseline weight. Cats with large cell lymphoma that lost >= 5% body weight at 1
month had significantly shorter LSS than those that gained or had stable weight
(P = 0.004). Percentage weight change over time differed significantly according
to baseline weight group. These findings demonstrate the prognostic importance of
weight loss in feline large cell lymphoma.
PMID- 22078486
TI - VCP mutations in familial and sporadic amyotrophic lateral sclerosis.
AB - Mutations in the valosin-containing protein (VCP) gene were recently reported to
be the cause of 1%-2% of familial amyotrophic lateral sclerosis (ALS) cases. VCP
mutations are known to cause inclusion body myopathy (IBM) with Paget's disease
(PDB) and frontotemporal dementia (FTD). The presence of VCP mutations in
patients with sporadic ALS, sporadic ALS-FTD, and progressive muscular atrophy
(PMA), a known clinical mimic of inclusion body myopathy, is not known. To
determine the identity and frequency of VCP mutations we screened a cohort of 93
familial ALS, 754 sporadic ALS, 58 sporadic ALS-FTD, and 264 progressive muscular
atrophy patients for mutations in the VCP gene. Two nonsynonymous mutations were
detected; 1 known mutation (p.R159H) in a patient with familial ALS with several
family members suffering from FTD, and 1 mutation (p.I114V) in a patient with
sporadic ALS. Conservation analysis and protein prediction software indicate the
p.I114V mutation to be a rare benign polymorphism. VCP mutations are a rare cause
of familial ALS. The role of VCP mutations in sporadic ALS, if present, appears
limited.
PMID- 22078485
TI - Coronary risk correlates with cerebral amyloid deposition.
AB - This study investigated the hypothesis that vascular risk factors are
amyloidogenic. Participants were 43 persons, most with normal cognition or mild
cognitive impairment. Vascular risk was quantified using the Framingham Coronary
Risk Profile (FCRP) score. Cerebral amyloid was measured by [(11)C]Pittsburgh
compound B (PIB) positron emission tomography (PET) and quantified with a Global
PIB index, which is the average of distribution volume ratios in selected
cortical regions of interest. In a bivariate model FCRP accounted for 16% of the
variance in PIB index (p < 0.008) and the positive association remained
significant controlling for age and sex. The effect of FCRP was independent of
apolipoprotein E (APOE) genotype, which was also associated as expected with PIB.
Carotid intima-media thickness was not associated with PIB index. Effects of
individual FCRP component risk factors, cholesterol, and glycemic status on PIB
index were all nonsignificant, suggesting an aggregate effect of risk factors.
Although this is a correlational observation it may represent a causal
relationship as there are multiple, plausible, amyloidogenic mechanisms of
vascular risk factors.
PMID- 22078487
TI - Targeting poly(ADP-ribose) polymerase-1 as a promising approach for
immunomodulation in multiple sclerosis?
AB - Despite significant advancement in developing therapies for multiple sclerosis
(MS), drugs that cure this devastating disorder are an unmet need. Among the
remedies showing efficacy in preclinical MS models, inhibitors of poly(ADP
ribose) polymerase (PARP)-1 have gained great momentum. Emerging evidence
demonstrates that PARP-1 inhibitors epigenetically regulate gene expression and
finely tune transcriptional activation in immune and neural cells. In this
review, we present an appraisal of the effects of PARP-1 and its inhibitors on
immune activation, with particular emphasis on the processes taking place during
the autoimmune attack directed against the central nervous system. One
explanation is that drugs inhibiting PARP-1 activity protect from
neuroinflammation in MS models via immunomodulation and direct neuroprotection.
PARP-1 inhibitors have already reached the clinical arena as cancer treatments,
and observations made in treating these patients could help advance treatments
for MS.
PMID- 22078488
TI - Prognostic factors for short-term improvement in acute and persistent
musculoskeletal pain consulters in primary care.
AB - BACKGROUND: Given the costs associated with the management of musculoskeletal
pain in primary care, predicting the course of these conditions remains a
research priority. Much of the research into prognostic indicators however
considers musculoskeletal conditions in terms of single pain sites whereas in
reality, many patients present with pain in more than one site. The aim of this
study was to identify prognostic factors for early improvement in primary care
consulters with acute and persistent musculoskeletal conditions across a range of
pain sites. METHODS: Consecutive patients with a new episode of musculoskeletal
pain completed self-report questionnaires at baseline, and then again at the
4/5th treatment visit, and if they were still consulting, at the 10th visit. The
outcome was defined as patient self-report improvement sufficient to make a
meaningful difference. Independent predictors of outcome were identified using
multivariate regression analyses. RESULTS: Acute (<7 weeks) patients, on average,
had more severe conditions in terms of pain, disability, anxiety and work fear
avoidance behaviour than patients with persistent (>=7 weeks) pain, but were more
likely to be better by the 4/5th visit. Several variables at baseline were
associated with improvement at the 4/5th visit, but the predictive models were
weak and unable to discriminate between patients who were improved and those who
were not. In contrast, it was possible to elicit a predictive model for
improvement later on at the 10th visit, but only in patients with persistent
pain. Being employed, reporting a decline in work fear-avoidance behaviour at the
4/5th visit, and being better by the 4/5th visit, were all independently
associated with improvement. This model accounted for 34.3% (p < 0.001) of the
variation in observed improvement, and had good discriminative ability (the area
under receiver operating characteristic (ROC) curve was 0.80 (95%CI 0.73 to
0.86)) and approximate balance in correctly identifying improved and non-improved
cases (79.0% and 68% respectively). CONCLUSIONS: We were unable to identify
baseline characteristics that predicted early outcome in musculoskeletal pain
patients. However, early self-reported improvement and decline in work fear
avoidance behaviour as predictors of later improvement highlighted the importance
of speedy recovery in persistent musculoskeletal pain consulters. Our findings
reinforce the elusive nature of baseline predictors, and the need for more
emphasis on early changes as prognostic predictors in musculoskeletal conditions.
PMID- 22078489
TI - A kinetic study of SDF-1, VEGF and MCP-1 blood and tissue levels after aortic
transplantation in mice.
AB - Vascular rejection is characterized by intimal proliferation and perivascular
inflammation. We hypothesize that recipient stem cell therapy could prevent or
ameliorate the development of the obliterative lesion. We studied the kinetic
expression of three cytokines (SDF-1, MCP-1, VEGF) implicated in mobilization,
homing and differentiation of progenitor cells during vascular aggression. An
aortic allograft mouse model was used (BALBc donor-C57BL6/j recipient). Ten mice
were sacrificed at Day 0, D1, D3, D6, D9, D12, and D20. Cytokine rates were
measured in blood and in graft tissue by an ELISA technique. Results showed that
in the allograft, SDF-1 and VEGF tissue levels were significantly increased at
D12 as compared to the isograft (SDF-1: 22.16 ng/mg vs. 5.69 ng/mg, t=3.38; VEGF:
28.3 pg/mg vs. 9.3 pg/mg, t=3.06). In allografted and isografted groups, MCP-1
tissue levels were higher at D0 as compared to the other time points, without any
difference between the two groups. These results prompt us to consider cell
therapy at D0 and D12 in this mouse model of aortic graft.
PMID- 22078491
TI - Dissolution kinetics of Pd and Pt from automobile catalysts by naturally
occurring complexing agents.
AB - Powder samples prepared from gasoline (Pt, Pd, Rh, new GN/old GO) and diesel (Pt,
new DN/old DO) catalysts and recycled catalyst NIST 2556 were tested using
kinetic leaching experiments following 1, 12, 24, 48, 168, 360, 720 and 1440-h
interactions with solutions of 20mM citric acid (CA), 20 mM Na(2)P(4)O(7)
(NaPyr), 1 g L(-1) NaCl (NaCl), a fulvic acid solution (FA-DOC 50 mg L(-1)) and
20 mM CA at pH 3, 4, 5, 6, 7, 8 and 9. The mobilisation of platinum group
elements (PGEs) was fastest in solutions of CA and NaPyr. In the other
interactions (NaCl, FA), the release of PGEs was probably followed by
immobilisation processes, and the interactions were not found to correspond to
the simple release of PGEs into solution. Because of their low concentrations,
the individual complexing agents did not have any effect on the speciation of Pd
and Pt in the extracts; both metals are present in solution as the complexes
Me(OH)(2), Me(OH)(+). Immobilisation can take place through the adsorption of the
positively charged hydroxyl complexes or flocculation of fulvic acid, complexing
the PGEs on the surface of the extracted catalysts. The calculated normalised
bulk released NRi values are similar to the reaction rate highest in the
solutions of CA and NaPyr.
PMID- 22078492
TI - The use of carbon black to catalyze the reduction of nitrobenzenes by sulfides.
AB - Using carbon black (CB) as catalyst, the reduction of nitrobenzenes (NBs) to
anilines by sulfides at room temperature was studied. In the reactions, CB serves
as an intermedium to accelerate the reduction of NBs by sulfides. In the presence
of 0.3g/L CB and 3.0 mM sulfides at pH 7.0 and 25 degrees C, our results showed
that CB-catalyzed reduction of NBs were pseudo-first order. The reduction rate
constant of nitrobenzene was 0.0367 h(-1) in the presence of CB-1, which was 10
times more than the reduction rate constant in the absence of CB-1. Other
experiments of different CB samples produced by different methods and different
raw materials indicated that some active oxygenated functional groups on CB
surface should be the reactive sites and play the dominant role in catalyzing the
reduction of NBs. The catalytic reactions of different NBs by sulfides indicated
that the reduction rate constants of chloronitrobenzenes to chloroanilines were
greater than those of methylnitrobenzenes to methylanilines. And due to the
effect of different substituent positions, the nitro group with meta substituent
was reduced most easily while the nitro group with ortho substituent was reduced
most difficulty.
PMID- 22078490
TI - Effectiveness of a structured motivational intervention including smoking
cessation advice and spirometry information in the primary care setting: the
ESPITAP study.
AB - BACKGROUND: There is current controversy about the efficacy of smoking cessation
interventions that are based on information obtained by spirometry. The objective
of this study is to evaluate the effectiveness in the primary care setting of
structured motivational intervention to achieve smoking cessation, compared with
usual clinical practice. METHODS: DESIGN: Multicentre randomized clinical trial
with an intervention and a control group. SETTING: 12 primary care centres in the
province of Tarragona (Spain). SUBJECTS OF STUDY: 600 current smokers aged
between 35 and 70 years with a cumulative habit of more than 10 packs of
cigarettes per year, attended in primary care for any reason and who did not meet
any of the exclusion criteria for the study, randomly assigned to structured
intervention or standard clinical attention. INTERVENTION: Usual advice to quit
smoking by a general practitioner as well as a 20-minute personalized visit to
provide detailed information about spirometry results, during which FEV1, FVC,
FEF 25-75% and PEF measurements were discussed and interpreted in terms of
theoretical values. Additional information included the lung age index (defined
as the average age of a non-smoker with the same FEV1 as the study participant),
comparing this with the chronological age to illustrate the pulmonary
deterioration that results from smoking. MEASUREMENTS: Spirometry during the
initial visit. Structured interview questionnaire administered at the primary
care centre at the initial visit and at 12-month follow-up. Telephone follow-up
interview at 6 months. At 12-month follow-up, expired CO was measured in patients
who claimed to have quit smoking. MAIN VARIABLES: Smoking cessation at 12 months.
ANALYSIS: Data will be analyzed on the basis of "intention to treat" and the unit
of analysis will be the individual smoker. EXPECTED RESULTS: Among active smokers
treated in primary care we anticipate significantly higher smoking cessation in
the intervention group than in the control group. DISCUSSION: Application of a
motivational intervention based on structured information about spirometry
results, improved abstinence rates among smokers seen in actual clinical practice
conditions in primary care. TRIAL REGISTRATION: ClinicalTrial.gov, number
NCT01194596.
PMID- 22078493
TI - Left ventricular outflow tract: intraoperative measurement and changes caused by
mitral valve surgery.
AB - BACKGROUND: The impact of mitral valve surgery on left ventricular outflow tract
(LVOT) dimensions is unclear. Real-time three-dimensional transesophageal
echocardiography permits excellent visualization of the LVOT and might improve
standard two-dimensional measurements. In this study, LVOT area and shape were
assessed before and after mitral valve surgery. METHODS: Thirty-five patients
undergoing mitral valve repair or replacement were retrospectively included in
the study and compared with 15 patients undergoing coronary artery bypass
grafting. LVOT area was measured by planimetry. Maximum possible methodologic
errors by assuming a circular LVOT and an eccentricity index were calculated.
LVOT diameter in a midesophageal long-axis view served to calculate the error for
the circular LVOT determined in common intraoperative practice. RESULTS: Common
intraoperative two-dimensional measurements underestimated actual LVOT area by
21%. Mitral valve surgery led to a significant reduction of LVOT area by 7%.
Although LVOT height remained unchanged, width decreased from 2.72 to 2.53 cm (
7%), resulting in a more circular shape of the LVOT. This effect was more
pronounced the smaller the size of the implanted annuloplasty ring or prosthesis.
Coronary artery bypass grafting did not affect the LVOT. Left ventricular
ejection fraction was significantly correlated with LVOT eccentricity. Impaired
ventricular function and higher end-systolic volumes were associated with a
rounder shape. CONCLUSIONS: The eccentric LVOT shape leads to a distinct
underestimation of its area with two-dimensional measurements. LVOT eccentricity
is less distinct in patients with low ejection fractions and higher end-systolic
volumes. LVOT width is decreased through annuloplasty rings and prostheses, and
the smaller the implanted device, the more profound the reduction.
PMID- 22078494
TI - Probucol alleviates atherosclerosis and improves high density lipoprotein
function.
AB - BACKGROUND: Probucol is a unique hypolipidemic agent that decreases high density
lipoprotein cholesterol (HDL-C). However, it is not definite that whether
probucol hinders the progression of atherosclerosis by improving HDL function.
METHODS: Eighteen New Zealand White rabbits were randomly divided into the
control, atherosclerosis and probucol groups. Control group were fed a regular
diet; the atherosclerosis group received a high fat diet, and the probucol group
received the high fat diet plus probucol. Hepatocytes and peritoneal macrophages
were isolated for [(3)H] labeled cholesterol efflux rates and expression of ABCA1
and SR-B1 at gene and protein levels; venous blood was collected for serum
paraoxonase 1, myeloperoxidase activity and lipid analysis. Aorta were prepared
for morphologic and immunohistochemical analysis after 12 weeks. RESULTS:
Compared to the atherosclerosis group, the paraoxonase 1 activity, cholesterol
efflux rates, expression of ABCA1 and SR-BI in hepatocytes and peritoneal
macrophages, and the level of ABCA1 and SR-BI in aortic lesions were remarkably
improved in the probucol group, But the serum HDL cholesterol concentration,
myeloperoxidase activity, the IMT and the percentage plaque area of aorta were
significantly decreased. CONCLUSION: Probucol alleviated atherosclerosis by
improving HDL function. The mechanisms include accelerating the process of
reverse cholesterol transport, improving the anti-inflammatory and anti-oxidant
functions.
PMID- 22078495
TI - Association of an ACSL1 gene variant with polyunsaturated fatty acids in bovine
skeletal muscle.
AB - BACKGROUND: The intramuscular fat deposition and the fatty acid profiles of beef
affect meat quality. High proportions of unsaturated fatty acids are related to
beef flavor and are beneficial for the nutritional value of meat. Moreover, a
variety of clinical and epidemiologic studies showed that particularly long-chain
omega-3 fatty acids from animal sources have a positive impact on human health
and disease. RESULTS: To screen for genetic factors affecting fatty acid profiles
in beef, we initially performed a microsatellite-based genome scan in a F(2)
Charolais * German Holstein resource population and identified a quantitative
trait locus (QTL) for fatty acid composition in a region on bovine chromosome 27
where previously QTL affecting marbling score had been detected in beef cattle
populations. The long-chain acyl-CoA synthetase 1 (ACSL1) gene was identified as
the most plausible functional and positional candidate gene in the QTL interval
due to its direct impact on fatty acid metabolism and its position in the QTL
interval. ACSL1 is necessary for synthesis of long-chain acyl-CoA esters, fatty
acid degradation and phospholipid remodeling. We validated the genomic annotation
of the bovine ACSL1 gene by in silico comparative sequence analysis and
experimental verification. Re-sequencing of the complete coding, exon-flanking
intronic sequences, 3' untranslated region (3'UTR) and partial promoter region of
the ACSL1 gene revealed three synonymous mutations in exons 6, 7, and 20, six
noncoding intronic gene variants, six polymorphisms in the promoter region, and
four variants in the 3' UTR region. The association analysis identified the gene
variant in intron 5 of the ACSL1 gene (c.481-233A>G) to be significantly
associated with the relative content of distinct fractions and ratios of fatty
acids (e.g., n-3 fatty acids, polyunsaturated, n-3 long-chain polyunsaturated
fatty acids, trans vaccenic acid) in skeletal muscle. A tentative association of
the ACSL1 gene variant with intramuscular fat content indicated that an indirect
effect on fatty acid composition via modulation of total fat content of skeletal
muscle cannot be excluded. CONCLUSIONS: The initial QTL analysis suggested the
ACSL1 gene as a positional and functional candidate gene for fatty acid
composition in bovine skeletal muscle. The findings of subsequent association
analyses indicate that ACSL1 or a separate gene in close proximity might play a
functional role in mediating the lipid composition of beef.
PMID- 22078497
TI - Occurrence, types and severity of associated injuries of paediatric patients with
fractures of the frontal skull base.
AB - OBJECTIVES: To clarify the occurrence, sites, and types of associated injuries in
paediatric patients with fractures of the anterior skull base. STUDY DESIGN:
Retrospective analysis of files of 49 patients aged up to 18 years. RESULTS:
Associated injuries were observed in 91.8% of the patients. Observed most
frequently were fractures of the skull vault (85.7%), brain injury (59.2%),
facial fracture (42.9%), lung contusion (18.4%) and fractures of the upper
extremities (14.3%). Multiple injuries were observed in 55.1% and polytrauma in
42.9%. The mortality rate was 10.2%. CONCLUSIONS: Paediatric patients with
fractures of the anterior skull base frequently present with associated injuries,
with many having, multiple associated injuries including polytrauma. These
patients should be treated in multidisciplinary trauma units.
PMID- 22078496
TI - Molecular basis for interaction of let-7 microRNAs with Lin28.
AB - MicroRNAs (miRNAs) are small noncoding RNA molecules that regulate gene
expression. Among these, members of the let-7 miRNA family control many cell-fate
determination genes to influence pluripotency, differentiation, and
transformation. Lin28 is a specific, posttranscriptional inhibitor of let-7
biogenesis. We report crystal structures of mouse Lin28 in complex with sequences
from let-7d, let-7-f1, and let-7 g precursors. The two folded domains of Lin28
recognize two distinct regions of the RNA and are sufficient for inhibition of
let-7 in vivo. We also show by NMR spectroscopy that the linker connecting the
two folded domains is flexible, accommodating Lin28 binding to diverse let-7
family members. Protein-RNA complex formation imposes specific conformations on
both components that could affect downstream recognition by other processing
factors. Our data provide a molecular explanation for Lin28 specificity and a
model for how it regulates let-7.
PMID- 22078498
TI - Three-dimensional analyses of facial soft tissue configuration of Japanese
females with jaw deformity--a trial of polygonal view of facial soft tissue
deformity in orthognathic patients.
AB - In this study, we evaluated the three-dimensional (3D) soft tissue configuration
of Japanese females with/without jaw deformity in order to establish the
polygonal view of facial soft tissue deformity three-dimensionally. A polygonal
chart was applied to assess the outcomes of orthognathic surgery for patients
with mandibular hyperplasia with/without deviation. The study included 20
Japanese females with mandibular hyperplasia with/without deviation. All patients
received mandibular setback surgery, and 3D measurements were carried out pre
operation, and at 1, 3 and 6 months postoperatively using a non-contact laser
scanning system. Eighteen soft tissue landmarks were set on each 3D image and
used to calculate a set of selected parameters. As controls, 20 Japanese females
with class I occlusion were included. A polygonal chart was constructed based on
the mean and S.D. of the control group. Patients with mandibular protrusion
characteristically demonstrated significant variances in the items around the
lower face. In asymmetric patients, deviation in the mental area disappeared
postoperatively, but a small deviation remained when compared to the controls.
The method used in this study seems to be a useful index for diagnosis and as a
treatment plan for patients with mandibular hyperplasia with/without deviation.
PMID- 22078499
TI - The clinical application of the dental mini C-arm for the removal of broken
instruments in soft and hard tissue in the oral and maxillofacial area.
AB - Many kinds of broken instruments, such as needles, probes, scalpels and
catheters, are reported to be left in patients after surgery. These parts should
be removed as soon as possible to prevent further complications. However, it is
not easy to identify the exact location of the instrument intraoperatively, and a
risk of damage exists for neighbouring nerves or vessels during the removal. The
C-arm used in orthopaedic surgery, is seen as a useful and safe way to detect
metal materials intraoperatively. However, its application for removal of broken
instruments in the oral and maxillofacial area is not practical because of its
large size. In our experiences with the removal of eight broken instruments in
the oral and maxillofacial area, the newly developed dental mini C-arm was useful
in finding broken instruments in soft tissue (five cases) and in paranasal sinus
(one case), because it gives real time in situ information. This is important,
because the position of the broken instrument can be changed due to traction of
the soft tissue or swelling. The dental mini C-arm was less helpful in finding
broken instruments in soft tissues compared to hard tissues (two cases) as the
position of instruments did not change.
PMID- 22078500
TI - A statistical study of the factors influencing the extent of respiratory motion
blur in PET imaging.
AB - Respiratory motion results in significant motion blur in thoracic and abdomen PET
imaging. The extent of respiratory motion blur is mainly correlated with
breathing amplitude, tumor size and location. In this paper we introduce a
statistical study to quantitatively show the factors influencing the extent of
respiratory motion blur in thoracic PET images. The study is centered on two
regression models, one is linked with motion blur induced loss of mean
intensity(LMI), tumor motion magnitude and tumor size, and another is to
investigate the influence of tumor location, patient gender and patient height on
tumor motion magnitude. We use the blur identification and image restoration
technique to estimate the tumor motion and compute the LMI. The regression model
was validated by simulation and phantom data before extended to 39 cases of
clinical lung tumor PET images corrupted with blurring artifact. Results show
that the motion magnitude of lung tumor during breathing is 10.9+/-3.7mm in
transaxial plane, and it is significantly greater in lower lung lobes than in
upper lobes. The LMI is 7.1+/-2.4% in the region of interest (ROI) above 40% of
the image's maximum intensity. The least-square estimate of regression equations
demonstrates that LMI is proportional to tumor motion magnitude and is inversely
proportional to tumor size; the two factors play the same role in determining the
extent of respiratory motion blur in thoraco-abdominal PET imaging. The location
of tumor was shown as the major factor determining its motion magnitude, while
the influencing of patient gender and height on tumor motion was not shown
significant.
PMID- 22078501
TI - Special issue on techniques for measuring brain connectivity.
PMID- 22078502
TI - Motor neurons and the sense of place.
AB - Seventy years ago George Romanes began to document the anatomical organization of
the spinal motor system, uncovering a multilayered topographic plan that links
the clustering and settling position of motor neurons to the spatial arrangement
and biomechanical features of limb muscles. To this day, these findings have
provided a structural foundation for analysis of the neural control of movement
and serve as a guide for studies to explore mechanisms that direct the wiring of
spinal motor circuits. In this brief essay we outline the core of Romanes's
findings and place them in the context of recent studies that begin to provide
insight into molecular programs that assign motor pool position and to resolve
how motor neuron position shapes circuit assembly. Romanes's findings reveal how
and why neuronal positioning contributes to sensory-motor connectivity and may
have relevance to circuit organization in other regions of the central nervous
system.
PMID- 22078503
TI - Computational mechanisms of sensorimotor control.
AB - In order to generate skilled and efficient actions, the motor system must find
solutions to several problems inherent in sensorimotor control, including
nonlinearity, nonstationarity, delays, redundancy, uncertainty, and noise. We
review these problems and five computational mechanisms that the brain may use to
limit their deleterious effects: optimal feedback control, impedance control,
predictive control, Bayesian decision theory, and sensorimotor learning.
Together, these computational mechanisms allow skilled and fluent sensorimotor
behavior.
PMID- 22078504
TI - Neuroplasticity subserving motor skill learning.
AB - Recent years have seen significant progress in our understanding of the neural
substrates of motor skill learning. Advances in neuroimaging provide new insight
into functional reorganization associated with the acquisition, consolidation,
and retention of motor skills. Plastic changes involving structural
reorganization in gray and white matter architecture that occur over shorter time
periods than previously thought have been documented as well. Data from
experimental animals provided crucial information on plausible cellular and
molecular substrates contributing to brain reorganization underlying skill
acquisition in humans. Here, we review findings demonstrating functional and
structural plasticity across different spatial and temporal scales that mediate
motor skill learning while identifying converging areas of interest and possible
avenues for future research.
PMID- 22078505
TI - Neuronal basis for object location in the vibrissa scanning sensorimotor system.
AB - An essential issue in perception is how the location of an object is estimated
from tactile signals in the context of self-generated changes in sensor
configuration. Here, we review the pathways and dynamics of neuronal signals that
encode touch in the rodent vibrissa sensorimotor system. Rodents rhythmically
scan an array of long, facial hairs across a region of interest. Behavioral
evidence shows that these animals maintain knowledge of the azimuthal position of
their vibrissae. Electrophysiological measurements have identified a reafferent
signal of the azimuth that is coded in normalized coordinates, broadcast
throughout primary sensory cortex and provides strong modulation of signals of
vibrissa contact. Efferent signals in motor cortex report the range of the scan.
Collectively, these signals allow the rodent to form a percept of object
location.
PMID- 22078506
TI - Are we ready for a natural history of motor learning?
AB - Here we argue that general principles with regard to the contributions of the
cerebellum, basal ganglia, and primary motor cortex to motor learning can begin
to be inferred from explicit comparison across model systems and consideration of
phylogeny. Both the cerebellum and the basal ganglia have highly conserved
circuit architecture in vertebrates. The cerebellum has consistently been shown
to be necessary for adaptation of eye and limb movements. The precise
contribution of the basal ganglia to motor learning remains unclear but one
consistent finding is that they are necessary for early acquisition of novel
sequential actions. The primary motor cortex allows independent control of joints
and construction of new movement synergies. We suggest that this capacity of the
motor cortex implies that it is a necessary locus for motor skill learning, which
we argue is the ability to execute selected actions with increasing speed and
precision.
PMID- 22078508
TI - What is optimal about motor control?
AB - This article poses a controversial question: is optimal control theory useful for
understanding motor behavior or is it a misdirection? This question is becoming
acute as people start to conflate internal models in motor control and perception
(Poeppel et al., 2008; Hickok et al., 2011). However, the forward models in motor
control are not the generative models used in perceptual inference. This
Perspective tries to highlight the differences between internal models in motor
control and perception and asks whether optimal control is the right way to think
about things. The issues considered here may have broader implications for
optimal decision theory and Bayesian approaches to learning and behavior in
general.
PMID- 22078507
TI - Sensing with the motor cortex.
AB - The primary motor cortex is a critical node in the network of brain regions
responsible for voluntary motor behavior. It has been less appreciated, however,
that the motor cortex exhibits sensory responses in a variety of modalities
including vision and somatosensation. We review current work that emphasizes the
heterogeneity in sensorimotor responses in the motor cortex and focus on its
implications for cortical control of movement as well as for brain-machine
interface development.
PMID- 22078509
TI - The future of automated seizure detection and prediction: foreword.
PMID- 22078510
TI - Computational model prospective on the observation of proictal states in
epileptic neuronal systems.
AB - Epilepsy is a pathological condition of the human central nervous system in which
normal brain functions are impaired by unexpected transitions to states called
seizures. We developed a lumped neuronal model that has the property of switching
between two states as a result of intrinsic or extrinsic perturbations, such as
noisy fluctuations. In one version of the model, seizure risk is controlled by a
single connectivity parameter representing excitatory couplings between two model
lumps. We show that this risk can be reconstructed from calculation of the cross
covariance between the activities of the two neural populations during the
nonictal phase. In a second simulation sequence, we use a system of 10
interconnected lumps with randomly generated connectivity matrices. We show again
that the tendency to develop seizures can be inferred from the cross-covariances
calculated during the nonictal states. Our conclusion is that the risk of
epileptic transitions in biological systems can be objectively quantified. This
article is part of a Supplemental Special Issue entitled The Future of Automated
Seizure Detection and Prediction.
PMID- 22078511
TI - Electrical probing of cortical excitability in patients with epilepsy.
AB - Standard methods for seizure prediction involve passive monitoring of
intracranial electroencephalography (iEEG) in order to track the 'state' of the
brain. This paper introduces a new method for measuring cortical excitability
using an electrical probing stimulus. Electrical probing enables feature
extraction in a more robust and controlled manner compared to passively tracking
features of iEEG signals. The probing stimuli consist of 100 bi-phasic pulses,
delivered every 10 min. Features representing neural excitability are estimated
from the iEEG responses to the stimuli. These features include the amplitude of
the electrically evoked potential, the mean phase variance (univariate), and the
phase-locking value (bivariate). In one patient, it is shown how the features
vary over time in relation to the sleep-wake cycle and an epileptic seizure. For
a second patient, it is demonstrated how the features vary with the rate of
interictal discharges. In addition, the spatial pattern of increases and
decreases in phase synchrony is explored when comparing periods of low and high
interictal discharge rates, or sleep and awake states. The results demonstrate a
proof-of-principle for the method to be applied in a seizure anticipation
framework. This article is part of a Supplemental Special Issue entitled The
Future of Automated Seizure Detection and Prediction.
PMID- 22078512
TI - Anticipating the unobserved: prediction of subclinical seizures.
AB - Subclinical seizures (SCS) have rarely been considered in the diagnosis and
therapy of epilepsy and have not been systematically analyzed in studies on
seizure prediction. Here, we investigate whether predictions of subclinical
seizures are feasible and how their occurrence may affect the performance of
prediction algorithms. Using the European database of long-term recordings of
surface and invasive electroencephalography data, we analyzed the data from 21
patients with SCS, including in total 413 clinically manifest seizures (CS) and
3341 SCS. Based on the mean phase coherence we investigated the predictive
performance of CS and SCS. The two types of seizures had similar prediction
sensitivities. Significant performance was found considerably more often for SCS
than for CS, especially for patients with invasive recordings. When analyzing
false alarms triggered by predicting CS, a significant number of these false
predictions were followed by SCS for 9 of 21 patients. Although currently
observed prediction performance may not be deemed sufficient for clinical
applications for the majority of the patients, it can be concluded that the
prediction of SCS is feasible on a similar level as for CS and allows a
prediction of more of the seizures impairing patients, possibly also reducing the
number of false alarms that were in fact correct predictions of CS. This article
is part of a Supplemental Special Issue entitled The Future of Automated Seizure
Detection and Prediction.
PMID- 22078513
TI - Toward a probabilistic definition of seizures.
AB - This writing (1) draws attention to the intricacies inherent to the pursuit of a
universal seizure definition even when powerful, well-understood signal analysis
methods are used to this end; (2) identifies this aim as a multi-objective
optimization problem and discusses the advantages and disadvantages of adopting
or rejecting a unitary seizure definition; and (3) introduces a probabilistic
measure of seizure activity to manage this thorny issue. The challenges posed by
the attempt to define seizures unitarily may be partly related to their fractal
properties and understood through a simplistic analogy to the so-called
"Richardson effect." A revision of the time-honored conceptualization of seizures
may be warranted to further advance epileptology. This article is part of a
Supplemental Special Issue entitled The Future of Automated Seizure Detection and
Prediction.
PMID- 22078514
TI - A few thoughts on "What is a seizure?".
PMID- 22078515
TI - An algorithm for seizure onset detection using intracranial EEG.
AB - This article addresses the problem of real-time seizure detection from
intracranial EEG (IEEG). One difficulty in creating an approach that can be used
for many patients is the heterogeneity of seizure IEEG patterns across different
patients and even within a patient. In addition, simultaneously maximizing
sensitivity and minimizing latency and false detection rates has been challenging
as these are competing objectives. Automated machine learning systems provide a
mechanism for dealing with these hurdles. Here we present and evaluate an
algorithm for real-time seizure onset detection from IEEG using a machine
learning approach that permits a patient-specific solution. We extract temporal
and spectral features across all intracranial EEG channels. A pattern recognition
component is trained using these feature vectors and tested against unseen
continuous data from the same patient. When tested on more than 875 hours of IEEG
data from 10 patients, the algorithm detected 97% of 67 test seizures of several
types with a median detection delay of 5 seconds and a median false alarm rate of
0.6 false alarms per 24-hour period. The sensitivity was 100% for 8 of 10
patients. These results indicate that a sensitive, specific, and relatively short
latency detection system based on machine learning can be employed for seizure
detection from EEG using a full set of intracranial electrodes to individual
patients. This article is part of a Supplemental Special Issue entitled The
Future of Automated Seizure Detection and Prediction.
PMID- 22078516
TI - A machine-learning algorithm for detecting seizure termination in scalp EEG.
AB - Efforts to develop algorithms that can robustly detect the cessation of seizure
activity within scalp EEGs are now underway. Such algorithms can facilitate novel
clinical applications such as the estimation of a seizure's duration; the
delivery of therapies designed to mitigate postictal period symptoms; or
detection of the presence of status epilepticus. In this article, we present and
evaluate a novel, machine learning-based method for detecting the termination of
electrographic seizure activity. When tested on 133 seizures from a public
database, our method successfully detected the end of 132 seizures within 10.3 +/
5.5 seconds of the time determined by an electroencephalographer to represent
the electrographic end of seizure. Furthermore, by pairing our seizure end
detector with a previously published seizure onset detector, we could
automatically estimate the duration of 85% of test electrographic seizures within
a 15-second error margin compared with electroencephalographer determinations.
This article is part of a Supplemental Special Issue entitled The Future of
Automated Seizure Detection and Prediction.
PMID- 22078517
TI - Automated EEG detection algorithms and clinical semiology in epilepsy: importance
of correlations.
AB - With advances in technological innovation, electroencephalography has remained
the gold standard for classification and localization of epileptic seizures. Like
other diagnostic modalities, technological advances have opened new avenues for
assessment of data, and hold great promise to improve interpretive capabilities.
However, proper overall interpretation and application of electroencephalographic
findings relies on valid correlations of associated clinical semiology. This
article addresses interpretation of clinical signs and symptoms in the context of
the diagnostic predictive value of electroencephalographic, clinical, and
electrographic definitions of seizures, and upcoming challenges of interpreting
intracranial high-frequency electroencephalographic data. This article is part of
a Supplemental Special Issue entitled The Future of Automated Seizure Detection
and Prediction.
PMID- 22078518
TI - Improving early seizure detection.
AB - Over the last decade, the search for a method able to reliably predict seizures
hours in advance has been largely replaced by the more realistic goal of very
early detection of seizure onset, which would allow therapeutic or warning
devices to be triggered prior to the onset of disabling clinical symptoms. We
explore in this article the steps along the pathway from data acquisition to
closed-loop applications that can and should be considered to design the most
efficient early seizure detection. Microelectrodes, high-frequency oscillations,
high sampling rate, high-density arrays, and modern analysis techniques are all
elements of the recording and detection process that in combination with modeling
studies can provide new insights into the dynamics of seizure onsets. Each of
these steps needs to be considered if detection devices that will favorably
impact the quality of life of patients are to be implemented. This article is
part of a Supplemental Special Issue entitled The Future of Automated Seizure
Detection and Prediction.
PMID- 22078519
TI - Quickest detection of drug-resistant seizures: an optimal control approach.
AB - Epilepsy affects 50 million people worldwide, and seizures in 30% of the cases
remain drug resistant. This has increased interest in responsive
neurostimulation, which is most effective when administered during seizure onset.
We propose a novel framework for seizure onset detection that involves (i)
constructing statistics from multichannel intracranial EEG (iEEG) to distinguish
nonictal versus ictal states; (ii) modeling the dynamics of these statistics in
each state and the state transitions; you can remove this word if there is no
room. (iii) developing an optimal control-based "quickest detection" (QD)
strategy to estimate the transition times from nonictal to ictal states from
sequential iEEG measurements. The QD strategy minimizes a cost function of
detection delay and false positive probability. The solution is a threshold that
non-monotonically decreases over time and avoids responding to rare events that
normally trigger false positives. We applied QD to four drug resistant epileptic
patients (168 hour continuous recordings, 26-44 electrodes, 33 seizures) and
achieved 100% sensitivity with low false positive rates (0.16 false
positive/hour). This article is part of a Supplemental Special Issue entitled The
Future of Automated Seizure Detection and Prediction.
PMID- 22078520
TI - Multistage seizure detection techniques optimized for low-power hardware
platforms.
AB - Closed-loop neurostimulation devices that stimulate the brain to treat epileptic
seizures have shown great promise in treating more than a third of the 2 million
people with epilepsy in the United States alone whose seizures are currently
nonresponsive to pharmaceutical treatment. Seizure detection algorithms
facilitate responsive therapeutic intervention that is believed to increase the
efficacy of neurostimulation by improving on its spatial and temporal
specificity. Translating these signal processing algorithms into battery-powered,
implantable devices poses a number of challenges that severely limit the
computational power of the chosen algorithm. We propose a cascaded two-stage
seizure detection algorithm that is computationally efficient (resulting in a low
power hardware implementation) without compromising on detection efficacy. Unlike
traditional detection algorithms, the proposed technique does not explicitly
require a "training" phase from individual to individual and, instead, relies on
using features that result in distinct "patterns" at the electrographic seizure
onset. We tested the algorithm on spontaneous clinical seizures recorded using
depth electrodes from patients with focal intractable epilepsy and annotated by
epileptologists at the University of Freiburg Medical Center, via the Freiburg
database. The algorithm performs with a specificity and sensitivity of 99.82 and
87.5%, detecting seizures in less than 9.08% of their duration after onset. The
proposed technique is also shown to be computationally efficient, facilitating
low-power hardware implementation. This article is part of a Supplemental Special
Issue entitled The Future of Automated Seizure Detection and Prediction.
PMID- 22078521
TI - Quantitative EEG analysis for automated detection of nonconvulsive seizures in
intensive care units.
AB - Because of increased awareness of the high prevalence of nonconvulsive seizures
in critically ill patients, use of continuous EEG (cEEG) monitoring is rapidly
increasing in ICUs. However, cEEG monitoring is labor intensive, and manual
review and interpretation of the EEG are impractical in most ICUs. Effective
methods to assist in rapid and accurate detection of nonconvulsive seizures would
greatly reduce the cost of cEEG monitoring and enhance the quality of patient
care. In this study, we report a preliminary investigation of a novel ICU EEG
analysis and seizure detection algorithm. Twenty-four prolonged cEEG recordings
were included in this study. Seizure detection sensitivity and specificity were
assessed for the new algorithm and for the two commercial seizure detection
software systems. The new algorithm performed with a mean sensitivity of 90.4%
and a mean false detection rate of 0.066/hour. The two commercial detection
products performed with low sensitivities (12.9 and 10.1%) and false detection
rates of 1.036/hour and 0.013/hour, respectively. These findings suggest that the
novel algorithm has potential to be the basis of clinically useful software that
can assist ICU staff in timely identification of nonconvulsive seizures. This
study also suggests that currently available seizure detection software does not
perform sufficiently in detection of nonconvulsive seizures in critically ill
patients. This article is part of a Supplemental Special Issue entitled The
Future of Automated Seizure Detection and Prediction.
PMID- 22078522
TI - Automated seizure detection: unrecognized challenges, unexpected insights.
AB - One of epileptology's fundamental aims is the formulation of a universal,
internally consistent seizure definition. To assess this aim's feasibility three
signal analysis methods were applied to a seizure time series and performance
comparisons were undertaken among them and with respect to a validated algorithm.
One of the methods uses a Fisher's matrix weighted measure of the rate of
parameters change of a 2nd order auto-regressive model, another is based on the
Wavelet Transform Maximum Modulus for quantification of changes in the logarithm
of the standard deviation of ECoG power and yet another employs the ratio of
short-to-long term averages computed from cortical signals. The central finding,
fluctuating concordance among all methods' output as a function of seizure
duration, uncovers unexpected hurdles in the path to a universal definition,
while furnishing relevant knowledge in the dynamical (spectral non
stationarity/varying ictal signal complexity) and clinical (potential un
attainability of consensus) domains. This article is part of a Supplemental
Special Issue entitled The Future of Automated Seizure Detection and Prediction.
PMID- 22078523
TI - Resetting of brain dynamics: epileptic versus psychogenic nonepileptic seizures.
AB - We investigated the possibility of differential diagnosis of patients with
epileptic seizures (ES) and patients with psychogenic nonepileptic seizures
(PNES) through an advanced analysis of the dynamics of the patients' scalp EEGs.
The underlying principle was the presence of resetting of brain's preictal
spatiotemporal entrainment following onset of ES and the absence of resetting
following PNES. Long-term (days) scalp EEGs recorded from five patients with ES
and six patients with PNES were analyzed. It was found that: (1) Preictal
entrainment of brain sites was reset at ES (P<0.05) in four of the five patients
with ES, and not reset (P=0.28) in the fifth patient. (2) Resetting did not occur
(p>0.1) in any of the six patients with PNES. These preliminary results in
patients with ES are in agreement with our previous findings from intracranial
EEG recordings on resetting of brain dynamics by ES and are expected to
constitute the basis for the development of a reliable and supporting tool in the
differential diagnosis between ES and PNES. Finally, we believe that these
results shed light on the electrophysiology of PNES by showing that occurrence of
PNES does not assist patients in overcoming a pathological entrainment of brain
dynamics. This article is part of a Supplemental Special Issue entitled The
Future of Automated Seizure Detection and Prediction.
PMID- 22078524
TI - Extracerebral detection of seizures: a new era in epileptology?
AB - The medical and psycho-socio-economic burden imposed on patients, caregivers, and
health systems by pharmacoresistant epilepsies is enormous. Intracranial devices
for automated detection, warning, and delivery of therapy, the presently
preferred "line of attack" for an abundance of weighty reasons, would be
insufficient to adequately address said burden on a global scale. Reliance on
signals that, although extracerebral, are under cortical modulation or control
and are altered by seizures, such as cardiac or motor signals, emerges as a
viable research direction with potentially fruitful clinical applications. The
greater ease of implementation and lower cost of automated real-time detection,
warning, and therapy systems based on extracerebral signals, compared with those
requiring intracranial placement, make them worthy of investigation. This article
is part of a Supplemental Special Issue entitled The Future of Automated Seizure
Detection and Prediction.
PMID- 22078525
TI - The role of high-quality EEG databases in the improvement and assessment of
seizure prediction methods.
AB - Initially, seizure prediction was based on the analysis of brief EEG segments
preceding clinically manifest seizures. Whereas such approaches suggested that
the sensitivities of various EEG-derived features in predicting seizures were
high, the inclusion of longer interictal periods and the combined assessment of
sensitivity and specificity and the application of statistical validation methods
have put into question the validity of such claims. We here show that the
duration of EEG on which analyses are based and the number of seizures assessed
negatively correlate with the reported sensitivities of prediction studies.
Methodological aspects of seizure prediction are discussed in the framework of
currently existing databases and of the newly established European Union
database. This article is part of a Supplemental Special Issue entitled The
Future of Automated Seizure Detection and Prediction.
PMID- 22078527
TI - Intracellular production of recombinant serpins in yeast.
AB - Yeast are a valuable system for recombinant serpin production due to their
ability to synthesize large amounts of heterologous gene products as well as
their expression of folding chaperones and lack of endogenous serpin genes. In
this chapter, we describe a method for intracellular expression of cytoplasmic
serpins in the yeast Pichia pastoris. We also give details on how this system can
be exploited to produce polymer-forming mutants of secretory serpins.
PMID- 22078526
TI - Seizure prediction: methods.
AB - Epilepsy, one of the most common neurological diseases, affects over 50 million
people worldwide. Epilepsy can have a broad spectrum of debilitating medical and
social consequences. Although antiepileptic drugs have helped treat millions of
patients, roughly a third of all patients have seizures that are refractory to
pharmacological intervention. The evolution of our understanding of this dynamic
disease leads to new treatment possibilities. There is great interest in the
development of devices that incorporate algorithms capable of detecting early
onset of seizures or even predicting them hours before they occur. The lead time
provided by these new technologies will allow for new types of interventional
treatment. In the near future, seizures may be detected and aborted before
physical manifestations begin. In this chapter we discuss the algorithms that
make these devices possible and how they have been implemented to date. We also
compare and contrast these measures, and review their individual strengths and
weaknesses. Finally, we illustrate how these techniques can be combined in a
closed-loop seizure prevention system. This article is part of a Supplemental
Special Issue entitled The Future of Automated Seizure Detection and Prediction.
PMID- 22078528
TI - Production of recombinant serpins in Escherichia coli.
AB - Serpins represent a diverse family of proteins that are found in a wide range of
organisms and cellular locations. In order to study them, most need to be
produced recombinantly, as isolation from their source is not always possible.
Due to their relatively uncomplicated structure (single domain, few
posttranslational modifications), the serpins are usually amenable to expression
in Escherichia coli, which offers a fast and cost-effective solution for the
generation of large amounts of protein. This chapter outlines the general
procedures used in the expression and subsequent purification of serpins in E.
coli, with a particular focus on the methods used for antitrypsin, the archetypal
member of the family.
PMID- 22078529
TI - Isolation and characterization of the nuclear serpin MENT.
AB - A balance between proteolytic activity and protease inhibition is required to
maintain the appropriate function of biological systems in which proteases play a
role. The Myeloid and Erythroid Nuclear Termination protein, MENT, is a
nonhistone heterochromatin-associated serpin that is an effective inhibitor of
the papain-like cysteine proteases. Our laboratories have extensively
investigated the dual functions of this protein, namely, chromatin condensation
and protease inhibition. Unlike other serpins to date, MENT contains a unique
insertion between the C- and D-helices known as the "M-loop." This loop contains
two critical functional motifs that allow the nuclear function of MENT, namely,
nuclear localization and DNA binding. However, the nuclear function of MENT is
not restricted to the activities of the M-loop alone. In vitro, MENT brings about
the dramatic remodeling of chromatin into higher-order structures by forming
protein bridges via its reactive center loop. Further, we have determined that in
a protease-mediated effect, DNA can act as a cofactor to accelerate the rate at
which MENT can inhibit its target proteases. In this chapter, we discuss the
isolation of MENT from native chicken blood as well as recombinant protein
produced in Escherichia coli. Various techniques including in vitro functional
assays and biophysical characterization are explained that can be used to
elucidate the ability of the protein to interact with DNA and other
deoxynucleoprotein complexes. In situ chromatin precipitation using natively
purified MENT is also detailed.
PMID- 22078530
TI - Solving serpin crystal structures.
AB - Essentially the same steps are required to solve the crystal structure of a
serpin as for any other protein: produce and purify protein, grow crystals,
collect diffraction data, find estimates of the phase angles, and then refine and
validate the structure. For the phasing step, experimental phasing methods
involving heavy atom soaks were required for the first few structures, but with
the large number of serpin structures now available, molecular replacement has
become the method of choice. Two things are special about serpins. First, because
of the central role of conformational change in serpin mechanism, it is advisable
to consider a variety of molecular replacement models in different conformations
and then to allow for rigid-body motions in the initial refinement steps. Second,
probably owing to the flexibility of serpins, the average serpin crystal is
significantly less well ordered than the average crystal of another protein,
which increases the difficulty of solving and refining their structures.
PMID- 22078531
TI - Crystallography of serpins and serpin complexes.
AB - The serpin superfamily of protease inhibitors undergoes a remarkable
conformational change to inhibit target proteases. To date, over 80 different
serpin crystal structures have been determined. These data reveal that the serpin
monomer can adopt five different conformations (native, partially inserted
native, delta-form, latent, and cleaved). Further, recent studies have also
revealed that serpins can domain swap; biochemical data suggest such an event
underlies serpin polymerization in diseases such as antitrypsin deficiency. Here,
we provide a comprehensive analysis on crystallization of serpins in context of
the structural landscape of the serpin superfamily.
PMID- 22078532
TI - Serpins as hormone carriers: modulation of release.
AB - The hormone-carrying serpins, thyroxine- and corticosteroid-binding globulins,
TBG and CBG, provide a clear example of the way the serpin conformational
mechanism can be adapted not only to give an irreversible switching-off of
function but also more significantly to allow a constant dynamic modulation of
activity. This is illustrated here with the demonstration that hormone release
from both TBG and CBG is responsive to changes in ambient temperature and
specifically to changes in body temperature. An exception to this adaptation of
the serpin mechanism is seen with another family member, angiotensinogen, in
which hormone release is modulated by a redox switch and is apparently
independent of changes in the serpin framework.
PMID- 22078533
TI - Serpin-glycosaminoglycan interactions.
AB - Serpins (serine protease inhibitors) have traditionally been grouped together
based on structural homology. They share common structural features of primary
sequence, but not all serpins require binding to cofactors in order to achieve
maximal protease inhibition. In order to obtain physiologically relevant rates of
inhibition of target proteases, some serpins utilize the unbranched sulfated
polysaccharide chains known as glycosaminoglycans (GAGs) to enhance inhibition.
These GAG-binding serpins include antithrombin (AT), heparin cofactor II (HCII),
and protein C inhibitor (PCI). The GAGs heparin and heparan sulfate have been
shown to bind AT, HCII, and PCI, while HCII is also able to utilize dermatan
sulfate as a cofactor. Other serpins such as PAI-1, kallistatin, and alpha(1)
antitrypsin also interact with GAGs with different endpoints, some accelerating
protease inhibition while others inhibit it. There are many serpins that bind or
carry ligands that are unrelated to GAGs, which are described elsewhere in this
work. For most GAG-binding serpins, binding of the GAG occurs in a conserved
region of the serpin near or involving helix D, with the exception of PCI, which
utilizes helix H. The binding of GAG to serpin can lead to a conformational
change within the serpin, which can lead to increased or tighter binding to the
protease, and can accelerate the rates of inhibition up to 10,000-fold compared
to the unbound native serpin. In this chapter, we will discuss three major GAG
binding serpins with known physiological roles in modulating coagulation: AT
(SERPINC1), HCII (SERPIND1), and PCI (SERPINA5). We will review methodologies
implemented to study the structure of these serpins and those used to study their
interactions with GAG's. We discuss novel techniques to examine the serpin-GAG
interaction and finally we review the biological roles of these serpins by
describing the mouse models used to study them.
PMID- 22078534
TI - Targeting serpins in high-throughput and structure-based drug design.
AB - Native, metastable serpins inherently tend to undergo stabilizing conformational
transitions in mechanisms of health (e.g., enzyme inhibition) and disease
(serpinopathies). This intrinsic tendency is modifiable by ligand binding, thus
structure-based drug design is an attractive strategy in the serpinopathies. This
can be viewed as a labor-intensive approach, and historically, its intellectual
attractiveness has been tempered by relatively limited success in development of
drugs reaching clinical practice. However, the increasing availability of a range
of powerful experimental systems and higher-throughput techniques is causing
academic and early-stage industrial pharmaceutical approaches to converge. In
this review, we outline the different systems and techniques that are bridging
the gap between what have traditionally been considered distinct disciplines. The
individual methods are not serpin-specific. Indeed, many have only recently been
applied to serpins, and thus investigators in other fields may have greater
experience of their use to date. However, by presenting examples from our work
and that of other investigators in the serpin field, we highlight how techniques
with potential for automation and scaling can be combined to address a range of
context-specific challenges in targeting the serpinopathies.
PMID- 22078535
TI - Development of inhibitors of plasminogen activator inhibitor-1.
AB - Plasminogen activator inhibitor-1 (PAI-1) belongs to the serine protease
inhibitor super family (serpin) and is the primary inhibitor of both the tissue
type (tPA) and urokinase-type (uPA) plasminogen activators. PAI-1 has been
implicated in a wide range of pathological processes where it may play a direct
role in a variety of diseases. These observations have made PAI-1 an attractive
target for small molecule drug development. However, PAI-1's structural
plasticity and its capacity to interact with multiple ligands have made the
identification and development of such small molecule PAI-1 inactivating agents
challenging. In the following pages, we discuss the difficulties associated with
screening for small molecule inactivators of PAI-1, in particular, and of
serpins, in general. We discuss strategies for high-throughput screening (HTS) of
chemical and natural product libraries, and validation steps necessary to confirm
identified hits. Finally, we describe steps essential to confirm specificity of
active compounds, and strategies to examine potential mechanisms of compound
action.
PMID- 22078536
TI - Bioinformatic approaches for the identification of serpin genes with multiple
reactive site loop coding exons.
AB - In several branches of the tree of life, alternative splicing of a single primary
transcript may give rise to multiple serpin isoforms exhibiting different target
enzyme specificities. Though the continuously increasing number of genome
sequencing projects has been paralleled by a rapidly rising number of serpin
genes, the full spectrum of isoforms that some of these genes can encode has
often not been recognized in routine database searches. In this chapter, we
introduce procedures that enable the systematic extraction of multi-isoform
generating serpin genes from genomic sequences. Spot checking of a model organism
demonstrates that the phyletic distribution of such genes appears to be largely
underestimated. The bioinformatic approach presented here may help to dissect the
complete antiproteolytic spectrum of a genome's serpin complement and to register
the occurrence of multitasking serpin genes in eukaryotes for functional and
evolutionary studies.
PMID- 22078537
TI - Methods to measure the kinetics of protease inhibition by serpins.
AB - The serpin molecule has evolved an unusual mechanism of inhibition, involving an
exposed reactive center loop (RCL) and conformational change to covalently trap a
target protease. Successful inhibition of the protease is dependent on the rate
of serpin-protease association and the efficiency with which the RCL inserts into
beta-sheet A, translocating the covalently bound protease and thereby completing
the inhibition process. This chapter describes the kinetic methods used for
determining the rate of protease inhibition (k(a)) and the stoichiometry of
inhibition. These kinetic variables provide a means to examine different serpin
protease pairings, assess the effects of mutations within a serpin on protease
inhibition, and determine the physiologically cognate protease of a serpin.
PMID- 22078538
TI - Predicting serpin/protease interactions.
AB - Proteases are tightly regulated by specific inhibitors, such as serpins, which
are able to undergo considerable and irreversible conformational changes in order
to trap their targets. There has been a considerable effort to investigate serpin
structure and functions in the past few decades; however, the specific
interactions between proteases and serpins remain elusive. In this chapter, we
describe detailed experimental protocols to determine and characterize the
extended substrate specificity of proteases based on a substrate phage display
technique. We also describe how to employ a bioinformatics system to analyze the
substrate specificity data obtained from this technique and predict the potential
inhibitory serpin partners of a protease (in this case, the immune protease,
granzyme B) in a step-by-step manner. The method described here could also be
applied to other proteases for more generalized substrate specificity analysis
and substrate discovery.
PMID- 22078539
TI - Amino-Terminal Oriented Mass Spectrometry of Substrates (ATOMS) N-terminal
sequencing of proteins and proteolytic cleavage sites by quantitative mass
spectrometry.
AB - Edman degradation is a long-established technique for N-terminal sequencing of
proteins and cleavage fragments. However, for accurate data analysis and amino
acid assignments, Edman sequencing proceeds on samples of single proteins only
and so lacks high-throughput capabilities. We describe a new method for the high
throughput determination of N-terminal sequences of multiple protein fragments in
solution. Proteolytic processing can change the activity of bioactive proteins
and also reveal cryptic binding sites and generate proteins with new functions
(neoproteins) not found in the parent molecule. For example, extracellular matrix
(ECM) protein processing often produces multiple proteolytic fragments with the
generation of cryptic binding sites and neoproteins by ECM protein processing
being well documented. The exact proteolytic cleavage sites need to be identified
to fully understand the functions of the cleavage fragments and biological roles
of proteases in vivo. However, the identification of cleavage sites in complex
high molecular proteins such as those composing the ECM is not trivial. N
terminal microsequencing of proteolytic fragments is the usual method employed,
but it suffers from poor resolution of sodium dodecylsulfate-polyacrylamide gel
electrophoresis gels and is inefficient at identifying multiple cleavages,
requiring preparation of numerous gels or membrane slices for analysis. We
recently developed Amino-Terminal Oriented Mass spectrometry of Substrates
(ATOMS) to overcome these limitations as a complement for N-terminal sequencing.
ATOMS employs isotopic labeling and quantitative tandem mass spectrometry to
identify cleavage sites in a fast and accurate manner. We successfully used ATOMS
to identify nearly 100 cleavage sites in the ECM proteins laminin and
fibronectin. Presented herein is the detailed step-by-step protocol for ATOMS.
PMID- 22078540
TI - Computational methods for studying serpin conformational change and structural
plasticity.
AB - Currently, over a hundred high-resolution structures of serpins are available,
exhibiting a wide range of conformations. However, our understanding of serpin
dynamics and conformational change is still limited, mainly due to challenges of
monitoring structural changes and characterizing transient conformations using
experimental methods. Insight can be provided, however, by employing theoretical
and computational approaches. In this chapter, we present an overview of such
methods, focusing on molecular dynamics and simulation. As serpin conformational
dynamics span a wide range of timescales, we discuss the relative merits of each
method and suggest which method is suited to specific conformational phenomena.
PMID- 22078541
TI - Probing serpin conformational change using mass spectrometry and related methods.
AB - The folding, misfolding, and inhibitory mechanisms of serpins are linked to both
thermodynamic metastability and conformational flexibility. Characterizing the
structural distribution of stability and flexibility in serpins in solution is
challenging due to their large size and propensity for aggregation. Structural
mass spectrometry techniques offer powerful tools for probing the mechanisms of
serpin function and disfunction. In this chapter, we review the principles of the
two most commonly employed structural mass spectrometry techniques-
hydrogen/deuterium exchange and chemical footprinting--and describe their
application to studying serpin flexibility, stability, and conformational change
in solution. We also review the application of both hydrogen/deuterium exchange
and ion mobility mass spectrometry to probe the mechanism of serpin
polymerization and the structure of serpin polymers.
PMID- 22078542
TI - Determining serpin conformational distributions with single molecule
fluorescence.
AB - Conformational plasticity is key to inhibitory serpin function, and this
plasticity gives serpins relatively easy access to alternative, dysfunctional
conformations. Thus, a given serpin population may contain both functional and
dysfunctional proteins. Single molecule fluorescence (SMF), with its ability to
interrogate one fluorescently labeled protein at a time, is a powerful method for
elucidating conformational distributions and monitoring how these distributions
change over time. SMF and related methods have been particularly valuable for
characterizing serpin polymerization. Fluorescence correlation spectroscopy
experiments have revealed a second lag phase during in vitro alpha(1)-antitrypsin
polymerization associated with the formation of smaller oligomers that then
condense to form longer polymers [Purkayastha, P., Klemke, J. W., Lavender, S.,
Oyola, R., Cooperman, B. S., and Gai, F. (2005). Alpha 1-antitrypsin
polymerization: A fluorescence correlation spectroscopic study. Biochemistry44,
2642-2649.]. SMF studies of in vitro neuroserpin polymerization have confirmed
that a monomeric intermediate is required for polymer formation while providing a
test of proposed polymerization mechanisms [Chiou, A., Hagglof, P., Orte, A.,
Chen, A. Y., Dunne, P. D., Belorgey, D., Karlsson-Li, S., Lomas, D., and
Klenerman, D. (2009). Probing neuroserpin polymerization and interaction with
amyloid-beta peptides using single molecule fluorescence. Biophys. J.97, 2306
2315.]. SMF has also been used to monitor protease-serpin interactions. Single
pair Forster resonance energy transfer studies of covalent protease-serpin
complexes suggest that the extent of protease structural disruption in the
complex is protease dependent [Liu, L., Mushero, N., Hedstrom, L., and
Gershenson, A. (2006). Conformational distributions of protease-serpin complexes:
A partially translocated complex. Biochemistry45, 10865-10872.]. SMF techniques
are still evolving and the combination of SMF with encapsulation methods has the
potential to provide more detailed information on the conformational changes
associated with serpin polymerization, protease-serpin complex formation, and
serpin folding.
PMID- 22078543
TI - Serpin polymerization in vitro.
AB - Serpin polymerization is an event which generally occurs within living tissue as
a consequence of a folding defect caused by point mutations. Major advances in
cell biology and imaging have allowed detailed studies into subcellular
localization, processing, and clearance of serpin polymers, but to understand the
molecular basis of the misfolded state and polymeric linkage, it has been and
continues to be necessary to generate polymers in vitro. The goal of this chapter
is to outline the principal techniques that have been developed over the past 20
years to produce and characterize serpin polymerization in vitro. For the
majority of this time, all data were interpreted in accordance with the so-called
"loop-sheet" hypothesis, where polymers form through the intermolecular
incorporation of the reactive center loop (RCL) of one serpin monomer into the
beta-sheet A of another. This hypothesis is supported by the ability of serpins
to incorporate exogenous peptides into sheet A in an identical manner to the
insertion of its own RCL upon cleavage by protease or conversion to the latent
state. However, a recent crystal structure of an intact serpin dimer showed that
much larger "domain swaps" are possible that would also lead to hyperstable
linkage between serpin monomers. This chapter is therefore not limited to a
description of experimental technique, but discusses the findings in light of the
two current models of serpin polymerization. We would encourage readers to
reevaluate the literature on serpin polymerization and to expand on the
experiments outlined here in order to differentiate between possible domain
swapping mechanisms.
PMID- 22078545
TI - Serpin structure and evolution. Preface.
PMID- 22078544
TI - The serpinopathies studying serpin polymerization in vivo.
AB - The serpinopathies result from point mutations in members of the serine protease
inhibitor or serpin superfamily. They are characterized by the formation of
ordered polymers that are retained within the cell of synthesis. This causes
disease by a "toxic gain of function" from the accumulated protein and a "loss of
function" as a result of the deficiency of inhibitors that control important
proteolytic cascades. The serpinopathies are exemplified by the Z (Glu342Lys)
mutant of alpha1-antitrypsin that results in the retention of ordered polymers
within the endoplasmic reticulum of hepatocytes. These polymers form the
intracellular inclusions that are associated with neonatal hepatitis, cirrhosis,
and hepatocellular carcinoma. A second example results from mutations in the
neurone-specific serpin-neuroserpin to form ordered polymers that are retained as
inclusions within subcortical neurones as Collins' bodies. These inclusions
underlie the autosomal dominant dementia familial encephalopathy with neuroserpin
inclusion bodies or FENIB. There are different pathways to polymer formation in
vitro but not all form polymers that are relevant in vivo. It is therefore
essential that protein-based structural studies are interpreted in the context of
human samples and cell and animal models of disease. We describe here the
biochemical techniques, monoclonal antibodies, cell biology, animal models, and
stem cell technology that are useful to characterize the serpin polymers that
form in vivo.
PMID- 22078546
TI - [Population pharmacokinetics of gemcitabine applied to personalize the dosage
used in cancer patients].
AB - OBJECTIVE: To develop and internally validate a population pharmacokinetic model
for gemcitabine and its metabolite 2',2'-difluorodeoxyuridine (dFdU); and to
evaluate its predictive perfomance for personalizing the dosage used in cancer
patients. METHODS: Gemcitabine and dFdU plasma concentrations were determined in
18 cancer patients. A 2-compartment pharmacokinetic model was implemented in the
NONMEN VI program to determine the appropriate pharmacokinetic parameters. The
power to identify the parameters was assessed by parametric bootstrap, and the
internal model validation was performed using nonparametric bootstrap and visual
and numerical predictive check methods. The final predictive performance of the
model was assessed for accuracy and precision during the first (a priori) and
second (a posteriori) chemotherapy cycles. RESULTS: The mean and interpatient
variability of gemcitabine and dFdU clearance was 2.70 L/min (31.0%) and 0.0515
L/min (35.8%), respectively. The estimated distribution volume at steady state
was 30 L for gemcitabine and 238 L for dFdU. Internal validation confirmed that
the population pharmacokinetic model was appropriate for describing the plasma
concentrations of gemcitabine and dFdU over time, as well as its variability in
the study population. The accuracy and precision of a posteriori gemcitabine
plasma concentrations improved by 67% and 46%, respectively, compared to the a
priori prediction. CONCLUSION: The population pharmacokinetic model adequately
characterised the gemcitabine and dFdU plasma concentrations in the study
population over time, and can be used to accurately and precisely optimise
gemcitabine dosing regimens in cancer patients.
PMID- 22078547
TI - Concentrations of organochlorine pesticides and polychlorinated biphenyls in
human serum and adipose tissue from Bolivia.
AB - Organochlorine pesticides and polychlorinated biphenyls (PCBs) are synthetic
chemicals that are highly resistant to biodegradation and have proven adverse
health effects. The objectives of this study were to determine concentrations of
three selected organochlorine pesticides (p,p'-DDT, p,p'-DDE, HCB) and three
specific PCB congeners (PCB 138, 153, 180) in adipose tissue and serum samples
from an urban adult population (n=112) in the city of Santa Cruz de la Sierra,
Bolivia, and to investigate their relationships within and between the two
matrices and with selected socio-demographic characteristics. The percentages of
samples positive for these compounds ranged from 40% for PCB 180 to 100% for p,p'
DDE in adipose tissue, and from 21% for HCB to 93% for p,p'-DDE in serum. Median
number of residues per sample was five for adipose tissue and three for serum.
Geometric mean concentrations indicate a considerable historical and recent
exposure to organochlorine pesticides and PCBs in this population. Adipose
tissue:serum ratios ranged from 149.3 to 590.3 (wet basis) and from 0.9 to 3.5
(lipid basis). We found positive and statistically significant correlations
between adipose tissue and serum concentrations only in p,p'-DDE and HCB. This
novel study in Bolivia underlines the need for human biomonitoring to assess
exposure to environmental pollutants in South America.
PMID- 22078548
TI - Phenotypic and molecular characterization of serogroup C Neisseria meningitidis
associated with an outbreak in Bahia, Brazil.
AB - OBJECTIVE: To characterize meningococcal strains isolated from five cases of
meningococcal disease (MD) associated with an outbreak in Trancoso - BA, occurred
in October 2009. All cases, with the exception of a 39-year-old male, attended a
dance party with approximately 1000 youngsters in a rural site. MATERIALS AND
METHODS: The epidemiological investigation was conducted by the Epidemiological
Surveillance Service of Bahia State. Meningococcal strains were characterized at
Adolfo Lutz Institute, the Brazilian National Reference Laboratory for Bacterial
Meningitis by conventional techniques (serotype, serosubtype and antimicrobial
susceptibility test) and by molecular methods (Pulsed-field gel electrophoresis -
PFGE and Multilocus Sequence Typing - MLST). RESULTS: The PFGE showed 2 closely
related restriction profiles, designated as PFGE types A and A1, having 92%
relatedness to each other. MLST characterization showed both A and A1 clones were
ST-3780, which belongs to the ST-103 complex. All isolates displayed the
phenotype C:23:P1.5 and were susceptible to all antibiotics tested. CONCLUSIONS:
This is the first reported MD outbreak associated with serogroup C ST-103 complex
in Brazil, as well as the party and illicit drug-use associated outbreak.
PMID- 22078549
TI - Differential Gene Expression to Investigate the Effects of Low-level
Electrochemical Currents on Bacillus subtilis.
AB - With the emergence and spread of multidrug resistant bacteria, effective methods
to eliminate both planktonic bacteria and those embedded in surface-attached
biofilms are needed. Electric currents at MUA-mA/cm2 range are known to reduce
the viability of bacteria. However, the mechanism of such effects is still not
well understood. In this study, Bacillus subtilis was used as the model Gram
positive species to systematically investigate the effects of electrochemical
currents on bacteria including the morphology, viability, and gene expression of
planktonic cells, and viability of biofilm cells. The data suggest that weak
electrochemical currents can effectively eliminate B. subtilis both as planktonic
cells and in biofilms. DNA microarray results indicate that the genes associated
with oxidative stress response, nutrient starvation, and membrane functions were
induced by electrochemical currents. These findings suggest that ions and
oxidative species generated by electrochemical reactions might be important for
the killing effects of these currents.
PMID- 22078550
TI - Cutting-hedge research into bacterial invasion.
AB - Glycoside hydrolases are the tools that pathogenic bacteria use to cut through
the defensive glycan structure on host cell surfaces. In this issue of Structure,
Pluvinage et al. (2011) report how a bacterial polypeptide with more than one
hydrolase module broadens the effective substrate specificity.
PMID- 22078551
TI - Fibrinogen unfolding mechanisms are not too much of a stretch.
AB - Molecular explanations for the extraordinary elasticity and extensibility of
fibrin fibers are still lacking. Now, Zhmurov et al. (2011) use force
spectroscopy experiments, and innovative simulations that match the time and
force scales of these experiments, to study fibrinogen behavior under an applied
force providing deeper insights into this process.
PMID- 22078552
TI - Tackling the legs of mannan-binding lectin.
AB - The recognition of pathogen surfaces by mannan-binding lectin activates MASP
proteases, leading to complement activation. A crystal structure by Gingras et
al. (2011) in this issue of Structure now shows how the collagen-like stems of
mannan-binding lectin bind MASP-1 through a minimalist set of interactions.
PMID- 22078553
TI - Type II ABC permeases: are they really so different?
AB - Structural and biochemical data reported by Tirado-Lee et al. (2011) in this
issue of Structure reveal the existence of high and low affinity ABC transporters
for the same substrate in a single organism, thus raising questions about
structural and mechanistic differences within the ABC superfamily.
PMID- 22078554
TI - Cell membranes: the lipid perspective.
AB - Although cell membranes are packed with proteins mingling with lipids, remarkably
little is known about how proteins interact with lipids to carry out their
function. Novel analytical tools are revealing the astounding diversity of lipids
in membranes. The issue is now to understand the cellular functions of this
complexity. In this Perspective, we focus on the interface of integral
transmembrane proteins and membrane lipids in eukaryotic cells. Clarifying how
proteins and lipids interact with each other will be important for unraveling
membrane protein structure and function. Progress toward this goal will be
promoted by increasing overlap between different fields that have so far operated
without much crosstalk.
PMID- 22078555
TI - Toward the fourth dimension of membrane protein structure: insight into dynamics
from spin-labeling EPR spectroscopy.
AB - Trapping membrane proteins in the confines of a crystal lattice obscures dynamic
modes essential for interconversion between multiple conformations in the
functional cycle. Moreover, lattice forces could conspire with detergent
solubilization to stabilize a minor conformer in an ensemble thus confounding
mechanistic interpretation. Spin labeling in conjunction with electron
paramagnetic resonance (EPR) spectroscopy offers an exquisite window into
membrane protein dynamics in the native-like environment of a lipid bilayer.
Systematic application of spin labeling and EPR identifies sequence-specific
secondary structures, defines their topology and their packing in the tertiary
fold. Long range distance measurements (60 A-80 A) between pairs of spin labels
enable quantitative analysis of equilibrium dynamics and triggered conformational
changes. This review highlights the contribution of spin labeling to bridging
structure and mechanism. Efforts to develop methods for determining structures
from EPR restraints and to increase sensitivity and throughput promise to expand
spin labeling applications in membrane protein structural biology.
PMID- 22078556
TI - Molecular simulation approaches to membrane proteins.
AB - Molecular simulations are an invaluable tool for understanding membrane proteins.
Improvements to both hardware and simulation methods have allowed access to
physiologically relevant timescales and have permitted the simulation of large
multimeric complexes. This, coupled to the recent expansion in membrane protein
structures, provides a means to elucidate the relationship between protein
structure and function. In this review, we discuss the progress in using
simulations to understand the complex processes that occur at the boundary of a
cell, ranging from the transport of solutes and the interactions of ligands with
ion channels to the conformational rearrangements required for gating of channels
and the signaling by membrane-associated complexes.
PMID- 22078558
TI - Identifying conformational states of macromolecules by eigen-analysis of
resampled cryo-EM images.
AB - We present the codimensional principal component analysis (PCA), a novel and
straightforward method for resolving sample heterogeneity within a set of cryo-EM
2D projection images of macromolecular assemblies. The method employs PCA of
resampled 3D structures computed using subsets of 2D data obtained with a novel
hypergeometric sampling scheme. PCA provides us with a small subset of dominating
"eigenvolumes" of the system, whose reprojections are compared with experimental
projection data to yield their factorial coordinates constructed in a common
framework of the 3D space of the macromolecule. Codimensional PCA is unique in
the dramatic reduction of dimensionality of the problem, which facilitates rapid
determination of both the plausible number of conformers in the sample and their
3D structures. We applied the codimensional PCA to a complex data set of Thermus
thermophilus 70S ribosome, and we identified four major conformational states and
visualized high mobility of the stalk base region.
PMID- 22078557
TI - Direct visualization of HIV-1 with correlative live-cell microscopy and cryo
electron tomography.
AB - Cryo-electron tomography (cryoET) allows 3D visualization of cellular structures
at molecular resolution in a close-to-native state and therefore has the
potential to help elucidate early events of HIV-1 infection in host cells.
However, structural details of infecting HIV-1 have not been observed, due to
technological challenges in working with rare and dynamic HIV-1 particles in
human cells. Here, we report structural analysis of HIV-1 and host-cell
interactions by means of a correlative high-speed 3D live-cell-imaging and cryoET
method. Using this method, we showed under near-native conditions that intact
hyperstable mutant HIV-1 cores are released into the cytoplasm of host cells. We
further obtained direct evidence to suggest that a hyperstable mutant capsid,
E45A, showed delayed capsid disassembly compared to the wild-type capsid.
Together, these results demonstrate the advantages of our correlative live-cell
and cryoET approach for imaging dynamic processes, such as viral infection.
PMID- 22078559
TI - Crystal structure of human Mre11: understanding tumorigenic mutations.
AB - Mre11 plays an important role in repairing damaged DNA by cleaving broken ends
and by providing a platform for other DNA repair proteins. Various Mre11
mutations have been identified in several types of cancer. We have determined the
crystal structure of the human Mre11 core (hMre11), which contains the nuclease
and capping domains. hMre11 dimerizes through the interfaces between loop beta3
alpha3 from one Mre11 and loop beta4-beta5 from another Mre11, and between loop
alpha2-beta3 from one Mre11 and helices alpha2 and alpha3 from another Mre11, and
assembles into a completely different dimeric architecture compared with
bacterial or archaeal Mre11 homologs. Nbs1 binds to the region containing loop
alpha2-beta3 which participates in dimerization. The hMre11 structure in
conjunction with biochemical analyses reveals that many tumorigenic mutations are
primarily associated with Nbs1 binding and partly with nuclease activities,
providing a framework for understanding how mutations inactivate Mre11.
PMID- 22078560
TI - Inhibition of the pneumococcal virulence factor StrH and molecular insights into
N-glycan recognition and hydrolysis.
AB - The complete degradation of N-linked glycans by the pathogenic bacterium
Streptococcus pneumoniae is facilitated by the large multimodular cell wall
attached exo-beta-D-N-acetylglucosaminidase StrH. Structural dissection of this
virulence factor using X-ray crystallography showed it to have two structurally
related glycoside hydrolase family 20 catalytic domains, which displayed the
expected specificity for complex N-glycans terminating in N-acetylglucosamine but
exhibited unexpected differences in their preferences for the substructures
present in these glycans. The structures of the two catalytic domains in complex
with unhydrolyzed substrates, including an N-glycan possessing a bisecting N
acetylglucosamine residue, revealed the specific architectural features in the
active sites that confer their differential specificities. Inhibitors of StrH are
demonstrated to be effective tools in modulating the interaction of StrH with
components of the host, such as the innate immune system. Overall, new structural
and functional insight into a carbohydrate-mediated component of the pneumococcus
host interaction is provided.
PMID- 22078561
TI - Mechanism of fibrin(ogen) forced unfolding.
AB - Fibrinogen, upon enzymatic conversion to monomeric fibrin, provides the building
blocks for fibrin polymer, the scaffold of blood clots and thrombi. Little has
been known about the force-induced unfolding of fibrin(ogen), even though it is
the foundation for the mechanical and rheological properties of fibrin, which are
essential for hemostasis. We determined mechanisms and mapped the free energy
landscape of the elongation of fibrin(ogen) monomers and oligomers through
combined experimental and theoretical studies of the nanomechanical properties of
fibrin(ogen), using atomic force microscopy-based single-molecule unfolding and
simulations in the experimentally relevant timescale. We have found that
mechanical unraveling of fibrin(ogen) is determined by the combined molecular
transitions that couple stepwise unfolding of the gamma chain nodules and
reversible extension-contraction of the alpha-helical coiled-coil connectors.
These findings provide important characteristics of the fibrin(ogen)
nanomechanics necessary to understand the molecular origins of fibrin
viscoelasticity at the fiber and whole clot levels.
PMID- 22078562
TI - Structural basis of mannan-binding lectin recognition by its associated serine
protease MASP-1: implications for complement activation.
AB - Complement activation contributes directly to health and disease. It neutralizes
pathogens and stimulates immune processes. Defects lead to immunodeficiency and
autoimmune diseases, whereas inappropriate activation causes self-damage. In the
lectin and classical pathways, complement is triggered upon recognition of a
pathogen by an activating complex. Here we present the first structure of such a
complex in the form of the collagen-like domain of mannan-binding lectin (MBL)
and the binding domain of its associated protease (MASP-1/-3). The collagen binds
within a groove using a pivotal lysine side chain that interacts with Ca(2+)
coordinating residues, revealing the essential role of Ca(2+). This mode of
binding is prototypic for all activating complexes of the lectin and classical
pathways, and suggests a general mechanism for the global changes that drive
activation. The structural insights reveal a new focus for inhibitors and we have
validated this concept by targeting the binding pocket of the MASP.
PMID- 22078563
TI - Enhanced selectivity for sulfatide by engineered human glycolipid transfer
protein.
AB - Human glycolipid transfer protein (GLTP) fold represents a novel structural motif
for lipid binding/transfer and reversible membrane translocation. GLTPs transfer
glycosphingolipids (GSLs) that are key regulators of cell growth, division,
surface adhesion, and neurodevelopment. Herein, we report structure-guided
engineering of the lipid binding features of GLTP. New crystal structures of wild
type GLTP and two mutants (D48V and A47D||D48V), each containing bound N
nervonoyl-sulfatide, reveal the molecular basis for selective anchoring of
sulfatide (3-O-sulfo-galactosylceramide) by D48V-GLTP. Directed point mutations
of "portal entrance" residues, A47 and D48, reversibly regulate sphingosine
access to the hydrophobic pocket via a mechanism that could involve
homodimerization. "Door-opening" conformational changes by phenylalanines within
the hydrophobic pocket are revealed during lipid encapsulation by new crystal
structures of bona fide apo-GLTP and GLTP complexed with N-oleoyl
glucosylceramide. The development of "engineered GLTPs" with enhanced specificity
for select GSLs provides a potential new therapeutic approach for targeting GSL
mediated pathologies.
PMID- 22078564
TI - Structural investigation of rimantadine inhibition of the AM2-BM2 chimera channel
of influenza viruses.
AB - The M2 channel of influenza A is a target of the adamantane family antiviral
drugs. Two different drug-binding sites have been reported: one inside the pore,
and the other is a lipid-facing pocket. A previous study showed that a chimera of
M2 variants from influenza A and B that contains only the pore-binding site is
sensitive to amantadine inhibition, suggesting that the primary site of
inhibition is inside the pore. To obtain atomic details of channel-drug
interaction, we determined the structures of the chimeric channel with and
without rimantadine. Inside the channel and near the N-terminal end, methyl
groups of Val27 and Ala30 from four subunits form a hydrophobic pocket around the
adamantane, and the drug amino group appears to be in polar contact with the
backbone oxygen of Ala30. The structures also reveal differences between the drug
bound and -unbound states of the channel that can explain drug resistance.
PMID- 22078565
TI - Membrane binding of the N-terminal ubiquitin-like domain of kindlin-2 is crucial
for its regulation of integrin activation.
AB - Kindlin-2 belongs to an emerging class of regulators for heterodimeric
(alpha/beta) integrin adhesion receptors. By binding to integrin beta cytoplasmic
tail via its C-terminal FERM-like domain, kindlin-2 promotes integrin activation.
Intriguingly, this activation process depends on the N terminus of kindlin-2 (K2
N) that precedes the FERM domain. The molecular function of K2-N is unclear. We
present the solution structure of K2-N, which displays a ubiquitin fold similar
to that observed in kindlin-1. Using chemical shift mapping and mutagenesis, we
found that K2-N contains a conserved positively charged surface that binds to
membrane enriched with negatively charged phosphatidylinositol-(4,5)
bisphosphate. We show that while wild-type kindlin-2 is capable of promoting
integrin activation, such ability is significantly reduced for its membrane
binding defective mutant. These data suggest a membrane-binding function of the
ubiquitin-like domain of kindlin-2, which is likely common for all kindlins to
promote their localization to the plasma membrane and control integrin
activation.
PMID- 22078566
TI - Structural insights into Ail-mediated adhesion in Yersinia pestis.
AB - Ail is an outer membrane protein from Yersinia pestis that is highly expressed in
a rodent model of bubonic plague, making it a good candidate for vaccine
development. Ail is important for attaching to host cells and evading host immune
responses, facilitating rapid progression of a plague infection. Binding to host
cells is important for injection of cytotoxic Yersinia outer proteins. To learn
more about how Ail mediates adhesion, we solved two high-resolution crystal
structures of Ail, with no ligand bound and in complex with a heparin analog
called sucrose octasulfate. We identified multiple adhesion targets, including
laminin and heparin, and showed that a 40 kDa domain of laminin called LG4-5
specifically binds to Ail. We also evaluated the contribution of laminin to
delivery of Yops to HEp-2 cells. This work constitutes a structural description
of how a bacterial outer membrane protein uses a multivalent approach to bind
host cells.
PMID- 22078568
TI - Classification of a Haemophilus influenzae ABC transporter HI1470/71 through its
cognate molybdate periplasmic binding protein, MolA.
AB - molA (HI1472) from H. influenzae encodes a periplasmic binding protein (PBP) that
delivers substrate to the ABC transporter MolB(2)C(2) (formerly HI1470/71). The
structures of MolA with molybdate and tungstate in the binding pocket were solved
to 1.6 and 1.7 A resolution, respectively. The MolA-binding protein binds
molybdate and tungstate, but not other oxyanions such as sulfate and phosphate,
making it the first class III molybdate-binding protein structurally solved. The
~100 MUM binding affinity for tungstate and molybdate is significantly lower than
observed for the class II ModA molybdate-binding proteins that have nanomolar to
low micromolar affinity for molybdate. The presence of two molybdate loci in H.
influenzae suggests multiple transport systems for one substrate, with molABC
constituting a low-affinity molybdate locus.
PMID- 22078567
TI - Structural basis for MU-opioid receptor binding and activation.
AB - Opioids that stimulate the MU-opioid receptor (MOR1) are the most frequently
prescribed and effective analgesics. Here we present a structural model of MOR1.
Molecular dynamics simulations show a ligand-dependent increase in the
conformational flexibility of the third intracellular loop that couples with the
G protein complex. These simulations likewise identified residues that form
frequent contacts with ligands. We validated the binding residues using site
directed mutagenesis coupled with radioligand binding and functional assays. The
model was used to blindly screen a library of ~1.2 million compounds. From the 34
compounds predicted to be strong binders, the top three candidates were examined
using biochemical assays. One compound showed high efficacy and potency. Post hoc
testing revealed this compound to be nalmefene, a potent clinically used
antagonist, thus further validating the model. In summary, the MOR1 model
provides a tool for elucidating the structural mechanism of ligand-initiated cell
signaling and for screening novel analgesics.
PMID- 22078569
TI - A conformational switch in the CRIB-PDZ module of Par-6.
AB - Here, we report a novel mechanism of PDZ (PSD-95/Dlg/ZO-1) domain regulation that
distorts a conserved element of PDZ ligand recognition. The polarity regulator
Par-6 assembles a conserved multiprotein complex and is directly modulated by the
Rho GTPase Cdc42. Cdc42 binds the adjacent Cdc42/Rac interactive binding (CRIB)
and PDZ domains of Par-6, increasing C-terminal ligand binding affinity by 10
fold. By solving structures of the isolated PDZ domain and a disulfide-stabilized
CRIB-PDZ, we detected a conformational switch that controls affinity by altering
the configuration of the conserved "GLGF" loop. As a result, lysine 165 is
displaced from the PDZ core by an adjacent hydrophobic residue, disrupting
coordination of the PDZ ligand-binding cleft. Stabilization of the CRIB:PDZ
interface restores K165 to its canonical location in the binding pocket. We
conclude that a unique "dipeptide switch" in the Par-6 PDZ transmits a signal for
allosteric activation to the ligand-binding pocket.
PMID- 22078570
TI - Improving blood safety and patient outcomes with pathogen reduction technology.
PMID- 22078571
TI - Heterogeneous telomere defects in patients with severe forms of dyskeratosis
congenita.
AB - BACKGROUND: Telomeres represent the tips of linear chromosomes. In human subjects
telomere maintenance deficiency leads to dyskeratosis congenita (DC), a rare
genetic disorder characterized by progressive bone marrow failure, accelerated
aging, and cancer predisposition. Hoyeraal-Hreidarsson syndrome (HH) is a severe
variant of DC in which an early onset of bone marrow failure leading to combined
immunodeficiency is associated with microcephaly, cerebellar hypoplasia, and
growth retardation. OBJECTIVES: Limited information is available on the cellular
and molecular phenotypes of cells from patients with HH. We analyzed fibroblasts
and whole blood cells from 5 patients with HH, 3 of them of unknown molecular
origin. METHODS: Telomere length, cellular senescence rate, telomerase activity,
telomeric aberration, and DNA repair pathways were investigated. RESULTS:
Although patients' cells exhibit dysfunctional telomeres, sharp differences in
the telomeric aberrations and telomere lengths were noted among these patients.
In some patients the dysfunctional telomere phenotype was unprecedented and
associated with either normal telomere length or with telomeric aberrations akin
to fragile telomeres. This result is of particular importance because the
molecular diagnosis of these patients is primarily based on telomere length,
which therefore misses a subset of patients with telomere dysfunction.
CONCLUSION: These observations provide the notions that (1) various telomere
defects can lead to similar clinical features, (2) telomere dysfunction in cells
from patients with DC/HH is not always associated with short telomeres, and (3)
additional factors, likely involved in telomere protection rather than in length
regulation, are responsible for a subset of DC/HH.
PMID- 22078572
TI - A rapid screening method to detect autosomal-dominant ectodermal dysplasia with
immune deficiency syndrome.
PMID- 22078573
TI - Effect of Lactobacillus GG on tolerance acquisition in infants with cow's milk
allergy: a randomized trial.
PMID- 22078574
TI - CD4-mediated regulatory T-cell activation inhibits the development of disease in
a humanized mouse model of allergic airway disease.
AB - BACKGROUND: Based on their potency to control allergic diseases, regulatory T
(Treg) cells represent a promising target for novel strategies to interfere with
allergic airway inflammation. We have previously demonstrated that stimulation of
the CD4 molecule on human Treg cells activates their suppressive activity in
vitro and in vivo. OBJECTIVE: We sought to determine the effect of CD4-mediated
Treg-cell activation on pulmonary inflammation in a humanized mouse model of
allergic airway inflammation. METHODS: PBMCs obtained from donors allergic to
birch pollen or from healthy donors were injected into NOD-severe combined
immunodeficiency gammac(-/-) mice, followed by allergen airway challenges and
analysis of airway responsiveness and inflammation. For Treg-cell activation,
mice were treated with the CD4-binding, lck-activating recombinant HIV-1 surface
protein gp120 after sensitization prior to allergen challenge. Control
experiments with CD25-depleted PBMCs were performed to evaluate the role of Treg
cells. RESULTS: PBMCs from allergic donors but not from healthy donors induced
airway inflammation and airway hyperresponsiveness. Treatment with gp120 prior to
allergen challenge abrogated airway hyperresponsiveness and reduced the
inflammatory immune response. In contrast, treatment had no effect on
inflammation and airway hyperresponsiveness in mice that received CD25-depleted
PBMCs, demonstrating Treg-cell dependency of disease prevention. CONCLUSION:
Allergic airway inflammation can be prevented by stimulation of human Treg cells
by CD4. These results suggest a clinical potential of Treg-cell activation by
high-affinity CD4 ligands in allergic diseases.
PMID- 22078575
TI - Generalized linear mixed model for segregation distortion analysis.
AB - BACKGROUND: Segregation distortion is a phenomenon that the observed genotypic
frequencies of a locus fall outside the expected Mendelian segregation ratio. The
main cause of segregation distortion is viability selection on linked marker
loci. These viability selection loci can be mapped using genome-wide marker
information. RESULTS: We developed a generalized linear mixed model (GLMM) under
the liability model to jointly map all viability selection loci of the genome.
Using a hierarchical generalized linear mixed model, we can handle the number of
loci several times larger than the sample size. We used a dataset from an F(2)
mouse family derived from the cross of two inbred lines to test the model and
detected a major segregation distortion locus contributing 75% of the variance of
the underlying liability. Replicated simulation experiments confirm that the
power of viability locus detection is high and the false positive rate is low.
CONCLUSIONS: Not only can the method be used to detect segregation distortion
loci, but also used for mapping quantitative trait loci of disease traits using
case only data in humans and selected populations in plants and animals.
PMID- 22078576
TI - Reliability analysis for a proposed critical appraisal tool demonstrated value
for diverse research designs.
AB - OBJECTIVE: To examine the reliability of scores obtained from a proposed critical
appraisal tool (CAT). STUDY DESIGN AND SETTING: Based on a random sample of 24
health-related research papers, the scores from the proposed CAT were examined
using intraclass correlation coefficients (ICCs), generalizability theory, and
participants' feedback. RESULTS: The ICC for all research papers was 0.83
(consistency) and 0.74 (absolute agreement) for four participants. For individual
research designs, the highest ICC (consistency) was for qualitative research
(0.91) and the lowest was for descriptive, exploratory and observational research
(0.64). The G study showed a moderate research design effect (32%) for scores
averaged across all papers. The research design effect was mainly in the
Sampling, Results, and Discussion categories (44%, 36%, and 34%, respectively).
The scores for research designs showed a majority paper effect for each (53-70%),
with small to moderate rater or paper*rater interaction effects (0-27%).
CONCLUSIONS: Possible reasons for the research design effect were that the
participants were unfamiliar with some of the research designs and that papers
were not matched to participants' expertise. Even so, the proposed CAT showed
great promise as a tool that can be used across a wide range of research designs.
PMID- 22078577
TI - Re: ICUD-EAU International Consultation on Kidney Cancer 2010: Treatment of
metastatic disease.
PMID- 22078578
TI - Re: The impact of targeted molecular therapies on the level of renal cell
carcinoma vena caval tumor thrombus.
PMID- 22078579
TI - Re: Safety of presurgical targeted therapy in the setting of metastatic renal
cell carcinoma.
PMID- 22078580
TI - Re: Primary tumor response to targeted agents in patients with metastatic renal
cell carcinoma.
PMID- 22078582
TI - Discrepancy between clinical and pathological stage: external validation of the
impact on prognosis in an international radical cystectomy cohort.
PMID- 22078583
TI - Vascular endothelial growth factor-C associated with computed tomography used in
the diagnosis of lymph node metastasis of bladder carcinoma.
PMID- 22078584
TI - Re: Abiraterone and increased survival in metastatic prostate cancer.
PMID- 22078585
TI - Re: Denosumab versus zoledronic acid for treatment of bone metastases in men with
castration-resistant prostate cancer: a randomised, double-blind study.
PMID- 22078586
TI - Re: Management of seminomatous testicular cancer: a binational prospective
population-based study from the Swedish Norwegian testicular cancer study group.
PMID- 22078587
TI - Re: A clinically relevant approach to imaging prostate cancer: review.
PMID- 22078588
TI - Re: Depressive disorders and panic attacks in women with bladder pain
syndrome/interstitial cystitis: a population-based sample.
PMID- 22078589
TI - Re: Genitourinary infections after a routine pelvic exam.
PMID- 22078590
TI - Re: Normalization of proliferation and tight junction formation in bladder
epithelial cells from patients with interstitial cystitis/painful bladder
syndrome by d-proline and d-pipecolic acid derivatives of antiproliferative
factor.
PMID- 22078591
TI - Re: Bladder pain syndrome treated with triple therapy with gabapentin,
amitriptyline, and a nonsteroidal anti-inflammatory drug.
PMID- 22078592
TI - Re: Evaluating an evidence-based bundle for preventing surgical site infection: a
randomized trial.
PMID- 22078593
TI - Re: Microperforations of surgical gloves in urology: minimally invasive versus
open surgeries.
PMID- 22078594
TI - Re: Body mass index and the risk of infections in institutionalised geriatric
patients.
PMID- 22078595
TI - Re: Urine cultures from indwelling bladder catheters in nursing home patients: a
point prevalence study in a Swedish county.
PMID- 22078600
TI - Re: Does timing of presentation of penile fracture affect outcome of surgical
intervention?
PMID- 22078599
TI - Re: The use of penile skin graft versus penile skin flap in the repair of long
bulbo-penile urethral stricture: a prospective randomized study.
PMID- 22078601
TI - Re: Penile implant utilization following treatment for prostate cancer: analysis
of the SEER-Medicare database.
PMID- 22078602
TI - Re: Intra-abdominal reservoir placement during penile prosthesis surgery in post
robotically assisted laparoscopic radical prostatectomy patients: a case report
and practical considerations.
PMID- 22078603
TI - Re: Effective surgical safety checklist implementation.
PMID- 22078604
TI - Re: Preoperative risk factors for postoperative delirium (POD) after urological
surgery in the elderly.
PMID- 22078605
TI - Re: Management of rectal injury during robotic radical prostatectomy.
PMID- 22078606
TI - Re: Factors affecting recovery of functional status in older adults after cancer
surgery.
PMID- 22078607
TI - Re: Accumulated frailty characteristics predict postoperative discharge
institutionalization in the geriatric patient.
PMID- 22078608
TI - Re: Readmissions in the postoperative period following urinary diversion.
PMID- 22078610
TI - Re: The diagnostic value of abdominal ultrasound, urine cytology and prostate
specific antigen testing in the lower urinary tract symptoms clinic.
PMID- 22078612
TI - Re: Kidney transplantation from donation after cardiac death donors: lack of
impact of delayed graft function on post-transplant outcomes.
PMID- 22078611
TI - Re: Mortality trends for benign prostatic hyperplasia and prostate cancer in
English populations 1979-2006.
PMID- 22078613
TI - Re: Risk of window period HIV infection in high infectious risk donors:
systematic review and meta-analysis.
PMID- 22078614
TI - Re: Ex-vivo ureteroscopy at the time of live donor nephrectomy.
PMID- 22078615
TI - Re: Native nephrectomy for renal cell carcinoma in transplant recipients.
PMID- 22078616
TI - Re: Validating the patient safety indicators in the Veterans Health
Administration: are they ready for prime time?
PMID- 22078617
TI - Re: Assessment of quality of cancer-related follow-up care from the cancer
survivor's perspective.
PMID- 22078618
TI - Re: Perioperative surgical findings in congenital and acquired undescended
testis.
PMID- 22078619
TI - Re: Outcomes of scrotal exploration for acute scrotal pain suspicious of
testicular torsion: a consecutive case series of 173 patients.
PMID- 22078621
TI - Re: Are antibiotics necessary for pediatric epididymitis?
PMID- 22078620
TI - Re: The Cleveland Clinic experience with adult hypospadias patients undergoing
repair: their presentation and a new classification system.
PMID- 22078622
TI - Re: Reduction of plasma oxalate levels by oral application of Oxalobacter
formigenes in 2 patients with infantile oxalosis.
PMID- 22078624
TI - Re: Role of autonomous androgen receptor signaling in prostate cancer initiation
is dichotomous and depends on the oncogenic signal.
PMID- 22078625
TI - Re: Differential transformation capacity of Src family kinases during the
initiation of prostate cancer.
PMID- 22078626
TI - Re: The cancer/testis antigen prostate-associated gene 4 (PAGE4) is a highly
intrinsically disordered protein.
PMID- 22078627
TI - Re: Developmental control of apoptosis by the immunophilin aryl hydrocarbon
receptor-interacting protein (AIP) involves mitochondrial import of the survivin
protein.
PMID- 22078628
TI - Re: Pioglitazone attenuates prostatic enlargement in diet-induced insulin
resistant rats by altering lipid distribution and hyperinsulinaemia.
PMID- 22078629
TI - A successful approach to long-acting contraceptive implants in primary care.
AB - BACKGROUND: Subdermal implants of etonogestrol are an effective method of
contraception but have a high discontinuation rate often due to irregular uterine
bleeding. Implants are often placed in specialist environments away from the
woman's usual primary health care provider in the UK. STUDY DESIGN: The aim of
the study was to assess the rate of (and reasons for) discontinuation of the
implant using a two-stage procedure for device placement in primary care.
RESULTS: One hundred thirty-one implants were placed between July 2005 and
September 2009. Follow-up was complete at 9th November 2010, with the exception
of seven women who left the practice area. The median age at placement was 24.0
years (interquartile range, 18.0-32.8 years). At 1 year, 90% of implants were
still in place. Twelve implants (10%) were removed: seven were for irregular
bleeding, three were for weight gain and one each was for acne and wishing to
conceive. Over the next year, a further nine were removed (three for irregular
bleeding, one to conceive and one each for acne, low mood, abdominal pain and
amenorrhea). Two more were removed at 25 and 27 months (both for irregular
bleeding), with no further implants removed thereafter other than to exchange for
a new implant at 36 months. There were no pregnancies in 3595 women-months.
CONCLUSIONS: Etonogestrel implants can be safely and successfully inserted in
primary care by well-trained users. It is possible for well-trained users to
achieve a very high device retention rate in primary care compared with published
data from other practice settings.
PMID- 22078630
TI - When did family planning become a risky proposition?
PMID- 22078631
TI - Evaluation of oxidative stress after treatment with low estrogen contraceptive
either alone or associated with specific antioxidant therapy.
AB - BACKGROUND: The aim of the study is to analyze the effect of low estrogen
contraceptives (OC) on oxidative stress (OS) and concomitantly also the changes
produced by different treatments using physiological modulators (PMs) with
antioxidant action. STUDY DESIGN: Sixty-four female volunteers using a low
estrogen OC regimen were analyzed for their OS status through the reactive oxygen
metabolites-derived compounds (d-ROMs) test, during 5 different cycles (Cycle I
to V). Three experiments were performed. RESULTS: In the first experiment (Cycle
I), OS showed almost a constant 50% increase in all the determinations. In the
second experiment (Cycles II and III), the participants were divided into two
groups and treated double-blind with a placebo or with a PM (MF Templar(r)) that
significantly reduced OS, on average by 69%, while the placebo had no effect. In
the third experiment (Cycles IV and V), the placebo group was divided into three
subgroups, A, B, C and treated, respectively, with MF Templar(r), green tea
containing the same amount of catechins present in MF Templar(r) or with MF
Templar(r) devoid of catechins. Only the complete product, MF Templar(r), was
able to reduce OS levels, on average by 70%. CONCLUSION: We conclude that to
control the OS generated by OC, specific types of PMs are needed. In particular
MF Templar(r) was able to induce a significant reduction of OS levels.
PMID- 22078632
TI - The effect of obesity and low-dose oral contraceptives on carbohydrate and lipid
metabolism.
AB - BACKGROUND: Combination oral contraceptives (OCs) have little effect on
carbohydrate and lipid metabolism in normal-weight women. Based on lack of change
in intermediate markers, as well as results of epidemiologic studies, low-dose
OCs do not increase the risk of diabetes or cardiovascular disease. Obesity is a
risk factor for impaired glucose tolerance, diabetes and coronary artery disease,
and most previous OC studies excluded these women; thus, we have limited
information about carbohydrate and lipid metabolism in obese OC users. STUDY
DESIGN: This study compared changes in carbohydrate and lipid parameters in 71
normal-weight and 38 obese women initiating the OC. Women were randomized to two
pills: 30 mcg ethinyl estradiol (EE)/150 mcg levonorgestrel (LNG) or 20 mcg
EE/100 mcg LNG. Participants underwent baseline and cycle-3 measurements of
fasting serum glucose; insulin; triglycerides and total, low-density lipoprotein
(LDL) and high-density lipoprotein (HDL) cholesterol. RESULTS: Normal-weight and
obese participants experienced similar changes in mean glucose, insulin and log
homeostatic model assessment, as well as similar changes in total cholesterol,
HDL and triglycerides; however, change in mean LDL (-4.9+/-20.6 mg/dL vs. +3.8+/
17.3 mg/dL) was different between the obese and normal-weight groups,
respectively. Among the obese participants, change in glucose was marginally
greater with the higher dose pill (p=.06); otherwise, changes between the body
mass index groups were not modified by pill dose. CONCLUSIONS: Obesity had little
effect on any OC-induced changes in carbohydrate or lipid metabolism except for a
borderline adverse interaction between obesity and OC dose with respect to
fasting glucose and a positive interaction between obesity and OC use with
respect to LDL cholesterol.
PMID- 22078633
TI - Cytokine & chemokine response in the lungs, pleural fluid and serum in thoracic
surgery using one-lung ventilation.
AB - BACKGROUND: Thoracic surgery mandates usually a one-lung ventilation (OLV)
strategy with the collapse of the operated lung and ventilation of the non
operated lung. These procedures trigger a substantial inflammatory response. The
aim of this study was to analyze the cytokine and chemokine reaction in both
lungs, pleural space and blood in patients undergoing lung resection with OLV
with special interest in the chemokine growth-regulated peptide alpha (GROalpha)
which is the human equivalent to the rat cytokine-induced neutrophil
chemoattractant-1 (CINC-1). METHODS: Broncho-alveolar lavage (BAL) fluid of both
the collapsed, operated and the ventilated, non-operated lung, respectively,
pleural space drainage fluid and blood was collected and the concentrations of
interleukin (IL)-6, IL-1RA and GROalpha were determined with enzyme-linked
immunosorbent assays in 15 patients. RESULTS: Substantial inter-individual
differences in the BAL fluid between patients in cytokine and chemokine levels
occurred. In the pleural fluid and the blood these inter-individual differences
were less pronounced. Both sides of the lung were affected and showed a
significant increase in IL-6 and IL-1RA concentrations over time but not in
GROalpha concentrations. Except for IL-6, which increased more in the collapsed,
operated lung, no difference between the collapsed, operated and the ventilated,
non-operated lung occurred. In the blood, IL-6 and IL-1RA increased early,
already at the end of surgery. GROalpha was not detectable. In the pleural fluid,
both cytokine and chemokine concentrations increased by day one. The increase was
significantly higher in the pleural fluid compared to the blood. CONCLUSION: The
inflammatory response of cytokines affects both the collapsed, operated and the
ventilated, non-operated lungs. The difference in extent of response underlines
the complexity of the inflammatory processes during OLV. In contrast to the
cytokines, the chemokine GROalpha concentrations did not react in the BAL fluid
or in the blood. This indicates that GROalpha might not be useful as marker for
the inflammatory reaction in complex surgical procedures.
PMID- 22078634
TI - Interleukin-13 enhanced Ca2+ oscillations in airway smooth muscle cells.
AB - Physiological mechanisms associated with interleukin-13 (IL-13), a key cytokine
in asthma, in intracellular Ca(2+) signaling in airway smooth muscle cells
(ASMCs) remain unclear. The aim of this study was to assess effects of IL-13 on
Ca(2+) oscillations in response to leukotriene D4 (LTD4) in human cultured ASMCs.
LTD4-induced Ca(2+) oscillations in ASMCs pretreated with IL-13 were imaged by
confocal microscopy. mRNA expressions of cysteinyl leukotriene 1 receptors
(CysLT1R), CD38, involved with the ryanodine receptors (RyR) system, and
transient receptor potential canonical (TRPC), involved with store-operated
Ca(2+) entry (SOCE), were determined by real-time PCR. In IL-13-pretreated ASMCs,
frequency of LTD4-induced Ca(2+) oscillations and number of oscillating cells
were significantly increased compared with untreated ASMCs. Both xestospongin C,
a specific inhibitor of inositol 1,4,5-triphosphate receptors (IP(3)R), and
ryanodine or ruthenium red, inhibitors of RyR, partially blocked LTD4-induced
Ca(2+) oscillations. Ca(2+) oscillations were almost completely inhibited by 50
MUM of 2-aminoethoxydiphenyl borate (2-APB), which dominantly blocks SOCE but not
IP(3)R at this concentration. Pretreatment with IL-13 increased the mRNA
expressions of CysLT1R and CD38, but not of TRPC1 and TRPC3. We conclude that IL
13 enhances frequency of LTD4-induced Ca(2+) oscillations in human ASMCs, which
may be cooperatively modulated by IP(3)R, RyR systems and possibly by SOCE.
PMID- 22078635
TI - IL-4 acts as a potent stimulator of IFN-gamma expression in CD8+ T cells through
STAT6-dependent and independent induction of Eomesodermin and T-bet.
AB - CD8+ T cell synthesis of IFN-gamma is an important component of the CD8+ T cell
immune response. In short-term cultures of murine pan-T cells, we found that IL-4
was the principal cytokine responsible for driving IFN-gamma synthesis by
CD3/CD28-activated CD8+ T cells. IL-4 was able to induce low levels of IFN-gamma
mRNA in CD8+ T cells even in the absence of CD3/CD28 engagement, although
concomitant CD3/CD28 stimulation was necessary for IFN-gamma secretion. IL-4
induction of IFN-gamma was explained by its ability to induce Eomesodermin and T
bet transcription factors whose expression was further increased by CD3/CD28.
Expression of Eomesodermin, T-bet and IFN-gamma induced by IL-4 was partially
dependent upon activation of MAPK and PI3K but independent of the canonical IL-4
activated transcription factor, STAT6. In contrast, expression of IFN-gamma
induced by IL-4/CD3/CD28 stimulation showed additional dependency upon STAT6
which functions to increase expression of Eomesodermin specifically. These novel
findings point to a function for IL-4 as a direct regulator of IFN-gamma
expression in CD8+ T cells and reveal the molecular mechanisms involved.
PMID- 22078636
TI - Urine IgM-excretion as a prognostic marker for progression of type 2 diabetic
nephropathy.
AB - Although the clinical manifestations of type 2 diabetic nephropathy and decline
in kidney function are similar to those in type 1, the clinical course and the
renal structural changes are more heterogeneous in type 2 diabetic patients.
Previous studies have shown that an increased urine IgM excretion in patients
with type 1 diabetic nephropathy was associated with poor outcome. In the present
follow-up study we examine the prognostic value of baseline urine IgM excretion
in patients with type 2 diabetes mellitus. METHODS: A cohort of 106 (74 male and
32 female) patients with type 2 diabetes regularly attending our diabetes out
patient clinic at Skane University Hospital in Lund. They were recruited
prospectively under the period between 1992 and 2004. Patients were followed-up
until January 2009. The end point was cardiovascular (CV) death or end-stage
renal disease (ESRD). The median follow-up time was 5 years (0.5-13 years).
Participants were divided according to degree of albuminuria and IgM-uria.
RESULTS: During follow-up time, 28 (19 male and 9 female) patients died of CV
events and 41 (26 male and 15 female) developed ESRD. The risk of CV mortality
was 2.4 fold, and the risk of renal failure 4.9 fold higher in patients with
increased urine IgM excretion compared to patients with low urine IgM excretion.
Stratified analysis showed that an increased urine IgM excretion was an
independent predictor of renal and cardiovascular death irrespective of the
degree of albuminuria (HR=3.6, 95% CI: 2.1-6.0, P<0.001). In conclusion, type 2
diabetic nephropathy patients with high urine IgM excretion rates carry an
increased risk of renal and cardiovascular death.
PMID- 22078637
TI - Health problems and disability in long-term sickness absence: ICF coding of
medical certificates.
AB - BACKGROUND: The purpose of this study was to test the feasibility of
International Classification of Functioning, Disability and Health (ICF) and to
explore the distribution, including gender differences, of health problems and
disabilities as reflected in long-term sickness absence certificates. METHODS: A
total of 433 patients with long sick-listing periods, 267 women and 166 men, were
included in the study. All certificates exceeding 28 days of sick-listing sent to
the local office of the Swedish Social Insurance Administration of a municipality
in the Stockholm area were collected during four weeks in 2004-2005. ICD-10
medical diagnosis codes in the certificates were retrieved and free text
information on disabilities in body function, body structure or activity and
participation were coded according to ICF short version. RESULTS: In 89.8% of the
certificates there were descriptions of disabilities that readily could be
classified according to ICF. In a reliability test 123/131 (94%) items of
randomly chosen free text information were identically classified by two of the
authors. On average 2.4 disability categories (range 0-9) were found per patient;
the most frequent were 'Sensation of pain' (35.1% of the patients), 'Emotional
functions' (34.1%), 'Energy and drive functions' (22.4%), and 'Sleep functions'
(16.9%). The dominating ICD-10 diagnostic groups were 'Mental and behavioural
disorders' (34.4%) and 'Diseases of the musculoskeletal system and connective
tissue' (32.8%). 'Reaction to severe stress and adjustment disorders' (14.7%),
and 'Depressive episode' (11.5%) were the most frequent diagnostic codes.
Disabilities in mental functions and activity/participation were more commonly
described among women, while disabilities related to the musculoskeletal system
were more frequent among men. CONCLUSIONS: Both ICD-10 diagnoses and ICF
categories were dominated by mental and musculoskeletal health problems, but
there seems to be gender differences, and ICF classification as a complement to
ICD-10 could provide a better understanding of the consequences of diseases and
how individual patients can cope with their health problems. ICF is feasible for
secondary classifying of free text descriptions of disabilities stated in sick
leave certificates and seems to be useful as a complement to ICD-10 for sick
listing management and research.
PMID- 22078638
TI - Adherence to breast and cervical cancer screening in Spanish women with diabetes:
associated factors and trend between 2006 and 2010.
AB - AIM: This study aimed to assess the adherence to breast and cervical cancer
screening of women with diabetes mellitus (DM), and the associated factors and
trend of use over time of these preventative services between 2006 and 2010 in
Spain. METHODS: The study used data from a population of women aged greater or
equal to 18 years (n=11,957) who participated in the European Health Interview
Survey in Spain (EHISS, 2009). Diabetes status was self-reported and included
those with type 2 DM. Adherence to screening for cancer prevention was assessed
by asking women aged greater or equel to 40 years whether they had undergone
mammography and a Papanicolaou (Pap) cervical smear (in those aged 18-69 years)
within the previous 2 and 3 years, respectively. Independent variables included
sociodemographic and health-related characteristics. Also, the age-standardized
prevalences of mammography and Pap smear uptake were compared in women with
diabetes between 2006 and 2010. RESULTS: Among the diabetic women, 37.9%
underwent mammography and 49.1% had a Pap smear vs 53.8% and 64%, respectively,
in women without diabetes, with corresponding adjusted odds ratios of 0.81 (95%
CI: 0.68-0.97) and 0.74 (95% CI: 0.60-0.91). Among diabetic women, attending more
"physician visits" was a positive predictor of having both screening tests. Also,
a higher monthly income level was associated with mammography uptake, and a
higher educational level with Pap smear uptake. There was also a significant
decrease in mammography screening uptake between 2006 and 2010 compared with a
stable rate of uptake of cervical cancer screening. CONCLUSION: Spanish women
with diabetes consistently underuse breast and cervical cancer screening tests
compared with non-diabetic women. The decline in mammography uptake rates needs
to be carefully monitored and may even call for intervention.
PMID- 22078639
TI - The question shapes the answer: the neural correlates of task differences reveal
dynamic semantic processing.
AB - Task effects in semantic processing were investigated by contrasting the neural
activation associated with two semantic categorization tasks (SCT) using event
related fMRI. The two SCTs involved different decision categories: is it an
animal? vs. is it a concrete thing? Participants completed both tasks and, across
participants, the same core set of items were presented in both tasks. Results
showed task differences in the neural activation associated with these items: in
the animal SCT there was greater activation in a number of frontal and temporal
regions, including left superior and middle temporal gyri, while in the concrete
SCT there was greater activation in left medial frontal gyrus and bilaterally in
the precentral gyri. These results are interpreted as evidence of top-down
modulation of semantic processing; participants make adjustments to optimize
performance in a given task and these adjustments have consequences for the
activation observed.
PMID- 22078640
TI - From TAVI to TAVR: transforming imagination into reality.
PMID- 22078641
TI - Absence of circadian variation of acute coronary syndrome onset in chronic kidney
disease patients.
AB - BACKGROUND: Several studies have reported on the circadian variation in acute
coronary syndrome (ACS) onset. The influence of morning blood pressure surge,
platelet aggregation and sympathetic activity is believed to cause this circadian
variation. At the same time, a high frequency of ACS and sympathetic nerve
hyperactivity has been reported in chronic kidney disease (CKD). Therefore, we
investigated the relationship between CKD and the circadian variation in ACS.
METHODS: This study included 460 consecutive patients undergoing primary
percutaneous coronary intervention for ACS between 2003 and 2009. Patients
undergoing hemodialysis were excluded. The subjects were divided into two groups
according to the value of estimated glomerular filtration rate (eGFR): CKD group
[eGFR <= 60 ml/min/1.73 m2 by Modification of Diet in Renal Disease (MDRD)
equation] and No CKD group (eGFR > 60 ml/min/1.73 m2 by MDRD equation). Clinical
and angiographic characteristics, as well as the time distribution of ACS, were
compared between the two groups. RESULTS: There were no significant differences
in clinical and angiographic characteristics between the two groups. A
significant increase in morning coronary events was observed in the No CKD group.
This increase was absent in the CKD group. CONCLUSIONS: The existence of CKD
affected the circadian variation associated with the more frequent ACS onset
observed in the No CKD group patients. Probably, these data may suggest the cause
of frequent cardiovascular events in CKD patients.
PMID- 22078642
TI - Improving patient outcomes in glioblastoma through integration of recent data:
introduction.
PMID- 22078643
TI - The impact of recent data on the optimization of standards of care in newly
diagnosed glioblastoma.
AB - Glioblastoma is an aggressive form of brain cancer with a poor long-term
prognosis. Treatment regimens for newly diagnosed disease range from surgical
resection alone to surgery followed by radiotherapy with concurrent and adjuvant
chemotherapy. Ongoing investigations are focused on optimization of chemotherapy
by improving dosing and duration schedules and utilization of biomarkers for
patient selection. Our understanding of glioblastoma tumor biology, the role of
molecular signaling pathways, cellular repair mechanisms, and angiogenesis has
increased greatly over the past few years, leading to the investigation of a
variety of targeted therapies. In addition, advances in radiographic assessment
have significantly impacted not only improvement in diagnosis, but interpretation
of response to therapy. In order to effectively evaluate the clinical utility of
new agents, as well as incorporate advances in radiographic assessment, changes
to current clinical trial design need to be considered. This article reviews the
care for newly diagnosed glioblastoma, as well as how recent findings might be
incorporated into patient care.
PMID- 22078644
TI - Understanding glioblastoma tumor biology: the potential to improve current
diagnosis and treatments.
AB - Glioblastoma (GBM) is a highly malignant brain cancer characterized by
uncontrolled cellular proliferation, diffuse infiltration, a tendency for
necrosis, significant angiogenesis, intense resistance to apoptosis, and
widespread genomic aberrations. Prognosis is poor and treatments are largely
palliative, although there are subsets of patients that have prolonged survival.
Greater understanding of the tumor biology of GBM has been achieved in the past
decade, leading to the prospect of novel targeted therapies and biomarker-based
individualization of therapy. The goal of this review is to describe the tumor
biology and pathologic features of GBM, guidelines for classification and
diagnosis, the current status of prognostic and predictive biomarkers, and the
role of the blood-brain barrier in delivering therapy for GBM.
PMID- 22078645
TI - Recurrent glioblastoma: a fresh look at current therapies and emerging novel
approaches.
AB - Despite international efforts, the treatment of recurrent glioblastoma (GBM)
remains challenging. Although advances in surgical resection, the use of
radiotherapy, and, predominantly, improved medical therapies have led to
incremental improvements in median survival, few options exist for the management
of recurrent or resistant disease. Insight into the molecular pathogenesis of GBM
has led to the recent development of targeted therapeutic strategies aimed at the
interruption of key molecular signaling pathways. However, due to the complex and
redundant activation of the signaling mechanisms in GBM tumors, the evaluation of
targeted agents in clinical trials has been largely limited. The ongoing effort
to identify effective strategies for the treatment of recurrent GBM includes
combination strategies with agents that target complementary or redundant
pathways. Incorporation of novel trial designs that permit simultaneous
evaluation of several agent combinations and allow for rapid discontinuation of
ineffective regimens can accelerate the clinical evaluation of such candidate
regimens. This review discusses strategies and outcomes of existing and emerging
treatment approaches, and the challenges associated with the integration of novel
therapies into clinical practice.
PMID- 22078646
TI - Altered inhibition of negative emotions in subjects at family risk of major
depressive disorder.
AB - Unaffected 1st degree relatives of patients with major depressive disorder (MDD)
are more likely to develop MDD than healthy controls. The aim of our study was to
establish neuronal correlates of familial susceptibility in the process of
inhibition of emotional information. Unaffected 1st degree relatives of patients
with MDD (N = 21) and matched healthy controls (N = 25) underwent a functional
magnetic resonance imaging procedure with an inhibition task. Blood oxygenated
level dependent signal was evaluated for the two groups during inhibition of
positive, negative and neutral information. In a 2 * 3 ANOVA unaffected relatives
of patients with MDD were compared to healthy controls, jointly and separately
for all three levels of emotional valence of the information. The interaction
between group and emotional valence of the inhibited information was significant,
indicating "a negative neural drift" in unaffected relatives of patients with
MDD. The unaffected relatives of patients with MDD displayed an increased
activation during inhibiting of negative material in the right middle cingulate
cortex and the left caudate nucleus (p < 0.05, family wise error corrected).
There was no difference between the two groups in terms of inhibiting positive or
neutral stimuli. Our findings provide the first evidence that unaffected
relatives of patients with MDD differ from the standard population in terms of
neural correlates of inhibition of negative emotional information. Overactivation
of cingulate cortex and caudate nucleus may indicate a learnt strategy aimed at
coping with increased susceptibility to negative information schemata and may
have future consequences for therapy.
PMID- 22078647
TI - Usefulness of procalcitonin and C-reactive protein in the acute meningitis in the
emergency department.
PMID- 22078648
TI - Spanish consensus on the use of natalizumab (Tysabri((r)))--2011.
AB - INTRODUCTION: Natalizumab is very effective at reducing relapses and delaying
disease progression in patients with relapsing-remitting multiple sclerosis
(RRMS). However, treatment has also been associated with a risk of progressive
multifocal leukoencephalopathy (PML). The aim of this article is to provide a
consensus view on the assessment and stratification of these risks, and to
improve the management of natalizumab-treated patients. DEVELOPMENT: At an
initial meeting of experts on multiple sclerosis (the authors of this consensus),
the relevant topics of the consensus were determined and assigned for further
elaboration. Topics included how to establish benefit and risk in general,
stratification for risk of PML, informing patients of benefits/risks, and how to
monitor patients during treatment and after discontinuing treatment. During the
drafting phase, all available information published or presented at international
meetings was reviewed. After a series of review sessions and meetings, the final
draft was produced. CONCLUSIONS: Although natalizumab is a very effective drug,
its use needs to be considered carefully in view of possible adverse effects and
the risk of PML in particular. The neurologist should carefully explain the risks
and benefits of treatment in terms the patient can best understand. Before
starting treatment, baseline laboratory tests and magnetic resonance imaging
(MRI) should be available for future comparisons in the event of suspected PML.
The risk of PML should be stratified into high, medium and low risk groups
according to presence or absence of anti-JC virus antibodies, prior
immunosuppressive therapy, and treatment duration. The follow-up, and frequency
of MRI scans in particular, should depend on the risk group to which patient
belongs. As our understanding of the risk factors for PML develops, it should be
possible to offer patients increasingly individualised therapy. This is a
consensus that establishes general recommendations, but neurologists must use
their clinical expertise to monitor patients individually.
PMID- 22078649
TI - [Whipple's disease: multiple systemic and neurological relapses. Reply].
PMID- 22078650
TI - Unilateral eyelid myokymia as a form of presentation of multiple sclerosis.
PMID- 22078651
TI - Drug-resistant epilepsy: current recommendations for diagnosis and treatment in
Spain.
AB - INTRODUCTION: Drug-resistant epilepsy (DRE) is a top-priority social health
problem which requires early individual treatment due to its dramatic
repercussions for the patient and society. DEVELOPMENT: The International League
Against Epilepsy (ILAE) has recently defined DRE as that in which the seizures
are not controlled after having correctly taken two appropriate and well
tolerated anti-epileptic drugs, with lack of control being understood as the
appearance of seizures within one year or in a period less than three times the
inter-seizure interval before starting treatment. This International Society
recommends a rapid and detailed assessment of all patients in an Epilepsy Unit. A
Clinical Epilepsy Unit (CEU) is understood as a group of professionals who,
acting in collaboration, have the diagnosis and treatment of the patient with
epilepsy as their primary objective. CEUs in Spain may be stratified into
different levels depending on the activity carried out in each of them. The
specific epilepsy clinic is considered the fundamental type of CEU and includes
the necessary figure of an expert in epilepsy. Prolonged video-monitoring is
performed in medical CEUs. In medical-surgical CEUs epilepsy surgery with varying
degrees of difficulty is also performed. CONCLUSIONS: All CEUs must cooperate
with consensus protocols, and there must be a two-way flow between them.
Stratification of CEUs increases efficacy and efficiency, due to there being a
sufficient number of them to ensure easy access by all patients with epilepsy.
PMID- 22078653
TI - A patient with convulsive syndrome and partial tetrasomy of chromosome 15.
PMID- 22078652
TI - Consensus-recommended diagnostic and therapeutic guidelines for drug-resistant
epilepsy in Spain (Consenso RATE-Espana).
AB - OBJECTIVE: To ascertain the opinions of an Epilepsy Expert Group and prepare a
consensus document on the definition of drug-resistant epilepsy (DRE) according
to the International League Against Epilepsy (ILAE) and the different healthcare
levels for the patient with epilepsy in Spain. MATERIAL AND METHODS: The study
was conducted using the Delphi method, by means of successive rounds of
questionnaires. A scientific committee prepared a preliminary document and
fourteen associated questions, which were sent by e-mail to the panel of experts.
They included items related to the concept of DRE, health care levels and the
route between these levels for patients with DRE. RESULTS: A total of 41 experts
answered the questionnaire. They agreed regarding the necessity and applicability
of the DRE definition according to the ILAE, the need for an expert panel on
epilepsy, specialist epilepsy clinics, and clinical epilepsy units stratified
depending on the level of activities they carried out. There was moderate
consensus on the resources and activity of the clinical units of reference and
there was no consensus on the referral of patients who have suffered an epileptic
seizure to an epilepsy clinic. CONCLUSIONS: The expert panel agreed with the
definition of DRE according to the ILAE and on referring patients with DRE for a
detailed study in an epilepsy clinic or epilepsy clinical unit. They highlighted
the need for video-EEG monitoring in the study of patients with DRE and the need
to propose other forms of treatment in selected patients.
PMID- 22078654
TI - Acute persistent dysarthria and dizziness with previous neurological study: is it
enough to establish a diagnosis?
PMID- 22078656
TI - Altered patterns of toll-like receptor gene expression in cull cows infected with
Mycobacterium avium subsp. paratuberculosis.
AB - Johne's disease caused by Mycobacterium avium subsp. paratuberculosis (MAP), is a
chronic enteric disease of cattle. The mechanism how MAP can co-exist in the
gastro-intestinal tract despite a massive infiltration of immune cells is not
known. Toll-like receptors (TLRs) are known to play an important role in both
innate and acquired immune responses but it is unclear what role different TLRs
play in response to MAP. In this study, 38 cull cows from herds infected with MAP
were classified into four groups, based on MAP culture from gut tissues and
histopathological lesion scores. The expression of TLR1, 2 and 4 mRNA from MAP
antigen-stimulated mesenteric lymph node (MLN) cultures and peripheral blood
mononuclear cells (PBMCs) and in the MLN and ileum tissues of these animals was
determined. MAP antigen-specific expression of TLR1 in MLN and PBMC was
significantly lower in the MAP-infected groups than the non-infected control
group, suggesting that in MAP-infected animals there is impairment in the up
regulation of TLR1 in response to MAP antigen. TLR4 expression in MLN tissues was
significantly higher in the severely infected group than the control group
suggesting up-regulation of endogenous TLR4 expression at a site of MAP infection
in animals severely affected with Johne's disease. A preliminary screening of
TLR1, 2 and 4 in the cull cows revealed the presence of polymorphisms in TLR1 and
TLR2. In summary, one mechanism how MAP may subvert the immune system is that
there is an apparent lack of recognition of MAP antigens as foreign by TLR1 in
MAP-infected cows.
PMID- 22078655
TI - The feasibility of age-specific travel restrictions during influenza pandemics.
AB - BACKGROUND: Epidemiological studies have shown that imposing travel restrictions
to prevent or delay an influenza pandemic may not be feasible. To delay an
epidemic substantially, an extremely high proportion of trips (~99%) would have
to be restricted in a homogeneously mixing population. Influenza is, however,
strongly influenced by age-dependent transmission dynamics, and the effectiveness
of age-specific travel restrictions, such as the selective restriction of travel
by children, has yet to be examined. METHODS: A simple stochastic model was
developed to describe the importation of infectious cases into a population and
to model local chains of transmission seeded by imported cases. The probability
of a local epidemic, and the time period until a major epidemic takes off, were
used as outcome measures, and travel restriction policies in which children or
adults were preferentially restricted were compared to age-blind restriction
policies using an age-dependent next generation matrix parameterized for
influenza H1N1-2009. RESULTS: Restricting children from travelling would yield
greater reductions to the short-term risk of the epidemic being established
locally than other policy options considered, and potentially could delay an
epidemic for a few weeks. However, given a scenario with a total of 500 imported
cases over a period of a few months, a substantial reduction in the probability
of an epidemic in this time period is possible only if the transmission potential
were low and assortativity (i.e. the proportion of contacts within-group) were
unrealistically high. In all other scenarios considered, age-structured travel
restrictions would not prevent an epidemic and would not delay the epidemic for
longer than a few weeks. CONCLUSIONS: Selectively restricting children from
traveling overseas during a pandemic may potentially delay its arrival for a few
weeks, depending on the characteristics of the pandemic strain, but could have
less of an impact on the economy compared to restricting adult travelers.
However, as long as adults have at least a moderate potential to trigger an
epidemic, selectively restricting the higher risk group (children) may not be a
practical option to delay the arrival of an epidemic substantially.
PMID- 22078657
TI - A model of delivering multi-disciplinary care to people with 46 XY DSD.
AB - In 2006, a consensus statement was jointly produced by the Lawson Wilkins
Pediatric Endocrine Society (LWPES) and the European Society of Paediatric
Endocrinology (ESPE) concerning the management of disorders of sex development
(DSD) [1]. A recommendation provided by this consensus was that evaluation and
long-term care for people affected by DSD should be performed at medical centers
with multi-disciplinary teams experienced in such conditions. Here we provide our
team's interpretation of the 2006 consensus statement recommendations and its
translation into a clinical protocol for individuals affected by 46 XY DSD with
either female, or ambiguous, genitalia at birth. Options for medical and surgical
management, transitioning of care, and the use of mental health services and peer
support groups are discussed. Finally, we provide preliminary data to support the
application of our model for delivering multi-disciplinary care and support to
patients and their families.
PMID- 22078659
TI - Model of care for women at increased risk of breast and ovarian cancer.
PMID- 22078658
TI - Iodine deficiency influences thyroid autoimmunity in old age--a comparative
population-based study.
AB - OBJECTIVE: To assess thyroid autoimmunity among elderly people living in an area
with low iodine intake compared to the sustained recommended iodine intake from a
natural source, and to estimate the importance of migration. DESIGN AND SETTING:
Iodine content of drinking water is highly different in the Danish towns Randers
and Skagen. We collected blood and spot urine samples from 430 long-term Randers
and Skagen dwellers aged 75-80 years, who filled in a questionnaire. We measured
thyroid peroxidase antibody (TPOAb) and thyroglobulin antibody (TGAb) in serum
and iodine and creatinine in urine. RESULTS: Participation rate was 47% (n=212
(men/women 82/130) in Randers; 218 (84/134) in Skagen). Iodine deficiency
prevailed in Randers while Skagen dwellers were iodine replete (median urinary
iodine 74 MUg/24h vs. 184 MUg/24h, p<0.001). Thyroid antibodies were more
frequent in Randers than in Skagen residents (42% vs. 32%; p=0.006) and more
likely with iodine excretion <50 MUg/24h (OR, 95%CI: 1.9, 1.1-3.4). Differences
between towns increased with longer duration of residence as trends in the
occurrence of TGAb and TPOAb were opposite (p<0.001; p=0.007). CONCLUSIONS:
Thyroid autoantibodies were common in old age, influenced by the iodine intake
level, and the lowest frequency was found at the recommended iodine intake level.
PMID- 22078660
TI - Efficacy and tolerability of calcium, vitamin D and a plant-based omega-3 oil for
osteopenia: a pilot RCT.
AB - OBJECTIVES: Our pilot study tested the efficacy, acceptability and tolerability
of DHA supplementation in addition to calcium and vitamin D in individuals with
osteopenia. STUDY DESIGN: 40 participants were randomised to either algal oil
containing 400mg docosahexanoic acid (DHA) daily or placebo. All participants
received 1200 mg calcium carbonate with vitamin D(3) 1000 IU daily. MAIN OUTCOME
MEASURES: Bone mineral density (BMD) was measured at baseline and 12 months. Bone
turnover was assessed with serum c-terminal telopeptides (CTx) at baseline and 12
months. Tolerability and acceptability were assessed using a validated
questionnaire. RESULTS: Mean CTx was suppressed after 12 months for all
participants (p=0.04) with no difference in effect size between DHA and control
groups (p=0.53). Changes in CTx at 12 months were significantly correlated with
changes in BMD at the lumbar spine (p=0.01) and total proximal femur (TPF)
(p=0.03). There was a non-significant trend towards rising BMD at 12 months.
Participants rated the supplements as tolerable and acceptable, with few adverse
events. CONCLUSIONS: The combination of oral calcium, vitamin D(3) and DHA was
safe, tolerable and acceptable when used for 12 months by osteopenic individuals
in this pilot study. The combination had a positive effect on bone health as
indicated by serum CTx, with no effect demonstrated from the addition of DHA
400mg. Changes in BMD at the lumbar spine and TPF were significantly correlated
with changes in CTx, which may be useful in monitoring bone health and response
to treatment.
PMID- 22078661
TI - Spinal cord stimulation of dorsal columns in a rat model of neuropathic pain:
evidence for a segmental spinal mechanism of pain relief.
AB - Although spinal cord stimulation (SCS) of the dorsal columns is an established
method for treating chronic neuropathic pain, patients still suffer from a
substantial level of pain. From a clinical perspective it is known that the
location of the SCS is of pivotal importance, thereby suggesting a segmental
spinal mode of action. However, experimental studies suggest that SCS acts also
through the modulation of supraspinal mechanisms, which might suggest that the
location is unimportant. Here we investigated the effect of the rostrocaudal
location of SCS stimulation and the effectiveness of pain relief in a rat model
of chronic neuropathic pain. Adult male rats (n=45) were submitted to a partial
ligation of the sciatic nerve. The majority of animals developed tactile
hypersensitivity in the nerve lesioned paw. All allodynic rats were submitted to
SCS (n=33) for 30 minutes (f=50 Hz; pulse width 0.2 ms). In one group (n=16) the
electrodes were located at the level where the injured sciatic nerve afferents
enter the spinal cord (T13), and in a second group (n=17) the electrodes were
positioned at more rostral levels (T11) as verified by X-ray. A repositioning
experiment of electrodes from T12 to T13 was performed in 2 animals. Our data
demonstrate that SCS of the dorsal columns at the level where the injured fibers
enter the spinal cord dorsal horn result in a much better pain-relieving effect
than SCS at more rostral levels. From this we conclude that SCS in treatment of
neuropathic pain acts through a segmental spinal site of action.
PMID- 22078662
TI - [Marketing-based prescribing].
PMID- 22078663
TI - A web-based normative calculator for the uniform data set (UDS)
neuropsychological test battery.
AB - INTRODUCTION: With the recent publication of new criteria for the diagnosis of
preclinical Alzheimer's disease (AD), there is a need for neuropsychological
tools that take premorbid functioning into account in order to detect subtle
cognitive decline. Using demographic adjustments is one method for increasing the
sensitivity of commonly used measures. We sought to provide a useful online z
score calculator that yields estimates of percentile ranges and adjusts
individual performance based on sex, age and/or education for each of the
neuropsychological tests of the National Alzheimer's Coordinating Center Uniform
Data Set (NACC, UDS). In addition, we aimed to provide an easily accessible
method of creating norms for other clinical researchers for their own, unique
data sets. METHODS: Data from 3,268 clinically cognitively-normal older UDS
subjects from a cohort reported by Weintraub and colleagues (2009) were included.
For all neuropsychological tests, z-scores were estimated by subtracting the raw
score from the predicted mean and then dividing this difference score by the root
mean squared error term (RMSE) for a given linear regression model. RESULTS: For
each neuropsychological test, an estimated z-score was calculated for any raw
score based on five different models that adjust for the demographic predictors
of SEX, AGE and EDUCATION, either concurrently, individually or without
covariates. The interactive online calculator allows the entry of a raw score and
provides five corresponding estimated z-scores based on predictions from each
corresponding linear regression model. The calculator produces percentile ranks
and graphical output. CONCLUSIONS: An interactive, regression-based, normative
score online calculator was created to serve as an additional resource for UDS
clinical researchers, especially in guiding interpretation of individual
performances that appear to fall in borderline realms and may be of particular
utility for operationalizing subtle cognitive impairment present according to the
newly proposed criteria for Stage 3 preclinical Alzheimer's disease.
PMID- 22078664
TI - Mollicutes contamination: a new strategy for an effective rescue of cancer cell
lines.
AB - Mollicutes contaminations of cellular models can have marked effects on gene
expression and cell behaviour in vitro leading to the production of unreliable
data, unsafe biopharmaceutical drugs or to the loss of cell culture itself.
Fortunately, irreplaceable cell culture can be cured by decontamination with the
specific antibiotic regimen. Here, we describe the treatment of 35 mycoplasma
positive cell lines by the use of the novel antibiotic Mycozap((r)) as well as
evaluate its eradication performance versus the well-known routinely employed BM
Cyclins and fluoroquinolones molecules (175 treatments). Our data evidenced: i)
the permanent elimination of mycoplasma infection by MycoZap((r)), MRA,
Enrofloxacin, Ciprofloxacin and BM-Cyclins in 46%, 29%, 40%, 43%, and 57% of the
cultures, respectively; ii) a significant correlation between MRA and
Ciprofloxacin eradication profile, as determined by the Spearman correlation
coefficient (r = 0.3469, p < 0.05); iii) a mycoplasma eradication in 100% of cell
lines by the exclusive adoption of MycoZap((r)), Ciprofloxacin, Enrofloxacin, BM
Cyclin 1-2 antibiotic regimen, with the MRA exclusion; iv) the MycoZap((r))
effectiveness even in case of a mycoplasmal load higher than 50 CFU/mL, as for SH
SY5Y and Neuro2A cells. In conclusion, we want to suggest an optimized antibiotic
panel to get 100% mycoplasma-clearance especially in case of unique or treatment
resistant cellular models.
PMID- 22078665
TI - Evaluation of the equity of age-sex adjusted primary care capitation payments in
Ontario, Canada.
AB - OBJECTIVE: Several innovative primary care models have recently been introduced
in Ontario, Canada. Two of these models are funded primarily through age-sex
based capitation. There is concern that adjusting capitation rates for age and
sex alone does not take into account the increased morbidity burden and health
care needs that are associated with lower socioeconomic status. This study
assesses the extent to which the current age-sex capitation rates in Ontario
reflect health care needs of patients across socioeconomic status by comparing
Ontario's age-sex adjusted capitation remuneration rate index with relative
expected health care resource use by socioeconomic status (SES). METHODS: This
study used administrative data collected by the Ontario Ministry of Health and
Long-Term Care. The study sample was those patients who were enrolled to a FHN
continuously from September 1, 2005 to August 31, 2006. Standardized expected
health care utilization was calculated based on morbidity burden using The Johns
Hopkins Adjusted Clinical Groups (ACG) Case-mix System and compared with
standardized capitation rates across and within neighbourhood income quintiles.
RESULTS: Among those in the lowest income group expected utilization was much
higher than the age-sex capitation rates, while the opposite was true for those
in the highest income group. CONCLUSIONS: The findings suggests that under the
physician reimbursement system used in Family Health Networks in Ontario,
physicians are under-compensated for the health care needs of low income patients
and over-compensated for the needs of high income patients. Adjusting capitation
rates for morbidity burden in addition to age and sex may reduce incentives to
preferentially enrol patients with higher socioeconomic status.
PMID- 22078666
TI - Lipoprotein(a) and inflammation in patients with atrial fibrillation after
electrical cardioversion.
AB - BACKGROUND: Recently few studies tried to confirm the association between AF and
lipoprotein(a) (Lp(a)), however the results remained conflicted. In present study
we evaluated the possible interaction between Lp(a), inflammatory state and
echocardiographic characteristics in patients after successful electrical
cardioversion (EC) of persistent AF. We also tried to investigate the role of
Lp(a) as a possible prognostic factor for AF recurrence after successful EC.
RESULTS: Data of 79 patients admitted due to planned EC was analyzed. After
successful procedure patients were monitored for 2 years. For analytical purposes
patients were divided in two groups according to AF recurrence. There was no
significant difference between Lp(a) levels in both groups. We also didn't find
any positive correlation between Lp(a) and CRP levels, as well as between Lp(a)
levels and left atrium diameter. For logistic and survival analysis optimal cut
off value of Lp(a)>=0.32 (upper quartile) was used. In logistic regression model
with AF recurrence as dependent variable Lp(a) didn't show any statistically
significant association with AF recurrence. Survival analysis showed slightly
higher AF recurrence rate in group with higher Lp(a) levels but not to the level
of statistical significance (log rank test, p=0.62). CONCLUSIONS: We weren't able
to confirm the association between Lp(a) levels and AF recurrence, inflammation
and left atrium diameter in patients after successful EC of persistent AF.
Further studies are needed to elucidate the role of Lp(a) in this clinical
setting.
PMID- 22078667
TI - Identifying socio-demographic and socioeconomic determinants of health
inequalities in a diverse London community: the South East London Community
Health (SELCoH) study.
AB - BACKGROUND: Responses to public health need require information on the
distribution of mental and physical ill health by demographic and socioeconomic
factors at the local community level. METHODS: The South East London Community
Health (SELCoH) study is a community psychiatric and physical morbidity survey.
Trained interviewers conducted face-to-face computer assisted interviews with
1698 adults aged 16 years and over, from 1076 randomly selected private
households in two south London boroughs. We compared the prevalence of common
mental disorders, hazardous alcohol use, long standing illness and general
physical health by demographic and socioeconomic indicators. Unadjusted and
models adjusted for demographic and socioeconomic indicators are presented for
all logistic regression models. RESULTS: Of those in the sample, 24.2% reported
common mental disorder and 44.9% reported having a long standing illness, with
15.7% reporting hazardous alcohol consumption and 19.2% rating their health as
fair or poor. The pattern of indicators identifying health inequalities for
common mental disorder, poor general health and having a long term illness is
similar; individuals who are socioeconomically disadvantaged have poorer health
and physical health worsens as age increases for all groups. The prevalence of
poor health outcomes by ethnic group suggests that there are important
differences between groups, particularly for common mental disorder and poor
general health. Higher socioeconomic status was protective for common mental
disorder, fair or poor health and long standing illness, but those with higher
socioeconomic status reported higher levels of hazardous alcohol use. The
proportion of participants who met the criteria for common mental disorder with
co-occurring functional limitations was similar or greater to those with poor
physical health. CONCLUSIONS: Health service providers and policy makers should
prioritise high risk, socially defined groups in combating inequalities in
individual and co-occurring poor mental and physical problems. In population
terms, poor mental health has a similar or greater burden on functional
impairment than long term conditions and perceived health.
PMID- 22078668
TI - When parents' affection depends on child's achievement: parental conditional
positive regard, self-aggrandizement, shame and coping in adolescents.
AB - We examined the idea that adolescents' perceptions of their mothers as using
parental conditional positive regard (PCPR) to promote academic achievement are
associated with maladaptive self feelings and coping. A study of 153 adolescents
supported the hypothesis that PCPR predicts self-aggrandizement following success
and self devaluation and shame following failure, which then predict compulsive
over-investment. PCPR functioned as a unique predictor of maladaptive self
feelings and coping also when the effects of perceived parental conditional
negative regard or psychological control were controlled for. The findings
suggest that the experience of one's mother as using conditional positive regard
to promote achievement leads to a non-optimal self-esteem dynamics, in which
people vacillate between feelings of grandiosity following success and self
derogation and shame following failure, which in turn promote a rigid and
stressful mode of coping. Thus, the practice of PCPR, although seemingly benign,
appears to carry significant emotional and coping costs for adolescents.
PMID- 22078669
TI - Documenting different domains of promotion of autonomy in families.
AB - Parental promotion of autonomy for offspring well-being has been widely
recognized in developmental psychology. Recent studies, however, show that this
association varies across cultures. Such variation may reflect inappropriate
measurement of this dimension of parenting. Therefore, three existing measures of
promotion of autonomy were used to derive different dimensions related to the
promotion of autonomy in three different domains - promotion of autonomous
thought, promotion of autonomous decision-making, and promotion of physical
separation. The cross-cultural significance of this three-component model was
tested in samples of late adolescents (n = 1361) from four nations- the US,
Belgium, Italy and China. Data from all four countries best fit a three
dimensional model but the covariance between the three dimensions was moderated
by culture. Culture also moderated the impact of promotion of autonomy on
offspring well-being.
PMID- 22078670
TI - Non-medical use of painkillers in the USA.
PMID- 22078671
TI - Improving rates of surgery for lung cancer.
PMID- 22078672
TI - Moving toward precision medicine.
PMID- 22078673
TI - Targeting of household air pollution: interpretation of RESPIRE.
PMID- 22078674
TI - Reporting quality of life in clinical trials: a CONSORT extension.
PMID- 22078676
TI - William Seeley: brain explorer.
PMID- 22078678
TI - Outpatient treatment for pulmonary embolism.
PMID- 22078679
TI - Outpatient treatment for pulmonary embolism.
PMID- 22078680
TI - Use of research evidence in practice.
PMID- 22078681
TI - Use of research evidence in practice.
PMID- 22078682
TI - Use of research evidence in practice.
PMID- 22078683
TI - Political will ushers in a new era for tobacco control in Israel.
PMID- 22078685
TI - Value-based pricing: time for a NICEr way of measuring health?
PMID- 22078686
TI - Effect of reduction in household air pollution on childhood pneumonia in
Guatemala (RESPIRE): a randomised controlled trial.
AB - BACKGROUND: Pneumonia causes more child deaths than does any other disease.
Observational studies have indicated that smoke from household solid fuel is a
significant risk factor that affects about half the world's children. We
investigated whether an intervention to lower indoor wood smoke emissions would
reduce pneumonia in children. METHODS: We undertook a parallel randomised
controlled trial in highland Guatemala, in a population using open indoor wood
fires for cooking. We randomly assigned 534 households with a pregnant woman or
young infant to receive a woodstove with chimney (n=269) or to remain as controls
using open woodfires (n=265), by concealed permuted blocks of ten homes.
Fieldworkers visited homes every week until children were aged 18 months to
record the child's health status. Sick children with cough and fast breathing, or
signs of severe illness were referred to study physicians, masked to intervention
status, for clinical examination. The primary outcome was physician-diagnosed
pneumonia, without use of a chest radiograph. Analysis was by intention to treat
(ITT). Infant 48-h carbon monoxide measurements were used for exposure-response
analysis after adjustment for covariates. This trial is registered, number
ISRCTN29007941. FINDINGS: During 29,125 child-weeks of surveillance of 265
intervention and 253 control children, there were 124 physician-diagnosed
pneumonia cases in intervention households and 139 in control households (rate
ratio [RR] 0.84, 95% CI 0.63-1.13; p=0.257). After multiple imputation, there
were 149 cases in intervention households and 180 in controls (0.78, 0.59-1.06,
p=0.095; reduction 22%, 95% CI -6% to 41%). ITT analysis was undertaken for
secondary outcomes: all and severe fieldworker-assessed pneumonia; severe
(hypoxaemic) physician-diagnosed pneumonia; and radiologically confirmed, RSV
negative, and RSV-positive pneumonia, both total and severe. We recorded
significant reductions in the intervention group for three severe outcomes
fieldworker-assessed, physician-diagnosed, and RSV-negative pneumonia--but not
for others. We identified no adverse effects from the intervention. The chimney
stove reduced exposure by 50% on average (from 2.2 to 1.1 ppm carbon monoxide),
but exposure distributions for the two groups overlapped substantially. In
exposure-response analysis, a 50% exposure reduction was significantly associated
with physician-diagnosed pneumonia (RR 0.82, 0.70-0.98), the greater precision
resulting from less exposure misclassification compared with use of stove type
alone in ITT analysis. INTERPRETATION: In a population heavily exposed to wood
smoke from cooking, a reduction in exposure achieved with chimney stoves did not
significantly reduce physician-diagnosed pneumonia for children younger than 18
months. The significant reduction of a third in severe pneumonia, however, if
confirmed, could have important implications for reduction of child mortality.
The significant exposure-response associations contribute to causal inference and
suggest that stove or fuel interventions producing lower average exposures than
these chimney stoves might be needed to substantially reduce pneumonia in
populations heavily exposed to biomass fuel air pollution. FUNDING: US National
Institute of Environmental Health Sciences and WHO.
PMID- 22078687
TI - Fever of unknown origin.
PMID- 22078689
TI - The remaining smallpox stocks: the wrong debate?
PMID- 22078690
TI - The local burden of emotional disorders. An analysis based on a large health
survey in Catalonia (Spain).
AB - OBJECTIVE: Mental health conditions are associated with a significant burden on
individuals. Using data from a large population health survey, the present study
aimed to quantify the burden of emotional disorders (depression and anxiety) on
health-related quality of life (HRQoL) in the region of Catalonia (Spain) for
evidence-informed policy making. METHODS: Regression models were used to estimate
the impact of emotional disorders on HRQoL, controlling by socioeconomic factors
and somatic health problems. The rate of emotional disorders was based on the
General Health Questionnaire (GHQ-12) and quality of life scores were based on
the EQ-5D. RESULTS: The impact of emotional disorders on HRQoL was equal to a
reduction of 0.17 in the EQ-5D score. Translation of this individual impact to
population figures yielded a total loss of 78,742 quality-adjusted life years
(QALYs) for 2006. This strong impact highlights the need for global policies
aiming to reduce this burden. CONCLUSION: The negative relation between emotional
disorders and the HRQoL of individuals was confirmed and quantified for the
population of Catalonia. The use of quality of life scales such as the SF or EQ
5D, combined with data on quasi-specific health conditions provides substantial
information for prioritizing and planning health programs.
PMID- 22078691
TI - [Introducing the new editor of Reumatologia Clinica].
PMID- 22078692
TI - [Participation of T lymphocytes in the development and perpetuation of rheumatoid
arthritis].
PMID- 22078693
TI - [Teaching ultrasonography to Spanish rheumatologists: 15 years of experience].
PMID- 22078694
TI - [2011 Up-date of the consensus statement of the Spanish Society of Rheumatology
on osteoporosis].
AB - OBJECTIVE: Due to increasing improvement in the diagnosis, evaluation and
management of osteoporosis and the development of new tools and drugs, the
Spanish Society of Rheumatology (SER) has promoted the development of
recommendations based on the best evidence available. These recommendations
should be a reference to rheumatologists and other health professionals involved
in the treatment of patients with osteoporosis. METHODS: Recommendations were
developed following a nominal group methodology and based on a systematic review.
The level of evidence and degree of recommendation were classified according to
the model proposed by the Center for Evidence Based Medicine at Oxford. The level
of agreement was established through Delphi technique. Evidence from previous
consensus and available clinical guidelines was used. RESULTS: We have produced
recommendations on diagnosis, evaluation and management of osteoporosis. These
recommendations include the glucocorticoid-induced osteoporosis, premenopausal
and male osteoporosis. CONCLUSIONS: We present the SER recommendations related to
the biologic therapy risk management.
PMID- 22078695
TI - [Annual trends in knee and hip arthroplasty in rheumatoid arthritis 1998-2007].
AB - OBJECTIVE: To determine the annual number and trend of prostheses implanted in
patients with rheumatoid arthritis (RA) at our hospital during the past decade.
MATERIALS AND METHODS: Retrospective observational study. Patients were collected
through an extensive search of the database of the Clinical Documentation Service
between 1998 and 2007. The data was extracted from medical records using a
predesigned questionnaire. Statistical analysis of longitudinal prostheses was
made by Cochrane's Q test and the Kaplan-Meier method. RESULTS: Sixty-one RA
patients were operated on with 78 prostheses as a direct result of their disease
at our hospital between 1998 and 2007. Most were women (80%) with positive
rheumatoid factor (84%). The mean age was 58 years, and the average time since
onset of RA was 13 years. All but one had previously received antirheumatic drugs
(88% methotrexate), but only 11% had biological therapy. No changes were observed
in the number of arthroplasties as a whole over a decade, although there was a
trend towards reduction in the number of patients that required a knee
replacement for the first time (Cochrane Q, P=0.05). CONCLUSION: We observed no
significant changes in trends in the number of new joint replacement procedures
as a whole in the past decade at our hospital, although the number of patients
that required knee replacement for the first time as a direct result of their
underlying disease seems to have declined in the last decade.
PMID- 22078696
TI - [Duration of treatment with etanercept and motives for discontinuation in a
cohort of patients with rheumatic disease].
AB - OBJECTIVE: To evaluate the duration of etanercept (ETN) treatment and motives for
discontinuation in our local cohort of patients with rheumatic pathology and
compare them to the group with other biological treatments. PATIENTS AND METHODS:
Prospective observational cohort study. Disease diagnosis, start and end date and
motive for discontinuation were recorded. Survival estimation was explored using
Kaplan-Meier analysis with remaining patients censored at 1-year, 2-years and 5
years follow-up. RESULTS: Ninety-two (45%) out of 205 patients started ETN
treatment. Disease diagnoses recorded were: 48% rheumatoid arthritis, 33%
ankylosing spondylitis, 11% psoriatic arthritis, 8% others (juvenile idiopathic
arthritis, inflammatory bowel disease related spondylitis, SAPHO syndrome). 52%
of patients are still on the drug. The motives for discontinuation were:
inefficacy (65%), adverse events (33%) and lack of compliance (2%). Two patients
discontinued ETN due to prolonged disease control. Adverse events were: infection
(4 patients), post-injection skin reaction (3), uveitis (3), neoplasia (2) and
others (3). Using a Kaplan-Meier analysis, at 1-year 64% (CI(95%) 54-74) of
patients with ETN treatment had not experienced treatment failure, at 2-years,
59% (48-69) and at 5-years, 43% (30-52). With the rest of biologicals estimated
survival was 61% (51-68), 47,5% (40-55) and 23% (10,5-32) respectively.
Statistical analysis revealed significant differences (log-rank: P=.024; Breslow:
P=.068; Tarone-Ware: P=.040). CONCLUSIONS: In our cohort of patients treated with
ETN the estimated survival was better than patients treated with other biological
drugs at 1-year, 2-years and 5-years.
PMID- 22078698
TI - [Efficacy and safety of abatacept in patients with rheumatoid arthritis and no
prior treatment with biologics].
AB - Abatacept (ABA) is a recombinant human fusion protein that blocks co-stimulation
signals on T lymphocytes, impeding their activation. Randomized and controlled
trials examining efficacy and safety have been performed with ABA combined with
methotrexate (MTX), vs MTX monotherapy and vs infliximab (IFB) combined with MTX
in patients with Rheumatoid Arthritis and who are naive to biologic therapy. ABA
has shown to be more effective than MTX and at least as effective as IFB+MTX, in
terms of activity and clinical remission, physical function and reduction in
radiological progression. Safety data at 7 years have shown that the drug is
comparable to MTX in monotherapy and safer than the IFB+MTX combination, although
infections still constitute the main risk when using ABA. This review summarizes
the safety and efficacy data of the AIM, ATTEST, Phase IIb IM101-100 and AGREE
trials.
PMID- 22078697
TI - [Systemic autoimmune diseases and depressive disorders].
AB - INTRODUCTION: The incidence of depression accompanying medical pathologies is
elevated and have prognostic importance. OBJECTIVE: To determine the frequency of
depression in patients with systemic autoimmune diseases (SAD), as well as to
determine the frequency of pain, fatigue and sleep disorders in these patients
and their relation with depression. MATERIAL AND METHODS: We performed a
descriptive, prospective study on 88 patients with AID. The CES-D depression
questionnaire, FSS fatigue questionnaire and the Pittsburgh sleep quality index
were administered. RESULTS: 69% (n=61) of patients were depressed. Pain was found
in 97% (59/61) of depressed patients and in 62% (17/27) of non-depressed patients
(P=.0006). Sleep disorders were found in 95% of depressed patients, whereas 60%
of non-depressed patients presented them (P=.00008). Depression was associated
with fatigue: 80% (49/61) for depressed and 44% for non-depressed (p=0,001)
persons. DISCUSSION: A very elevated prevalence of depression was found in SAD:
69%; constituting the most frequent comorbidity. Depression was significantly
associated with pain, fatigue and sleep disorders.
PMID- 22078699
TI - [Use of etanercept in amyloidosis secondary to rheumatoid arthritis, a report of
two cases].
AB - Rheumatoid arthritis (RA) is one of the most common causes of AA amyloidosis.
Most patients are asymptomatic and only when there are large deposits in certain
organs, functional problems arise. We report two patients with amyloidosis
secondary to RA, with renal and intestinal involvement, who responded to
treatment with etanercept.
PMID- 22078701
TI - [Unilateral generalized morphea: a case and literature review].
AB - Localized scleroderma is a connective tissue disorder generally involving de
entire dermis and usually limited to the subcutaneous tissue; however, it may
progress to large indurated plaques, growth retardation, muscle atrophy, and even
to flexion deformities or poorly healing ulcers. Unilateral generalized morphea
is an extremely rare variant of localized scleroderma which has seldom been
reported in the literature. We report the case of a girl who developed unilateral
generalized morphea on the right side of the body.
PMID- 22078700
TI - [Meningeal and Guillain-Barre syndrome in a patient with rheumatoid arthritis
receiving adalimumab therapy].
AB - Adalimumab is a recombinant human monoclonal antibody that blocks the effects of
tumor necrosis factor-alpha, and is presently used for treatment of rheumatoid
arthritis, with demyelination being a potential adverse effect. A 31 year-old
male with seropositive rheumatoid arthritis presented with diarrhea after the
second injection of adalimumab. He was treated with ciprofloxacin. In a few days
he developed a Guillain-Barre syndrome confirmed by electromyography, and his
cerebrospinal fluid was compatible with meningeal syndrome or partially treated
bacterial meningitis. Adalimumab may be associated with the development of
demyelination and infectious diseases. Moreover, both the central nervous system
and the peripheral nervous system can be affected.
PMID- 22078702
TI - [Glucocorticoids in rheumatoid arthritis: almost always or hardly ever?].
AB - The use of glucocorticoids in rheumatoid arthritis has been the source of
frequent debate in the last decades. There is evidence on its anti-inflammatory
capacity and its power to decrease radiologic progression, particularly if used
in recent onset rheumatoid arthritis. However, there are still some voices
questioning its use. Their arguments are its potential side-effects, especially
when the glucocorticoids are used in high doses and/or for extended periods of
time. In this review, we will try to summarize the evidence regarding this issue,
from the beginning of the discussion in the fifties to the last releases.
PMID- 22078703
TI - [Vaccines and chemo-prophylaxis in rhemautoid arthritis: is a vaccine calendar
necessary?].
AB - Patients with rheumatoid arthritis (RA) are at increased risk of infection
compared to healthy individuals. The increased risk may be associated with the
underlying disease, comorbidities and immunosuppressive therapy required to
control RA activity. In several recent studies, influenza, pneumococcal and
hepatitis B vaccines administered to RA patients were reported to be safe and
serologically effective. However, several lines of evidence suggest a possible
aberrant immunologic response following vaccination due to the compromised
immunity of these patients. Therefore, vaccination of RA patients prior to
immunosuppressive treatment may serve as an alternative prophylactic approach and
should be considered for future investigation. Besides, prophylactic health
measures should be taken to avoid latent chronic infections as tuberculosis and
hepatitis B, during therapy with biological agents.
PMID- 22078704
TI - [Tuberculous arthritis in a 2 year old toddler].
PMID- 22078705
TI - [Ischiopubic pain in a patient with Paget's disease].
PMID- 22078706
TI - [Introduction of a day-care hospital model: proposals for measures that guarantee
the specific needs of rheumatology services in the Community of Valencia
(Spain)].
PMID- 22078707
TI - TRIM5alpha associates with proteasomal subunits in cells while in complex with
HIV-1 virions.
AB - BACKGROUND: The TRIM5 proteins are cellular restriction factors that prevent
retroviral infection in a species-specific manner. Multiple experiments indicate
that restriction activity requires accessory host factors, including E2-enzymes.
To better understand the mechanism of restriction, we conducted yeast-two hybrid
screens to identify proteins that bind to two TRIM5 orthologues. RESULTS: The
only cDNAs that scored on repeat testing with both TRIM5 orthologues were the
proteasome subunit PSMC2 and ubiquitin. Using co-immunoprecipitation assays, we
demonstrated an interaction between TRIM5alpha and PSMC2, as well as numerous
other proteasome subunits. Fluorescence microscopy revealed co-localization of
proteasomes and TRIM5alpha cytoplasmic bodies. Forster resonance energy transfer
(FRET) analysis indicated that the interaction between TRIM5 and PSMC2 was
direct. Previous imaging experiments demonstrated that, when cells are challenged
with fluorescently-labeled HIV-1 virions, restrictive TRIM5alpha orthologues
assemble cytoplasmic bodies around incoming virion particles. Following virus
challenge, we observed localization of proteasome subunits to rhTRIM5alpha
cytoplasmic bodies that contained fluorescently labeled HIV-1 virions.
CONCLUSIONS: Taken together, the results presented here suggest that localization
of the proteasome to TRIM5alpha cytoplasmic bodies makes an important
contribution to TRIM5alpha-mediated restriction.
PMID- 22078708
TI - miRNA profiling for biomarker discovery in multiple sclerosis: from microarray to
deep sequencing.
AB - MicroRNAs (miRNAs) are small non-coding RNAs that regulate gene expression at the
post-transcriptional level. miRNAs are highly expressed in cells of the immune
and nervous system, attesting to their importance in Neuroimmunology. Besides
their involvement in modulation of physiological and pathological processes,
miRNAs hold high promise as disease biomarkers, therapeutic agents and/or drug
targets. Several studies have recently explored the involvement of miRNAs in
Multiple Sclerosis (MS) using a variety of miRNA profiling techniques. In this
review, we discuss basic miRNA biology and nomenclature, the techniques available
for miRNA profiling research and recent miRNA profiling studies in Multiple
Sclerosis.
PMID- 22078709
TI - Role of gammadelta T cells in West Nile virus-induced encephalitis: friend or
foe?
AB - West Nile virus (WNV)-induced encephalitis has been a public health concern in
North America over the past decade. No therapeutics or vaccines are available for
human use. Studies in animal models have provided important information for
investigations of WNV pathogenesis and the host immune response in humans. This
article will give an overview of the role of gammadelta T cells, one of the non
classical T cell subsets in the murine model of WNV encephalitis.
PMID- 22078710
TI - Late reoperations after repaired acute type A aortic dissection.
AB - OBJECTIVE: Late complications can develop in patients after surgery for aortic
type A dissection, mandating redo surgery on the ascending aorta and arch.
METHODS: From 2006 to 2010, 23 patients (aged 41-69 years) who had late
complications related to previous aortic surgery for acute type A dissection
underwent redo surgery. Initial surgery included ascending aorta replacement in
all cases. RESULTS: The main indications for reoperation were progressive
enlargement of the false lumen of the aortic arch or descending aorta and suture
line dehiscence in 10 patients each. All patients with progressive aneurysm
formation in nonresected aortic segments had persistent dissection within the
aortic arch since initial surgery. Suture line dehiscence led to a localized
hematoma in most cases. Three patients presented with graft infection and
extensive perigraft hematoma. The average time interval from the initial repair
to the redo procedure was 71+/-56 months. Exchange of the formerly implanted
Dacron graft in the ascending aorta was the most frequently used surgical
procedure. Implantation of a valved conduit was deemed necessary in 4 cases, and
isolated aortic valve replacement was necessary in 2 cases. A hybrid stent graft
was used in 6 patients. All patients survived surgery, and 1 patient died of
postoperative low output cardiac failure in hospital. Only 1 major stroke was
noted. CONCLUSIONS: Complex reoperations for repaired acute type A dissection can
be performed safely. The concern for the reoperative risk should not dictate the
operative strategy during the initial procedure in acute type A dissection.
PMID- 22078711
TI - Changes in left atrioventricular valve geometry after surgical repair of complete
atrioventricular canal.
AB - OBJECTIVE: The most common reason for late surgical reintervention after repair
of complete atrioventricular canal defects is the development of left
atrioventricular valve regurgitation. We sought to determine the changes in left
atrioventricular valve geometry after surgical repair that may predispose to
regurgitation. METHODS: Atrioventricular valve measurements were obtained by 2
dimensional echocardiography at 3 different time points (preoperative, early
postoperative, and midterm postoperative [6-12 months]). Left atrioventricular
valve annulus area and left ventricular volume were calculated; vena contracta of
the regurgitant jet orifice was measured. All measurements were normalized
relative to an appropriate power of body surface area. RESULTS: From January 2000
to January 2008, 101 patients with complete atrioventricular canal repair were
included. Left atrioventricular valve annulus was noted to remodel from an
elliptical shape to a circular shape after surgery. Left atrioventricular valve
annulus area increased early postoperatively (systole: 4.1 +/- 0.2 cm(2)/m(2) vs
6.1 +/- 0.3 cm(2)/m(2), P < .001; diastole: 7.2 +/- 0.4 cm(2)/m(2) vs 10.0 +/-
0.5 cm(2)/m(2), P < .001, pre- vs postoperative, respectively). This increase was
sustained in the midterm postoperative period (systole: 6.1 +/- 0.3 cm(2)/m(2), P
= .85, vs diastole: 10.0 +/- 0.4 cm(2)/m(2), P = .78, early vs midterm
postoperative). Left ventricular volume increased in the early and midterm
postoperative periods compared with preoperative (systole: 16.9 +/- 1.2 mL/m(2)
vs 26.2 +/- 1.7 mL/m(2), P < .001; diastole: 35.0 +/- 2.4 mL/m(2) vs 52.5 +/- 3.2
mL/m(2), P < .001). CONCLUSIONS: Complete atrioventricular canal repair leads to
left atrioventricular valve annular shape change with increased area and circular
shape. The change in left atrioventricular valve annulus shape appeared to be
mainly due to increased circumference in the posterior free wall of the annulus.
These findings may provide a mechanism for the progression of central
regurgitation seen after complete atrioventricular canal repair and a potential
solution.
PMID- 22078712
TI - Qualitative description of college students' dinner groups.
AB - OBJECTIVE: To discover how college students conduct dinner groups and perceptions
of the benefits and difficulties of participation. DESIGN: Qualitative study
conducted with 7 focus groups. SETTING AND PARTICIPANTS: A university campus,
with 36 students participating in dinner groups, defined as a group of 3 people
or more cooking for one another (or together) and eating together at least 4
times a week. MAIN OUTCOME MEASURE: Dinner groups. ANALYSIS: The focus group
recordings were transcribed, coded, and reconciled. NUDIST NVivo software
(version 8, QSR International, Victoria, Australia, 2008) assisted in coding data
to identify themes and subthemes. RESULTS: Dinner groups were composed of
roommates or students living nearby. They rotated who made each dinner. Benefits
identified included social interaction, increasing confidence in cooking, saving
money and time, and eating more varied and healthful foods. Difficulties, which
were uncommon, included increased time spent on days the student cooked and
stresses related to cooking on a schedule. Students found that the benefits far
outweighed the difficulties and universally wanted to continue in a dinner group.
CONCLUSIONS AND IMPLICATIONS: College students enjoyed dinner groups, and
promoting them may be an option for improving college students' eating habits.
Nearly all students believed that they ate better in a dinner group, but research
is needed to assess actual intake.
PMID- 22078713
TI - Biological versus cultural evolution: beyond a false dichotomy. Comment on
"Modeling the cultural evolution of language" by Luc Steels.
PMID- 22078714
TI - Extracranial primary and secondary meningiomas.
AB - Meningioma is a well-recognized tumour of the central nervous system. However,
extracranial non-dural or ectopic meningiomas are rare and in most of the
reported cases, meningiomas are diagnosed only after histopathologic examination.
Over the last 5 years, the authors have seen three cases. In these case reports,
they discuss the salient features of these lesions, which can aid the
preoperative diagnosis and management of these patients. One of the cases is a
rarity, owing to the extreme extracranial position which allowed the pressure of
the tumour abrade the adjacent structures. In one case, reconstruction of the
skull bone using polymeric materials (Acrylic matter) was necessary. In the other
two cases, titanium plates and screws were used to reconstruct the jaw.
PMID- 22078715
TI - Editorial.
PMID- 22078717
TI - A comparison of integrated and discrete depth sampling for monitoring toxic
species of Dinophysis.
AB - Dinophysis acuminata and Dinophysis acuta produce lipophilic toxins that cause
most shellfish harvesting closures in the Atlantic coastal waters of Europe.
Dinophysis often exhibit patchy distributions and sampling with conventional
methods may fail to detect them. A 6-month calibration study in 2006, plus an
additional sampling in 2010 were carried out in Galicia to compare Dinophysis
counts obtained from weekly high vertical resolution bottle samples with depth
integrated hose samples. Counts with these two methods were comparable at some
depth-ranges, but hose maxima were over one order of magnitude lower under thin
layer scenarios. Estimates from hose samplers should be used with caution in
programmes using a "Dinophysis index", either to trigger shellfish sampling or to
establish precautionary harvesting closures. In general, hose samplers provide
reliable information on the occurrence of Dinophysis except under extreme site
specific hydrodynamic conditions. This study adds new information about the
heterogeneous, specific vertical distributions of two Dinophysis spp.
PMID- 22078716
TI - Lupus nephritis: current update.
AB - Lupus nephritis is a major cause of morbidity and mortality in patients with
systemic lupus erythematosus. The general consensus is that 60% of lupus patients
will develop clinically relevant nephritis at some time in the course of their
illness. Prompt recognition and treatment of renal disease is important, as early
response to therapy is correlated with better outcome. The present review
summarizes our current understanding of the pathogenic mechanisms underlying
lupus nephritis and how the disease is currently diagnosed and treated.
PMID- 22078718
TI - High prevalence of hyperglycaemia and the impact of high household income in
transforming rural China.
AB - BACKGROUND: The prevalence of hyperglycaemia and its association with
socioeconomic factors have been well studied in developed countries, however,
little is known about them in transforming rural China. METHODS: A cross
sectional study was carried out in 4 rural communities of Deqing County located
in East China in 2006-07, including 4,506 subjects aged 18 to 64 years. Fasting
plasma glucose (FPG) was measured. Subjects were considered to have impaired
fasting glucose (IFG) if FPG was in the range from 5.6 to 6.9 mmol/L and to have
diabetes mellitus (DM) if FG was 7.0 mmol/L or above. RESULTS: The crude
prevalences of IFG and DM were 5.4% and 2.2%, respectively. The average ratio of
IFG/DM was 2.5, and tended to be higher for those under the age of 35 years than
older subjects. After adjustment for covariates including age (continuous), sex,
BMI (continuous), smoking, alcohol drinking, and regular leisure physical
activity, subjects in the high household income group had a significantly higher
risk of IFG compared with the medium household income group (OR: 1.74, 95% CI:
1.11-2.72) and no significant difference in IFG was observed between the low and
medium household income groups. Education and farmer occupation were not
significantly associated with IFG. CONCLUSIONS: High household income was
significantly associated with an increased risk of IFG. A high ratio of IFG/DM
suggests a high risk of diabetes in foreseeable future in the Chinese
transforming rural communities.
PMID- 22078719
TI - Assessment of the burden of influenza in children.
PMID- 22078720
TI - Community management of severe pneumonia in children.
PMID- 22078721
TI - Community case management of severe pneumonia with oral amoxicillin in children
aged 2-59 months in Haripur district, Pakistan: a cluster randomised trial.
AB - BACKGROUND: First dose oral co-trimoxazole and referral are recommended for WHO
defined severe pneumonia. Difficulties with referral compliance are reported in
many low-resource settings, resulting in low access to appropriate treatment. The
objective in this study was to assess whether community case management by lady
health workers (LHWs) with oral amoxicillin in children with severe pneumonia was
equivalent to current standard of care. METHODS: In Haripur district, Pakistan,
28 clusters were randomly assigned with stratification in a 1:1 ratio to
intervention and control clusters by use of a computer-generated randomisation
sequence. Children were included in the study if they were aged 2-59 months with
WHO-defined severe pneumonia and living in the study area. In the intervention
clusters, community-based LHWs provided mothers with oral amoxicillin (80-90
mg/kg per day or 375 mg twice a day for infants aged 2-11 months and 625 mg twice
a day for those aged 12-59 months) with specific guidance on its use. In control
clusters, LHWs gave the first dose of oral co-trimoxazole (age 2-11 months,
sulfamethoxazole 200 mg plus trimethoprim 40 mg; age 12 months to 5 years,
sulfamethoxazole 300 mg plus trimethoprim 60 mg) and referred the children to a
health facility for standard of care. Participants, carers, and assessors were
not masked to treatment assignment. The primary outcome was treatment failure by
day 6. Analysis was per protocol with adjustment for clustering within groups by
use of generalised estimating equations. This study is registered, number
ISRCTN10618300. FINDINGS: We assigned 1995 children to treatment in 14
intervention clusters and 1477 in 14 control clusters, and we analysed 1857 and
1354 children, respectively. Cluster-adjusted treatment failure rates by day 6
were significantly reduced in the intervention clusters (165 [9%] vs 241 [18%],
risk difference -8.9%, 95% CI -12.4 to -5.4). Further adjustment for baseline
covariates made little difference (-7.3%, -10.1 to -4.5). Two deaths were
reported in the control clusters and one in the intervention cluster. Most of the
risk reduction was in the occurrence of fever and lower chest indrawing on day 3
(-6.7%, -10.0 to -3.3). Adverse events were diarrhoea (n=4) and skin rash (n=1)
in the intervention clusters and diarrhoea (n=3) in the control clusters.
INTERPRETATION: Community case management could result in a standardised
treatment for children with severe pneumonia, reduce delay in treatment
initiation, and reduce the costs for families and health-care systems. FUNDING:
United States Agency for International Development (USAID).
PMID- 22078722
TI - A pilot programme of organ donation after cardiac death in China.
AB - China's aims are to develop an ethical and sustainable organ transplantation
system for the Chinese people and to be accepted as a responsible member of the
international transplantation community. In 2007, China implemented the
Regulation on Human Organ Transplantation, which was the first step towards the
establishment of a voluntary organ donation system. Although progress has been
made, several ethical and legal issues associated with transplantation in China
remain, including the use of organs from executed prisoners, organ scarcity, the
illegal organ trade, and transplantation tourism. In this Health Policy article
we outline the standards used to define cardiac death in China and a legal and
procedural framework for an organ donation system based on voluntary donation
after cardiac death that adheres to both China's social and cultural principles
and international transplantation standards.
PMID- 22078723
TI - Global burden of respiratory infections due to seasonal influenza in young
children: a systematic review and meta-analysis.
AB - BACKGROUND: The global burden of disease attributable to seasonal influenza virus
in children is unknown. We aimed to estimate the global incidence of and
mortality from lower respiratory infections associated with influenza in children
younger than 5 years. METHODS: We estimated the incidence of influenza episodes,
influenza-associated acute lower respiratory infections (ALRI), and influenza
associated severe ALRI in children younger than 5 years, stratified by age, with
data from a systematic review of studies published between Jan 1, 1995, and Oct
31, 2010, and 16 unpublished population-based studies. We applied these incidence
estimates to global population estimates for 2008 to calculate estimates for that
year. We estimated possible bounds for influenza-associated ALRI mortality by
combining incidence estimates with case fatality ratios from hospital-based
reports and identifying studies with population-based data for influenza
seasonality and monthly ALRI mortality. FINDINGS: We identified 43 suitable
studies, with data for around 8 million children. We estimated that, in 2008, 90
million (95% CI 49-162 million) new cases of influenza (data from nine studies),
20 million (13-32 million) cases of influenza-associated ALRI (13% of all cases
of paediatric ALRI; data from six studies), and 1 million (1-2 million) cases of
influenza-associated severe ALRI (7% of cases of all severe paediatric ALRI; data
from 39 studies) occurred worldwide in children younger than 5 years. We
estimated there were 28,000-111,500 deaths in children younger than 5 years
attributable to influenza-associated ALRI in 2008, with 99% of these deaths
occurring in developing countries. Incidence and mortality varied substantially
from year to year in any one setting. INTERPRETATION: Influenza is a common
pathogen identified in children with ALRI and results in a substantial burden on
health services worldwide. Sufficient data to precisely estimate the role of
influenza in childhood mortality from ALRI are not available. FUNDING: WHO; Bill
& Melinda Gates Foundation.
PMID- 22078724
TI - Proteomic and transcriptomic analysis of heart failure due to volume overload in
a rat aorto-caval fistula model provides support for new potential therapeutic
targets - monoamine oxidase A and transglutaminase 2.
AB - BACKGROUND: Chronic hemodynamic overloading leads to heart failure (HF) due to
incompletely understood mechanisms. To gain deeper insight into the molecular
pathophysiology of volume overload-induced HF and to identify potential markers
and targets for novel therapies, we performed proteomic and mRNA expression
analysis comparing myocardium from Wistar rats with HF induced by a chronic aorto
caval fistula (ACF) and sham-operated rats harvested at the advanced,
decompensated stage of HF. METHODS: We analyzed control and failing myocardium
employing iTRAQ labeling, two-dimensional peptide separation combining peptide
IEF and nano-HPLC with MALDI-MS/MS. For the transcriptomic analysis we employed
Illumina RatRef-12v1 Expression BeadChip. RESULTS: In the proteomic analysis we
identified 2030 myocardial proteins, of which 66 proteins were differentially
expressed. The mRNA expression analysis identified 851 differentially expressed
mRNAs. CONCLUSIONS: The differentially expressed proteins confirm a switch in the
substrate preference from fatty acids to other sources in the failing heart.
Failing hearts showed downregulation of the major calcium transporters SERCA2 and
ryanodine receptor 2 and altered expression of creatine kinases. Decreased
expression of two NADPH producing proteins suggests a decreased redox reserve.
Overexpression of annexins supports their possible potential as HF biomarkers.
Most importantly, among the most up-regulated proteins in ACF hearts were
monoamine oxidase A and transglutaminase 2 that are both potential attractive
targets of low molecular weight inhibitors in future HF therapy.
PMID- 22078725
TI - [Sudden decrease in visual acuity and pulmonary infiltrates].
PMID- 22078726
TI - [Clinical management of acute and chronic human immunodeficiency virus infection
before starting antiretroviral treatment].
AB - The evaluation of new cases of HIV infection is relatively common in Spain, where
several thousands of patients with new infections are diagnosed each year. Eighty
per cent of them have a chronic HIV infection at the first clinical evaluation,
which is symptomatic (late presenters) in up to 30% of patients. The initial
evaluation of HIV infection is not only directed at determining the clinical,
virological (plasma HIV RNA viral load, resistance test and viral tropism) and
immunological (CD4+ T-cell cell count) situation of the patients, but must also
address the study of their co-infections (hepatitis, tuberculosis) and
comorbidities (cardiovascular, hepatic, renal and bone) and the risk of HIV
transmission. This is needed in order to decide, whether or not to start
antiretroviral treatment, and with which combined antiretroviral treatment to
start with, the prophylaxis of opportunistic infections, and the treatment of
coinfections and comorbidities. The past and current medical history, the
physical examination and laboratory tests will help us decide if the patient is
to receive therapeutic intervention. The level of CD4+ T-cell lymphocytes is the
best marker to suggest when to start combined antiretroviral treatment,
indicating whether or not to start prophylaxis against opportunistic infections
(if patients have a CD4+ T-cell count below 200 cells/mm(3)), and in advanced
patients should make us suspect the presence of active opportunistic diseases in
symptomatic cases. The management of patients with HIV infection must also
include appropriate health education on the modes of transmission and prevention
of HIV infection, and also to explain its natural history and how it can be
modified with proper antiretroviral treatment, as well as to promote a healthy
life. No less important is the psychological support, as these patients must
learn to live with a chronic infection, which managed properly can ensure a very
good long-term prognosis and quality of life.
PMID- 22078727
TI - Combining microRNA-449a/b with a HDAC inhibitor has a synergistic effect on
growth arrest in lung cancer.
AB - Histone deacetylases (HDACs) play a crucial role in tumorigenesis. Over
expression of HDACs has been reported in lung cancer. The mechanism of highly
expressed HDAC1 in lung cancer has yet not been determined. In the present study,
we showed that miR-449a/b regulates HDAC1 by directly binding with the 3'
untranslated region of the HDAC1. The expression of miR-449a/b was down-regulated
and the expression of HDAC1 was up-regulated in primary lung cancer. The down
expression of miR-449a/b might be one mechanism for over-expression of HDAC1 in
lung cancer. miR-449a/b inhibited cell growth and anchorage-independent growth.
Furthermore, co-treatment with miR-449a and HDAC inhibitors had a significant
growth reduction compared with HDAC inhibitor mono-treatment. These results
suggest that miR-449a/b may have a tumor suppressor function and might be a
potential therapeutic candidate in patients with primary lung cancer.
PMID- 22078728
TI - Sympathetic skin response (SSR) in multiple sclerosis and clinically isolated
syndrome: a case-control study.
AB - OBJECTIVE: To compare the sympathetic skin responses (SSRs) in patients with
multiple sclerosis (MS), clinically isolated syndrome (CIS), and healthy
controls. METHODS: SSR was recorded on both hands and feet in 30 patients and 20
healthy controls. SSR results (latency measurements) were compared in patients
with normal or abnormal brainstem auditory evoked potentials (BAEPs), visual
evoked potentials (VEPs) and somatosensory evoked potentials (SEPs). RESULTS:
Twenty-three (76.6%) and sixteen patients (53.3%) with MS had abnormal SSR
recordings based on 2-standard deviation (SD) or 3-SD (from the mean of the
control group) abnormality criteria, respectively. Sixty-six percent and 40
percent of patients had abnormal (>2SD) SSR in at least one hand and one foot,
respectively. Patients with absent SSR had more severe disease and higher
Expanded Disability Status Scale (EDSS) scores. Fourteen patients had an EDSS of
zero, of whom nine had abnormal SSR and others had at least one abnormal EP
study. Patients with abnormal SSR had significantly more abnormal BAEPs and SEPs
than patients with normal SSR. SSR latencies were significantly correlated with
EDSS and disease duration (P<0.01). All patients had at least one abnormal
electrophysiological study. ROC-curve analysis showed that a cut-off score of
7008 ms as the sum of all-4-limb SSR latencies had a 80% sensitivity and 95%
specificity for differentiating MS patients from healthy controls. CONCLUSIONS:
This study suggests that SSR is a useful tool for assessment of autonomic
function and can be complementary to EDSS and other electrophysiological studies
in patients with MS and CIS.
PMID- 22078729
TI - A new electrode placement for recording the compound motor action potential of
the first dorsal interosseous muscle.
AB - OBJECTIVE: This paper describes a new electrode placement for recording compound
muscle action potentials (CMAPs) of the first dorsal interosseous muscle (FDI) to
determine the distal motor latency (DML) and study nerve conduction of the ulnar
nerve across the wrist. METHODS: The DML to the FDI was evaluated bilaterally in
90 subjects after stimulation 1cm proximal to the distal wrist crease and at the
palm. The CMAP was recorded with a pair of disposable surface electrodes fixed
over the FDI and wrist. RESULTS: The CMAP never exhibited a positive initial
deflection, with a gain of 0.5 mV per division. DML to the FDI was 2.65+/-0.26 ms
(mean+/-SD), and CMAP amplitude was 14.7+/-3.3 mV. A prolonged DML was taken as
3.4 ms (mean+3 standard deviation [SD]). CONCLUSIONS: This new electrode
placement offers more reproducible results for determining the DML to the FDI as
it provides the shortest DML, and the tightest SD values. SIGNIFICANCE: This
result is obtained through the respect of fundamental rules for CMAP recording,
as it shows no positive wave at the onset of the CMAP of the FDI. Its use should
improve the diagnosis of ulnar nerve lesions at the wrist and more especially of
the deep motor branch.
PMID- 22078730
TI - Repeatability and variability of baropodometric and spatio-temporal gait
parameters--results in healthy subjects and in stroke patients.
AB - AIMS OF THE STUDY: Our purpose was to determine the repeatability and variability
of baropodometric and spatio-temporal gait parameters in both hemiparetic
patients and healthy subjects. HYPOTHESIS: parameters with a good repeatability
and a low variability could be used to follow gait evolution. POPULATION AND
METHOD: Twelve stroke patients and 10 healthy subjects were included. Each
participant performed trials (F-Scan(r) system and Bessou Locometer) at 48 h
intervals under identical conditions. The following parameters were analyzed:
displacement of the center of pressure (COP), peaks of pressure under forefoot
and hindfoot, step length, single and double support time, and walking velocity.
Comparisons were made within and between sessions, inter-trials and between
sides. RESULTS: Neither visit effects in either population nor side effects in
healthy subjects were observed. Repeatability assessed by the intraclass
correlation coefficient ("ICC agreement" ICC) was excellent to adequate overtime
for anterior-posterior (AP) displacement of the COP, step length, simple support
time and walking velocity in both hemiparetic patients (ICC 0.92; 0.84; 0.91;
0.94) and healthy subjects (ICC 0.85; 0.44; 0.64; 0.56). The coefficient of
variation (CV) was low in paretic side for AP and single support time, and at a
less degree for the lateral deviation of the COP (ML) and the posterior margin
(PM). CONCLUSION: In this study, baropodometric (AP and PM) and spatio-temporal
gait (step length, single support time and walking velocity) parameters were
found to show good repeatability overtime; these parameters are the ones most
likely to be useful in assessing the effects of treatments that are proposed to
improve gait in stroke patients.
PMID- 22078731
TI - Obstructive sleep apnoea syndrome: comparison between polysomnography and
portable sleep monitoring based on jaw recordings.
AB - INTRODUCTION: Obstructive sleep apnoea syndrome (OSAS) constitutes a new major
public health problem because of its several pathophysiologic consequences such
as cognitive disorders, excessive daytime sleepiness with risks of traffic
accidents, cardiovascular implications, and decrease of quality of life. The
necessity of a gold-standard polysomnography to ensure an accurate diagnosis
implies an expensive, technical and time-consuming examination. Thus, it seems
logical to develop new systems so as to diagnose SAS and to make it possible to
detect apnoeas/hypopnoeas easily during sleep even at home. AIM OF THE STUDY: To
assess a novel type-3 portable monitoring (PM) device, the Somnolter, and
dedicated automatic analysis of several signals, one of which is the mandibular
movement signal. METHOD: We studied patients suffering from OSAS. For all the
patients, a nocturnal diagnosis polysomnography (PSG) was recorded in hospital
settings, based on six EEG channels, two EOG channels, chin EMG channel, EKG, and
respiratory parameters. At the same time, the Somnolter PM device recorded the
physiological parameters from its own nasal prongs, thoracic belt, pulse
oxymeter, body position, and jaw movement sensors. A visual analysis of PSG
recordings was made leading to the detection of apnoea/hypopnoea index (AHI-PSG)
and an automatic analysis of the Somnolter traces was performed to get automatic
apnoea/hypopnoea index (AHI-A). The added value of the mandible movement signals
was the particular jaw movements related to arousals, to respiratory efforts and
to sleep/wake state. A comparison was made between the automatic and gold AHIs
standard and the correlation was calculated between them. RESULTS: Ninety
patients, aged between 47 and 70 years (mean age: 55.4+/-8.7) took part in the
study. The linear regression and the correlation coefficient between AHI-PSG and
AHI-A showed the good reliability of the automatic method. The Bland Altman
analysis shows a correlation of 0.95 with a sensitivity of 83.6 and specificity
of 81.8. CONCLUSION: The dedicated automatic analysis based on mandibular
movements presents a good potential for the diagnosis of OSAS. The AHI computed
by the automatic method is correlated with the AHI-PSG and the Somnolter could
easily be used both in hospital, and in ambulatory settings.
PMID- 22078732
TI - Millard the magician.
PMID- 22078733
TI - A new era for lignocellulosics utilization through biotechnology.
PMID- 22078734
TI - Low impact strategies to improve ligninolytic enzyme production in filamentous
fungi: the case of laccase in Pleurotus ostreatus.
AB - The ever-increasing demand of laccases for biodelignification, industrial
oxidative processes and environmental bioremediation requires the production of
large quantities of enzymes at low cost. The present work was carried out to
reduce laccase production costs in liquid fermentations of the white-rot fungus
Pleurotus ostreatus through two different approaches. In the first, screening of
fungal spent media as natural laccase inducer was performed, eliminating the
presence of potentially toxic/recalcitrant and expensive exogenous inducers in
the culture broth. In the latter, breeding of different strains of P. ostreatus,
screened for their laccase productivity, was performed by cross-hybridisation,
avoiding genetic transformation and mutagenic treatments that could produce
organisms not suitable for "natural or safe processes". A laccase production
level close to 80,000U/L by combining the two approaches was achieved.
Autoinduction and classical breeding represent promising tools for the
improvement of fungal fermentation without affecting the disposable costs that
also depend on the eco-compatibility of the whole process.
PMID- 22078735
TI - Fungal laccases: versatile tools for lignocellulose transformation.
AB - Conversion of lignocellulosic materials to useful, high value products normally
requires a pre-treatment step to transform or deconstruct the recalcitrant and
heterogeneous lignin fraction. The development of "green tools" for the
transformation of lignocellulosic feedstocks is in high demand for a sustainable
exploitation of such resources. This multi-faceted challenge is being addressed
by an ever-increasing suite of ligninolytic enzymes isolated from various
sources. Among these, fungal laccases are known to play an important role in
lignin degradation/modification processes. The white-rot fungus Pleurotus
ostreatus expresses multiple laccase genes encoding isoenzymes with different
properties. The availability of established recombinant expression systems for P.
ostreatus laccase isoenzymes has allowed to further enrich the panel of P.
ostreatus laccases by the construction of mutated, "better performing" enzymes
through molecular evolution techniques. New oxidative catalysts with improved
activity and stability either at high temperature and at acidic and alkaline pH
have been isolated and characterized.
PMID- 22078736
TI - Pleurotus ostreatus heme peroxidases: an in silico analysis from the genome
sequence to the enzyme molecular structure.
AB - An exhaustive screening of the Pleurotus ostreatus genome was performed to search
for nucleotide sequences of heme peroxidases in this white-rot fungus, which
could be useful for different biotechnological applications. After sequence
identification and manual curation of the corresponding genes and cDNAs, the
deduced amino acid sequences were converted into structural homology models. A
comparative study of these sequences and their structural models with those of
known fungal peroxidases revealed the complete inventory of heme peroxidases of
this fungus. This consists of cytochrome c peroxidase and ligninolytic
peroxidases, including manganese peroxidase and versatile peroxidase but not
lignin peroxidase, as representative of the "classical" superfamily of plant,
fungal, and bacterial peroxidases; and members of two relatively "new" peroxidase
superfamilies, namely heme-thiolate peroxidases, here described for the first
time in a fungus from the genus Pleurotus, and dye-decolorizing peroxidases,
already known in P. ostreatus but still to be thoroughly explored and
characterized.
PMID- 22078737
TI - Transcription analysis of lignocellulolytic enzymes of Penicillium decumbens 114
2 and its catabolite-repression-resistant mutant.
AB - Penicillium decumbens 114-2 is a fast-growing filamentous fungus which secretes a
variety of lignocellulolytic enzymes. Its catabolite-repression-resistant mutant
JU-A10 with high secretion capacity of cellulolytic enzymes has been used
industrially for biomass hydrolysis. Transcription levels of 6 important
lignocellulolytic enzymes genes (cel5A, cel6A, cel7A, cel7B, xyn10A, and xyn11A)
from both strains were determined on different carbon sources (glucose, sorbose,
lactose, cellobiose, cellulose, and cellulose-wheat bran), by means of a real
time quantitative polymerase chain reaction. For both strains, the 6 genes are
coordinately regulated at transcriptional level. Glucose and cellobiose repressed
whereas cellulose and cellulose-wheat bran induced expression of 6 genes in both
strains. Expression levels of all genes tested in the mutant strain JU-A10 were
substantially higher than those in wild-type strain 114-2 on all carbon sources.
On glucose repression condition, the mutant JU-A10 appeared obviously
derepressed. Lactose was first proved to have an inductive effect on
lignocellulolytic enzyme genes expression at lower concentration in Penicillium
spp.
PMID- 22078738
TI - Mechanism of the positive effect of poly(ethylene glycol) addition in enzymatic
hydrolysis of steam pretreated lignocelluloses.
AB - The efficiency of enzymatic hydrolysis of lignocellulses can be increased by
addition of surfactants and polymers, such as poly(ethylene glycol) (PEG). The
effect of PEG addition on the cellulase adsorption was tested on various steam
pretreated lignocellulose substrates (spruce, willow, hemp, corn stover, wheat
straw, sweet sorghum bagasse). A positive effect of PEG addition was observed, as
protein adsorption has decreased and free enzyme activities (FP, beta
glucosidase) have increased due to the additive. However, the degree of
enhancement differed among the substrates, being highest on steam pretreated
spruce. Results of lignin analysis (pyrolysis-GC/MS, (31)P NMR) suggest that the
effect of PEG addition is in connection with the amount of unsubstituted phenolic
hydroxyl groups of lignin in the substrate. Adsorption experiments using two
commercial enzyme preparations, Celluclast 1.5L (Trichoderma reesei cellulase)
and Novozym 188 (Aspergillus niger beta-glucosidase) suggested that enzyme
origins affected on the adsorptivity of beta-glucosidases.
PMID- 22078739
TI - Impact of epiphytic and endogenous enzyme activities of senescent maize leaves
and roots on the soil biodegradation process.
AB - This study was focused on investigating the role of the initial residue
community, i.e. microorganisms and enzymes from the epiphytic and endophytic
compartments, in soil decomposition processes. Aerial and underground parts
(leaves and roots) of maize (Zea mays L.) plants were gamma-irradiated, surface
sterilized with sodium hypochlorite (NaOCl)/ethanol or non-sterilized (controls),
while the outer surface morphology of maize leaves and roots was examined by
scanning electron microscopy (SEM). Non-sterilized and sterilized maize leaves
and roots were incubated in soil to study carbon (C) mineralization kinetics and
enzyme dynamics (L-leucine aminopeptidase, CBH-1, xylanase, cellulase and
laccase). SEM results showed that initial microbial colonization was more
pronounced on non-sterilized leaf and root surfaces than on sterilized samples.
The hypochlorite treatment removed a part of the soluble components of leaves by
washing and no specific effect of any type of colonizing microorganisms was
observed on C mineralization. In contrast, gamma irradiation and hypochlorite
treatments did not affect root chemical characteristics and the quantitative
effect of initial residue-colonizing microorganisms on C mineralization was
demonstrated. The variations in C mineralization and enzyme dynamics between non
sterilized and sterilized roots suggested that activities of epiphytic and
endogenic microorganisms were of the same order of magnitude.
PMID- 22078740
TI - Structure and optical properties of plant cell wall bio-inspired materials:
cellulose-lignin multilayer nanocomposites.
AB - Interfacial affinity between lignin model compound (dehydrogenation polymer
[DHP]) and cellulose nanocristals (CN) was studied before building a
nanocomposite cellulose/lignin in multilayer form by spin-coating method. The
adsorption isotherm of DHP was measured by ellipsometry at the liquid/CN film
interface and showed that the surface concentration of adsorbed DHP increases
with the bulk concentration in solution. The DHP appeared as globular structures
on cellulosic film, as observed by AFM. Spreading a dense lignin layer on CN film
gave rise to the disappearance of the InfraRed resonance bands related to the DHP
aromatics. The film obtained from alternate layers of cellulose/DHP was
transparent in visible light and had weak absorption in UV wavelengths. Optical
properties measured in the visible wavelength range by ellipsometry and
spectrophotometry indicated that beyond six bilayers (cellulose/DHP), the
composite exhibits antireflexion properties.
PMID- 22078741
TI - Saccharification of Miscanthus x giganteus, incorporation of lignocellulosic by
product in cementitious matrix.
AB - Given the non competition of miscanthus with food and animal feed, this
lignocellulosic species has attracted attention as a possible biofuel resource.
However, sustainability of ethanol production from lignocelluloses biomass would
imply reduction in the consumption of chemicals and/or energetic means, but also
valorization of the lignocellulosic by-product remaining from enzymatic
saccharification. Introduction of these by-products into a cementitious matrix
could be used in manufacturing a lightweight composite. Miscanthus biomass was
submitted to chemical pretreatments followed by saccharification using an
enzymatic cocktail. Residues from saccharification were then mixed with a
cementitious matrix. Given their mechanical properties and a good adherence
between cement and by-product, the hardened materials could be used. However, the
delay in the beginning of setting time is too long, which prevents the direct use
of by-product into cementitious matrix. Preliminary experiments using a setting
accelerator in the cementitious matrix permitted significant reduction in the
setting time delay.
PMID- 22078742
TI - [Lung transplantation in internal medicine].
PMID- 22078743
TI - Congenital hairy polyp of the soft palate.
AB - Hairy polyp is an unusual developmental malformation that is most frequently seen
as a pedunculated tumor in the neonate. They are benign lesions containing
elements of both ectodermal and mesodermal origin. The symptoms of hairy polyps
relate both to their location and their size. Larger lesions produce symptoms due
to feeding difficulties and airway obstruction while smaller lesions cause
intermittent symptoms resulting from a ball-valve type of obstruction. We present
two cases of a soft palate hairy polyp causing respiratory and feeding
difficulties and review the literature.
PMID- 22078744
TI - EXIT (Ex utero Intrapartum Treatment) in lymphatic malformations of the head and
neck: discussion of three cases and proposal of an EXIT-TTP (Team Time Procedure)
list.
AB - OBJECTIVES: Ex utero Intrapartum Treatment (EXIT) is a technique to secure the
fetal airway while oxygenation is maintained through utero-placental circulation.
The aim of the study is to present three cases of fetal lymphatic malformation of
the head and neck that required EXIT and to summarize EXIT details. METHODS: The
cases were studied before the delivery and EXIT was planned with a
multidisciplinary team. The key factors of EXIT are considered and the type,
stage and clinical score of the three lymphatic malformations are defined.
RESULTS: In the three cases of EXIT the time working on placental support to
secure the airway was 9, 7, and 9 min, respectively (from the hysterotomy to
clamping the umbilical cord). Procedures performed on the airway were laryngo
tracheo-bronchoscopy in the first case, laryngoscopy and intubation in the second
one, laryngoscopy, drainage of the lymphatic macro-cyst, and intubation in the
third case. A sketching to detail the EXIT steps are presented: EXIT-Team Time
Procedure list (EXIT-TTP list). Lymphatic malformations were classified as mixed
(micro/macro-cystic) in two cases, and macro-cystic in one. de Serres Stage was
IV, V and II. Therapy varied in the three neonates (surgery alone,
surgery+Picibanil+Nd-YAG, or Picibanil alone). CONCLUSIONS: In case of prenatal
suspicion of airway obstruction, EXIT should be planned with a multidisciplinary
team. The EXIT-Team Time Procedure list (EXIT-TTP list), reviews the most
critical phases of the procedure when different teams are working together. The
type of lymphatic malformation, the anatomic location and the clinical score
predict the outcome.
PMID- 22078745
TI - Functional neuroanatomy of vocalization in patients with Parkinson's disease.
AB - In Parkinson's disease (PD) both speech production and self-monitoring of voiced
speech are altered. METHODS: In our previous study we used functional magnetic
resonance imaging (fMRI) to examine which brain areas are involved in overt
reading in nine female PD patients (mean age 66.0 +/- 11.6 years) compared with
eight age-matched healthy female controls (mean age 62.2 years +/- 12.3). Here we
performed the post-hoc seed-based functional connectivity analysis of our data to
assess the functional connectivity between the periaqueductal gray matter (PAG;
i.e. the core subcortical structure involved in human vocalization) and other
brain regions in the same groups of PD patients and controls. RESULTS: In PD
patients as compared with controls we observed increased connectivity between PAG
and basal ganglia, posterior superior temporal gyrus, supramarginal and fusiform
gyri and inferior parietal lobule on the right side. In the PD group, the
connectivity strength in the right putamen and the right sypramarginal gyrus was
correlated with variability of pitch while the connectivity strength in the right
posterior superior temporal gyrus and in the right inferior parietal lobule was
correlated with speech loudness. CONCLUSION: We observed functional
reorganization in PD patients as compared with controls in both the motor basal
ganglia-thalamo-cortical circuitry and cortical areas known to be engaged in
auditory and somatosensory feedback control of voiced speech. These changes were
hemisphere-specific and might either reflect effects of dopaminergic treatment or
at least partially successful compensatory mechanisms involved in early-stage PD.
PMID- 22078746
TI - Control of social monogamy through aggression in a hermaphroditic shrimp.
AB - INTRODUCTION: Sex allocation theory predicts that in small mating groups
simultaneous hermaphroditism is the optimal form of gender expression. Under
these conditions, male allocation is predicted to be very low and overall per
capita reproductive output maximal. This is particularly true for individuals
that live in pairs, but monogamy is highly susceptible to cheating by both
partners. However, certain conditions favour social monogamy in hermaphrodites.
This study addresses the influence of group size on group stability and moulting
cycles in singles, pairs, triplets and quartets of the socially monogamous shrimp
Lysmata amboinensis, a protandric simultaneous hermaphrodite. RESULTS: The effect
of group size was very strong: Exactly one individual in each triplet and exactly
two individuals in each quartet were killed in aggressive interactions, resulting
in group sizes of two individuals. All killed individuals had just moulted. No
mortality occurred in single and pair treatments. The number of moults in the
surviving shrimp increased significantly after changing from triplets and
quartets to pairs. CONCLUSION: Social monogamy in L. amboinensis is reinforced by
aggressive expulsion of supernumerous individuals. We suggest that the high risk
of mortality in triplets and quartets results in suppression of moulting in
groups larger than two individuals and that the feeding ecology of L. amboinensis
favours social monogamy.
PMID- 22078748
TI - Anthelmintic resistance in non-strongylid parasites of horses.
AB - Since 2002, selected populations of Parascaris equorum in several countries have
been reported to survive treatment with macrocyclic lactone (M/L) anthelmintics.
Clinical treatment failures are characterized by negligible fecal egg count
reduction, but M/L resistance has been confirmed in ascarids by controlled
efficacy testing. Resistance was selected by current parasite control practices
for foals, which often include exclusive and excessively frequent use of M/L
dewormers, thereby minimizing refugia within the host and in the environment.
Chemical control of M/L-resistant isolates can be accomplished with pyrimidine
and/or benzimidazole anthelmintics, but a few M/L-resistant populations have
recently exhibited resistance to pyrantel pamoate as well. Some specimens of
Oxyuris equi regularly survive treatment with macrocyclic lactones, but it is
uncertain whether this constitutes resistance or merely confirms the incomplete
oxyuricidal efficacy of virtually all broad spectrum equine anthelmintics.
Variations in other biological parameters of Oxyuris and Parascaris, specifically
atypical infection of older hosts and shorter prepatent periods, have been
reported anecdotally. These changes may represent genetic modifications that have
evolved in parallel with resistance as a result of anthelmintic selection
pressure.
PMID- 22078749
TI - Screening for uterine tumours.
AB - The most prevalent uterine tumours are leiomyomas, which are benign and have a
prevalence of about 50% at menopause. The incidence of endometrial cancer and
uterine sarcomas is about 25 per 100,000 and 0.7 per 100,000, respectively.
Reported risk factors for endometrial cancer are advanced age, unopposed
oestrogen stimulation, late menopause, obesity, diabetes mellitus, nulliparity,
feminising ovarian tumours, polycystic ovarian syndrome, tamoxifen and belonging
to a hereditary non-polyposis colorectal cancer family. Unopposed oestrogen
stimulation and tamoxifen have also been confirmed to induce uterine sarcomas.
Cervical cytology, endometrial sampling and ultrasound have been proposed in the
early diagnosis of endometrial cancer. No pathognomonic ultrasound, magnetic
resonance imaging or computed tomography features are able to differentiate
between a leiomyoma and a uterine sarcoma, and reliable serum markers for
sarcomas are lacking. To date, mass screening for uterine malignancies is not
feasible or effective.
PMID- 22078747
TI - Modulation of oxidative stress and mitochondrial function by the ketogenic diet.
AB - The ketogenic diet (KD) is a high-fat, low carbohydrate diet that is used as a
therapy for intractable epilepsy. However, the mechanism(s) by which the KD
achieves neuroprotection and/or seizure control are not yet known. The broad
efficacy of the KD in diverse epilepsies coupled with its profound influence on
metabolism suggests that mitochondrial functions may be critical in its
mechanism(s) of seizure control. Mitochondria subserve important cellular
functions that include the production of cellular ATP, control of apoptosis,
maintenance of calcium homeostasis and the production and elimination of reactive
oxygen species (ROS). This review will focus on recent literature reporting the
regulation of mitochondrial functions and redox signaling by the KD. The review
highlights a potential mechanism of the KD involving the production of low levels
of redox signaling molecules such as H(2)O(2) and electrophiles e.g. 4
hydroxynonenal (4-HNE), which in turn activate adaptive pathways such as the
protective transcription factor, NF E2-related factor 2 (Nrf2). This can
ultimately result in increased production of antioxidants (e.g. GSH) and
detoxification enzymes which may be critical in mediating the protective effects
of the KD.
PMID- 22078751
TI - In vitro evaluation of antibacterial activity of Asparagopsis taxiformis from the
Straits of Messina against pathogens relevant in aquaculture.
AB - Ethanol extracts of Asparagopsis taxiformis collected from the Straits of Messina
(Italy) were screened for antibacterial activity against pathogenic shellfish and
fish bacteria previously isolated from local marine and brackish environments.
Genetic labelling by DNA barcoding allowed us to identify the algal population as
a biogeographical strain conspecific to A. taxiformis. The extract obtained in
May showed the broadest antibacterial activity against all tested pathogenic
bacteria, especially against Vibrio alginolyticus, Vibrio vulnificus and
Aeromonas salmonicida subsp. salmonicida. Moderate activity was observed against
Photobacterium damselae subsp. damselae and Photobacterium damselae subsp.
piscicida, Salmonella sp., Vibrio cholerae, Vibrio harveyi and Vibrio
parahaemolyticus. The absence of cytotoxic effects of active algal extracts was
verified using trypan blue exclusion test on cells of digestive glands of Mytilus
galloprovincialis. The results indicated that ethanol extracts of A. taxiformis
could represent a source of antibacterial substances with potential use in
aquaculture.
PMID- 22078750
TI - Mechanisms of B cell autoimmunity in SLE.
AB - Systemic lupus erythematosus (SLE) is a systemic autoimmune disease that is known
to be associated with polyclonal B-cell hyperreactivity. The underlying causes of
the diffuse B-cell over-reactivity are unclear, but potential candidates include
(a) intrinsic hyper-reactivity leading to polyclonal B-cell activation with
disturbed activation thresholds and ineffective negative selection; (b) lack of
immunoregulatory functions; (c) secondary effects of an overactive inflammatory
environment, such as overactive germinal center and ectopic follicular activity;
and/or (d) disturbed cytokine production by non-B immune cells. These mechanisms
are not mutually exclusive and may operate to varying extents and at varying
times in SLE. Phenotypic and molecular studies as well as the results of recent
clinical trials have begun to provide new insights to address these
possibilities. Of importance, new information has made it possible to distinguish
between the contribution played by abnormalities in central checkpoints that
could lead to a pre-immune repertoire enriched in autoreactive B cells, on the
one hand, and the possibility that autoimmunity arises in the periphery from
somatic hypermutation and abnormal selection during T cell-dependent B-cell
responses on the other. There is an intriguing possibility that apoptotic
material bound to the surface of follicular dendritic cells positively selects
autoreactive B cells that arise from non-autoreactive B-cell precursors as a
result of somatic hypermutation and thereby promotes the peripheral emergence of
autoimmunity.
PMID- 22078752
TI - Reproductive morbidity among Iranian women; issues often inappropriately
addressed in health seeking behaviors.
AB - BACKGROUND: Reproductive morbidity has a huge impact on the health and quality of
life of women. We aimed to determine the prevalence of reproductive morbidities
and the health seeking behavior of a nationally representative sample of Iranian
urban women. METHODS: A sample of 1252 women, aged 18-45 years, was selected
using the multi stage, stratified probability sampling procedure. Data were
collected through interviews and physical, gynecological and ultrasonographic
examinations. RESULTS: Reproductive tract infection (RTIs), pelvic organ prolapse
(POP) and menstrual dysfunction were the three main groups of morbidities with a
prevalence of 37.6%, 41.4% and 30.1%., respectively. Our study demonstrated that
35.1, 34.5 and 9.6 percent of women experienced one, two or these reproductive
organ disorders mentioned, respectively, while 20.6 percent of participants had
none of these disorders. Findings also showed that the majority of women who
suffered from reproductive morbidities (on average two out of three) had not
sought appropriate care for these except for infertility. CONCLUSIONS:
Reproductive health morbidities impose a large burden among Iranian women and
have negative impact on their reproductive health and wellbeing.
PMID- 22078753
TI - Effects of hyperinsulinemia on lipoprotein lipase, angiopoietin-like protein 4,
and glycosylphosphatidylinositol-anchored high-density lipoprotein binding
protein 1 in subjects with and without type 2 diabetes mellitus.
AB - Our aims were to compare the systemic effects of insulin on lipoprotein lipase
(LPL) in tissues from subjects with different degrees of insulin sensitivity. The
effects of insulin on LPL during a 4-hour hyperinsulinemic, euglycemic clamp were
studied in skeletal muscle, adipose tissue, and postheparin plasma from young
healthy subjects (YS), older subjects with type 2 diabetes mellitus (DS), and
older control subjects (CS). In addition, we studied the effects of insulin on
the expression of 2 recently recognized candidate genes for control of LPL
activity: angiopoietin-like protein 4 (ANGPTL4) and glycosylphosphatidylinositol
anchored high-density lipoprotein binding protein 1. As an effect of insulin, LPL
activity decreased by 20% to 25% in postheparin plasma and increased by 20% to
30% in adipose tissue in all groups. In YS, the levels of ANGPTL4 messenger RNA
in adipose tissue decreased 3-fold during the clamp. In contrast, there was no
significant change in DS or CS. Regression analysis showed that the ability of
insulin to reduce the expression of ANGPTL4 was positively correlated with M
values and inversely correlated with factors linked to the metabolic syndrome.
Expression of glycosylphosphatidylinositol-anchored high-density lipoprotein
binding protein 1 tended to be higher in YS than in DS or CS, but the expression
was not affected by insulin in any of the groups. Our data imply that the insulin
mediated regulation of LPL is not directly linked to the control of glucose
turnover by insulin or to ANGPTL4 expression in adipose tissue or plasma.
Interestingly, the response of ANGPTL4 expression in adipose tissue to insulin
was severely blunted in both DS and CS.
PMID- 22078754
TI - One day of motor training with amphetamine impairs motor recovery following
spinal cord injury.
AB - It has previously been reported that a single dose of amphetamine paired with
training on a beam walking task can enhance locomotor recovery following brain
injury (Feeney et al., 1982). Here, we investigated whether this same
drug/training regimen could enhance functional recovery following either thoracic
(T9) or cervical (C5) spinal cord injury. Different groups of female Sprague
Dawley rats were trained on a beam walking task, and in a straight alley for
assessment of hindlimb locomotor recovery using the BBB locomotor scale. For rats
that received C5 hemisections, forelimb grip strength was assessed using a grip
strength meter. Three separate experiments assessed the consequences of training
rats on the beam walking task 24 h following a thoracic lateral hemisection with
administration of either amphetamine or saline. Beginning 1 h following drug
administration, rats either received additional testing/retraining on the beam
hourly for 6 h, or they were returned to their home cages without further
testing/retraining. Rats with thoracic spinal cord injuries that received
amphetamine in conjunction with testing/retraining on the beam at 1 day post
injury (DPI) exhibited significantly impaired recovery on the beam walking task
and BBB. Rats with cervical spinal cord injuries that received training with
amphetamine also exhibited significant impairments in beam walking and
locomotion, as well as impairments in gripping and reaching abilities. Even when
administered at 14 DPI, the drug/training regimen significantly impaired reaching
ability in cervical spinal cord injured rats. Impairments were not seen in rats
that received amphetamine without training. Histological analyses revealed that
rats that received training with amphetamine had significantly larger lesions
than saline controls. These data indicate that an amphetamine/training regimen
that improves recovery after cortical injury has the opposite effect of impairing
recovery following spinal cord injury because early training with amphetamine
increases lesion severity.
PMID- 22078755
TI - Response to the report, "A re-assessment of a combinatorial treatment involving
Schwann cell transplants and elevation of cyclic AMP on recovery of motor
function following thoracic spinal cord injury in rats" by Sharp et al. (this
volume).
PMID- 22078756
TI - Replication and reproducibility in spinal cord injury research.
AB - This special issue of Experimental Neurology compiles a series of papers that
either explicitly replicate published studies or retest phenomena reported in
previous publications. The explicit replications were carried out as part of the
"Facilities of Research Excellence-Spinal Cord Injury" (FORE-SCI) program
launched by the National Institute of Neurological Disorders and Stroke (NINDS)
in 2003. Here, we review the FORE-SCI replication experiments published prior to
those in this special issue. We then discuss emerging issues regarding
replication and reproducibility in spinal cord injury research, especially in
terms of potential translation to clinical trials.
PMID- 22078757
TI - Anti-CD11d monoclonal antibody treatment for rat spinal cord compression injury.
AB - This paper by Hurtado et al. examined responses of spinal cord-injured rats to
treatment with a monoclonal antibody to the CD11d integrin, as a replication
study of the paper by Gris et al. published in J. Neuroscience, 2004. The Hurtado
et al. study addressed a portion of our investigation and obtained similar
findings in the experiments that closely replicated ours in methodology and
design, specifically the open field locomotor study. The high variability in
their study of mechanical allodynia probably precluded detection of effects of
the anti-CD11d treatment on this form of neuropathic pain. The lesion assessments
were greatly different from those done in the Gris et al. study, and may not have
been ideal for the extent of injury produced in this model, but did reveal a
trend toward myelin preservation. The positive aspects of the study by Hurtado et
al. encourage us to investigate this novel treatment further, in different
animals and in different models of spinal cord injury.
PMID- 22078758
TI - Oncomodulin affords limited regeneration to injured sensory axons in vitro and in
vivo.
AB - Oncomodulin, an ~12 kDa Ca(2+)-binding protein secreted from activated
macrophages, has been shown to promote axonal regeneration from retinal ganglion
cells (RGCs) following optic nerve injury. However, to date, the axonal growth
promoting capacity of oncomodulin in other models of 'regenerative failure' has
not been evaluated. We assessed the capability of preconditioning treatment with
oncomodulin to promote sensory axonal regeneration in an in vitro spot model of
regenerative failure, and across the dorsal root zone (DREZ) after root crush
injury. Neither the direct exposure of adult rat DRGs to oncomodulin, nor
preconditioning of DRGs by intraganglionic injection of oncomodulin, stimulated
axonal outgrowth in the in vitro proteoglycan spot gradient assay. However,
direct exposure of unconditioned DRGs to both oncomodulin and db-cAMP in vitro,
as well as preconditioning of DRGs with the combined treatment in vivo, resulted
in significant, albeit modest, neurite extension across the inhibitory
proteoglycan barrier. We next quantified axon regeneration through the C8 DREZ in
adult rats after oncomodulin and/or db-cAMP preconditioning and chondroitinase
(ChABC) injection into the DREZ immediately following a root crush injury. Axonal
regeneration across the DREZ was not observed in control animals, or after
injection of ChABC-alone. Treatment with oncomodulin- or db-cAMP-alone resulted
in extremely sparse regeneration. However, significant, but meager, sensory axon
regeneration across the DREZ was observed using the oncomodulin/ db-cAMP
combination (p<0.001), supporting findings from previous studies suggesting that
cAMP is necessary for the growth-promoting effects of oncomodulin. Although our
results support a role for oncomodulin in macrophage-induced axonal regeneration,
the effects of oncomodulin/db-cAMP on sensory regeneration were extremely limited
in comparison to previous studies in the same injury model using zymosan.
PMID- 22078759
TI - When replication teaches more than the original experiment--the saga of the
unknown unknown.
AB - We recently published a description of the molecular mechanism involved in
"progressive hemorrhagic necrosis", a pathological process that evolves during
several hours after spinal cord injury, that is attributable to progressive
capillary fragmentation, and that is due to upregulation and activation of SUR1
regulated channels in microvascular endothelium. In this commentary, we reflect
on the independent replication of our original experiment by Dr. Phillip Popovich
and colleagues, and how their initial attempt at replication led to the
unexpected finding that anisotropy of spinal cord tissues strongly influences the
patterns of both primary and secondary hemorrhage that are observed after impact
injury to the spinal cord.
PMID- 22078761
TI - A re-assessment of the effects of treatment with an epidermal growth factor
receptor (EGFR) inhibitor on recovery of bladder and locomotor function following
thoracic spinal cord injury in rats.
AB - This study was undertaken as part of the NIH "Facilities of Research Excellence
Spinal Cord Injury" project to support independent replication of published
studies. Here, we repeat an experiment in which rats that received an inhibitor
of the epidermal growth factor receptor (EGFR) exhibited greater sparing/recovery
of bladder and motor function and enhanced sparing at the lesion site after
contusion injuries at the thoracic level. Young adult female Sprague-Dawley rats
received moderate contusions with the NYU impactor (10 g from 12.5 mm, 2 mm rod
diameter), and then were implanted with catheters attached to osmotic minipumps
for intra-spinal delivery of either PD168393 dissolved in 5% DMSO and HBSS or
vehicle alone. Motor function was assessed with the Basso, Beattie, and Bresnahan
Locomotor Rating Scale (BBB) and with a grid walk task. Bladder function was
assessed by measuring the amount of urine retained in the bladder. Tactile
sensitivity was assessed using von Frey hairs and heat and cold sensitivity were
assessed by testing hindlimb sensitivity to ethylchloride spray and a hotplate
respectively. Rats that received PD168393 were more impaired on motor assessments
and also showed greater bladder impairment (larger amounts of retained urine)
than rats that received vehicle. These results thus fail to confirm previous
studies reporting enhanced recovery following treatment with PD168393.
PMID- 22078760
TI - A reassessment of P2X7 receptor inhibition as a neuroprotective strategy in rat
models of contusion injury.
AB - These experiments were completed as part of an NIH "Facilities of Research
Excellence in Spinal Cord Injury" contract to support independent replication of
published studies that could be considered for eventual clinical testing. Recent
studies have reported that selective inhibition of the P2X7 receptor improves
both the functional and histopathological consequences of a contusive spinal cord
injury (SCI) in rats. We repeated two published studies reporting the beneficial
effects of pyridoxal-5'-phosphate-6-azophenyl-2'-4'-disulphonic acid (PPADS) or
Brilliant blue G (BBG) treatment after SCI (Wang et al., 2004 and Peng et al.,
2009). Mild thoracic SCI was first produced in Experiment 1 by means of the
MASCIS impactor at T10 (height 6.25 mm, weight 10 g) followed by intraspinal
administration of a P2X7 antagonist (2 MUl/10 mM) after injury. Treatment with
PPADS or another highly selective P2X7R antagonist Brilliant Blue G (BBG) (2
MUl/02 mM) did not improve locomotive (BBB rating scale) over a 7 week period
compared to vehicle treated rats. Also, secondary histopathological changes in
terms of overall lesion and cavity volume were not significantly different
between the PPADS, BBG, and vehicle treated animals. In the second experiment,
the systemic administration of BBG (10 or 50 mg/kg, iv) 15 min, 24 and 72 h after
moderate (12.5 mm) SCI failed to significantly improve motor recovery or
histopathological outcome over the 6 week observational period. Although we
cannot conclude that there will be no long-term beneficial effects in other
spinal cord injury models using selective P2X7 receptor antagonists at different
doses or treatment durations, we caution researchers that this potentially
exciting therapy requires further preclinical investigations before the
implementation of clinical trials targeting severe SCI patients.
PMID- 22078762
TI - [Glycemic control in non-critical hospitalized patients].
AB - OBJECTIVES: To assess in hospitalized patients the prevalence of hyperglycemia,
degree of glycemic control, rate of hypoglycemia, and treatment used. PATIENTS
AND METHODS: A prospective, observational study. RESULTS: Hyperglycemia was found
in 185 (26.7%) of 691 patients, of whom 85% had been diagnosed with diabetes and
15% had no diabetes. Preprandial mean blood glucose was 169 mg/dL (95% CI 160
177). Control goals were achieved by 34.5% of patients (blood glucose <=140
mg/dL). In 121 patients only sliding-scale regular insulin was used, while 64
patients received both basal and regular insulin. The mean daily insulin dose
used was 19.5 units. Oral antidiabetics were given to 11.4% of patients. Thirteen
patients (7%) experienced hypoglycemia (< 70 mg/dL), none of them severe.
CONCLUSIONS: Glycemic control is not adequate in hospitalized patients, probably
because of overuse of sliding scales and the low insulin doses used.
PMID- 22078763
TI - [Postprandial lipemia induces endothelial dysfunction and higher insulin
resistance in healthy subjects].
AB - OBJECTIVE: To assess the effect of postprandial lipemia on endothelial function,
insulin resistance, and lipid profile in healthy subjects. PATIENTS AND METHODS:
A prospective', interventional study in 14 healthy young men aged 18-25 years who
were given a high-fat meal. Endothelial function was measured using flow-mediated
dilation (FMD) in the brachial artery, flow velocity, mean arterial pressure and
serum nitrite/nitrate levels (NO(2)/NO(3)). Glucose, insulin, total cholesterol,
and triglyceride levels were also tested. Insulin resistance was determined by
calculating the HOMA-IR index (Homeostatic Model Assessment-Insulin Resistance).
RESULTS: Baseline FMD was 5.9 +/- 1.1%. Postprandial lipemia reduced endothelial
function by approximately 50% in the first (3.3 +/- 0.5%, p=0.03) and second (3.3
+/- 0.4%, p=0.04) moment respectively. This finding was associated to an
increased flow rate in the brachial artery and lower NO(2)/NO(3) levels (p<0.05).
Higher cholesterol and triglyceride levels were found 1h and 2h postprandial
(p<0.05). HOMA-IR was significantly increased 1h and 2h postprandial (p<0.05).
CONCLUSIONS: Postprandial lipemia causes changes in circulating lipid profile and
induces endothelial dysfunction and higher insulin resistance.
PMID- 22078764
TI - Differentiation of human mesenchymal stem cells on niobium-doped fluorapatite
glass-ceramics.
AB - OBJECTIVE: Our goal was to characterize the response of human mesenchymal stem
cells (hMSCs) to a niobium-doped fluorapatite-based glass-ceramic (FAp). METHODS:
The glass was prepared by twice melting at 1525 degrees C for 3 h, and cast into
cylindrical ingots later sectioned into discs and heat-treated to promote
crystallization of fluorapatite submicrometer crystals. Tissue culture
polystyrene (TCP) was used as control. The surface of the FAp discs was either
left as-heat treated, ground or etched. Initial cell attachment was assessed at 3
h. Proliferation and alkaline phosphatase (ALP) expression data were collected at
days 1, 4, and 8. Cell morphology was examined using SEM, at days 2 and 4.
Mineralization was evaluated by Alizarin Red staining and SEM. RESULTS: Initial
cell attachment on as heat-treated, etched, or ground surfaces was similar to
that of the positive control group (p>0.05). The percentage of area covered by
living cells increased significantly on as heat-treated, etched, or ground
surfaces between days 1 and 8 (p<0.05). There was no significant difference among
groups in cell coverage at day 8, compared to TCP control. SEM revealed well
spread polygonal cells with numerous filopodia, either attached to the ceramic
surface or connected to neighboring cells. ALP expression at day 8 was
significantly higher in osteogenic media compared to growth media on both FAp and
control. FAp discs stained positively with Alizarin Red and calcium-rich
mineralized granules associated with fibrils were observed by SEM at day 35.
SIGNIFICANCE: hMSCs displayed excellent attachment, proliferation, and
differentiation on niobium-doped FAp glass-ceramic.
PMID- 22078765
TI - Molecular docking of gamma-sitosterol with some targets related to diabetes.
AB - gamma-sitosterol isolated from Lippia nodiflora was taken as ligand for molecular
docking. The molecular targets, glucokinase, Fructose 1, 6- bisphosphatase 1,
Human multidrug resistance protein 1 and Cytochromes P450 whose crystallographic
structures are available on the PDB database as 1V4S, 2JJK, 3LC4, 2CBZ
respectively, were used for the docking analysis using the Autodock tool v 4.2
and ADT v1.5.4 programs. The docking studies of the ligand gamma- sitosterol with
four different target proteins showed that this is a good molecule which docks
well with various targets related to diabetes mellitus. Hence gamma-sitosterol
can be considered for developing into a potent antidiabetic drug.
PMID- 22078766
TI - Phylogenetic inference for function-valued traits: speech sound evolution.
AB - Phylogenetic models have recently been proposed for data that are best
represented as a mathematical function (i.e. function valued). Such methods can
be used to model the change over time in function-based descriptions of various
data of interest to evolutionary biologists, including the sound of speech. This
approach to phylogenetic inference and analysis is challenging, both in terms of
modeling the phylogenetics of functions and in engaging with previously existing
evidence for character-state change. Nevertheless, it is both a real and exciting
prospect. Our approach could provide those interested in investigating a greater
range of evolutionary processes with the ability to use statistical hypothesis
testing procedures and to create estimates of the states of function-valued
characteristics (e.g. speech sounds) at earlier historical times.
PMID- 22078767
TI - [Anogenital granulomatosis].
PMID- 22078768
TI - [Lichen sclerosus and squamous cell carcinoma].
AB - Lichen sclerosus is a chronic inflammatory disease that can progress to
malignancy. The literature indicates an association with anogenital squamous cell
carcinoma and verrucous carcinoma. Two pathogenic pathways, differentiated vulvar
and penile intraepithelial neoplasias, which have recently been described in
relation to squamous cell carcinoma, are both highly associated with genital
lichen sclerosus independently of human papilloma virus (HPV) infection.
Furthermore, tumor-promoting molecular changes unrelated to HPV infection have
been demonstrated and may explain the malignant potential of lichen sclerosus.
The possible relationship between HPV and genital lichen sclerosus currently
remains open to discussion, and the prognostic importance of the overlapping of
these 2 diseases is still unclear. This review considers the relationship between
lichen sclerosus and squamous cell and verrucous carcinomas, the possible
oncogenic mechanisms involved, and their possible association with HPV infection.
PMID- 22078769
TI - Review our reviews: JNEB 2011.
PMID- 22078770
TI - The new Society for Nutrition Education... "and Behavior".
PMID- 22078771
TI - Food perceptions and concerns of aboriginal women coping with gestational
diabetes in Winnipeg, Manitoba.
AB - OBJECTIVE: To describe how Aboriginal women in an urban setting perceive dietary
treatment recommendations associated with gestational diabetes mellitus (GDM).
DESIGN: Semi-structured explanatory model interviews explored Aboriginal women's
illness experiences with GDM. SETTING AND PARTICIPANTS: Twenty-nine self-declared
Aboriginal women who had received a diagnosis of GDM within the last 5 years in
Winnipeg, Manitoba. MAIN OUTCOME MEASURES: Factors influencing Aboriginal women's
prenatal food perceptions with GDM. ANALYSIS: Thematic analysis was used through
coding linkages and matrix queries to assist in identifying and categorizing
patterns or relationships. RESULTS: Participants associated fear, anxiety, and
frustration with GDM. Emotional reactions appeared alongside negative
relationships with food and other prescribed lifestyle treatments. Collectively,
these results suggested that the experience of living with GDM can be
overwhelming, as suggested by some of the complex factors influencing women's
perceptions and reported behaviors. Discussions indicated many felt socially
isolated and had a poor self-image and sense of failure resulting from
ineffective GDM management practices. CONCLUSIONS AND IMPLICATIONS: Future
efforts should focus on self-efficacy and security in Aboriginal women's own
interpretation of GDM, providing them with the understanding that there is
potential for prevention and change.
PMID- 22078772
TI - Accessing the food systems in urban and rural Minnesotan communities.
AB - OBJECTIVE: Explore how urban and rural Minnesotans access the food system and to
investigate whether community infrastructure supports a healthful food system.
DESIGN: Eight (4 urban and 4 rural) focus groups were conducted. SETTING AND
PARTICIPANTS: Eight counties with urban influence codes of 1, 2, 4, 5, 8, and 10.
Fifty-nine (urban, n = 27; rural, n = 32) adults. The sample was of mixed race
and had a range of incomes. Almost half of the sample was obese. MAIN OUTCOME
MEASURE: Food systems access and the role of community infrastructure, civic
engagement, and reciprocal relationships in food provisioning in counties with
different urban influence codes. ANALYSES: Themes and subthemes were identified
through emerging concepts. RESULTS: Food access varied based on rurality and
community infrastructure. Three themes emerged: community infrastructure around
the conventional food system and food provisioning, alternative food resources,
and perceptions of the effect food and of the influence diet and exercise have on
health. CONCLUSIONS AND IMPLICATIONS: Civic engagement and community
infrastructure affected food access. Rural residents rely more on retail grocery
stores, gardening, hunting, and informal food exchange systems, whereas urban
residents rely more on the retail grocery stores and food safety net systems.
Hunting and gardening may contribute valuable nutrients to the diet.
PMID- 22078773
TI - Qualitative investigation of the Cooking with Kids program: focus group
interviews with fourth-grade students, teachers, and food educators.
AB - OBJECTIVE: Focus group (FG) interviews with students and adults were used to
obtain a rich understanding of the Cooking with Kids classroom experience from
the child and adult participant perspectives. METHODS: FG topics included
students' cooking experiences at school and home and perceptions of Cooking with
Kids. Verified transcripts of recorded interviews were entered into NVivo, coded
for themes, and confirmed through intercoder reliability. RESULTS: Twenty-two FGs
were conducted with fourth-grade students (n = 178), 3 FG with teachers (n = 17),
and 1 FG with 5 Cooking with Kids food educators. Students in cooking
interventions described positive experiences with curriculum integration into
academic subjects and were more likely to consider classmates friends.
CONCLUSIONS AND IMPLICATIONS: FG revealed student perspectives to strengthen
content and implementation of experiential foods programs. This study provides an
example of how qualitative methods can add "student voice" to evaluation of
school-based programs.
PMID- 22078774
TI - Variables of the Theory of Planned Behavior are associated with family meal
frequency among adolescents.
AB - OBJECTIVE: To examine associations between Theory of Planned Behavior variables
and the family meal frequency. METHODS: Fifth-through seventh-grade students (n =
236) completed a self-administered questionnaire in their classrooms. The
relationships between Theory of Planned Behavior variables (intention, attitudes,
subjective norms, and perceived behavioral control) and family meal frequency
were examined with Spearman correlations and multiple logistic regression
analysis. RESULTS: Positive intention, positive subjective norm of family eating
together, and lower perceived difficulty of making time increased the odds of
adolescents having family dinners at least 5 d/wk compared with adolescents
having family dinners 2 days or fewer per week. Positive intention and lower
perceived difficulty for making time increased odds of having family breakfasts
at least 5 d/wk. CONCLUSIONS AND IMPLICATIONS: To increase family meal frequency,
nutrition educators are encouraged to focus on increasing intention, creating
positive norms about the family meal, and reducing perceived barriers caused by
time conflicts.
PMID- 22078776
TI - Recruiting EFNEP participants: process and materials.
PMID- 22078775
TI - Identifying and clarifying values and reason statements that promote effective
food parenting practices, using intensive interviews.
AB - OBJECTIVE: Generate and test parents' understanding of values and associated
reason statements to encourage effective food parenting practices. METHODS: This
study was cross-sectional. Sixteen parents from different ethnic groups (African
American, white, and Hispanic) living with their 3- to 5-year-old child were
recruited. Interested parents were directed to a Web site, where they provided
screening information and informed consent. Two types of telephone interviews
were used: semistructured intensive interviews and cognitive interviews. RESULTS:
The most common core values identified in the semistructured interview were
religion/spirituality, family, and health, which appeared invariant across parent
ethnicity. Parent responses to cognitive interviews enabled rephrasing of
statements that were not well understood, the list of values was increased, and
reason statements were added to cover the spectrum cited by parents. CONCLUSIONS
AND IMPLICATIONS: Values and reason statements will be used to tailor intrinsic
motivational messages for effective food parenting practices.
PMID- 22078777
TI - Recruiting EFNEP agency partners: process and materials.
PMID- 22078778
TI - Survival and differentiation of transplanted neural stem cells derived from human
induced pluripotent stem cells in a rat stroke model.
AB - BACKGROUND: Although administration of various stem cells has shown promise in
stroke models, neural stem cells (NSCs) derived from human induced pluripotent
stem cells (iPSCs) have advantages over other cell types. We studied whether
these cells could survive, differentiate, and improve stroke recovery in an
ischemic stroke model. METHODS: Human iPSCs were induced in vitro to an early NSC
stage. One week after focal cerebral ischemia, 20 rats received cells or vehicle
by intracerebral injection. Graft cell fate, infarct volume, and behavioral
deficits were assessed. RESULTS: Graft cells were found in 8 of the transplanted
rats (80%), with estimated mean graft cell numbers nearly double the amount
transplanted 1 month later. Graft cells also expressed markers of NSCs in 5 rats
(63%), neurons in all 8 rats (100%), rare astrocytes in 4 rats (50%), and signs
of proliferation in 4 rats (50%), but no tumor formation was observed. Stroke
volume and behavioral recovery were similar between the groups. CONCLUSIONS: To
our knowledge, this is the first report of transplantation of NSCs derived from
human iPSCs in a stroke model. Human iPSC-derived NSCs survived in the
postischemic rat brain and appeared to differentiate, primarily into neurons.
This cell transplantation approach for stroke appears to be feasible, but further
optimization is needed.
PMID- 22078779
TI - Effects of constraint-induced movement therapy on spasticity in patients with
hemiparesis after stroke.
AB - BACKGROUND: We sought to examine the effects of constraint-induced movement
therapy on spasticity in patients with hemiparesis after stroke in 10 patients
with chronic hemiparesis in their upper extremities. METHODS: Patients underwent
a modified version of constraint-induced movement therapy (5 hours daily for 10
weekdays over 2 consecutive weeks). Motor function was assessed by the Fugl-Meyer
Assessment, Wolf Motor Function Test, and the Motor Activity Log. Spasticity was
assessed by the modified Ashworth scale and electromyography (F frequency, mean
F/M ratio). These assessments were obtained immediately before and after the 2
week intervention. Wilcoxon rank sum tests were performed on these data (P <
.05). RESULTS: Constraint-induced movement therapy significantly improved hand
and arm function as indicated by the Fugl-Meyer Assessment, Wolf Motor Function
Test, and the Motor Activity Log scores. Constraint-induced movement therapy also
reduced spasticity as assessed by the modified Ashworth scale, F frequency, and
mean F/M ratio. CONCLUSIONS: Comparable to motor function, constraint-induced
movement therapy effectively reduces spasticity as confirmed by electromyography.
PMID- 22078780
TI - Risk factors among stroke subtypes in Brazil.
AB - Stroke is a leading cause of mortality and disability in Brazil. Among the risk
factors for cerebrovascular disease, some have more influence than others in
certain stroke subtypes. Little data are available in the literature on the
prevalence of stroke subtypes in Latin America. We analyzed data from 688
patients with acute ischemic stroke (52.3% women; mean age, 65.7 years) who were
enrolled in a stroke data bank. Standardized data assessment and stroke subtype
classification were used. The most common stroke subtype was large-artery
atherosclerosis (n = 223; 32.4%), followed by cardioembolism (n = 195; 28.3%),
and microangiopathy (n = 127; 18.5%). Stroke risk factors differ among stroke
subtypes. The population of South America is ethnically diverse, and few previous
studies have describe the distribution of risk factors among stroke subtypes in
this population. In this study, the most important risk factors were hypertension
and dyslipidemia.
PMID- 22078781
TI - Are racial disparities in stroke care still prevalent in certified stroke
centers?
AB - Racial differences in stroke risk and risk factor prevalence are well
established. The present study explored racial differences in the delivery of
care to patients with acute stroke between Joint Commission (JC)-certified
hospitals and noncertified hospitals. A retrospective chart review was conducted
in patients sustaining ischemic stroke admitted to 5 JC-certified centers and 5
noncertified hospitals. Demographic data, risk factors, utilization of acute
stroke therapies, and compliance with core measures were recorded. Racial
disparities were investigated in the entire group as well as for JC-certified and
noncertified hospitals separately. A total of 574 patients (25.1% African
Americans) were included. African Americans were significantly younger and more
likely to have previous stroke, whereas Caucasians were more likely to have
coronary disease and atrial fibrillation. There were no racial differences in
other risk factors or baseline functions. Median National Institutes of Health
Stroke Scale scores were similar in African Americans and Caucasians, as were
proportions receiving intravenous tissue plasminogen activator (tPA) therapy
(2.1% in African Americans, 3.5% in Caucasians; P = .40) and intervention (4.2%
in African Americans, 6.8% in Caucasians; P = .26). Caucasians were more likely
to arrive by emergency medical services (65.5% vs 51.5%; P = .004), to be
evaluated by a stroke team (19.1% vs 7.7%; P = .001), and to have a documented
National Institutes of Health Stroke Scale score (40.2% vs 29.9%; P = .03).
African Americans often did not receive intravenous tPA because of a delay in
arrival. African Americans performed better on virtually all stroke care
variables in JC-certified centers. JC certification reduced disparity in certain
variables, including tPA and deep venous thrombosis prophylaxis administration.
Important racial disparities exist in the delivery of several acute stroke care
variables. Efforts must be focused on eliminating disparities in prehospital
delays. Guideline-based care tendered at JC-certified centers might help narrow
disparities in acute stroke care delivery.
PMID- 22078782
TI - Androgen signaling in decidualizing human endometrial stromal cells enhances
resistance to oxidative stress.
AB - OBJECTIVE: To investigate the effect of androgens on the expression of genes
involved in oxidative stress resistance in decidualized human endometrial stromal
cells (HESCs). DESIGN: In vitro experiment. SETTING: University hospital.
PATIENT(S): Premenopausal women undergoing hysterectomy for uterine fibroids.
INTERVENTION(S): Human endometrial stromal cells isolated from hysterectomy
specimens were decidualized with 8-bromo-cyclic adenosine monophosphate (8-br
cAMP) and P in the presence or absence of dihydrotestosterone (DHT) at various
concentrations. Hydrogen peroxide was used as a source of reactive oxygen
species. MAIN OUTCOME MEASURE(S): Prolactin secretion, apoptosis, FOXO1, and the
free radical scavengers superoxide dismutase 2 (SOD2) and SOD1 protein
expression. RESULT(S): Prolactin production was induced in HESCs in response to 8
br-cAMP and P. Dihydrotestosterone further enhanced the secretion of PRL in cells
treated with 8-br-cAMP plus P. The effect of DHT was blocked by the antiandrogen
flutamide. Dihydrotestosterone enhanced resistance to oxidative stress-induced
apoptosis on decidualized HESCs. Moreover, DHT enhanced FOXO1 expression in
parallel with increased SOD2 protein but not with SOD1. CONCLUSION(S): Androgens
might play a critical role in the decidualization process at the time of embryo
implantation and trophoblast invasion by promoting resistance to oxidative
stress.
PMID- 22078784
TI - Ovarian response to stimulation for fertility preservation in women with
malignant disease: a systematic review and meta-analysis.
AB - OBJECTIVE: To evaluate the current available data regarding ovarian performance
of patients diagnosed with malignant disease undergoing controlled ovarian
hyperstimulation (COH) for fertility preservation, before radio/chemotherapy,
compared with age-matched, healthy patients undergoing COH for in vitro
fertilization/intracytoplasmic sperm injection (IVF-ICSI). DESIGN: Meta-analysis
of the data available from a systematic review of the literature. SETTING:
Academic centers of infertility and IVF. PATIENT(S): Patients with malignant
disease, before radio/chemotherapy, undergoing COH for fertility preservation
within comparative studies with healthy, age-matched controls. INTERVENTION(S):
None. MAIN OUTCOME MEASURE(S): Peak estradiol levels on day of human chorionic
gonadotropin administration, number of oocytes retrieved, fertilization rate,
incidence of low ovarian response, and cycle cancellation. RESULT(S): Only seven
retrospective, case-controlled studies were found to match our objective.
Overall, the results of the meta-analysis indicate that the number of retrieved
oocytes rate was statistically significantly lower compared with age-matched
healthy IVF patients. The incidence of poor ovarian performance and risk of cycle
cancellation as well as the calculated number of two pronuclei zygotes achieved
among patients with cancer were comparable with their age-matched controls.
CONCLUSION(S): Women with malignant disease should expect a lower number of
oocytes retrieved after COH for fertility preservation, compared with healthy,
age-matched patients. Presently, there is paucity of evidence to assess the
effect of a specific malignant disease on ovarian response to COH before IVF for
fertility preservation. Multicentric studies should be conducted to resolve these
important issues.
PMID- 22078783
TI - Food intake and social habits in male patients and its relationship to
intracytoplasmic sperm injection outcomes.
AB - OBJECTIVE: To investigate the influence of the male partner's lifestyle,
including eating and social habits, on semen quality and intracytoplasmic sperm
injection (ICSI) success. DESIGN: Observational study. SETTING: Private fertility
clinic. PATIENT(S): Two hundred fifty male patients undergoing ICSI cycles.
INTERVENTION(S): We recorded dietary and social habits using a food frequency
questionnaire adapted to meet specific study objectives. Evaluation of semen
parameters and ICSI outcomes were performed. MAIN OUTCOME MEASURE(S): Frequency
of intake of food items and social habits were registered on a scale with five
categories ranging from no consumption to repeated daily consumption. RESULT(S):
The sperm concentration was negatively influenced by body mass index (BMI) and
alcohol consumption and was positively influenced by cereal consumption and the
number of meals per day. The sperm motility was also negatively influenced by
BMI, alcohol consumption, and smoking habit, whereas it was positively influenced
by the consumption of fruits and cereals. The consumption of alcohol had a
negative influence on the fertilization rate. The consumption of red meat as well
as being on a weight loss diet had a negative impact on the implantation rate. In
addition, the consumption of red meat and being on a weight loss diet had an
effect on the pregnancy chance. CONCLUSION(S): Couples seeking assisted
reproduction treatments must be advised about the drastic effect of both the male
and female lifestyle on treatment success.
PMID- 22078785
TI - A novel method based on curvature analysis for estimating the dietary vitamin K
requirement in adolescents.
AB - BACKGROUND & AIMS: Few studies have investigated the association between vitamin
K status and bone health in adolescents. We established a novel method for
estimating the vitamin K status in adolescents by curvature analysis using the
serum concentrations of undercarboxylated osteocalcin (ucOC)-a sensitive
biomarker of vitamin K status in the bone. We also compared the vitamin K
concentrations required for good bone health and for normal blood coagulation.
METHOD: We enrolled 1183 healthy adolescents. For the curvature analysis, we used
a logarithmic regression equation obtained from vitamin K intake and serum ucOC
or plasma abnormal prothrombin (PIVKA-II) concentrations (marker for blood
coagulation). The cut-off point was determined to be the vitamin K intake that
showed the highest curvature. RESULTS: In adolescents, the serum ucOC
concentration was negatively correlated with vitamin K intake. In the curvature
analysis, requirement of vitamin K intake for good bone health and normal blood
coagulation were 155-188 MUg/d and 62-54 MUg/d [1 MUg/(kg d)], respectively; the
latter result was consistent with that of a previous report. CONCLUSION: Our
novel method is useful for estimating the vitamin K status; moreover, this method
showed that bone metabolism requires more vitamin K than blood coagulation.
PMID- 22078788
TI - A new paradigm for surgical procedural training.
PMID- 22078789
TI - Latent myofascial trigger points are associated with an increased antagonistic
muscle activity during agonist muscle contraction.
AB - The aim of this study was to evaluate motor unit activity from a latent
myofascial trigger point (MTP) in an antagonist muscle during isometric agonist
muscle contraction. Intramuscular activity was recorded with an intramuscular
electromyographic (EMG) needle inserted into a latent MTP or a non-MTP in the
posterior deltoid muscle at rest and during isometric shoulder flexion performed
at 25% of maximum voluntary contraction in 14 healthy subjects. Surface EMGs were
recorded from the anterior and posterior deltoid muscles. Maximal pain intensity
and referred pain induced by EMG needle insertion were recorded on a visual
analogue scale. The results showed that higher local pain was observed following
needle insertion into latent MTPs (4.64 +/- .48 cm) than non-MTPs (2.35 +/- .43
cm, P < .005). Referred pain was reported in 6/14 subjects following needle
insertion into latent MTPs, but none into the non-MTPs. The intramuscular EMG
activity, but not surface EMG activity, in the antagonist muscle was
significantly higher at rest and during shoulder flexion at latent MTPs than non
MTPs (P < .05). The current study provides the first evidence that increased
motor unit excitability is associated with reduced antagonist reciprocal
inhibition. PERSPECTIVE: This study shows that MTPs are associated with reduced
efficiency of reciprocal linhibition, which may contribute to the delayed and
incomplete muscle relaxation following exercise, disordered fine movement
control, and unbalanced muscle activation. Elimination of latent MTPs and/or
prevention of latent MTPs from becoming active may improve motor functions.
PMID- 22078790
TI - Swearing as a response to pain-effect of daily swearing frequency.
AB - Previously we showed that swearing produces a pain lessening (hypoalgesic) effect
for many people.(20) This paper assesses whether habituation to swearing occurs
such that people who swear more frequently in daily life show a lesser pain
tolerance effect of swearing, compared with people who swear less frequently.
Pain outcomes were assessed in participants asked to repeat a swear word versus a
nonswear word. Additionally, sex differences and the roles of pain
catastrophizing, fear of pain, and daily swearing frequency were explored.
Swearing increased pain tolerance and heart rate compared with not swearing.
Moreover, the higher the daily swearing frequency, the less was the benefit for
pain tolerance when swearing, compared with when not swearing. This paper shows
apparent habituation related to daily swearing frequency, consistent with our
theory that the underlying mechanism by which swearing increases pain tolerance
is the provocation of an emotional response. PERSPECTIVE: This article presents
further evidence that, for many people, swearing (cursing) provides readily
available and effective relief from pain. However, overuse of swearing in
everyday situations lessens its effectiveness as a short-term intervention to
reduce pain.
PMID- 22078791
TI - Atypical myocardial delayed enhancement after surgical ventricle restoration.
AB - OBJECTIVE: To retrospectively evaluate delayed enhancement after surgical
ventricle restoration (SVR). MATERIALS AND METHODS: Thirty patients with post
ischemic akinetic dilatation underwent cine and gadobenate dimeglumine-enhanced
MRI before and 2-6 months after SVR. End-diastolic volume (EDV) normalized to
body surface area (EDV index, EDVI), end-systolic volume index (ESVI), and
ejection fraction (EF) of the left ventricle were obtained. Delayed enhancement
involving subendocardial myocardium was considered typical (TDE) while
enhancement apparently not involving subendocardium in its typical location was
considered atypical (ADE). Wilcoxon signed-rank, Mann-Whitney U, and chi2 tests
were used. RESULTS: All 30 patients showed TDE prior to surgery but 16 of them
(53%) showed ADE after SVR. Before SVR, EDVI (ml/m2) was 171+/-101 in patients
with ADE and 127+/-41 in patients with TDE, ESVI (ml/m2) was 130+/-105 and 94+/
36, EF was 25+/-6% and 24+/-9%, respectively, without significant differences
(P>0.347). After SVR, EDVI (ml/m2) was 99+/-24 and 89+/-28 (P=0.275), ESVI
(ml/m2) 66+/-24 and 65+/-30, respectively, without significant differences
(P>0.275) while EF was 37+/-12% and 29+/-13%, respectively, with a near
significant difference (P=0.077). The increase in EF before/after SVR was
significant only for patients with ADE (P=0.006). Of 20 patients in whom a patch
had been used for SVR, 14 showed ADE and 6 TDE; of 10 patients without patch, 2
and 8, respectively (P=0.010). CONCLUSION: ADE was found in about half of SVR
patients and was associated with the use of patch and EF improvement.
PMID- 22078792
TI - MRI of the cuboid bone: analysis of changes in diabetic versus non-diabetic
patients and their clinical significance.
AB - OBJECTIVES: (1) To investigate the association between diabetes and marrow
changes in the cuboid; and (2) to evaluate the influence of age, gender, body
mass index (BMI) and use of insulin in the occurrence of marrow changes in the
cuboid. RESEARCH DESIGN AND METHODS: MR and X-ray foot examinations of 237
patients [94 males, 143 females; mean age, 47.1 years (range 16-93 years)], five
of whom underwent bilateral examinations, were reviewed. MR and radiographic
studies were analyzed for the presence of marrow edema and fractures in the
cuboid. Findings were correlated with demographic data (age, gender) and clinical
information (BMI and use of insulin). RESULTS: Two hundred and forty two feet -
69 diabetic and 173 non-diabetic - were retrospectively evaluated. There was a
higher prevalence of marrow edema and fractures in the diabetic cuboid (n=31,
45%) compared to non-diabetic cuboid (n=25, 14%, p=0.02). A fracture line was
seen in fourteen (20%) diabetic cuboid bones compared to 4 (2%) in non-diabetic
cuboid bones (p<0.0001). Eleven (79%) cases of cuboid fractures in the diabetic
population were radiographically occult. Multivariate data analysis revealed an
adjusted odds ratio of 4.416 (95% CI; 2.307, 8.454) for the relationship between
marrow changes (edema and fractures) in the cuboid and diabetes. For each year of
age, the odds of changes in the cuboid increased by 2.2% (95% CI; 1.001, 1.044).
CONCLUSION: Despite not bearing weight, the cuboid bone is more vulnerable to
marrow edema and fractures in diabetic patients compared to non-diabetic
patients. Age seems to be an influential factor.
PMID- 22078793
TI - Simulation of a MR-PET protocol for staging of head-and-neck cancer including
Dixon MR for attenuation correction.
AB - PURPOSE: To simulate and optimize a MR protocol for squamous cell cancer of the
head and neck (HNSCC) patients for potential future use in an integrated whole
body MR-PET scanner. MATERIALS AND METHODS: On a clinical 3T scanner, which is
the basis for a recently introduced fully integrated whole-body MR-PET, 20
patients with untreated HNSCC routinely staged with 18F-FDG PET/CT underwent a
dedicated MR protocol for the neck. Moreover, a whole-body Dixon MR-sequence was
applied, which is used for attenuation correction on a recently introduced hybrid
MR-PET scanner. In a subset of patients volume-interpolated-breathhold (VIBE) T1w
sequences for lungs and liver were added. Total imaging time was analyzed for
both groups. The quality of the delineation of the primary tumor (scale 0-3) and
the presence or absence of lymph node metastases (scale 1-5) was evaluated for
CT, MR, PET/CT and a combination of MR and PET to ensure that the MR-PET fusion
does not cause a loss of diagnostic capability. PET was used to identify distant
metastases. The PET dataset for simulated MR/PET was based on a segmentation of
the CT data into 4 classes according to the approach of the Dixon MR-sequence for
MR-PET. Standard of reference was histopathology in 19 cases. In one case no
histopathological confirmation of a primary tumor could be achieved. RESULTS:
Mean imaging time was 35:17 min (range: 31:08-42:42 min) for the protocol
including sequences for local staging and attenuation correction and 44:17 min
(range: 35:44-54:58) for the extended protocol. Although not statistically
significant a combination of MR and PET performed better in the delineation of
the primary tumor (mean 2.20) compared to CT (mean 1.40), MR (1.95) and PET/CT
(2.15) especially in patients with dental implants. PET/CT and combining MR and
PET performed slightly better than CT and MR for the assessment of lymph node
metastases. Two patients with distant metastases were only identified by PET.
CONCLUSION: We established a potential MR-protocol to be used for HNSCC patients
in a recently introduced MR-PET scanner. The proposed protocol can be performed
in an acceptable time frame and did not lead to a loss of diagnostic capability
compared to PET/CT.
PMID- 22078794
TI - The relationship between positive development and psychopathology during the
transition to adulthood: a person-centred approach.
AB - The transition to adulthood is characterised by potential for both positive
development and problem outcomes such as psychopathology, yet little is known
about relationships between the two. Given the diversity of pathways observed
during this transition period, there is likely to be significant heterogeneity in
young people's experiences of these outcomes. Drawing on data from 1158 19-20
year olds in the Australian Temperament Project and using latent profile
analysis, we identified six subgroups. For most, higher positive development was
associated with lower psychopathology and vice versa. One group (33.6%) was high
across all positive development measures and low on psychopathology, and another
(47.7%) average in both areas. The remaining four groups were low on positive
development but differentiated by average psychopathology (4.7%), high
internalising (5.5%), and moderate (7.2%) and severe (1.3%) externalising
problems. Tailored intervention strategies that address both the promotion of
competence and prevention of problem outcomes are needed.
PMID- 22078795
TI - Preeclampsia activates 15-lipoxygenase and its metabolite 15
hydroxyeicosatetraenoic acid enhances constriction in umbilical arteries.
AB - OBJECTIVE: To compare the differential expression of 15-lipoxygenase (15-LO)
isoenzymes, 15-LO-1 and 15-LO-2 in preeclampsia (PE), and normal pregnancy and
its metabolite 15-hydroxyeicosatetraenoic acid (15-HETE) on the vasoconstriction
of human umbilical artery (HUA) rings. STUDY DESIGN: We performed western
blotting and isometric tension studies and t-test analysis on data from 6 women
with normal pregnancy and 8 women with PE. RESULTS: Expressions of 15-LO-1 and 15
LO-2 in placentas and HUA rings in PE increased more than that in normal groups
(P<0.01). 15-HETE increased HUA rings tension in a dose-dependence manner, which
were significantly greater in PE than in normal pregnant controls (P<0.01).
However, the constriction of HUA rings was completely eliminated by 2
aminoethoxydiphenyl borate (2-APB) in both normal pregnancy and PE (P<0.01) and
attenuated partly by nifedipine in dose-dependence in normal pregnancy (10(
8)mol/L P>0.05; 10(-7), 10(-6)mol/L P<0.05) and in PE (P<0.01). CONCLUSION: PE
upregulates 15-LO pathway via 15-HETE, which increased intercellular calcium
level to cause constriction of HUA rings.
PMID- 22078796
TI - Multicenter stability of diffusion tensor imaging measures: a European clinical
and physical phantom study.
AB - Diffusion tensor imaging (DTI) detects white matter damage in neuro-psychiatric
disorders, but data on reliability of DTI measures across more than two scanners
are still missing. In this study we assessed multicenter reproducibility of DTI
acquisitions based on a physical phantom as well as brain scans across 16
scanners. In addition, we performed DTI scans in a group of 26 patients with
clinically probable Alzheimer's disease (AD) and 12 healthy elderly controls at
one single center. We determined the variability of fractional anisotropy (FA)
measures using manually placed regions of interest as well as automated tract
based spatial statistics and deformation based analysis. The coefficient of
variation (CV) of FA was 6.9% for the physical phantom data. The mean CV across
the multicenter brain scans was 14% for tract based statistics, and 29% for
deformation based analysis. The degree of variation was higher in less organized
fiber tracts. Our findings suggest that a clinical and physical phantom study
involving more than two scanners is indispensable to detect potential sources of
bias and to reliably estimate effect size in multicenter diagnostic trials using
DTI.
PMID- 22078797
TI - Transmembrane mutations in Toll-like receptor 9 bypass the requirement for
ectodomain proteolysis and induce fatal inflammation.
AB - Recognition of nucleic acids as a signature of infection by Toll-like receptors
(TLRs) 7 and 9 exposes the host to potential self-recognition and autoimmunity.
It has been proposed that intracellular compartmentalization is largely
responsible for reliable self versus nonself discrimination by these receptors.
We have previously shown that TLR9 and TLR7 require processing prior to
activation, which may further reinforce receptor compartmentalization and
tolerance to self, yet this possibility remains untested. Here we report that
residues within the TLR9 transmembrane (TM) region conferred the requirement for
ectodomain proteolysis. TLR9 TM mutants responded to extracellular DNA, and mice
expressing such receptors died from systemic inflammation and anemia. This
inflammatory disease did not require lymphocytes and appeared to require
recognition of self-DNA by dendritic cells. To our knowledge, these results
provide the first demonstration that TLR-intrinsic mutations can lead to a break
in tolerance.
PMID- 22078798
TI - Flt3 signaling-dependent dendritic cells protect against atherosclerosis.
AB - Early events in atherosclerosis occur in the aortic intima and involve monocytes
that become macrophages. We looked for these cells in the steady state adult
mouse aorta, and surprisingly, we found a dominance of dendritic cells (DCs) in
the intima. In contrast to aortic adventitial macrophages, CD11c(+)MHC II(hi) DCs
were poorly phagocytic but were immune stimulatory. DCs were of two types
primarily: classical Flt3-Flt3L signaling-dependent, CD103(+)CD11b(-) DCs and
macrophage-colony stimulating factor (M-CSF)-dependent, CD14(+)CD11b(+)DC-SIGN(+)
monocyte-derived DCs. Both types expanded during atherosclerosis. By crossing
Flt3(-/-) to Ldlr(-/-) atherosclerosis-prone mice, we developed a selective and
marked deficiency of classical CD103(+) aortic DCs, and they were associated with
exacerbated atherosclerosis without alterations in blood lipids. Concomitantly,
the Flt3(-/-)Ldlr(-/-) mice had fewer Foxp3(+) Treg cells and increased
inflammatory cytokine mRNAs in the aorta. Therefore, functional DCs are dominant
in normal aortic intima and, in contrast to macrophages, CD103(+) classical DCs
are associated with atherosclerosis protection.
PMID- 22078799
TI - Receptor-like tyrosine phosphatases CD45 and CD148 have distinct functions in
chemoattractant-mediated neutrophil migration and response to S. aureus.
AB - Neutrophils, critical innate immune effectors, use bacterial-derived
chemoattractant-induced G protein-coupled receptor (GPCR) signaling for their
pursuit of bacteria. Tyrosine phosphorylation pathways and receptor-like tyrosine
phosphatases (RPTPs) are rarely considered in chemoattractant-mediated GPCR
signaling. Here, we report that two RPTPs, CD45 and CD148, previously shown to
share redundant roles in positively regulating Src family kinases (SFKs) in
immunoreceptor signaling pathways in B cells and macrophages, are critical in the
neutrophil response to S. aureus infection and, surprisingly, in chemoattractant
mediated chemotaxis. Remarkably, deficiency in either of these RPTPs influenced
neutrophil GPCR responses in unique ways. Our results reveal that CD45 positively
while CD148 positively and negatively regulate GPCR function and proximal signals
including Ca(2+), phosphatidylinositol 3'OH kinase (PI3K), and phospho
extracellular regulated kinase (pERK) activity. Moreover, our results suggest
that CD45 and CD148 preferentially target different SFK members (Hck and Fgr
versus Lyn, respectively) to positively and negatively regulate GPCR pathways.
PMID- 22078801
TI - [Respiratory tract infections and travels].
PMID- 22078800
TI - A comparison of daily and occasional smokers' implicit affective responses to
smoking cues.
AB - Previous research has not compared implicit affective responses to smoking
related stimuli in occasional (i.e., those who smoke less than one cigarette per
day) and daily smokers (i.e., those who smoke at least once per day). In addition
to assessing their motivations for smoking, implicit affective responses were
measured using the Affect Misattribution Procedure (AMP) in occasional (n=19) and
daily smokers (n=34) to smoking-related and neutral cues. Half of the cues
depicted a human interacting with an object (i.e., active), whereas the remaining
cues depicted objects alone (i.e., inactive). Results indicated that for the
active cues, daily smokers responded more positively to smoking-related than to
neutral cues, whereas occasional smokers showed no difference in their implicit
responses. In addition to smoking frequency, relative differences in implicit
responses to active cues were related to cognitive enhancement motivation. For
inactive cues, implicit responses were related to cognitive enhancement as well
as reinforcement. Because daily smokers have more positive implicit responses to
active smoking-related cues than occasional smokers, these cues may play an
important role in maintaining smoking behavior in daily smokers.
PMID- 22078802
TI - [Dermatologic manifestations of the antiphospholipid syndrome].
AB - A wide variety of dermatologic manifestations has been described in the
antiphospholipid syndrome (APS). The most frequent skin lesion is livedo
reticularis, present not only on the limbs but also on the trunk, with a fine
irregular pattern. It belongs to the arterial subset of APS. Circumscribed
ulcerations, resembling livedoid vasculitis, may be the first manifestation of
APS. Ulcerations may also occur as a late complication of recurrent venous
thrombosis. Extensive skin necrosis is a classic manifestation of catastrophic
APS. Pseudo-vasculitis lesions are misdiagnosed if a skin biopsy is not
performed, especially in the context of systemic lupus erythematosus. In systemic
lupus erythematosus, primary anetoderma is always associated with
antiphospholipid antibodies.
PMID- 22078803
TI - The use of Suprathel((r)) in deep dermal burns: first results of a prospective
study.
AB - INTRODUCTION: While autologous skin grafting has been the standard for coverage
of full-thickness areas, several options for deep-partial-thickness defects
exist. With regard to economising donor sites, we compared a copolymer based on
DL-lactid acid (Suprathel((r))) as temporary wound dressing with autologous skin,
and analysed time to healing and scar quality in matched areas of deep-partial
thickness burn. METHODS: We recruited 18 patients with a median age of 45 years
(range: 25-83 years), for this prospective, non-blinded controlled non
inferiority study, suffering from deep-partial-thickness burns from November 2009
to July 2010. After early tangential excision, matched deep-partial-thickness
areas were covered with 1:1.5 meshed autologous skin grafts and the copolymer for
direct intra-individual comparison. Scars were evaluated by means of the
Vancouver Scar Scale (VSS), the Patient and Observer Scar Assessment Scale
(POSAS) and suction cutometry (MPA 580, Courage and Khazaka Electronic GmbH,
Cologne, Germany) on days 30 and 90, postoperatively. RESULTS: Fifteen days after
surgery, complete wound closure was present in 44.4% (8/18) of all areas covered
with copolymer and 88.9% (16/18) in the split-thickness skin graft (STSG) area
(p=0.008). Evaluation of the total VSS, POSAS and cutometry satisfied the
criterion of non-inferiority for Suprathel((r)) on day 30. Ninety days after
surgery, only the Observer Scar Scale showed that Suprathel is non-inferior to
STSG, albeit the mean total VSS and Patient Scar Scale were better in
Suprathel((r)) areas. CONCLUSION: Suprathel((r)) represents a solid, reliable
epidermal skin substitute with longer healing times in comparison to skin grafts
but comparable results concerning early scar formation. Suprathel((r)) can serve
as a tool in treatment portfolio for adult patients suffering from deep dermal
burns. Especially in patients with extensive burns, Suprathel((r)) can be used to
cover the deep dermal burn wounds to save STSGs and its donor sites for the
coverage of full-thickness burned areas.
PMID- 22078804
TI - A systematic review of the evidence for telemedicine in burn care: with a UK
perspective.
AB - A comprehensive systematic review of telemedicine in burn care was carried out.
Studies published between 1993 and 2010 were included. The main outcome measures
were the level of evidence, technical feasibility, clinical feasibility, clinical
management and cost effectiveness. The search strategy yielded 24 studies, none
of which were randomised. There were only five studies with a control group, and
in three of these the patients act as their own controls. Four studies performed
quantitative cost analysis, and five more provide qualitative cost analysis. All
studies demonstrate technical and clinical feasibility. If the significant
potentials of telemedicine to assist in the acute triage, management guidance and
outpatient care are to be realised, then research needs to be undertaken to
provide evidence for such investment.
PMID- 22078805
TI - Predictors of health-care needs in discharged burn patients.
AB - Patients' health-care needs are an important issue, but have not been studied in
the burn field. The aims of this study were to explore discharged burn patients'
health-care needs and related factors. This cross-sectional study used
convenience sampling and four questionnaires, including basic information, Mental
Status Inventory, Burn Patients' Social Support and Burn Patients' Healthcare
Needs for data collection. There were 93 adults, injured on average 45% of total
body surface area, who completed the study. Results indicated that the level of
psychosocial care needs were higher than physiological needs. The level of
physiological care needs changed over time, but psychosocial needs did not
change. Self-reported psychosocial needs and physiological care needs correlated
with each other. The multiple regressions showed that the most important
predictors of overall health-care needs were numbers of visible scarred areas,
time since discharge and previous psychiatric history. The findings revealed the
burn patients provided clinically useful information and supported further
evaluation in the area of care needs for burn patients.
PMID- 22078806
TI - Molecular characterization and antiviral activity test of common drugs against
echovirus 18 isolated in Korea.
AB - Genetic diversity and antiviral activity for five common antiviral drugs of
echovirus (ECV) 5 isolated in Korea have been described. The present study
extended these tests to a Korean ECV 18 isolate. An outbreak of aseptic
meningitis caused by the ECV 18 isolate was reported in Korea in 2005, marking
the first time this virus had been identified in the country since enterovirus
surveillance began in 1993. Using a sample isolated from stool specimen of a 5
year-old male patient with aseptic meningitis, the complete genome sequence was
obtained and was compared it with the Metcalf prototype strain. Unlike the ECV5
isolate, the 3' untranslated region had the highest identity value (94.2%) at the
nucleotide level, while, at the amino acid level, the P2 region displayed the
highest identity value (96.9%). These two strains shared all cleavage sites, with
the exception of the 2B/2C site, which was RQ/NN in the Metcalf strain but RQ/NS
in the Korean ECV 18 isolate. In Vero cells infected with the Korean ECV 18
isolate, no cytotoxicity was observed in the presence of azidothymidine,
acyclovir, amantadine, lamivudine, or ribavirin, when the drugs were administered
at a CC50 value >100 MUg/mL. Of the five drugs, only amantadine (IC50: 4.97 +/-
0.77 MUg/mL, TI: 20.12) and ribavirin (IC50: 7.63 +/- 0.87 MUg/mL, TI: 13.11) had
any antiviral activity against the Korean ECV 18 isolate in the five antiviral
drugs. These antiviral activity effects were similar with results of the Korean
ECV5 isolate.
PMID- 22078807
TI - The enhancement of cancer stem cell properties of MCF-7 cells in 3D collagen
scaffolds for modeling of cancer and anti-cancer drugs.
AB - Three-dimensional (3D) culture could partially simulate in vivo conditions. In
this work, we developed a 3D collagen scaffold to investigate cellular properties
of MCF-7 cells. The porous scaffolds not only induced the diversification of cell
morphologies but also extended cell proliferation. The expression of pro
angiogenic growth factors and the transcriptions of matrix metalloproteinases
(MMPs) were significantly increased in cells cultured in 3D collagen scaffolds.
In addition, 3D collagen scaffolds could generate a cell population with the
properties of cancer stem cells (CSCs). The upregulation of EMT markers and the
downregulation of the epithelial cell marker were observed in cells cultured in
collagen scaffolds. The expression of stem cell markers, including OCT4A and
SOX2, and breast cancer stem cell signatures, including SOX4, JAG1 and CD49F, was
significantly unregulated in 3D collagen scaffolds. The proportion of cells with
CSC-like CD44(+)/CD24(-/low) phenotype was notably increased. High-level
expression of CSC-associated properties of MCF-7 cells cultured in 3D was further
confirmed by high tumorigenicity in vivo. Moreover, xenografts with 3D cells
formed larger tumors. The properties of MCF-7 cells in 3D may have partially
simulated their in vivo behaviors. Thus, 3D collagen scaffolds might provide a
useful platform for anti-cancer therapeutics and CSC research.
PMID- 22078808
TI - Control of cell attachment on pH-responsive chitosan surface by precise
adjustment of medium pH.
AB - The purpose of this study is to demonstrate pH-responsive chitosan is able to
control cell behavior in response to small changes in environmental pH, which is
at useful pH suitable for recovering cultured cells without additional enzymatic
treatment and extensive washing steps. HeLa cells attached and spread well on
chitosan at pH 6.99 and 7.20. When the pH was increased to 7.65, over 90% of
cells would rapidly detached from chitosan surface within 1 h. Similarly,
fibronectin adsorbed on chitosan at pH 7.20 also rapidly desorbed after
increasing the medium pH. Most importantly and interestingly, medium pH
adjustment could be facilitated by altering environment pCO(2). It was found over
80% of HeLa cells could be recovered from chitosan surface within 1 h and the
viability of detached cells was more than 95% by transferring the culture plate
from incubator to atmospheric condition. Additionally, chitosan substrate could
effectively control attachment/detachment of various types of cells including
cell lines HaCaT, H1299, NIH-3T3, and primary corneal fibroblasts, indicating the
technology described here is easily reproducible and should be promising for
controlling rapid fibronectin adsorption/desorption and cell
attachment/detachment for tissue engineering applications.
PMID- 22078809
TI - Recovery of cardiac function mediated by MSC and interleukin-10 plasmid
functionalised scaffold.
AB - Stem cell transplantation has been suggested as a treatment for myocardial
infarction, but clinical studies have yet to demonstrate conclusive, positive
effects. This may be related to poor survival of the transplanted stem cells due
to the inflammatory response following myocardial infarction. To address this, a
scaffold-based stem cell delivery system was functionalised with anti
inflammatory plasmids (interleukin-10) to improve stem cell retention and
recovery of cardiac function. Myocardial infarction was induced and these
functionalised scaffolds were applied over the infarcted myocardium. Four weeks
later, stem cell retention, cardiac function, remodelling and inflammation were
quantified. Interleukin-10 gene transfer improved stem cell retention by more
than five-fold and the hearts treated with scaffold, stem cells and interleukin
10 had significant functional recovery compared to the scaffold control
(scaffold: -10 +/- 7%, scaffold, interleukin-10 and stem cells: +7 +/- 6%). This
improved function was associated with increased infarcted wall thickness and
increased ratios of collagen type III/type I, decreased cell death, and a change
in macrophage markers from mainly cytotoxic in the scaffold group to mainly
regulatory in scaffold, stem cells and interleukin-10 group. Thus, treatment of
myocardial infarction with stem cells and interleukin-10 gene transfer
significantly improved stem cell retention and ultimately improved overall
cardiac function.
PMID- 22078811
TI - The cytotoxicity of cadmium-based quantum dots.
AB - Semiconductor Quantum dots (QDs) have raised great attention because of their
superior optical properties and wide utilization in biological and biomedical
studies. More recently, there have been intense concerns on cytotoxicity
assessment of QDs. Most QDs are made of heavy metal ions (e.g., Cd(2+)), which
may result in potential in vitro toxicity that hampers their practical
applications. In this article, we aim to summarize recent progress on mechanistic
studies of cytotoxicity of II-IV QDs. We have studied the cytotoxicity of a
series of aqueous synthesized QDs (aqQDs), i.e. CdTe, CdTe/CdS core-shell
structured and CdTe/CdS/ZnS core-shell-shell structured aqQDs. Our results
suggested that released cadmium ions are responsible for the observed
cytotoxicity of cadmium-based QDs. The fact that CdTe/CdS/ZnS core-shell-shell
structured QDs are nearly nontoxic to cells further confirmed the role of
released cadmium ions on cytotoxicity, and the effective protection of the ZnS
shell. However, intracellular level of Cd(2+) ions cannot be the only reason
since the comparison with CdCl(2)-treated cells suggests there are other factors
contributed to the cytotoxicity of aqQDs. Our studies on genome-wide gene
expression profiling and subcellular localization of aqQDs with synchrotron-based
scanning transmission X-ray microscopy (STXM) further suggest that the
cytotoxicity of CdTe QDs not only comes from the release of Cd(2+) ions but also
intracellular distribution of QD nanoparticles in cells and the associated
nanoscale effects.
PMID- 22078810
TI - An activatable multimodal/multifunctional nanoprobe for direct imaging of
intracellular drug delivery.
AB - Multifunctional nanoparticles integrated with imaging modalities (such as
magnetic resonance and optical) and therapeutic drugs are promising candidates
for future cancer diagnostics and therapy. While targeted drug delivery and
imaging of tumor cells have been the major focus in engineering nanoparticle
probes, no extensive efforts have been made towards developing sensing probes
that can confirm and monitor intracellular drug release events. Here, we present
quantum dot (Qdot)-iron oxide (IO) based multimodal/multifunctional nanocomposite
probe that is optically and magnetically imageable, targetable and capable of
reporting on intracellular drug release events. Specifically, the probe consists
of a superparamagnetic iron oxide nanoparticle core (IONP) decorated with
satellite CdS:Mn/ZnS Qdots where the Qdots themselves are further functionalized
with STAT3 inhibitor (an anti-cancer agent), vitamin folate (as targeting motif)
and m-polyethylene glycol (mPEG, a hydrophilic dispersing agent). The Qdot
luminescence is quenched in this nanocomposite probe ("OFF" state) due to
combined electron/energy transfer mediated quenching processes involving IONP,
folate and STAT3 agents. Upon intracellular uptake, the probe is exposed to the
cytosolic glutathione (GSH) containing environment resulting in restoration of
the Qdot luminescence ("ON" state), which reports on uptake and drug release.
Probe functionality was validated using fluorescence and MR measurements as well
as in vitro studies using cancer cells that overexpress folate receptors.
PMID- 22078812
TI - Cisplatin@US-tube carbon nanocapsules for enhanced chemotherapeutic delivery.
AB - The use of chemotherapeutic drugs in cancer therapy is often limited by problems
with administration such as insolubility, inefficient biodistribution, lack of
selectivity, and inability of the drug to cross cellular barriers. To overcome
these limitations, various types of drug delivery systems have been explored, and
recently, carbon nanotube (CNT) materials have also garnered attention in the
area of drug delivery. In this study, we describe the preparation,
characterization, and in vitro testing of a new ultra-short single-walled carbon
nanotube (US-tube)-based drug delivery system for the treatment of cancer. In
particular, the encapsulation of cisplatin (CDDP), a widely-used anticancer drug,
within US-tubes has been achieved, and the resulting CDDP@US-tube material
characterized by high-resolution transmission electron microscopy (HR-TEM),
energy-dispersive spectroscopy (EDS), X-ray photoelectron spectroscopy (XPS), and
inductively-coupled optical emission spectrometry (ICP-OES). Dialysis studies
performed in phosphate-buffered saline (PBS) at 37 degrees C have demonstrated
that CDDP release from CDDP@US-tubes can be controlled (retarded) by wrapping the
CDDP@US-tubes with Pluronic-F108 surfactant. Finally, the anticancer activity of
pluronic-wrapped CDDP@US-tubes has been evaluated against two different breast
cancer cell lines, MCF-7 and MDA-MB-231, and found to exhibit enhanced
cytotoxicity over free CDDP after 24 h. These studies have laid the foundation
for developing US-tube-based delivery of chemotherapeutics, with drug release
mainly limited to within cancer cells only.
PMID- 22078814
TI - Magnetic resonance imaging versus endoscopic ultrasonography for the detection of
pancreatic tumours in multiple endocrine neoplasia type 1.
AB - OBJECTIVE: In multiple endocrine neoplasia type 1, the main risk factor for
metastases is pancreatic tumour size. We and others recommend limiting surgery to
non-functioning pancreatic tumors >=20 mm or growing, based on their size
measured with endoscopic ultrasonography. Because endoscopic ultrasonography is
invasive, we compared endoscopic ultrasonography (EUS) to non-invasive magnetic
resonance imaging (MRI) for the detection of pancreatic tumours >=10 mm in
multiple endocrine neoplasia type 1 patients. METHODS: A prospective study was
performed in nine participating centres; 90 patients with multiple endocrine
neoplasia type 1 underwent EUS and MRI with gadolinium infusion.
Gastroenterologists and radiologists were blinded to the results, magnetic
resonance images were reviewed centrally. RESULTS: EUS detected 86 tumours >=10
mm, and 48 (53.3%) patients had at least one tumour >=10 mm. MRI detected 67
tumours >=10 mm, and 46 (51.1%) patients had at least one tumour >=10 mm. EUS and
MRI agreement was moderate for detection of tumours >=10 mm (Kappa
coefficient=0.49), and for selection of patients with tumours >=10 mm (Kappa
coefficient=0.55). EUS and MRI missed 11/24 and 4/24 lesions >=20 mm,
respectively. EUS failed to identify 9/57 (15.7%) patients with pancreatic
tumours >=10 mm, and MRI failed to identify 11/57 (19.3%) patients with
pancreatic tumours >=10 mm. CONCLUSIONS: EUS and MRI are complementary and should
be performed at initial evaluation in multiple endocrine neoplasia type 1
patients. Whether follow-up should be based on either technique or both, requires
further evaluation.
PMID- 22078813
TI - The engineering of organized human corneal tissue through the spatial guidance of
corneal stromal stem cells.
AB - Corneal stroma is an avascular connective tissue characterized by layers of
highly organized parallel collagen fibrils, mono-disperse in diameter with
uniform local interfibrillar spacing. Reproducing this level of structure on a
nano- and micro-scale may be essential to engineer corneal tissue with strength
and transparency similar to that of native cornea. A substrate of aligned
poly(ester urethane) urea (PEUU) fibers, 165 +/- 55 nm in diameter, induced
alignment of cultured human corneal stromal stem cells (hCSSCs) which elaborated
a dense collagenous matrix, 8-10 MUm in thickness, deposited on the PEUU
substratum. This matrix contained collagen fibrils with uniform diameter and
regular interfibrillar spacing, exhibiting global parallel alignment similar to
that of native stroma. The cells expressed high levels of gene products unique to
keratocytes. hCSSCs cultured on PEUU fibers of random orientation or on a cast
film of PEUU also differentiated to keratocytes and produced abundant matrix, but
lacked matrix organization. These results demonstrate the importance of
topographic cues in instructing organization of the transparent connective tissue
of the corneal stroma by differentiated keratocytes. This important information
will help with design of biomaterials for a bottom-up strategy to bioengineer
spatially complex, collagen-based nano-structured constructs for corneal repair
and regeneration.
PMID- 22078815
TI - Jejunal pseudomelanosis.
PMID- 22078818
TI - Persistence and metallic composition of paint particles in sediments from a tidal
inlet.
AB - Concentrations of Cu, Pb, Sn and Zn have been determined in sediment (<500 MUm)
and macroscopic paint particles (>500 MUm) retrieved from sections of two cores
collected from a tidal inlet of the Plym estuary, southwest England. Paint
particles contributed up to about 0.2% of the total mass retrieved from each
section and were most abundant towards the base of the cores where, according to
(210)Pb dating, deposition took place about a decade prior to sampling. Metal
concentrations in the paint particles pooled from the sections were highly
variable, typically spanning two orders of magnitude in each core, and were
greatest for Cu and Zn (up to 460,000 and 170,000 MUg g(-1), respectively) due to
their use in contemporary antifouling formulations applied to boat hulls.
Concentrations of metals in the sediment were, however, relatively invariant, an
effect attributed to the abundance and dispersion of microscopic paint particles
throughout the cores.
PMID- 22078820
TI - [The occupational radiation-induced cataract in five industrial radiographers].
AB - The industrial uses of ionizing radiation in Tunisia are expanding, especially in
industry and most particularly in the nondestructive testing of welds. Thus
workers operating in the non-destructive testing of welds may develop a radiation
induced cataract varying in time to onset depending on the dose. OBJECTIVES: To
describe the characteristics of the radiation-induced cataract in patients
exposed to ionizing radiation, determine the risk factors of radiation-induced
cataracts. METHODS: This was an anamnestic, clinical, and environmental study of
five cases of radiation-induced cataract in workers employed in non-destructive
testing of welds. RESULTS: This series of five cases had a mean age of 30.2 years
and 5.53 years of work experience, ranging from 14 months to 15 years. All the
patients were male and industrial radiographers specialized in nondestructive
testing of welds. The average duration of exposure to ionizing radiation was 5.53
years. None of the patients had worn protective gear such as eye goggles. The
ophthalmic check-up for the five special industrial radiographers showed
punctuate opacities in three cases, punctiform opacities in one eye in one case,
and phacosclerosis with bilateral lens multiple crystalline stromal opacities in
a case of micro-lens opacities in both eyes with opalescence of both eyes in one
case. These cataracts had been declared as occupational diseases. CONCLUSION: The
value of a specialized ophthalmologic surveillance among these workers and the
early diagnosis of lens opacities must be emphasized.
PMID- 22078821
TI - Clinically irrelevant circulating human leukocyte antigen antibodies in the
presence of ventricular assist devices.
AB - INTRODUCTION: Identification of anti-human leukocyte antigen (HLA) antibodies by
single-antigen beads (SAB) allows for prediction of donor-specific crossmatches
(virtual crossmatches), thus facilitating the allocation of organs from deceased
donors. However, the clinical relevance of HLA antibodies identified by SAB has
been less than clear. This study demonstrates that sera from cardiac transplant
candidates with a ventricular assist device (VAD) or infection may contain
clinically irrelevant antibodies that bind to the beads but not to lymphocytes.
METHODS: Investigated were 5 cardiac transplant candidates (3 with VAD, all with
infections, and 1 retransplant) with positive HLA antibodies detected by SAB, but
negative by cytotoxicity. To determine clinical relevance of the antibodies, flow
cytometric crossmatches (FCXM) were performed. Untreated beads and elution buffer
treated beads to dissociate the beta-2 microglobulin and the peptide from the
heavy chain were used. RESULTS: The virtual crossmatch data were compared with
data from actual FCXMs. Of 40 T-cell and B-cell FCXM, SAB-identified HLA
antibodies were predictive for only 1 T-cell and 9 B-cell FCXM outcomes.
Patients' sera contained a mixture of antibodies directed against cryptic
epitopes on the heavy chain and exposed epitopes. The mean fluorescence intensity
of antibodies varied from 1,040 to 11,000. CONCLUSIONS: Sera from cardiac
transplant candidates with or without VAD may contain natural antibodies that do
not bind to intact antigens on the cell surface. Therefore, great care must be
exercised before denying a life-saving transplant to these patients simply on the
basis of SAB results.
PMID- 22078822
TI - There is no difference with regard to hard and/or soft tissue safety between
oscillating-rotating powered brushes and manual toothbrushes.
AB - ARTICLE TITLE AND BIBLIOGRAPHIC INFORMATION: Safety of oscillating-rotating
powered brushes compared to manual toothbrushes: a systematic review. Van der
Weijden FA, Campbell SL, Dorfer CE, Gonzalez-Cabezas C, Slot DE. J Periodontol
2011;82(1):5-24. REVIEWERS: Nada J. Farsi, BDS, MSc, Belinda Nicolau, DDS, PhD.
PURPOSE/QUESTION: To compare the soft and/or hard tissue safety between manual
and oscillating-rotating brushes through a systematic review of the pertinent
literature. SOURCE OF FUNDING: Industry (Procter & Gamble). TYPE OF STUDY/DESIGN:
Systematic review LEVEL OF EVIDENCE: Level 1: Good-quality, patient-oriented
evidence. STRENGTH OF RECOMMENDATION GRADE: Grade A: Consistent, good-quality
patient-oriented evidence.
PMID- 22078823
TI - Essential oil mouthwash (EOMW) may be equivalent to chlorhexidine (CHX) for long
term control of gingival inflammation but CHX appears to perform better than EOMW
in plaque control.
AB - ARTICLE TITLE AND BIBLIOGRAPHIC INFORMATION: Essential oils compared to
chlorhexidine with respect to plaque and parameters of gingival inflammation: a
systematic review. Van Leeuwen MPC, Slot DE, Van der Weijden GA. J Periodontol
2011 Feb;82(2):174-94. Epub 2010 Nov 2. REVIEWER: Anthony L. Neely, DDS, MDentSc,
PhD. PURPOSE/QUESTION: To determine whether essential oil mouthwash is comparable
to chlorhexidine gluconate with respect to reducing plaque and gingival
inflammation and promoting calculus accumulation and extrinsic staining. SOURCE
OF FUNDING: Some support came from the Academic Center for Dentistry Amsterdam,
University of Amsterdam and VU University Amsterdam. TYPE OF STUDY DESIGN:
Systematic review with meta-analysis of data. LEVEL OF EVIDENCE: Level 1: Good
quality, patient-oriented evidence. STRENGTH OF RECOMMENDATION GRADE: Grade B:
Inconsistent or limited-quality patient-oriented evidence.
PMID- 22078824
TI - Stepwise excavation may enhance pulp preservation in permanent teeth affected by
dental caries.
AB - ARTICLE TITLE AND BIBLIOGRAPHIC INFORMATION: Ways of enhancing pulp preservation
by stepwise excavation-a systematic review. Hayashi M, Fujitani M, Yamaki C,
Momoi Y. J Dent 2011;39(2):95-107. Epub 2010 Dec 3. REVIEWER: Lars Bjorndal, DDS,
PhD, Dr Odont PURPOSE/QUESTION: To determine the clinical effectiveness of
stepwise excavation for pulp preservation SOURCE OF FUNDING: Japanese Society of
Conservative Dentistry TYPE OF STUDY/DESIGN: Systematic review LEVEL OF EVIENCE:
Level 2: Limited-quality patient-oriented evidence STRENGTH OF RECOMMENDATION
GRADE: Grade B: Limited-quality patient-oriented evidence.
PMID- 22078825
TI - The effectiveness of lasers to reduce dentinal hypersensitivity remains unclear.
AB - ARTICLE TITLE AND BIBLIOGRAPHIC INFORMATION: Effectiveness of laser in dentinal
hypersensitivity treatment: a systematic review. Sgolastra F, Petrucci A, Gatto
R, Monaco A. J Endod 2011;37(3):297-303. REVIEWER: Asbjorn Jokstad, DDS, PhD.
PURPOSE/QUESTION: Do patients with dentinal hypersensitivity subjected to laser
light application compared to placebo "light experience" reduced hypersensitivity
or any adverse outcomes? SOURCE OF FUNDING: Information not available TYPE OF
STUDY/DESIGN: Systematic review LEVEL OF EVIDENCE: Level 2: Limited-quality,
patient-oriented evidence STRENGTH OF RECOMMENDATION GRADE: Grade B: Inconsistent
or limited-quality patient-oriented evidence.
PMID- 22078826
TI - Resin-modified glass-ionomer cement (RM-GIC) may provide greater caries
preventive effect compared with composite resin, but high-quality studies are
needed.
AB - ARTICLE TITLE AND BIBLIOGRAPHIC INFORMATION: Caries-preventive effect of resin
modified glass-ionomer cement (RM-GIC) versus composite resin: a quantitative
systematic review. Yengopal V, Mickenautsch S. Eur Arch Paediatr Dent
2011;12(1):5-14. REVIEWER: David G. Pendrys, DDS, PhD. PURPOSE/QUESTION: Does
light-cured resin-modified glass-ionomer cement provide a better caries
preventive effect as compared with composite resin? SOURCE OF FUNDING:
Information not available. TYPE OF STUDY/DESIGN: Systematic review. LEVEL OF
EVIDENCE: Level 2: Limited-quality, patient-oriented evidence. STRENGTH OF
RECOMMENDATION GRADE: Grade B: Inconsistent, limited-quality patient-oriented
evidence.
PMID- 22078827
TI - Administration of articaine anesthesia may lead to superior profound pulpal
anesthesia compared with lidocaine in adult patients.
AB - ARTICLE TITLE AND BIBLIOGRAPHIC INFORMATION: The pulpal anesthetic efficacy of
articaine versus lidocaine in dentistry: a meta-analysis. Brandt RG, Anderson PF,
McDonald NJ, Sohn W, Peters MC. J Am Dent Assoc 2011;42(5):493-504. REVIEWER:
Khaled Balto, BDS, DMSc PURPOSE/QUESTION: Does articaine anesthesia in the
management of irreversible pulpitis result in superior pain control compared with
the traditionally used lidocaine? SOURCE OF FUNDING: Information not available
TYPE OF STUDY/DESIGN: Systematic review with meta-analysis of data LEVEL OF
EVIDENCE: Level 2: Limited-quality, patient-oriented evidence STRENGTH OF
RECOMMENDATION GRADE: Grade B: Inconsistent or limited-quality patient-oriented
evidence.
PMID- 22078828
TI - Blood loss following orthognathic surgery varies widely and sometimes
transfusions are needed.
AB - ARTICLE TITLE AND BIBLIOGRAPHIC INFORMATION: Blood loss in orthognathic surgery:
a systematic review. Pineiro-Aguilar A, Somoza-Martin M, Gandara-Rey JM, Garcia
Garcia A. J Oral Maxillofac Surg 2011;69(3):885-92. REVIEWER: Thomas B. Dodson,
DMD, MPH PURPOSE/QUESTION: To determine the range of information available to
help surgeons better prepare themselves, their patients, and the auxiliary
support for orthognathic surgery and transfusion requirements SOURCE OF FUNDING:
Information not available TYPE OF STUDY/DESIGN: Systematic review LEVEL OF
EVIDENCE: Level 2: Limited-quality, patient-oriented evidence STRENGTH OF
RECOMMENDATION GRADE: Grade C: Consensus, disease-oriented evidence, usual
practice, expert opinion, or case series for studies of diagnosis, treatment,
prevention, or screening.
PMID- 22078829
TI - Glycemic control is not related to postextraction healing in patients with
diabetes.
AB - ARTICLE TITLE AND BIBLIOGRAPHIC INFORMATION: The relationship of glycemic control
to the outcomes of dental extractions. Aronovich S, Skope L, Kelly J, Kyriakides
T. J Oral Maxillofac Surg 2010;68:2955-61. REVIEWER: Kaumudi Joshipura, BDS, MS,
ScD. PURPOSE/QUESTION: To determine whether glycemic control among patients with
diabetes influences healing after tooth extraction. SOURCE OF FUNDING:
Information not available. TYPE OF STUDY/DESIGN: Cohort study. LEVEL OF EVIDENCE:
Level 2: Limited-quality, patient-oriented evidence. STRENGTH OF RECOMMENDATION
GRADE: Not applicable.
PMID- 22078830
TI - Zinc-replacement therapy may not reduce oral pain in patients with zinc-deficient
burning mouth syndrome (BMS).
AB - ARTICLE TITLE AND BIBLIOGRAPHIC INFORMATION: Zinc deficiency may be a cause of
burning mouth syndrome as zinc replacement therapy has therapeutic effects. Cho
GS, Han MW, Lee B, Roh J, Choi S, Cho K, et al. J Oral Pathol Med 2010;39(9):722
7. REVIEWERS: Vinodh Bhoopathi, BDS, MPH, DScD, Ana Karina Mascarenhas, BDS, MPH,
DrPH PURPOSE/QUESTION: In patients with BMS and low serum zinc levels, does zinc
replacement therapy reduce pain? Authors using an animal model also attempted to
demonstrate a causal relationship between BMS and zinc deficiency SOURCE OF
FUNDING: Information not available TYPE OF STUDY/DESIGN: Randomized controlled
trial LEVEL OF EVIDENCE: Level 2: Limited-quality, patient-oriented evidence
STRENGTH OF RECOMMENDATION GRADE: Not applicable.
PMID- 22078831
TI - Orthognathic surgical treatment may produce improved temporomandibular functional
status in adults with pretreatment dysfunction.
AB - ARTICLE TITLE AND BIBLIOGRAPHIC INFORMATION: Factors of importance for the
functional outcome in orthognathic surgery patients: a prospective study of 118
patients. Oland J, Jensen J, Melsen B. J Oral Maxillofac Surg 2010;68:2221-31.
REVIEWER: Michael D. Martin, DMD, MSD, MPH, MA, PhD. PURPOSE/QUESTION: To examine
the effect of orthognathic surgery on perceived and clinically assessed
functional (TMJ-related) status in addition to patients' satisfaction with
function after treatment. SOURCE OF FUNDING: Information not available. TYPE OF
STUDY/DESIGN: Cohort study. LEVEL OF EVIDENCE: Level 2: Limited-quality, patient
oriented evidence. STRENGTH OF RECOMMENDATION GRADE: Not applicable.
PMID- 22078832
TI - Low-dose doxycycline plus additional therapies may lower systemic inflammation in
postmenopausal women with periodontitis.
AB - ARTICLE TITLE AND BIBLIOGRAPHIC INFORMATION: The effect of subantimicrobial-dose
doxycycline periodontal therapy on serum biomarkers of systemic inflammation: a
randomized, double-masked, placebo-controlled clinical trial. Payne JB, Golub LM,
Stoner JA, Lee H-M, Reinhardt RA, Sorsa T, Slepian MJ. J Am Dent Assoc
2011;142;262-73. REVIEWER: Walter A. Bretz, DDS, PhD. PURPOSE/QUESTION: To
determine whether long-term subantimicrobial-dose-doxycycline (SDD) periodontal
therapy could reduce serum biomarkers of systemic inflammation and improve lipid
profiles in postmenopausal women who have systemic osteopenia and chronic
periodontitis. SOURCE OF FUNDING: NIH/NIDCR grant R01DE012872. TYPE OF
STUDY/DESIGN: Randomized controlled trial. LEVEL OF EVIDENCE: Level 2: Limited
quality, patient-oriented evidence. STRENGTH OF RECOMMENDATION GRADE: Not
applicable.
PMID- 22078833
TI - Female and older adult patients (age >= 21 Years) had slower recovery after third
molar surgery compared with males and younger adults in a US study.
AB - ARTICLE TITLE AND BIBLIOGRAPHIC INFORMATION: Recovery after third-molar surgery:
the effects of age and sex. Phillips C, Gelesko S, Proffit WR, White RP Jr. Am J
Orthod Dentofacial Orthop 2010;138(6):700.e1-8 REVIEWERS: Poramate Pitak-Arnnop,
DDS, PGDipClinSc (OMS), MSc, PhD, DSc Niels Christian Pausch, MD, DMD, PhD.
PURPOSE/QUESTION: To assess the effects of age and gender on quality-of-life
recovery after third-molar surgery in patients treated in either community
practices or academic centers. SOURCE OF FUNDING: The Oral and Maxillofacial
Surgery Foundation, the American Association of Oral and Maxillofacial Surgeons,
and the Dental Foundation of North America. TYPE OF STUDY/DESIGN: Cohort study.
LEVEL OF EVIDENCE: Level 2: Limited-quality, patient-oriented evidence. STRENGTH
OF RECOMMENDATION GRADE: Not applicable.
PMID- 22078834
TI - Using toluidine blue as an adjunct to oral visual cancer screening among high
risk individuals may lead to increased detection of oral submucous fibrosis.
AB - ARTICLE TITLE AND BIBLIOGRAPHIC INFORMATION: A community-based RCT for oral
cancer screening with toluidine blue. Su WW, Yen AM, Chiu SY, Chen TH. J Dent Res
2010;89(9):933-7. REVIEWER: Mark D. Macek, DDS, DrPH PURPOSE/QUESTION: Does using
toluidine blue as an adjunctive tool for oral visual cancer screening lead to
higher detection rates of oral premalignant lesions among high-risk populations?
SOURCE OF FUNDING: Information not available TYPE OF STUDY/DESIGN: Randomized
controlled trial LEVEL OF EVIDENCE: Level 2: Limited-quality, patient-oriented
evidence STRENGTH OF RECOMMENDATION GRADE: Not applicable.
PMID- 22078835
TI - Computer-aided designed/computer-assisted manufactured (CAD/CAM) all-ceramic
crowns appear to perform better than all-composite resin crowns following the
first 3 years of placement.
AB - ARTICLE TITLE AND BIBLIOGRAPHIC INFORMATION: Computer-aided designed/computer
assisted manufactured composite resin versus ceramic single-tooth restorations: a
3-year clinical study. Vanoorbeek S, Vandamme K, Lijnen I, Naert I. Int J
Prosthodont 2010;23(3):223-30. REVIEWER: J. Robert Kelly, DDS, MS, DMedSc
PURPOSE/QUESTION: To ascertain the 3-year success and survival rates of all
composite resin and all-ceramic single-tooth restorations manufactured with a
CAD/CAM system SOURCE OF FUNDING: GC Corporation Europe and UNI-DENT University
Hospitals Dental Laboratories, Leuven, Belgium, provided some support for this
study TYPE OF STUDY/DESIGN: Cohort study LEVEL OF EVIDENCE: Level 2: Limited
quality, patient-oriented evidence STRENGTH OF RECOMMENDATION GRADE: Not
applicable.
PMID- 22078836
TI - Flapless dental implant surgery may improve hard and soft tissue outcomes.
AB - ARTICLE TITLE AND BIBLIOGRAPHIC INFORMATION: A 1-year prospective clinical study
of soft tissue conditions and marginal bone changes around dental implants after
flapless implant surgery. Jeong S-M, Choi B-H, Kim J, Xuan F, Lee D-H, Mo DY, et
al. Oral Surg Oral Med Oral Pathol Oral Radiol Endod 2011;111:41-6. REVIEWER:
Richard Oliver, BDS, BSc, PhD, FDSRCPS, FDS(OS)RCPS PURPOSE/QUESTION: To evaluate
the soft tissue and marginal bone levels after 1 year around dental implants
placed using flapless surgery SOURCE OF FUNDING: Korea Science and Engineering
Foundation (KOSEF) funded by the Korean Government TYPE OF STUDY/DESIGN: Case
series LEVEL OF EVIDENCE: Level 3: Other evidence STRENGTH OF RECOMMENDATION
GRADE: Grade C: Consensus, disease-oriented evidence, usual practice, expert
opinion, or case series for studies of diagnosis, treatment, prevention, or
screening.
PMID- 22078837
TI - High PCR copy-counts of periodontal pathogens in saliva are associated with
periodontal disease status.
AB - ARTICLE TITLE AND BIBLIOGRAPHIC INFORMATION: Salivary infectious agents and
periodontal disease status. Saygun I, Nizam SI, Keskiner N, Bal V, Kubar A,
Acikel C, et al. J Periodont Res 2011:46:235-9. REVIEWER: Paul I. Eke, PhD, MPH,
PhD. PURPOSE/QUESTION: To determine if salivary counts of 6 periodontal pathogens
and Epstein-Barr virus could distinguish between persons with periodontal health,
gingivitis, chronic periodontitis, and aggressive periodontitis. SOURCE OF
FUNDING: Information not available. TYPE OF STUDY/DESIGN: Cross-sectional study
LEVEL OF EVIDENCE: Level 3: Other evidence. STRENGTH OF RECOMMENDATION GRADE: Not
applicable.
PMID- 22078838
TI - Child abuse pediatrics: research, policy and practice.
PMID- 22078839
TI - Promoting equity through primary care and health services research: honoring the
legacy of Dr. Barbara Starfield.
PMID- 22078840
TI - The only person in the room who looks like me.
PMID- 22078841
TI - Augmented home exercise program for a 37-year-old female with a clinical
presentation of femoroacetabular impingement.
AB - Previous researchers have reported on the use of augmented home exercise programs
with potential carry-over effects from manual therapy treatment. While there is
no direct evidence to support augmented manual therapy, tangential evidence
demonstrates that specific, supervised, and adherent exercises result in improved
outcomes. The purpose of this case report is to describe an augmented home
program simulating the manual techniques provided by the therapist. A 37-year-old
female presented with symptoms and signs consistent with femoroacetabular
impingement (FAI). Grade III lateral and inferior femoral glides decreased
reported pain from 5/10 to 0/10. Given the immediate response to hip
mobilizations, the patient was prescribed standing lateral glides and supine
inferior glides of the hip with the use of a belt. During this time, the
patient's Lower Extremity Functional Scale score improved from 74 to 78 and she
reported increased ability to self-manage her symptoms. The immediate report of
decreased pain helped determine the specific home program selected for this
individual patient. This case highlights the importance of a specific augmented
home exercise program unique to the individual patient resulting in self
management of pain associated with FAI.
PMID- 22078842
TI - Segmentation of 3D radio frequency echocardiography using a spatio-temporal
predictor.
AB - This paper presents an algorithm for segmenting left ventricular endocardial
boundaries from RF ultrasound. Our method incorporates a computationally
efficient linear predictor that exploits short-term spatio-temporal coherence in
the RF data. Segmentation is achieved jointly using an independent identically
distributed (i.i.d.) spatial model for RF intensity and a multiframe conditional
model that relates neighboring frames in the image sequence. Segmentation using
the RF data overcomes challenges due to image inhomogeneities often amplified in
B-mode segmentation and provides geometric constraints for RF phase-based speckle
tracking. The incorporation of multiple frames in the conditional model
significantly increases the robustness and accuracy of the algorithm. Results are
generated using between 2 and 5 frames of RF data for each segmentation and are
validated by comparison with manual tracings and automated B-mode boundary
detection using standard (Chan and Vese-based) level sets on echocardiographic
images from 27 3D sequences acquired from six canine studies.
PMID- 22078843
TI - Characterization of compounds and potential neuraminidase inhibitors from the n
butanol extract of Compound Indigowoad Root Granule using ultrafiltration and
liquid chromatography-tandem mass spectrometry.
AB - The liquid chromatography-tandem mass spectrometry (LC-MS/MS) methods were used
to identify the pharmacologically active n-butanol extract from Compound
Indigowoad Root Granule. As a result, eighteen compounds belonging to various
structural classes such as nucleosides, purines, flavonoids and amino acid were
unambiguously identified. Then an in vitro neuraminidase (NA) inhibition assay
was carried out to examine the inhibitory activity of the standard samples and
extracts on NA. After which, ultrafiltration liquid chromatography with
photodiode array detection coupled to electrospray ionization tandem mass
spectrometry (ultrafiltration LC-MS/MS) was used to study NA inhibitory activity
of standard flavones and n-butanol extract of Compound Indigowoad Root Granule.
This method is highly selective and sensitive, and it could be used for
characterization of bioactive compounds and botanical extracts. The result
provides some enlightenment for the explanation of the antiviral activity of
Compound Indigowoad Root Granule and some guidance for natural anti-influenza
medicine development.
PMID- 22078844
TI - Simultaneous detection of multiple mutations in epidermal growth factor receptor
based on fluorescence quenching of quantum dots.
AB - We have developed a simultaneous detection method for two common mutations in the
epidermal growth factor receptor gene based on the fluorescence quenching
phenomenon caused by aggregation of CdSe quantum dots. For detection of the in
frame deletion in exon 19 and the L858R point mutation in exon 21, water-soluble
CdSe quantum dots with two sizes were functionalized using four different types
of probe oligonucleotides. Addition of target oligonucleotides with the deletion
mutation in exon 19 into the suspensions caused crosslinking-induced aggregation
of green-emitting quantum dots, followed by the fluorescence quenching while that
with the L858R point mutation resulted in aggregation of yellow-emitting quantum
dots. In addition, targets with both deletion and point mutations caused
aggregation of both green- and yellow-emitting quantum dots. This method allows a
simultaneous detection of mutations in exon 19 and 21 of EGFR gene in a single
experiment. We found that minimum mutant concentration that could be detected by
this method was as low as 2% for deletion mutation, and 5% for point mutation.
PCR products of EGFR gene were also used to confirm that our method could be used
to detect mutation in amplified DNA fragments.
PMID- 22078845
TI - A novel combined thermometric and amperometric biosensor for lactose
determination based on immobilised cellobiose dehydrogenase.
AB - A novel method for lactose determination in milk is proposed. It is based on
oxidation of lactose by cellobiose dehydrogenase (CDH) from the basidiomycete
Phanerochaete chrysosporium, immobilised in an enzyme reactor. The reactor was
prepared by cross-linking CDH onto aminopropyl-silanised controlled pore glass
(CPG) beads using glutaraldehyde. The combined biosensor worked in flow injection
analysis (FIA) mode and was developed for simultaneous monitoring of the
thermometric signal associated with the enzymatic oxidation of lactose using p
benzoquinone as electron acceptor and the electrochemically generated current
associated with the oxidation of the hydroquinone formed. A highly reproducible
linear response for lactose was obtained between 0.05 mM and 30 mM. For a set of
more than 500 samples an R.S.D. of less than 10% was achieved. The assay time was
ca. 2 min per sample. The sensor was applied for the determination of lactose in
dairy milk samples (milk with a fat content of 1.5% or 3% and also "lactose free"
milk). No sample preparation except dilution with buffer was needed. The proposed
method is rapid, suitable for repeated use and allows the possibility to compare
results from two different detection methods, thus providing a built-in quality
assurance. Some differences in the response observed between the methods indicate
that the dual approach can be useful in mechanistic studies of redox enzymes. In
addition, a dual system opens up interesting possibilities for studies of enzyme
properties and mechanisms.
PMID- 22078846
TI - Treating anemia associated with chronic renal failure with erythropoiesis
stimulators: recombinant human erythropoietin might be the best among the
available choices.
AB - Chronic renal failure (CRF) is a widespread medical problem commonly accompanied
by a hypoproliferative anemia ("renal anemia") due to erythropoietin deficiency.
Anemia greatly contributes to reduced quality of life (Hr-QoL) and high morbidity
and mortality in CRF patients. Recombinant human erythropoietin (rHu-Epo) was
introduced to medical practice some 20years ago. It enables correction of anemia
(hemoglobin levels, Hb) with dramatic immediate (Hr-QoL improvement) and long
term effects (reduced morbidity and mortality). Newer experimental data suggest
that long-term benefits could be due not only to antianemic effect, but also to a
direct organoprotective effect of (rHu)-Epo mediated through a receptor complex
different from the "erythropoietic" erythropoietin receptor. During the last
decade, two alternative treatments for renal anemia have been approved:
darbepoetin and CERA. Both are direct agonists of the "erythropoietic" receptors
and both were derived from rHu-Epo. Molecularly, they differ from rHu-Epo in that
they are much larger molecules (darbepoetin is genetically modified rHu-Epo with
a higher sugar content and CERA is pegylated rHu-Epo) with lower affinity for the
erythropoietin receptor but with a longer circulating time. In terms of renal
anemia correction, they are non-inferior to rHu-Epo and allow for less frequent
dosing. They have never been compared to rHu-Epo regarding the long-term
outcomes. It is hypothesized that regarding the long-term outcomes (morbidity,
mortality), rHu-Epo might be superior to those larger molecules. The hypothesis
is based on two types of observations. First, experimental data emphasize the
role of small, erythropoietically less valuable rHu-Epo isoforms in its
organoprotective effects. Second, clinical observations suggest that rHu-Epo
enables for less variable Hb correction than the larger molecules, and pronounced
within-subject Hb variability has been suggested as an independent predictor of
poor long-term outcomes of renal anemia management.
PMID- 22078847
TI - Lymphoepithelial carcinoma of the nasal cavity mimicking juvenile angiofibroma.
AB - Juvenile angiofibroma, nasopharyngeal carcinoma (NPC) and lymphoepithelial
carcinoma of the nasal cavity (LEC NC) all could be found as a hyper-vascular
mass in the nasopharynx area. Performing biopsy for histopathologic confirmation
is necessary in the case of NPC or LEC NC but could be fatal in the case of
angiofibroma. In our case, a 21-year-old male who was suffering from unilateral
nasal stuffiness and frequent epistaxis had a mass with an easily bleeding
tendency in his right nasal cavity. Juvenile angiofibroma was suspected by
clinical and radiologic examinations. We performed preoperative angiography and
the feeding vessel from the right internal maxillary artery was obliterated with
polyvinyl alcohol nanoparticle. The mass was completely removed endoscopically,
and there was profound hemorrhage in spite of the preoperative embolization. The
mass turned out to be LEC NC by postoperative histopathologic examination. To
avoid this misdiagnosis, the authors suggest that we should perform biopsy under
rigid endoscopy 24h after angiographic embolization. If the result of frozen
biopsy is juvenile angiofibroma, we could perform surgery another 24h later. If
the result is nasopharyngeal carcinoma or LEC NC, we could avoid unnecessary
surgical removal and perform radiotherapy. In terms of treatment strategies, we
suggest endoscopic removal of gross tumor and postoperative combination of
chemoradiotherapy as the more curative regimen with less complications related
with radiotherapy.
PMID- 22078848
TI - A huge chondromyxoid fibroma of the nasal cavity in a newborn baby.
AB - Chondromyxoid fibroma is a rare benign tumor that usually occurs in the long
bones. A 2-month newborn presented with huge masses in the both nasal cavities,
which turned out to be chondromyxoid fibroma. The masses originated from both
inferior turbinates. Total turbinectomy on the left side and submucosal mass
excision on the right side were performed. No recurrence or new lesion was
observed during the 2 years of follow up. We report here on a rare case of nasal
cavity chondromyxoid fibroma in a neonate and we review the relevant literature.
PMID- 22078850
TI - Rotation vectors of slow and quick phase of caloric nystagmus.
AB - OBJECTIVE: The purpose of this study was to elucidate the rotation axes of the
slow and quick phase of the caloric nystagmus using the video-oculographic
technique. METHODS: Subjects were placed in a supine position and cold-water
stimulation was applied to the right ear canal. The eye movements were recorded
in complete darkness by a high-speed infrared CCD camera. The sampling time of
the camera was 132Hz with 640*480 effective pixels. RESULTS: The rotation vectors
were calculated from the printed-out chart of the 3D analysis data of the caloric
nystagmus. The directions of the rotation vector of the quick phase of the
nystagmus were almost opposite to those of the slow phase. The average planer
equations of the slow and quick phase of the nystagmus in all subjects were
0.399x+0.1477y-0.8656z=0 and -0.3970x-0.1940y+0.8559z=0, respectively.
CONCLUSION: We demonstrated that the slow phase and quick phase of the vestibular
nystagmus are along with the same axes in human subjects.
PMID- 22078849
TI - Heme oxygenase-1 expression in chronic rhinosinusitis with eosinophilic
infiltration.
AB - OBJECTIVES: Chronic rhinosinusitis (CRS) with eosinophilic infiltration is a type
of intractable rhinosinusitis often associated with asthma. The oxidants are well
known to induce aggravate asthma. Heme oxygenase-1 (HO-1), a cytoprotective
enzyme against oxidant, has been extensively studied in airway diseases. However,
no study that observed HO-1 in both epithelial and subepithelial tissues of CRS
has been reported. METHODS: Part of each specimen derived from the nasal polyps
of CRS with and without eosinophilic infiltration was promptly fixed for
hematoxylin-eosin staining and immunohistochemical analysis for HO-1 and
macrophages. RESULTS: We found that the expression of HO-1 in the epithelial
layers of CRS without eosinophilic infiltration was significantly enhanced as
compared with that of CRS with eosinophilic infiltration. On the other hand, the
number of macrophages with HO-1 positive reactions was significantly greater in
CRS with eosinophilic infiltration compared with CRS without eosinophilic
infiltration. CONCLUSIONS: Our study suggests that both a reduction of HO-1
expression in epithelial cells and an increase of infiltration of macrophages
positive for HO-1 are related to the epithelial damage of CRS with eosinophilic
infiltration.
PMID- 22078851
TI - Efficacy and safety of garenoxacin in the treatment of upper respiratory tract
infections.
AB - OBJECTIVE: To determine the efficacy and safety of garenoxacin, a new generation
of quinolone antimicrobial agent, in the treatment of adult upper respiratory
tract infections. METHODS: A total of 113 subjects were enrolled in this study.
Garenoxacin (400mg/day) was administered to patients with pharyngolaryngitis,
tonsillitis, and otitis media for 5-7 days and to those with sinusitis for 7-10
days. Clinical symptoms and findings were examined and quantitatively evaluated
using a scoring system. RESULTS: We found 80 to 100% improvement rate in symptoms
and findings for each infection. In addition, we found significant improvement in
subjective evaluations from patient questionnaires even in the early stage of the
treatment. X-ray examination for acute sinusitis demonstrated that the clinical
efficacy was 84% (27/32) and 76% (19/25) patients were already improved within
seven days. Among the detected 84 bacteria, 75 (89%) were identified as the major
pathogenic bacteria of respiratory tract infections such as Streptococcus
pneumoniae (27 strains) and Haemophillus influenzae (14 strains). Garenoxacin
administration completely eradicated bacteria in 53 out of 54 cases (98%). There
were 8 adverse events (8.3%) including 3 diarrhea cases (3.1%). CONCLUSION: These
results suggest that garenoxacin is a highly effective and safe antimicrobial
agent in the treatment of community-acquired upper respiratory infections.
Additionally, garenoxacin did not induce the growth of resistant bacteria because
of its strong antimicrobial activity.
PMID- 22078853
TI - Reply to "A critical review of recombinant human bone morphogenetic protein-2
trials in spinal surgery: emerging safety concerns and lessons learned".
PMID- 22078854
TI - Comparison of stent free cell area and cerebral lesions after unprotected carotid
artery stent placement.
AB - OBJECTIVE: This study evaluates the correlation between closed, semi-closed and
open-cell stent design and the association between stent type and clinical
outcome as well as magnetic resonance imaging (MRI) findings. DESIGN: A total of
194 patients who underwent unprotected carotid artery stenting (CAS) as well as
diffusion-weighted magnetic resonance imaging (DW-MRI) before and after
intervention were retrospectively reviewed. MATERIALS AND METHODS: Three stent
designs were studied: closed cell, semi-closed cell and open cell. Spearman's Rho
test was performed between the stent free cell area and the number and area of
ischaemic lesions found after intervention. Adverse events were evaluated.
RESULTS: There was no significant difference in clinical outcome between the
three stent groups (Zilver, Cook Europe, Denmark; Smart, Codman, MA; and
Wallstent, Stryker, MN, USA). A significant correlation was found between the
stent free cell area and the number and area of new ischaemic lesions on DW-MRI
(P = 0.023). There were significantly fewer new lesions with an open-cell design
(Zilver; 12.76 mm(2) free cell area) than with a closed-cell design (Wallstent;
1.08 mm(2) free cell area). CONCLUSIONS: Open-cell stent was related to a lower
number and area of silent cerebral ischaemic lesions after unprotected CAS.
However, clinical outcome, measured by incidence of adverse events and clinical
neurologic assessment, was not significantly different between patients with
different stent designs.
PMID- 22078855
TI - Bedside detection of awareness in the vegetative state: a cohort study.
AB - BACKGROUND: Patients diagnosed as vegetative have periods of wakefulness, but
seem to be unaware of themselves or their environment. Although functional MRI
(fMRI) studies have shown that some of these patients are consciously aware,
issues of expense and accessibility preclude the use of fMRI assessment in most
of these individuals. We aimed to assess bedside detection of awareness with an
electroencephalography (EEG) technique in patients in the vegetative state.
METHODS: This study was undertaken at two European centres. We recruited patients
with traumatic brain injury and non-traumatic brain injury who met the Coma
Recovery Scale-Revised definition of vegetative state. We developed a novel EEG
task involving motor imagery to detect command-following--a universally accepted
clinical indicator of awareness--in the absence of overt behaviour. Patients
completed the task in which they were required to imagine movements of their
right-hand and toes to command. We analysed the command-specific EEG responses of
each patient for robust evidence of appropriate, consistent, and statistically
reliable markers of motor imagery, similar to those noted in healthy, conscious
controls. FINDINGS: We assessed 16 patients diagnosed in the vegetative state,
and 12 healthy controls. Three (19%) of 16 patients could repeatedly and reliably
generate appropriate EEG responses to two distinct commands, despite being
behaviourally entirely unresponsive (classification accuracy 61-78%). We noted no
significant relation between patients' clinical histories (age, time since
injury, cause, and behavioural score) and their ability to follow commands. When
separated according to cause, two (20%) of the five traumatic and one (9%) of the
11 non-traumatic patients were able to successfully complete this task.
INTERPRETATION: Despite rigorous clinical assessment, many patients in the
vegetative state are misdiagnosed. The EEG method that we developed is cheap,
portable, widely available, and objective. It could allow the widespread use of
this bedside technique for the rediagnosis of patients who behaviourally seem to
be entirely vegetative, but who might have residual cognitive function and
conscious awareness. FUNDING: Medical Research Council, James S McDonnell
Foundation, Canada Excellence Research Chairs Program, European Commission, Fonds
de la Recherche Scientifique, Mind Science Foundation, Belgian French-Speaking
Community Concerted Research Action, University Hospital of Liege, University of
Liege.
PMID- 22078856
TI - Measurements of consciousness in the vegetative state.
PMID- 22078857
TI - [Case 42. Multifocal facial and orbital lymphoma].
PMID- 22078858
TI - [Case 48. Mild encephalitis/encephalopathy with an isolated reversible lesion of
the corpus callosum splenium].
PMID- 22078859
TI - [Case 50. Dysplasia epiphysealis hemimelica].
PMID- 22078860
TI - [Case 41].
PMID- 22078861
TI - NMR field-cycling at ultralow magnetic fields.
AB - The paper describes some significant technical improvements of a home built NMR
field cycling relaxometer [O. Lips, A. Privalov, S. Dvinskikh, F. Fujara, J.
Magn. Reson. 149 (2001) 22-28] now allowing for fast switching of polarization
fields (up to more than 1T) to evolution fields down to the sub-MUT range. The
most important instrumental details such as the description of an involved 3
dimensional resistive coil setup are given. Fields below about 5 MUT can only be
stabilized by incorporation of an active field drift and fluctuation compensation
tool. In this way, the smallest 1H Larmor frequency obtained and measured so far
has been 12 Hz.
PMID- 22078862
TI - Medication errors during patient transitions into nursing homes: characteristics
and association with patient harm.
AB - BACKGROUND: Patients transitioning to a nursing home from their home or other
facility are at high risk for medication errors. OBJECTIVE: Our aim was to
describe characteristics of medication errors occurring during transitions to
nursing homes, to compare characteristics of transition errors with errors not
involving a transition, and to evaluate the impact of these errors on patient
harm. METHODS: This was a cross-sectional analysis of individual medication error
incidents reported by North Carolina nursing homes to the Medication Error
Quality Initiative during fiscal years 2007 through 2009. Bivariate associations
between errors in transition with patient factors, error-related factors,
reported causes of errors, and impact on patients were tested using a chi(2)
test. Multivariate logistic regression explored whether medication errors during
transitions were more harmful than errors not occurring during transitions.
Patient-related factors included in the model were age, sex, and cognitive
ability. Error-related factors were primary type of error, process phase when
error began, primary personnel involved, and an indicator for repeat error.
RESULTS: A total of 27,759 individual medication error incidents were reported
over a 3-year period in North Carolina nursing homes. Of these errors, 2919
incidents (11%) involved a patient transitioning to a nursing home. Errors
involved in transitions were found to have higher odds of patient harm compared
with errors not involved in transitions (odds ratio = 1.85; 95% CI, 1.30-2.63).
Staff communication, order transcription, medication availability, pharmacy
issues, and name confusion were particularly important contributors to medication
errors during transitions (P < 0.05 for comparison with nontransition errors).
CONCLUSIONS: Transitions across care settings introduce risk for patient harm,
and medication errors are an important area for improvement during transitions.
PMID- 22078863
TI - Potentially harmful drug-drug interactions in the elderly: a review.
AB - BACKGROUND: Elderly patients are vulnerable to drug interactions because of age
related physiologic changes, an increased risk for disease associated with aging,
and the consequent increase in medication use. OBJECTIVE: The purpose of this
narrative review was to describe findings from rigorously designed observational
cohort and case-control studies that have assessed specific drug interactions in
elderly patients. METHODS: The PubMed and International Pharmaceutical Abstracts
databases were searched for studies published in English over the past 10 years
(December 2000-December 2010) using relevant Medical Subject Headings terms
(aged; aged, 80 and over; and drug interactions) and search terms (drug
interaction and elderly). Search strategies were saved and repeated through
September 2011 to ensure that the most recent relevant published articles were
identified. Additional articles were found using a search of review articles and
reference lists of the identified studies. Studies were included if they were
observational cohort or case-control studies that reported specific adverse drug
interactions, included patients aged >=65 years, and evaluated clinically
meaningful end points. Studies were excluded if they used less rigorous
observational designs, assessed pharmacokinetic/pharmacodynamic properties,
evaluated drug-nutrient or drug-disease interactions or interactions of drug
combinations used for therapeutic benefit (eg, dual antiplatelet therapy), or had
inconclusive evidence. RESULTS: Seventeen studies met the inclusion criteria.
Sixteen studies reported an elevated risk for hospitalization in older adults
associated with adverse drug interactions. The drug interactions included:
angiotensin-converting enzyme (ACE) inhibitors and potassium-sparing diuretics,
ACE inhibitors or angiotensin receptor blockers and
sulfamethoxazole/trimethoprim, benzodiazepines or zolpidem and interacting
medications, calcium channel blockers and macrolide antibiotics, digoxin and
macrolide antibiotics, lithium and loop diuretics or ACE inhibitors, phenytoin
and sulfamethoxazole/trimethoprim, sulfonylureas and antimicrobial agents,
theophylline and ciprofloxacin, and warfarin and antimicrobial agents or
nonsteroidal anti-inflammatory drugs. One study reported the risk for breast
cancer-related death as a function of paroxetine exposure among women treated
with tamoxifen. CONCLUSIONS: Several population-based studies have reported
significant harm associated drug interactions in elderly patients. Increased
awareness and interventions aimed at reducing exposure and minimizing the risks
associated with potentially harmful drug combinations are needed.
PMID- 22078864
TI - [Therapeutic hypothermia after pediatric cardiac arrest].
AB - INTRODUCTION: Therapeutic hypothermia (TH) improves neurological outcome in
adults after ventricular fibrillation cardiac arrest and in neonates with hypoxic
ischemic encephalopathy. The effect of TH in children is under investigation.
OBJECTIVES: To assess the feasibility, efficacy and safety of a pilot program of
TH in pediatric cardiac arrest. MATERIAL AND METHODS: Prospective study in a
pediatric intensive care unit. An external cooling method with a servo system was
used on all patients according to an established protocol. Values expressed as
median (IQ range). RESULTS: Six patients were included, of whom 5 had an out of
hospital cardiac arrest. The mean age was 33 months (16-120) and Glasgow coma
scale 6 (4-7). The T degrees prior to the induction of TH was 39.2 degrees C
(39.1-39.4). The median T degrees used was 34.0 degrees C (33.5-34.8 degrees
C), which was reached in 4h. (3-7) after the start and maintained for 48h. (45
54). The rewarming was carried out over a period of 14h. (12-16). Hypokalemia was
the most common adverse event found. Five patients survived to hospital discharge
with a Glasgow Coma Scale of 13 (11-14). At 6 months follow up the Pediatric
Cerebral Performance Category score was <= 2 in three patients. CONCLUSION: In
this pilot study, the use of mild therapeutic hypothermia with a protocol that
included rapid sequence induction with an external surface cooling technique was
feasible, effective and safe in children with cardiac arrest.
PMID- 22078865
TI - Dissecting the journey: nursing student experiences with collaboration during the
group work process.
AB - Since the outset of nursing care, group work processes have evolved into
essential components of a nurse's role and responsibilities within the health
care system. To reflect this trend, group work is often utilized as a medium to
promote professional socialization in undergraduate nursing curricula. The
purpose of this qualitative study was to explore the ways undergraduate nursing
students experience collaboration during group work activities. Braun and
Clarke's (2006) theoretical thematic analysis combined with Pollio et al.'s
(2006) interpretive framework was utilized to capture the students' lived
experiences regarding group work. The participants of this study consisted of 96
undergraduate students enrolled in a nursing program in Canada. Written
descriptions of their perceptions of their group work practices were analyzed to
determine the extent to which these adhere to the collaborative practice
essential elements (Jones and Way, 2006). Analysis of the results revealed an
unexpected element of collaboration that of the psychosocial element in group
work. The results from this study expose advantages and disadvantages of group
work processes during group work in nursing education. This type of insight is
valuable for educators to prepare nursing students for the complex demands of
working with interdisciplinary teams.
PMID- 22078866
TI - Student nurses' perceptions of how they learn drug calculation skills.
AB - AIMS AND OUTCOMES: This study explored the area of learning styles in relation to
drug calculations. Student nurses' perceptions of how they learn drug calculation
skills were investigated in order to inform future teaching and learning
strategies. METHODOLOGY: A semi-structured questionnaire was given to 67 student
nurses to explore their perceptions of teaching and learning strategies
implemented during a 2nd year nursing module. The results were analysed using
descriptive statistics and grounded theory. RESULTS: From this analysis three
main themes emerged; students being able to measure their skills and gain
feedback about their progress; being able to learn in their 'own way' and being
given opportunities for this to happen; and being focussed on the goal of being
able to calculate drugs in the 'real world'. The implications of these findings
are explored in relation to nurse education.
PMID- 22078867
TI - Staff and students' perceptions and experiences of teaching and assessment in
Clinical Skills Laboratories: interview findings from a multiple case study.
AB - BACKGROUND: The Clinical Skills Laboratory has become an essential structure in
nurse education and several benefits of its use have been identified. However,
the literature identifies the need to examine the transferability of skills
learned there into the reality of practice. OBJECTIVE: This research explored the
role of the Clinical Skills Laboratory in preparing nursing students for the real
world of practice. This paper focuses specifically on the perceptions of the
teaching and assessment strategies employed there. DESIGN: Qualitative multiple
case study design. SETTING: Five case study sites. PARTICIPANTS: Interviewees
(n=58) included academic staff, clinical staff and nursing students. METHODS:
Semi-structured interviews. RESULTS: The Clinical Skills Laboratory can provide a
pathway to practice and its authenticity is significant. Teaching strategies need
to incorporate communication as well as psychomotor skills. Including audio
visual recording into assessment strategies is beneficial. Effective
relationships between education institutions and clinical settings are needed to
enhance the transferability of the skills learned. CONCLUSIONS: The Clinical
Skills Laboratory should provide an authentic learning environment, with the
appropriate use of teaching strategies. It is crucial that effective links
between educators and clinical staff are established and maintained.
PMID- 22078868
TI - Co-existence of multiple strains of porcine circovirus type 2 in the same pig
from China.
AB - Pigs are often co-infected by different viral strains from the same virus. Up to
now, there are few reports about co-existence of different porcine circovirus
type 2 (PCV2) strains in China. The aim of this study was to evaluate it in
Chinese swine herds. 118 PCV2 positive DNAs isolated from diseased pigs
identified by classic PCR were re-detected using a modified differential PCR
assay. The results indicated that co-existence rates of PCV2 were 32.2% (38/118)
in diseased pigs and 0% (0/41) in asymptomatic pigs. Four PCV2 complete genomes
were cloned from two co-infected samples and their nucleotide (nt) identities
were 95%-97.3%. The phylogenetic analysis showed that four PCV2 strains were
divided into different genotypes, PCV2a, PCV2b, PCV2d and PCV2e, respectively. In
addition, co-existence were not detected in 41 serum samples from healthy pigs
but PCV2 single infection (31.7%, 13/41) existed. These data revealed that the co
existence of different strains of PCV2 might contribute to the development of
more severe clinical symptoms for pigs. This is the first report confirming the
co-existence of different PCV2 strains in Chinese swine herds. Meanwhile, this
study could help us to understand new infection and prevalence forms of PCV2
clinically.
PMID- 22078869
TI - Options on fertility preservation in female cancer patients.
AB - Infertility following treatment of cancer is a quality of survival's recognized
issue and efforts should be made to help young cancer patients retaining their
fertility potential. Options to preserve fertility in female patients include
well established methods such as shielding to reduce radiation damage to
reproductive organs, fertility-sparing surgery and emergency in vitro
fertilization after controlled ovarian stimulation, aiming at freezing embryos.
Transfer of frozen/thawed embryos today is a clinical routine in fertility
clinics worldwide and it has been used for over 25 years. Mature oocytes after
ovarian stimulation can also be frozen unfertilized, nevertheless overall
pregnancy rates after fertilization of frozen-thawn oocytes are still relatively
lower than those with embryo freezing. Remaining fertility preservation options
are still in development and include the freezing of immature oocytes aiming at
later in vitro maturing and fertilizing them and the cryopreservation of ovarian
tissue for future retransplantation or for in vitro growth and maturation of
follicles, both still experimental.
PMID- 22078870
TI - Altered expression of neuropeptides in the primary somatosensory cortex of the
Down syndrome model Ts65Dn.
AB - Down syndrome is the most common genetic disorder associated with mental
retardation. Subjects and mice models for Down syndrome (such as Ts65Dn) show
defects in the formation of neuronal networks in both the hippocampus and the
cerebral cortex. The principal neurons display alterations in the morphology,
density and distribution of dendritic spines in the cortex as well as in the
hippocampus. Several evidences point to the possibility that the atrophy observed
in principal neurons could be mediated by changes in their inhibitory inputs and,
in fact, an imbalance between excitation and inhibition has been observed in
Ts65Dn mice in these regions, which are crucial for learning and information
processing. These animals have an increased density of interneurons in the
primary somatosensory cortex, especially of those expressing calretinin and
calbindin D-28k. Here, we have analysed the expression and distribution of
several neuropeptides in the primary somatosensory cortex of Ts65Dn mice in order
to investigate whether these subpopulations of interneurons are affected. We have
observed an increase in the total density of somatostatin expressing interneurons
and of those expressing VIP in layer IV in Ts65Dn mice. The typology of the
somatostatin and VIP interneurons was unaltered as attested by the pattern of co
expression with other markers. Somatostatin immunoreactive neurons co-express
mainly D-28k calbindin and VIP expressing interneurons maintain its pattern of co
expression with calcium binding proteins. These alterations, in case they were
also present in subjects with Down syndrome, could be related to their impairment
in cognitive profile and could be involved in the neurological defects observed
in this disorder.
PMID- 22078871
TI - Derepressing nuclear receptors for metabolic adaptation.
AB - NCoR is a corepressor of several transcription factors, including the PPAR family
of nuclear receptors in fat and muscle. By specifically deleting NCoR in these
tissues, Li et al. and Yamamoto et al. now uncover an important role for NCoR in
regulating lipid homeostasis through the coordinated control of different nuclear
receptors.
PMID- 22078872
TI - New driver for lipid synthesis.
AB - Cholesterol regulates activation of sterol regulatory element-binding protein
(SREBP) through a classic feedback loop. Walker et al. (2011) extend the
regulatory inputs governing SREBP activity to include an independent loop
modulated by phosphatidylcholine (PC) and cellular methylation capacity. These
findings suggest a link between lipid synthesis and cellular pathways involved in
methylation.
PMID- 22078873
TI - PINK1 and Parkin flag Miro to direct mitochondrial traffic.
AB - The Parkinson's disease proteins PINK1 and Parkin are proposed guardians of
mitochondrial fidelity, targeting damaged mitochondria for degradation by
mitophagy. In this issue of Cell, Wang et al. (2011) now show that PINK1 and
Parkin also regulate mitochondrial trafficking and quarantine damaged
mitochondria by severing their connection to the microtubule network.
PMID- 22078874
TI - Feedback on fat: p62-mTORC1-autophagy connections.
AB - Metabolic homeostasis requires integration of multiple signals and cellular
activities. Without this integration, conditions of obesity and diabetes often
develop. Recent in vivo studies explore the molecular basis for metabolic
homestasis, showing that p62 links autophagy and mTORC1 activation to regulate
adipogenesis and energy control.
PMID- 22078875
TI - Autophagy: renovation of cells and tissues.
AB - Autophagy is the major intracellular degradation system by which cytoplasmic
materials are delivered to and degraded in the lysosome. However, the purpose of
autophagy is not the simple elimination of materials, but instead, autophagy
serves as a dynamic recycling system that produces new building blocks and energy
for cellular renovation and homeostasis. Here we provide a multidisciplinary
review of our current understanding of autophagy's role in metabolic adaptation,
intracellular quality control, and renovation during development and
differentiation. We also explore how recent mouse models in combination with
advances in human genetics are providing key insights into how the impairment or
activation of autophagy contributes to pathogenesis of diverse diseases, from
neurodegenerative diseases such as Parkinson disease to inflammatory disorders
such as Crohn disease.
PMID- 22078877
TI - The Hippo transducer TAZ confers cancer stem cell-related traits on breast cancer
cells.
AB - Cancer stem cells (CSCs) are proposed to drive tumor initiation and progression.
Yet, our understanding of the cellular and molecular mechanisms that underlie CSC
properties is limited. Here we show that the activity of TAZ, a transducer of the
Hippo pathway, is required to sustain self-renewal and tumor-initiation
capacities in breast CSCs. TAZ protein levels and activity are elevated in
prospective CSCs and in poorly differentiated human tumors and have prognostic
value. Gain of TAZ endows self-renewal capacity to non-CSCs. In epithelial cells,
TAZ forms a complex with the cell-polarity determinant Scribble, and loss of
Scribble--or induction of the epithelial-mesenchymal transition (EMT)--disrupts
the inhibitory association of TAZ with the core Hippo kinases MST and LATS. This
study links the CSC concept to the Hippo pathway in breast cancer and reveals a
mechanistic basis of the control of Hippo kinases by cell polarity.
PMID- 22078876
TI - Programmed cell death in animal development and disease.
AB - Programmed cell death (PCD) plays a fundamental role in animal development and
tissue homeostasis. Abnormal regulation of this process is associated with a wide
variety of human diseases, including immunological and developmental disorders,
neurodegeneration, and cancer. Here, we provide a brief historical overview of
the field and reflect on the regulation, roles, and modes of PCD during animal
development. We also discuss the function and regulation of apoptotic proteins,
including caspases, the key executioners of apoptosis, and review the nonlethal
functions of these proteins in diverse developmental processes, such as cell
differentiation and tissue remodeling. Finally, we explore a growing body of work
about the connections between apoptosis, stem cells, and cancer, focusing on how
apoptotic cells release a variety of signals to communicate with their cellular
environment, including factors that promote cell division, tissue regeneration,
and wound healing.
PMID- 22078879
TI - A quantitative model for ordered Cdk substrate dephosphorylation during mitotic
exit.
AB - After sister chromatid splitting at anaphase onset, exit from mitosis comprises
an ordered series of events. Dephosphorylation of numerous mitotic substrates,
which were phosphorylated by cyclin-dependent kinase (Cdk), is thought to bring
about mitotic exit, but how temporal ordering of mitotic exit events is achieved
is poorly understood. Here, we show, using budding yeast, that dephosphorylation
of Cdk substrates involved in sequential mitotic exit events occurs with ordered
timing. We test different models of how ordering might be achieved by modulating
Cdk and Cdk-counteracting phosphatase Cdc14 activities in vivo, as well as by
kinetic analysis of Cdk substrate phosphorylation and dephosphorylation in vitro.
Our results suggest that the gradual change of the phosphatase to kinase ratio
over the course of mitotic exit is read out by Cdk substrates that respond by
dephosphorylation at distinct thresholds. This provides an example and a
mechanistic explanation for a quantitative model of cell-cycle progression.
PMID- 22078878
TI - ncRNA- and Pc2 methylation-dependent gene relocation between nuclear structures
mediates gene activation programs.
AB - Although eukaryotic nuclei contain distinct architectural structures associated
with noncoding RNAs (ncRNAs), their potential relationship to regulated
transcriptional programs remains poorly understood. Here, we report that
methylation/demethylation of Polycomb 2 protein (Pc2) controls relocation of
growth-control genes between Polycomb bodies (PcGs) and interchromatin granules
(ICGs) in response to growth signals. This movement is the consequence of binding
of methylated and unmethylated Pc2 to the ncRNAs TUG1 and MALAT1/NEAT2, located
in PcGs and ICGs, respectively. These ncRNAs mediate assembly of multiple
corepressors/coactivators and can serve to switch mark recognition by "readers"
of the histone code. Additionally, binding of NEAT2 to unmethylated Pc2 promotes
E2F1 SUMOylation, leading to activation of the growth-control gene program. These
observations delineate a molecular pathway linking the actions of subnuclear
structure-specific ncRNAs and nonhistone protein methylation to relocation of
transcription units in the three-dimensional space of the nucleus, thus achieving
coordinated gene expression programs.
PMID- 22078880
TI - Adipocyte NCoR knockout decreases PPARgamma phosphorylation and enhances
PPARgamma activity and insulin sensitivity.
AB - Insulin resistance, tissue inflammation, and adipose tissue dysfunction are
features of obesity and Type 2 diabetes. We generated adipocyte-specific Nuclear
Receptor Corepressor (NCoR) knockout (AKO) mice to investigate the function of
NCoR in adipocyte biology, glucose and insulin homeostasis. Despite increased
obesity, glucose tolerance was improved in AKO mice, and clamp studies
demonstrated enhanced insulin sensitivity in liver, muscle, and fat. Adipose
tissue macrophage infiltration and inflammation were also decreased. PPARgamma
response genes were upregulated in adipose tissue from AKO mice and CDK5-mediated
PPARgamma ser-273 phosphorylation was reduced, creating a constitutively active
PPARgamma state. This identifies NCoR as an adaptor protein that enhances the
ability of CDK5 to associate with and phosphorylate PPARgamma. The dominant
function of adipocyte NCoR is to transrepress PPARgamma and promote PPARgamma ser
273 phosphorylation, such that NCoR deletion leads to adipogenesis, reduced
inflammation, and enhanced systemic insulin sensitivity, phenocopying the TZD
treated state.
PMID- 22078881
TI - NCoR1 is a conserved physiological modulator of muscle mass and oxidative
function.
AB - Transcriptional coregulators control the activity of many transcription factors
and are thought to have wide-ranging effects on gene expression patterns. We show
here that muscle-specific loss of nuclear receptor corepressor 1 (NCoR1) in mice
leads to enhanced exercise endurance due to an increase of both muscle mass and
of mitochondrial number and activity. The activation of selected transcription
factors that control muscle function, such as MEF2, PPARbeta/delta, and ERRs,
underpins these phenotypic alterations. NCoR1 levels are decreased in conditions
that require fat oxidation, resetting transcriptional programs to boost oxidative
metabolism. Knockdown of gei-8, the sole C. elegans NCoR homolog, also robustly
increased muscle mitochondria and respiration, suggesting conservation of NCoR1
function. Collectively, our data suggest that NCoR1 plays an adaptive role in
muscle physiology and that interference with NCoR1 action could be used to
improve muscle function.
PMID- 22078882
TI - Systematic discovery of TLR signaling components delineates viral-sensing
circuits.
AB - Deciphering the signaling networks that underlie normal and disease processes
remains a major challenge. Here, we report the discovery of signaling components
involved in the Toll-like receptor (TLR) response of immune dendritic cells
(DCs), including a previously unkown pathway shared across mammalian antiviral
responses. By combining transcriptional profiling, genetic and small-molecule
perturbations, and phosphoproteomics, we uncover 35 signaling regulators,
including 16 known regulators, involved in TLR signaling. In particular, we find
that Polo-like kinases (Plk) 2 and 4 are essential components of antiviral
pathways in vitro and in vivo and activate a signaling branch involving a dozen
proteins, among which is Tnfaip2, a gene associated with autoimmune diseases but
whose role was unknown. Our study illustrates the power of combining systematic
measurements and perturbations to elucidate complex signaling circuits and
discover potential therapeutic targets.
PMID- 22078883
TI - CD14 controls the LPS-induced endocytosis of Toll-like receptor 4.
AB - The transport of Toll-like Receptors (TLRs) to various organelles has emerged as
an essential means by which innate immunity is regulated. While most of our
knowledge is restricted to regulators that promote the transport of newly
synthesized receptors, the regulators that control TLR transport after microbial
detection remain unknown. Here, we report that the plasma membrane localized
Pattern Recognition Receptor (PRR) CD14 is required for the microbe-induced
endocytosis of TLR4. In dendritic cells, this CD14-dependent endocytosis pathway
is upregulated upon exposure to inflammatory mediators. We identify the tyrosine
kinase Syk and its downstream effector PLCgamma2 as important regulators of TLR4
endocytosis and signaling. These data establish that upon microbial detection, an
upstream PRR (CD14) controls the trafficking and signaling functions of a
downstream PRR (TLR4). This innate immune trafficking cascade illustrates how
pathogen detection systems operate to induce both membrane transport and signal
transduction.
PMID- 22078884
TI - A network of broadly expressed HLH genes regulates tissue-specific cell fates.
AB - Spatial and temporal expression of specific basic-helix-loop-helix (bHLH)
transcription factors defines many types of cellular differentiation. We find
that a distinct mechanism regulates the much broader expression of the
heterodimer partners of these specific factors and impinges on differentiation.
In Drosophila, a cross-interacting regulatory network links expression of the E
protein Daughterless (Da), which heterodimerizes with bHLH proteins to activate
them, with expression of the Id protein Extramacrochaetae (Emc), which
antagonizes bHLH proteins. Coupled transcriptional feedback loops maintain the
widespread Emc expression that restrains Da expression, opposing bHLH-dependent
differentiation while enhancing growth and cell survival. Where extracellular
signals repress emc, Da expression can increase. This defines regions of
proneural ectoderm independently from the proneural bHLH genes. Similar
regulation is found in multiple Drosophila tissues and in mammalian cells and
therefore is likely to be a conserved general feature of developmental regulation
by HLH proteins.
PMID- 22078885
TI - PINK1 and Parkin target Miro for phosphorylation and degradation to arrest
mitochondrial motility.
AB - Cells keep their energy balance and avoid oxidative stress by regulating
mitochondrial movement, distribution, and clearance. We report here that two
Parkinson's disease proteins, the Ser/Thr kinase PINK1 and ubiquitin ligase
Parkin, participate in this regulation by arresting mitochondrial movement. PINK1
phosphorylates Miro, a component of the primary motor/adaptor complex that
anchors kinesin to the mitochondrial surface. The phosphorylation of Miro
activates proteasomal degradation of Miro in a Parkin-dependent manner. Removal
of Miro from the mitochondrion also detaches kinesin from its surface. By
preventing mitochondrial movement, the PINK1/Parkin pathway may quarantine
damaged mitochondria prior to their clearance. PINK1 has been shown to act
upstream of Parkin, but the mechanism corresponding to this relationship has not
been known. We propose that PINK1 phosphorylation of substrates triggers the
subsequent action of Parkin and the proteasome.
PMID- 22078886
TI - Regulation of the probability of mouse odorant receptor gene choice.
AB - Each olfactory sensory neuron (OSN) in mouse chooses one of 1,200 odorant
receptor (OR) genes for expression. OR genes are chosen for expression by greatly
varying numbers of OSNs. The mechanisms that regulate the probability of OR gene
choice remain unclear. Here, we have applied the NanoString platform of
fluorescent barcodes and digital readout to measure RNA levels of 577 OR genes in
a single reaction, with probes designed against coding sequences. In an inbred
mouse strain with a targeted deletion in the P element, we find that this element
regulates OR gene choice differentially across its cluster of 24 OR genes.
Importantly, the fold changes of NanoString counts in DeltaP or DeltaH mice are
in very close agreement with the fold changes of cell counts, determined by in
situ hybridization. Thus, the P and H elements regulate the probability of OR
gene choice, not OR transcript level per OSN.
PMID- 22078887
TI - The neural circuits and synaptic mechanisms underlying motor initiation in C.
elegans.
AB - C. elegans is widely used to dissect how neural circuits and genes generate
behavior. During locomotion, worms initiate backward movement to change
locomotion direction spontaneously or in response to sensory cues; however, the
underlying neural circuits are not well defined. We applied a multidisciplinary
approach to map neural circuits in freely behaving worms by integrating
functional imaging, optogenetic interrogation, genetic manipulation, laser
ablation, and electrophysiology. We found that a disinhibitory circuit and a
stimulatory circuit together promote initiation of backward movement and that
circuitry dynamics is differentially regulated by sensory cues. Both circuits
require glutamatergic transmission but depend on distinct glutamate receptors.
This dual mode of motor initiation control is found in mammals, suggesting that
distantly related organisms with anatomically distinct nervous systems may adopt
similar strategies for motor control. Additionally, our studies illustrate how a
multidisciplinary approach facilitates dissection of circuit and synaptic
mechanisms underlying behavior in a genetic model organism.
PMID- 22078888
TI - A mechanism for the evolution of phosphorylation sites.
AB - Protein phosphorylation provides a mechanism for the rapid, reversible control of
protein function. Phosphorylation adds negative charge to amino acid side chains,
and negatively charged amino acids (Asp/Glu) can sometimes mimic the
phosphorylated state of a protein. Using a comparative genomics approach, we show
that nature also employs this trick in reverse by evolving serine, threonine, and
tyrosine phosphorylation sites from Asp/Glu residues. Structures of three
proteins where phosphosites evolved from acidic residues (DNA topoisomerase II,
enolase, and C-Raf) show that the relevant acidic residues are present in salt
bridges with conserved basic residues, and that phosphorylation has the potential
to conditionally restore the salt bridges. The evolution of phosphorylation sites
from glutamate and aspartate provides a rationale for why phosphorylation
sometimes activates proteins, and helps explain the origins of this important and
complex process.
PMID- 22078889
TI - SnapShot: Mitochondrial quality control.
PMID- 22078890
TI - Coffers brimming, ethically bankrupt.
PMID- 22078894
TI - [36th Congress of the French Maxillo-Facial Association (AFCMF), La Pedrera,
Barcelona, Spain].
PMID- 22078891
TI - Successful treatment of activated occult hepatitis B in a non-responder chronic
hepatitis C patient.
AB - We reported a 23 years old male with chronic hepatitis C virus infection,
discontinued from pegylated interferon/ribavirin combination therapy due to a
lack of early virological response. He has developed activation of occult
hepatitis B virus that was successfully treated by a one year of lamivudine
therapy.
PMID- 22078895
TI - [Stability of Le Fort I impaction osteotomies].
AB - INTRODUCTION: Long-term results of Le Fort I osteotomy with vertical impaction
for maxillary vertical excess may be not stable. We had for aim to analyze
postoperative maxillary and dental displacement after a Le Fort I vertical
impaction osteotomy, to identify causes of relapse. PATIENTS AND METHODS: A
clinical and radiological evaluation was made on postoperative occlusion (early
and late). The position of three bone (O: lower orbit; P: greatest palatine
convexity; T: lowest part of the mandibular foramen) and of three dental
landmarks (I: occlusal edge of the upper incisor; i: occlusal edge of the lower
incisor; m: first molar distal vestibular cuspid) was measured in a standardized
method on pre-surgical, early and late (1 to 2.5 years) postoperative
cephalometric X rays. Eighteen patients were operated by the same surgeon for
maxillary anterior vertical excess and underwent Le Fort I impaction osteotomy,
alone or associated with a mandibular osteotomy. Stability was defined by a
postoperatory displacement smaller than 1mm. RESULTS: All patients had stable
bone landmarks. Three patients had unstable dental landmarks due to relapse.
DISCUSSION: Impaction maxillary osteotomy provides stable bone results for
maxillary facial height excess. Unsatisfactory outcome is always due to
postoperative dental and alveolar displacement. These results correlate to
published data.
PMID- 22078896
TI - [A giant radiolucent maxillary lesion].
PMID- 22078897
TI - [Identity and facial graft].
PMID- 22078898
TI - [Maxillary sinus septa. Prevalence and anatomy].
AB - BACKGROUND: Maxillary sinus septa may complicate sinus elevation procedures,
especially when they are not diagnosed prior to surgery. The authors had for aim
to review published data, to analyze the etiology, the prevalence, the
localization, and the size of maxillary sinus septa, and to determine what were
the best preoperative radiological examinations. PATIENTS AND METHODS: The
Medline search was made with keywords such as "maxillary sinus anatomy, maxillary
sinus augmentation, maxillary sinus septa, sinus graft/complications, dental
implants". The search was limited to studies published in English from 1980 to
January 2009. RESULTS: Twenty-two articles were analyzed. The prevalence of
maxillary sinus septa ranged between 14.3% and 33.3%. There was no specific
geographic distribution within the sinuses. The mean heights of septa ranged
between 2.8 and 8.1 mm. DISCUSSION: It is recommended to systematically use
preoperative CT or CBCT scan imaging because of the prevalence, the variable
anatomy, and the bad contribution of conventional X-rays.
PMID- 22078899
TI - [Severe vascular complications of Le Fort I osteotomy].
AB - INTRODUCTION: Le Fort I osteotomy is a common orthognathic procedure. This
surgery presents risk of severe vascular complications because of local anatomy.
The aim of our study was to collect data on vascular complications of Le Fort I
osteotomies performed in our department, describe the diagnostic and therapeutic
aspects, and discuss prevention. PATIENTS AND METHODS: A retrospective analysis
was made on the files of patient having undergone Le Fort 1 osteotomy, between
1998 and 2007. Severe vascular complications were recorded, defined as
postoperative hemorrhagic or ischemic complications severe enough to require a
specific procedure. RESULTS: Nine hundred and sixteen patient files were included
(39% male and 61% female patients, mean-age: 24.42 years; range: 13 to 59 years).
Five patients presented with severe hemorrhagic complication. There was no
ischemic complication. Three hemorrhagic episodes occurred in the immediate
postoperative phase. In two cases, delayed hemorrhagic complication occurred,
diagnosed as a pseudo-aneurysm by angiography. These were treated by
hyperselective embolization. DISCUSSION: Vascular complications of Le Fort I
osteotomies are rare (0.55% in our series). They are most frequently hemorrhagic
complications.
PMID- 22078900
TI - Weighing in on risk factors for body dissatisfaction: a one-year prospective
study of middle-adolescent girls.
AB - Body dissatisfaction is a common problem among adolescent girls that is linked to
serious outcomes, including the development of eating disorders. This study
tested to what degree five theorized risk factors (weight-related teasing, thin
ideal internalization, body mass index [BMI], self-esteem, and perfectionism)
predicted prospective changes in body dissatisfaction. At baseline, 393 10th and
11th grade girls (M=15.8 years) completed questionnaires and had their height and
weight measured. One year later, 316 participants' body dissatisfaction was
reassessed (80.4% retention). Results suggested that self-esteem was the most
potent risk factor, followed by BMI, when used to categorize girls into high- and
low-risk groups for body dissatisfaction at follow-up. However, weight-related
teasing, thin-ideal internalization, and perfectionism did not prove to be risk
factors. These results suggest self-esteem and BMI are relevant variables for
helping to identify middle-adolescent girls who may be at risk for subsequent
increases in body dissatisfaction.
PMID- 22078901
TI - Choice of analytic approach for eye-specific outcomes: one eye or two?
AB - PURPOSE: To investigate the use of analytic approaches for eye-specific outcomes
in ophthalmology publications. DESIGN: A review of analytic approaches used in
original research articles published in ophthalmology journals. METHODS: All 161
research articles published in 5 ophthalmology journals in the first 2 months of
2008 were considered. Publications were categorized according to analytic
approach: 1 eye selected, both eyes contribute, or per-individual outcome.
Studies were considered suboptimal when criteria for eye selection were not
provided or when measurements from both eyes were included without interocular
correlation being considered. Visual impairment prevalence data were used to
illustrate analytic approach choices. RESULTS: Measurements from both eyes were
included in 38% of the 112 studies that used statistical inferential techniques.
In 31 (74%), there was no mention of possible correlation. Only 7% used
statistical methods appropriate for correlated outcomes. In 35 studies (31%),
measurements from 1 eye were selected; 31% of these did not provide selection
criteria. In 67%, only univariate tests were used. A review of 47 articles
published in 2011 produced similar findings. Characteristics of studies were not
found to differ according whether the studies were suboptimal. Using a test
appropriate for correlated outcomes resulted in a P value 3.5 times that obtained
ignoring the correlation. CONCLUSIONS: Between-eye correlation seems not to be
assessed commonly in ophthalmology publications, although its knowledge aids the
choice of analytic approach when eye-specific variables are of interest.
Statistical methods appropriate for correlated ocular outcome data are not being
applied widely.
PMID- 22078902
TI - Combined intravitreal ranibizumab and photodynamic therapy for retinal
angiomatous proliferation.
AB - PURPOSE: To clarify the efficacy of combined therapy with intravitreal
ranibizumab injections and photodynamic therapy (PDT) in patients with
symptomatic retinal angiomatous proliferation. DESIGN: Retrospective,
interventional, consecutive case series. METHODS: We retrospectively reviewed 20
treatment-naive eyes of 16 patients (8 men, 8 women; age range, 79 to 92 years;
mean age, 84.8 years) treated with 3 consecutive monthly intravitreal injections
of ranibizumab (0.5 mg/0.05 mL) and PDT and followed up for at least 12 months.
PDT was applied 1 or 2 days after the initial injection. Retreatment was
performed as a combined therapy of a single intravitreal ranibizumab injection
and PDT. RESULTS: The mean best-corrected visual acuity (BCVA) levels
significantly improved from 0.24 at baseline to 0.43 at 12 months (P < .001). The
mean improvement in BCVA at 12 months from baseline was 2.51 lines. The BCVA at
12 months improved in 10 eyes (improved by 3 lines or more) and was stable
(defined as a loss of less than 3 lines of vision) in 10 eyes. No patient had a
decrease in the BCVA of 3 lines or more during any 12 months. The central retinal
thickness decreased significantly from 444 MUm at baseline to 143 MUm at 12
months (P < .0001). Complete occlusion of the retinal-retinal anastomosis was
achieved in 17 of the 19 eyes at 12 months. The mean numbers of PDT treatments
and injections during 12 months, including the treatments in the initial regimen,
were 1.8 and 3.8, respectively. No complications or systemic adverse events
developed. CONCLUSIONS: Combined intravitreal ranibizumab and PDT for patients
with retinal angiomatous proliferation effectively maintained or improved visual
acuity and reduced the exudation without adverse events.
PMID- 22078903
TI - Molecular characterization of drug-resistant and -susceptible Mycobacterium
tuberculosis isolated from patients with tuberculosis in Korea.
AB - We investigated the causal relationship between genotype and phenotype of drug
resistant Mycobacterium tuberculosis isolates obtained from patients with
pulmonary tuberculosis (TB) in Korea. Of 80 isolates tested, 17, 20, 1, and 7
isolates were mono-resistant to ethambutol (EMB), isoniazid (INH), pyrazinamide
(PZA), and rifampicin (RFP), respectively, and 31 isolates (38.8%) were multidrug
resistant (MDR). Sequencing analysis showed that 78% (32/41) of RFP-resistant
strains had mutations in the rifampicin resistance-determining region (RRDR) of
rpoB, and the mutation at rpoB531 (59.4%) was most abundant. In 52 INH-resistant
strains, mutations were found mostly at C-15T (n = 21, 40.4%) in the inhA
promoter region as well as at katG315 (n = 12, 23.1%). Mutations at embB306 were
mostly found in 26.7% (12/45) of EMB-resistant isolates. New mutations found here
in MDR isolates include rpoB523 (Gly523Glu) and embB319 (Tyr319Ser).
Consequently, mutations in the rpoB531, C-15T in the inhA promoter region,
embB306, and katG315 would be a useful marker for rapid detection of MDR M.
tuberculosis isolates in Korea.
PMID- 22078904
TI - Detection and discrimination of Mycobacterium tuberculosis complex.
AB - A real-time quantitative polymerase chain reaction (qPCR) was developed for
detection and discrimination of Mycobacterium tuberculosis (H37Rv and H37Ra) and
M. bovis bacillus Calmette-Guerin (BCG) of the Mycobacterium tuberculosis complex
(MTBC) from mycobacterial other than tuberculosis (MOTT). It was based on the
melting curve (Tm) analysis of the gyrB gene using SYBR((r)) Green I detection
dye and the LightCycler 1.5 system. The optimal conditions for the assay were
0.25 MUmol/L of primers with 3.1 mmol/L of MgCl(2) and 45 cycles of
amplification. For M. tuberculosis (H37Rv and H37Ra) and M. bovis BCG of the
MTBC, we detected the crossing points (Cp) at cycles of 16.96 +/- 0.07, 18.02 +/-
0.14, and 18.62 +/- 0.09, respectively, while the Tm values were 90.19 +/- 0.06
degrees C, 90.27 +/- 0.09 degrees C, and 89.81 +/- 0.04 degrees C,
respectively. The assay was sensitive and rapid with a detection limit of 10 pg
of the DNA template within 35 min. In this study, the Tm analysis of the qPCR
assay was applied for the detection and discrimination of MTBC from MOTT.
PMID- 22078905
TI - Detection and quantification of the K103N mutation in HIV reverse transcriptase
by pyrosequencing.
AB - Prolonged treatment of human immunodeficiency virus (HIV)-infected patients with
nonnucleoside reverse transcriptase inhibitors (NNRTIs) might result in the
selection of resistant mutants, the most frequent being the K103N mutation in
reverse transcriptase. Resistance mutations are routinely detected by Sanger
sequencing of the whole viral population, which does not detect sequence variants
with frequencies below 20%. We have developed a pyrosequencing approach for the
analysis of codon 103 of the HIV reverse transcriptase gene in the circulating
viral population that detects variants below the limit of conventional
sequencing. The method was tested with samples from 5 controls (not exposed to
NNRTIs), 6 from patients exposed to NNRTIs and having a K103N mutant virus
population detected by conventional sequencing, and 9 from patients previously
exposed to NNRTIs that had a wild-type virus population by conventional
sequencing. In 7 of 9, samples the mutation could not be detected by either the
standard assay or pyrosequencing, while in 2 samples persistence of the mutation
could be detected by pyrosequencing. The method might be of practical use in
detecting minority variants of HIV in the clinical setting, in epidemiological
studies with large numbers of samples, or as a complement to more complex
approaches.
PMID- 22078906
TI - Emergence in Japan of an imipenem-susceptible, meropenem-resistant Klebsiella
pneumoniae carrying blaIMP-6.
AB - We identified 5 Klebsiella pneumoniae isolates showing high resistance to beta
lactams except imipenem and designated them ISMRK (imipenem-susceptible but
meropenem-resistant Klebsiella). They carried the bla(IMP-6) and bla(CTX-M-2) on
a self-transmissible plasmid. ISMRK may be falsely categorized as susceptible to
carbapenems if imipenem is used to screen carbapenem resistance.
PMID- 22078907
TI - Molecular characterization of group G Streptococcus dysgalactiae subsp.
equisimilis recovered from patients and healthy people in China.
AB - Beta-Hemolytic group G streptococci cause a considerable invasive disease burden
and sometimes disease outbreaks. Little is known about the critical epidemiologic
parameter of genetic relatedness between isolates. We determined the emm types of
65 Streptococcus dysgalactiae subsp. equisimilis isolates. We formulated
multilocus sequence typing (MLST) primers with 6 of the 7 loci corresponding to
the Streptococcus pyogenes MLST scheme. We performed MLST with 69 S. dysgalactiae
subsp. equisimilis isolates to represent each emm type identified. These strains
were further analyzed by pulsed-field gel electrophoresis (PFGE) typing. Sixteen
emm types were observed. Eighteen unique combinations of allelic profiles
(sequence types [STs]) were obtained with 12 profiles each accounting for
multiple isolates. Forty-one MLST STs were observed. Analysis of the PFGE
patterns generated revealed 10 clones. Over 80% of the isolates were distributed
in 3 large clones. Isolates within 16 redundantly represented S. dysgalactiae
subsp. equisimilis emm types shared identical or nearly identical STs and
subtypes of PFGE, demonstrating concordance between the emm type and genetic
relatedness. It is conceivable that some particular characteristics in the
genomes of these strains are responsible for their predominance in different
regions.
PMID- 22078908
TI - Molecular diagnosis and species identification of imported malaria in returning
travellers in Italy.
AB - A new seminested polymerase chain reaction (sn-PCR)-based protocol was developed
and used to detect and identify Plasmodium species in 1226 whole-blood samples
from patients (872 Italians and 354 foreigners) with at least 1 symptom
compatible with clinical malaria. The results were compared with those obtained
by microscopy: 187 samples were positive by microscopy for malaria parasites and
196 were positive by sn-PCR. When compared to microscopy, the sn-PCR detected
different malaria parasite species in 11 cases. In 4 of 11 cases, the sn-PCR
identified 1 additional malaria parasite species not observed microscopically,
suggesting increased sensitivity. In 4 samples with levels of parasitemia too low
for accurate identification of species by microscopy, the sn-PCR detected 2 P.
falciparum, 1 P. ovale, and 1 P. falciparum plus P. ovale. Moreover, 9 negative
samples by microscopy were positive by sn-PCR. Follow-up analysis demonstrated a
parasite clearance of P. falciparum DNA up to 3 days after the disappearance of
parasitemia at microscopy. In conclusion, sn-PCR-based diagnosis of malaria
appears to be a useful tool when the results of conventional techniques are
negative in the presence of a syndrome consistent with malaria, yielding accurate
species identification and consequential correct treatment.
PMID- 22078909
TI - Telavancin activity tested against a contemporary collection of Gram-positive
pathogens from USA Hospitals (2007-2009).
AB - This study updates the activity of telavancin against Gram-positive pathogens
collected from USA hospitals (2007-2009). Telavancin (MIC(50/90), 0.12/0.25
MUg/mL) was active against coagulase-negative staphylococci and methicillin
resistant Staphylococcus aureus (100% susceptible), for which only daptomycin
(MIC(50/90), 0.25/0.5 MUg/mL; 99% susceptible) and quinupristin/dalfopristin
(MIC(50/90), <= 0.25-0.5/0.5 MUg/mL; 99% susceptible) exhibited similar activity.
Telavancin (MIC(50/90), 0.25/0.5 MUg/mL) inhibited 96.5% of Enterococcus faecalis
at the Food and Drug Administration breakpoint (MIC, <= 1 MUg/mL), where
ampicillin (99.9% susceptible), daptomycin (99.9% susceptible), and linezolid
(100% susceptible) also demonstrated high-level coverage. Telavancin inhibited,
respectively, 100.0% and 91.7% of VanB-phenotype E. faecalis and E. faecium at <=
1 MUg/mL, whereas it was less active against VanA strains. Telavancin was
uniformly active against Streptococcus pneumoniae and resistant subsets, and
demonstrated good potency (MIC(90), 0.06-0.12 MUg/mL) against other streptococci,
regardless of resistance to other drugs. This assessment reveals potent activity
of telavancin against Gram-positive isolates collected from USA hospitals with no
evidence of emergence of resistance.
PMID- 22078910
TI - Pharmacology of commonly used analgesics and sedatives in the ICU:
benzodiazepines, propofol, and opioids.
AB - The ideal sedative or analgesic agent should have a rapid onset of activity, a
rapid recovery after drug discontinuation, a predictable dose response, a lack of
drug accumulation,and no toxicity. Unfortunately, none of the earlier analgesics,
the benzodiazepines,or propofol share all of these characteristics. Patients who
are critically ill experience numerous physiologic derangements and commonly
require high doses and long durations of analgesic and sedative therapy. There is
a paucity of well designed clinical trials evaluating the safety and efficacy of
earlier sedative and analgesic agents in the ICU. In addition, the ever-changing
dynamics of patients who are critically ill makes the use of sedation a continual
challenge during the course of each patient's admission. To optimize care,
clinicians should be familiar with the many pharmacokinetic, pharmacodynamic, and
pharmacogenetic variables that can affect the safety and efficacy of sedatives
and analgesics.
PMID- 22078911
TI - Pharmacology of sedative-analgesic agents: dexmedetomidine, remifentanil,
ketamine, volatile anesthetics, and the role of peripheral Mu antagonists.
AB - In this article, the authors discuss the pharmacology of sedative-analgesic
agents like dexmedetomidine, remifentanil, ketamine, and volatile anesthetics.
Dexmedetomidine is a highly selective alpha-2 agonist that provides anxiolysis
and cooperative sedation without respiratory depression. It has organ protective
effects against ischemic and hypoxic injury, including cardioprotection,
neuroprotection, and renoprotection. Remifentanil is an ultra-short-acting opioid
that acts as a mu-receptor agonist. Ketamine is a nonbarbiturate phencyclidine
derivative and provides analgesia and apparent anesthesia with relative
hemodynamic stability. Volatile anesthetics such as isoflurane, sevoflurane, and
desflurane are in daily use in the operating room in the delivery of general
anesthesia. A major advantage of these halogenated ethers is their quick onset,
quick offset, and ease of titration in rendering the patient unconscious,
immobile, and amnestic.
PMID- 22078912
TI - Current sedation practices: lessons learned from international surveys.
AB - Limitations are inherent to surveys. Most surveys have low response rates, which
raises the issue of responder bias. Another limitation of self-report surveys
stems from the possible differences between stated and actual practice. That is,
what physicians report that they do in surveys often contrasts significantly with
what they do in observational studies, as highlighted by the Canadian surveys
conducted in 2002 and 2008. Some surveys report estimates provided by ICU nurse
managers or physician directors, potentially resulting in inaccurate estimates or
data reflecting the individuals practice rather than the entire ICU. Surveys may
not reflect how different specialists practice; for example, the German surveys
collected data only in ICUs run by anesthesiologists.Notwithstanding these
limitations, surveys provide a wealth of information on current practice and
determinants of practice, and serve as a useful tool to guide future research and
educational interventions. The authors identified substantial international
variation in the use of sedative and analgesic drugs, and marked changes over the
last 10 years. Overall, there is a trend toward lighter sedation, along with a
shift from benzodiazepines toward propofol, and from morphine toward fentanyl and
remifentanil. Despite the publication of numerous studies and guidelines for
sedation and analgesia, actual practice differs from recommended practice,
suggesting that the impact of clinical trials and guidelines on physician
practice is quite low. It is clear that there remain substantial barriers to the
incorporation of sedation scales, protocols,and daily interruption into routine
ICU care.
PMID- 22078913
TI - Protocolized and target-based sedation and analgesia in the ICU.
AB - Protocolized target-based sedation and analgesia is central to effective
management of sedation. Important components include identifying goals and
specific targets,using valid and reliable tools to measure pain, agitation, and
sedation, and titrating a logically selected combination of sedatives and
analgesics to defined end-points.A variety of approaches to structured management
have been tested in controlled trials with major categories of (1) sedation
algorithms and protocols and (2) daily interruption of sedation. Although not all
studies that compare new interventions to "usual care" document dramatic
improvements, many studies show that by reducing oversedation, using a structured
approach, faster recovery from respiratory failure may ensue. The somewhat
discrepant results illustrate, however, that various approaches,such as DIS, may
not be optimal for all patients. Further research will be necessary to define
these patients and examine alternative strategies. Finally, implementation of
structured approaches to sedation management is a challenging, time-consuming
process for clinicians that must be supported with sufficient resources to be
successful.
PMID- 22078914
TI - Sedation and weaning from mechanical ventilation: linking spontaneous awakening
trials and spontaneous breathing trials to improve patient outcomes.
AB - The use of sedation has long been integrated into critical care. Because pain,
discomfort, anxiety, and agitation are commonly experienced by critically ill
patients, the use of medications to alleviate and control these symptoms will
continue; however, data showing that prolonged use of sedating medications
imparts harm to patients obligate physicians to use agents and methods of
sedation that minimize these negative side effects. Numerous observational
studies and clinical trials have proven that decisions in sedation management
play a crucial role in determining outcomes for mechanically ventilated ICU
patients, and recent evidence supports the use of protocols that streamline
efforts to discontinue sedation and mechanical ventilation in a safe and parallel
fashion. Regardless of choice of sedating agent, and even when patient-targeted
sedation protocols are used to minimize oversedation, the use of spontaneous
awakening trials dramatically improves patient outcomes for critically ill
patients. Intensive care physicians must continue to study the delivery of
sedation in efforts to maximize patient comfort while minimizing patient harm.
PMID- 22078915
TI - Altering intensive care sedation paradigms to improve patient outcomes.
AB - Providing sedation and comfort for intensive care patients has evolved in the
last 30 years but remains difficult for clinicians. As research has focused on
this challenging area, the authors have identified ways to improve practice,
including providing analgesia before sedation, strategies to help recognize
dangerous adverse effects associated with the medications that are used, and
better ways to monitor pain and delirium in patients. Dexmedetomidine and
propofol have become the preferred sedatives for many ICU situations, and
creative ways to administer them, such as linking awakening and breathing trials,
are emerging. Finally, screening survivors for cognitive impairments may allow
clinicians to refer them for the focused rehabilitation they require.
PMID- 22078916
TI - Sedation and sleep disturbances in the ICU.
AB - Sedation in the ICU is, paradoxically, both a cause and a potential treatment for
the sleep disruption almost universally observed in the critically ill. A patient
focused sedation strategy that minimizes unnecessary medication, avoids
medication withdrawal, addresses the specific impediments to sleep, and serves as
an adjunct to attentive environmental control may ultimately serve patients best.
PMID- 22078917
TI - Sedation & immunomodulation.
AB - As the armamentarium for sedation in the critically ill expands, opportunities
will develop to modulate the immune responses of patients by way of the direct
immune and neural-immune interactions of the sedatives. Control of autonomic
activity through the use of appropriate sedation may be critical in this matter.
Likewise analgesic-based sedation, with increased opioid dosage, may not prove
beneficial in the setting of infection; whether avoidance of morphine in
preference for a fentanyl derivative will help is unclear. However, as the immune
effects seem dependent on the m receptor, it is improbable that a significant
difference would be uncovered. Similarly, the present evidence suggests
benzodiazepines are deleterious in infection; further studies are required
urgently to evaluate this evidence. As an alternative to benzodiazepine-based
sedation, dexmedetomidine has shown a remarkable 70% mortality benefit in a small
secondary analysis of septic patients from the MENDS trial. Further powered
clinical studies should now be undertaken to investigate the potential benefit of
the alpha2-adrenoceptor agonist in this setting, with comparisons with propofol.
PMID- 22078918
TI - Pharmacoeconomics of sedation in the ICU.
AB - Despite considerable information on the pharmacotherapy of sedation in the ICU,
there is little published on the pharmacoeconomics of sedation in patients who
are critically ill. The purpose of this article is to discuss the various
components that contribute to the cost of treating the agitated ICU patient and
to critically review the articles published since 2000 that evaluated costs and
cost-effectiveness in ICU patients receiving drugs for agitation and/or pain.
Clinicians should look beyond the acquisition cost of a sedative and include the
effect of sedatives on the cost of care when selecting the most appropriate
sedative.
PMID- 22078919
TI - Delirium prevention and treatment.
AB - Little is known of nonpharmacologic and pharmacologic delirium prevention and
treatment in the critical care setting. Trials emphasizing early mobilization
suggest that this nonpharmacologic approach is associated with an improvement in
delirium incidence. Titration and reduction of opiate analgesics and sedatives
may improve subsyndromal delirium rates. All critical care caregivers should
rigorously screen for alcohol abuse, apply alcohol withdrawal scales in alcoholic
patients, and titrate sedative drugs accordingly. No nonpharmacologic approach or
drug has been shown to be beneficial once delirium is established. Considering
the importance and the consequences of delirium in the critical care setting,
studies to further address prevention and rigorous trials addressing
pharmacologic intervention are urgently needed.
PMID- 22078920
TI - Delirium: an emerging frontier in the management of critically ill children.
AB - Delirium is a syndrome of acute brain dysfunction that commonly occurs in
critically ill adults and most certainly is prevalent in critically ill children
all over the world. The dearth of information about the incidence, prevalence,
and severity of pediatric delirium stems from the simple fact that there have not
been well-validated instruments for routine delirium diagnosis at the bedside.
This article reviewed the emerging solutions to this problem, including
description of a new pediatric tool called the pCAM-ICU. In adults, delirium is
responsible for significant increases in both morbidity and mortality in
critically ill patients. The advent of new tools for use in critically ill
children will allow the epidemiology of this form of acute brain dysfunction to
be studied adequately, will allow clinical management algorithms to be developed
and implemented following testing, and will present the necessary incorporation
of delirium as an outcome measure for future clinical trials in pediatric
critical care medicine.
PMID- 22078921
TI - Cognitive functioning, mental health, and quality of life in ICU survivors: an
overview.
AB - The significant and sometimes permanent effects of critical illness on wide
ranging aspects of functioning are increasingly recognized. Among the areas
affected are acute and long-term cognitive functioning, depression, anxiety,
PTSD, and quality of life. These and other areas are increasingly being studied
and indeed are increasingly the focus of clinical attention and investigations.
These conditions have been a focus of attention for more than a dozen years, with
much improvement occurring in the ability to characterize these phenomena. For
instance, in intervening years, it has been learned that cognitive impairment is
highly prevalent and functionally disruptive and that it occurs in wide-ranging
domains. Key questions remain unanswered with regard to vital questions such as
determining causes, risk factors, and mechanisms as well as the degree to which
brain injuries associated with critical illness are amenable to rehabilitation.
Little remains known about the effects of critical illness on elderly ICU cohorts
and on the neurologic functioning of individuals with preexisting impairment
versus those who are normal. Few data exist regarding the development of
strategies designed to prevent the emergence of neuropsychological deficits after
critical illness. Although great progress has been made and is ongoing, a
pressing need exists for additional investigation of cognitive impairment and
other conditions,such as PTSD and quality of life after critical illness, that
will seek to untangle the many pertinent questions related to this condition and
that will ultimately offer help and hope to the thousands of survivors affected
by this condition.
PMID- 22078923
TI - Sedation and analgesia in the ICU: pharmacology, protocolization, and clinical
consequences. Preface.
PMID- 22078924
TI - Surface charging and dimensions of chitosan coacervated nanoparticles.
AB - Chitosan nanoparticles have been used in several systems destined to controlled
release of active agents. In this manuscript the process of formation of chitosan
nanoparticles, obtained employing the coacervation method with sodium sulfate is
analyzed using zeta potential and small angle X-ray scattering (SAXS)
measurements. Dispersions were obtained at pH=1 and pH=3 and presented a
behavior, in terms of surface charging, that was independent of pH. However, SAXS
results indicated a dependence of size-related behavior on pH. The difference in
terms of behavior was explained through the influence of enthalpic and
entropically driven components.
PMID- 22078925
TI - Polyelectrolyte multilayer capsules with quantum dots for biomedical
applications.
AB - The aim of this work was to encapsulate the CdTe quantum dots within the
nanocapsules that were prepared by the layer-by-layer adsorption of
polyelectrolytes. Two different polyelectrolyte pairs were used as components of
the shell: synthetic polycation poly(allyamine hydrochloride) (PAH), together
with anionic poly(sodium styrene sulfonate) (PSS), and biocompatible cationic
poly-L-lysine hydrobromide in a pair with biocompatible anionic poly-D-glutamic
acid sodium salt (PGA). The saturation method was used for formation of
consecutive layers on the initial CdTe-polyelectrolyte complex. A growth of the
polyelectrolyte shell was followed with the electrophoretic mobility and light
scattering measurements, in order to determine the zeta potential and the size of
capsules, respectively. The fluorescent spectra of the quantum dots, which are
embedded within the capsules, were characterized with spectrofluorimeter. Later
on, they were deposited on a negatively charged mica surface and studied by the
means of atomic force microscopy (AFM). In order to estimate the cytotoxicity of
capsules, their influence on the B-lymphoblastoid cell line proliferation and on
unspecific binding to the P-blood mononuclear cells was examined using the flow
cytometry.
PMID- 22078926
TI - The phenotypic response of bovine corneal endothelial cells on
chitosan/polycaprolactone blends.
AB - Although various behaviors of corneal endothelial cells (CECs) have been
investigated, the interaction of CECs with different biodegradable biomaterials
has not been systematically well explored. Thus, two common biodegradable
biomaterials with dissimilar characteristics, chitosan and polycaprolactone
(PCL), were examined in bovine CEC (BCEC) culture systems to elucidate their
possible impact on clinical demand and scientific interest. The interaction
between cells and matrices was also surveyed. Pure PCL could not be used for
observation because of its opacity. Nevertheless, BCECs did not adhere and
proliferate well on chitosan. To overcome this drawback, we developed blends
using various proportions of chitosan and PCL: PCL 25, PCL 50, and PCL 75. As the
content of PCL increased in the blends, BCECs showed greater degrees of adhesion
and proliferation. Furthermore, cells reached confluence and maintained their
typical hexagonal shape at day 7 on blends PCL 50 and PCL 75. In addition, when
BCECs were cultured on the blends, the expressions of the differentiation marker
N-cadherin and tight junction marker ZO-1 were well developed, resembling the
physiological phenotypes. A possible explanation for the increased proliferation
and preservation of BCECs on the blends is that blending chitosan and PCL could
create a bioactive substratum. This method could regulate gene expression to
synthesize more extracellular matrix type IV collagen, paving an important way to
provide a favorable environment for BCEC cultures. Accordingly, promoting CEC
growth effects by blending may be applied to the tissue engineering of corneal
endothelium.
PMID- 22078928
TI - Synthesis of glucose-responsive bioconjugated gel particles using surfactant-free
emulsion polymerization.
AB - Bioconjugated gel particles that have complexes composed of lectin concanavalin A
(ConA) and 2-glucosyloxyethyl methacrylate (GEMA) were synthesized by the
surfactant-free emulsion copolymerization of N,N-diethylaminoethyl methacrylate
(DEAEMA), poly(ethylene glycol) dimethacrylate (PEGDMA), GEMA, and modified-ConA
with polymerizable groups. The resultant gel particles having GEMA-ConA complexes
(GEMA-ConA gel particles) were colloidally stable in a phosphate buffer solution
and had a diameter of approximately 750nm. Fourier transform infrared
spectroscopy (FTIR) and X-ray photoelectron spectroscopy (XPS) measurements
implied that GEMA-ConA gel particles have core-shell structures consisting of a
hydrophobic core of DEAEMA and a hydrophilic shell of GEMA and PEGDMA containing
ConA. GEMA-ConA gel particles underwent a change in size in response to glucose
in a phosphate buffer solution. The swelling ratio of GEMA-ConA gel particles
gradually increased with an increase in the glucose concentration. On the other
hand, the swelling ratio of GEMA-ConA gel particles remained unchanged in a
phosphate buffer solution containing galactose. The glucose-responsive swelling
of GEMA-ConA gel particles was induced by the dissociation of GEMA-ConA complexes
acting as reversible cross-links, because free glucose behaved as an inhibitor of
GEMA-ConA complexes. These results indicate that GEMA-ConA gel particles can
recognize glucose selectively and undergo changes in size in response to the
glucose concentration. The smart functions of glucose-responsive gel particles
can provide tools for constructing self-regulated drug delivery systems and
sensor systems useful for treating diabetes.
PMID- 22078927
TI - Cancer nanomedicines targeting tumor extracellular pH.
AB - Tumors have been a highlight in the research of nanomedicine for decades. Despite
all the efforts in the decoration of the nano systems, tumor specific targeting
is still an issue due to the heterogeneous nature of tumors. Hypoxia is
frequently observed in solid tumors. The consequent acidification of tumor
extracellular matrices may bring new insight to tumor targeting. In this review,
we present the polymeric nano systems that target tumor extracellular pH (pH(e)).
PMID- 22078929
TI - Perceptual consciousness overflows cognitive access.
AB - One of the most important issues concerning the foundations of conscious
perception centers on the question of whether perceptual consciousness is rich or
sparse. The overflow argument uses a form of 'iconic memory' to argue that
perceptual consciousness is richer (i.e., has a higher capacity) than cognitive
access: when observing a complex scene we are conscious of more than we can
report or think about. Recently, the overflow argument has been challenged both
empirically and conceptually. This paper reviews the controversy, arguing that
proponents of sparse perception are committed to the postulation of (i) a
peculiar kind of generic conscious representation that has no independent
rationale and (ii) an unmotivated form of unconscious representation that in some
cases conflicts with what we know about unconscious representation.
PMID- 22078930
TI - Cortical electrophysiological network dynamics of feedback learning.
AB - Understanding the neurophysiological mechanisms of learning is important for both
fundamental and clinical neuroscience. We present a neurophysiologically inspired
framework for understanding cortical mechanisms of feedback-guided learning. This
framework is based on dynamic changes in systems-level oscillatory
synchronization, reflecting changes in synaptic plasticity between stimulus
processing and motor areas that are modulated in a top-down fashion by different
areas of the prefrontal cortex. We make new and testable predictions for how
large-scale cortical networks support learning from feedback. Testing these
predictions may provide new insights into the basic mechanisms underlying
learning and how these mechanisms may be impaired in clinical disorders in which
feedback learning is compromised.
PMID- 22078931
TI - Psychobiological allostasis: resistance, resilience and vulnerability.
AB - The brain and body need to adapt constantly to changing social and physical
environments. A key mechanism for this adaptation is the 'stress response', which
is necessary and not negative in and of itself. The term 'stress', however, is
ambiguous and has acquired negative connotations. We argue that the concept of
allostasis can be used instead to describe the mechanisms employed to achieve
stability of homeostatic systems through active intervention (adaptive
plasticity). In the context of allostasis, resilience denotes the ability of an
organism to respond to stressors in the environment by means of the appropriate
engagement and efficient termination of allostatic responses. In this review, we
discuss the neurobiological and organismal factors that modulate resilience, such
as growth factors, chaperone molecules and circadian rhythms, and highlight its
consequences for cognition and behavior.
PMID- 22078932
TI - Phase 2 trial of linifanib (ABT-869) in patients with advanced renal cell cancer
after sunitinib failure.
AB - PURPOSE: This study assessed the efficacy and safety of linifanib in patients
with advanced renal cell carcinoma (RCC) who were previously treated with
sunitinib. MATERIALS AND METHODS: This open-label, multicentre, phase 2 trial of
oral linifanib 0.25 mg/kg/day enrolled patients who had prior nephrectomy and
adequate organ function. The primary end-point was objective response rate (ORR)
per response evaluation criteria in solid tumors (RECIST) by central imaging.
Secondary end-points were progression-free survival (PFS), overall survival (OS)
and time to progression (TTP). Safety was also assessed. RESULTS: Fifty-three
patients, median age 61 years (range 40-80) were enrolled (August 2007 to October
2008) across 12 North-American centres. Median number of prior therapies was 2
(range 1-4); 43 patients (81%) had clear-cell histology. ORR was 13.2%, median
PFS was 5.4 months (95% Confidence Interval (CI): 3.6, 6.0) and TTP was the same;
median OS was 14.5 months (95% CI: 10.8, 24.1). The most common treatment-related
adverse events (AEs) were diarrhoea (74%), fatigue (74%) and hypertension (66%),
and the most common treatment-related Grade 3/4 AE was hypertension (40%).
CONCLUSIONS: Linifanib demonstrated clinically meaningful activity in patients
with advanced RCC after sunitinib failure. At 0.25 mg/kg/day, significant dose
modifications were required. An alternative, fixed-dosing strategy is being
evaluated in other trials.
PMID- 22078934
TI - Comment on: Outcome of laparoscopic adjustable gastric banding and the prevalence
of band revision and explantation at academic centers: 2007-2009.
PMID- 22078933
TI - Proatherogenic abnormalities of lipid metabolism in SirT1 transgenic mice are
mediated through Creb deacetylation.
AB - Dyslipidemia and atherosclerosis are associated with reduced insulin sensitivity
and diabetes, but the mechanism is unclear. Gain of function of the gene encoding
deacetylase SirT1 improves insulin sensitivity and could be expected to protect
against lipid abnormalities. Surprisingly, when transgenic mice overexpressing
SirT1 (SirBACO) are placed on atherogenic diet, they maintain better glucose
homeostasis, but develop worse lipid profiles and larger atherosclerotic lesions
than controls. We show that transcription factor cAMP response element binding
protein (Creb) is deacetylated in SirBACO mice. We identify Lys136 is a substrate
for SirT1-dependent deacetylation that affects Creb activity by preventing its
cAMP-dependent phosphorylation, leading to reduced expression of glucogenic genes
and promoting hepatic lipid accumulation and secretion. Expression of
constitutively acetylated Creb (K136Q) in SirBACO mice mimics Creb activation and
abolishes the dyslipidemic and insulin-sensitizing effects of SirT1 gain of
function. We propose that SirT1-dependent Creb deacetylation regulates the
balance between glucose and lipid metabolism, integrating fasting signals.
PMID- 22078935
TI - Interim results at 48 weeks of LAP-BAND AP experience (APEX) study: prospective,
multicenter, open-label longitudinal patient observational study.
AB - BACKGROUND: The development of laparoscopic adjustable gastric banding marked a
breakthrough in minimally invasive bariatric surgery. The unique features of
gastric banding, including device adjustability, lack of malabsorption, and easy
reversibility, have contributed to its widespread use. Since Food and Drug
Administration approval of the first laparoscopic adjustable gastric band, the
device design has undergone engineering improvements. The LAP-BAND AP (LBAP)
system received Food and Drug Administration approval in 2006. Little is known
about the safety and efficacy of this new system. Our objective was to
prospectively assess the efficacy and safety of the LBAP system in real-world
clinical settings at 50 clinical centers throughout the United States. METHODS:
In an open-label 5-year evaluation, 508 severely or morbidly obese patients from
50 centers in the United States underwent surgery using the LBAP system. The
present interim report describes the results from 323 patients after >= 48 weeks
of follow-up. RESULTS: By week 48, the patients had experienced a mean percentage
of excess weight loss of 46% and a mean +/- standard deviation reduction in the
body mass index of 8.4 +/- 3.69 kg/m(2). Sixteen patients (3.1%) experienced a
severe device- or procedure-related adverse event. There were no deaths.
CONCLUSION: These 48-week interim data demonstrate that the LBAP system offers a
safe and effective therapy to reduce weight in severely obese patients.
PMID- 22078936
TI - Combined group and individual model for postbariatric surgery follow-up care.
AB - BACKGROUND: The prevalence of bariatric surgery in the United States has
increased significantly during the past decade, increasing the number of patients
requiring postbariatric surgery follow-up care. Our objective was to develop and
implement an efficient, financially viable, postbariatric surgery practice model
that would be acceptable to patients. The setting was the Mayo Clinic (Rochester,
MN). METHODS: By monitoring the attendance rates and using patient surveys, we
tested patient acceptance of a new, shared medical appointment practice model in
the care of postbariatric surgery patients. Efficiency was assessed by comparing
differences in time per patient and total provider time required between the
former and new care models. Individual-only patient/provider visits were replaced
by combined group and individual visits (CGV). RESULTS: Our CGV model was well
attended and accepted. The patient attendance rate was >90% at all postoperative
follow-up points. Furthermore, 83%, 85.2%, and 75.7% of the 3-, 6-, and 12-month
postbariatric surgery patients, respectively, responded that they would not
prefer to have only individual visits with their healthcare providers. The CGV
model also resulted in greater time efficiency and cost reduction. On average, 5
patients were seen within 4.9 provider hours compared with 10.4 provider hours
with the individual-only patient/provider visit model. Furthermore, the average
billable charge for the CGV model's group medical nutrition therapy was 50-64%
less than the equivalent individual medical nutrition therapy used in the
individual-only patient/provider visit model. CONCLUSION: Shared medical
appointments have a valuable role in the care of the postbariatric surgery
population, offering a time- and cost-effective model for healthcare provision
that is well-accepted by patients.
PMID- 22078937
TI - Decreased insulin secretion in islets from protein malnourished rats is
associated with impaired glutamate dehydrogenase function: effect of leucine
supplementation.
AB - We herein studied the role of glutamate dehydrogenase (GDH), in response to
leucine (LEU) supplementation, upon insulin secretion of malnourished rats.
Weaned male Wistar rats were fed normal-protein (17%) or low-protein diet (6%,
LP) for 8 weeks. Half of the rats of each group were supplemented with LEU (1.5%)
in the drinking water for the following 4 weeks. Gene and protein expressions,
static insulin secretion, and cytoplasmic Ca(2+) oscillations were measured.
Glutamate dehydrogenase messenger RNA was 58% lower in LP islets, and LEU
supplementation augmented it in 28%. The LP islets secreted less insulin when
exposed to 20 mmol/L LEU, 20 mmol/L LEU + 2 mmol/L glutamine (with or without 5
mmol/L aminooxyacetic acid, a branched chain aminotransferase inhibitor, or 20
MUmol/L epigallocatechin gallate, a GDH inhibitor), 20 mmol/L alpha
ketoisocaproate, glutamine + 20 mmol/L beta-2-aminobicyclo[2.2.1]heptane-2
carboxylic acid (a GDH activator), and 22.2 mmol/L glucose. Leucine
supplementation augmented insulin secretion to levels found in normal-protein
islets in all the above conditions, an effect that was blunted when islets were
incubated with epigallocatechin gallate. The glutamine + beta-2
aminobicyclo[2.2.1]heptane-2-carboxylic acid-induced increased [Ca(2+)](i) and
oscillations were higher than those for LP islets. Leucine supplementation
normalized these parameters in LP islets. Impaired GDH function was associated
with lower insulin release in LP islets, and LEU supplementation normalized
insulin secretion via restoration of GDH function. In addition, GDH may
contribute to insulin secretion through ameliorations of Ca(2+) handling in LP
islets.
PMID- 22078938
TI - In mammalian muscle, SIRT3 is present in mitochondria and not in the nucleus; and
SIRT3 is upregulated by chronic muscle contraction in an adenosine monophosphate
activated protein kinase-independent manner.
AB - In selected cell lines, it appears (a) that metabolic stressors induce the
translocation of SIRT3 from the nucleus to mitochondria and (b) that SIRT3 may
contribute to the regulation of mitochondrial biogenesis and/or fatty acid
utilization. We have examined in mammalian muscle (1) the association between
SIRT3 protein content and muscle oxidative capacity and mitochondrial fatty acid
oxidation, (2) the subcellular location of SIRT3, (3) whether exercise induces
the translocation of SIRT3 from the nucleus to the mitochondria, and (4) the
response of SIRT3 protein to stressors known to induce mitochondrial biogenesis
(chronic muscle stimulation and 5-aminoimidazole-4-carboxamide-1-beta-d
ribofuranoside administration). SIRT3 protein displayed hierarchical expression
based on oxidative potential of muscle tissues (heart >> red >> white). In
contrast to studies in some cell lines, metabolic stress (exercise) did not
induce the translocation of SIRT3 from the nucleus to mitochondria, as SIRT3 was
only present in subsarcolemmal (SS) and intermyofibrillar (IMF) mitochondria, not
in the nucleus. Chronic stimulation increased muscle mitochondrial content and
SIRT3 protein in SS (+33%) and IMF (+27%) mitochondria (P < .05). In contrast,
chronic 5-aminoimidazole-4-carboxamide-1-beta-d-ribofuranoside administration,
while inducing mitochondrial biogenesis, did not alter SS or IMF mitochondrial
SIRT3 protein content. These studies have shown that, in muscle, SIRT3 (a) scales
with muscle oxidative capacity and with enzymes regulating fatty acid oxidation,
(b) in resting muscle is localized to SS and IMF mitochondria and not nuclei, (c)
in contracting muscle is not acutely translocated to mitochondria, and (d) is
upregulated with chronic stimulation in an adenosine monophosphate-activated
protein kinase-independent manner.
PMID- 22078939
TI - Ultrasound-aided resorbable osteosynthesis of fractures of the mandibular
condylar base: an experimental study in sheep.
AB - We evaluated the osteosynthesis of condylar fractures using resorbable mini
plates and ultrasound-aided insertion of pins clinically and histologically.
Stability was greater than that with resorbable screws because of the fusion of
pin and plate. Long term evaluation showed complete resorption of the polymeric
osteosynthesis material.
PMID- 22078940
TI - Transcriptional deregulation of homeobox gene ZHX2 in Hodgkin lymphoma.
AB - Recently, we identified a novel chromosomal rearrangement in Hodgkin lymphoma
(HL), t(4;8)(q27;q24), which targets homeobox gene ZHX2 at the recurrent
breakpoint 8q24. This aberration deletes the far upstream region of ZHX2 and
results in silenced transcription pinpointing loss of activatory elements. Here,
we have looked for potential binding sites within this deleted region to analyze
the transcriptional deregulation of this tumor suppressor gene in B-cell
malignancies. SiRNA-mediated knockdown and reporter gene analyses identified two
transcription factors, homeodomain protein MSX1 and bZIP protein XBP1, directly
regulating ZHX2 expression. Furthermore, MSX1-cofactor histone H1C mediated
repression of ZHX2 and showed enhanced expression levels in cell line L-1236. As
demonstrated by fluorescence in situ hybridization and genomic array analysis,
the gene loci of MSX1 at 4p16 and H1C at 6p22 were rearranged in several HL cell
lines, correlating with their altered expression activity. The expression of XBP1
was reduced in 6/7 HL cell lines as compared to primary hematopoietic cells.
Taken together, our results demonstrate multiple mechanisms decreasing expression
of tumor suppressor gene ZHX2 in HL cell lines: loss of enhancing binding sites,
reduced expression of activators MSX1 and XBP1, and overexpression of MSX1
corepressor H1C. Moreover, chromosomal deregulations of genes involved in this
regulative network highlight their role in development and malignancy of B-cells.
PMID- 22078942
TI - Modelling Marek's disease virus (MDV) infection: parameter estimates for
mortality rate and infectiousness.
AB - BACKGROUND: Marek's disease virus (MDV) is an economically important oncogenic
herpesvirus of poultry. Since the 1960s, increasingly virulent strains have
caused continued poultry industry production losses worldwide. To understand the
mechanisms of this virulence evolution and to evaluate the epidemiological
consequences of putative control strategies, it is imperative to understand how
virulence is defined and how this correlates with host mortality and
infectiousness during MDV infection. We present a mathematical approach to
quantify key epidemiological parameters. Host lifespan, virus latent periods and
host viral shedding rates were estimated for unvaccinated and vaccinated birds,
infected with one of three MDV strains. The strains had previously been
pathotyped to assign virulence scores according to pathogenicity of strains in
hosts. RESULTS: Our analyses show that strains of higher virulence have a higher
viral shedding rate, and more rapidly kill hosts. Vaccination enhances host life
expectancy but does not significantly reduce the shedding rate of the virus.
While the primary latent period of the virus does not vary with challenge strain
nor vaccine treatment of host, the time until the maximum viral shedding rate is
increased with vaccination. CONCLUSIONS: Our approach provides the tools
necessary for a formal analysis of the evolution of virulence in MDV, and
potentially simpler and cheaper approaches to comparing the virulence of MDV
strains.
PMID- 22078941
TI - A point prevalence survey of health care-associated infections in Canadian
pediatric inpatients.
AB - BACKGROUND: Health care-associated infections (HAIs) cause considerable morbidity
and mortality to hospitalized patients. The objective of this point prevalence
study was to assess the burden of HAIs in the Canadian pediatric population,
updating results reported from a similar study conducted in 2002. METHODS: A
point prevalence survey of pediatric inpatients was conducted in February 2009 in
30 pediatric or combined adult/pediatric hospitals. Data pertaining to one 24
hour period were collected, including information on HAIs, microorganisms
isolated, antimicrobials prescribed, and use of additional (transmission based)
precautions. The following prevalent infections were included: pneumonia, urinary
tract infection, bloodstream infection, surgical site infection, viral
respiratory infection, Clostridium difficile infection, viral gastroenteritis,
and necrotizing enterocolitis. RESULTS: One hundred eighteen patients had 1 or
more HAI, corresponding to a prevalence of 8.7% (n = 118 of 1353, 95% confidence
interval: 7.2-10.2). Six patients had 2 infections. Bloodstream infections were
the most frequent infection in neonates (3.0%), infants (3.1%), and children
(3.5%). Among all patients surveyed, 16.3% were on additional precautions, and
40.1% were on antimicrobial agents, whereas 40.7% of patients with a HAI were on
additional precautions, and 89.0% were on antimicrobial agents. CONCLUSION:
Overall prevalence of HAI in 2009 has remained similar to the prevalence reported
from 2002. The unchanged prevalence of these infections nonetheless warrants
continued vigilance on their prevention and control.
PMID- 22078943
TI - High prevalence of pathogenic Leptospira in wild and domesticated animals in an
endemic area of China.
AB - OBJECTIVE: To assess the prevalence of Leptospira detected in wildlife and
domesticated animals in Jiangxi Province, China, in. METHODS: Urine samples from
28 buffaloes and kidney samples from 50 pigs, 50 dogs and 38 rats were collected
from Fuliang and Shangrao County, Jiangxi Province, China, in October 2009.
Polymerase chain reaction(PCR)and culture analyses were used to detect
Leptospira. The cultured isolates were typed using the microscopic agglutination
test(MAT). RESULTS: The results showed that rats potentially serve as the main
reservoir of leptospiral infection, followed by dogs. Although 16% of rats (6/38)
were positive using culture analysis, PCR analysis using the diagnostic primers
G1/G2 and B64I/B64II or lipL32 showed identification as 50% and 24%,
respectively, of the rat samples as positive for the presence of leptospiral DNA.
CONCLUSIONS: PCR-based detection of leptospiral DNA in infected kidney tissues of
reservoirs is more efficient when using G1/G2 primers than lipL32 primers.
However, the latter primers have a potential application for detection in urine
samples. The alarmingly high prevalence of leptospiral DNA in the wild rat
population near human habitation underscores the utility of routine Leptospira
surveillance, preferably using PCR methods, which are more sensitive than
traditional culture-based methods.
PMID- 22078944
TI - Molecular characterization of VP4, VP6, VP7 and NSP4 genes of group B rotavirus
strains from outbreaks of gastroenteritis.
AB - OBJECTIVE: To characterize VP4, VP6, VP7 and NSP4 genes of representative GBR
strains (NIV-005625, NIV-04622 and NIV-094456) detected as the major etiologic
agent in the outbreaks of gastroenteritis in western India. METHODS: Fecal
specimens collected during the outbreaks of gastroenteritis were processed for
RNA isolation, RT-PCR using GBR VP4, VP6, VP7 and NSP4 gene specific primers,
nucleotide sequencing of the amplicons and phylogenetic analysis of the
sequences. RESULTS: Phylogenetic analysis of all of the VP4, VP6, VP7 and NSP4
gene sequences revealed clustering of GBR strains in Indian-Bangladeshi lineage
of genotype G2 with 95.8%-99.4% nucleotide and 97.3%-100.0% amino acid
identities. However, all three strains showed the presence of unique amino acid
substitutions in the VP4 protein suggesting alteration in the antigenicity of
outbreak strains of GBR. The VP8* and VP5* regions of VP4 proteins showed
respectively 0.5%-6.3% and 0.2%-1.1% amino acid divergence from human GBR strains
of Indian-Bangladeshi lineage. CONCLUSIONS: These data confirm the reported
variability of VP8* region and suggest the possible role of this region in the
perpetuation of GBR infections in the environment. This is the first study to
document the phylogenetic relationship of VP4, VP6, VP7 and NSP4 genes of GBR
strains detected in the outbreaks of gastroenteritis from India with the GBR
strains from other parts of world.
PMID- 22078945
TI - Bioinformatics analysis on ORF1 protein of Torque teno virus (SANBAN isolate).
AB - OBJECTIVE: To analyze the sequence of ORF1 protein of Torque teno virus to
prepare for the future hybrid experiments. METHODS: The sequence of ORF1 protein
of Torque teno virus was analyzed by bioinformatics using some web tools.
RESULTS: The most likely cleavage site was between position 14aa and 15aa and
signal peptide may be position 1aa-14aa. Two possible transmembrane helices from
inside to outside and three possible transmembrane helices from outside to inside
were found. The position 509 (NKTN) was the potential N-glycosylation site. The
speculative molecular weight of TTV ORF1 protein, which may be a kind of unstable
protein was 88 705.7 Da. 1aa-91aa and 278aa-361aa were localized in non-regular
secondary structure region. CONCLUSIONS: TTV ORF1 protein may be a nuclear
protein which contains two non-regular secondary structure region. 265aa to 486aa
and 510aa to 679aa may be the two approciate fragments to construct the plasmids,
which would be prepared for the future hybrid experiments to study the functional
positions of the protein and the interactions between TTV and its hosts.
Bioinformatics analysis would possibly make it easier to study the protein's
function.
PMID- 22078946
TI - Immunochemical characterization of antigens of Brucella canis and their use in
seroprevalence study of canine brucellosis.
AB - OBJECTIVE: To explore immunochemical characterization of antigens of Brucella
canis (B. canis), and the use in seroprevalence study of canine brucellosis.
METHODS: External hot phosphate buffer saline extract (HPBSE) and internal
sonicated (SA) antigens were prepared from B. canis strain MEX 51 and
immunochemically characterized. These antigens were used to test 527 serum
samples of dogs by 2-mercaptoethanol-tube agglutination test (2 ME-TAT), agar gel
immunodiffusion test (AGID), dot-ELISA and indirect enzyme-linked immunosorbent
assay (I-ELISA) to assess the seroprevalence of canine brucellosis. RESULTS: The
protein content of HPBSE and SA antigens was 0.387 mg/mL and 0.195 mg/mL,
respectively, whereas carbohydrate content was 0.174 mg/mL and 0.150 mg/mL,
respectively. The sodium dodecyl sulfate-polyacrylamide gel electrophoresis
(12.5%) of HPBSE and SA, revealed 6 and 8 visible peptide bands ranging from 18
80 kDa and 12-45 kDa, respectively. Western blot analysis showed immunodominant
bands of MW 12, 28, 39 and 45 kDa for HPBSE and 20-24 kDa for SA. The AGID
revealed HPBSE as more specific antigen than SA but both I-ELISA and dot-ELISA
indicated SA antigen to be more specific and reliable than HPBSE. The
seroprevalence of canine brucellosis was 2.27% by 2ME-TAT, 1.5% by AGID, 3.03% by
dot-ELISA and 16.12% by I-ELISA. CONCLUSIONS: On the basis of the results of
present study, we concluded that HPBSE is suitable antigen for AGID, which is
more specific; whereas SA antigen is suitable for I-ELISA, which is highly
sensitive. Therefore, initial screening of serum samples should be carried out by
I-ELISA followed by confirmation with AGID.
PMID- 22078947
TI - In vitro antiplasmodial activity of methanolic extracts from seaweeds of South
West Coast of India.
AB - OBJECTIVE: To identify the in vitro antiplasmodial activity of seaweed plants
against Plasmodium falciparumstrains. METHODS: A total of eight seaweeds were
collected from Kanyakumari district, Tamilnadu, India. The in vitro
antiplasmodial activity was performed in 96 well plates against Plasmodium
falciparum, and preliminary phytochemcial analysis were performed for the
extracts. RESULTS: Of the selected plants Enteromorpha intestinalis (2.61%)
showed maximum percentage of extraction. The minimum concentration of inhibitory
(IC50) value was observed with Chaetomorpha antennina [(26.37+/-4.14) MUg/mL]
further, the positive controls such as chloroquine and artemether showed
antiplasmodial activities (IC50) with (19.10+/-5.93) and (6.03+/-0.21) MUg/mL
concentrations, respectively. The preliminary phytochemical analysis of the
seaweed extracts showed a variety of phytochemical constituents such as
carboxylic acids, phenols, protein, resins, steroids and sugars. CONCLUSIONS: The
antiplasmodial activity of the seaweed extract might due to the presence of
sugars and phenolic compounds. From the present findings, it is concluded that,
the seaweed extract of Chaetomorpha antennina can be further used as a putative
antiplasmodial drugs in near future.
PMID- 22078948
TI - Synthesis and evaluation of novel analogues of mangiferin as potent antipyretic.
AB - OBJECTIVE: To screen different analogues of mangiferin pharmacologically for
antipyretic activity. METHODS: The naturally occurring xanthone glycoside
mangiferin was isolated by column chromatography from the ethanolic extract of
stem bark of Mangifera indica. Mangiferin was further converted to 5-(N
phenylamino methyleno) mangiferin, 5-(N-p-chlorophenylamino methyleno)
mangiferin, 5-(N-2-methyl phenylamino methyleno) mangiferin, 5-(N-p-methoxy
phenylamino methyleno) mangiferin, 5-(N, N-diphenylamino methyleno) mangiferin, 5
(N-alpha-napthylamino methyleno) mangiferin and 5-(N-4-methyl phenylamino
methyleno) mangiferin analogues. The synthesized compounds were further screened
for antipyretic activity along with mangiferin at a dose level of 100 and 200
mg/kg. Mangiferin and its analogues were characterized by melting point
andR(f)value determination and through spectral technique like UV, IR, and NMR
spectral analysis. RESULTS: The antipyretic activity of mangiferin as well as all
analogues was found to be more significant in at higher dose ie. 200 mg/kg which
was depicted through a decrease in rectal temperature up to 3 h. CONCLUSIONS: The
antipyretic activity of mangiferin and its analogues may be attributed to
inhibition in synthesis of TNF-alpha and anti-oxidant activity associated with
amelioration of inflammatory actions of cytokines.
PMID- 22078949
TI - Protective effect of Amorphophallus campanulatus (Roxb.) Blume. tuber against
thioacetamide induced oxidative stress in rats.
AB - OBJECTIVE: To identify the phytochemical constituents of Amorphophallus
campanulatus (A. campanulatus) tuber and to evaluate its antioxidant potential
through in vitro and in vivo models. METHODS: Phytochemical screening and in
vitro antioxidant activities of A. campanulatus tuber n-hexane extract (ACHE) and
methanolic extract (ACME) were evaluated using DPPH, hydroxyl radical, reducing
power and total antioxidant capacity assays. The total phenolic and flavonoid
contents were also investigated. The protective potential of two different doses
of ACME (125 and 250 mg/kg) was also evaluated against thioacetamide (TAA)
induced oxidative stress in rats. Silymarin used as a standard drug control.
Hepatotoxicity was assessed by quantifying the serum levels of aspartate
aminotransferase (AST), alanine aminotransferase (ALT), alkaline phosphatase
(ALP) and lactate dehydrogenase (LDH). The antioxidant potential of ACME were
also evaluated by the estimation of catalase (CAT), glutathione peroxidase (GPx),
glutathione reductase (GR), glutathione-S-transferase (GST), reduced glutathione
(GSH) and lipid peroxidation (Thiobarbituric acid reactive substances) in hepatic
and renal tissues. Histopathologic changes of liver were also evaluated. RESULTS:
In vitro studies revealed that ACME has higher antioxidant and radical scavenging
activity than ACHE, which may be attributed to its higher phenolic and flavonoid
content. ACME significantly prevented the elevation of serum AST, ALT, ALP, LDH,
and tissue malondialdehyde levels(P < 0.05). Hepatic and renal GSH, GST, GR, GPx,
and catalase levels were remarkably increased by the treatment with the extract.
Quantification of histopathological changes also supported the dose dependent
protective effects of ACME. CONCLUSIONS: The results do suggest that A.
campanulatus tuber could be considered as a potential source of natural
antioxidant.
PMID- 22078950
TI - Comparison of anti-atherosclerotic effects of two different extracts from leaves
of Mallotus furetianus.
AB - OBJECTIVE: To compare the anti-atherosclerotic effects of two different extracts
from the leaves of Mallotus furetianus by using rat model of atherosclerosis.
METHODS: The air-dried powdered Mallotus furetianus leaves were extracted with
ethanol and then evaporated. The ethanol extract was experienced Diaion HP-20 CC
with a gradient of MeOH and H2O (50:50, 100:0, v/v) and two fractions, Mallotus
furetianus A (Mf A) and Mallotus furetianus B (Mf B) were obtained. Rats were
divided into control, atherosclerosis and vitamin E, Mf A and Mf B treated
groups. Atherosclerotic model was established by administering a loading dose of
vitamin D3 and feeding standard diet enriched with 2% cholesterol, 0.5% porcine
cholate, 0.2% methimazole, 5% sugar, 10% pork fat. Vitamin E (0.20 g/kg), Mf A
(0.053 g/kg), Mf B (0.057 g/kg) (with the potential) were administered to
interfere with the development of atherosclerosis. After 9 weeks, rats were
sacrificed and the blood lipid as well as composition of bile was examined. In
addition, the thoracic aorta was harvested to evaluate histological changes and
the intima-media thickness ratio. RESULTS: Atherosclerosis model was successfully
established, administration of vitamin E, Mf A and Mf B increased excretion of
total bilirubin in bile, decreased triglyeride (TG), total cholesterol (TC), low
density lipoprotein-cholesterol (LDL-C) level, enhanced ratio of high density
lipoprotein-cholesterol and LDL-C in blood, improved histological changes and
diminished intima-media thickness ratio of thoracic aorta in atherosclerotic
rats. As for the difference in anti-atherosclerotic effects betweenMf A and Mf B,
Mf A may be more powerful in declining TG level and Mf B may be more effective in
decreasing TC level. CONCLUSIONS: The two different extracts, Mf A and Mf B can
prevent the development of atherosclerosis, In detail, Mf A is more effective in
regulating TG level and Mf B is more powerful in modulating TC level in
atherosclerotic rats.
PMID- 22078951
TI - Pharmacological effects of aqueous-ethanolic extract of Hibiscus rosasinensis on
volume and acidity of stimulated gastric secretion.
AB - OBJECTIVE: To explore the effects of extract of Hibiscus rosasinensis (H.
rosasinensis) on the volume, free and total acidity of gastric secretion induced
by carbachol. METHODS: Animals were kept on fasting for 48 h, then the pylorus of
each animal was ligated. They were randomly divided into 5 groups and treated by
carbachol at 600 MUg/kg. Then animals in group II - V were treated by H.
rosasinensis extract at 250 and 500 mg/kg body weight, cimetidine at 2.5 mg/kg
and verapamil at 10 mg/kg body weight intraperitoneally, respectively. The
volume, free and total acidity of gastric secretion were observed and compared.
RESULTS: It was found that the extract significantly reduced the volume, free and
total acidity of gastric secretion (P<0.01). These reductions were comparable to
cimetidine and verapamil. And the reduction in the volume and free acidity were
more significant in cimetidine and verapamil treated group indicating that
cimetidine and verapamil were more effective. CONCLUSIONS: The extract of H.
rosasinensis can reduced the volume, free and total acidity of gastric secretion,
and can be used effectively in the treatment of peptic ulcer.
PMID- 22078952
TI - Antioxidant and antipyretic studies on Pothos scandens L.
AB - OBJECTIVE: To investigate Pothos scandens for the in vitro antioxidant and
antipyretic activity. METHODS: Preliminary phytochemicals, total phenolics and
flavonoid contents were analyzed in leaf, stem and root samples. In vitro
antioxidant activity was evaluated by different assays such as 2, 2-diphenyl-1
picrylhydrazyl (DPPH) radical scavenging, 2, 2'-azinobis-3-ethylbenzothiazoline-6
sulphonic acid (ABTS*+) radical scavenging, ferric-reducing antioxidant power
(FRAP) assay, phosphomolybdenum reduction assay, metal chelating activity,
superoxide anion radical scavenging activity, hydrogen peroxide and nitric oxide
scavenging assay. The antipyretic activity of root methanol extract was studied
by pyrexia induced by brewer's yeast on Wistar albino rats at concentration of
200 and 400 mg/kg using paracetamol as standard drug. RESULTS: The total
phenolics and tannin content were found to be higher in ethanol extract of stem,
whereas total flavonoid content was higher in acetone extract of root. The
methanol extract of root showed highest free radical scavenging activity in
assays namely ABTS assay (8 221.5 MUM TE/g extract), FRAP assay [514.4 mM Fe
(II)/g extract], hydrogen peroxide (60.3%) and nitric oxide scavenging assays
(58.7%). The DPPH assay and superoxide radical assay results revealed that the
ethanol extract of root has remarkable free radical scavenging capacity (IC50
0.284 mg/mL and 70.84%). The antipyretic studies on methanol extract of root
showed significant reduction of temperature in pyrexia induced rats at 200 and
400 mg/kg doses. CONCLUSIONS: These findings justify that Pothos scandens can be
a valuable natural antioxidant and antipyretic source which seemed to provide
potential nutraceuticals for human health.
PMID- 22078953
TI - Antidiabetic activity of methanolic bark extract of Albizia odoratissima Benth.
in alloxan induced diabetic albino mice.
AB - OBJECTIVE: To evaluate the antidiabetic potential of methanolic extract of
Albizia odoratissima Benth. bark in alloxan induced diabetic mice. METHODS: Group
I (normal control) mice received only basal diet without any treatment. In Group-
II (Diabetic control) mice, diabetes was induced by alloxan (150 mg/kg i.p.) and
received only Tween 80, 5% v/v in normal saline. Group- III and Group- IV mice
received metformin (10mg/kg) and gliclazide (10 mg/kg) as standard drugs. Group-
V and VI mice received methanolic bark extract of Albizia odoratissimaat doses of
250 and 500 mg/kg body weight p.o., respectively. RESULTS: The results of the
study indicates that Albizia odoratissima bark extract significantly (P<0.01)
reduced the blood sugar level. The bark extract also significantly reduced the
levels of serum cholesterol, triglycerides, serum glutamic-oxaloacetic
transaminase, serum glutamic-pyruvic transaminase, alkaline phosphatase and
decreases level of total proteins in alloxan induced diabetic mice. CONCLUSIONS:
Methanolic extract of Albizia odoratissima has protective effects on the
protection of vital tissues (pancreas, kidney, liver, heart and spleen), thereby
reducing the causation of diabetes in experimental animals.
PMID- 22078954
TI - Antidiabetic activity of alcoholic leaves extract of Alangium lamarckii Thwaites
on streptozotocin-nicotinamide induced type 2 diabetic rats.
AB - OBJECTIVE: To investigate antidiabetic potential of alcoholic leaves extract of
Alangium lamarckii (A. lamarckii) on streptozotocin-nicotinamide induced type 2
diabetic rats. METHODS: Oral glucose tolerance test was done by inducing
hyperglycemic state via administration of glucose in water (2g/kg). Single dose
of alcoholic leaves extract of A. lamarckii (250 and 500 mg/kg, p.o.) were
administered to normoglycemic, hyperglycemic rats. Type 2 diabetes was induced by
single intraperitoneal injection of nicotinamide (110 mg/kg) followed by
streptozotocin (65mg/kg). The study also included estimations of blood plasma
glucose, lipid profile, liver glycogen, body weight and antioxidant status in
normal and diabetic rats. RESULTS: Admistration of alcoholic extract of A.
lamarckii at two dosage 250 and 500 mg/kg, p.o. did not showed any significant
change in blood glucose level of normoglycemic rats (P>0.05), whereas, oral
glucose tolerance test depicted reduction in blood glucose level (P<0.05). The
streptozotocin-nicotinamide induced diabetic rats, significantly decreased the
blood plasma glucose level (P<0.001) comparable to glibenclamide (10 mg/kg),
restored the lipid profile and showed improvement in liver glycogen, body weight
and antioxidant status in diabetic rats. CONCLUSIONS: Present finding
demonstrated the significant antidiabetic activity of alcoholic leaves extract of
A. lamarckii.
PMID- 22078955
TI - In vitro antioxidant and antimicrobial activity cycloart-23-ene-3beta,-25-diol
(B2) isolated from Pongamia pinnata (L. Pierre).
AB - OBJECTIVE: To evaluate the in-vitro antioxidant and antimicrobial activity of
cycloart-23-ene-3beta, 25-diol (called as B2) isolated from stem bark of Pongamia
pinnata. METHODS: In vitro antioxidant activity of B2 was determined by methods
for determination of DPPH radical scavenging, reducing power, superoxide anion
radical scavenging, hydroxyl radical scavenging, hydrogen peroxide scavenging,
metal chelating and nitric oxide radical scavenging at the doses of 20, 40, 60,
80 and 100 MUg/mL, respectively. beta-tocopherol with same concentration was used
as a standard antioxidant. In vitro antimicrobial activity of B2 was determined
by cup plate method in different concentration range of 10-100 MUg/mL. RESULTS:
The results indicated that dose dependent % reduction against DPPH radical,
reducing power, superoxide anion radical scavenging, hydroxyl radical scavenging,
metal chelating, hydrogen peroxide scavenging and nitric oxide radical scavenging
by B2 and beta-tocopherol. CONCLUSIONS: It is concluded that cycloart 23-ene
3beta, 25 diol (B2) showed dose dependent antioxidant activity. B2 showed more
DPPH radical scavenging, reducing power, superoxide scavenging, hydroxyl radical
scavenging, metal chelating scavenging, hydrogen peroxide radical scavenging and
nitric oxide radical scavenging activity than beta-tocopherol and in case of
antimicrobial activity B2 exhibited broad-spectrum activity against bacteria and
strong activity against yeast type of fungi.
PMID- 22078956
TI - Inhibition of the emergence of multi drug resistant Staphylococcus aureus by
Withania somnifera root extracts.
AB - OBJECTIVE: To search systematically for an alternative therapy with compounds
particularly from plant origin. METHODS: Efficacy test of different root extracts
of Withania somnifera (W. somnifera) (L) Dunal against multi drug resistant (MDR)
Staphylococcus aureus (S. aureus) variants was performed following the agar well
diffusion method. Evaluation of susceptibility pattern of the isolates was
carried out by employing disk diffusion method using standard antibiotic disks.
RESULTS: In vitro study with W. somnifera root extracts was found to be effective
against all the MDR S. aureus strains isolated from local and patient sources.
Different root extracts of WS showed different degree of effectiveness against
the isolates. CONCLUSIONS: The major active principles responsible for the
antibacterial efficacy were mainly present in methanol (MeOH)extract and ethanol
(EtOH) extracts as well as in butanol (BuOH) extract fraction. Amongst all the
extracts the BuOH fraction was found to be most active against all the isolates
but aqueous extract was the least active one. Finally it may be concluded that
the antimicrobials from W. somnifera may raise an alternative therapy for MDR
staphylococcal infections in near future.
PMID- 22078957
TI - Healing promoting potentials of roots of Ficus benghalensis L. in albino rats.
AB - OBJECTIVE: To screen the wound healing activity of aqueous and ethanolic extract
of roots of Ficus benghalensis. METHODS: Both the extracts were evaluated for
wound healing by three modelsie. incision, excision and dead space wound. In
incision and dead space the extracts were applied daily topically till the 10 th
post wounding days while in excision model it was till the complete
epithelialization process. Standard group were administered Povidone iodine
ointment topically daily. The breaking strength, percentage of wound contraction,
period of epithelialization, dry granulation weight and hydroxyproline content
were observed. RESULTS: The result of the present study showed that both extracts
were able to increase the breaking strength (incision model), decrease period of
epithelialization, increase percentage wound contraction (excision model),
increase hydroxyproline content (Dead space wound model) significantly compared
with control group (P<0.05). CONCLUSIONS: Based on result we conclude that
aqueous extract is more effective than ethanolic extract. However, it is needed
more research to be carried out especially on toxicity studies of ethanolic
extract.
PMID- 22078958
TI - New insights into the mechanisms of cytomotive actin and tubulin filaments.
AB - Dynamic, self-organizing filaments are responsible for long-range order in the
cytoplasm of almost all cells. Actin-like and tubulin-like filaments evolved
independently in prokaryotes but have converged in terms of many important
properties. They grow, shrink, and move directionally within cells, using energy
and information provided by nucleotide hydrolysis. In the case of microtubules
and FtsZ filaments, bending is an essential part of their mechanisms. Both
families assemble polar linear protofilaments, with highly conserved interfaces
between successive subunits; the bonding at these longitudinal interfaces is
nucleotide dependent. Better understanding of the mechanisms by which nucleotide
hydrolysis affects the bonding between subunits in filaments, and other
structural changes related to the nucleotide hydrolysis cycles, has emerged from
recent X-ray crystallographic and electron microscopic structures, showing
eukaryotic or prokaryotic protofilaments in various states. Detailed comparisons
of the structures of related proteins from eubacteria, archaea, and eukaryotes
are helping to illuminate the course of evolution.
PMID- 22078959
TI - New insights into the role of mitochondria-associated endoplasmic reticulum
membrane.
AB - The mitochondria-associated endoplasmic reticulum membrane (MAM) is a specialized
subdomain of the endoplasmic reticulum (ER) membrane that regulates ER
mitochondria communications. The MAM is characterized by direct apposition to a
mitochondrion, a unique lipid profile, and the expression of a unique set of
proteins involved in Ca(2+) signaling, phospholipid biosynthesis, protein
folding, and membrane tethering. The association of the MAM with a mitochondrion
is in part cytoskeleton independent and dynamically changed by an elevation of
the cytosolic Ca(2+) level. The mechanisms underlying the genesis of MAM are
unclear but might involve COPI-dependent vesicular transport and soluble NSF
attachment protein receptor. The MAM is recognized as a center for intermembrane
transport of phospholipids and for direct Ca(2+) transmission to mitochondria
that activates the tricarboxylic acid cycle. However, MAM might be also involved
in the interorganelle transport of cholesterol, ceramides, ATP, and proteins as
well as in proteasomal protein degradation and lipid droplet formation. Recent
studies have begun to unveil the importance of interorganelle communication in
the innate immune response to virus infection and in the pathophysiology of
neurodegenerative/neurodevelopmental disorders. Thus, drug discovery aimed at
regulating ER-to-mitochondria communication may open a new avenue in treatments
of human diseases.
PMID- 22078960
TI - Strategies for silencing and escape: the ancient struggle between transposable
elements and their hosts.
AB - Over the past several years, there has been an explosion in our understanding of
the mechanisms by which plant transposable elements (TEs) are epigenetically
silenced and maintained in an inactive state over long periods of time. This
highly efficient process results in vast numbers of inactive TEs; indeed, the
majority of many plant genomes are composed of these quiescent elements. This
observation has led to the rather static view that TEs represent an essentially
inert portion of plant genomes. However, recent work has demonstrated that TE
silencing is a highly dynamic process that often involves transcription of TEs at
particular times and places during plant development. Plants appear to use
transcripts from silenced TEs as an ongoing source of information concerning the
mobile portion of the genome. In contrast to our understanding of silencing
pathways, we know relatively little about the ways in which TEs evade silencing.
However, vast differences in TE content between even closely related plant
species suggest that they are often wildly successful at doing so. Here, we
discuss TE activity in plants as the result of a constantly shifting balance
between host strategies for TE silencing and TE strategies for escape and
amplification.
PMID- 22078961
TI - Current progress and potential practical application for human pluripotent stem
cells.
AB - Pluripotent stem cells are able to give rise to all cell types of the organism.
There are two sources for human pluripotent stem cells: embryonic stem cells
(ESCs) derived from surplus blastocysts created for in vitro fertilization and
induced pluripotent stem cells (iPSCs) generated by reprogramming of somatic
cells. ESCs have been an area of intense research during the past decade, and two
clinical trials have been recently approved. iPSCs were created only recently,
and most of the research has been focused on the iPSC generation protocols and
investigation of mechanisms of direct reprogramming. The iPSC technology makes
possible to derive pluripotent stem cells from any patient. However, there are a
number of hurdles to be overcome before iPSCs will find a niche in practice. In
this review, we discuss differences and similarities of the two pluripotent cell
types and assess prospects for application of these cells in biomedicine.
PMID- 22078962
TI - Protein quality control, retention, and degradation at the endoplasmic reticulum.
AB - In order to maintain proper cellular functions, all living cells, from bacteria
to mammalian cells, must carry out a rigorous quality control process in which
nascent and newly synthesized proteins are examined. An important role of this
process is to protect cells against pathological accumulation of unfolded and
misfolded proteins. The endoplasmic reticulum (ER) has evolved as a staging
ground for secretory protein synthesis with distinct sites for entry, quality
control, and exit. In the ER, most proteins are N-glycosylated, a
posttranslational modification that defines the quality control pathway that the
protein will undergo. The folding state of glycoproteins is revealed by specific
modifications of their N-glycans. Regardless of size and posttranslational
modifications, the folding states of all proteins must be identified as unfolded,
properly folded, or terminally misfolded and accordingly subjected to ER
retention and continued folding attempts, export and maturation, or
retrotranslocation to the cytosol for degradation. These processes involve
specialized machineries that utilize molecular chaperones, protein- and N-glycan
modifying enzymes, and lectins for protein folding and quality control and
ubiquitination and degradation machineries for disposal. All these machineries
are regulated by a signaling pathway, the unfolded protein response, which
upregulates ER functions when under the stress of high protein load. Here, we
describe the molecular mechanisms that are implicated and discuss recent data
that underline the importance of compartmentalization in the segregation of the
various functions of the ER for their correct function.
PMID- 22078963
TI - Relation of left ventricular end diastolic pressure to right ventricular end
diastolic volume after operative treatment of tetralogy of fallot.
AB - Left ventricular (LV) diastolic dysfunction is associated with poor outcomes
after tetralogy of Fallot (TOF) repair, although its cause is not known, and its
relation to right ventricular (RV) performance has never been examined. The aim
of this study was to test the hypothesis that RV dilation leads to LV diastolic
dysfunction after TOF repair. Patients with repaired TOF who underwent cardiac
catheterization and cardiac magnetic resonance imaging within 6 months from
January 2003 and April 2011 were reviewed to assess the relation of LV end
diastolic pressure (LVEDP) and indexed RV end-diastolic volume (RVEDVi). Thirty
eight patients were included at a median age of 10.1 years (range 0.6 to 54.7).
There was a significant linear association between RVEDVi and LVEDP (p = 0.05).
RV end-diastolic pressure (p <0.001), right pulmonary artery systolic pressure (p
= 0.009), left pulmonary artery systolic pressure (p = 0.02), and total
cardiopulmonary support time (p = 0.04) during TOF repair were also significantly
associated with LVEDP. Compared to patients with LVEDP <12 mm Hg, those with
LVEDP >=12 mm Hg had significantly higher mean RVEDVi (135.2 +/- 47.8 vs 98.6 +/-
28 ml/m(2), p = 0.007) and mean RV end-diastolic pressure (11.7 +/- 1.6 vs 8.5 +/
2.8 mm Hg, p = 0.0003). In conclusion, after TOF repair, LVEDP is significantly
associated with RVEDVi. Furthermore, mean RVEDVi is significantly higher in
patients with LVEDP >=12 mm Hg. These findings support the theory that RV
dilation may impair LV diastolic function and that LV parameters may also be
important to consider in determining timing of pulmonary valve replacement.
PMID- 22078964
TI - Predictors of depressed left ventricular function in patients presenting with ST
elevation myocardial infarction.
AB - Early in the course of ST-segment elevation myocardial infarction (STEMI),
therapies that may harm patients who develop left ventricular (LV) dysfunction,
such as beta-blockers, are often administered. The investigators analyzed the
ACTIVATE-SF database, a registry of consecutive STEMI activations presenting to 2
medical centers at the University of California, San Francisco. LV dysfunction
was defined as an ejection fraction <=40% on echocardiography. Of 211 patients
included in the analysis, 66 (31%) had LV ejection fractions <=40%. Patients with
LV dysfunction were older (63 +/- 15 vs 56 +/- 13 years, p = 0.002). In
multivariate regression models, decreased renal function (reference group,
creatinine <1.0 mg/dl; adjusted odds ratio [AOR] creatinine >1.5 mg/dl 6.35, 95%
confidence interval [CI] 1.66 to 24.31, p = 0.007), a history of coronary artery
disease (AOR 3.12, 95% CI 1.26 to 7.71, p = 0.014), ST-segment elevation >2 mm on
12-lead electrocardiography (AOR 2.78, 95% CI 1.31 to 5.87, p = 0.008), and need
for mechanical ventilation (AOR 3.98, 95% CI 1.41 to 11.19, p = 0.009) increased
the odds of LV dysfunction. Inferior ST-segment elevations were associated with
88% decreased odds of LV dysfunction (AOR 0.12, 95% CI 0.06 to 0.35, p <0.001). A
prediction score using these characteristics stratified patients into low-,
intermediate-, and high-risk groups for LV dysfunction; positive likelihood
ratios for LV dysfunction in these groups were 0.07, 1.14, and 4.93,
respectively. In conclusion, 5 key predictors of in-hospital LV dysfunction after
STEMI were identified; a risk score based on these predictors helps to quickly
identify patients presenting with STEMI who are at the highest risk for
developing significant LV dysfunction and could guide optimal therapeutic
choices.
PMID- 22078965
TI - Implications of ST-segment elevation in leads V5 and V6 in patients with
reperfused inferior wall acute myocardial infarction.
AB - During inferior acute myocardial infarction, ST-segment elevation (ST?) often
occurs in leads V(5) to V(6), but its clinical implications remain unclear. We
examined the admission electrocardiograms from 357 patients with a first inferior
acute myocardial infarction who had Thrombolysis In Myocardial Infarction 3 flow
of the right coronary artery or left circumflex artery within 6 hours after
symptom onset. The patients were divided according to the presence (n = 76) or
absence (n = 281) of ST? >2 mm in leads V(5) and V(6). Patients with ST? in leads
V(5) and V(6) were subdivided into 2 groups according to the degree of ST? in
leads III and V(6): ST? in lead III greater than in V(6) (n = 53) and ST? in lead
III equal to or less than in V(6) (n = 23). The perfusion territory of the
culprit artery was assessed using the angiographic distribution score, and a mega
artery was defined as a score of >=0.7. ST? in leads V(5) and V(6) with ST? in
lead III greater than in V(6) and ST? in leads V(5) and V(6) with ST? in lead III
equal to or less than in V(6) were associated with mega-artery occlusion and
impaired myocardial reperfusion, as defined by myocardial blush grade 0 to 1.
Right coronary artery occlusion was most common (96%) in the former, and left
circumflex artery occlusion was most common (96%) in the latter, especially
proximal left circumflex occlusion (74%). Multivariate analysis showed that ST?
in leads V(5) and V(6) with ST? in lead III greater than that in V(6) (odds ratio
4.81, p <0.001) and ST? in leads V(5) and V(6) with ST? in lead III equal or less
than that in V(6) (odds ratio 5.96, p <0.001) were independent predictors of
impaired myocardial reperfusion. In conclusion, ST? in leads V(5) and V(6)
suggests a greater risk area and impaired myocardial reperfusion in patients with
inferior acute myocardial infarction. Furthermore, comparing the degree of ST? in
lead V(6) with that in lead III is useful for predicting the culprit artery.
PMID- 22078966
TI - Relation between previous angiotensin-converting enzyme inhibitor use and in
hospital outcomes in acute coronary syndromes.
AB - Angiotensin-converting enzyme (ACE) inhibitor use in patients at high risk of
coronary artery disease has been associated with a decrease in the risk of
myocardial infarction (MI) and death. However, it is unclear whether chronic use
of these agents modifies the course and outcome of an acute coronary syndrome
(ACS). This study assessed the association between chronic use of ACE inhibitors
and clinical outcomes in patients with ACS. From 1999 through 2008, 13,632
Canadian patients with ACS were identified in the Global Registry of Acute
Coronary Events (GRACE), the expanded GRACE (GRACE(2)), and the Canadian Registry
of Acute Coronary Events (CANRACE). Patients were stratified by previous use of
an ACE inhibitor. Clinical characteristics, in-hospital treatment, and outcomes
were compared between the 2 groups. Multivariable logistic regression analysis
adjusting for GRACE risk score and other clinical factors was performed. Patients
receiving an ACE inhibitor before the ACS had a higher prevalence of diabetes
(40.6% vs 21.2%, p <0.001), previous MI (51.8% vs 23.3%, p <0.001), heart failure
(18.0% vs 6.9%), and higher GRACE scores at presentation (133 vs 124, p <0.001).
Multivariable analysis demonstrated no significant association between previous
ACE inhibitor use and death (adjusted odds ratio [OR] 1.15, confidence interval
[CI] 0.90 to 1.49, p = 0.27), in-hospital re-MI (adjusted OR 0.99, CI 0.78 to
1.25, p = 0.91), or the composite end point of death/re-MI (adjusted OR 1.01, CI
0.84 to 1.20, p = 0.94). In conclusion, previous use of an ACE inhibitor is not
independently associated with improved in-hospital outcomes after an ACS.
PMID- 22078967
TI - The effect of vessel depth, diameter, and location on ultrasound-guided
peripheral intravenous catheter longevity.
AB - INTRODUCTION: Ultrasound-guided peripheral intravenous catheters (USGPIVs) have
been observed to have poor durability. The current study sets out to determine
whether vessel characteristics (depth, diameter, and location) predict USGPIV
longevity. METHODS: A secondary analysis was performed on a prospectively
gathered database of patients who underwent USGPIV placement in an urban,
tertiary care emergency department. All patients in the database had a 20-gauge,
48-mm-long catheter placed under ultrasound guidance. The time and reason for
USGPIV removal were extracted by retrospective chart review. A Kaplan-Meier
survival analysis was performed. RESULTS: After 48 hours from USGPIV placement,
32% (48/151) had failed prematurely, 24% (36/151) had been removed for routine
reasons, and 44% (67/151) remained in working condition yielding a survival
probability of 0.63 (95% confidence interval [CI], 0.53-0.70). Survival
probability was perfect (1.00) when placed in shallow vessels (<0.4 cm), moderate
(0.62; 95% CI, 0.51-0.71) for intermediate vessels (0.40-1.19 cm), and poor
(0.29; 95% CI, 0.11-0.51) for deep vessels (>=1.2 cm); P < .0001. Intravenous
survival probability was higher when placed in the antecubital fossa or forearm
locations (0.83; 95% CI, 0.69-0.91) and lower in the brachial region (0.50; 95%
CI, 0.38-0.61); P = .0002. The impact of vessel depth and location was
significant after 3 hours and 18 hours, respectively. Vessel diameter did not
affect USGPIV longevity. CONCLUSION: Cannulation of deep and proximal vessels is
associated with poor USGPIV survival. Careful selection of target vessels may
help improve success of USGPIV placement and durability.
PMID- 22078968
TI - Removal of trace organic contaminants by a membrane bioreactor-granular activated
carbon (MBR-GAC) system.
AB - The removal of trace organics by a membrane bioreactor-granular activated carbon
(MBR-GAC) integrated system were investigated. The results confirmed that MBR
treatment can be effective for the removal of hydrophobic (log D>3.2) and readily
biodegradable trace organics. The data also highlighted the limitation of MBR in
removing hydrophilic and persistent compounds (e.g. carbamazepine, diclofenac,
and fenoprop) and that GAC could complement MBR very well as a post-treatment
process. The MBR-GAC system showed high removal of all selected trace organics
including those that are hydrophilic and persistent to biological degradation at
up to 406 bed volumes (BV). However, over an extended period, breakthrough of
diclofenac was observed after 7320 BV. This suggests that strict monitoring
should be applied over the lifetime of the GAC column to detect the breakthrough
of hydrophilic and persistent compounds which have low removal by MBR treatment.
PMID- 22078969
TI - Cloning and expression of a gene with phospholipase B activity from Pseudomonas
fluorescens in Escherichia coli.
AB - A gene from Pseudomonasfluorescens BIT-18 encoding a protein with phospholipase B
activity (Pf-PLB) was cloned in E. coli BL21 (DE3). The open reading frame
consists of 1272 bp and potentially encodes a protein of 423 amino acid residues
with a calculated molecular mass of 45.8 kDa. The nucleotide sequence of Pf-PLB
is 45%, 42%, 41%, 40%, 33%, and 31% identical to that of Bifidobacterium animals,
Mycobacterium parascrofulaceum, Acidobacterium capsulatum, Lactobacillus
johnsonii, Moraxella bovis, and Moraxella catarrhalis, respectively. The His
tagged protein was purified by affinity chromatography and the eluted protein
hydrolyzed both the 1- and 2-ester bond of phosphatidylcholine. The recombinant
Pf-PLB had optimal activity at pH 6.0 and 30 degrees C, and it showed 20.1%
higher efficiency in the conversion rate of the phosphorus content than the wild
type.
PMID- 22078971
TI - Radiotherapy and cervix cancer - university hospitals Birmingham experience with
patients not suitable for concurrent chemoradiotherapy with cisplatin.
PMID- 22078970
TI - Removal of oxytetracycline (OTC) in a synthetic pharmaceutical wastewater by a
sequential anaerobic multichamber bed reactor (AMCBR)/completely stirred tank
reactor (CSTR) system: biodegradation and inhibition kinetics.
AB - An anaerobic multichamber bed reactor (AMCBR) was effective in removing both
molasses-chemical oxygen demand (COD), and the antibiotic oxytetracycline (OTC).
The maximum COD and OTC removals were 99% in sequential AMCBR/completely stirred
tank reactor (CSTR) at an OTC concentration of 300 mg L(-1). 51%, 29% and 9% of
the total volatile fatty acid (TVFA) was composed of acetic, propionic acid and
butyric acids, respectively. The OTC loading rates at between 22.22 and 133.33 g
OTC m(-3) d(-1) improved the hydrolysis of molasses-COD (k), the maximum specific
utilization of molasses-COD (k(mh)) and the maximum specific utilization rate of
TVFA (k(TVFA)). The direct effect of high OTC loadings (155.56 and -177.78 g OTC
m(-3) d(-1)) on acidogens and methanogens were evaluated with Haldane inhibition
kinetic. A significant decrease of the Haldane inhibition constant was indicative
of increases in toxicity at increasing loading rates.
PMID- 22078972
TI - Early chronotropic response analysis in head up tilt table test.
PMID- 22078973
TI - Superior approach for radiofrequency ablation of common atrial flutter in patient
with heterotaxy syndrome.
PMID- 22078974
TI - An unexpected cause of acute ST-elevation: an unconsciously swallowed sewing
needle migrating to the heart.
PMID- 22078975
TI - Long-term course of stemi complicated by a moderate to severe pericardial
effusion. Frequency of left ventricular pseudoaneurysm.
PMID- 22078976
TI - Double right coronary artery or split right coronary artery?
AB - The prevalence of congenital anomalies of the coronary arteries (CAAs) is
reported to be approximately 0.2-1.4% of the general population. Of them, The
double right coronary artery (RCA) is one of the rarest coronary anomalies.
Nonetheless, there is no consensus of the definition of a double RCA until now.
Several concepts have been proposed in order to define what is and is not a
double RCA. So far, it was been reported 37 times and in 44 cases after a
comprehensive literature search through the PubMed database, using the keywords
"double right coronary artery," "duplicated right coronary artery," "dual right
coronary artery" and "split right coronary artery." Most of the published
articles (28 of 37 articles) used the name "double right coronary artery."
Nevertheless, some investigators contended that a split RCA is anatomically the
same anomaly as the improperly named "double right coronary artery". The debate
between those who favor "double RCA" and those who favor "split RCA" indicate the
need for a consensus regarding the nomenclature as well diagnostic criteria of
such coronary anomalies. It is the time we need to reach a consensus of the
nomenclature of this congenital coronary anomaly.
PMID- 22078977
TI - Vectorcardiography shows cardiac memory and repolarization heterogeneity after
ablation of accessory pathways not apparent on ECG.
AB - BACKGROUND: Pacing induced cardiac memory is an established phenomenon, but
following successful WPW ablation, cardiac memory was present on ECG in variable
proportions of patients depending on accessory pathway (AP) location. We
hypothesized that vectorcardiography (VCG), which is more sensitive than ECG,
would show cardiac memory after WPW ablation independent of AP location. METHODS:
Thirty-six patients were followed after successful AP ablation, 11 with overt
posteroseptal (PS), 13 with overt left-sided (LS) and 12 with concealed APs
(controls). VCGs were recorded the day before and after the procedure, >=
once/week for 6-8 weeks and after >= 3 months. T vector and T-vector loop
parameters were analyzed and compared. RESULTS: After ablation of overt APs,
there was a correlation between the directions of the preexcited maximum QRS
vector and the post-ablation maximum T-vector, confirming the presence of cardiac
memory. Ablation of overt APs was followed by cardiac memory apparent in
different directions. Thus, ablation of PS APs was followed by most pronounced
changes in T-vector elevation and LS APs with significant changes only in T
vector azimuth. Cardiac memory disappeared within a month in > 80% of cases.
Furthermore, T-vector loop morphology changes suggested a period of
repolarization heterogeneity immediately after ablation of overt APs.
CONCLUSIONS: According to VCG analysis cardiac memory was present after ablation
of overt APs independent of location as consistently as after ventricular pacing,
and disappeared within a similar time frame during normal ventricular activation.
In addition, signs of transient repolarization heterogeneity were observed after
ablation of overt APs.
PMID- 22078978
TI - Unruptured aneurysms of all sinus of Valsalva causing non-ST-elevation myocardial
infarction.
PMID- 22078979
TI - Effects of nebivolol or irbesartan in combination with hydrochlorothiazide on
vascular functions in newly-diagnosed hypertensive patients: the NINFE
(Nebivololo, Irbesartan Nella Funzione Endoteliale) study.
AB - BACKGROUND: Arterial hypertension affects endothelial function and arterial
stiffness. The angiotensin (AT1) receptor antagonist irbesartan improves
endothelial function and arterial stiffness in hypertensive patients. Nebivolol,
a beta(1)-selective beta blocker, reduces systemic vascular resistance and
stimulates nitric oxide release thus exerting positive effects on vascular
function. However, comparative studies on the vascular effects of third
generation beta-blockers and AT1 receptor blockers are lacking. Aim of this
randomized, double-blind study was to test the hypothesis of non-inferiority of
nebivolol to irbesartan, both in association with hydrochlorothiazide, on
endothelial function, arterial stiffness and central hemodynamic parameters in
patients with arterial hypertension naive on therapy. METHODS: Sixty-five
patients were randomized to receive irbesartan/hydrochlorothiazide (150 mg/12.5
mg day) or nebivolol/hydrochlorothiazide (5mg/12.5 mg day) for 8-weeks.
Endothelial function, pulse wave velocity, augmentation index, central and
brachial blood pressures were measured at baseline and at the end of the study.
RESULTS: Systolic and diastolic central blood pressure, as well as brachial
arterial pressure, decreased to a similar extent after both treatments. Similar
changes in endothelial function between groups were detected at the end of the
study. A significant reduction in pulse wave velocity, central blood pressure,
and augmentation index adjusted for heart rate, was found in both the treatment
groups at the end of the study, without significant differences between groups.
CONCLUSIONS: The results of this study confirm the hypothesis of non-inferiority
of short-term treatment with nebivolol compared to irbesartan, both in
association with hydrochlorothiazide, on endothelial function, arterial stiffness
and central hemodynamic parameters in hypertensive patients naive on therapy.
PMID- 22078980
TI - Dissociation between anatomical and functional results after MitraClip
implantation.
PMID- 22078981
TI - Short-term effects of eicosapentaenoic acid on P wave signal-averaged
electrocardiogram in patients with coronary artery disease.
PMID- 22078982
TI - A case of myocardial infarction in a young female with subclinical
hyperthyroidism.
PMID- 22078983
TI - Circumferential myocardial contraction patterns in patients with idiopathic
frequent premature ventricular complexes from the right ventricular outflow
tract.
AB - BACKGROUND: The pathogenesis of frequent premature ventricular complexes (PVCs)
in patients without overt cardiovascular disease is considered idiopathic.
Idiopathic PVCs are predominantly from the right ventricular outflow tract (RVOT)
and considered a reversible cause of left ventricular (LV) dysfunction.
OBJECTIVES: We investigated whether alternations in LV contraction patterns exist
in patients with idiopathic frequent PVCs originating from the RVOT. METHODS:
Segmental myocardial circumferential strain (CS)-time curves were acquired from
29 patients with idiopathic frequent RVOT-PVCs and 30 healthy subjects. Peak CS
and the time to peak CS (TPcs) of each segment were analyzed, with the standard
deviation of TPcs for 18 LV segments (SDtpcs) calculated. All values of patients
with RVOT-PVCs were recorded during both sinus beats (PVC-S) and ventricular
extrasystoles (PVC-V), respectively. RESULTS: The distribution of peak CS and
TPcs in the PVC-V was opposite that of the healthy subjects. The distribution of
TPcs of PVC-S within the different layers differed from that of healthy subjects.
These patients had significantly greater dyssynchrony compared with the healthy
subjects assessed by SDtpcs (healthy subjects: 6.7%+/-1.9%, PVC-S: 8.1%+/-3.1%,
PVC-V: 9.7%+/-3.4%, healthy subjects vs. PVC-S p=0.04; healthy subjects vs. PVC-V
p=0.001). Furthermore, a positive correlation was observed between PVC frequency
and SDtpcs of PVC-S (r=0.44, p=0.02). CONCLUSIONS: Idiopathic frequent RVOT-PVCs
can induce alterations in the LV myocardial contractile pattern during both sinus
beats and ventricular extrasystoles. Circumferential contraction dyssynchrony of
patients during sinus beats relates to PVC frequency. Therefore, attention should
be paid to patients with frequent RVOT-PVCs, especially those with a high
prevalence of PVCs.
PMID- 22078984
TI - Autonomic activation during sleep and new-onset ambulatory hypertension in the
elderly.
AB - BACKGROUND: Sympathetic "overactivity" during night is a well recognized factor
of hypertension development in adults. However, the deleterious effect of
nocturnal autonomic activation in elderly remains controversial. METHODS:
Subjects, all aged 65 years at baseline, were selected from the PROOF cohort
study, a prospective observational cohort of subjects. Exclusion criteria were
previous myocardial infarction, stroke, heart failure, type-1 diabetes, atrial
fibrillation or anti-arrhythmic drug. Normotensive subjects were selected
according to: 24-h ambulatory blood pressure (BP) measurements <135/85 mm Hg,
absence of self-report antihypertensive treatment. Autonomic activation during
sleep was evaluated from night-time ECG Holter recording. According the %VLFI
(Very-Low Frequency component of Interbeat Interval Increment evaluated from
heart rate variability analysis). Subjects with new-onset ambulatory hypertension
were identified after two years when daytime ambulatory blood pressure was higher
than 135/85 mm Hg or when they used an antihypertensive treatment. RESULTS: Among
428 normotensive subjects at inclusion, 62 (14.5%) were considered as
hypertensives after two years. Univariate logistic regression model showed a
significant association between abnormal %VLFI (>4%) and new-onset hypertension:
OR = 1.78 (1.03-3.07). Male gender, increased body mass index and ambulatory
systolic BP were also associated with increased risk of hypertension. After
adjustment in the stepwise logistic regression, abnormal %VLFI was associated
with an 82% increase in odds of new-onset hypertension, independently of systolic
ABPM and body mass index. CONCLUSION: Repeated autonomic activation during sleep,
whatever the origin of the phenomenon, may trigger hypertension development in
the elderly.
PMID- 22078985
TI - Dose-response relationship of baroreflex sensitivity and heart rate variability
to individually-tailored exercise training in patients with heart failure.
AB - BACKGROUND: Heart Rate Variability (HRV) and Baroreflex Sensitivity (BRS) are
impaired in patients with Chronic Heart Failure (CHF) and carry negative
prognosis. Exercise training improves these parameters. However, the relationship
between exercise training with HRV and BRS has been investigated without regard
for individual training loads. We tested the hypothesis that in CHF patients
changes in HRV and BRS are dose-response related to individual volume/intensity
training load (TL). METHODS: Twenty patients with stable postinfarction CHF under
optimal medical treatment were randomized to either aerobic continuous training
(ACT) or aerobic interval training (AIT) for 12weeks. Individualized TL was
monitored by the Training Impulses (TRIMPi) method, which was determined using
the individual HR and lactate profiling determined during a treadmill test at
baseline. HRV (standard deviation of mean R-R interval) and BRS were assessed at
rest and 3weeks apart, throughout the study. RESULTS: HRV, BRS and R-R interval
increased significantly with training, being very highly correlated to the dose
of exercise with a second-order regression model (r(2) ranged from 0.75 to 0.96;
P<0.001), resembling a bell-shaped in the ACT, and an asymptotic-shaped curve in
the AIT groups, respectively. These changes were accompanied by a significant
increase in functional capacity. No significant differences were detected between
ACT and AIT in any variable. CONCLUSIONS: These results suggest that improvements
in HRV and BRS by exercise training in CHF patients are dose related to TL in a
non-linear fashion on an individual basis, with optimal results at moderate doses
of exercise.
PMID- 22078986
TI - CoreValve deployment during cardiopulmonary resuscitation without angiographic
injections guidance: an additional capability of Accutrak system?
PMID- 22078987
TI - Response to "Sirolimus or paclitaxel drug eluting stent in left main disease: the
winner is...".
PMID- 22078988
TI - Systemic administration of bevacizumab increases the risk of cardiovascular
events in patients with metastatic cancer.
PMID- 22078989
TI - Acute Q fever myocarditis: thinking about a life-threatening but potentially
curable condition.
PMID- 22078990
TI - Navigating the mini-maze: systematic review of the first results and progress of
minimally-invasive surgery in the treatment of atrial fibrillation.
AB - BACKGROUND: In this paper we present a systematic literature overview and
analysis of the first results and progress made with minimally-invasive surgery
using RF energy in the treatment of AF. The minimally-invasive treatment for
atrial fibrillation (AF) tries to combine the success rate of surgical treatment
with a less invasive approach to surgery. It has the additional potential
advantage of ganglion plexus (GP) ablation and left atrial appendage exclusion.
Furthermore, additional left atrial ablation lines (ALAL) can be created in non
paroxysmal AF patients. METHODS: For the search query multiple databases were
used. Exclusion and inclusion criteria were applied to select the publications to
be screened. All remaining articles were critically appraised and only relevant
and valid articles were included in our results. RESULTS: Twenty-three studies
were included. In 15 studies GPs around the pulmonary veins were ablated. In four
studies ALAL were performed. Single procedure success rate was 69% (95% CI, range
58%-78%) without antiarrhythmic drugs (AAD) and 79% (95% CI, range 71%-85%) with
AAD at one year follow-up. Mortality was 0.4%, and various complications were
reported (3.2% surgical, 3.2% post-surgical, 2.6% cardiac, 2.1% pulmonary, 1.7%
other). CONCLUSIONS: Twenty-three studies of minimally-invasive surgery for AF
have been reviewed with success rates between that of the standard maze procedure
and catheter ablation. These first combined results show promise; however,
minimally-invasive surgery is still evolving, for instance by the recent
inclusion of electrophysiological endpoints. Furthermore, the type of ALAL and
the additional value of GP ablation have to be elucidated.
PMID- 22078991
TI - Quantification of serum ferritin in the acute coronary syndrome: a puzzle still
to be resolved?
PMID- 22078992
TI - Heart failure and comorbid diabetes mellitus or chronic obstructive pulmonary
disease: effects on mood in outpatients.
PMID- 22078993
TI - Subsequent silent plaque rupture of nonculprit lesion in a patient with acute
myocardial infarction.
PMID- 22078994
TI - Advances in the chemistry of small molecule fluorescent probes.
AB - Small molecule fluorophores are essential tools for chemical biology. A benefit
of synthetic dyes is the ability to employ chemical approaches to control the
properties and direct the position of the fluorophore. Applying modern synthetic
organic chemistry strategies enables efficient tailoring of the chemical
structure to obtain probes for specific biological experiments. Chemistry can
also be used to activate fluorophores; new fluorogenic enzyme substrates and
photoactivatable compounds with improved properties have been prepared that
facilitate advanced imaging experiments with low background fluorescence.
Finally, chemical reactions in live cells can be used to direct the spatial
distribution of the fluorophore, allowing labeling of defined cellular regions
with synthetic dyes.
PMID- 22078995
TI - Differential gene expression in tea (Camellia sinensis L.) calli with different
morphologies and catechin contents.
AB - Tea (Camellia sinensis) is a commercially important crop that contains valuable
secondary metabolites. To understand the molecular regulation of secondary
metabolism in tea, we selected and analyzed two cell lines of tea callus
(Yunjing63Y and Yunjing63X) that showed different morphological characteristics
and catechin contents. Yunjing63Y callus was yellow and tight, while yunjing63X
callus was white and loose. HPLC analyses showed that Yunjing63Y contained 3.71
times higher levels of catechins than Yunjing63X. Using cDNA amplified fragment
length polymorphism (cDNA-AFLP) we identified 68 genes that were differentially
expressed between the two lines. Of the 68 differentially expressed ESTs, 40
showed higher expressions in Yunjing63Y and 28 showed higher expressions in
Yunjing63X. BLASTX comparisons classified these ESTs into seven functional
groups; phenylpropanoid metabolism (2.9%), UDPG-dependent glucosyl transferase
(8.8%), transcription factors (11.8%), transporters (13.2%), signal transduction
(19.1%), other metabolism (26.5%), and unknown (17.7%). We used qRT-PCR to
validate the expression of genes and ESTs, and found that genes associated with
flavan-3-ols biosynthesis and metabolism were expressed at higher levels in
Yunjing63Y than in Yunjing63X. In addition, the expression of ESTs associated
with flavonoid biosynthesis, regulation and transport were higher in Yunjing63Y
than in Yunjing63X. The full-length cDNA of a EST coding for a putative MYB
transcription factor was amplified using rapid amplification of cDNA ends (RACE).
The resulting 1270 bp long cDNA, named CsMYB1, contained a 933-bp ORF encoding a
310-amino acid protein with a predicted molecular weight of 105.27 kDa and a
predicted isoelectric point of 4.85 and showed highest homology to plant MYBs
likely involved in stress signaling.
PMID- 22078996
TI - Immunolocalization of H(+)-ATPase and IRT1 enzymes in N(2)-fixing common bean
nodules subjected to iron deficiency.
AB - The demand for iron in leguminous plants increases during symbiosis, as the metal
is utilised for the synthesis of various Fe-containing proteins in both plant and
bacteroids. However, the acquisition of this micronutrient is problematic due to
its low bioavailability at physiological pH under aerobic conditions. Induction
of root Fe(III)-reductase activity is necessary for Fe uptake and can be coupled
to the rhizosphere acidification capacity linked to the H(+)-ATPase activity. Fe
uptake is related to the expression of a Fe(2+) transporter (IRT1). In order to
verify the possible role of nodules in the acquisition of Fe directly from the
soil solution, the localization of H(+)-ATPase and IRT1 was carried out in common
bean nodules by immuno-histochemical analysis. The results showed that these
proteins were particularly abundant in the central nitrogen-fixing zone of
nodules, around the periphery of infected and uninfected cells as well as in the
vascular bundle of control nodules. Under Fe deficiency an over-accumulation of
H(+)-ATPase and IRT1 proteins was observed especially around the cortex cells of
nodules. The results obtained in this study suggest that the increase in these
proteins is differentially localized in nodules of Fe-deficient plants when
compared to the Fe-sufficient condition and cast new light on the possible
involvement of nodules in the direct acquisition of Fe from the nutrient
solution.
PMID- 22078997
TI - Bone mineral density, fracture, and vitamin D in adolescents and young women
using depot medroxyprogesterone acetate.
AB - STUDY OBJECTIVE: To evaluate bone mineral density (BMD) in adolescents and young
adults treated with depot medroxyprogesterone acetate (DMPA). DESIGN, SETTING,
PARTICIPANTS: Eighty-three healthy subjects, 13-20 years old, who received at
least 3 DMPA injections in an urban adolescent clinic and underwent dual energy x
ray absorptiometry (DXA) were evaluated by chart review. MAIN OUTCOME MEASURES:
Anthropometric data, DMPA use, BMD of the spine and hip, fracture history, and
vitamin D status were collected. RESULTS: Subjects were a median age of 16.4
years old (range 13-20 years) when DMPA was initiated. The median number of DMPA
injections was 5 (range 3-18) before the first DXA. At the spine and hip,
respectively, BMD was normal (Z-score > -1.0 SD) for most subjects (79%, 86%).
Subjects who received > 5 injections were more likely to have low spinal BMD (Z
score <= -2.0 SD) at first DXA (P = .018). In 15 subjects with repeat DXA
measurements, after an additional median 6 injections, spinal BMD Z-score
decreased by -0.33 +/- 0.10 (mean +/- SD, P = .004), as did absolute BMD at the
hip (-0.019 +/- 0.007 g/cm(2), P = .014). History of fracture was not associated
with initial or subsequent BMD measurements. Most (12/13, 92.3%) subjects with
vitamin D measurements were deficient (25-hydroxy vitamin D < 20 ng/mL).
CONCLUSIONS: Most subjects on DMPA had normal BMD at first DXA. Low spinal BMD
was associated with longer DMPA use, and some BMD measurements declined with
prolonged use. Fracture history is not an absolute contraindication to DMPA use
in this population. Studies are needed to determine possible benefits of vitamin
D supplementation in DMPA users.
PMID- 22078998
TI - Paraspinal muscle function assessed with the flexion-relaxation ratio at baseline
in a population of patients with back-related leg pain.
AB - OBJECTIVE: The purpose of this study was to assess back muscle status at baseline
in patients with back-related leg pain (BRLP) and to correlate those findings
with baseline demographic and clinical factors. METHODS: Surface electromyography
(EMG) and electromagnetic motion-tracking detected flexion-relaxation response in
135 patients with BRLP. Surface EMG electrodes were attached with standard skin
preparation over the right and left paraspinal muscles at L3. Participants moved
from upright standing into full forward flexion, rested flexed for 1 second, and
returned to the upright position. A flexion-relaxation ratio (FRR) factor was
calculated as the root mean square EMG amplitude during forward flexion divided
by the activity at full flexion. RESULTS: High repeatability was found
(intraclass correlation coefficient [ICC]([1,3]) = 0.94 and 0.86) between 3
cycles of assessment at the same session. Patients with BRLP exhibited low FRR
values, indicating a loss of the flexion-relaxation response similar to that seen
in low back pain patients. Patients with very low FRR had higher Roland-Morris
Disability Questionnaire scores than the other patients, had increased incidence
of straight leg raise test, and had decreased range and rate of forward flexion.
CONCLUSIONS: A subgroup of patients with BRLP was identified with very low FRR
who exhibited more disability and clinical findings and decreased motion. The use
of the inverted FRR factor, expressing muscle activity at the fully flexed and
resting position as a percentage of peak activity during flexion, provides more
stable numerical behavior and another perspective on interpreting FRRs.
PMID- 22078999
TI - Postural assessment of lumbar lordosis and pelvic alignment angles in adolescent
users and nonusers of high-heeled shoes.
AB - OBJECTIVE: The aims of this study were (1) to analyze the influence of wearing
high-heeled shoes on lumbar lordosis and pelvic inclinations among adolescents
aged between 13 and 20 years were users and nonusers of high-heeled shoes and (2)
to correlate these postural angles with age. METHODS: Fifty adolescents from the
nonuser group (NUG) of high-heeled shoes and 50 from the user group (UG) were
evaluated. Postural assessments were obtained by photogrammetry under 2
conditions-barefoot and with high-heeled shoes-and analyzed using the evaluation
postural software. The measured angles included lumbar lordosis and the
horizontal alignment of the pelvis. Descriptive analyses were carried out, with a
significance level of 5%. RESULTS: With high-heeled use, the NUG demonstrated
rectification of the lumbar spine and pelvic retroversion, whereas the UG
demonstrated hyperlordosis and pelvic anteversion. When barefoot, smaller lumbar
lordosis angles for both groups were observed. However, the pelvic angles were
lower for the UG group and higher for the NUG. The studied angles showed high
reliabilities. Age was correlated with lumbar lordosis angles for the NUG in the
barefoot condition and with pelvic alignments in both conditions for the UG.
CONCLUSION: For the subjects in this study, the use of high-heeled shoes is
correlated with increased lumbar lordosis and pelvic anteversions. Lumbar
lordosis angles are correlated with age for the NUG when barefoot.
PMID- 22079000
TI - Human subject research: reporting ethics approval and informed consent in 3
chiropractic journals.
AB - OBJECTIVE: To date, there have been no reports of ethics board approval or
informed consent within the chiropractic literature or within chiropractic
research. The purpose of this study was to assess the reporting of ethics
approval and informed consent in articles published during the 2008 volume year
of 3 chiropractic research journals included in PubMed. METHODS: A quantitative
assessment of the articles published in each journal for the 2008 volume year was
performed. Information collected included if the article involved human subject
research, if it reported ethics board approval, and if informed consent was given
to subjects. Data were collected as descriptive statistics (frequency counts and
percentages). RESULTS: In aggregate, 50 articles of a total of 143 published
involved human subject research (35%). 44 reported ethics board approval (88%),
and 28 reported that informed consent had been obtained (56%). Forty-five percent
of articles published in the Journal of Manipulative and Physiological
Therapeutics involved human subject research (39/87), of which 95% reported
ethics board approval (37/39) and 64% reported informed consent (25/39); 12.5% of
articles from the Journal of the Canadian Chiropractic Association involved human
subject research (5/40), of which 80% reported ethics board approval (4/5) and
40% reported informed consent (2/5); and 37.5% of articles published in
Chiropractic and Osteopathy involved human subject research (6/16), of which 50%
reported ethics board approval (3/6) and 17% reported informed consent (1/6).
CONCLUSION: Overall, most articles reported ethics approval, and more than half
reported consent. This was harmonious with research on this topic from other
disciplines. This situation indicates a need for continued quality improvement
and for better instruction and dissemination of information on these issues to
researchers, to manuscript reviewers, to journal editors, and to the readers.
PMID- 22079001
TI - Human subjects research.
PMID- 22079002
TI - Cell adhesion on an artificial extracellular matrix using aptamer-functionalized
PEG hydrogels.
AB - The development of an artificial extracellular matrix (ECM) is important to
regenerative medicine because the ECM plays complex and dynamic roles in the
regulation of cell behavior. In this study, nucleic acid aptamers were applied to
functionalize hydrogels for mimicking the adhesion sites of the ECM. The results
showed that nucleic acid aptamers could be incorporated into polyethylene glycol
(PEG) hydrogels via free radical polymerization. The incorporation of the
aptamers produced only a moderate effect on the mechanical properties of the PEG
hydrogels. Importantly, the results also showed that the aptamers effectively
induced cell type-specific adhesion to the PEG hydrogels without affecting cell
viability. The cell adhesion was a function of the aptamer concentration, the
spacer length and the cell seeding time. In addition, cell adhesion to the
aptamer-functionalized hydrogel could be attenuated by means of aptamer
inactivation in a physiological condition. Thus, aptamer-functionalized hydrogels
are promising biomaterials for the development of artificial ECMs.
PMID- 22079003
TI - Preclinical pharmacokinetic, biodistribution, and anti-cancer efficacy studies of
a docetaxel-carboxymethylcellulose nanoparticle in mouse models.
AB - We have developed a polymer conjugate (Cellax) composed of acetylated
carboxymethylcellulose (CMC), docetaxel (DTX), and PEG, designed to enhance the
pharmacokinetics (PK) and antitumor efficacy of DTX. Our design placed an
emphasis on nanoparticle self-assembly to protect DTX during blood transport,
stability of the nanoparticle, and PEGylation to enhance PK. Compared to
Taxotere, Cellax exhibited a 38.6 times greater area under the curve (AUC), and
significantly lower clearance (2.5%) in PK. Less than 10% of DTX was released
from Cellax in the blood circulation, indicating that Cellax were stable during
blood transport. Cellax reduced non-specific distribution of DTX to the heart,
lung and kidney by 48, 90, and 90%, respectively, at 3 h, compared to Taxotere.
The uptake of Cellax at 3 h in the liver and spleen was high (15-45 MUg DTX/g)
but declined rapidly to <10 MUg DTX/g in 24 h, and induced no measurable toxicity
at 170 mg DTX/kg. Taxotere, on the other hand, displayed non-specific uptake in
all the examined normal tissues and induced significant apoptosis in the lung and
kidney at 40 mg DTX/kg. The tumor uptake of Cellax was 5.5-fold more than that by
Taxotere and the uptake occurred within 3 h after injection and persisted for 10
days. The conjugate exhibited enhanced efficacy in a panel of primary and
metastatic mouse tumor models. These results clearly demonstrated that Cellax
improved the pharmacokinetics, biodistribution and efficacy of DTX compared to
Taxotere with reduced toxicity.
PMID- 22079004
TI - In vivo treatment of tumors using host-guest conjugated nanoparticles
functionalized with doxorubicin and therapeutic gene pTRAIL.
AB - The combination of gene therapy and chemotherapy may increase the therapeutic
efficacy in the treatment of patients. In this work, the anti-cancer drug Dox and
therapeutic gene pTRAIL-loaded host-guest co-delivery system was assayed for the
possibility of in vivo synergistically treating tumors. The introduced Dox could
act as an auxiliary component to human tumor necrosis factor-related apoptosis
inducing ligand-encoding plasmid gene pTRAIL. Such delivery system possessed the
good ability of in vivo retention of chemotherapeutic drugs, achieved good
therapeutic effects in the inhibition of tumor growth and significantly prolonged
the survival time of tumor-bearing mice. With the efficient ability to co-deliver
drug and gene, such host-guest assembly should have great potential applications
in cancer therapy.
PMID- 22079005
TI - Impact of processing parameters on the haemocompatibility of Bombyx mori silk
films.
AB - Silk has traditionally been used for surgical sutures due to its lasting strength
and durability; however, the use of purified silk proteins as a scaffold material
for vascular tissue engineering goes beyond traditional use and requires
application-orientated biocompatibility testing. For this study, a library of
Bombyx mori silk films was generated and exposed to various solvents and
treatment conditions to reflect current silk processing techniques. The films,
along with clinically relevant reference materials, were exposed to human whole
blood to determine silk blood compatibility. All substrates showed an initial
inflammatory response comparable to polylactide-co-glycolide (PLGA), and a low to
moderate haemostasis response similar to polytetrafluoroethylene (PTFE)
substrates. In particular, samples that were water annealed at 25 degrees C for
6 h demonstrated the best blood compatibility based on haemostasis parameters
(e.g. platelet decay, thrombin-antithrombin complex, platelet factor 4,
granulocytes-platelet conjugates) and inflammatory parameters (e.g. C3b, C5a,
CD11b, surface-associated leukocytes). Multiple factors such as treatment
temperature and solvent influenced the biological response, though no single
physical parameter such as beta-sheet content, isoelectric point or contact angle
accurately predicted blood compatibility. These findings, when combined with
prior in vivo data on silk, support a viable future for silk-based vascular
grafts.
PMID- 22079006
TI - Recombinant spider silk particles for controlled delivery of protein drugs.
AB - The engineered and recombinant spider silk protein eADF4(C16) has been shown to
be a promising biomaterial for the use as drug delivery system. In previous
studies, eADF4(C16) particles were loaded with low molecular weight drugs
exhibiting a positive net-charge and sufficient hydrophobicity. Here, we
demonstrate that also macromolecular drugs like proteins can be loaded on
eADF4(C16) particles. Using lysozyme as a model protein, remarkably high loading
of up to 30% [w/w] was feasible and high loading efficiencies of almost 100% were
obtained. Furthermore, using confocal laser scanning microscopy, it is
demonstrated that fluorescently labeled lysozyme is not only adsorbed to the
negatively charged particles' surface, but also diffusing into the matrix of
eADF4(C16) particles. The release of lysozyme is shown to be dependent on the
ionic strength and pH of the release medium. To improve the long-term stability
of eADF4(C16) containing dispersions, lyophilization is shown as a suitable tool.
Disaccharides (sucrose, trehalose) and mannitol served as stabilizers to prevent
aggregation and/or particle degradation during freeze-drying. The slowly
biodegradable eADF4(C16) particles are a promising new particulate drug carrier
system for the delivery of susceptible drugs like therapeutic proteins.
PMID- 22079007
TI - Influence of cell culture configuration on the post-cryopreservation viability of
primary rat hepatocytes.
AB - Cryopreservation has been identified as a necessary barrier to overcome in the
production of tissue engineered products for clinical application. Liver
engineering and bioartificial liver assisting devices are on the forefront of
tissue engineering research due to its high demand and clinical potential. In
this study we propose that the cryopreservation of primary mammalian hepatocytes
yields better results when these cells are in a tissue-like culture configuration
since cell attachment is essential for cell survival in this cell type. We used
two different tissue-engineered culture configurations: monolayers and spheroid
culture; and two different concepts of cryopreservation, namely vitrification and
freezing. Cell suspensions were also cryopreserved using both approaches and
results were compared to the engineered cultures. Both engineered configurations
and suspension were cryopreserved using both conventional freezing (cooling at 1
degrees C/minute using 10% DMSO in foetal calf serum) and vitrification (using
40% ethylene glycol 0.6 m sucrose supplemented with 9% Ficoll). These two
approaches differ on the degree of mechanical stress they inflict on the material
to be cryopreserved. The maintenance of cell-to-cell and the integrity of the
actin cytoskeleton were assessed using scanning electron microscopy and
immunohistochemistry respectively. Results showed that while there was no
significant difference between the degree of integrity shown between vitrified
and control engineered cultures, the same did not happen to the frozen engineered
constructs. The disruption of the cytoskeletal structure correlated with
increased levels of apoptotic markers. With cryopreserved suspensions there was
evidence of disruption of the cytoskeletal structure. This study concluded that
cell-to-cell contact is beneficial in the maintenance of viability post
cryopreservation and that the vitrification approach was far superior to those of
conventional freezing when applied to 2D and 3D hepatocyte based engineered
cultures.
PMID- 22079008
TI - Glycosaminoglycan (GAG) binding surfaces for characterizing GAG-protein
interactions.
AB - Glycosaminoglycans play an important role in tissue organisation through
interactions with a diverse range of proteins, growth factors and other
chemokines. In this report, we demonstrate the GAG-binding 'fingerprint' of two
important GAG-binding proteins - osteoprotogerin and TIMP-3. The technique uses a
straightforward method for attaching GAGs to assay surfaces in a non-covalent
manner using plasma polymerization that leaves the adsorbed GAG able to
participate in subsequent ligand binding. We show that OPG and TIMP-3 bind
preferentially to different GAGs in a simple ELISA and that this binding does not
correlate directly with simple GAG properties such as degree of sulfation. The
methods outlined in this report can be easily applied to tissue engineering
scaffolds in order to exploit the potential of surface-bound GAGs in influencing
the structure of engineered tissues.
PMID- 22079009
TI - Parental duties and prenatal screening: does an offer of prenatal screening lead
women to believe that they are morally compelled to test?
AB - BACKGROUND: in debates around prenatal screening, it is frequently argued that
responsible parenthood implies the acquisition of all available medical
information about the health of a fetus, and use of this information to benefit
the future child. OBJECTIVE: to analyse whether an offer of a prenatal test leads
women to believe that they are morally obliged to control the health of their
fetus. DESIGN: a substudy within a randomised controlled trial (RCT) aimed to
assess the decision-making process of women when confronted with an offer of a
prenatal screening test. PARTICIPANTS: 111 women participating in an RCT were
retrospectively asked their views on the meaning of testing within their parental
duties. FINDINGS: testing was described as a personal option that goes beyond the
normal parental responsibilities. Participants did not believe that they ought to
control the health of the fetus or to avoid disability. A duty to test was only
reported when the birth of a disabled child would have a negative impact on
family life. CONCLUSION: women's accounts suggest that two main factors are
involved in making testing morally obligatory: (1) the woman's views on her moral
duties to her family; and (2) the expected burden of a disabled child on the well
being of the family. A family-centred approach would be more suitable to assess
the moral imperative character of testing than women's ethical views about their
moral duties towards their unborn child. IMPLICATIONS: a test offer should not be
limited to communication of the characteristics of screening and the meaning of
the test results. In helping women to assess the meaning of testing within their
parental duties, counselling should include the family situation in which women
have to decide, the women's expectations about living with a child with Down's
syndrome or any other disability, and the women's views on their commitments
towards their family.
PMID- 22079010
TI - An exploration of clinical decision-making among students and newly qualified
midwives.
AB - OBJECTIVE: to explore how midwifery students and newly qualified midwives learnt
to make clinical decisions. DESIGN: an ethnographic approach was taken. Data
collection methods included: 3 focus groups, 15 observations of practice and 27
interviews. The data analysis followed the principles of category and theme
identification as described by Garner (1991) and Ely et al. (1997). Vignettes
were created to represent the findings. SETTINGS AND PARTICIPANTS: the study took
place in the School of Nursing Sciences and 2 NHS Trusts in the Eastern region of
England. Participants included 36 midwifery students, 5 midwives who had been
qualified for less than 1 year and 12 midwifery mentors. KEY CONCLUSIONS:
decision-making was learnt primarily by working alongside midwifery mentors and
through situated learning in practice. Decision-making was not necessarily a solo
activity, it was common for midwives to use each other as a resource, which
established there was a social dimension to midwifery decision-making. Learners
had to navigate through workplace culture, which consisted of: the practices
shared by some midwives and not others, covert rules of practice, midwifery and
institutional authoritarianism. IMPLICATIONS FOR PRACTICE: learners need to work
with mentors who actively encourage participation in decision-making and provide
discussion and feedback on decision-making abilities. When a staged and active
approach to decision-making is not provided this may have negative implications
on the transition to qualified practitioner.
PMID- 22079011
TI - Hypnosis: a twilight zone of the top-down variety Few have never heard of
hypnosis but most know little about the potential of this mind-body regulation
technique for advancing science.
AB - An early form of psychotherapy, hypnosis has been tarnished by a checkered
history: stage shows, movies and cartoons that perpetuate specious myths; and
individuals who unabashedly write 'hypnotist' on their business cards. Hypnosis
is in the twilight zone alongside a few other mind-body exemplars. Although
scientists are still unraveling how hypnosis works, little is mystical about this
powerful top-down process, which is an important tool in the armamentarium of the
cognitive scientist seeking to unlock topical conundrums.
PMID- 22079012
TI - Implementing the Mental Health Act 2007 in British general practice: Lessons from
Ireland.
AB - Changes in mental health legislation (e.g. Mental Health Act 2007 in England and
Wales, Mental Health Act 2001 in Ireland) have generally improved adherence to
international human rights standards, but also present challenges to primary care
providers. When mental health legislation was substantially reformed in Ireland,
62.9% of general practitioners (GPs) felt the new legislation was not user
friendly. Majorities of GPs who felt the legislation affected their practice
reported increased workloads (85%) and various other difficulties (53%). GPs who
had received training about the legislation were more likely to find it user
friendly (43% versus 30.9%), and informal training (e.g. from colleagues) was
just as likely as formal training to be associated with a GP finding it user
friendly. With similar changes to mental health legislation being introduced in
England and Wales, it is significant that informal training is just as good as
formal training in helping GPs work with new mental health legislation.
PMID- 22079013
TI - Crosstalk between NDR kinase pathways coordinates cell cycle dependent actin
rearrangements.
AB - Regulation of cytoskeletal remodeling is essential for cell cycle transitions.
In fission yeast two NDR kinase signaling cascades, MOR and SIN, regulate the
actin cytoskeleton to promote polarized growth during interphase and cytokinesis
respectively. Our understanding of how these signaling pathways are coordinated
to assist transition between the two cell-cycle stages is limited. Here, we
review work from our laboratory, which reveals that cross talk between the SIN
and MOR pathways is required for inhibition of interphase polarity programs
during cytokinesis. Given the conservation of NDR kinase signaling pathways, our
results may define general mechanisms by which these pathways are coordinated in
higher organisms.
PMID- 22079014
TI - Exploring and optimising maternal and infant nutrition in North West Pakistan.
AB - OBJECTIVES: the aim of this study was to explore and contextualise meanings,
beliefs and practices surrounding maternal and infant nutrition in North West
Pakistan and to use the findings to inform the development of a nutritional
improvement programme adapted to local needs. DESIGN: the Context-Mechanism
Outcome framework was used to gather contextual data, formulate questions and
conduct focus groups to inform the design of a culturally appropriate nutritional
health programme with the overall goal of improving practices related to maternal
and infant nutrition. Two focus groups were conducted with the team of local lady
health workers (n=16), one prior to implementing a nutritional improvement
programme and one 6 months after implementation. SETTINGS: the study was
conducted in communities surrounding and served by the Emergency Satellite
Hospital (ESH) in Nahaqi in Khyber Pakhtunkhawa (KP) (formally known as the
Northwest Frontier Province), Pakistan. FINDINGS: poverty and specific cultural
practices impeded the achievement of optimum maternal and infant nutrition. A
nutrition support programme was implemented; it involved cookery demonstration
kitchens and free food supplements, coupled with nutrition and health-care
information-giving for pregnant and lactating women. Focus group discussions
revealed that women had positive perceptions of the impact of the nutritional
health improvement programme, feeling that knowledge and practices were enhanced.
However, structural and cultural factors continued to have a powerful influence
on their practices. CONCLUSIONS AND IMPLICATIONS FOR PRACTICE: this study
highlights that despite structural, cultural and practical barriers, a culturally
sensitive health improvement programme has the potential to enhance maternal and
infant nutritional practices. However, we should not underestimate the powerful
influence of poverty and culturally embedded norms upon women's decisions and
practices.
PMID- 22079015
TI - An exploratory study of traditional birthing practices of Chinese, Malay and
Indian women in Singapore.
AB - OBJECTIVES: to explore the traditional birthing practices of Singaporean women.
RESEARCH DESIGN: a qualitative study using a phenomenological approach. Data were
collected using individual interviews, which were audio-recorded and transcribed
verbatim. Colaizzi's phenomenological method was used to analyse the data.
SETTING: obstetric outpatient clinics in a tertiary hospital in Singapore.
PARTICIPANTS: a purposive sample of 30 women, 1-3 months postpartum. FINDINGS:
two broad themes emerged-following tradition and challenging tradition. KEY
CONCLUSIONS: Singaporean women experiencing pregnancy and childbirth follow
tradition through the influence of their mother and mother-in-law and because of
worry over consequences that may result if they do not. Tradition is also
challenged through the modification or rejection of traditional practices and
changing family roles and expectations. IMPLICATIONS: health professionals need
to provide accurate information on traditional birthing practices and scientific
evidence to support or refute such practices with the aim of preventing women
from adhering to practices that are hazardous to them and the baby.
PMID- 22079016
TI - Bugs and battles during the American Civil War.
PMID- 22079017
TI - Genetics for the general internist.
AB - The internist's goal is to determine a patient's disease risk and to implement
preventative interventions. Genetic evaluation is a powerful risk assessment
tool, and new interventions target previously untreatable genetic disorders. The
purpose of this review is to educate the general internist about common genetic
conditions affecting adult patients, with special emphasis on diagnoses with an
effective intervention, including hereditary cancer syndromes and cardiovascular
disorders. Basic tenets of genetic counseling, complex genetic disease, and
management of adults with genetic diagnoses also are discussed.
PMID- 22079018
TI - Systematic review of guidelines on peripheral artery disease screening.
AB - BACKGROUND: Peripheral artery disease (PAD) screening may be performed to prevent
progression of PAD or future cardiovascular disease in general. Recommendations
for PAD screening have to be derived indirectly because no randomized trials
comparing screening versus no screening have been performed. We performed a
systematic review of guidelines to evaluate the value of PAD screening in
asymptomatic adults. METHODS: Guidelines in English published between January 1,
2003 and January 20, 2011 were retrieved using MEDLINE, CINAHL, the National
Guideline Clearinghouse, the National Library for Health, the Canadian Medication
Association Infobase, and the G-I-N International Guideline Library. Guidelines
developed by national and international medical societies from Western countries,
containing recommendations on PAD screening, were included. Two reviewers
independently assessed rigor of guideline development using the Appraisal of
Guidelines Research and Evaluation (AGREE) instrument. One reviewer performed
full extraction of recommendations, which was validated by a second reviewer.
RESULTS: Of 2779 titles identified, 8 guidelines were included. AGREE scores
varied from 33% to 81%. Five guidelines advocated PAD screening, others found
insufficient evidence for PAD screening or were against it. Measurement of the
ankle-brachial index (ABI) was generally recommended for middle-aged populations
with elevated cardiovascular risk levels. Those identified as having PAD are
reclassified as high risk, warranting intensive preventive interventions to
reduce their risk of a cardiovascular event. The underlying evidence mainly
consisted of studies performed in patients with established PAD. A meta-analysis
that evaluated ABI testing in the context of traditional cardiovascular risk
assessment was interpreted differently. CONCLUSIONS: Recommendations on PAD
screening vary across current guidelines, making the value of PAD screening
uncertain. The variation seems to reflect lack of studies that show added value
of detection of early PAD beyond expectant management and traditional risk
assessment.
PMID- 22079019
TI - Preoperative thienopyridine use and outcomes after surgery: a systematic review.
AB - BACKGROUND: Although studies have demonstrated excess risk of ischemic events if
aspirin is withheld preoperatively, it is unclear whether preoperative
thienopyridine use influences postoperative outcomes. METHODS: We conducted a
systematic review of 37 studies (31 cardiac and 6 noncardiac surgery, 3
randomized, 34 observational) comparing postoperative outcomes in patients who
were versus were not exposed to thienopyridine in the 5 days before surgery.
RESULTS: Exposure to thienopyridine in the 5 days preceding surgery (compared
with no exposure) was not associated with any reduction in postoperative
myocardial infarction (23 studies, 12,872 patients, 3.4% vs 3.0%, odds ratio [OR]
0.98; 95% confidence interval [CI], 0.72-1.34), but was associated with increased
risks of stroke (16 studies, 10,265 patients, 1.9% vs 1.4%, OR 1.54; 95% CI, 1.08
2.20), reoperation for bleeding (32 studies, 19,423 patients, 4.3% vs 1.8%, OR
2.62; 95% CI, 1.96-3.49), and all-cause mortality (28 studies, 22,990 patients,
3.7% vs 2.6%, OR 1.38; 95% CI, 1.13-1.69). Results were identical when analyses
were restricted to long-term users of thienopyridines who continued versus held
the medication in the 5 days before surgery. Although all associations were
similar in direction for the subset of patients undergoing noncardiac surgery,
97% of the outcome data in this meta-analysis came from cardiac surgery trials.
CONCLUSIONS: These data support withholding thienopyridines 5 days before cardiac
surgery; there was insufficient evidence to make definitive recommendations for
elective noncardiac surgery although the direction and magnitude of associations
were similar.
PMID- 22079020
TI - Use of anti-infective drugs during pregnancy and the risk of preterm birth.
PMID- 22079022
TI - Redotex(r) revisited: intentional overdose with an illegal weight loss product.
PMID- 22079021
TI - T lymphocyte recruitment into renal cell carcinoma tissue: a role for chemokine
receptors CXCR3, CXCR6, CCR5, and CCR6.
AB - BACKGROUND: Evidence suggests that some patients with renal cell carcinoma (RCC)
respond to immunomodulatory therapies that activate T lymphocytes. A prerequisite
for effective T cell therapy is efficient targeting of effector T cells to the
tumour site, yet the molecular basis of T cell recruitment to RCC is unknown.
Furthermore, some T cells that naturally infiltrate this cancer are regulatory T
cells (Tregs) that may suppress antitumour immune responses. OBJECTIVE: Determine
the mechanisms of effector and regulatory T cell recruitment to RCC to allow
targeted therapy that promotes local anti-tumour immunity. DESIGN, SETTING, AND
PARTICIPANTS: Tumour-infiltrating and peripheral blood T cells were collected
from 70 patients undergoing nephrectomy for RCC. MEASUREMENTS: T cells were
analysed by multicolour flow cytometry for expression of 19 chemokine receptors
and 7 adhesion molecules. Receptors that were expressed at higher levels on
tumour-infiltrating lymphocytes (TILs) compared with matched peripheral blood
lymphocytes (PBLs) were analysed further for their ability to mediate migration
responses in TILs and for expression of corresponding ligands in tumour tissue.
RESULTS AND LIMITATIONS: Three chemokine receptors-CCR5, CXCR3, and CXCR6-were
significantly overexpressed on TILs compared with matched PBLs (n=16 cases) and
were capable of promoting migration in vitro. Their corresponding ligands CCL4-5,
CXCL9-11, and CXCL16 were all detected in RCC tissue. However, since they were
present in all cases studied, it was not possible to correlate ligand expression
with levels of T cell infiltration. Foxp3(+) Tregs were enriched within TILs
compared with matched PBLs and expressed high levels of CCR5, CXCR3, and CXCR6,
as well as CCR6, the ligand for which (CCL20) was detectable in RCC tissue.
CONCLUSIONS: Our data support a role for CCR5, CXCR3, and CXCR6 in the selective
recruitment of T cells into RCC tissue and, together with CCR6, in the
recruitment of Tregs.
PMID- 22079023
TI - Burnout syndrome.
PMID- 22079024
TI - A case of sudden, painless, and persistent urinary incontinence.
AB - BACKGROUND: Urinary incontinence is not a common emergency department (ED)
complaint, and it is hard to imagine that a case involving this complaint could
turn out to be interesting. We report the case of a patient who presented with
the complaint of sudden onset of painless urinary incontinence for 1 day, who had
an unexpected diagnosis. OBJECTIVES: To describe a case of incontinence with an
unexpected diagnosis and to review the various causes of incontinence. CASE
REPORT: A 52-year-old woman with a history of kidney stones recently treated with
lithotripsy, nephrostomy tubes, and ureteral stents, presented to the ED
complaining of new-onset incontinence. The patient had awakened on the morning of
presentation with urinary incontinence that persisted throughout the day. On
examination she had normal vital signs, and other than a functioning nephrostomy
tube, she had a normal examination. In addition to a urinalysis, a KUB (kidney,
ureter, and bladder) X-ray study was performed, which showed that her recently
placed right ureteral stent had migrated from its original location into the
urethra. The stent was found by the urologist protruding from the urethral meatus
and removed without difficulty. The patient's incontinence resolved immediately
after the stent was removed. CONCLUSION: Emergency physicians frequently see
patients with ureteral stents, but may not be aware of how frequently those
stents can migrate or malfunction. Our experience suggests that radiologic
determination of stent location may be helpful in patients who present with new
onset stress or overflow incontinence.
PMID- 22079025
TI - alpha-Haemoglobin stabilising protein expression is influenced by mean cell
haemoglobin and HbF levels in HbE/beta-thalassaemia individuals.
AB - The alpha haemoglobin stabilising protein (AHSP) acts as a molecular chaperone
for alpha-globin by stabilising nascent alpha-globin before transferring it to
waiting free beta-globin chains. Binding of AHSP to alpha-globin renders alpha
globin chemically inert whereby preventing it from precipitating and forming
reactive oxygen species byproducts. The AHSP has been actively studied in the
recent years, particularly in its relation to beta-thalassaemia. Studies have
shown that AHSP is a modifier in beta-thalassaemia mice models. However, this
relationship is less established in humans. Studies by some groups showed no
correlation between the AHSP haplotypes and the severity of beta-thalassaemia,
whereas others have shown that certain AHSP haplotype could modify the phenotype
of beta-thalassaemia intermedia patients. We investigated the expression of AHSP
in relation to selected demographic data, full blood count, HPLC results,
HbE/beta-thalassaemia genotype, Xmn-1 Ggamma polymorphism, alpha-globin, beta
globin and gamma-globin expression. We found that AHSP expression was
significantly correlated to mean cell haemoglobin level, HbF %, alpha-globin,
beta-globin and excess alpha-globin expression. We concluded that AHSP could be a
secondary compensatory mechanism in red blood cells to counterbalance the excess
alpha-globin chains in HbE/beta-thalassaemia individuals.
PMID- 22079026
TI - Novel 2-(2-(4-aryloxybenzylidene) hydrazinyl)benzothiazole derivatives as anti
tubercular agents.
AB - A series of structurally novel, substituted 2-(2-(4-aryloxybenzylidene)
hydrazinyl)benzothiazole derivatives incorporating 2-hydrazinyl benzothiazole and
4-(aryloxy)benzaldehyde were designed and synthesized using molecular
hybridization approach. All the synthesized compounds exhibited promising
activity (MIC 1.5-29.00MUg/ml) against Mycobacteriumtuberculosis H37Rv strains of
using REMA. Five of the evaluated compounds exhibit MIC <3.0MUg/ml. Compound (E)
6-chloro-2-(2-(4-(2,4-dichlorophenoxy)benzylidene)hydrazinyl) benzothiazole
showed MIC of 1.5MUg/ml. Thus, this compound could act as a potential lead for
further development of new anti-tubercular drugs.
PMID- 22079027
TI - Conformationally restricted analog and biotin-labeled probe based on
beauveriolide III.
AB - A conformationally restricted oxazoline analog 7 was designed on the basis of a
SAR study of beauveriolide III (2) and its analogs reported previously.
Conformational analysis by molecular mechanics calculation suggested that the
three side chains of 7 mostly occupy the same spaces as those of 2. The analog 7
was synthesized by peptide coupling of the d-cyclohexylglycine-containing ester
11 and d-Ser-containing dipeptide 12, macrolactamization, and cyclodehydration of
6 for the construction of an oxazoline ring. The bicyclic 7 exhibited potential
inhibitory activity for cholesteryl ester synthesis similar to that by 2. These
results revealed biologically important 3D spaces of the three side chains in
inhibitory activity for cholesteryl ester synthesis. In addition, we accomplished
the synthesis of a biotin-labeled probe 8 by copper-catalyzed (3+2) cycloaddition
of a biotin-containing alkyne 16 and azido-containing beauveriolide analog 15
prepared from 6.
PMID- 22079028
TI - Facile synthesis of 1,2,3-triazole analogs of SGLT2 inhibitors by 'click
chemistry'.
AB - Novel analogs of SGLT2 inhibitors containing the 1,2,3-triazole motif were
designed and synthesized for urinary glucose excretion evaluation. The C
glucosides with triazole aglycone can be easily constructed by click chemistry.
Most of the synthesized compounds increased urinary glucose excretion and
demonstrated inhibition of glucose transport.
PMID- 22079029
TI - [Contraception news].
AB - Although contraception is widely available in France, contraceptive failure
remains high. However a number of modifications in contraceptive methods have
been developed and should help reduce contraceptive failure. Among those,
information and improvement of access to contraception are very important. Recent
advances in contraceptive practice have also contributed to improve clinical
tolerance and subsequently compliance. Finally, emergency contraception has
evolved as well.
PMID- 22079030
TI - Endemic sheep scab: risk factors and the behaviour of upland sheep flocks.
AB - Since the deregulation of sheep scab in 1992, the number of scab outbreaks per
year in the UK has increased rapidly; there has been an estimated 60-fold
increase in outbreak incidence between 1992 and 2007. How best to focus
management or eradication efforts has therefore been an issue of ongoing debate.
Previous work has shown that scab outbreaks occur repeatedly on some farms
whereas others never or rarely experience outbreaks. Here, to consider why this
pattern occurs, and to quantify the risk of scab associated with different
husbandry practices, face-to-face interviews with farmers at 16, randomly
selected, repeat-outbreak farms and 16 matched farms with no or little history of
scab over the previous 10 years, were used to identify associated risk factors.
This showed that farms using common grazing were at significantly higher risk of
infestation than farms not using common grazing, as were farms that had direct
contact with neighbours' sheep and that had neighbours with scab. To further
demonstrate the risk of common grazing, a survey of sheep on one common in south
Wales showed that there was significant mixing of sheep from three farms,
highlighting the potential for scab transmission between flocks. Common grazing,
associated with upland regions, would appear to be the largest single obstacle to
effective national scab control in the UK. The results suggest that a cost
effective approach to scab control may be to consider upland and lowland farms as
separate epidemiological systems, with upland regions requiring a co-ordinated,
systematic approach to achieve any significant management of the problem. In
contrast, on lowland farms outbreaks are already largely contained effectively
through good biosecurity and treated on a case-by-case basis if they occur.
PMID- 22079031
TI - How do supervising surgeons evaluate guidance provided in the operating room?
AB - BACKGROUND: This study explored the amount of guidance provided to residents in
the operating room (OR) and the relationship of OR guidance with postgraduate
year (PGY) and operative performance rating (OPR). METHODS: We used OPR
instruments to collect data from supervising surgeons after each performance.
External expert raters blindly rated the amount of guidance for 5 videotaped
performances. RESULTS: Three hundred sixty-eight performances were analyzed for 5
procedures performed by 26 residents with 16 supervising surgeons over 6 months.
Guidance ratings varied with procedure, individual supervising surgeons varied in
the amount of guidance reported, the amount of guidance decreased as residents'
PGY level increased, and the correlation between guidance rating and overall
performance was .62. In comparison cases, most supervising surgeons
underestimated the amount of guidance provided. CONCLUSIONS: Controlling for the
amount of supervising surgeon guidance has important implications for training
and evaluation as we strive to prepare residents to practice independently.
PMID- 22079032
TI - Assessment of the learning curve for pancreaticoduodenectomy.
AB - BACKGROUND: Experience with the Whipple procedure has been associated with
improved outcomes, but the learning curve for this complex procedure is not well
defined. METHODS: Outcomes with 162 consecutive Whipple procedures during the 1st
11.5 years of practice was documented in a prospective database. A period of low
(<=11/y) and high (>=23/y) case volume was compared using the Wilcoxon rank-sum
test and Fisher exact test. RESULTS: With low case volume, blood loss was higher
(800 vs 400 mL, P = .001), more patients were transfused (44% vs 18%, P = .027),
there were more complications (58% vs 46%, P = .0337), and a longer length of
stay (10 vs 7 days, P = .006). There was only 1 mortality (.7%). CONCLUSIONS:
Frequent repetition of the Whipple procedure is associated with an improvement in
quantifiable quality benchmarks, and improvement continues with extensive
experience. However, with proper training and the right environment, this
procedure can be performed during the learning curve with acceptable outcomes.
PMID- 22079033
TI - A preliminary review of a pilot curriculum to teach open surgical skills during
general surgery residency with initial feedback.
AB - INTRODUCTION: The aim of this study is to provide a preliminary review of a pilot
curriculum to teach open surgical skills during general surgery residency and
obtain initial feedback. DATA SOURCE: The general surgery residency program
introduced an open surgical skills training curriculum in 2009. The skills
sessions are undertaken under the guidance of the faculty. An annual survey was
distributed to the residents and faculty to obtain their feedback. CONCLUSIONS: A
total of 50 sessions were conducted over the last 2 years. Ninety-five percent of
the residents perceived this educational activity to be above average to
exceptional with nearly 70% rating it as exceptional. Sixty-three percent of the
faculty perceived it as above average to exceptional, with nearly 40% rating it
as exceptional. The open surgical skills training curriculum was rated as the
most educational activity in the program by residents and faculty alike.
PMID- 22079034
TI - Sleep deprivation increases cognitive workload during simulated surgical tasks.
AB - BACKGROUND: There have been conflicting reports of the effects of modest sleep
deprivation on surgical skills. The aim of this study was to assess the effects
of a 24-hour call shift on technical and cognitive function, as well as the
ability to learning a new skill. METHODS: Thirty-one students trained to expert
proficiency on a virtual reality part-task trainer. They then were randomized to
either a control or sleep-deprived group. On the second testing day they were
given a novel task. Fatigue was assessed using the Epworth Sleepiness Scale. The
National Aeronautics and Space Administration-Task Load Index was used to assess
cognitive capabilities. RESULTS: There was no difference between the control and
sleep-deprived groups for performance or learning of surgical tasks.
Subjectively, the Epworth Sleepiness Scale showed an increase in sleepiness. The
National Aeronautics and Space Administration-Task Load Index showed an increase
in total subjective mental workload for the sleep-deprived group. CONCLUSIONS:
Sleep-deprived subjects were able to complete the tasks despite the increased
workload, and were able to learn a new task proficiently, despite an increase in
sleepiness.
PMID- 22079035
TI - Studies of the myocardial uptake and excretion mechanisms of a novel 99mTc heart
perfusion agent.
AB - INTRODUCTION: (99m)Tc-TMEOP is a novel heart perfusion radiotracer exhibiting
high initial and persistent heart uptake associated with rapid blood and liver
clearance. This study aimed at determining the mechanisms of myocardial
localization and fast liver clearance of (99m)Tc-TMEOP. METHODS: Subcellular
distribution of (99m)Tc-TMEOP was determined in excised rat heart tissue by
differential centrifugation. The effect of cyclosporin A on the pharmacokinetic
behaviour of (99m)Tc-TMEOP was evaluated by both ex vivo biodistribution and in
vivo planar imaging studies. RESULTS: Subcellular distribution studies showed
that more than 73% of (99m)Tc-TMEOP was associated with the mitochondrial
fraction. Comparison with subcellular distribution of (99m)Tc-sestamibi showed no
significant difference in the mitochondrial accumulation between the two tracers.
Biodistribution studies in the presence of cyclosporin A revealed an increase in
kidneys and liver uptake of (99m)Tc-TMEOP, suggesting the involvement of
multidrug resistance transporters in determining its pharmacokinetic profile.
CONCLUSIONS: The heart uptake mechanism of (99m)Tc-TMEOP is similar to that of
the other reported monocationic (99m)Tc cardiac agents and is associated with its
accumulation in the mitochondria. Cyclosporin A studies indicate that the fast
liver and kidney clearance kinetics is mediated by P-glycoprotein (Pgp),
supporting the potential interest of this radiotracer for imaging Pgp function
associated with multidrug-resistant tumours.
PMID- 22079036
TI - Combining dose and injection volume for good performance of a specific
radiopharmaceutical for sentinel node detection.
AB - INTRODUCTION: The aim of this work was to quantify the effects of injection
volume at different technetium-99m specific radiotracer doses on its lymphatic
movement in animal model. PROCEDURES: Effects of injection volume (50, 100 MUl)
at different doses (0.05, 0.135, 0.22 nmol) on popliteal node (PN) detection were
studied in rats. The radiotracer under study was (99m)Technetium-cysteine-mannose
dextran conjugate (30 kDa). RESULTS: At 0.05 nmol dose, higher PN uptake was
observed at 50 MUl injection volume (2.6 fold increase). Conversely, at 0.135
nmol dose, an increase of radiotracer retention in PN was achieved at 100 MUl
volume, 78% higher than 50 MUl. However, at 0.22 nmol dose, the injection volume
changes did not influence on the PN uptake. Considering as suitable radiotracer
performance: high PN uptake and extraction, better combinations were 0.05 nmol/50
MUl, 0.135 nmol/100 MUl, 0.22/50 MUl. CONCLUSION: Suitable performances could be
reached by proper combinations of dose, injection volume and concentration for a
specific radiotracer used in sentinel lymph node detection.
PMID- 22079037
TI - [18F]-fluoroestradiol quantitative PET imaging to differentiate ER+ and ERalpha
knockdown breast tumors in mice.
AB - INTRODUCTION: The purpose of this study was to develop a noninvasive model in
tumor-bearing mice to investigate the use of 16alpha-[(18)F]fluoro-17beta
estradiol (FES) positron emission tomography (PET) imaging as a tool to
discriminate between tumors having different estrogen receptor (ER) alpha status.
METHODS: MC7-L1 and MC4-L2 murine mammary adenocarcinoma cell lines (ER+)
received a small hairpin RNA targeting the ERalpha gene by lentiviral infection.
In vitro assessment of ERalpha levels of the new cell lines (MC7-L1 and MC4-L2
ERalpha-knockdown; ERalphaKD), compared to the parental cell lines, was performed
by immunoblotting (-75% ERalpha protein) and binding assays (-50% estrogen
binding). These cell lines were implanted subcutaneously in Balb/c mice and
allowed to grow up to a volume of at least 20 mm(3). FES and
[(18)F]fluorodeoxyglucose (FDG) PET images were acquired to measure FES and FDG
uptake in the various tumors. RESULTS: FES uptake as assessed by PET imaging was
1.06+/-0.21 percent injected dose per gram of tissue (%ID/g) for MC7-L1 tumors
and 0.47+/-0.08 %ID/g for MC7-L1 ERalphaKD tumors. MC4-L2 tumors had a FES uptake
of 1.03+/-0.30 %ID/g, whereas its ERalphaKD equivalent was 0.51+/-0.19 %ID/g.
Each ERalphaKD tumor had a significantly lower %ID/g value, by ~50%, than its ER+
counterpart. Biodistribution studies confirmed these findings and gave %ID/g
values that were not significantly different from PET imaging data. FDG PET
showed no significant uptake difference between the ER+ and ERalphaKD tumors,
indicating that the metabolic phenotype of the ERalphaKD cell lines was not
altered. CONCLUSION: FES PET imaging was able to reliably differentiate between
tumors having differences in their ERalpha expression in vivo, in a mouse model.
Quantitative data obtained by FES PET were in concordance with biodistribution
studies and in vitro assays. It is concluded that FES PET imaging can likely be
used to monitor subtle ER status changes during the course of hormone therapy.
PMID- 22079039
TI - Combination of nitric oxide stimulation with high-dose 18F-FDG promotes apoptosis
and enhances radiation therapy of endothelial cells.
AB - INTRODUCTION: High-dose (18)F-FDG can provide targeted nuclear therapy of cancer.
Endothelial cell injury is a key determinant of tumor response to radiotherapy.
Here, we tested the hypothesis that activation of endothelial cell glycolytic
metabolism with nitric oxide can enhance the therapeutic effect of high-dose
(18)F-FDG. METHODS: Calf pulmonary artery endothelial (CPAE) cells were treated
with graded doses of (18)F-FDG. Glycolysis was stimulated by 24 h of exposure to
the nitric oxide donor, sodium nitroprusside (SNP). Cell viability was assessed
by MTT and clonogenic assays. Apoptosis was evaluated by ELISA of cytosolic DNA
fragments and Western blots of cleaved caspase-3. RESULTS: SNP stimulation (0.1
and 1 mM) augmented CPAE cell (18)F-FDG uptake to 2.6- and 4.6-fold of controls
without adverse effects. Treatment with 333 MUCi/ml (18)F-FDG alone reduced
viable cell number to 35.4% of controls by Day 3. Combining 0.1 mM SNP
stimulation significantly enhanced the killing effect, reducing cell numbers to
19.2% and 39.2% of controls by 333 and 167 MUCi/ml of (18)F-FDG, respectively.
(18)F-FDG also suppressed clonogenic survival to 80.8% and 43.2% of controls by
83 and 167 MUCi/ml, which was again intensified by SNP to 59.7% and 21.1% of
controls. The cytotoxic effect of (18)F-FDG was attributed to induction of
apoptosis as shown by increased cytosolic fragmented DNA and cleaved caspase-3
levels (26.4% and 30.7% increases by 167 MUCi/ml). Combining SNP stimulation
significantly increased both of these levels to 1.8-fold of control cells.
CONCLUSION: High-dose (18)F-FDG combined with nitric oxide-stimulated glycolysis
is an effective method to inhibit endothelial cell survival and promote
apoptosis. These results suggest a potential role of this strategy for targeted
radiotherapy of angiogenic vasculature.
PMID- 22079038
TI - [11C]Acetate rest-stress protocol to assess myocardial perfusion and oxygen
consumption reserve in a model of congestive heart failure in rats.
AB - This study describes an [(11)C]acetate rest-stress method to obtain an indirect
estimate of myocardial blood flow (MBF) and myocardial oxygen consumption
(MVO(2)) in rats. Doxorubicin cardiotoxicity was used to test the usefulness of
this approach for the assessment of congestive heart failure. METHODS:
[(11)C]Acetate rest-stress studies have been used in clinical research to assess
the capacity of the coronary arteries to respond to stress. In this article, we
used this approach to assess the cardiotoxicity of doxorubicin in a rat model.
The method was first validated in a group of healthy rats and then used to follow
the effect of doxorubicin chemotherapy on cardiac function. The effect of
doxorubicin on myocardial perfusion and oxygen consumption reserve was measured
at rest and under dobutamine stimulation. RESULTS: Validation of the protocol
showed a good correlation between the MBF and MVO(2) (r(2)=.68). The doxorubicin
treated group showed a significant (P=.04) decrease in cardiovascular perfusion
reserve at 1.3+/-0.2 compared with the control animals at 1.6+/-0.2. Similar
results were obtained for the MVO(2) reserve (treated 1.8+/-0.4 vs. controls
2.3+/-0.3; P=.02). CONCLUSIONS: We describe an [(11)C]acetate PET rest-stress
protocol for the assessment of congestive heart failure in rats and its
application to the follow-up of cardiotoxicity under doxorubicin chemotherapy.
This is a rapid and reliable approach to the measurement of cardiac perfusion and
oxygen consumption reserve that could be applied to the development of new
strategies to reduce the cardiotoxicity of anthracycline.
PMID- 22079040
TI - Radiohalogenated 4-anilinoquinazoline-based EGFR-TK inhibitors as potential
cancer imaging agents.
AB - INTRODUCTION: The overexpression of epidermal growth factor receptor (EGFR) in
tumors underlines the recent interest in EGFR as attractive target for the
development of new cancer imaging agents. EGFR-tyrosine kinase inhibitors (EGFR
TKIs) based on the anilinoquinazoline scaffold have been explored as potential
probes for EGFR imaging. However, up to now, no optimal radiotracer is available.
Herein, we report the synthesis and biological evaluation of three novel
halogenated 6-substituted 4-anilinoquinazoline based EGFR-TKIs. Radiosynthesis
((125)I and (18)F) of the corresponding analogues was also performed. METHODS:
6a, 6b and 8 were obtained by reaction of 6-amino-4-anilinoquinazoline (5) with 3
/4-iodobenzoyl and 4-fluorobenzoyl chlorides. Inhibition of EGFR
autophosphorylation and A431 cellular proliferation were assessed by Western blot
and MTT assays. (125)I-anilinoquinazolines [(125)I]6a/b were prepared via
destannylation of the corresponding tributylstannyl precursors with [(125)I]NaI.
Cellular uptake studies were conducted in A431 cells. Optimization of the
radiosynthesis of the (18)F-anilinoquinazoline [(18)F]8 was attempted by
nucleophilic substitution of the trimethylammonium- and nitro-6-substituted 4
anilinoquinazoline precursors. RESULTS: 6a, 6b and 8 were synthesized in high
chemical yield. All of them are inhibitors of EGFR autophosphorylation
(0.11000- to 6000-fold
higher yield than those synthesized in a classical growth-associated manner. The
resting cells required aerobic agitation for the maximum production. The
production system also worked for other foreign enzymes but not for beta
galactosidase from Aspergillus oryzae or Escherichia coli, likely suggesting an
involvement of chaperons that act on a certain range of secretory proteins.
PMID- 22079043
TI - The relationship of work, self-care, and quality of life in a sample of older
working adults with cardiovascular disease.
AB - OBJECTIVE: The study objective was to describe the self-care behaviors of
adherence to medication, diet, exercise, and symptom monitoring of older workers
with cardiovascular disease (CVD) and explore the relationship among job
characteristics (job demands, job control, and workplace support), self-care, and
quality of life. More than 3.5 million workers have CVD with significant work
limitations and increased disability. Workers must meet the challenges of today's
work processes that include increased stress and intense production demands while
managing the complexities of their CVD. METHODS: A total of 129 workers (aged >=
45 years) with CVD completed standardized instruments about self-care (Specific
Adherence Scale alpha = .74), job characteristics (Job Content Questionnaire
alpha = .71), and quality of life (MacNew health-related quality of life alpha =
.84). Regression analyses were used to examine relationships between variables.
RESULTS: The sample had a mean age of 59.16 +/- 8.83 years, 56.3% were female,
and 36.5% were African-American. Self-care behaviors varied. Most workers (71.4%)
reported medication adherence, and few adhered to diet (27%), exercise (18%), or
symptom monitoring (31.3%). Psychologic job demands were negatively correlated to
self-care (r = -.217, P = .02). Better adherence was reported by those with
workplace support (r = .313, P = .001). Job characteristics explained 22% of
variance in self-care adherence behaviors. Adherence was a significant
determinant of general quality of life. CONCLUSION: Because job characteristics
may interfere with self-care, clinicians should assess job demands and discuss
stress management with employed patients. Interventions that foster worksite
programs and facilitate self-care among workers with CVD are needed.
PMID- 22079044
TI - Determination of resveratrol and its sulfate and glucuronide metabolites in
plasma by LC-MS/MS and their pharmacokinetics in dogs.
AB - An analytical approach for the determination of trans-resveratrol (3,5,4'
trihydroxy-trans-stilbene) and its glucuronide and sulfate conjugates in dog
plasma by LC-MS/MS (without enzymatic hydrolysis of the conjugates) was validated
to support pre-clinical toxicological and pharmacological studies. The approach
required two independent sample extractions and consequent instrument runs.
Samples for resveratrol determination were prepared by protein precipitation with
acetonitrile; acetonitrile-methanol was used instead for resveratrol metabolites.
Chromatographic separation was performed using a C18 column (30 mm * 2.0 mm) at a
flow rate of 0.25 mL/min. For resveratrol the mobile phase consisted of A: 5mM
ammonium acetate in water-isopropanol (98:2, v/v) and B: methanol-isopropanol
(98:2, v/v) and for metabolites the mobile phase was modified as follows: A: 0.1%
(v/v) formic acid in water and B: 0.1% (v/v) formic acid in acetonitrile. Total
run time was 12 min for each run with retention times of about 4-5 min for all
analytes. A turbo ion spray source was used operating in negative mode for
resveratrol and resveratrol sulfate and in positive mode for resveratrol
glucuronide. Calibration curves were linear from 5 to 1000 ng/mL for resveratrol
and its glucuronide, and 10-2000 ng/mL for resveratrol sulfate. Linearity was
assessed using the internal standard method for resveratrol and the external
standard method for the metabolites. Method accuracy was 90-112% of the true
value for all analytes with precision of 9% RSD or less for all validation
experiments. The validated method was applied to a preclinical toxicology study
in dogs after oral administration (200-1200 mg/kg) of the agent. Peak plasma
resveratrol concentration (C(max)) for most animals was observed within 1-5 h of
dosing, with group mean values in the 1.7-9.9 MUg/mL (7.5-43 MUM) range. Area
under the plasma concentration-time curve (AUC) mean values for resveratrol
ranged from 3.6 to 44 h MUg/mL for all study groups and were generally
proportional to the dose, with no consistent statistically significant changes
observed for gender or number of doses. Mean molecular-weight adjusted ratios of
resveratrol metabolites to resveratrol for AUC ranged from 1 to 9 for resveratrol
glucuronide and from 2 to 11 for resveratrol sulfate.
PMID- 22079045
TI - Antioxidant activity-guided phytochemical investigation of Artemisia gmelinii
Webb. ex Stechm.: isolation and spectroscopic challenges of 3,5-O-dicaffeoyl
(epi?) quinic acid and its ethyl ester.
AB - Although Artemisia gmelinii Webb. ex Stechm. has long been used in south and
south-east Asia to treat many kinds of inflammatory diseases, up until now its
bioactivity-coupled phytochemical characterization has not been reported. We
identified one fraction of the methanolic extract of A. gmelinii as a hit in our
antioxidant screening (DPPH) campaign. In order to identify the active radical
scavenger components of the extract, a DPPH-HPLC spiking assay was carried out.
Out of six detected known compounds caffeic acid and scopoletin had already been
identified in the plant, but four of them, namely chlorogenic acid, 4-O
caffeoylquinic acid, luteolin-7-O-glucoside, and apigenin-7-O-glucoside are first
described here. Moreover, the two most active compounds of the mixture, 3,5-O
dicaffeoylquinic acid (7) and its ethyl ester derivative (8) were isolated with
preparative HPLC. The spectroscopic identification of 7 and 8 presented a
surprising challenge due to literature ambiguities. These questions are discussed
in detail.
PMID- 22079046
TI - NMR analysis and site-specific protonation constants of streptomycin.
AB - Streptomycin, the classical aminoglycoside antibiotic, generally considered the
most basic drug compound was characterized in terms of protonation macro- and
microconstants. 1H NMR-pH and 1H-13C HSQC-pH titrations were carried out on
streptomycin and streptidine, a symmetrical constituent compound of reduced
complexity to monitor the proton-binding processes of the basic sites. Accurate,
undistorted, electrodeless pH measurement was ensured by a new set of in tube
indicators. The microscopic protonation constants of the two guanidino groups of
streptomycin were calculated by evaluating the various NMR-pH data and
transferring the pair-interactivity parameter from streptidine to streptomycin.
Inherent guanidino basicities fall in the range of 13.03-13.39 log k units, which
drop to 12.48-12.85 upon protonation of the other site. pH-dependent distribution
of the major microspecies and charge-related biological consequences are
provided.
PMID- 22079047
TI - Quantification of cabazitaxel in human plasma by liquid chromatography/triple
quadrupole mass spectrometry: a practical solution for non-specific binding.
AB - A rapid and sensitive liquid chromatography/tandem mass spectrometry (LC-MS/MS)
method has been developed and validated for the quantitative determination of
cabazitaxel, a novel tubulin-binding taxane, in 100 MUl aliquots of human lithium
heparinized plasma with deuterated cabazitaxel as internal standard. The sample
extraction and cleaning-up involved a simple liquid-liquid extraction with 20 MUl
aliquots of 4% ammonium hydroxide, 100 MUl aliquots of acetonitrile and 1 ml
aliquots of n-butylchloride. Chromatographic separations were achieved on a
reversed phase C18 column eluted at a flow-rate of 0.20 ml/min on a gradient of
acetonitrile. The overall cycle time of the method was 5 min, with cabazitaxel
eluting at 3.0 min. The multiple reaction monitoring transitions were set at
836>555 (m/z), and 842>561 (m/z) for cabazitaxel and the internal standard,
respectively. The calibration curves were linear over the range of 1.00-100 ng/ml
with the lower limit of quantitation validated at 1.00 ng/ml. The within-run and
between-run precisions, also at the level of the LLQ, were within 8.75%, while
the accuracy ranged from 88.5 to 94.1%. As dilution of samples prior to
extraction resulted in a loss of cabazitaxel of approximately 6.5% per dilution
step, a second calibration curve ranging from 40.0 to 4000 ng/ml was validated
and was also linear. The within-run and between-run precisions in this range were
within 4.99%, while the accuracy ranged from 95.8 to 100.3%. The method was
successfully applied to samples derived from a clinical study.
PMID- 22079048
TI - Prediction of short linear protein binding regions.
AB - Short linear motifs in proteins (typically 3-12 residues in length) play key
roles in protein-protein interactions by frequently binding specifically to
peptide binding domains within interacting proteins. Their tendency to be found
in disordered segments of proteins has meant that they have often been
overlooked. Here we present SLiMPred (short linear motif predictor), the first
general de novo method designed to computationally predict such regions in
protein primary sequences independent of experimentally defined homologs and
interactors. The method applies machine learning techniques to predict new motifs
based on annotated instances from the Eukaryotic Linear Motif database, as well
as structural, biophysical, and biochemical features derived from the protein
primary sequence. We have integrated these data sources and benchmarked the
predictive accuracy of the method, and found that it performs equivalently to a
predictor of protein binding regions in disordered regions, in addition to having
predictive power for other classes of motif sites such as polyproline II helix
motifs and short linear motifs lying in ordered regions. It will be useful in
predicting peptides involved in potential protein associations and will aid in
the functional characterization of proteins, especially of proteins lacking
experimental information on structures and interactions. We conclude that,
despite the diversity of motif sequences and structures, SLiMPred is a valuable
tool for prioritizing potential interaction motifs in proteins.
PMID- 22079049
TI - The structure of the extracellular domain of the jumping translocation breakpoint
protein reveals a variation of the midkine fold.
AB - Jumping Translocation Breakpoint (JTB) is an orphan receptor that is conserved
from nematodes to humans and whose gene expression in humans is strikingly
upregulated in diverse types of cancers. Translocations occur frequently at the
hJTB genomic locus, leading to multiple copies of a truncated JTB gene, which
potentially encodes a soluble secreted ectodomain. In addition, JTB and its
orthologs likely represent a unique and ancient protein family since homologs
could not be identified by direct sequence comparison. In the present study, we
have determined the NMR solution structure of the N-terminal ectodomain of human
JTB, showing that its fold architecture is a new variant of a three-beta-strand
antiparallel beta-meander. The JTB structure has a distant relationship to the
midkine/pleiotrophin fold, particularly in the conservation of distinctive
disulfide bridge patterns. The structure of this newly characterized small
cysteine-rich domain suggests potential involvement of JTB in interactions with
proteins or extracellular matrix and may help to uncover the elusive biological
functions of this protein.
PMID- 22079050
TI - Different binding modes of free and carrier-protein-coupled nicotine in a human
monoclonal antibody.
AB - Nicotine is the principal addictive component of tobacco. Blocking its passage
from the lung to the brain with nicotine-specific antibodies is a promising
approach for the treatment of smoking addiction. We have determined the crystal
structure of nicotine bound to the Fab fragment of a fully human monoclonal
antibody (mAb) at 1.85 A resolution. Nicotine is almost completely (>99%) buried
in the interface between the variable domains of heavy and light chains. The high
affinity of the mAb is the result of a charge-charge interaction, a hydrogen
bond, and several hydrophobic contacts. Additionally, similarly to nicotinic
acetylcholine receptors in the brain, two cation-pi interactions are present
between the pyrrolidine charge and nearby aromatic side chains. The selectivity
of the mAb for nicotine versus cotinine, which is the major metabolite of
nicotine and differs in only one oxygen atom, is caused by steric constraints in
the binding site. The mAb was isolated from B cells of an individual immunized
with a nicotine-carrier protein conjugate vaccine. Surprisingly, the nicotine was
bound to the Fab fragment in an orientation that was not compatible with binding
to the nicotine-carrier protein conjugate. The structure of the Fab fragment in
complex with the nicotine-linker derivative that was used for the production of
the conjugate vaccine revealed a similar position of the pyridine ring of the
nicotine moiety, but the pyrrolidine ring was rotated by about 180 degrees . This
allowed the linker part to reach to the Fab surface while high-affinity
interactions with the nicotine moiety were maintained.
PMID- 22079051
TI - Toxicity and cosmesis outcomes after single fraction partial breast irradiation
in early stage breast cancer.
AB - BACKGROUND: To report the clinical outcome after a Single Shot 3D-CRT PBI (SSPBI)
in breast cancer patients after conservative surgery (ClinicalTrials.gov
Identifier: NCT01316328). METHODS: A dose of 18 Gy (in the first 4 patients) and
21 Gy (in the remaining 60 patients) was prescribed in a single session and
delivered to the index area (i.e. the area of breast including the primary tumor
bed and the surrounding tissue) using 3D-CRT with patients in prone position.
Acute and late toxicity was assessed using the National Cancer Institute's CTC
for Adverse Events. Cosmesis was defined based on modified Harvard criteria.
Differences between dosimetric or clinical parameters of patients with/without G2
or more late toxicity or unsatisfactory (poor or fair) cosmetic outcome were
evaluated with the Mann-Whitney test. Odds ratios and 95% confidence interval
were calculated for cosmesis and fibrosis. Univariate and multivariate
analyses(UVA/MVA) were used to determine covariates associated with an increase
in fibrosis or fat necrosis rate. RESULTS: Sixty four patients were enrolled.
With a median follow-up of 3 years, G2 and G3 subcutaneous fibrosis was detected
in 20(31%) and in 8(13%) patients, and >=G2 fat necrosis was observed in 2(3%)
patients. Good to excellent, fair and poor cosmesis was observed in 38(59%),
23(36%) and 3(5%) patients, respectively. Based on UVA, the breast volume
receiving more than 21 Gy (V21 Gy) was found to be a predictor of the >=G1 or
>=G2 fibrosis/fat necrosis. Based on MVA, V21 Gy was confirmed as a predictor for
>=G1 fibrosis/fat necrosis, the results correlated as a trend for >=G2. Cosmesis
was correlated with whole breast (WB) mean dose (p=0.030). CONCLUSION: Our choice
of a single dose of 21 Gy significantly increased the treatment related toxicity.
However, this should not discourage novel SSPBI approaches with lower equivalent
doses.
PMID- 22079052
TI - Intraoral myofascial therapy for chronic myogenous temporomandibular disorder: a
randomized controlled trial.
AB - OBJECTIVE: Studies investigating the efficacy of intraoral myofascial therapies
(IMTs) for chronic temporomandibular disorder (TMD) are rare. The present study
was an expansion of a previously published pilot study that investigated whether
chiropractic IMT and the addition of education and self-care were superior to no
treatment or IMT alone for 5 outcome measures-interincisal opening range, jaw
pain at rest, jaw pain upon opening, jaw pain upon clenching, and global
reporting of change-over the course of 1 year. METHODS: Ninety-three participants
with myogenous TMD between the ages of 18 and 50 years experiencing chronic jaw
pain of longer than 3 months in duration were recruited for the study. Successful
applicants were randomized into 1 of 3 groups: (1) IMT consisting of 2 treatment
interventions per week for 5 weeks, (2) IMT plus education and "self-care"
exercises (IMTESC), and (3) wait-list control. The main outcome measures were
used. Range of motion findings were measured by vernier callipers in millimeters,
and pain scores were quantified using an 11-point self-reported graded chronic
pain scale. Global reporting of change was a 7-point self-reported scale,
balanced positively and negatively around a zero midpoint. RESULTS: There were
statistically significant differences in resting, opening and clenching pain,
opening scores, and global reporting of change (P < .05) in both treatment groups
compared with the controls at 6 months and 1 year. There were also significant
differences between the 2 treatment groups at 1 year. CONCLUSIONS: The study
suggests that both chiropractic IMT and IMTESC were superior to no-treatment of
chronic myogenous TMD over the course of 1 year, with IMTESC also being superior
to IMT at 1 year.
PMID- 22079053
TI - Effect of cervical spine manipulative therapy on judo athletes' grip strength.
AB - OBJECTIVE: The objective of this study was to perform an investigation evaluating
if cervical spinal manipulative therapy (SMT) can increase grip strength on judo
athletes in a top 10 national-ranked team. METHODS: A single-blinded,
prospective, comparative, pilot, randomized, clinical trial was performed with 18
athletes of both sexes from a judo team currently competing on a national level.
The athletes were randomly assigned to 2 groups: chiropractic SMT and sham. Three
interventions were performed on each of the athletes at different time points.
Force measurements were obtained by a hydraulic dynamometer immediately before
and after each intervention at the same period before training up to 3 weeks with
at least 36 hours between interventions. RESULTS: Analysis of grip strength data
revealed a statistically significant increase in strength within the treatment
group after the first intervention (6.95% right, 12.61% left) as compared with
the second (11.53% right, 17.02% left) and the third interventions (10.53% right,
16.81% left). No statistically significant differences were found in grip
strength comparison within the sham group. Overall differences in strength were
consistently significant between the study groups (P = .0025). CONCLUSION: The
present study suggests that the grip strength of national level judo athletes
receiving chiropractic SMT improved compared to those receiving sham.
PMID- 22079054
TI - Perinatal morbidity and risk of hypoxic-ischemic encephalopathy associated with
intrapartum sentinel events.
AB - OBJECTIVE: To examine perinatal morbidity and rate of hypoxic-ischemic
encephalopathy in infants exposed to intrapartum sentinel events. STUDY DESIGN:
Retrospective cohort study from 2000-2005. Perinatal mortality, perinatal
morbidity and rate of hypoxic-ischemic encephalopathy were compared in 3 groups
of infants exposed to different risk factors for perinatal asphyxia (sentinel
events, nonreassuring fetal status, elective cesarean section). RESULTS: Five
hundred eighty-six infants were studied. Perinatal mortality was 6% in the
sentinel event group and 0.3% in the nonreassuring fetal status group (relative
risk, 2.4; 95% confidence interval, 1.95-2.94). Perinatal morbidity was 2-6 times
more frequent in infants exposed to sentinel events; the incidence of hypoxic
ischemic encephalopathy was 10%, compared with 2.5% in the nonreassuring fetal
status group (relative risk, 1.93; 95% confidence interval, 1.49-2.52). No infant
in the elective cesarean section group died, had perinatal morbidity, or
developed encephalopathy. CONCLUSION: Intrapartum sentinel events are associated
with a high incidence of perinatal morbidity and hypoxic-ischemic encephalopathy.
PMID- 22079055
TI - Using light to see and control membrane traffic.
AB - Cellular compartmentalization into discrete organelles is maintained by membrane
trafficking including vesiculation and tubulation. Recent advances in
superresolution imaging have begun to bring these small and dynamic events into
focus. Most nanoscopes exploit, and are limited by, switching dyes ON and OFF.
Using ground state depletion to switch dyes into long-lived dark states can
exploit specific photophysical properties of dyes, such as redox potential or
pK(a), and expand the repertoire of nanoscopy probes for multicolor imaging.
Seeing is not enough, and new technologies based on homodimerization,
heterodimerization and selective release can manipulate membrane trafficking in
pulse-chase and light-controlled ways. Herein we highlight the utility and
promise of these strategies and discuss their current limitations.
PMID- 22079056
TI - Non-coding RNAs in cancer initiation and progression and as novel biomarkers.
AB - Cancer represents a complex group of heterogeneous diseases. While many cancers
share fundamental biological processes (hallmarks of cancer) necessary for their
development and progression, cancers also distinguish themselves by their
dependence on distinct oncogenic pathways. Over the last decade, targeted
therapies have been introduced to the clinic with variable success. In truth,
single targeted therapies may be successful in only a subset of malignancies but
insufficient to address malignancies that often rely on multiple pathways, thus
evading single targeted agents. Investigators have recently identified
potentially functional components of the human genome that were previously
thought to have no biological function. This discovery has added to the already
established complexity of gene regulation in the pathogenesis of cancer. Non
coding RNAs represent key regulators of gene expression. Improved knowledge of
their biogenesis and function may in turn lead to a better understanding of the
heterogeneity of malignancies and eventually be leveraged as diagnostic,
prognostic and therapeutic targets. MicroRNAs (miRNAs or miRs) for example, have
the capacity for the regulation of multiple genes and thus redirection or
reprogramming of biological pathways. However, several other members of the non
coding RNA family may be of equal biological relevance. In this review, we
provide a perspective on emerging concepts in the clinical application of miRNA
and other non-coding RNAs as biomarkers in cancer with an eye on the eventual
integration of both miRNA and other non-coding RNA biology into our understanding
of cancer pathogenesis and treatment.
PMID- 22079058
TI - C1824T mutation in the LMNA gene has no association with senile cataract.
AB - Mutations in the LMNA gene encoding lamins A/C are responsible for Hutchinson
Gilford syndrome (HGS), a disorder of premature aging. Cataract is 1 of the main
manifestations. The most prevalent mutation in Hutchinson-Gilford syndrome is
C1824T, which activates a cryptic splice donor site to produce an abnormal lamin
A protein. The purpose of this study was to investigate a possible association of
the C1824T mutation with age-related cataract. Anterior lens capsule material was
collected during cataract extraction surgery from 178 patients with senile
cataract during 2007-2008. DNA and mRNA were extracted and sequenced for the LMNA
gene. DNA and cDNA were screened for the C1824T mutation, which was not detected.
Messenger RNA (mRNA) expression was normal, with no truncation. We found that
human age-related nuclear cataract is not associated with LMNA gene mutations or
truncation of lamin A.
PMID- 22079057
TI - The 5-HT(2A) receptor and serotonin transporter in Asperger's disorder: A PET
study with [11C]MDL 100907 and [11C]DASB.
AB - Evidence from biochemical, imaging, and treatment studies suggest abnormalities
of the serotonin system in autism spectrum disorders, in particular in
frontolimbic areas of the brain. We used the radiotracers [(11)C]MDL 100907 and
[(11)C]DASB to characterize the 5-HT(2A) receptor and serotonin transporter in
Asperger's Disorder. Seventeen individuals with Asperger's Disorder (age=34.3 +/-
11.1 years) and 17 healthy controls (age=33.0 +/- 9.6 years) were scanned with
[(11)C]MDL 100907. Of the 17 patients, eight (age=29.7 +/- 7.0 years) were also
scanned with [11C]DASB, as were eight healthy controls (age=28.7 +/- 7.0 years).
Patients with Asperger's Disorder and healthy control subjects were matched for
age, gender, and ethnicity, and all had normal intelligence. Metabolite-corrected
arterial plasma inputs were collected and data analyzed by two-tissue compartment
modeling. The primary outcome measure was regional binding potential BP(ND).
Neither regional [11C]MDL 100907 BP(ND) nor [11C]DASB BP(ND) was statistically
different between the Asperger's and healthy subjects. This study failed to find
significant alterations in binding parameters of 5-HT(2A) receptors and serotonin
transporters in adult subjects with Asperger's disorder.
PMID- 22079059
TI - Do image modality and registration method influence the accuracy of craniofacial
navigation?
AB - PURPOSE: Cone-beam computed tomography (CBCT) is increasingly used in
craniofacial imaging and may be an interesting option for navigated surgery. The
purpose of this study was to evaluate the accuracy of various registration
techniques in CBCT compared with intraoperative and diagnostic multislice spiral
computed tomography (MSCT). MATERIALS AND METHODS: High-resolution images of an
anthropomorphic skull phantom with target markers were obtained using 2 CBCT
machines (KaVo 3D eXam, ILUMA), an intraoperative MSCT (Sensation Open), and the
standard diagnostic MSCT (LightSpeed VCT). Bone markers, a registration template,
and an external registration frame were used for registration with an optical
based navigation system. Target registration errors (TREs) were evaluated and
statistically analyzed in SPSS (P < .05). RESULTS: The mean +/- standard
deviation for overall TREs of the KaVo 3D eXam, ILUMA, Sensation Open, and
LightSpeed VCT devices were 1.37 +/- 0.54, 1.67 +/- 0.65, 1.27 +/- 0.52, and 1.31
+/- 0.30 mm, respectively. The KaVo 3D eXam showed no significant differences
compared with the MSCTs. The ILUMA imaged the external registration frame only
marginally and showed significant higher TREs compared with the other
registration methods (P < .001). In the 2 MSCTs, no significant differences
between the registration methods were found. CONCLUSIONS: CBCT and intraoperative
MSCT may show comparable TREs as standard diagnostic MSCT. Bone markers are the
gold standard. Registration templates and external registration frames are
valuable alternatives. When using only external registration frames, CBCTs with a
large scan field are recommended.
PMID- 22079060
TI - Concomitant removal of mandibular third molars during sagittal split osteotomy
minimizes neurosensory dysfunction.
AB - PURPOSE: Inferior alveolar nerve (IAN) injury is 1 of the most important
postoperative complications after sagittal split osteotomy (SSO). The purpose of
our study was to investigate the effects of the presence or absence of a
mandibular third molar on the neurosensory recovery of the IAN after SSO.
MATERIALS AND METHODS: A prospective cohort study enrolled a sample composed of
patients who underwent SSO to correct mandibular deformities. The primary
predictor variable was the status of the mandibular third molar at the time of
SSO and it was divided into two levels, present at the time of SSO (Group I) or
absent at the time of SSO (Group II). The primary outcome variable was
neurosensory recovery of the IAN, assessed using the Medical Research Council
scale, functional sensory recovery, and subjective evaluation. Neurosensory
status was measured 3 times (preoperatively and 3 and 6 months postoperatively).
Appropriate bivariate and multivariate statistics were computed, and the level of
statistical significance was set at P < .05. RESULTS: A total of 120 SSOs were
performed in 60 patients. Group I included 64 SSOs (mean patient age +/- SD 19.3
+/- 8.0 years) and group II, 56 SSOs (mean patient age 24.9 +/- 10.0 years). The
Medical Research Council scale scores showed that the presence of third molars
during SSO was associated with a statistically significant decreased incidence of
neurosensory disturbance of the IAN at 3 and 6 months postoperatively (all P <
.01). Functional sensory recovery was achieved more frequently in group I, but
this difference remained significant only at 3 months after adjusting (P = .01).
A "normal sensation" was subjectively reported more frequently in group I at 3
and 6 months postoperatively (P <= .05). CONCLUSIONS: The presence of third
molars during SSO minimizes postoperative neurosensory disturbance of the IAN.
PMID- 22079061
TI - An evaluation of face-bow transfer for the planning of orthognathic surgery.
AB - PURPOSE: The purpose of this study was to evaluate the error magnitude in the
clinical application of face-bow devices. Technical and methodologic
inaccuracies, as well as deviations from reference planes, were determined.
MATERIALS AND METHODS: The presented method is part of a 3-dimensional virtual
planning procedure for orthognathic surgery and included 15 patients with
dentoskeletal deformities. Cone beam computed tomography datasets obtained from
patients with a referenced face-bow plane and a centric registration splint were
matched with cone beam computed tomography datasets of the registered plaster
model of the maxilla mounted in an articulator. To assess potential sources of
methodologic errors, angulations were measured between the virtual face-bow plane
and the horizontal cross bar of the virtual articulator. To evaluate the
reproducibility of the anatomic reference plane, angulations between the
Frankfort plane and the horizontal cross bar of the articulator were measured.
Statistical significance was set at P < .05 and tested by univariate analysis of
variance. RESULTS: Technical and methodologic errors showed a mean deviation of
3.5 degrees , with a median of 3.6 degrees and SD of 2.7 degrees . The values
did not reach statistical significance (P = .1). However, there was a significant
error (P < .05) in determining the position of the anatomic reference plane by
face-bow transfer. The mean deviation was 7.7 degrees (values ranged between 1.2
degrees and 18.9 degrees ), with a median of 6.7 degrees and SD of 5.3 degrees
. CONCLUSIONS: In this study the traditional use of face-bow devices showed
inaccuracies in model mounting as well as in assignment of anatomic reference
planes. Three-dimensional virtual computer-assisted planning seems to be more
accurate than conventional methods.
PMID- 22079062
TI - Free vascularized flaps for reconstruction of the mandible: complications,
success, and dental rehabilitation.
AB - PURPOSE: To evaluate complications and success of mandibular reconstruction with
free fibula flaps, iliac crest flaps, and forearm flaps with reconstruction
plates and to evaluate dental rehabilitation after these reconstructions.
PATIENTS AND METHODS: Eighty-three patients with segmental mandibular defects
were included. Correlation analyses were used to determine the relationship
between reconstruction type and clinical parameters with recipient-site
complications and success. The dental rehabilitation was evaluated in
successfully reconstructed survivors. RESULTS: Multivariate analyses showed
significant correlations between flap type and success (P < .0001). Of the
patients, 51 (61%) were alive 2 years after the reconstruction. Mandibular
reconstruction with a free forearm flap and reconstruction plate was associated
with higher complication rates at the recipient site and higher failure rates
compared with reconstruction with free vascularized bone flaps. Of the 32
successfully reconstructed survivors, 14 (44%) had a complete dental
rehabilitation, of which 10 had dental implants and 4 did not. Only 6 (29%) of
the edentulous survivors ultimately had an implant-supported prosthesis.
CONCLUSIONS: Reconstruction of the mandible with a free vascularized bone flap is
superior to reconstruction with a free forearm flap with a reconstruction plate.
Complete dental rehabilitation was reached in fewer than half of the surviving
patients.
PMID- 22079063
TI - Can an arch bar replace a second lag screw in management of anterior mandibular
fractures?
AB - PURPOSE: To evaluate the efficacy of using a single lag screw combined with an
arch bar in the management of anterior mandibular fractures and to compare this
method with the traditional application of 2 lag screws. MATERIALS AND METHODS:
We designed and implemented a randomized clinical trial and enrolled a sample of
patients with anterior mandibular fractures. Twenty adult male patients were
randomly divided into 2 equal groups according to the number of lag screws used
for fracture fixation after securing the occlusion with intermaxillary fixation.
In group A, the fractures were treated using 2 lag screws. In group B, the
fractures were treated using a single lag screw and an arch bar on the teeth,
spanning the fracture line. Clinical and radiographic evaluations were used to
evaluate the efficacy of each fixation method immediately and at 2 and 4 months
postoperatively. RESULTS: The clinical examination showed stable fixation with no
mobility or infection in all cases. One patient in group A showed a slight
occlusal discrepancy that was managed with occlusal adjustment. The pretraumatic
occlusal relationship of all other patients was re-established. Postoperative
radiographs showed properly reduced fracture segments with gradual bone healing.
No significant difference was noted (P > .05) between the 2 groups in the
development of postoperative complications. CONCLUSIONS: The use of 1 lag screw
in conjunction with an arch bar across the fracture line is rigid and stable
enough to manage anterior mandibular fractures without the need for supplemental
intermaxillary fixation. The use of a single lag screw offers several advantages
compared with the traditional use of 2 lag screws. These advantages include
decreased cost, use of materials, healing time, and risk of associated morbidity.
PMID- 22079064
TI - Three-dimensionally printed polycaprolactone and beta-tricalcium phosphate
scaffolds for bone tissue engineering: an in vitro study.
AB - PURPOSE: The purpose of this study was to evaluate porcine bone marrow-derived
progenitor cell (pBMPC) proliferation and penetration into a novel 3
dimensionally printed scaffold. MATERIALS AND METHODS: Four different tissue
engineering scaffolds to evaluate pBMPC proliferation and penetration were
examined. Scaffolds were fabricated from polycaprolactone (PCL) or the
combination of beta-tricalcium phosphate (beta-TCP) and PCL (50:50), with 2
separate channel sizes (1 mm [small (S)] vs 2 mm [large (L)]). Scaffolds were
fabricated into 20 * 20 * 7-mm blocks by use of a TheriForm machine (Integra Life
Sciences, Akron, OH). Four groups of scaffolds were examined for pBMPC
proliferation and penetration: group 1, beta-TCP/PCL S; group 2, beta-TCP/PCL L;
group 3, PCL S; and group 4, PCL L. Nonparametric mean (Kruskal-Wallis) and
multiple comparisons tests were used to compare the 4 groups. RESULTS: No
shrinkage or deformation was noted in any of the scaffold groups after 2 weeks of
culture. Mean surface cell counts ranged from 13.4 to 87.8 cells/0.57 mm(2), with
group 1 (beta-TCP/PCL S) having statistically significantly higher counts than
the other groups (P < .001). Mean interior cell counts ranged from 10.9 to 75.6
cells/0.57 mm(2), with group 1 having the greatest interior cell count (P <
.001). Total collagen formation ranged from 0.2% to 86%, with group 1 having the
highest collagen formation (P < .001). CONCLUSIONS: The 3-dimensionally printed
scaffold (beta-TCP/PCL) with 1-mm channels showed greater cellular proliferation,
penetration, and collagen formation after a 2-week in vitro culture than the
other scaffolds evaluated. beta-TCP/PCL S scaffolds warrant further evaluation
for bone tissue engineering in vivo.
PMID- 22079065
TI - Clinical significance of computed tomographic assessment and anatomic features of
the inferior alveolar canal as risk factors for injury of the inferior alveolar
nerve at third molar surgery.
AB - PURPOSE: To assess the clinical features of the inferior alveolar canal (IAC)
using computed tomography (CT) and to analyze the significance of CT examination
at third molar surgery. MATERIALS AND METHODS: A retrospective cohort study was
performed involving 99 patients (145 teeth). The relationship between cortication
status, buccolingual position, and shape of the IAC on the CT image and inferior
alveolar nerve (IAN) injury after third molar surgery were statistically
analyzed. RESULTS: The shape of the IAC was categorized into 3 groups:
round/oval, teardrop, and dumbbell. IAN injury was observed in 7 of 145 cases
(4.8%). All 7 cases exhibited absence of cortication; 3 were dumbbell shape and 4
were round/oval. According to logistic regression analysis of cases with absence
of cortication, IAC shape was closely related to IAN injury. CONCLUSIONS: These
results suggest that assessment of the IAC shape and cortication status at third
molar surgery may be clinically useful.
PMID- 22079066
TI - How should the articular disk position be analyzed?
AB - PURPOSE: To compare 2 methods used to determine the disk position based on
sagittal magnetic resonance images. PATIENTS AND METHODS: A cross-sectional study
of patients with the signs and symptoms of temporomandibular disorders was
conducted. The patients' ages and gender distributions were collected. The disk
position diagnosis from the clinical examination was considered the primary
outcome. Three observers evaluated the presence of anterior displacement on
magnetic resonance images according to 2 criteria: method 1 (12-o'clock position)
and method 2 (location of the intermediate zone). To assess the intraobserver
variability of the 2 methods, the examiners evaluated the same magnetic resonance
images at the beginning of the study (time 1) and 40 days later (time 2). The
intraobserver agreement was assessed using the observed agreement and the kappa
statistic. McNemar's test was used to assess the differences between each method
and the clinical examination findings (P < .05). The accuracy, sensitivity,
specificity, and positive and negative predictive values were calculated by
comparing the diagnosis from each method with that from the clinical examination
(considered the reference standard). RESULTS: The final sample was composed of 20
subjects with a mean age of 33.0 +/- 33.7 years; 3 were men (15%) and 17 were
women (85%). A statistically significant difference between the 2 methods was
found. Method 1 yielded a greater percentage of anterior displaced disks (52.5%).
The agreement between the clinical diagnosis and method 1 was lower (70.0%) than
that between the clinical diagnosis and method 2 (87.5%). No statistically
significant difference was found between the clinical diagnosis and method 2.
CONCLUSION: The disk position should be judged according to the intermediate zone
criterion.
PMID- 22079067
TI - Streptococci-human papilloma virus interaction with ethanol exposure leads to
keratinocyte damage.
AB - PURPOSE: Ethanol, human papilloma virus (HPV), and poor oral hygiene are risk
factors that have been attributed to oral carcinogenesis. Streptococci sp and HPV
infections are common in the head and neck, often associated with sexual
activity. Although HPV is linked to head and neck squamous cell carcinoma, it is
unclear whether there is a similar role for Streptococci sp. This cell study
examines whether Streptococci sp and HPV-16 with exposure to ethyl alcohol (ETOH)
can act as cofactors in the malignant transformation of oral keratinocytes.
MATERIALS AND METHODS: ETOH (0.1%-20% vol/vol) was used to investigate
Streptococci sp attachment with immortalized E6-expressing HPV/HOK-16B cells,
human oral buccal keratinocytes, and foreskin keratinocytes. Streptococci sp
(Streptococci mutans [LT11]) and various strains of acetaldehyde (AA) producer
and nonproducer Streptococcus salivarius (110-1, 109-2, 101-7, and 107-1) and a
lactic acid producer bacterium, Lactobacillus rhamnosus (24-1 and 25-2), were
examined for interactions with keratinocytes by use of a green dye (percent of
cells with colonies after 24 hours). Carcinogens, AA, malondialdehyde, DNA
damage, and proliferation (5'-bromo-2-deoxyuridine) among keratinocytes were also
quantified. RESULTS: AA and malondialdehyde production from permissible
Streptococci sp significantly increased with attachment to keratinocytes, whereas
L rhamnosus did not significantly attach to keratinocytes. This attachment was
associated with enhanced levels of AA adduct formation, proliferation (5'-bromo-2
deoxyuridine incorporation), and enhanced migration through integrin-coated
basement membrane by HPV oral keratinocytes, which are characteristics of a
malignant phenotype. CONCLUSIONS: These cell studies suggest that oral
Streptococci sp and HPV (HPV-16) cooperate to transform oral keratinocytes after
low-level ETOH (1%) exposure. These results appear to suggest a significant
clinical interaction, but further validation is warranted.
PMID- 22079068
TI - Comparison of current perception threshold electrical testing to clinical sensory
testing for lingual nerve injuries.
AB - PURPOSE: We performed a retrospective study of lingual nerve injury assessment
comparing the techniques of current perception threshold testing versus clinical
sensory testing. PATIENTS AND METHODS: We designed and implemented a cross
sectional study and enrolled a patient sample with lingual nerve injuries
presenting for treatment to the principal investigator. The predictor variables
were clinical sensory testing modalities (ie, temperature, nocioception,
vibration, 2-point discrimination, brush stroke, and von Frey monofilament
perception). The primary outcome variable was the electrical current perception
thresholds of the tongue dorsum (neurometer measurements at 5, 250, and 2,000
Hz). Comparisons were established with the ipsilateral affected and contralateral
unaffected lingual nerve distributions. The associations between the clinical
sensory testing and current perception threshold measurements were assessed using
correlation coefficients, with the level of statistical significance set at P <
.05. RESULTS: A total of 40 patients (13 males and 27 females) were included in
the present study. The average age of these patients was 34 years (range 13 to
66). Significant correlations were observed between the electrical stimulation
thresholds at 2,000 Hz and the 2-point discrimination, reaction to brushing,
reaction to vibration, and von Frey fiber thresholds, between the electrical
stimulation thresholds at 250 Hz to the nociceptive and thermal thresholds, and
between the electrical stimulation thresholds at 5 Hz to thermal stimuli.
CONCLUSIONS: The significant correlations observed in the present study indicate
that current perception threshold can be a complementary or alternative tool in
the assessment and evaluation of lingual nerve injuries.
PMID- 22079069
TI - Human immunodeficiency virus-associated Burkitt's lymphoma in oral cavity of
Japanese patient.
PMID- 22079070
TI - Sialadenoma papilliferum of the hard palate: a case report.
PMID- 22079071
TI - Yolk-sac tumor of the postauricular region: case report and review of the
literature.
PMID- 22079072
TI - Responses of dopaminergic, serotonergic and noradrenergic networks to acute levo
tetrahydropalmatine administration in naive rats detected at 9.4 T.
AB - AIM: The aim of this study was to understand the neuropharmacological
characteristics of levo-tetrahydropalmatine (l-THP), a recently found potential
treatment for drug addiction, and discover its neural correlates and sites of
action. METHODS: High-field pharmacological magnetic resonance imaging (phMRI)
was used to detect activation induced by acute l-THP administration in the naive
rat brain at dose levels of 5, 10, 20 and 40 mg/kg. RESULTS: Interestingly, the
pharmacological profile of l-THP selectively binds to the receptors of the
dopaminergic, serotonergic and noradrenergic systems. Using the phMRI method, it
was demonstrated that l-THP selectively activated the key brain regions of the
dopaminergic, serotonergic and noradrenergic systems in a dose-dependent manner.
CONCLUSION: Numerous studies suggest a critical role of monoamines in the
behavioral, pharmacological and addictive properties of psychostimulants. It is
suggested that l-THP holds great potential to be a therapeutic medication for
drug addiction.
PMID- 22079073
TI - Subject-specific changes in brain white matter on diffusion tensor imaging after
sports-related concussion.
AB - BACKGROUND AND PURPOSE: Current approaches to diffusion tensor imaging (DTI)
analysis do not permit identification of individual-level changes in DTI indices.
We investigated the ability of wild bootstrapping analysis to detect subject
specific changes in brain white matter (WM) before and after sports-related
concussion. MATERIALS AND METHODS: A prospective cohort study was performed in
nine high school athletes engaged in hockey or football and six controls.
Subjects underwent DTI pre- and postseason within a 3-month interval. One athlete
was diagnosed with concussion (scanned within 72 h), and eight suffered between
26 and 399 subconcussive head blows. Fractional anisotropy (FA) and mean
diffusivity (MD) were measured in each WM voxel. Bootstrap samples were
generated, and a permuted t test was used to compare voxel-wise FA/MD changes in
each subject pre- vs. postseason. RESULTS: The percentage of WM voxels with
significant (p<.05) pre-post FA changes was highest for the concussion subject
(3.2%), intermediary for those with subconcussive head blows (mean 1.05%+/-.15%)
and lowest for controls (mean 0.28%+/-.01%). Similarly, the percentage of WM
voxels with significant MD changes was highest for the concussion subject
(3.44%), intermediary for those with subconcussive head blows (mean 1.48%+/-.17%)
and lowest for controls (mean 0.48%+/-.05%). Significantly changed FA and MD
voxels colocalized in the concussion subject to the right corona radiata and
right inferior longitudinal fasciculus. CONCLUSIONS: Wild bootstrap analysis
detected significantly changed WM in a single concussed athlete. Athletes with
multiple subconcussive head blows had significant changes in a percentage of
their WM that was over three times higher than controls. Efforts to understand
the significance of these WM changes and their relationship to head impact forces
appear warranted.
PMID- 22079074
TI - International collaborative proficiency study of Human Papillomavirus type 16
serology.
AB - We performed an international proficiency study of Human Papillomavirus (HPV)
type 16 serology. A common methodology for serology based on virus-like particle
(VLP) ELISA was used by 10 laboratories in 6 continents. The laboratories used
the same VLP reference reagent, which was selected as the most stable, sensitive
and specific VLP preparation out of VLPs donated from 5 different sources. A
blinded proficiency panel consisting of 52 serum samples from women with PCR
verified HPV 16-infection, 11 control serum samples from virginal women and the
WHO HPV 16 International Standard (IS) serum were distributed. The mean plus 3
standard deviations of the negative control serum samples was the most generally
useful "cut-off" criterion for distinguishing positive and negative samples.
Using sensitivity of at least 50% and a specificity of 100% as proficiency
criteria, 6/10 laboratories were proficient. In conclusion, an international
Standard Operating Procedure for HPV serology, an international reporting system
in International Units (IU) and a common "cut-off" criterion have been evaluated
in an international HPV serology proficiency study.
PMID- 22079075
TI - Diverse microbial exposure - consequences for vaccine development.
AB - Numerous epidemiological studies suggest that there is an inverse relationship
between "immunologically mediated diseases of affluence", such as allergy,
diabetes and inflammatory bowel disease on one hand and few infections
encountered in early childhood, on the other hand. Careful analysis of the
epidemiological, clinical and animal studies taken together, however, suggests
that the protection is mediated by broad exposure to a wealth of commensal, non
pathogenic microorganisms early in life, rather than by infections. Microbial
exposure has little relationship with "hygiene" in the usual meaning of the word
and the term "hygiene hypothesis" is therefore misleading. A better term would be
"microbial deprivation hypothesis". The suggestion that childhood infections
would protect against allergic disease led to unfortunate speculations that
vaccinations would increase the risk for allergies and diabetes. Numerous
epidemiological studies have therefore been conducted, searching for a possible
relationship between various childhood vaccinations on one hand and allergy on
the other hand. It is reasonable from these studies to conclude that vaccinations
against infectious agents neither significantly increase, nor reduce the
likelihood of immunologically mediated diseases. It is established that the
postnatal maturation of immune regulation is largely driven by exposure to
microbes. Germ free animals manifest excessive immune responses when immunised
and they do not develop normal immune regulatory function. The gut is by far the
largest source of microbial exposure, as the human gut microbiome contains up to
1014 bacteria, i.e. ten times the number of cells in the human body. Several
studies in recent years have shown differences in the composition of the gut
microbiota between allergic and non-allergic individuals and between infants
living in countries with a low and a high prevalence of immune mediated diseases.
The administration of probiotic bacteria to pregnant mothers and postnatal to
their infants has immune modulatory effects. So far, however, probiotic bacteria
do not seem to significantly enhance immune responses to vaccines. The potential
to improve vaccine responses by modifying the gut microbiota in infants and the
possibility to employ probiotic bacteria as adjuvants and/or delivery vehicles,
is currently explored in several laboratories. Although to date few clinical
results have been reported, experimental studies have shown some encouraging
results.
PMID- 22079076
TI - Process optimization and scale-up for production of rabies vaccine live
adenovirus vector (AdRG1.3).
AB - Rabies virus is an important causative agent of disease resulting in an acute
infection of the nervous system and death. Although curable if treated in a
timely manner, rabies remains a serious public health issue in developing
countries, and the indigenous threat of rabies continues in developed countries
because of wildlife reservoirs. Control of rabies in wildlife is still an
important challenge for governmental authorities. There are a number of rabies
vaccines commercially available for control of wildlife rabies infection.
However, the vaccines currently distributed to wildlife do not effectively
immunize all at-risk species, particularly skunks. A replication competent
recombinant adenovirus expressing rabies glycoprotein (AdRG1.3) has shown the
most promising results in laboratory trials. The adenovirus vectored vaccine is
manufactured using HEK 293 cells. This study describes the successful scale-up of
AdRG1.3 adenovirus production from 1 to 500 L and the manufacturing of large
quantities of bulk material required for field trials to demonstrate efficacy of
this new candidate vaccine. The production process was streamlined by eliminating
a medium replacement step prior to infection and the culture titer was increased
by over 2 fold through optimization of cell culture medium. These improvements
produced a more robust and cost-effective process that facilitates
industrialization and commercialization. Over 17,000 L of AdRG1.3 adenovirus
cultures were manufactured to support extensive field trials. AdRG1.3 adenovirus
is formulated and packaged into baits by Artemis Technologies Inc. using
proprietary technology. Field trials of AdRG1.3 rabies vaccine baits have been
conducted in several Canadian provinces including Ontario, Quebec and New
Brunswick. The results from field trials over the period 2006-2009 demonstrated
superiority of the new vaccine over other licensed vaccines in immunizing wild
animals that were previously difficult to vaccinate.
PMID- 22079077
TI - Vaccination with BM86, subolesin and akirin protective antigens for the control
of tick infestations in white tailed deer and red deer.
AB - Red deer (Cervus elaphus) and white-tailed deer (Odocoileus virginianus) are
hosts for different tick species and tick-borne pathogens and play a role in tick
dispersal and maintenance in some regions. These factors stress the importance of
controlling tick infestations in deer and several methods such as culling and
acaricide treatment have been used. Tick vaccines are a cost-effective
alternative for tick control that reduced cattle tick infestations and tick-borne
pathogens prevalence while reducing the use of acaricides. Our hypothesis is that
vaccination with vector protective antigens can be used for the control of tick
infestations in deer. Herein, three experiments were conducted to characterize
(1) the antibody response in red deer immunized with recombinant BM86, the
antigen included in commercial tick vaccines, (2) the antibody response and
control of cattle tick infestations in white-tailed deer immunized with
recombinant BM86 or tick subolesin (SUB) and experimentally infested with
Rhipicephalus (Boophilus) microplus, and (3) the antibody response and control of
Hyalomma spp. and Rhipicephalus spp. field tick infestations in red deer
immunized with mosquito akirin (AKR), the SUB ortholog and candidate protective
antigen against different tick species and other ectoparasites. The results
showed that deer produced an antibody response that correlated with the reduction
in tick infestations and was similar to other hosts vaccinated previously with
these antigens. The overall vaccine efficacy was similar between BM86 (E=76%) and
SUB (E=83%) for the control of R. microplus infestations in white-tailed deer.
The field trial in red deer showed a 25-33% (18-40% when only infested deer were
considered) reduction in tick infestations, 14-20 weeks after the first
immunization. These results demonstrated that vaccination with vector protective
antigens could be used as an alternative method for the control of tick
infestations in deer to reduce tick populations and dispersal in regions where
deer are relevant hosts for these ectoparasites.
PMID- 22079078
TI - An adjunctive therapeutic vaccine against reactivation and post-treatment relapse
tuberculosis.
AB - Preventing latently infected or inadequately treated individuals from progressing
to active disease could make a major impact on tuberculosis (TB) control
worldwide. The purpose of this study was to evaluate a new approach to prevent
reactivation and TB relapse that combines drug treatment and vaccination.
Mycobacterium tuberculosis harbors a gene called mce1R that, in vivo, negatively
regulates a 13-gene cluster called the mce1 operon. In a Cornell mouse model,
BALB/c mice infected with M. tuberculosis H37Rv disrupted in mce1R consistently
develop latent infection and reactivation disease. We used this new mouse model
to test a recombinant M. tuberculosis cell wall protein (Mce1A), encoded by a
gene in the mce1 operon, for its ability to prevent post-treatment TB. At 32
weeks of follow-up, a complete sterilizing protection was observed in lungs of
the vaccinated mice. Mce1A but not phosphate-buffered saline administered
intraperitoneally during the period of latent infection prevented disease
progression and proliferation of M. tuberculosis mce1R mutant. The only visible
lung lesions in vaccinated mice included small clusters of lymphocytes, while the
unvaccinated mice showed progressively enlarging granulomas comprised of foamy
macrophages surrounded by lymphocytes. The combination of anti-TB drugs and a
vaccine may serve as a powerful treatment modality against TB reactivation and
relapse.
PMID- 22079079
TI - Safety and immunogenicity of a novel nanoemulsion mucosal adjuvant W805EC
combined with approved seasonal influenza antigens.
AB - BACKGROUND: Improving the systemic and mucosal immune response following
intranasal vaccination could enhance disease protection against respiratory
pathogens. We assessed the safety and immunogenicity of a novel nanoemulsion
mucosal adjuvant W(80)5EC combined with approved seasonal influenza antigens.
METHODS: This was a first-in-human Phase I study in 199 healthy adult volunteers
randomized to receive a single intranasal administration of 5%, 10%, 15% or 20%
W(80)5EC, combined with 4 or 10 MUg strain-specific Fluzone((r)) HA, compared
with intranasal PBS, intranasal Fluzone((r)), or 15 ug strain-specific
intramuscular Fluzone((r)). Safety was evaluated by physical examination,
laboratory parameters, symptom diaries, and adverse event reports. Serum HAI
titers and nasal wash IgA were assessed at baseline as well as 28 and 60 days
after vaccination. RESULTS: W(80)5EC adjuvant combined with seasonal influenza
antigens was well tolerated without safety concerns or significant adverse
events. The highest dose of 20% W(80)5EC combined with 10 MUg strain-specific HA
elicited clinically meaningful systemic immunity based on increases in serum HAI
GMT and >= 70% seroprotection for all 3 influenza strains, as well as a rise in
antigen-specific IgA in nasal wash specimens. CONCLUSIONS: W(80)5EC adjuvant was
safe and well tolerated in healthy adult volunteers and elicited both systemic
and mucosal immunity following a single intranasal vaccination.
PMID- 22079080
TI - The non-toxic and biodegradable adjuvant Montanide ISA 720/CpG can replace
Freund's in a cancer vaccine targeting ED-B--a prerequisite for clinical
development.
AB - We have recently shown that immunization against the extra domain-B (ED-B) of
fibronectin, using Freund's adjuvant, reduces tumor growth in mice by 70%. In the
present study we compare the immune response generated against ED-B using the non
toxic and biodegradable adjuvant Montanide ISA 720/CpG with the response elicited
by Freund's adjuvant. Montanide ISA 720/CpG induced anti-ED-B antibodies with
higher avidity and less variable levels between individuals than Freund's.
Moreover, the duration of the immune response was longer and the generation of
anti-ED-B antibodies in naive mice was faster, when Montanide ISA 720/CpG was
used. We conclude that it is possible to replace the mineral oil based adjuvant
Freund's with an adjuvant acceptable for human use, which is a prerequisite for
transfer of the ED-B vaccine to the clinic.
PMID- 22079081
TI - The untold truth about "bath salt" highs: A case series demonstrating local
tissue injury.
AB - The epidemic of injecting cathinone derivatives, marketed as "bath salts", by
intravenous drug users among inner city Dubliners led to an associated rise in
soft tissue complications. The spectrum of the cases encountered, ranging from
self-limiting cellulitis to extensive abscess formation, at a single institution
is described.
PMID- 22079082
TI - [Evaluation of the immunoblotting for the detection of immunoglobulin G
Toxoplasma antibodies in immunocompetent patients].
AB - The serological tests commonly used for the diagnosis of toxoplasmosis raise the
problem of the interpretation of the borderline immunoglobulin G (IgG) levels and
discordant results between various tests. OBJECTIVE: The purpose of our study was
to evaluate the contribution of the immunoblotting in the detection of specific
IgG in acquired toxoplasmosis of immunocompetent patients especially when levels
are equivocal or discordant in enzyme-linked immunosorbent assay (Elisa) and
indirect fluorescent antigen test (IFAT). MATERIAL AND METHODS: [corrected] We
tested three groups of sera. The first included 87 positive sera, the second 33
negative sera, and the last one 29 equivocal sera. RESULTS: Results obtained with
the first and the second group of sera led us to identify the bands 30kDa and
32kDa as markers of the toxoplasmic infection. The simultaneous presence of both
bands showed a sensitivity of 91.5%, a specificity of 96.9%, a VPP of 98.7%, a
VPN of 74.4% and a Youden's index of 0.88. Our findings suggest that the presence
of these two bands is a reliable criterion for the confirmation of the presence
of anti-toxoplasmic IgG in the corresponding serum. The immunoblot allowed us to
ascertain serological status of 27 (93.1%) patients from the third group in which
results were discrepant or equivocal in Elisa and/or in IFAT. CONCLUSION:
Immunoblot is a useful serological test for detection of very low or equivocal
titers.
PMID- 22079083
TI - Transgenic inactivation of murine myostatin does not decrease the severity of
disease in a model of Spinal Muscular Atrophy.
AB - Spinal Muscular Atrophy (SMA) is a devastating neurodegenerative disease and is a
leading genetic cause of infantile death. SMA is caused by the homozygous loss of
Survival Motor Neuron-1 (SMN1). The presence of a nearly identical copy gene
called SMN2 has led to the development of several strategies that are designed to
elevate SMN levels, and it is clear that SMN2 is an important modifier gene.
However, the possibility exists that SMN-independent strategies to lessen the
severity of the SMA phenotype could provide insight into disease development as
well as aid in the identification of potential therapeutic targets. Muscle
enhancement has been considered an interesting target for a variety of
neurodegenerative diseases, including SMA. Previously we have shown in SMA mice
that delivery of recombinant follistatin resulted in an extension in survival and
a general lessening of disease severity. Follistatin is known to functionally
block myostatin (MSTN), a potent inhibitor of muscle development. However,
follistatin is a multifaceted protein involved in a variety of cellular pathways.
To determine whether MSTN inhibition was the primary pathway associated with the
previously reported follistatin results, we generated an animal model of SMA in
which Mstn was genetically inactivated. In this report we characterize the novel
SMA/Mstn model and demonstrate that Mstn inactivation does not significantly
enhance muscle development in neonatal animals, nor does it result in an
amelioration of the SMA phenotype.
PMID- 22079084
TI - Potential legal protection problems in the use of compulsory commitment in mental
health care in Norway.
AB - Compulsory commitment in mental health care represents a dramatic infringement on
an individual's life. In Norway, this deprivation of liberty is based on a
professional medical assessment that does not require a court verdict. This
article presents possible changes that may increase legal protection for the
mentally ill. The concept of legal protection has at least two definitions: the
state's protection of the individual's legal rights (including the right to
health care) and the protection afforded to citizens from abuse and arbitrary
actions by the state. Infringements on personal liberty without consent require
such legal authority as is found in the Human Rights Conventions. These
Conventions have precedence over national laws. Norwegian legislation is based on
confidence in psychiatry as a profession. This confidence allows professionals to
treat patients against their will. In some countries, initial court action is
necessary before compulsory mental health care can be implemented. This should
also be possible in Norway in most cases, with the exception of life-threatening
situations.
PMID- 22079085
TI - The "untreatability" of psychopathy and hospital commitment in the USA.
AB - One argument in support of a public policy of not subjecting persons with
psychopathic disorders to civil or criminal commitment is that these disorders do
not improve with treatment. This article examines the relationship between the
assumption of untreatability of psychopathic disorders and outpatient civil
commitment, inpatient civil commitment, and insanity acquittee commitment.
Research on the treatability of psychopathy is reviewed and the treatment of
conditions co-morbid with psychopathy is considered. Research evidence is
insufficient to support the conclusion that psychopathy is improved, worsened or
not affected by treatment. Evidence does support effective treatments for
conditions that can be co-morbid with psychopathic disorders including impulsive
aggression which can be interpreted as a manifestation of psychopathic disorder.
The absence of evidence based treatment efficacy for psychopathic disorders is a
logical reason for not subjecting individuals with only a psychopathic disorder
to involuntary hospitalization. This assumption should not becloud the
possibility of treatable co-morbid conditions which may or may not qualify for
involuntary hospitalization. Where the primary mental disorder, for which an
individual is involuntarily hospitalized, results in behavioral improvement, the
continued presence of a psychopathic disorder itself, should not be sufficient
reason to continue coerced confinement. Even so, where the primary disorder is
incompletely treated, psychopathy can be considered a risk factor when deciding
upon the appropriate time for discharge and when formulating a safe and effective
after care plan.
PMID- 22079086
TI - The right of caregivers to access health information of relatives with mental
illness.
AB - This article reviews the legal, ethical and practical challenges of complying
with the Ontario Personal Health Information Protection Act (PHIPA) within the
context of a Canadian mental health system that is overburdened and under
resourced. The advent of deinstitutionalization has placed significantly
increased responsibilities on the families of mentally ill individuals. While
research evidences that involving family members in the care of their mentally
ill relatives improves treatment outcomes, mental health practitioners constantly
face the challenge of engaging family caregivers while also complying with
privacy laws. The authors propose an Ontario Caregiver Recognition Act (OCRA) to
formally recognize family caregivers as informal health information custodians
based on the practice of other jurisdictions which incorporate the rights of
family members actively engaged in providing care to their mentally ill
relatives.
PMID- 22079087
TI - Methodological issues in monitoring the use of coercive measures.
AB - PURPOSE: In many European countries, initiatives have emerged to reduce the use
of seclusion and restraint in psychiatric institutions. To study the effects of
these initiatives at a national and international level, consensus on definitions
of coercive measures, assessment methods and calculation procedures of these
coercive measures are required. The aim of this article is to identify problems
in defining and recording coercive measures. The study contributes to the
development of consistent comparable measurements definitions and provides
recommendations for meaningful data-analyses illustrating the relevance of the
proposed framework. METHODS: Relevant literature was reviewed to identify various
definitions and calculation modalities used to measure coercive measures in
psychiatric inpatient care. Figures on the coercive measures and epidemiological
ratios were calculated in a standardized way. To illustrate how research in
clinical practice on coercive measures can be conducted, data from a large
multicenter study on seclusion patterns in the Netherlands were used. RESULTS:
Twelve Dutch mental health institutes serving a population of 6.57 million
inhabitants provided their comprehensive coercion measure data sets. In total 37
hospitals and 227 wards containing 6812 beds were included in the study. Overall
seclusion and restraint data in a sample of 31,594 admissions in 20,934 patients
were analyzed. Considerable variation in ward and patient characteristics was
identified in this study. The chance to be exposed to seclusion per capita
inhabitants of the institute's catchment areas varied between 0.31 and 1.6 per
100.000. Between mental health institutions, the duration in seclusion hours per
1000 inpatient hours varied from less than 1 up to 18h. The number of seclusion
incidents per 1000 admissions varied between 79 up to 745. The mean duration of
seclusion incidents of nearly 184h may be seen as high in an international
perspective. CONCLUSION: Coercive measures can be reliably assessed in a
standardized and comparable way under the condition of using clear joint
definitions. Methodological consensus between researchers and mental health
professionals on these definitions is necessary to allow comparisons of seclusion
and restraint rates. The study contributes to the development of international
standards on gathering coercion related data and the consistent calculation of
relevant outcome parameters.
PMID- 22079088
TI - Safety and efficacy of sitaxsentan 50 and 100 mg in patients with pulmonary
arterial hypertension.
AB - OBJECTIVE: To assess safety and efficacy of sitaxsentan 50 and 100 mg in patients
with pulmonary arterial hypertension (PAH). BACKGROUND: Sitaxsentan is a highly
selective endothelin-A receptor antagonist that was recently withdrawn by the
manufacturer because of a pattern of idiosyncratic liver injury. METHODS: Before
sitaxsentan withdrawal, this 18-week double-blind, placebo-controlled study
randomized patients with PAH to receive placebo or sitaxsentan 50 or 100 mg once
daily. The primary efficacy endpoint was change from baseline in 6-min walk
distance (6MWD) at week 18. Changes in World Health Organization (WHO) functional
class and time to clinical worsening (TTCW) were secondary endpoints. The primary
efficacy analysis was powered for sitaxsentan 100 mg versus placebo. RESULTS: Of
98 randomized patients, 61% were WHO functional class II at baseline. Improvement
from baseline to week 18 in 6MWD occurred with sitaxsentan 100 but not 50 mg; a
strong placebo effect was observed. At week 18, WHO functional class was improved
or maintained in more patients receiving sitaxsentan 100 mg than placebo (P =
0.038); 0% versus 12% of patients deteriorated, respectively. TTCW was not
significantly different for 100-mg sitaxsentan patients than placebo (P = 0.090).
Adverse events (AEs) occurring more frequently with sitaxsentan (50 or 100 mg)
included headache, peripheral edema, dizziness, nausea, extremity pain, and
fatigue; most AEs were of mild or moderate severity. CONCLUSION: Sitaxsentan 100
mg improved functional class but not 6MWD in PAH patients who were mostly WHO
functional class II at baseline. No patient receiving sitaxsentan 100 mg
experienced clinical worsening; sitaxsentan was well tolerated.
PMID- 22079089
TI - Thrombolytic treatment of simultaneous pulmonary embolism and impending
paradoxical embolism through a patent foramen ovale: a different thrombolytic
regimen.
AB - A 72 year-old woman was admitted with a one-week history of weakness, right limb
pain and progressive breathlessness. Her blood pressure was 60/40 mmHg, ECG
showed inverted T waves in the precordial leads and incomplete right bundle
branch block (RBBB). Transthoracic echocardiography revealed a large serpentine
mobile mass across the atrial septum and mitrale valve extending into the left
ventricular cavity. The right ventricle was dilated and peak systolic tricuspid
annular velocity (RV-Sm) was 6.5 cm/sn, indicate right ventricular systolic
function was severely depressed. Transoesophageal echocardiography showed a
large, mobile thrombus in the foramen ovale, extending into the left atrium and
ventricle. As the patient was in a haemodynamically compromised condition, high
dose rapid infusion of streptokinase was administered. However, the thrombus did
not fully resolve with this intervention. Therefore, low dose continuous
streptokinase infusion was administered for an additional 72 h resulting in full
resolution of the lesion by the third day of therapy. The optimal management of
impending paradoxical embolism remains unclear. Prolonged continuous thrombolytic
infusion may be a option for patients who do not experience full resolution of
high risk thrombi with conventional thrombolytic therapy.
PMID- 22079090
TI - Epigenetic involvement of Alien/ESET complex in thyroid hormone-mediated
repression of E2F1 gene expression and cell proliferation.
AB - The ligand-bound thyroid hormone receptor (TR) is known to repress via a negative
TRE (nTRE) the expression of E2F1, a key transcription factor that controls the
G1/S phase transition. Alien has been identified as a novel interacting factor of
E2F1 and acts as a corepressor of E2F1. The detailed molecular mechanism by which
Alien inhibits E2F1 gene expression remains unclear. Here, we report that the
histone H3 lysine 9 (H3K9) methyltransferase (HMT) ESET is an integral component
of the corepressor Alien complex and the Alien/ESET complex is recruited to both
sites, the E2F1 and the nTRE site of the E2F1 gene while the recruitment to the
negative thyroid hormone response element (nTRE) is induced by the ligand-bound
TRbeta1 within the E2F1 gene promoter. We show that, overexpression of ESET
promotes, whereas knockdown of ESET releases, the inhibition of TRbeta1-regulated
gene transcription upon T3 stimulation; and H3K9 methylation is required for
TRbeta1-repressed transcription. Furthermore, depletion of ESET impairs thyroid
hormone-repressed proliferation as well as the G1/S transition of the cell cycle.
Taken together, our data indicate that ESET is involved in TRbeta1-mediated
transcription repression and provide a molecular basis of thyroid hormone-induced
repression of proliferation.
PMID- 22079091
TI - Clathrin-mediated endocytic proteins are upregulated in the cortex of the Tg2576
mouse model of Alzheimer's disease-like amyloid pathology.
AB - Amyloid-beta (Abeta) is cleaved from amyloid precursor protein (APP)
predominantly after APP has trafficked through the secretory pathway and then
become re-internalised by endocytosis. Clathrin-mediated and, more recently,
clathrin-independent endocytosis have both been implicated in this process.
Furthermore, endocytic abnormalities have been identified in cases of Alzheimer's
disease (AD), however, the relevance of these changes to the aetiology of the
disease remains unclear. We therefore examined the expression of proteins related
to these endocytic processes in the cortex of Tg2576 mice that overexpress the
Swedish mutation in APP, and consequently overexpress Abeta, to determine if
there were any changes in their associated pathways. We identified significant
increases in the levels of clathrin, dynamin and PICALM, all proteins intimately
involved with the clathrin-mediated endocytic pathway, in the transgenic animals.
However, levels of proteins associated with flotillin or caveolin-mediated
endocytic pathways remained unchanged. These results emphasise the importance of
clathrin-mediated endocytosis in the aetiology of AD and reinforce the results of
the recent GWAS studies that identified genes for clathrin-mediated endocytosis
as susceptibility genes for AD. Such studies in transgenic mice will allow us to
learn more about the role of clathrin-mediated endocytosis in AD.
PMID- 22079092
TI - Transmembrane myosin chitin synthase involved in mollusc shell formation produced
in Dictyostelium is active.
AB - Several mollusc shells contain chitin, which is formed by a transmembrane myosin
motor enzyme. This protein could be involved in sensing mechanical and structural
changes of the forming, mineralizing extracellular matrix. Here we report the
heterologous expression of the transmembrane myosin chitin synthase Ar-CS1 of the
bivalve mollusc Atrina rigida (2286 amino acid residues, M.W. 264 kDa/monomer) in
Dictyostelium discoideum, a model organism for myosin motor proteins. Confocal
laser scanning immunofluorescence microscopy (CLSM), chitin binding GFP detection
of chitin on cells and released to the cell culture medium, and a radiochemical
activity assay of membrane extracts revealed expression and enzymatic activity of
the mollusc chitin synthase in transgenic slime mold cells. First high-resolution
atomic force microscopy (AFM) images of Ar-CS1 transformed cellulose synthase
deficient D. discoideumdcsA(-) cell lines are shown.
PMID- 22079093
TI - Proteomic analysis of the 20S proteasome (PSMA3)-interacting proteins reveals a
functional link between the proteasome and mRNA metabolism.
AB - The 26S proteasome is a large multi-subunit protein complex that exerts specific
degradation of proteins in the cell. The 26S proteasome consists of the 20S
proteolytic particle and the 19S regulator. In order to be targeted for
proteasomal degradation most of the proteins must undergo the post-translational
modification of poly-ubiquitination. However, a number of proteins can also be
degraded by the proteasome via a ubiquitin-independent pathway. Such degradation
is exercised largely through the binding of substrate proteins to the PSMA3
(alpha 7) subunit of the 20S complex. However, a systematic analysis of proteins
interacting with PSMA3 has not yet been carried out. In this report, we describe
the identification of proteins associated with PSMA3 both in the cytoplasm and
nucleus. A combination of two-dimensional gel electrophoresis (2D-GE) and tandem
mass-spectrometry revealed a large number of PSMA3-bound proteins that are
involved in various aspects of mRNA metabolism, including splicing. In vitro
biochemical studies confirmed the interactions between PSMA3 and splicing
factors. Moreover, we show that 20S proteasome is involved in the regulation of
splicing in vitro of SMN2 (survival motor neuron 2) gene, whose product controls
apoptosis of neurons.
PMID- 22079094
TI - Topical timolol for the treatment of epistaxis in hereditary hemorrhagic
telangiectasia.
PMID- 22079095
TI - Comparative audiometric evaluation of hearing loss between the premenopausal and
postmenopausal period in young women.
AB - AIM: The aim of this study was to determine the audiologic status and severity of
hearing loss in different frequencies between the premenopausal and
postmenopausal period in women. MATERIALS AND METHODS: This prospective study
involved 28 premenopausal and 27 postmenopausal women. Premenopausal and
postmenopausal women were younger than 46 years. Age range for premenopausal and
menopause patients was 37 to 46 years. The mean age of menopause women with
sensorineural hearing loss in our study was not suitable for the age range of
presbyacousis that is commonly seen. Each subject was tested with low- (250-2000
Hz) and high-frequency (4000-8000 Hz) audiometry. For each set of tests, mean
values of air conduction at each frequency were calculated for the premenopausal
and postmenopausal groups and compared. RESULTS: The mean ages of the women on
premenopausal and postmenopausal groups were 42.0 +/- 2.4 and 43.4 +/- 2.6 years,
respectively. Duration of menopausal period in second group was 2.03 +/- 0.85
years. The corresponding mean body mass indexes were 29.7 +/- 2.9 and 31.1 +/-
3.8 kg/m(2). There was no statistical significance between the 2 groups in mean
ages and mean body mass indexes. Hearing thresholds at low and high frequencies
were analyzed between the 2 groups in Table 2. At low (250, 500, 1000, and 2000
Hz) and high frequencies (4000, 6000, and 8000 Hz), the mean air-conduction
threshold values between the 2 groups were not statistically significant.
CONCLUSION: Estrogen deficiency may not elevate hearing thresholds in early
postmenopausal period; however, further studies of larger series are needed to
confirm this.
PMID- 22079096
TI - A potential in silico antibody-antigen based diagnostic test for precise
identification of Acinetobacter baumannii.
AB - Acinetobacter baumannii is a problematic nosocomial pathogen. The resistance to a
wide range of antimicrobial agents, attributable to its biofilm phenotype, makes
the treatment very difficult. Biofilm is a common feature of most pathogens.
Biofilm associated proteins (Bap) are cellular surface components directly
involved in biofilm formation process. The dearth of a fast precise diagnostic
test and versatility of Bap sequences in A. baumannii were intuitions to design
this study. In silico analysis is a reliable alternative to laborious
experimental work in this connection. Databases were searched for an antigenic
conserved region of Bap specific to A. baumannii. The region was selected based
on alignments and propensity scales. Tertiary structure for this region was built
and predicted B-cell epitopes were mapped on the surface of the built model. Our
protein subunit was found to be a potential antigen, possessing several antigenic
determinants, eliciting antibody. Hence this subunit could be used as a suitable
agent for antibody-antigen based diagnostic test. This specific antigen can
minimize laboratory errors in identification of A. baumannii and thus help
clinicians to quick and precise diagnosis of the bacteria and initiatives to the
treatment of the infection. Antigenicity of the region could also be explored for
elicitation of antibody to protect the individuals exposed to A. baumannii.
PMID- 22079097
TI - Deconvolution of the vestibular evoked myogenic potential.
AB - The vestibular evoked myogenic potential (VEMP) and the associated variance
modulation can be understood by a convolution model. Two functions of time are
incorporated into the model: the motor unit action potential (MUAP) of an average
motor unit, and the temporal modulation of the MUAP rate of all contributing
motor units, briefly called rate modulation. The latter is the function of
interest, whereas the MUAP acts as a filter that distorts the information
contained in the measured data. Here, it is shown how to recover the rate
modulation by undoing the filtering using a deconvolution approach. The key
aspects of our deconvolution algorithm are as follows: (1) the rate modulation is
described in terms of just a few parameters; (2) the MUAP is calculated by Wiener
deconvolution of the VEMP with the rate modulation; (3) the model parameters are
optimized using a figure-of-merit function where the most important term
quantifies the difference between measured and model-predicted variance
modulation. The effectiveness of the algorithm is demonstrated with simulated
data. An analysis of real data confirms the view that there are basically two
components, which roughly correspond to the waves p13-n23 and n34-p44 of the
VEMP. The rate modulation corresponding to the first, inhibitory component is
much stronger than that corresponding to the second, excitatory component. But
the latter is more extended so that the two modulations have almost the same
equivalent rectangular duration.
PMID- 22079098
TI - Enhancement of the male effect on reproductive performance in female
Mediterranean goats with long day and/or melatonin treatment.
AB - The aim of this study was to determine whether the male effect on reproductive
performance in female Mediterranean goats could be enhanced if used in
combination with long day and/or melatonin treatments. Thirty-two does were
exposed to long days (16 h of light/day) for 95 days. At the end of this period,
16 received one melatonin implant (group LD-M) and 16 did not (group LD).
Simultaneously, 16 does under natural photoperiod were implanted with melatonin
(group M) or given no treatment (group C, n=16). On April 14th, after 49 days of
isolation from males, all does were exposed to bucks fitted with marking
harnesses. Oestrous activity, as detected by visual observation, was recorded
daily. Transrectal ultrasonography was used to record ovulation, and ovarian
activity confirmed by determining plasma progesterone concentration. Oestrous
activity, ovulation and fertility were greater in all treatment groups compared
with group C (P<0.05), but no differences in ovulation rate or litter size were
observed (P>0.05). Productivity (mean number of kids per female) of the LD-M and
M groups was significantly greater than in group C (1.50 +/- 0.01 and 1.37 +/-
0.01 vs. 0.69 +/- 0.01 kids, respectively) (P<0.05). Combining melatonin
treatment with natural or artificial long days adds to the impact of the male
effect.
PMID- 22079099
TI - Mapping of VSG similarities in Trypanosoma brucei.
AB - The protozoan parasite Trypanosoma brucei switches its variant surface
glycoprotein (VSG) to subvert its mammalian hosts' immune responses. The T.
brucei genome contains as many as 1600 VSG genes (VSGs), but most are silent
noncoding pseudogenes. Only one functional VSG, located in a telomere-linked
expression site, is transcribed at a time. Silent VSGs are copied into a VSG
expression site through gene conversion. Truncated gene conversion events can
generate new mosaic VSGs with segments of sequence identity to other VSGs. To
examine the VSG family sub-structure within which these events occur, we combined
the available VSG sequences and annotations with scripted BLAST searches to map
the relationships among VSGs in the T. brucei genome. Clusters of related VSGs
were visualized in 2- and 3-dimensions for different N- and C-terminal regions.
Five types of N-termini (N1-N5) were observed, within which gene recombinational
events are likely to occur, often with fully-coding 'functional' or
'atypical'VSGs centrally located between more dissimilar VSGs. Members of types
N1, N3 and N4 are most closely related in the middle of the N-terminal region,
whereas type N2 members are more similar near the N-terminus. Some preference
occurs in pairing between specific N- and C-terminal types. Statistical analyses
indicated no overall tendency for more related VSGs to be located closer in the
genome than less related VSGs, although exceptions were noted. Many potential
mosaic gene formation events within each N-terminal type were identified,
contrasted by only one possible mosaic gene formation between N-terminal types
(N1 and N2). These data suggest that mosaic gene formation is a major contributor
to the overall VSG diversity, even though gene recombinational events between
members of different N-terminal types occur only rarely.
PMID- 22079100
TI - Weekly and 3-weekly cisplatin concurrent with intensity-modulated radiotherapy in
locally advanced head and neck squamous cell cancer.
AB - In loco-regionally advanced head and neck squamous cell cancer (HNSCC),
concurrent 3-weekly cisplatin improves overall survival (OS) compared to
radiotherapy alone, but is often associated with renal toxicity. The use of
radiotherapy with accelerated fractionation schedules has been reported to
improve survival but its optimal combination with chemotherapy is unclear.
Retrospective analysis of treatment outcome and nephrotoxicity of radiotherapy
given with an intensity-modulated approach (IMRT) concurrent with either 3-weekly
or weekly cisplatin in 94 patients with stage III/IV HNSCC. Patients treated with
weekly cisplatin were significantly older (p=0.0014) and received a significantly
lower total cisplatin dose (p=0.0002). With a median follow-up of 2.8 years, at
univariate analysis, 3-weekly cisplatin shows a longer OS (p=0.041) but
progression-free survival (PFS) is similar for both schedules (p=0.47). Cisplatin
doses >240 mg/m(2) were associated with better OS but not PFS. Chronic renal
failure rate was significantly higher with 3-weekly cisplatin (p=0.04).
Multivariate analysis (Cox regression controlling for age) confirmed the
significant and independent impact of alcohol and smoking habits on both PFS (HR,
2.2) and OS (HR, 2.3), while the treatment schedule affected only OS (HR, 2.2).
Weekly cisplatin is less nephrotoxic. Both schedules can be combined to curative
IMRT. PFS was not significantly different even if patients treated with the
weekly schedule were significantly older and received reduced cisplatin doses.
The study suggests that the different cisplatin dose doesn't affect the PFS
results if concomitant to IMRT. Controlled prospective studies are needed.
PMID- 22079101
TI - [Recurrent cerebral vasospasm: interest of brain tissue oxygen monitoring].
PMID- 22079102
TI - Pulmonary atresia and ventricular septal defect with aortopulmonary collaterals
in an adult dog.
AB - Pulmonary atresia and ventricular septal defect (PA-VSD) was diagnosed in a 2
year-old castrated male Terrier mix. Transthoracic echocardiography identified a
large ventricular septal defect, overriding aorta and severe right ventricular
hypertrophy. A main pulmonary artery could not be identified, consistent with
pulmonary atresia or persistent truncus arteriosus. Transesophageal
echocardiography and angiography confirmed PA-VSD with aortopulmonary collateral
circulation arising from the descending thoracic aorta. This case report
describes the antemortem diagnosis of the rare congenital defect PA-VSD in an
adult dog.
PMID- 22079103
TI - Energy and water use by invasive goats (Capra hircus) in an Australian rangeland,
and a caution against using broad-scale allometry to predict species-specific
requirements.
AB - Feral goats (Capra hircus) are ubiquitous across much of Australia's arid and
semi-arid rangelands, where they compete with domestic stock, contribute to
grazing pressure on fragile ecosystems, and have been implicated in the decline
of several native marsupial herbivores. Understanding the success of feral goats
in Australia may provide insights into management strategies for this and other
invasive herbivores. It has been suggested that frugal use of energy and water
contributes to the success of feral goats in Australia, but data on the energy
and water use of free-ranging animals are lacking. We measured the field
metabolic rate and water turnover rate of pregnant and non-pregnant feral goats
in an Australian rangeland during late summer (dry season). Field metabolic rate
of pregnant goats (601 +/- 37 kJ kg(-0.73)d(-1)) was 1.3 times that of non
pregnant goats (456 +/- 24 kJ kg(-0.73)d(-1)). The water turnover rate of
pregnant goats (228 +/- 18 mL kg(-0.79)d(-1)) was also 1.3 times that of non
pregnant goats (173 +/- 18 kg(-0.79)d(-1)), but the difference was not
significant (P=0.07). There was no significant difference in estimated dry matter
digestibility between pregnant and non-pregnant goats (mean ca. 58%), blood or
urine osmolality, or urine electrolyte concentrations, indicating they were
probably eating similar diets and were able to maintain osmohomeostasis. Overall,
the metabolic and hygric physiology of non-pregnant goats conformed statistically
to the predictions for non-marine, non-reproductive placental mammals according
to both conventional and phylogenetically independent analyses. That was despite
the field metabolic rate and estimated dry matter intake of non-pregnant goats
being only 60% of the predicted level. We suggest that general allometric
analyses predict the range of adaptive possibilities for mammals, but that
specific adaptations, as present in goats, result in ecologically significant
departures from the average allometric curve. In the case of goats in the arid
Australian rangelands, predictions from the allometric regression would
overestimate their grazing pressure by about 40% with implications for the
predicted impact on their local ecology.
PMID- 22079104
TI - Cutaneous water loss and lipids of the stratum corneum in two syntopic species of
bats.
AB - The lipid matrix of the stratum corneum (SC), the outer layer of the epidermis of
mammals and birds, constitutes the barrier to diffusion of water vapor through
the skin. The lipids of the SC are structured in the intercellular spaces of the
mammalian epidermis in ordered layers, called lamellae, which have been
postulated to prevent water loss. Lipids in the mammalian SC are mainly
cholesterol, free fatty acids and ceramides, the latter forming the structural
support for the lamellae. However, knowledge on how the lipid composition of the
SC alters cutaneous water loss (CWL) in mammals is rudimentary, and is largely
derived from studies on laboratory animals and humans. We measured CWL of
individuals of two species of syntopic bats, Tadarida brasiliensis and Myotis
velifer. In the first study of its kind on wild mammals, we correlated CWL with
the lipid composition of the SC, measured using thin layer chromatography and
high performance liquid chromatography coupled with atmospheric pressure
photoionization mass spectrometry. Surface-specific CWL was 20.6% higher in M.
velifer than in T. brasiliensis, although differences were not significant.
Compared with individuals of M. velifer, individuals of T. brasiliensis had more
classes, and a higher proportion, of polar ceramides in the SC, a feature
associated with lower CWL. Individuals of T. brasiliensis also had a class of non
polar ceramides that presumably spans the lamellae and gives more cohesiveness to
the lipid matrix of the SC. We conclude that qualitative and quantitative
modifications of the lipid composition of the SC contribute to regulate CWL of
these two species of bats.
PMID- 22079105
TI - Rhythmic release of prothoracicotropic hormone from the brain of an adult insect
during egg development.
AB - Prothoracicotropic hormone (PTTH) is a brain neurohormone that has been studied
for over 80 years. The only known target of PTTH is the prothoracic glands (PGs)
of larvae, which synthesize the insect molting hormones (ecdysteroids) and a
massive literature exists on this axis. The PGs degenerate around the time of
adult emergence, yet presence of PTTH has been reported in the brains of several
adult insects. Using an in vitro bioassay system, we confirm that PTTH is present
in the adult female brain of Rhodnius prolixus. The material is
electrophoretically, immunologically and biologically indistinguishable from
larval PTTH. The amount of PTTH in the brain shows a daily rhythm during egg
development. We show that brains in vitro release PTTH with a daily rhythm over
this period of time. PTTH is released at each scotophase. This is the first
report that PTTH is released from the adult brain and functions as a hormone,
inviting explanation of its function. Larval PTTH is also known to be released
with a daily rhythm, and the clock in the brain controls both larval and adult
rhythms. The potential significance of rhythmic PTTH release in female adults is
discussed in relation to the regulation of ecdysteroids, egg development and the
concept of internal temporal order.
PMID- 22079106
TI - The inhibitory effects of carboxymethyl inulin on the seeded growth of calcium
carbonate.
AB - Kinetics of precipitation of calcite (CaCO(3)) from aqueous solution in the
presence of carboxymethyl inulin (CMI) was investigated under strictly controlled
temperature, pH, supersaturation ratio (S=4.8) and ionic strength (I=0.1M). The
highly reproducible constant composition technique was used to study the
influence of biopolymers of crystal growth of CaCO(3), on CaCO(3) seed crystals
at pH 8.5 and 25 degrees C. The crystal growth of calcium carbonate (CaCO(3)) was
inhibited in the presence of CMI at low concentration (2.5*10(-9) to 25*10(
9)mol/L). The larger number of negatively charged functional groups exhibited a
95% growth rate inhibition at a concentration of 15*10(-9)mol/L. The higher
inhibition efficiency is related to the maximum surface charge density due to
adsorbed polymer.
PMID- 22079107
TI - Engineering the cytokinin-glucoside specificity of the maize beta-D-glucosidase
Zm-p60.1 using site-directed random mutagenesis.
AB - The maize beta-D-glucosidase Zm-p60.1 releases active cytokinins from their
storage/transport forms, and its over-expression in tobacco disrupts zeatin
metabolism. The role of the active-site microenvironment in fine-tuning Zm-p60.1
substrate specificity has been explored, particularly in the W373K mutant, using
site-directed random mutagenesis to investigate the influence of amino acid
changes around the 373 position. Two triple (P372T/W373K/M376L and
P372S/W373K/M376L) and three double mutants (P372T/W373K, P372S/W373K and
W373K/M376L) were prepared. Their catalytic parameters with two artificial
substrates show tight interdependence between substrate catalysis and protein
structure. P372T/W373K/M376L exhibited the most significant effect on natural
substrate specificity: the ratio of hydrolysis of cis-zeatin-O-beta-D
glucopyranoside versus the trans-zeatin-O-beta-D-glucopyranoside shifted from 1.3
in wild-type to 9.4 in favor of the cis- isomer. The P372T and M376L mutations in
P372T/W373K/M376L also significantly restored the hydrolytic velocity of the
W373K mutant, up to 60% of wild-type velocity with cis-zeatin-O-beta-D
glucopyranoside. These findings reveal complex relationships among amino acid
residues that modulate substrate specificity and show the utility of site
directed random mutagenesis for changing and/or fine-tuning enzymes. Preferential
cleavage of specific isomer-conjugates and the capacity to manipulate such
preferences will allow the development of powerful tools for detailed probing and
fine-tuning of cytokinin metabolism in planta.
PMID- 22079108
TI - Motor inhibition and cognitive flexibility in eating disorder subtypes.
AB - Anorexia Nervosa (AN) and Bulimia Nervosa (BN) are complex Eating Disorders
(EDs). Even if are considered two different diagnostic categories, they share
clinical relevant characteristics. The evaluation of neurocognitive functions,
using standardized neuropsychological assessment, could be a interesting approach
to better understand differences and similarities between diagnostic categories
and clinical subtypes in EDs thus improving our knowledge of the pathophisiology
of EDs spectrum. This study explored cognitive flexibility and motor inhibition
in patients with AN considering both Restricter and Binge/Purge subtypes,
patients with BN and healthy comparisons subjects (HC). Intra-Extra Dimentional
Set shifting Test and Stop Signal Task, selected from CANTAB battery, were
administered to analyzed set-shifting and motor inhibition respectively. AN
patients showed a deficient motor inhibition compared to HC, while no evidence
for impaired motor inhibition was found in BN patients; a significant
relationship between commission errors in the Stop Signal Task and attentional
impulsiveness was found. Moreover, no difference in set-shifting abilities was
found comparing all clinician groups and HC. So our results indicated no
cognitive impairment in these two cognitive functions in BN patients, while AN
and BN showed different performances in motor inhibition. A similar cognitive
profile was found in other obsessive compulsive spectrum disorders. Finally, the
paper suggests a new interactive approach for the study of cognitive profile in
psychiatric disorders; it might be more useful since it is more closely related
to the executive functions complexity.
PMID- 22079109
TI - Striatal and cortical midline circuits in major depression: implications for
suicide and symptom expression.
AB - BACKGROUND: In major depression, the neural mechanisms underlying suicide related
thoughts and behaviors as well as the expression of other depressive symptoms are
incompletely characterized. Evidence indicates that both the striatum and
cortical midline structures (CMS) may be involved with both suicide and emotional
dysregulation in unipolar illness. The aim of this study was to identify striatal
CMS circuits associated with current depression severity and suicidal ideation
(SI) as well as a history of self-harm. METHODS: Twenty-two male subjects with
recurrent unipolar depression were studied using functional MRI. All subjects
were unmedicated and without current psychiatric comorbidity. Correlational
analyses were used to determine whether striatal-CMS functional connectivity was
associated with any of the three clinical variables. RESULTS: A network involving
the bilateral striatum and anterior CMS was found to be associated with
depressive symptom severity. Current SI was associated with a similar but less
extensive circuit in the left hemisphere. A distinct striatal motor/sensory
network was associated with self-harm behaviors, but not current SI or depression
severity. CONCLUSIONS: The striatal-anterior CMS circuit likely plays a
significant role in the expression of depressive symptoms and SI. In contrast, a
striatum-motor/sensory cortex network may be a trait marker of suicide-related
behaviors. If replicated, this result might eventually lead to the development of
a biomarker that would be useful for studies of pharmacologic and/or
psychotherapeutic suicide prevention interventions.
PMID- 22079110
TI - Sexually dimorphic fin regeneration in zebrafish controlled by androgen/GSK3
signaling.
AB - Certain fish and amphibians regenerate entire fins and limbs after amputation,
whereas such potential is absent in birds and limited in mammals to digit tips
[1, 2]. Additionally, regenerative success can change during life stages. Anuran
tadpoles gradually lose the capacity to regenerate limbs [3, 4], and digit
regeneration occurs more effectively in fetal mice and human children than adults
[5-8]. Little is known about mechanisms that control regenerative capacity. Here,
we identify an unexpected difference between male and female zebrafish in the
regenerative potential of a major appendage. Males display regenerative defects
in amputated pectoral fins, caused by impaired blastemal proliferation. This
regenerative failure emerges after sexual maturity, is mimicked in androgen
treated females, and is suppressed in males by androgen receptor antagonism.
Androgen signaling maintains expression of dkk1b and igfbp2a, which encode
secreted inhibitors of Wnt and Igf signaling, respectively. Furthermore, the
regulatory target of Wnts and Igfs, GSK3beta, is inefficiently inactivated in
male fin regenerates compared with females. Pharmacological inhibition of GSK3 in
males increases blastemal proliferation and restores regenerative pattern. Our
findings identify a natural sex bias in appendage regenerative capacity and
indicate an underlying regulatory circuit in which androgen locally restricts key
morphogenetic programs after amputation.
PMID- 22079112
TI - Positional information by differential endocytosis splits auxin response to drive
Arabidopsis root meristem growth.
AB - In the Arabidopsis root meristem, polar auxin transport creates a transcriptional
auxin response gradient that peaks at the stem cell niche and gradually decreases
as stem cell daughters divide and differentiate [1-3]. The amplitude and extent
of this gradient are essential for both stem cell maintenance and root meristem
growth [4, 5]. To investigate why expression of some auxin-responsive genes, such
as the essential root meristem growth regulator BREVIS RADIX (BRX) [6], deviates
from this gradient, we combined experimental and computational approaches. We
created cellular-level root meristem models that accurately reproduce
distribution of nuclear auxin activity and allow dynamic modeling of regulatory
processes to guide experimentation. Expression profiles deviating from the auxin
gradient could only be modeled after intersection of auxin activity with the
observed differential endocytosis pattern and positive autoregulatory feedback
through plasma-membrane-to-nucleus transfer of BRX. Because BRX is required for
expression of certain auxin response factor targets, our data suggest a cell-type
specific endocytosis-dependent input into transcriptional auxin perception. This
input sustains expression of a subset of auxin-responsive genes across the root
meristem's division and transition zones and is essential for meristem growth.
Thus, the endocytosis pattern provides specific positional information to
modulate auxin response.
PMID- 22079111
TI - Ubiquitination of Cdc20 by the APC occurs through an intramolecular mechanism.
AB - BACKGROUND: Cells control progression through late mitosis by regulating Cdc20
and Cdh1, the two mitotic activators of the anaphase-promoting complex (APC). The
control of Cdc20 protein levels during the cell cycle is not well understood.
RESULTS: Here, we demonstrate that Cdc20 is degraded in budding yeast by multiple
APC-dependent mechanisms. We find that the majority of Cdc20 turnover does not
involve a second activator molecule but instead depends on in cis Cdc20
autoubiquitination while it is bound to its activator-binding site on the APC
core. Unlike in trans ubiquitination of Cdc20 substrates, the APC ubiquitinates
Cdc20 independent of APC activation by Cdc20's C box. Cdc20 turnover by this
intramolecular mechanism is cell cycle regulated, contributing to the decline in
Cdc20 levels that occurs after anaphase. Interestingly, high substrate levels in
vitro significantly reduce Cdc20 autoubiquitination. CONCLUSION: We show here
that Cdc20 fluctuates through the cell cycle via a distinct form of APC-mediated
ubiquitination. This in cis autoubiquitination may preferentially occur in early
anaphase, following depletion of Cdc20 substrates. This suggests that distinct
mechanisms are able to target Cdc20 for ubiquitination at different points during
the cell cycle.
PMID- 22079113
TI - Mesopelagic cephalopods switch between transparency and pigmentation to optimize
camouflage in the deep.
AB - Animals in the lower mesopelagic zone (600-1,000 m depth) of the oceans have
converged on two major strategies for camouflage: transparency and red or black
pigmentation [1]. Transparency conveys excellent camouflage under ambient light
conditions, greatly reducing the conspicuousness of the animal's silhouette [1,
2]. Transparent tissues are seldom perfectly so, resulting in unavoidable
internal light scattering [2]. Under directed light, such as that emitted from
photophores thought to function as searchlights [3-8], the scattered light
returning to a viewer will be brighter than the background, rendering the animal
conspicuous [2, 4]. At depths where bioluminescence becomes the dominant source
of light, most animals are pigmented red or black, thereby reflecting little
light at wavelengths generally associated with photophore emissions and visual
sensitivities [3, 9-14]. However, pigmented animals are susceptible to being
detected via their silhouettes [5, 9-11]. Here we show evidence for rapid
switching between transparency and pigmentation under changing optical conditions
in two mesopelagic cephalopods, Japetella heathi and Onychoteuthis banksii.
Reflectance measurements of Japetella show that transparent tissue reflects twice
as much light as pigmented tissue under direct light. This is consistent with a
dynamic strategy to optimize camouflage under ambient and searchlight conditions.
PMID- 22079114
TI - The origin of phragmoplast asymmetry.
AB - The phragmoplast coordinates cytokinesis in plants [1]. It directs vesicles to
the midzone, the site where they coalesce to form the new cell plate. Failure in
phragmoplast function results in aborted or incomplete cytokinesis leading to
embryo lethality, morphological defects, or multinucleate cells [2, 3]. The
asymmetry of vesicular traffic is regulated by microtubules [1, 4, 5, 6], and the
current model suggests that this asymmetry is established and maintained through
treadmilling of parallel microtubules. However, we have analyzed the behavior of
microtubules in the phragmoplast using live-cell imaging coupled with
mathematical modeling and dynamic simulations and report that microtubules
initiate randomly in the phragmoplast and that the majority exhibit dynamic
instability with higher turnover rates nearer to the midzone. The directional
transport of vesicles is possible because the majority of the microtubules
polymerize toward the midzone. Here, we propose the first inclusive model where
microtubule dynamics and phragmoplast asymmetry are consistent with the
localization and activity of proteins known to regulate microtubule assembly and
disassembly.
PMID- 22079115
TI - Distinct cellular mechanisms of blood vessel fusion in the zebrafish embryo.
AB - Although many of the cellular and molecular mechanisms of angiogenesis have been
intensely studied [1], little is known about the processes that underlie vascular
anastomosis. We have generated transgenic fish lines expressing an EGFP-tagged
version of the junctional protein zona occludens 1 (ZO1) to visualize individual
cell behaviors that occur during vessel fusion and lumen formation in vivo. These
life observations show that endothelial cells (ECs) use two distinct
morphogenetic mechanisms, cell membrane invagination and cord hollowing to
generate different types of vascular tubes. During initial steps of anastomosis,
cell junctions that have formed at the initial site of cell contacts expand into
rings, generating a cellular interface of apical membrane compartments, as
defined by the localization of the apical marker podocalyxin-2 (Pdxl2). During
the cord hollowing process, these apical membrane compartments are brought
together via cell rearrangements and extensive junctional remodeling, resulting
in lumen coalescence and formation of a multicellular tube. Vessel fusion by
membrane invagination occurs adjacent to a preexisting lumen in a proximal to
distal direction and is blood-flow dependent. Here, the invaginating inner cell
membrane undergoes concomitant apicobasal polarization and the vascular lumen is
formed by the extension of a transcellular lumen through the EC, which forms a
unicellular or seamless tube.
PMID- 22079116
TI - The dynamic range of human lightness perception.
AB - Natural viewing challenges the visual system with images that have a dynamic
range of light intensity (luminance) that can approach 1,000,000:1 and that often
exceeds 10,000:1 [1, 2]. The range of perceived surface reflectance (lightness),
however, can be well approximated by the Munsell matte neutral scale (N 2.0/ to N
9.5/), consisting of surfaces whose reflectance varies by about 30:1. Thus, the
visual system must map a large range of surface luminance onto a much smaller
range of surface lightness. We measured this mapping in images with a dynamic
range close to that of natural images. We studied simple images that lacked
segmentation cues that would indicate multiple regions of illumination. We found
a remarkable degree of compression: at a single image location, a stimulus
luminance range of 5,905:1 can be mapped onto an extended lightness scale that
has a reflectance range of 100:1. We characterized how the luminance-to-lightness
mapping changes with stimulus context. Our data rule out theories that predict
perceived lightness from luminance ratios or Weber contrast. A mechanistic model
connects our data to theories of adaptation and provides insight about how the
underlying visual response varies with context.
PMID- 22079117
TI - Validated LC-MS/MS method for the quantitative determination of the
glucosylceramide synthase inhibitor miglustat in mouse plasma and human plasma
and its application to a pharmacokinetic study.
AB - A sensitive liquid chromatography-tandem mass spectrometry method has been
developed to quantify miglustat in mouse plasma and in human plasma. The method
involved simple protein precipitation with methanol. N-(n-nonyl)deoxynojirimycin
was used as internal standard. Separation was performed on a Gemini C18 column
(2.1 mm * 50 mm, particle size 5 MUm) with a binary gradient at a flow rate of
600 MUl/min. The mobile phases were methanol and water both containing 0.01% of a
25% ammonium hydroxide solution. The triple stage quadrupole mass spectrometer
was operated in APCI mode using the transitions m/z 220.1 >= 158.0 for miglustat
and m/z 290.1 >= 228.0 for the internal standard. The method was linear over a
range of 10-10,000 ng/ml. The intra-day coefficients of variation for mouse
plasma were equal to or smaller than 14.1%. The intra- and inter-day accuracies
were 84.5-107.2% and 90.9-104.0%, respectively. For human plasma the intra-day
coefficients of variation were equal to or smaller than 13.5%, while accuracies
ranged between 93.6% and 100.0%. The validated method offered increased
sensitivity (10 times higher) and decreased cycle times compared to other
methods. It was successfully applied to the pharmacokinetic assessment of
miglustat during treatment of patients with cystic fibrosis.
PMID- 22079118
TI - Comparison of high-resolution ultrasonic resonator technology and Raman
spectroscopy as novel process analytical tools for drug quantification in self
emulsifying drug delivery systems.
AB - Self-emulsifying drug delivery systems (SEDDS) are complex mixtures in which drug
quantification can become a challenging task. Thus, a general need exists for
novel analytical methods and a particular interest lies in techniques with the
potential for process monitoring. This article compares Raman spectroscopy with
high-resolution ultrasonic resonator technology (URT) for drug quantification in
SEDDS. The model drugs fenofibrate, indomethacin, and probucol were
quantitatively assayed in different self-emulsifying formulations. We measured
ultrasound velocity and attenuation in the bulk formulation containing drug at
different concentrations. The formulations were also studied by Raman
spectroscopy. We used both, an in-line immersion probe for the bulk formulation
and a multi-fiber sensor for measuring through hard-gelatin capsules that were
filled with SEDDS. Each method was assessed by calculating the relative standard
error of prediction (RSEP) as well as the limit of quantification (LOQ) and the
mean recovery. Raman spectroscopy led to excellent calibration models for the
bulk formulation as well as the capsules. The RSEP depended on the SEDDS type
with values of 1.5-3.8%, while LOQ was between 0.04 and 0.35% (w/w) for drug
quantification in the bulk. Similarly, the analysis of the capsules led to RSEP
of 1.9-6.5% and LOQ of 0.01-0.41% (w/w). On the other hand, ultrasound
attenuation resulted in RSEP of 2.3-4.4% and LOQ of 0.1-0.6% (w/w). Moreover,
ultrasound velocity provided an interesting analytical response in cases where
the drug strongly affected the density or compressibility of the SEDDS. We
conclude that ultrasonic resonator technology and Raman spectroscopy constitute
suitable methods for drug quantification in SEDDS, which is promising for their
use as process analytical technologies.
PMID- 22079119
TI - Leucine limitation regulates myf5 and myoD expression and inhibits myoblast
differentiation.
AB - Satellite cells are the major pool of muscle stem cells after birth; they
represent an important component required to maintain muscle mass and
functionality during life. The molecular mechanisms involved in myogenic
differentiation are relatively well-known. However, the role of extracellular
stimulus in the control of differentiation remains largely unresolved. Notably
little is known about the impact of nutrients on this process. Here we have
studied the role of leucine, an essential amino acid, in the control of myogenic
differentiation. Leucine is a well-known regulator of muscle protein synthesis.
It acts not only as a substrate for translation but also as a regulator of gene
expression and signaling pathways such as those involving mTOR and GCN2. In this
study we demonstrated that the lack of leucine abolishes the differentiation of
both C2C12 myoblasts and primary satellite cells. This effect is associated with
a modification of the pattern of expression of the myogenic regulatory factors
(MRF) myf5 and myoD. We report an up-regulation of myf5 mRNA and a decrease of
myoD protein level during leucine starvation. This study demonstrates the
importance of a nutrient, leucine, in the control of the myogenic differentiation
program.
PMID- 22079120
TI - How vitamin A metabolizing dendritic cells are generated in the gut mucosa.
AB - CD103(+) dendritic cells (DCs) represent the major migratory DC population in the
intestinal lamina propria and are believed to play an essential role in the
initiation and regulation of mucosal adaptive immune responses. Small intestine
(SI) CD103(+) DCs have an enhanced capacity to generate the vitamin A metabolite,
retinoic acid, a property that underlies their ability to induce the gut homing
receptors CC chemokine receptor 9 and alpha4beta7 on responding T and B cells,
and enhance forkhead box P3(+) T regulatory and IgA plasma cell differentiation
in vitro. In this review, we discuss the environmental signals that appear to
promote vitamin A metabolising activity in SI CD103(+) DCs in the steady state
and thus which may contribute to driving the unique nature of SI immune
responses.
PMID- 22079121
TI - Can only focusing on physical health make you sick?
PMID- 22079122
TI - Direct repair of 3,N(4)-ethenocytosine by the human ALKBH2 dioxygenase is blocked
by the AAG/MPG glycosylase.
AB - Exocyclic ethenobases are highly mutagenic DNA lesions strongly implicated in
inflammation and vinyl chloride-induced carcinogenesis. While the alkyladenine
DNA glycosylase, AAG (or MPG), binds the etheno lesions 1,N(6)-ethenoadenine (EA)
and 3,N(4)-ethenocytosine (EC) with high affinity, only EA can be excised to
initiate base excision repair. Here, we discover that the human AlkB homolog 2
(ALKBH2) dioxygenase enzyme catalyzes direct reversal of EC lesions in both
double- and single-stranded DNA with comparable efficiency to canonical ALKBH2
substrates. Notably, we find that in vitro, the non-enzymatic binding of AAG to
EC specifically blocks ALKBH2-catalyzed repair of EC but not that of methylated
ALKBH2 substrates. These results identify human ALKBH2 as a repair enzyme for
mutagenic EC lesions and highlight potential consequences for substrate-binding
overlap between the base excision and direct reversal DNA repair pathways.
PMID- 22079123
TI - Congenital malignant rhabdoid tumor of the scalp.
AB - BACKGROUND: Malignant rhabdoid tumors (MRT) are rare but aggressive tumors
presenting in the pediatric population. First thought a variant of Wilms' tumor
in the kidney, it is recognized as presenting at renal, central nervous system
and other extra-renal primary sites. It is uniformly of very poor prognosis,
however. CASE REPORT AND DISCUSSION: We present a case of congenital MRT of the
scalp, which we believe to be the first described at this site. The clinical and
histopathological features of the tumor are discussed in light of the current
literature on MRT at other sites. The bleak prognosis at this site appears to be
no different from others - the child succumbed at 10 months old despite surgical
resection and initial excellent response to chemotherapy. CONCLUSION: Malignant
rhabdoid tumor has a very poor prognosis and needs to be considered in the
differential diagnosis of similar lesions by clinicians involved in pediatric
head and neck care.
PMID- 22079124
TI - Criteria for the request of preoperative tests among oral and maxillofacial
surgeons.
AB - OBJECTIVE: The aim of this study was to analyze the criteria employed for the
requesting of preoperative tests among maxillofacial surgeons. MATERIALS AND
METHODS: Thirty maxillofacial surgeons working in Aracaju (Brazil) received a
questionnaire to fill out. The study inquired about the practice of requesting
preoperative tests for healthy patients scheduled to undergo elective surgery.
RESULTS: Most of the surgeons interviewed requested tests that are not
recommended for the case in question. The highest frequency of requests was a
complete blood count, coagulation test, blood glucose test and chest radiograph.
CONCLUSION: The absence of strict rules for the requesting of preoperative tests
causes uncertainty and a lack of criteria regarding pre-surgical conduct. It was
not possible to clearly define the criteria used by surgeons for requesting such
tests, as the clinical characteristics of the hypothetical case presented suggest
a smaller number of tests.
PMID- 22079125
TI - Distributions of calcitonin gene-related peptide and substance P in the human
maxillary sinus of Japanese cadavers.
AB - BACKGROUND: Substance P (SP) and calcitonin gene-related peptide (CGRP) are
released by the nociceptive sensory nerve and are involved in blood flow, pain
and inflammation in the nasal mucosa. The purpose of this study was to assess the
distribution of the SP and CGRP nerve fibres related to blood supply within human
Schneiderian membrane of the maxillary sinus (MS). MATERIAL AND METHODS: In this
study, the MS from Japanese cadavers was examined by whole-mount
immunohistochemistry. Human male cadavers (ranging in age from 80 to 90 years)
were used in this study. RESULTS: SP- and CGRP-positive fibres were found around
large vessels of the medialis superior alveolar branches and also within the
floor region of the MS. The floor region of the MS was composed of complex
branches of these fibres. CONCLUSION: Our results give useful information for
surgical sinus floor elevation in this region of the MS. These anatomical
features may assist in the execution of a successful surgical procedure.
PMID- 22079126
TI - Evaluation of surgical treatment in mandibular condyle fractures.
AB - AIM: In the past, fractures of the mandibular condylar process were, as a rule,
treated conservatively. At the Department of Maxillofacial and Oral Surgery of
the University Medical Centre Ljubljana, Slovenia, our doctrine was changed in
2002 on the basis of preliminary results and reports in the literature, and these
fractures were started to be treated surgically by open reduction and internal
fixation with miniplates and screws, which led to good results and a shorter
rehabilitation period. The goal of this study was to determine the safety and
efficiency of surgical treatment, as well as to compare long-term results of
surgical and conservative treatment, as objectively as possible. PATIENTS AND
METHODS: Two groups of patients, which had all sustained a unilateral, extra
articular mandibular condyle fracture, were compared. In the test group, there
were 42 surgically treated patients, and in the control group, 20 conservatively
treated patients. Clinical parameters and X-ray images were assessed in both
groups and compared by the two tailed Student t test, and in case of attributive
variables by the chi(2) test. Within the surgically treated group, postoperative
and intraoperative complications were noted: temporary facial nerve palsy,
development of a parotid salivary fistula, disturbance of auricle sensibility due
to injury of the greater auricular nerve, miniplate fracture, as well as
intraoperative bleeding, postoperative haematoma formation, infection,
reoperation due to fragment malposition and other complications. Postoperative
scars were also assessed. RESULTS: Statistically significant differences between
the surgically and conservatively treated patients were found when comparing
clinical parameters as well as X-ray images, the results being better in the
surgically treated group. Complications of surgical treatment were also noted,
the most important among them temporary paresis of facial nerve branches, which
occurred in 10 patients (24%). Plate fractures occurred in five patients (12%),
in four of them miniplates of sizes less than 2.0mm were used. There were no
cases of significant intraoperative bleeding, two cases (5%) required drainage of
postoperative haematomas, and one patient (2%) experienced a mild postoperative
infection, which was easily controlled with amoxicillin with clavulanic acid. The
scar was hidden best if a facelift incision was used, and a hypertrophic scar
developed in only one patient (2%). CONCLUSION: Results of surgical treatment of
condylar process fractures are superior to the results of conservative treatment,
and the procedure is safe with the transparotid surgical approach and adequate
surgical technique.
PMID- 22079128
TI - Existence, multiplicity and stability of endemic states for an age-structured S-I
epidemic model.
AB - We study an S-I type epidemic model in an age-structured population, with
mortality due to the disease. A threshold quantity is found that controls the
stability of the disease-free equilibrium and guarantees the existence of an
endemic equilibrium. We obtain conditions on the age-dependence of the
susceptibility to infection that imply the uniqueness of the endemic equilibrium.
An example with two endemic equilibria is shown. Finally, we analyse numerically
how the stability of the endemic equilibrium is affected by the extra-mortality
and by the possible periodicities induced by the demographic age-structure.
PMID- 22079127
TI - Prevalence and severity of coronary artery disease and adverse events among
symptomatic patients with coronary artery calcification scores of zero undergoing
coronary computed tomography angiography: results from the CONFIRM (Coronary CT
Angiography Evaluation for Clinical Outcomes: An International Multicenter)
registry.
AB - OBJECTIVES: The purpose of this study was to describe the prevalence and severity
of coronary artery disease (CAD) in relation to prognosis in symptomatic patients
without coronary artery calcification (CAC) undergoing coronary computed
tomography angiography (CCTA). BACKGROUND: The frequency and clinical relevance
of CAD in patients without CAC are unclear. METHODS: We identified 10,037
symptomatic patients without CAD who underwent concomitant CCTA and CAC scoring.
CAD was assessed as <50%, >=50%, and >=70% stenosis. All-cause mortality and the
composite endpoint of mortality, myocardial infarction, or late coronary
revascularization (>=90 days after CCTA) were assessed. RESULTS: Mean age was 57
years, 56% were men, and 51% had a CAC score of 0. Among patients with a CAC
score of 0, 84% had no CAD, 13% had nonobstructive stenosis, and 3.5% had >=50%
stenosis (1.4% had >=70% stenosis) on CCTA. A CAC score >0 had a sensitivity,
specificity, and negative and positive predictive values for stenosis >=50% of
89%, 59%, 96%, and 29%, respectively. During a median of 2.1 years, there was no
difference in mortality among patients with a CAC score of 0 irrespective of
obstructive CAD. Among 8,907 patients with follow-up for the composite endpoint,
3.9% with a CAC score of 0 and >=50% stenosis experienced an event (hazard ratio:
5.7; 95% confidence interval: 2.5 to 13.1; p < 0.001) compared with 0.8% of
patients with a CAC score of 0 and no obstructive CAD. Receiver-operator
characteristic curve analysis demonstrated that the CAC score did not add
incremental prognostic information compared with CAD extent on CCTA for the
composite endpoint (CCTA area under the curve = 0.825; CAC + CCTA area under the
curve = 0.826; p = 0.84). CONCLUSIONS: In symptomatic patients with a CAC score
of 0, obstructive CAD is possible and is associated with increased cardiovascular
events. CAC scoring did not add incremental prognostic information to CCTA.
PMID- 22079129
TI - Evaluation of specificity of tuberculosis diagnostic assays in caprine flocks
under different epidemiological situations.
AB - The aim of this study was to evaluate the specificity of the most widely used
tuberculosis (TB) diagnostic tests, single intradermal tuberculin (SIT) and
single comparative intradermal tuberculin (SCIT) tests and interferon-gamma (IFN
gamma) assay in 937 animals from eight TB-free caprine flocks under different
epidemiological situations. Maximum specificity was found using SCIT test (99.4
100% depending on the interpretation criteria) while SIT test and IFN-gamma assay
showed a slightly lower overall specificity (97.6-99.2% and 96.4-98.4%
respectively). Specificity of the SIT test in a Corynebacterium
pseudotuberculosis infected flock was significantly (P<0.05) lower if a severe
interpretation criterion was applied. Similarly, specificity values of SIT test
and particularly IFN-gamma assay in a paratuberculosis (PTB)-vaccinated flock
were lower than those observed in non-vaccinated flocks. Higher proportion of
false positive reactors to TB tests (SIT and IFN-gamma assay) were observed among
animals positive in the PTB-ELISA in PTB vaccinated flock. These results
demonstrate that TB diagnostic tests show an adequate specificity when performed
in goats from TB-free flocks in most situations. However, certain factors such as
C. pseudotuberculosis infection and paratuberculosis vaccination can have a
negative impact in the most sensitive tests.
PMID- 22079130
TI - Epilepsy and cerebral palsy: characteristics and trends in children born in 1976
1998.
AB - BACKGROUND: Although epilepsy is common in children with cerebral palsy (CP), no
data exists on prevalence rates of CP and epilepsy. AIMS: To describe epilepsy in
children with CP, and to examine the association between epilepsy and neonatal
characteristics, associated impairments and CP subtypes. METHODS: Data on 9654
children with CP born between 1976 and 1998 and registered in 17 European
registers belonging to the SCPE network (Surveillance of Cerebral Palsy in
Europe) were analyzed. RESULTS: A total of 3424 (35%) children had a history of
epilepsy. Among them, seventy-two percent were on medication at time of
registration. Epilepsy was more frequent in children with a dyskinetic or
bilateral spastic type and with other associated impairments. The prevalence of
CP with epilepsy was 0.69 (99% CI, 0.66-0.72) per 1000 live births and followed a
quadratic trend with an increase from 1976 to 1983 and a decrease afterwards.
Neonatal characteristics independently associated with epilepsy were the presence
of a brain malformation or a syndrome, a term or moderately preterm birth
compared with a very premature birth, and signs of perinatal distress including
neonatal seizures, neonatal ventilation and admission to a neonatal care unit.
CONCLUSIONS: The prevalence of CP with epilepsy followed a quadratic trend in
1976-1998 and mirrored that of the prevalence of CP during this period. The
observed relationship between epilepsy and associated impairments was expected;
however it requires longitudinal studies to be better understood.
PMID- 22079131
TI - Atypical onset in a series of 122 cases with FacioScapuloHumeral Muscular
Dystrophy.
AB - INTRODUCTION: FacioScapuloHumeral Muscular Dystrophy (FSHD), a disease linked to
a heterozygous D4Z4 deletion on chromosome 4q35, typically starts with shoulder
girdle and facial muscle involvement. Atypical presentations have occasionally
been reported, but their frequency has still not been defined. PATIENTS AND
METHODS: We studied the occurrence rate of FSHD with atypical onset in 122
symptomatic subjects from 76 unrelated families with genetically confirmed FSHD.
These 75 males and 47 females, with a mean age of 49 years (range: 11-85), had a
mean EcoRI fragment of 25 kb (range: 11-38). RESULTS: Typical shoulder-girdle or
facial weakness at onset was reported by 88 patients (72%). Unusual presentations
included: foot drop in 16 (13%) and proximal lower limb weakness in eight
patients (7%). Two cases at onset manifested quite atypical, apparently non-FSHD
related syndromes: a 42-year-old woman presented with infantile epilepsy and a 41
year-old man with myoglobinuria. In the latter patient, DNA analysis detected a
4q35 deletion associated to an heterozygous CAPN3 mutation. CONCLUSION: FSHD
presentation with foot drop or lower limb proximal weakness appeared to be more
frequent than expected. This type of weakness at onset has to be considered
premature, but still representative of disease-related muscle involvement. Quite
atypical onset appears very rare and calls for further investigation on non-FSHD
related etiology.
PMID- 22079132
TI - [Respiratory and hemodynamic changes during lung recruitment maneuvering through
progressive increases and decreases in PEEP level].
AB - OBJECTIVE: To evaluate the respiratory and hemodynamic changes during lung
recruitment maneuvering (LRM) through stepwise increases and decreases in PEEP
level. DESIGN AND SETTING: A retrospective study in a 17-bed ICU was carried out.
PATIENTS: Twenty-one patients with acute respiratory failure and bilateral
pulmonary infiltration. INTERVENTION: LRM was carried out, consisting of stepwise
increases in PEEP (4 cmH(2)O every 3 minutes), with fixed ventilation pressure,
until reaching a maximal value of 36 cmH(2)O PEEP (ascending branch), followed by
progressive decreases in PEEP (2 cmH(2)O every 3 minutes) until establishing the
open-lung PEEP at the value associated to maximum respiratory compliance (Crs)
(descending branch). Continuous hemodynamic monitoring was performed using an
esophageal echodoppler probe. RESULTS: Crs gradually decreased in the ascending
branch of the LRM, and progressively increased surpassing the initial value after
establish the open-lung PEEP in the descending branch, reducing the ventilation
pressure and increasing the SpO(2)/FiO(2) ratio. Hemodynamic changes primarily
consisted of a fall in cardiac output and left ventricular preload, together with
an increased heart rate and cardiac contractility. At comparable levels of PEEP
and mean airway pressure, these changes were more pronounced during the
descending branch of the LRM. CONCLUSIONS: 1) LRM increased Crs, improving
oxygenation and decreasing ventilation pressure; 2) the main hemodynamic
consequence was the drop in cardiac output and left ventricular preload; and 3)
the unequal hemodynamic derangement in both branches, at the same level of PEEP
and mean airway pressure, showed that, along with intrathoracic pressure, other
factor such as Crs and hypercapnia may have influenced the hemodynamic
consequences of this type of LRM.
PMID- 22079133
TI - The electrosurgical knife in an optimized intermittent cutting mode for the
endoscopic treatment of benign web-like tracheobronchial stenosis.
AB - The established endoscopic treatment of web-like tracheobronchial stenosis is
laser vaporization, but the appearance on the market of a new cutting mode with a
lower coagulation effect has been proposed as an alternative to laser due to less
injury to the tissue. OBJECTIVES: To study the clinical and functional
consequences, as well as the side effects of this technique. Afterwards, we
investigated whether the use of an electrosurgical knife with this technique is
as effective and convenient as an ND-YAG-laser. PATIENTS AND METHODS: Between
March 2005 and July 2007, included for study were 22 patients who had undergone
34 interventional bronchoscopy procedures with the VIO-300-D radiofrequency
system, using a mode of the Endo-cut I program in conjunction with the reusable
knife electrode. RESULTS: All of the patients treated (100%) presented
improvements in their symptoms, in the tracheobronchial lumen diameter and in
lung function, which were statistically significant. Symptom-free time was 157 +/
93 days. There was an overall decrease observed in mean obstruction (P<.001).
Improvements in FVC (P=.01), Raw (P=.0016) and RV/TLC (P=.01) were significantly
significant. Less than 50% of the patients needed a second intervention. These
patients were compared retrospectively with a similar group of 22 patients
treated with Nd-YAG laser. The follow-up analysis showed that only 18% (4/22) of
the patients treated with this new technique presented fibrin, compared with 41%
(9/22) of those treated with laser therapy (P<.001). CONCLUSION: The use of this
technique is effective for the treatment of benign web-like tracheobronchial
stenosis as all the patients showed clinical and functional improvement, and less
than 50% required a second intervention. In comparison with laser therapy, an
advantage of this technique is that less fibrin is produced, probably due to the
reduced anti-coagulation effect.
PMID- 22079134
TI - Innate and adaptive immunity in bacteria: mechanisms of programmed genetic
variation to fight bacteriophages.
AB - Bacteria are constantly challenged by bacteriophages (viruses that infect
bacteria), the most abundant microorganism on earth. Bacteria have evolved a
variety of immunity mechanisms to resist bacteriophage infection. In response,
bacteriophages can evolve counter-resistance mechanisms and launch a 'virus
versus host' evolutionary arms race. In this context, rapid evolution is
fundamental for the survival of the bacterial cell. Programmed genetic variation
mechanisms at loci involved in immunity against bacteriophages generate diversity
at a much faster rate than random point mutation and enable bacteria to quickly
adapt and repel infection. Diversity-generating retroelements (DGRs) and phase
variation mechanisms enhance the generic (innate) immune response against
bacteriophages. On the other hand, the integration of small bacteriophage
sequences in CRISPR loci provide bacteria with a virus-specific and sequence
specific adaptive immune response. Therefore, although using different molecular
mechanisms, both prokaryotes and higher organisms rely on programmed genetic
variation to increase genetic diversity and fight rapidly evolving infectious
agents.
PMID- 22079135
TI - Isolation and identification of native membrane glycoproteins from living cell by
concanavalin A-magnetic particle conjugates.
AB - The discovery, isolation, and subsequent identification of cell membrane
glycoproteins involved in the structure and function of the cell surface are
becoming more and more important. Here, concanavalin A-magnetic particle
conjugates were employed to isolate the special membrane glycoproteins from
living HepG-2 cells. The isolated glycoproteins were analyzed by sodium dodecyl
sulfate-polyacrylamide gel electrophoresis and liquid chromatography-tandem mass
spectrometry as well as annotated. A total of 37 membrane glycoproteins were
identified, and 25 of them were ascertained to locate in the extracellular
region.
PMID- 22079136
TI - Assay of enzymes forming AMP+PPi by the pyrophosphate determination based on the
formation of 18-molybdopyrophosphate.
AB - The formation of 18-molybdopyrophosphate anion has been studied to develop a
simple and rapid assay of the enzymatic reaction involving ATP
>AMP+PPi(P(2)O(7)(4-)). By the addition of P(2)O(7)(4-) anion to an acidic
acetonitrile-water solution containing MoO(4)(2-) anion, the colorless Mo(VI)
solution immediately became yellow due to the formation of 18
molybdopyrophosphate anion. The absorbance of the P(2)O(7)(4-)-Mo(VI) mixture at,
for example, 450nm was proportional to the analytical concentration of P(2)O(7)(4
) anion. Although the test Mo(VI) solution remained colorless by the addition of
AMP, it gradually turned to yellow by ATP. The undesired color development is
attributed to the formation of a yellow molybdophosphate species accompanied by
the dissociation of PO(4)(3-) from the unstable ATP molecule. However, the color
development became much slower when ethylenediaminetetraacetic acid was added
into an assay mixture, where ATP may form a kinetically stable species. Thus,
P(2)O(7)(4-) anion can be determined spectrophotometrically in the enzymatic
reaction mixture containing ATP. By the addition of ascorbic acid, the yellow
P(2)O(7)(4-)-Mo(VI) mixture turned to blue due to the reduction of the
molybdopyrophosphate anion. Thus, P(2)O(7)(4-) anion can be detected
colorimetrically by the blueness. The spectrophotometric and colorimetric methods
could be applied advantageously to the assay of acetyl-CoA synthetase.
PMID- 22079137
TI - Antitumoral activity and toxicity of PEG-coated and PEG-folate-coated pH
sensitive liposomes containing 159Gd-DTPA-BMA in Ehrlich tumor bearing mice.
AB - In the present study, PEG-coated pH-sensitive and PEG-folate-coated pH-sensitive
liposomes containing the 159Gd-DTPA-BMA were prepared and radiolabeled through
neutron activation technique, aiming to study the in vivo antitumoral activity
and toxicity on mice bearing a previously-developed solid Ehrlich tumor. The
treatment efficacy was verified through tumoral volume increase and
histomorphometry studies. The toxicity of formulations was investigated through
animal weight variations, as well as hematological and biochemical tests. The
results showed that after 31 days of treatment, animals treated with radioactive
formulations had a lower increase in tumor volume and a significantly higher
percentage of necrosis compared with controls revealed by histomorphometry
studies. Furthermore, mice treated with radioactive formulations exhibited lower
weight gain without significant hematological or biochemical changes, except for
toxicity to hepatocytes which requires more detailed studies. From the results
obtained to date, we believe that the radioactive formulations can be considered
potential therapeutic agents for cancer.
PMID- 22079138
TI - Preparation of complex nano-particles based on alginic acid/poly[(2
dimethylamino) ethyl methacrylate] and a drug vehicle for doxorubicin release
controlled by ionic strength.
AB - Monodispersed complex nano-particles were synthesized simply by mixing alginic
acid (ALG-H) with poly[(2-dimethylamino) ethyl methacrylate] (PDEMA) in pure
water without any surfactants or additives. The structure and properties of the
nano-particles were extensively studied. The surface charges and average sizes of
the nano-particles were varied with the composition of ALG-H and PDEMA. The nano
particles were formed through electrostatic attraction force, and they were very
stable in pure water, but dissociated in salt solutions. An anticancer drug
(doxorubicin) was loaded in the nano-particles and released in different saline
solutions. The release profiles revealed that the drug release could be
controlled by adjusting the pH and salt concentrations. The nano-particles
displayed apparent advantages such as simple preparation process, low cost, free
of organic solvents, size controllable, biodegradable and biocompatible.
PMID- 22079140
TI - Diagnosis of pneumococcal empyema using immunochromatographic test on pleural
fluid and serotype distribution in Korean children.
AB - To evaluate the diagnostic value of immunochromatographic test (ICT) on pleural
fluid in diagnosing pneumococcal empyema in children and to determine
pneumococcal serotypes, 62 exudative parapneumonic effusions from Korean children
were tested with culture, ICT for S. pneumoniae, pneumococcal autolysin
polymerase chain reaction (PCR), and subsequent sequencing. Of the 62 patients,
culture was positive in 3 patients only (4.8%). Pneumococci were identified in 13
samples (21.0%) by sequencing-confirmed PCR and ICT, respectively. When
pneumococcal empyema was defined by either positive culture or sequence
confirmation, the sensitivity of ICT was 76.9% (10/13) and the specificity of ICT
was 93.9%. Eight of 10 patients with positive ICT and culture-negative results
had a history of prior antibiotics use, whereas none of the culture-proven cases
had. Serotypes of PCR-positive samples were determined by multiplex PCR assays.
Multiplex PCR detected serotypes 19A (6), 1 (1), 14 (1), 34 (1), and untypable
(4). ICT on pleural fluid is a relatively sensitive and highly specific method
for diagnosis of pneumococcal empyema, especially in children given prior
antibiotics.
PMID- 22079139
TI - [Standardization of the quantification of iron concentration in the liver by
magnetic resonance imaging].
AB - OBJECTIVE: To calibrate 1.5 tesla magnetic resonance scanners for the
quantification of the concentration of iron in the liver. MATERIAL AND METHODS:
We analyzed twenty-eight 1.5 tesla magnetic resonance scanners using a phantom
with four tubes containing different concentrations of iron (III) chloride and
one tube without iron. The phantom represented two typical patients: one with
moderate iron overload and one with high iron overload. We measured the signal
intensity ratio between each iron-containing tube and the tube without iron; then
we calculated the theoretical levels of iron concentration in each scanner
according to the model for the two levels of overload. We compared the results of
each scanner with those of the reference scanner in which the model and the
phantom had been designed, and we calculated the percentage of difference between
the two scanners. RESULTS: The mean difference in the ratios compared to the
reference center was 11% (0.3-39). The mean concentration of iron was 71 MUmol
Fe/g for moderate overload and 193 MUmol Fe/g for high overload. The mean
difference was 6% (1.2- 7%) and 3.4% (0-16%). respectively. In two scanners, we
applied a correction factor so that the difference was below 25% in all cases.
CONCLUSION: We calibrated twenty-eight 1.5 tesla scanners for the concentration
of iron in the liver and achieved variability less than 25%.
PMID- 22079141
TI - Infratentorial supracerebellar resection of a pineal tumor using a high
definition video exoscope (VITOM(r)).
AB - A telescope based high definition (HD) video system (VITOM(r), Karl Storz GmBH &
Co., Tuttlingen, Germany) has recently been proposed as an alternative to the
operating microscope for microneurosurgery. It remains unclear which clinical
situations will benefit from its advantages. In light of the uncomfortable
surgeon position and fatigue often associated with pineal region surgery, we used
the VITOM(r) HD exoscope system to perform an infratentorial supracerebellar
resection of a pineal tumor. The VITOM(r) dramatically improved surgeon comfort
and ease of operating by permitting the surgeon to stand upright and in a
comfortable position and avoid the need to extend the arm or assume an awkward.
position commonly encountered when using the microscope for these approaches. The
marked improvement in surgeon comfort afforded by the VITOM(r) exoscope indicates
that this system may have significant advantages over traditional microscope
based surgery for tumors of the pineal region approached using an infratentorial
supracerebellar approach.
PMID- 22079142
TI - Cadaveric dissection identifying the left superior anastomotic vein of Trolard
communicating indirectly with the superior sagittal sinus via a lateral lacuna.
AB - A cadaveric dissection of the calvarium of a 90 year old woman demonstrated a
left superior anastomotic vein of Trolard communicating indirectly with the
superior sagittal sinus via a left lateral lacunae. This is an anatomical variant
contrary to the textbook description of a direct communication between the vein
of Trolard and the superior sagittal sinus. A literature search failed to
identify a previous description of this variation. Possible clinical implications
of this finding will be discussed.
PMID- 22079143
TI - Negative reinforcement learning is affected in substance dependence.
AB - BACKGROUND: Negative reinforcement results in behavior to escape or avoid an
aversive outcome. Withdrawal symptoms are purported to be negative reinforcers in
perpetuating substance dependence, but little is known about negative
reinforcement learning in this population. The purpose of this study was to
examine reinforcement learning in substance dependent individuals (SDI), with an
emphasis on assessing negative reinforcement learning. We modified the Iowa
Gambling Task to separately assess positive and negative reinforcement. We
hypothesized that SDI would show differences in negative reinforcement learning
compared to controls and we investigated whether learning differed as a function
of the relative magnitude or frequency of the reinforcer. METHODS: Thirty
subjects dependent on psychostimulants were compared with 28 community controls
on a decision making task that manipulated outcome frequencies and magnitudes and
required an action to avoid a negative outcome. RESULTS: SDI did not learn to
avoid negative outcomes to the same degree as controls. This difference was
driven by the magnitude, not the frequency, of negative feedback. In contrast,
approach behaviors in response to positive reinforcement were similar in both
groups. CONCLUSIONS: Our findings are consistent with a specific deficit in
negative reinforcement learning in SDI. SDI were relatively insensitive to the
magnitude, not frequency, of loss. If this generalizes to drug-related stimuli,
it suggests that repeated episodes of withdrawal may drive relapse more than the
severity of a single episode.
PMID- 22079144
TI - Evaluation of the presence of Leishmania spp. by real-time PCR in the lacrimal
glands of dogs with leishmaniosis.
AB - Leishmania infantum infection is highly prevalent in endemic areas. Dogs with
leishmaniosis may develop keratoconjunctivitis sicca (KCS). The goals of this
study were (1) to quantify Leishmania amastigotes in the Meibomian glands (MG),
main lacrimal gland (MLG) and nictitating membrane gland (NMG) from dogs with
leishmaniosis; (2) to compare these results to immunohistochemistry (IHC), and
(3) to explore the association between the Leishmania parasite load and the
presence of ocular clinical signs. Twenty-five dogs diagnosed with leishmaniosis
were included. MG, MLG and NMG from both eyes were collected. Histopathology, IHC
and real-time PCR were performed. All specimens yielded positive real-time PCR
results. For all three glands, samples from dogs with ocular clinical signs had
mean DeltaCt (cycle threshold) values significantly lower (higher parasite loads)
than those from dogs without signs. Cut-off values of DeltaCt<0, DeltaCt<4 and
DeltaCt<4.9 for MG, MLG and NMG, resulted in a likelihood ratio of positives of
5.9, 6.38 and 6.38, respectively. Samples with DeltaCt values below the reported
cut-off were significantly more likely to display clinical signs related to KCS
than those with results above the cut-off, for all three glands. Similarly,
DeltaCt values below the cut-off were significantly associated with positive IHC.
In this study real-time PCR has been standardised for use in MG, MLG and NMG. A
cut-off value established for each of these tissues may aid the clinician in the
discrimination between ocular signs related to Leishmania from those associated
with other causes of KCS.
PMID- 22079145
TI - In vivo and ex vivo assessment of the interaction between ivermectin and
danofloxacin in sheep.
AB - The impact of an efflux pump-related interaction between ivermectin and
danofloxacin on their intestinal transport (ex vivo) and disposition kinetics (in
vivo) was assessed. Eighteen male Corriedale sheep were randomly assigned to one
of three groups. Animals in Group A received 0.2mg/kg ivermectin by SC injection,
those in Group B were given 6 mg/kg danofloxacin SC on two occasions 48 h apart
and those in Group C were treated with both compounds at the same rates. Plasma
concentrations of ivermectin and danofloxacin were measured by HPLC using
fluorescence detection. Ex vivo intestinal drug transport activity was measured
by the use of the Ussing chamber technique. Plasma concentrations of ivermectin
in the first 6 days after injection tended to be higher in Group C than Group A.
Contemporaneous treatment with ivermectin significantly increased systemic
exposure to danofloxacin (AUC values were 32-35% higher) and prolonged the
elimination half-life of danofloxacin (40-52% longer). Ex vivo, incubation with
ivermectin significantly decreased the efflux transport of rhodamine 123, a P
glycoprotein substrate, in sheep intestine, but no significant effect of
danofloxacin on transport activity was observed. Evaluation of the interaction of
danofloxacin with the breast cancer resistance protein (BCRP) showed that
pantoprazole and ivermectin significantly decreased danofloxacin secretion in the
rat intestine. Thus, the ivermectin-induced reduction of danofloxacin efflux
transport observed in this study may involve BCRP activity but the involvement of
P-glycoprotein cannot be ruled out.
PMID- 22079146
TI - Complex polysaccharide inclusions in the skeletal muscle of stranded cetaceans.
AB - Skeletal muscle samples were examined post-mortem in 148 cetaceans over a 12-year
period. Histological analysis included haematoxylin and eosin (HE) and periodic
acid-Schiff (PAS) staining with and without diastase digestion. In addition,
histological muscle sections were immunostained for ubiquitin and fast and slow
heavy-chain myosin isoforms. PAS-positive, diastase-resistant inclusions were
detected in 26 animals from 11 different species. Older cetaceans were
preferentially affected. These intrafibre inclusions varied from large aggregates
to multiple coarse granules and were typically associated with type II fibres.
All diastase-resistant inclusions were positive for ubiquitin. These features
resembled those inclusions described as complex polysaccharide in horses. Based
on these histological findings and the ubiquitin staining pattern, a
morphological diagnosis of complex polysaccharide storage myopathy is proposed.
PMID- 22079147
TI - Failure of PFNA: helical blade perforation and tip-apex distance.
PMID- 22079148
TI - Monitoring and risk assessment of pesticides in fresh omija (Schizandra chinensis
Baillon) fruit and juice.
AB - Schizandra chinensis Baillon, or omija, is a fruit native to northeast Asia that
is cultivated in South Korea and China. Fresh omija fruit has been used in
beverages, traditional East Asian medicine and cosmetics because of its complex
flavor and pharmacological effectiveness. The objective of this study was to
analyze residue levels of 33 kinds of pesticides on fresh omija fruits and in
omija juices produced in South Korea. A risk assessment of the pesticides in
omija juice was conducted by calculating EDI and ADI. Most of the pesticide
levels were below the LOD in fresh omija fruits. Among the detected compounds,
the most frequently detected pesticide was ethoprophos. The EDIs of ethoprophos,
pendimethalin and hexaconazole were 5.89E-03, 7.08E-04 and 4.73E-05,
respectively. The percent of EDI to ADI of ethoprophos, pendimethalin and
hexaconazole was 28.0%, 13.6% and 4.5%, respectively. The results of this
research concluded that the detected pesticides are not harmful to human beings.
PMID- 22079149
TI - Glycan-modifying bacteria-derived soluble factors from Bacteroides
thetaiotaomicron and Lactobacillus casei inhibit rotavirus infection in human
intestinal cells.
AB - Rotaviruses attach to intestinal cells in a process that requires glycan
recognition. Some bacteria from the gut microflora have been shown to modify cell
surface glycans. In this study, human intestinal cultured cells were incubated
with bacteria-derived soluble factors and infected with rotavirus. Results show
that only bacterial soluble factors that increase cell-surface galactose namely,
those of Bacteroides thetaiotaomicron and Lactobacillus casei were able to
efficiently block rotavirus infections. Increasing cell-surface galactose using
galactosyltransferase resulted in a similar blockage of rotavirus infections.
These results indicate that manipulation of cell-surface intestinal glycans by
bacterial soluble factors can prevent rotavirus infection in a species-specific
manner, and should now be considered a potential therapeutic approach against
rotavirus infection.
PMID- 22079151
TI - Risk of adverse events in treatment-resistant depression: propensity-score
matched comparison of antidepressant augment and switch strategies.
AB - OBJECTIVE: The objective was to assess differences in adverse events between
major depressive patients augmented with a second medication and patients
switched to an alternative monotherapy after failing first-step treatment with
citalopram. METHOD: Adverse event profiles for second-step switch and augment
medication strategies were compared using public data files from the Sequenced
Treatment Alternatives to Relieve Depression (STAR*D) trial. In the STAR*D trial,
participants failing citalopram selected acceptable next-step strategies and were
randomized within acceptable strategies. This design resulted in clinically
important differences when comparing across strategies, so a propensity-score
matched sample was created to compare switch (n=269) and augment (n=269)
strategies. RESULTS: Incidence proportions of any adverse event and specific
adverse events were similar between the augment and switch groups. The overall
incidence proportion of any distressing event was 0.78 [95% confidence interval
(CI) 0.72-0.84] in the augment group and 0.80 (95% CI 0.74-0.85) in the switch
group. This contrasts unmatched analyses where distressing adverse events were
less common in the augment group than the switch group (risk ratio 0.85, 95% CI
0.81-0.90). CONCLUSION: After adjusting for selection bias inherent in the STAR*D
comparison of augment with switch, clinically meaningful differences in the
adverse event profiles between these treatment strategies were not observed.
PMID- 22079150
TI - Nucleoside-diphosphate-kinase: a pleiotropic effector in microbial colonization
under interdisciplinary characterization.
AB - Emerging evidence identifies multiple roles for nucleoside-diphosphate-kinase in
host-microbe interaction. We provide the first synopsis of utilization of this
molecule by various microorganisms during colonization of host tissues.
Additionally, we propose novel mechanisms this effector may participate in, which
could be crucial for microbial adaptation in chronic host infection.
PMID- 22079152
TI - Cingulate cortex aplasia and callosal dysgenesia combined with schizencephaly in
a patient with chronic lying.
AB - We report on a 19-year-old patient with a 4-year history of lying and cheating
who presented neuropsychological abnormalities regarding attention deficits,
hyperactivity and impulsivity. Cerebral magnetic resonance imaging scans revealed
schizencephaly of the right central region, dysgenesia of the corpus callosum, a
noneverted gyrus cinguli and hypoplasia of the left cerebellar hemisphere.
Although the patient did not fulfill the diagnostic criteria for attention
deficit/hyperactivity disorder, we suggest that the patient's behavioral
alteration could be related to the neuroanatomical alterations, especially the
aplasia of the gyrus cinguli.
PMID- 22079153
TI - Partial interruption of axonal transport due to microtubule breakage accounts for
the formation of periodic varicosities after traumatic axonal injury.
AB - Due to their viscoelastic nature, white matter axons are susceptible to damage by
high strain rates produced during traumatic brain injury (TBI). Indeed, diffuse
axonal injury (DAI) is one of the most common features of TBI, characterized by
the hallmark pathological profiles of axonal bulbs at disconnected terminal ends
of axons and periodic swellings along axons, known as "varicosities." Although
transport interruption underlies axonal bulb formation, it is unclear how
varicosities arise, with multiple sites accumulating transported materials along
one axon. Recently, axonal microtubules have been found to physically break
during dynamic stretch injury of cortical axons in vitro. Here, the same in vitro
model was used in parallel with histopathological analyses of human brains
acquired acutely following TBI to examine the potential role of mechanical
microtubule damage in varicosity formation post-trauma. Transmission electron
microscopy (TEM) following in vitro stretch injury revealed periodic breaks of
individual microtubules along axons that regionally corresponded with undulations
in axon morphology. However, typically less than a third of microtubules were
broken in any region of an axon. Within hours, these sites of microtubule breaks
evolved into periodic swellings. This suggests axonal transport may be halted
along one broken microtubule, yet can proceed through the same region via other
intact microtubules. Similar axonal undulations and varicosities were observed
following TBI in humans, suggesting primary microtubule failure may also be a
feature of DAI. These data indicate a novel mechanism of mechanical microtubule
damage leading to partial transport interruption and varicosity formation in
traumatic axonal injury.
PMID- 22079154
TI - Overexpression of apolipoprotein E4 increases kainic-acid-induced hippocampal
neurodegeneration.
AB - Apolipoprotein E (apoE) has an intricate biological function in modulating immune
responses and apoE isoforms exhibit diverse effects on neurodegenerative and
neuroinflammatory disorders. In the present study, we investigated the individual
roles of apoE isoforms in the kainic acid (KA)-induced hippocampal
neurodegeneration with focus on immune response and microglia functions. ApoE2, 3
and 4 transgenic mice as well as wild-type (WT) mice were treated with KA by
intranasal route. ApoE4 overexpressing mice revealed several peculiarities as
compared with other transgenic mice and WT mice, i.e. (1) they had more severe KA
induced seizures than apoE2 and 3 mice, (2) they exhibited neuron loss in
hippocampus that was higher than in apoE2, 3 and WT mice, (3) KA administration
resulted in higher counts of their head drops in the cross-area of elevated plus
maze, (4) they showed lower KA-induced rearing activity than apoE2 mice in the
open-field test, (5) their KA-induced microglial expression of MHC-II and CD86
was elevated compared to apoE3 mice, (6) the KA-induced increase of microglial
iNOS was higher than that in the other groups of mice, and (7) the TNF-alpha and
IL-6 expression was decreased 7 days after KA application compared to untreated
mice and mice treated 1 day with KA. However, the signaling pathway of NFkappaB
or Akt seemed not to be involved in apoE-isoform dependent susceptibility to KA
induced neurotoxicity. In conclusion, over-expression of apoE4 deteriorated KA
induced hippocampal neurodegeneration in C57BL/6 mice, which might result from a
higher up-regulation of microglia activation compared to apoE2 and 3 transgenic
mice and WT mice.
PMID- 22079155
TI - Pairing tone trains with vagus nerve stimulation induces temporal plasticity in
auditory cortex.
AB - The selectivity of neurons in sensory cortex can be modified by pairing
neuromodulator release with sensory stimulation. Repeated pairing of electrical
stimulation of the cholinergic nucleus basalis, for example, induces input
specific plasticity in primary auditory cortex (A1). Pairing nucleus basalis
stimulation (NBS) with a tone increases the number of A1 neurons that respond to
the paired tone frequency. Pairing NBS with fast or slow tone trains can
respectively increase or decrease the ability of A1 neurons to respond to rapidly
presented tones. Pairing vagus nerve stimulation (VNS) with a single tone alters
spectral tuning in the same way as NBS-tone pairing without the need for brain
surgery. In this study, we tested whether pairing VNS with tone trains can change
the temporal response properties of A1 neurons. In naive rats, A1 neurons respond
strongly to tones repeated at rates up to 10 pulses per second (pps). Repeatedly
pairing VNS with 15 pps tone trains increased the temporal following capacity of
A1 neurons and repeatedly pairing VNS with 5 pps tone trains decreased the
temporal following capacity of A1 neurons. Pairing VNS with tone trains did not
alter the frequency selectivity or tonotopic organization of auditory cortex
neurons. Since VNS is well tolerated by patients, VNS-tone train pairing
represents a viable method to direct temporal plasticity in a variety of human
conditions associated with temporal processing deficits.
PMID- 22079156
TI - Microthrombosis after experimental subarachnoid hemorrhage: time course and
effect of red blood cell-bound thrombin-activated pro-urokinase and clazosentan.
AB - Delayed cerebral ischemia (DCI) is a significant cause of morbidity and mortality
for patients surviving the rupture of an intracranial aneurysm. Despite an
association between vasospasm and DCI, thrombosis and thromboembolism may also
contribute to DCI. In this study we investigate the time course of intravascular
microclot formation after experimental subarachnoid hemorrhage (SAH) and assess
the effects of the following two drugs on microclot burden: mutant thrombin
activated urokinase-type plasminogen activator (scFv/uPA-T), which is bound to
red blood cells for use as a thromboprophylactic agent, and clazosentan, an
endothelin antagonist. In the first study, adult male C57BL/6 mice were
sacrificed at 24 (n=5), 48 (n=6), 72 (n=8), and 96 (n=3) hours after SAH induced
by filament perforation of the anterior cerebral artery. Sham animals (n=5)
underwent filament insertion without puncture. In the second study, animals
received scFv/uPA-T (n=5) 3 hours after hemorrhage, clazosentan (n=5) by bolus
and subcutaneous pump after SAH just prior to skin closure, or a combination of
scFv/uPA-T and clazosentan (n=4). Control (n=6) and sham (n=5) animals received
saline alone. All animals were sacrificed at 48 hours and underwent intra-cardiac
perfusion with 4% paraformaldehyde. The brains were then extracted and sliced
coronally on a cryostat and processed for immunohistochemistry. An antibody
recognizing thrombin-anti-thrombin complexes was used to detect microclots on
coronal slices. Microclot burden was calculated for each animal and compared
among groups. Following SAH, positive anti-thrombin staining was detected
bilaterally in the following brain regions, in order of decreasing frequency:
cortex; hippocampus; hypothalamus; basal ganglia. Few microclots were found in
the shams. Microclot burden peaked at 48 hours and then decreased gradually.
Animals receiving scFv/uPA-T and scFv/uPA-T+clazosentan had a lower microclot
burden than controls, whereas animals receiving clazosentan alone had a higher
microclot burden (p<0.005). The overall mortality rate in the time course study
was 40%; mortality was highest among control animals in the second study.
Intravascular microclots form in a delayed fashion after experimental SAH.
Microclots may be safely reduced using a novel form of thromboprophylaxis
provided by RBC-targeted scFv/uPA-T and represent a potential target for
therapeutic intervention in the treatment of DCI.
PMID- 22079158
TI - Does frequency matter? ERP and behavioral correlates of monitoring for rare and
frequent prospective memory targets.
AB - Behavioral and event-related potential (ERP) correlates of monitoring in an event
based prospective memory (PM) task were compared during blocks with rare versus
frequent PM target presentations relative to an ongoing-task only condition. For
both rare and frequent PM conditions, behavioral interference costs in terms of
longer reaction times (RTs) were observed. Likewise, during both PM blocks a
sustained ERP positivity with a frontal focus was identified on ongoing-task
trials. While PM target identification and RT interference costs were larger
during the PM-frequent relative to the PM-rare condition, the same sustained
frontal positivity was observed during both PM blocks. These findings suggest
that successful monitoring is associated with the adoption of a more general
prospective retrieval mode, irrespective of target frequency. Moreover,
preparatory attentional modulations directed at relevant target features played
an important role for subsequent PM performance, as evident in larger P2
amplitudes during PM blocks.
PMID- 22079157
TI - Increased regional cerebral glucose uptake in an APP/PS1 model of Alzheimer's
disease.
AB - Alzheimer's disease (AD), the most common age-related neurodegenerative disorder,
is characterized by the invariant cerebral accumulation of beta-amyloid peptide.
This event occurs early in the disease process. In humans, [18F]-fluoro-2-deoxy-D
glucose ([18F]-FDG) positron emission tomography (PET) is largely used to follow
up in vivo cerebral glucose utilization (CGU) and brain metabolism modifications
associated with the Alzheimer's disease pathology. Here, [18F]-FDG positron
emission tomography was used to study age-related changes of cerebral glucose
utilization under resting conditions in 3-, 6-, and 12-month-old
APP(SweLon)/PS1(M146L), a mouse model of amyloidosis. We showed an age-dependent
increase of glucose uptake in several brain regions of APP/PS1 mice but not in
control animals and a higher [18F]-FDG uptake in the cortex and the hippocampus
of 12-month-old APP/PS1 mice as compared with age-matched control mice. We then
developed a method of 3-D microscopic autoradiography to evaluate glucose uptake
at the level of amyloid plaques and showed an increased glucose uptake close to
the plaques rather than in amyloid-free cerebral tissues. These data suggest a
macroscopic and microscopic reorganization of glucose uptake in relation to
cerebral amyloidosis.
PMID- 22079159
TI - Pharmacological interference with metabotropic glutamate receptor subtype 7 but
not subtype 5 differentially affects within- and between-session extinction of
Pavlovian conditioned fear.
AB - Fear extinction is defined as the attenuation of a conditioned-fear memory by re
exposing animals to the conditioned stimulus without the aversive stimulus. This
process is known to be effectively enhanced via administration of D-cycloserine
(DCS), a partial NMDA-receptor agonist. However, other glutamatergic mechanisms,
such as interference with metabotropic glutamate receptor (mGluR) subtypes 5 and
7 in the extinction of aversive memories are insufficiently understood. Using the
allosteric mGluR5 receptor antagonist 2-methyl-6-(phenylethynyl)-pyridine (MPEP),
the mGluR7 allosteric agonist N,N'-dibenzyhydryl-ethane-1,2-diamine
dihydrochloride (AMN082), and DCS for comparison, we aimed to study how
pharmacological blockade of mGluR5 and activation of mGluR7 influenced within-
and between-session conditioned-fear extinction training and extinction retention
in rats. We show that when injected before extinction training, mGluR7 activation
with AMN082 enhanced freezing and thereby attenuated within-session fear
extinction, whereas both DCS and the mGluR5 receptor antagonist MPEP had no
effect on this process. However, these differential drug effects were not long
lasting, as no difference in extinction retention were observed 24 h later.
Therefore, we assessed whether the compounds affect 24 h consolidation of
extinction training following incomplete extinction training (between-session
extinction). Similar to DCS, AMN082- but not MPEP-treated rats showed facilitated
extinction retention, as exhibited by decreased freezing. Finally, using
fluoxetine, we provide evidence that the effect of AMN082 on between-session
extinction retention is most likely not via increasing 5-HT transmission. These
findings demonstrate that mGluR7 activation differentially modulates conditioned
fear extinction, in dependence on the protocol employed, and suggests drugs with
AMN082-like mechanisms as potential add-on drugs following exposure-based
psychotherapy for fear-related human disorders.
PMID- 22079160
TI - Deltamethrin, a type II pyrethroid insecticide, has neurotrophic effects on
neurons with continuous activation of the Bdnf promoter.
AB - Pyrethroids, widely used insecticides with low acute toxicity in mammals, affect
sodium channels in neurons. In a primary culture of rat cortical neurons,
deltamethrin (DM), a type II pyrethroid, markedly enhanced the expression of
brain-derived neurotrophic factor (BDNF) exon IV-IX (Bdnf eIV-IX) mRNA. In this
study, we found that DM has a neurotrophic effect on cultured neurons and
investigated the mechanisms responsible for it. One MUM DM increased cell
survival, neurite complexity and length. Neurite complexity and length were
reduced not only by a blockade of cellular excitation with GABA or Ca(2+) influx
via L-type voltage-dependent calcium channels with nicardipine, but also by a
blockade of TrkB, a specific receptor for BDNF, with TrkB/Fc. These data indicate
DM has neurotrophic actions. DM-induced Bdnf eIV-IX mRNA expression through the
calcineurin and ERK/MAPK pathways, the increase of which was reduced by GABA(A)
receptor activation. Using a promoter assay, we found that Ca(2+)-responsive
elements including a CRE are involved in the DM-induced activation of the Bdnf
promoter IV (Bdnf-pIV). The intracellular concentration of Ca(2+) and activation
of Bdnf-pIV remained elevated for, at least, 1 and 24 h, respectively. Moreover,
GABA(A) receptor activation or a blockade of Ca(2+) influx even after starting
the incubation with DM reduced the elevated activity of Bdnf-pIV. These data
demonstrated that the prolonged activation of Bdnf-pIV occurred because of this
continuous increase in the intracellular Ca(2+) concentration. Thus, DM has
neurotrophic effects on neurons, likely due to prolonged activation of Bdnf
promoter in neurons. This article is part of a Special Issue entitled 'Post
Traumatic Stress Disorder'.
PMID- 22079161
TI - Retigabine, a K(V)7 (KCNQ) potassium channel opener, attenuates L-DOPA-induced
dyskinesias in 6-OHDA-lesioned rats.
AB - L-DOPA-induced dyskinesias (LID) represent a severe complication of long-time
pharmacotherapy in Parkinson's disease that necessitates novel therapeutics. The
acute and chronic effects of K(V)7.2-7.5 channel openers (retigabine, flupirtine)
on the severity of LID and parkinsonian signs were examined in comparison to the
glutamate receptor antagonist amantadine (positive control) in a rat model of
LID. Acute treatment with retigabine (2.5, 5 mg/kg i.p.) and flupirtine (5, 10
mg/kg i.p.) significantly reduced the severity of abnormal involuntary movements
(AIM) to a comparable extent as amantadine (20, 40 mg/kg s.c.), but flupirtine
delayed the disappearance of AIM. Chronic treatment with retigabine (daily 5
mg/kg i.p. over 19 days combined with l-DOPA 10 mg i.p.) did not prevent or delay
the development of LID, but reduced the severity of AIM, while antidyskinetic
effects of amantadine (40 mg/kg i.p.) were restricted to the first day of
treatment. Retigabine caused sedation and ataxia which declined during the
chronic treatment, but did not reduce the antiparkinsonian effects of l-DOPA in
these experiments. Acute co-injections of retigabine (5 mg) together with l-DOPA
(10 mg/kg) neither reduced the motor performance in the rotarod test nor exerted
negative effects on the antiparkinsonian efficacy of l-DOPA in the block and
stepping test. Nevertheless, the sedative effects of retigabine may limit its
therapeutic potential for the treatment of LID. The present data indicate that
K(V)7 channels deserve attention in the research of the pathophysiology of
dyskinesias. This article is part of a Special Issue entitled 'Post-Traumatic
Stress Disorder'.
PMID- 22079162
TI - The Anisakis allergy debate: does an evolutionary approach help?
AB - Allergic phenomena share common pathways with the immune response against
helminth parasites. The definitions regarding allergens and their related
concepts have their roots in the area of allergy research. The experience with
the fish parasite Anisakis simplex-associated allergic features still nurtures an
open debate on the necessity of larvae being alive to induce allergic reactions
such as urticaria or anaphylaxis. Conceptual definitions of allergen, major
allergen, as well as putatively crossreacting antibodies, as are used in food
allergy, depend on the clinical relevance of specific IgE and deserve careful
interpretation in the various forms of A. simplex-associated allergic features.
Conversely, an evolutionary based interpretation of the presence of specific IgE
depends on the viability of A. simplex.
PMID- 22079163
TI - What ails Wolbachia transinfection to control disease vectors?
PMID- 22079164
TI - The placenta: a main role in congenital toxoplasmosis?
AB - Systemic infections, such as toxoplasmosis, acquired during pregnancy can lead to
placental infection and have profound effects on the mother-to-child relationship
and the success of pregnancy. Placental permeability to Toxoplasma gondii is a
main parameter that determines parasite transmission to the foetus, and the use
of antibiotics to decrease placental parasite load and prevent congenital
toxoplasmosis has been suggested for decades. Although parasitological
examination of the placenta at birth is commonly used to diagnose neonatal
congenital toxoplasmosis, this approach can be controversial. Here we argue in
favour of placental examination for both diagnostic and epidemiological purposes.
PMID- 22079165
TI - Photodynamic Antimicrobial Chemotherapy (PACT) in combination with antibiotics
for treatment of Burkholderia cepacia complex infection.
AB - This study aimed to determine if Photodynamic Antimicrobial Chemotherapy (PACT)
was effective in the treatment of Burkholderia cepacia complex infection and
whether a synergistic effect was evident if PACT was used in combination with
antibiotics. The susceptibility of both planktonic and biofilm cultures of B.
cepacia complex strains to methylene blue (MB) and meso-tetra(n-methyl-4
pyridyl)porphine tetra-tosylate (TMP)-mediated PACT was determined alone and in
combination with antibiotics used in the treatment of Cystic Fibrosis pulmonary
infection caused by these bacteria. When B. cepacia complex strains were grown
planktonically, high levels of kill of were achieved with both TMP and MB
mediated PACT with strain and photosensitizer specific differences apparent. When
strains were grown in biofilm, antibiotic treatment alone was bactericidal in
17/36 (47%) strain/antibiotic combinations tested. When antibiotic treatment was
combined with PACT, bactericidal activity was apparent for 33/36 (92%)
strain/antibiotic combinations. No antagonism was detected between PACT and
antibiotic treatment with the combination synergistic for 6/36 (17%) and
indifferent for 30/36 (83%) strain/antibiotic combinations. PACT could be a
viable treatment option, either alone or in combination with antibiotics for
treatment of B. cepacia complex pulmonary infection.
PMID- 22079167
TI - The many faces of aspartate kinases.
AB - Based on recent X-ray structures and biochemical characterizations of aspartate
kinases from different species, we show in this review how various organizations
of a regulatory domain have contributed to the different mechanisms of control
observed in aspartate kinases allowing simple to complex allosteric controls in
branched pathways. The aim of this review is to show the relationships between
domain organization, effector binding sites, mechanism of inhibition and
regulatory function of an allosteric enzyme in a biosynthetic pathway.
PMID- 22079166
TI - The structure and allosteric regulation of mammalian glutamate dehydrogenase.
AB - Glutamate dehydrogenase (GDH) is a homohexameric enzyme that catalyzes the
reversible oxidative deamination of l-glutamate to 2-oxoglutarate. Only in the
animal kingdom is this enzyme heavily allosterically regulated by a wide array of
metabolites. The major activators are ADP and leucine, while the most important
inhibitors include GTP, palmitoyl CoA, and ATP. Recently, spontaneous mutations
in the GTP inhibitory site that lead to the hyperinsulinism/hyperammonemia (HHS)
syndrome have shed light as to why mammalian GDH is so tightly regulated.
Patients with HHS exhibit hypersecretion of insulin upon consumption of protein
and concomitantly extremely high levels of ammonium in the serum. The atomic
structures of four new inhibitors complexed with GDH complexes have identified
three different allosteric binding sites. Using a transgenic mouse model
expressing the human HHS form of GDH, at least three of these compounds were
found to block the dysregulated form of GDH in pancreatic tissue. EGCG from green
tea prevented the hyper-response to amino acids in whole animals and improved
basal serum glucose levels. The atomic structure of the ECG-GDH complex and
mutagenesis studies is directing structure-based drug design using these
polyphenols as a base scaffold. In addition, all of these allosteric inhibitors
are elucidating the atomic mechanisms of allostery in this complex enzyme.
PMID- 22079168
TI - Minimizing radiation exposure to the vascular surgeon.
AB - OBJECTIVES: To determine radiation exposure for members of an endovascular
surgery team during imaging procedures by varying technique. METHODS: Digital
subtraction angiography imaging of the abdomen and pelvis (Innova 4100; GE,
Fairfield, Conn) was performed on cadavers, varying positioning and technique
within the usual bounds of clinical practice. Radiation exposure was monitored in
real-time with dosimeters (DoseAware; Philips, Andover, Mass) to simulate the
position of the operator, assistant, and anesthesiologist. The DoseAware system
reports radiation exposure in 1-second intervals. Three to five consecutive data
points were collected for each imaging configuration. RESULTS: Operator radiation
exposure is minimized with detector-to-patient distance <5 cm (2.1 mSv/h) in
contrast to 10 to 15 cm (2.8 mSv/h); source-to-image distance of <15 cm (2.3
mSv/h) in contrast to 25 cm (3.3 mSv/h). Increasing image magnification from 0
(2.3 mSv/h) to 3 (0.83 mSv/h) decreases operator exposure by 74%. Increasing
linear image collimation from 0 (2.3 mSv/h) to 10 cm (0.30 mSv/h) decreases
operator exposure by 87%. The anesthesiologist's radiation exposure is 11% to 49%
of the operator's, greatest in the left anterior oblique (LAO) 90 degree
projection. The assistant's radiation exposure is 23% to 46% of the operator's.
The highest exposure to the operator was noted to be in the LAO 90 degree
projection (30.3 mSv/h) and lowest exposure with 10-cm vertical collimation (0.28
mSv/h). CONCLUSIONS: Varying imaging techniques results in different radiation
exposure to members of an endovascular surgery team. Knowledge of the variable
intensity of radiation exposure may allow modification of the technique to
minimize radiation exposure to the team while providing suitable imaging.
PMID- 22079169
TI - In vitro evaluation of the antimicrobial efficacy of a new silver-triclosan vs a
silver collagen-coated polyester vascular graft against methicillin-resistant
Staphylococcus aureus.
AB - OBJECTIVES: Vascular graft infection is a rare but serious complication of
vascular reconstructive surgery. This in vitro study investigated the
antimicrobial efficacy of a new, silver-triclosan collagen-coated polyester
vascular graft compared with a silver collagen-coated polyester vascular graft
alone during the first 24 hours. METHODS: The antimicrobial efficacy of the
investigated vascular grafts was assessed by performing a time-kill kinetic assay
following Clinical and Laboratory Institute Standards-approved guidelines M26-A.
For the purpose of the experimental study, the ATCC 33591 strain of methicillin
resistant Staphylococcus aureus (American Type Culture Collection, Manassas, Va)
was used. All assays were repeated sixfold. Bacterial survival numbers were
obtained at 1, 4, 8, 12, and 24 hours using a standard plate count procedure.
Bactericidal activity was defined as a 3 log(10) reduction factor (logRF),
according to the approved guideline M26-A. RESULTS: Both antimicrobial vascular
grafts achieved >3 logRF and fulfilled the efficacy criterion for bactericidal
activity but performed differently in their speed of antimicrobial action. The
silver-triclosan vascular graft achieved 3.37 logRF after 8 hours, and the silver
vascular graft showed a 4.19 logRF after 24 hours. The silver-triclosan graft
yielded significantly lower colony-forming units/mL counts after 4 hours compared
with the silver graft (4.29 * 10(4) vs 1.03 * 10(6); P = .031). CONCLUSIONS: Both
antimicrobial collagen-coated polymer vascular grafts showed bactericidal
activity against methicillin-resistant Staphylococcus aureus in vitro. Although
the silver-triclosan vascular graft showed a faster antimicrobial efficacy, the
silver graft exhibited its antimicrobial properties after 24 hours. Which concept
will protect an implanted vascular prosthetic graft better from bacterial
contamination and subsequent infection needs to be investigated further in in
vivo animal and clinical studies.
PMID- 22079170
TI - Two cases of endobronchial carcinoid masked by superimposed aspergillosis: a
review of the literature of primary lung cancers associated with Aspergillus.
AB - We describe 2 cases of endobronchial pulmonary carcinoid tumor with superimposed
Aspergillus colonization. The Aspergillus hyphae were associated with fibrin,
ulcer debris, and granulomatous inflammation in part masking the carcinoid tumor.
Presence of necrotic debris made diagnosis on biopsy difficult, and atypical
carcinoid could not be ruled out. The association of carcinoid tumor with
aspergillosis is rare and has been reported in 4 other cases thus far. A review
of the literature reveals at least 35 cases of lung carcinoma with coexisting
Aspergillus upon presentation. Most of these carcinomas are either cavitary
squamous cell or adenocarcinomas harboring an aspergilloma. The other carcinomas
are associated with bronchial obstruction as in carcinoids or are a minor
component of a preexisting cavity raising the possibility of "scar carcinoma." As
in aspergillomas not associated with carcinoma, upper lobe involvement
predominates. Diagnosis can be challenging with delayed discovery of underlying
neoplasm leading to suboptimal treatment.
PMID- 22079171
TI - Correlation between the endoscopic and histologic diagnosis of gastritis.
AB - Our aims were to determine the rate of concordance between endoscopic and
pathologic diagnoses of gastritis and to determine if there was any common factor
in discordant cases. A retrospective analysis of data from 400 patients was
performed. The endoscopic diagnoses were compared with the pathologic diagnoses,
and histologic slides from discordant cases were reviewed. Of the 400 patients,
there was discordance between endoscopy and histology in 136 (34%; kappa
statistic, 0.31). These discordant cases comprised 56 with normal endoscopy but
abnormal histology and 80 with abnormal endoscopy but normal histology. In 13
patients, there was normal histology, although erosions had been diagnosed
endoscopically. No consistent histologic features were found in the discordant
cases. These findings show that standard endoscopy is a poor predictor of
pathologic changes. Biopsies are required for accurate diagnosis of gastritis.
PMID- 22079172
TI - Factors associated with failure to follow-up at a medical clinic after an ED
visit.
AB - BACKGROUND: Although emergency department (ED) discharge is often based on the
presumption of continued care, the reported compliance rate with follow-up
appointments is low. STUDY OBJECTIVES: The objectives of this study are to
identify factors associated with missed follow-up appointments from the ED and to
assess the ability of clinicians to predict which patients will follow-up.
METHODS: Patients without insurance or an outpatient primary care provider (PCP)
were given a follow-up clinic appointment before discharge. Information
identifying potential follow-up barriers was collected, and the physician's
perception of the likelihood of follow-up was recorded. Patients who missed their
appointment were contacted via telephone and were offered a questionnaire and a
rescheduled clinic appointment. RESULTS: A total of 125 patients with no PCP were
enrolled. Sixty (48%; 95% confidence interval, 39-57) kept their scheduled
appointment. Sex, distance from clinic, availability of transportation, or time
since last nonemergent physician visit was associated with attendance to the
follow-up visit. Clinicians were unable to predict which patients would follow
up. Contact by telephone was made in 48 (74%) of patients who failed to follow
up. Of the 14 patients willing to reschedule, none returned for follow-up.
CONCLUSION: Among ED patients who lack a PCP and are given a clinic appointment
from the ED, less than half keep the appointment. Moreover, clinicians are unable
to predict which patients will follow up. This study highlights the difficulty in
maintaining continuity of care in populations who are self-pay or have Medicaid
and lack regular providers. This may have implications on discharge planning from
the ED.
PMID- 22079173
TI - Clinical protection, sub-clinical infection and persistence following vaccination
with extinction payloads of O1 Manisa Foot-and-Mouth Disease monovalent vaccine
and challenge in goats and comparison with sheep.
AB - Small ruminants play an important role in the epidemiology of Foot-and-Mouth
Disease (FMD). Small ruminants are vaccinated with one-half or one-third of
cattle dose of oil-based or aqueous vaccines respectively. The extinction antigen
payload in vaccine for protection in small ruminants is poorly studied. FMD
seronegative Nellore sheep (n=30) and Osmanabadi goats (n=30) were vaccinated
with different payloads of O(1) Manisa vaccine (0.45-5 MUg). Vaccinated and sero
negative unvaccinated sheep (n=6) and goats (n=6) were challenged intradermally
into the coronary band with O(1) Manisa virus. The sheep and goats were monitored
for signs of FMD and samples were collected for measuring viraemia and virus
associated with nasal swabs and probang samples. Clotted blood was collected for
serology. Vaccines containing antigen payload up to 0.94 MUg protected sheep and
goats against challenge. Sheep and goats vaccinated with 0.45 MUg antigen payload
were poorly protected against challenge. An antigen payload of 0.94 MUg was
sufficient to offer complete protection and also absence of carrier status. Sheep
and goats with no vaccination or with poor sero conversion to vaccination showed
sub-clinical infection and became carriers. The results of the study suggest that
vaccination offers protection from clinical disease even at a low payload of 0.94
MUg and hence one-half of cattle dose of the oil-based vaccine formulations is
sufficient to induce protective immune response in sheep and goats. Since no live
virus could be isolated after 5 days post challenge from the nasal swab or
probang samples even though viral RNA was detected, the risk of these animals
transmitting disease was probably very low.
PMID- 22079174
TI - Residual transglutaminase in collagen - effects, detection, quantification, and
removal.
AB - In the present study, we developed an enzyme-linked immunosorbent assay (ELISA)
for microbial transglutaminase (mTG) from Streptomyces mobaraensis to overcome
the lack of a quantification method for mTG. We further performed a detailed
follow-on-analysis of insoluble porcine collagen type I enzymatically modified
with mTG primarily focusing on residuals of mTG. Repeated washing (4 *) reduced
mTG-levels in the washing fluids but did not quantitatively remove mTG from the
material (p < 0.000001). Substantial amounts of up to 40% of the enzyme utilized
in the crosslinking mixture remained associated with the modified collagen.
Binding was non-covalent as could be demonstrated by Western blot analysis.
Acidic and alkaline dialysis of mTG treated collagen material enabled complete
removal the enzyme. Treatment with guanidinium chloride, urea, or sodium chloride
was less effective in reducing the mTG content.
PMID- 22079175
TI - Nuclear mechanics in differentiation and development.
AB - The nucleus is by far one of the stiffest organelles within cells of higher
eukaryotes. Its mechanical properties are determined by contributions from the
nuclear lamina and chromatin. Together they allow a viscoelastic response of the
nucleus to applied stresses, where the lamina is thought to behave as an elastic
shell, while the nucleoplasm contributes as a largely viscous material. Nuclear
mechanics changes during differentiation and development. Altered nuclear
mechanics reflects but might also influence global re-arrangements in chromatin
architecture, which take place when cells commit themselves into distinct
lineages. Thus it is likely that the mechanical characteristics of nuclei
significantly contribute to proper differentiation.
PMID- 22079176
TI - Evaluation of portable Raman spectrometer with 1064 nm excitation for geological
and forensic applications.
AB - The development of miniaturized Raman instrumentation is in demand for
applications relevant to forensic, pharmaceutical and art analyses, as well as
geosciences, and planetary exploration. In this study we report on evaluation of
a portable dispersive Raman spectrometer equipped with 1064 nm laser excitation.
Selected samples from geological, geobiological and forensic areas of interest
have been studied from which the advantages, disadvantages and the analytical
potential of the instrument are assessed based on a comparison with bench
instrumentation and other portable Raman spectrometers using 785 nm excitation.
It is demonstrated that the instrument operating with 1064 nm excitation has
potential for expanding the number and types of samples that can be measured by
miniaturized Raman spectroscopy without interfering fluorescence background
emission. It includes inorganic and organic minerals, biomolecules within living
lichen and endolithic cyanobacteria as well as drugs of abuse and explosives.
PMID- 22079178
TI - Application of the Theory of Planned Behaviour to weight control in an overweight
cohort. Results from a pan-European dietary intervention trial (DiOGenes).
AB - Using the Theory of Planned Behaviour (TPB), this study investigates weight
control in overweight and obese participants (27 kg/m(2)<=BMI<45 kg/m(2)) taking
part in a dietary intervention trial targeted at weight loss maintenance (n=932).
Respondents completed TPB measures investigating "weight gain prevention" at
three time points. Correlation and regression analyses were used to investigate
the relationship between TPB variables and weight regain. The TPB explained up to
27% variance in expectation, 14% in intention and 20% in desire scores. No
relationship was established between intention, expectation or desire and
behaviour at Time 1 or Time 2. Perceived need and subjective norm were found to
be significantly related to weight regain, however, the model explained a maximum
of 11% of the variation in weight regain. Better understanding of overweight
individuals' trajectories of weight control is needed to help inform studies
investigating people's weight regain behaviours. Future research using the TPB
model to explain weight control should consider the likely behaviours being
sought by individuals.
PMID- 22079177
TI - An alpha-lactalbumin-enriched and symbiotic-supplemented v. a standard infant
formula: a multicentre, double-blind, randomised trial.
AB - The aim of the present study was to evaluate the safety, tolerance and preventive
effect on atopic dermatitis of an experimental alpha-lactalbumin-enriched and
symbiotic-supplemented infant formula. A total of ninety-seven non-breastfed term
neonates were enrolled into a double-blind, multicentre, randomised controlled
trial in which they received experimental (n 48) or standard formula (n 49) for 6
months. The primary outcome was weight at 6 months of age. Secondary outcomes
were gastrointestinal tolerance and manifestation of atopic dermatitis. Faecal
secretory IgA (SIgA) concentration and microbiota composition of forty-three
infants were analysed at 1 and 6 months. Growth was similar in both groups. At 1
month, compared to those in the control group, infants in the experimental group
exhibited less crying or agitation, and more quiet behaviour (P=0.03). At 6
months, atopic dermatitis was less frequently observed in the experimental group
(P<0.05). Decrease of faecal SIgA concentration between 1 and 6 months was mainly
observed in the control group. This decrease was significantly associated with
atopic dermatitis (P<0.014) and negatively correlated to the level of
colonisation by bifidobacteria (P<0.005). In conclusion, compared to the control
formula, the experimental formula guaranteed a similar growth, was better
tolerated at 1 month and had a protective effect against the development of
atopic dermatitis.
PMID- 22079179
TI - Evolution of neutrophil apoptosis in septic shock survivors and nonsurvivors.
AB - PURPOSE: The aims were to analyze the temporal evolution of neutrophil apoptosis,
to determine the differences in neutrophil apoptosis among 28-day survivors and
nonsurvivors, and to evaluate the use of neutrophil apoptosis as a predictor of
mortality in patients with septic shock. MATERIALS AND METHODS: Prospective
multicenter observational study carried out between July 2006 and June 2009. The
staining solution study included 80 patients with septic shock and 25 healthy
volunteers. Neutrophil apoptosis was assessed by fluorescein isothiocyanate
(FITC)-conjugated annexin V and aminoactinomycin D staining. RESULTS: The
percentage of neutrophil apoptosis was significantly decreased at 24 hours, 5
days, and 12 days after the diagnosis of septic shock (14.8% +/- 13.4%, 13.4% +/-
8.4%, and 15.4% +/- 12.8%, respectively; P < .0001) compared with the control
group (37.6% +/- 12.8%). The difference in apoptosis between 28-day surviving and
nonsurviving patients was nonsignificant (P > .05). The mortality rate at 28 days
was 53.7%. The crude hazard ratio for mortality in patients with septic shock did
not differ according to the percentage of apoptosis (hazard ratio, 1.006; 95%
confidence interval, 0.98-1.03; P = .60). CONCLUSIONS: During the first 12 days
of septic shock development, the level of neutrophil apoptosis decreases and does
not recover normal values. No differences were observed between surviving and
nonsurviving patients.
PMID- 22079180
TI - Etiological diagnosis of left ventricular dysfunction: computed tomography
compared with coronary angiography and cardiac magnetic resonance.
AB - INTRODUCTION AND OBJECTIVES: To evaluate the capability of multidetector computed
tomography to diagnose the coronary etiology of left ventricular dysfunction
compared with using invasive coronary angiography and magnetic resonance.
METHODS: Forty consecutive patients with left ventricular dysfunction of
uncertain etiology underwent invasive coronary angiography and contrast magnetic
resonance. All patients were evaluated with multidetector computed tomography
including coronary calcium presence and score, noninvasive coronary angiography,
and myocardial tissue assessment. RESULTS: The sensitivity and specificity of the
presence of coronary calcium to identify left ventricular dysfunction was 100%
and 31%, respectively. If an Agatston calcium score of >100 is taken, specificity
increases to 58% with sensitivity still 100%. Sensitivity and specificity for
coronary angiography by multidetector computed tomography was 100% and 96%,
respectively; for identifying necrosis in contrast acquisition it was 57% and
100%, respectively; and in late acquisition, 84% and 96%, respectively. To
identify coronary ventricular dysfunction with necrosis, the sensitivity and
specificity was 92% and 100%, respectively. CONCLUSIONS: Of all the diagnostic
tools available in multidetector computed tomography, coronary angiography is the
most accurate in determining the coronary origin of left ventricular dysfunction.
A combination of coronary angiography and myocardial tissue study after contrast
allows a single test to obtain similar information compared with the combination
of invasive coronary angiography and contrast magnetic resonance.
PMID- 22079181
TI - Polycyclic aromatic hydrocarbon levels in three pelagic fish species from
Atlantic Ocean: inter-specific and inter-season comparisons and assessment of
potential public health risks.
AB - The concentrations of 18 polycyclic aromatic hydrocarbons (PAHs) were determined
in three commercially valuable fish species (sardine, Sardina pilchardus; chub
mackerel, Scomber japonicus; and horse mackerel, Trachurus trachurus) from the
Atlantic Ocean. Specimens were collected seasonally during 2007-2009. Only low
molecular weight PAHs were detected, namely, naphthalene, acenaphthene, fluorene
and phenanthrene. Chub mackerel (1.80-19.90MUg/kgww) revealed to be significantly
more contaminated than horse mackerel (2.73-10.0MUg/kgww) and sardine (2.29
14.18MUg/kgww). Inter-specific and inter-season comparisons of PAHs
bioaccumulation were statistically assessed. The more relevant statistical
correlations were observed between PAH amounts and total fat content (significant
positive relationships, p?0.05), and season (sardine displayed higher amounts in
autumn-winter while the mackerel species showed globally the inverse behavior).
The health risks by consumption of these species were assessed and shown to
present no threat to public health concerning PAH intakes.
PMID- 22079182
TI - Induction of uncoupling protein-1 and -3 in brown adipose tissue by kaki-tannin
in type 2 diabetic NSY/Hos mice.
AB - Kaki-tannin, a highly polymerized-tannin from the young fruits of persimmon
(Diospyros kaki 'Hachiya'), has been shown to have bile acid-binding activity. To
verify the effect of kaki-tannin on the metabolism of lipid and glucose in type 2
diabetes, type 2 diabetic NSY/Hos mice were fed an AIN76-modified high fat diet
supplemented with 1% (w/w) kaki-tannin for 8weeks. Kaki-tannin induced a 2-fold
increase in fecal bile acid excretion and was significantly effective in the
prevention of a rise in plasma cholesterol, triglyceride, and insulin levels.
Kaki-tannin treatment also prevented fatty liver. To identify the molecular
mechanism underlying these effects, gene expression analysis was performed on
liver, brown adipose tissue (BAT), and skeletal muscle. The genes related to
cholesterol metabolism, including 3-hydroxy-3-methylglutaryl-coenzyme A reductase
and sterol regulatory element-binding protein 2, were increased in the liver of
the kaki-tannin group. Interestingly, the uncoupling protein-1 (UCP1) gene and
the UCP3 gene were significantly increased in the BAT of the kaki-tannin group,
which was also confirmed at the protein level. These findings indicated that
induction of UCP1 and UCP3 in the BAT by kaki-tannin treatment might influence
the energy metabolism, thus contributing beneficial effects to type 2 diabetic
NSY/Hos mice.
PMID- 22079183
TI - The effects of chronic aluminum exposure on learning and memory of rats by
observing the changes of Ras/Raf/ERK signal transduction pathway.
AB - OBJECTIVE: To investigate the effects of chronic aluminum (Al) exposure on
learning and memory function of rats by observing the changes of Ras/Raf/ERK
(Ras/ERK) signaling pathway. METHODS: Eighty weaned Wistar rats were divided into
four groups ad libitum, 20 rats in each group. The four groups were fed with
drinking water containing 0% (control), 0.2%, 0.4% and 0.6% (Al exposure) AlCl(3)
for 3months individually to set up aluminum exposure models. The laboratory was
maintained at 18-23 degrees C and 45-55% relative humidity. Graphite furnace
atomic absorption spectrometry was used to detect the content of Al in brain and
blood. Western blot and real-time PCR (RT-PCR) were used to determine the protein
and mRNA expression levels for Ras, Raf1, ERK2 and CREB. RESULTS: Chronic Al
exposure increased the content of Al in rats' blood and brain. It increased
expression of Ras in the hippocampi compared with the control but the expression
decreased along the Al exposure groups (p<0.05). Similarly, Raf1, ERK2 and CREB
expressions decreased compared to the control in a dose-dependent manner
(p<0.05). CONCLUSION: Chronic Al exposure may affect learning and memory through
impact on Ras/ERK signal pathway.
PMID- 22079184
TI - Study of the potential toxicity of commercial crispy breads by evaluation of
bioaccessibility and bioavailability of minor Fusarium mycotoxins.
AB - Enniatins (ENs) are bioactive compounds produced by the secondary metabolism of
several Fusarium strains and known to have several biological activities, such as
acting as enzyme inhibitors, antifungal and antibacterial agents, and
immunomodulatory substances. This study has investigated the ENs
bioaccessibility, spiked in commercial wheat crispy bread at 1.5 and 3.0MUmol/g
concentrations, their transepithelial transport and bioavailability using Caco-2
cells as a model of the human intestinal epithelium. The content (%) of the four
ENs contained in the gastric fluid has resulted variable from 69% to 91%,
considering the two concentrations assayed. The mean bioaccessibility data for
the compounds studied, resulted of 80%. The compounds that evidenced the highest
absorption, using the in vitro model which simulated the transepithelial
transport, were the EN A (70.8+/-1.3% of absorption) and A(1) (73.8+/-0.9%) at
1.5 and 3.0MUmol/g concentrations, respectively. The compound with the lowest
transport value (50.7+/-1.3%) was the EN A at 3.0MUmol/g concentration. The
bioavailability data evidenced by the other ENs employed ranged from 55.2+/-1.1%
to 66.1+/-1.0%.
PMID- 22079185
TI - Enhanced degradation of trichloroethylene in nano-scale zero-valent iron Fenton
system with Cu(II).
AB - Degradation of trichloroethylene (TCE) in nano-scale zero-valent iron (nZVI)
Fenton system with Cu(II) was investigated in a closed batch system. TCE was
significantly degraded (95%) in 10 min in nZVI Fenton system with 20mM Cu(II) at
initial pH 3, while slight degradation (25%) was observed in nZVI Fenton system
without Cu(II) at the same experimental condition. Aqueous Fe(II) concentration
proportionally increased (1.2-19.6mM) with increasing Cu(II) concentration (1
20mM). Surface analyses using X-ray spectroscopy showed that metallic Cu was
formed on the nZVI surface and surface Fe(0) decreased by 1.4 times after the
addition of Cu(II) to nZVI suspension. Kinetic rate constant for TCE degradation
at 15.3mM nZVI (4.1989 min(-1)) increased by 1.8 times till the increase of nZVI
concentration by 5 times and then showed a saturation pattern at higher nZVI
concentration. As Cu(II) concentrations increased in the Cu/nZVI Fenton system,
the rate constant increased linearly (R(2)=0.979). No significant difference has
been observed in the degradation kinetics of TCE by Cu/nZVI Fenton at pH 3 and pH
6 (4.8720 min(-1) vs. 4.9858 min(-1)), whereas the kinetics by nZVI Fenton at pH
6 were 1.6 times faster (0.0318 min(-1)) than at pH 3 (0.0194 min(-1)).
PMID- 22079186
TI - Photo-Fenton degradation of the insecticide esfenvalerate in aqueous medium using
a recirculation flow-through UV photoreactor.
AB - The aim of the study was to evaluate the efficiencies of photo-Fenton (Fe(2+))
and (Fe(3+)) processes in the degradation of high-concentrations of esfenvalerate
(in the form of aqueous emulsion of a commercial formulation) using a
recirculation flow-through photoreactor irradiated with UV light from a 15 W lamp
(254 nm emission peak). The results obtained using a basic photo-Fenton (Fe(2+))
reaction (esfenvalerate 17 mg L(-1); ferrous sulphate 1 mM; hydrogen peroxide 25
mM; pH 2.5) were compared with those acquired when ferrioxalate (1, 3 or 5 mM)
served as the iron source. Degradation of the active component of the commercial
formulation was significantly greater, and the rate of oxidation more rapid,
using a photo-Fenton (Fe(3+)) process compared with its Fe(2+) counterpart. The
most efficient degradation of the insecticide (75% in 180 min) was achieved with
a reaction mixture containing 5mM ferrioxalate. However, under the same
experimental conditions, degradation of pure esfenvalerate preceded much faster
(99% in 60 min) and was 100% complete within 180 min reaction time.
PMID- 22079187
TI - Novel KMnO4-modified iron oxide for effective arsenite removal.
AB - This work demonstrates the synthesis of a novel KMnO(4)-modified form of iron
oxide, MnBT-4, using a fluidized bed reactor (FBR) for the adsorptive removal of
arsenic (III)/(V). Characterization by XRD, BET, and SEM indicated that the BT-4
support was poorly crystallized goethite (alpha-FeOOH) with a specific surface
area of 229 m(2) g(-1). In FBR experiments of synthesizing MnBT-4, the Fe and Mn
salts were found to have an optimal dosage ratio of less than 4, which maximized
the KMnO(4) immobilization efficiency. The immobilized Mn compounds on MnBT-4
underwent an additional oxidation step of As (III), promoting arsenic adsorption.
When applied MnBT-4 for As (III) removal from solution, the sorption isotherm was
accurately fitted with Langmuir and Freundlich models, while the maximum
adsorption capacity of 27.4 mg g(-1) exceeded those of other adsorbents in the
literature. Batch experimental results revealed that both raw BT-4 and MnBT-4
could take up a large amount of As (V). However, the MnBT-4 provided a
substantially higher As (III) removal efficiency than BT-4.
PMID- 22079188
TI - Stabilization of nickel-laden sludge by a high-temperature NiCr2O4 synthesis
process.
AB - The feasibility of stabilizing nickel-laden sludge by a high-temperature
NiCr(2)O(4) synthesis process was investigated with different sintering
temperatures, salt contents, molar ratios, and reaction atmospheres. The
crystalline phases of species were investigated by using an X-ray diffraction,
and the surface characteristics of particles were observed by scanning electron
microscopy. The leaching behavior of the stabilized sludge was evaluated by
Toxicity Characteristic Leaching Procedure (TCLP) test. The results indicated
that NiCr(2)O(4) was formed at around 800 degrees C by transforming NiO and
Cr(2)O(3) into a spinel structure. Leaching concentrations of both nickel and
chromium decreased with an increase in the sintering temperature. The existence
of salt in the sludge disturbed the formation of spinel, but a moderate salt
content contributed to stabilization efficiency. A Cr/Ni molar ratio >2 also
contributed to the stabilization efficiency of heavy metals after the thermal
process. NiCr(2)O(4) was transformed from simulated sludge under both an N(2) and
air atmosphere. The sintering strategy designed for nickel-laden sludge was
proven to be beneficial in stabilizing nickel and chromium.
PMID- 22079190
TI - Residual structure and dynamics in DMSO-d6 denatured dynein light chain protein.
AB - Structural and motional features in the denatured state of a protein dictate the
early folding events starting from that state and these features vary depending
upon the nature of the denaturant used. Here, we have attempted to decipher the
early events in the folding of Dynein Light Chain protein (DLC8), starting from
DMSO-d6 denatured state. Multinuclear NMR experiments were used to obtain the
full spectral assignment. The HSQC spectrum shows the presence of two sets of
peaks for the residues Met 1, Ser 2, Arg 4, Ala 11, Met 17, Thr 26, Lys 44, Tyr
50, Asn 51, Trp 54, His 55, Val 58, Gly 59, Ser 64, Tyr 65, His 68, Phe 86, Lys
87 indicating the presence of slow conformational transition in the heterogeneous
ensemble. Analysis of residual structural propensities with secondary (13)C
chemical shifts, (3)J(H(N)(-)H(alpha)) coupling constants and (1)H-(1)H NOE
revealed the presence of local preferences which encompass both native and non
native like structures. The spectral density calculations, as obtained from
measured R(1), R(2) and (1)H-(15)N steady state NOE values provide insights into
the backbone dynamics on the milli to picosecond timescale. The segment Ser 14 -
His 55 exhibits slow motions on the milli- to microsecond timescale arising from
conformational exchange. The presence of native like structural preference, as
well as conformational exchange classifies the above segment as the nucleation
site of folding. Based on the observations, we propose here, the probable
hierarchy of folding of DLC8 on dilution of denaturant: the two helices are
formed first followed by the formation of beta2 and beta5.
PMID- 22079189
TI - The ATM protein kinase and cellular redox signaling: beyond the DNA damage
response.
AB - The ataxia-telangiectasia mutated (ATM) protein kinase is best known for its role
in the DNA damage response, but recent findings suggest that it also functions as
a redox sensor that controls the levels of reactive oxygen species in human
cells. Here, we review evidence supporting the conclusion that ATM can be
directly activated by oxidation, as well as various observations from ATM
deficient patients and mouse models that point to the importance of ATM in
oxidative stress responses. We also discuss the roles of this kinase in
regulating mitochondrial function and metabolic control through its action on
tumor suppressor p53, AMP-activated protein kinase (AMPK), mammalian target of
rapamycin (mTOR) and hypoxia-inducible factor 1 (HIF1), and how the regulation of
these enzymes may be affected in ATM-deficient patients and in cancer cells.
PMID- 22079191
TI - Getting the most out of your IP--patent management along its life cycle.
AB - Effectively managing and optimizing the value of the patent portfolio is a major
challenge for many firms, especially those in knowledge intensive industries,
such as the pharmaceutical, biotechnological and chemical industry. However,
insights on effective patent portfolio strategies are rare. Therefore, in this
article we investigate in detail how firms successfully manage and optimize their
patent portfolios to increase their overall competitiveness. We discover that
successful patent portfolio management is rooted in managing the patents along
their life cycles. Based on the findings of ten case studies, we develop a
holistic patent life cycle management model reflecting five distinctive phases of
patent management: explore, generate, protect, optimize and decline. We conclude
with how our findings can be used in practice.
PMID- 22079192
TI - Intrathecally synthesized IgG in multiple sclerosis cerebrospinal fluid
recognizes identical epitopes over time.
AB - Intrathecal antibody production manifest as oligoclonal bands (OCBs) is a
hallmark of multiple sclerosis (MS). Once present, OCBs can be detected in CSF
throughout the lifetime of MS patients. To determine the specificity of the OCBs,
we applied CSF IgG obtained from 2 consecutive lumbar punctures of 5 MS patients
to screen phage-displayed random peptide libraries, and selected identical and
related peptides that reacted with the paired CSF IgGs from each patient. Highly
sensitive phage-mediated immuno-PCR revealed that the phage peptides bound
specifically to IgG in MS CSF collected over time. IEF immunoblots also showed
that these peptides were recognized by OCBs in MS CSF. We further demonstrated
that the peptides represented linear epitopes, indicating that they represent
natural epitopes of corresponding protein antigens. A database search combined
with alanine scan mutagenesis of peptides that bound to CSF IgG from 3 MS
patients revealed that they are derived from proteins including serine/threonine
protein kinase, protein ZIP2 and MHC class II. Identification of epitopes that
are recognized by IgG in MS CSF over time provides a critical tool to investigate
the specificity of OCBs, which may determine the cause of disease, leading to
strategies for diagnostic and therapeutic intervention.
PMID- 22079193
TI - Human T-cell responses to botulinum neurotoxin. Responses in vitro of lymphocytes
from patients with cervical dystonia and/or other movement disorders treated with
BoNT/A or BoNT/B.
AB - We have previously reported that botulinum neurotoxin type A (BoNT/A)-specific T
cell responses occur in a majority of patients treated with botulinum neurotoxins
(BoNT). In this study, we first determined if T-cell responses against BoNT/A and
tetanus toxin (TeNT) differ between cervical dystonia (CD) patients and other
movement disorder cases. Secondly, we have examined in CD cases the treatment
parameters that may have an effect on the T-cell responses against BoNT/A. We
found that T-cell responses to BoNT/A were significantly higher in patients with
CD than in those with other movement disorders. An increase in TeNT T-cell
response in CD was observed when compared to un-treated controls. CD patients who
were injected with BoNT/B mounted higher responses to BoNT/A than patients
treated with BoNT/A only. Frequent injections (more than 2.1/year) were
associated with a significantly higher T-cell response to BoNT/A in CD. T cell
responses to BoNT/A did not differ between CD patients who had clinically
responsive and non-responsive status at the time of enrollment.
PMID- 22079195
TI - Social interaction with a rhythmic rat enhances the circadian pattern of the
motor activity and temperature of LL-induced arrhythmic rats.
AB - Although light is the main factor that influences circadian rhythms, social
interaction may also have a role on their regulation. Here, the influence of
social interaction on rat circadian behavior was investigated, addressing the
question of whether cohabitation would induce the appearance of a circadian
rhythm in arrhythmic rats due to constant light. To this end, circadian rhythms
of motor activity and body temperature of male and female LL-induced arrhythmic
rats were studied before, during and after a 20-day period in which rats stayed
in the same cage with a rat of the same sex but with stronger rhythm. Results
showed that the manifestation of the circadian motor activity rhythm of LL
induced arrhythmic rats increased after cohabitation. In the case of the
expression of the body temperature rhythm, there was a progressive daily increase
in the power content of a daily 24 hour pattern throughout the cohabitation days,
which remained when animals were again isolated. Thus, the presence of a rhythmic
rat increases the strength of the circadian behavior of rats showing a weak
circadian rhythm.
PMID- 22079194
TI - 'q-Titration' of long-chain and short-chain lipids differentiates between
structured and mobile residues of membrane proteins studied in bicelles by
solution NMR spectroscopy.
AB - 'q-Titration' refers to the systematic comparison of signal intensities in
solution NMR spectra of uniformly (15)N labeled membrane proteins solubilized in
micelles and isotropic bicelles as a function of the molar ratios (q) of the long
chain lipids (typically DMPC) to short-chain lipids (typically DHPC). In general,
as q increases, the protein resonances broaden and correspondingly have reduced
intensities due to the overall slowing of protein reorientation. Since the
protein backbone signals do not broaden uniformly, the differences in line widths
(and intensities) enable the narrower (more intense) signals associated with
mobile residues to be differentiated from the broader (less intense) signals
associated with "structured" residues. For membrane proteins with between one and
seven trans-membrane helices in isotropic bicelles, we have been able to find a
value of q between 0.1 and 1.0 where only signals from mobile residues are
observed in the spectra. The signals from the structured residues are broadened
so much that they cannot be observed under standard solution NMR conditions. This
q value corresponds to the ratio of DMPC:DHPC where the signals from the
structured residues are "titrated out" of the spectrum. This q value is unique
for each protein. In magnetically aligned bilayers (q>2.5) no signals are
observed in solution NMR spectra of membrane proteins because the polypeptides
are "immobilized" by their interactions with the phospholipid bilayers on the
relevant NMR timescale (~10(5)Hz). No signals are observed from proteins in
liposomes (only long-chain lipids) either. We show that it is feasible to obtain
complementary solution NMR and solid-state NMR spectra of the same membrane
protein, where signals from the mobile residues are present in the solution NMR
spectra, and signals from the structured residues are present in the solid-state
NMR spectra. With assigned backbone amide resonances, these data are sufficient
to describe major features of the secondary structure and basic topology of the
protein. Even in the absence of assignments, this information can be used to help
establish optimal experimental conditions.
PMID- 22079196
TI - Foxp3 is critical for human natural CD4+CD25+ regulatory T cells to suppress
alloimmune response.
AB - Naturally occurring CD4+CD25+ regulatory T cells (nTregs) that express high level
of Foxp3 actively suppress pathological and physiological immune responses,
contributing to the maintenance of immunological self-tolerance and immune
homeostasis. Although Foxp3 is required for nTreg development and appears to be
necessary for mature murine Treg function, the precise role of Foxp3 in
regulating natural human Treg function in alloimmune response is unclear. In this
study, we used siRNA-mediated gene silencing to knockdown Foxp3 expression in
natural human Tregs and investigated the importance of Foxp3 in maintaining human
nTreg suppressive function. We showed that Foxp3 knockdown resulted in impaired
phenotype and nonresponsiveness, downregulated expression of function molecules,
and reduced production of suppressive cytokines in nTregs. These changes
correlated with diminished nTreg activity in suppressing proliferation of
effector CD4+CD25- T cells, their cytotoxicity against allogeneic target cells
and production of effector cytokines in response to allogeneic stimulation. Thus,
this study shows that ongoing Foxp3 expression is required for natural human
Tregs to maintain their phenotype and suppressive function in the alloimmune
response.
PMID- 22079197
TI - [Doctor-patient communication: one of the basic competencies, but different].
AB - Effective communication skills form part of being a good doctor. Today there is
solid evidence to support the teaching of effective communication skills in all
medical schools. This article describes how communication is different from the
other skills that medical students and residents need to learn, how this affects
teaching and learning, and the application of these ideas in a Chilean medical
school. We describe the premises that need to be taken into consideration when
planning teaching communication in medicine and illustrate how these affected the
development of our teaching of communication in our undergraduate curriculum. All
medical education programmes should include formal teaching on the doctor-patient
relationship, but must take into consideration the aspects of communication
teaching that make it different from teaching other aspects of medicine.
PMID- 22079198
TI - Validation of the Chinese version of the Pelvic Organ Prolapse/Urinary
Incontinence Sexual Questionnaire short form (PISQ-12).
AB - OBJECTIVE: To validate the Pelvic Organ Prolapse/Urinary Incontinence Sexual
Questionnaire short form (PISQ-12) in a Chinese population. METHODS: The PISQ-12
was translated into Chinese; 106 women with pelvic floor disorders then completed
the questionnaire and the 12-item short-form health survey (SF-12). The
reliability and validity of the PISQ-12 were analyzed. RESULTS: The PISQ-12 had
an adequate and high internal consistency (Cronbach alpha=0.725) and a high test
retest reliability (intraclass correlation coefficient coefficient=0.745;
P<0.01). Scoring of the PISQ-12 was positively correlated with that of the SF-12
(P<0.01). Factor analysis showed strong construct validity. CONCLUSION: The
Chinese version of the PISQ-12 has a high reliability and validity in the Chinese
population and, therefore, is highly recommended for clinical treatment and
research.
PMID- 22079200
TI - Active site intermediates in the reduction of O(2) by cytochrome oxidase, and
their derivatives.
AB - The mechanism of dioxygen activation and reduction in cell respiration, as
catalysed by cytochrome c oxidase, has a long history. The work by Otto Warburg,
David Keilin and Britton Chance defined the dioxygen-binding heme iron centre,
viz. das Atmungsferment, or cytochrome a(3). Chance brought the field further in
the mid-1970's by ingenious low-temperature studies that for the first time
identified the primary enzyme-substrate (ES) Michaelis complex of cell
respiration, the dioxygen adduct of heme a(3), which he termed Compound A.
Further work using optical, resonance Raman, EPR, and other sophisticated
spectroscopic techniques, some of which with microsecond time resolution, has
brought us to the situation today, where major principles of how O(2) reduction
occurs in respiration are well understood. Nonetheless, some questions have
remained open, for example concerning the precise structures, catalytic roles,
and spectroscopic properties of the breakdown products of Compound A that have
been called P, F (for peroxy and ferryl), and O (oxidised). This nomenclature has
been known to be inadequate for some time already, and an alternative will be
suggested here. In addition, the multiple forms of P, F and O states have been
confusing, a situation that we endeavour to help clarifying. The P and F states
formed artificially by reacting cytochrome oxidase with hydrogen peroxide are
especially scrutinised, and some novel interpretations will be given that may
account for previously unexplained observations.
PMID- 22079201
TI - Comparison of the alpha and beta isomeric forms of the detergent n-dodecyl-D
maltoside for solubilizing photosynthetic complexes from pea thylakoid membranes.
AB - Mild non-ionic detergents are indispensable in the isolation of intact integral
membrane proteins and protein-complexes from biological membranes.
Dodecylmaltoside (DM) belongs to this class of detergents being a glucoside-based
surfactant with a bulky hydrophilic head group composed of two sugar rings and a
non-charged alkyl glycoside chain. Two isomers of this molecule exist, differing
only in the configuration of the alkyl chain around the anomeric center of the
carbohydrate head group, axial in alpha-DM and equatorial in beta-DM. In this
paper, we have investigated the solubilizing properties of alpha-DM and beta-DM
on the isolation of photosynthetic complexes from pea thylakoids membranes
maintaining their native architecture of stacked grana and stroma lamellae.
Exposure of these stacked thylakoids to a single step treatment with increasing
concentrations (5-100mM) of alpha-DM or beta-DM resulted in a quick partial or
complete solubilization of the membranes. Regardless of the isomeric form used:
1) at the lowest DM concentrations only a partial solubilization of thylakoids
was achieved, giving rise to the release of mainly small protein complexes mixed
with membrane fragments enriched in PSI from stroma lamellae; 2) at
concentrations above 30mM a complete solubilization occurred with the further
release of high molecular weight protein complexes identified as dimeric PSII,
PSI-LHCI and PSII-LHCII supercomplexes. However, at concentrations of detergent
which fully solubilized the thylakoids, the alpha and beta isomeric forms of DM
exerted a somewhat different solubilizing effect on the membranes: higher
abundance of larger sized PSII-LHCII supercomplexes retaining a higher proportion
of LHCII and lower amounts of PSI-LHCI intermediates were observed in alpha-DM
treated membranes, reflecting the mildness of alpha-DM compared with its isomer.
This article is part of a Special Issue entitled: Photosynthesis Research for
Sustainability: from Natural to Artificial.
PMID- 22079199
TI - Biogenesis of cbb(3)-type cytochrome c oxidase in Rhodobacter capsulatus.
AB - The cbb(3)-type cytochrome c oxidases (cbb(3)-Cox) constitute the second most
abundant cytochrome c oxidase (Cox) group after the mitochondrial-like aa(3)-type
Cox. They are present in bacteria only, and are considered to represent a
primordial innovation in the domain of Eubacteria due to their phylogenetic
distribution and their similarity to nitric oxide (NO) reductases. They are
crucial for the onset of many anaerobic biological processes, such as anoxygenic
photosynthesis or nitrogen fixation. In addition, they are prevalent in many
pathogenic bacteria, and important for colonizing low oxygen tissues. Studies
related to cbb(3)-Cox provide a fascinating paradigm for the biogenesis of
sophisticated oligomeric membrane proteins. Complex subunit maturation and
assembly machineries, producing the c-type cytochromes and the binuclear heme
b(3)-Cu(B) center, have to be coordinated precisely both temporally and spatially
to yield a functional cbb(3)-Cox enzyme. In this review we summarize our current
knowledge on the structure, regulation and assembly of cbb(3)-Cox, and provide a
highly tentative model for cbb(3)-Cox assembly and formation of its heme b(3)
Cu(B) binuclear center. This article is part of a Special Issue entitled:
Biogenesis/Assembly of Respiratory Enzyme Complexes.
PMID- 22079203
TI - The cytoskeletal proteins in the contractile tissues of the testis and its
excurrent ducts of the passerine bird, Masked Weaver (Ploceus velatus).
AB - The cellular composition of the testicular capsule, seminiferous peritubular
tissue, the epithelia as well as periductal muscle cell layers of the excurrent
ducts was studied, in sexually mature and active Masked Weaver (Ploceus velatus)
birds of the passerine family, Ploceidae. Ultrastructure of the contractile cells
in the testicular capsule, peritubular and periductal tissues showed that these
cells were smooth muscles of typical morphological characteristics. Variability
in the immunohistochemical co-expression of microfilaments and intermediate
filaments in the different tissues was evident. Actin and desmin proteins were co
expressed immunohistochemically in the testicular capsule and seminiferous
peritubular smooth muscle layer. Actin was singly and very weakly expressed in
the rete testis epithelium while cytokeratins and desmin were co-expressed in the
epithelium of the excurrent ducts. The periductal muscle layer of all ducts of
the epididymis, the ductus deferens as well as the seminal glomus, strongly co
expressed actin and desmin. Vimentin was absent in all cells and tissue types
studied. There is clear evidence that the tissues of the male gonad and its
excurrent ducts in the Masked Weaver, as has been reported for members of the
Galloanserae and Ratitae, contain well-formed contractile tissues whose function
would include the transportation of luminal through-flow from the testis into,
and through, its excurrent ducts. The microtubule helix in the head and of the
mid-piece, of elongating spermatids, as well as of the mature spermatozoa in the
various excurrent ducts, including some spermatozoa in the seminal glomus, also
co-expressed these three proteins.
PMID- 22079202
TI - LHON/MELAS overlap mutation in ND1 subunit of mitochondrial complex I affects
ubiquinone binding as revealed by modeling in Escherichia coli NDH-1.
AB - Defects in complex I due to mutations in mitochondrial DNA are associated with
clinical features ranging from single organ manifestation like Leber hereditary
optic neuropathy (LHON) to multiorgan disorders like mitochondrial myopathy,
encephalopathy, lactic acidosis and stroke-like episodes (MELAS) syndrome.
Specific mutations cause overlap syndromes combining several phenotypes, but the
mechanisms of their biochemical effects are largely unknown. The m.3376G>A
transition leading to p.E24K substitution in ND1 with LHON/MELAS phenotype was
modeled here in a homologous position (NuoH-E36K) in the Escherichia coli enzyme
and it almost totally abolished complex I activity. The more conservative
mutation NuoH-E36Q resulted in higher apparent K(m) for ubiquinone and diminished
inhibitor sensitivity. A NuoH homolog of the m.3865A>G transition, which has been
found concomitantly in the overlap syndrome patient with the m.3376G>A, had only
a minor effect. Consequences of a primary LHON-mutation m.3460G>A affecting the
same extramembrane loop as the m.3376G>A substitution were also studied in the E.
coli model and were found to be mild. The results indicate that the overlap
syndrome-associated m.3376G>A transition in MTND1 is the pathogenic mutation and
m.3865A>G transition has minor, if any, effect on presentation of the disease.
The kinetic effects of the NuoH-E36Q mutation suggest its proximity to the
putative ubiquinone binding domain in 49kD/PSST subunits. In all, m.3376G>A
perturbs ubiquinone binding, a phenomenon found in LHON, and decreases the
activity of fully assembled complex I as in MELAS.
PMID- 22079204
TI - Language in calculation: a core mechanism?
AB - Although there is evidence that exact calculation recruits left hemisphere
perisylvian language systems, recent work has shown that exact calculation can be
retained despite severe damage to these networks. In this study, we sought to
identify a "core" network for calculation and hence to determine the extent to
which left hemisphere language areas are part of this network. We examined
performance on addition and subtraction problems in two modalities: one using
conventional two-digit problems that can be easily encoded into language; the
other using novel shape representations. With regard to numerical problems, our
results revealed increased left fronto-temporal activity in addition, and
increased parietal activity in subtraction, potentially reflecting retrieval of
linguistically encoded information during addition. The shape problems elicited
activations of occipital, parietal and dorsal temporal regions, reflecting visual
reasoning processes. A core activation common to both calculation types involved
the superior parietal lobule bilaterally, right temporal sub-gyral area, and left
lateralized activations in inferior parietal (BA 40), frontal (BA 6/8/32) and
occipital (BA 18) regions. The large bilateral parietal activation could be
attributed to visuo-spatial processing in calculation. The inferior parietal
region, and particularly the left angular gyrus, was part of the core calculation
network. However, given its activation in both shape and number tasks, its role
is unlikely to reflect linguistic processing per se. A possibility is that it
serves to integrate right hemisphere visuo-spatial and left hemisphere linguistic
and executive processing in calculation.
PMID- 22079205
TI - Puerarin prevents isoprenaline-induced myocardial fibrosis in mice by reduction
of myocardial TGF-beta1 expression.
AB - It has been reported that soy isoflavones could significantly increase peroxisome
proliferator-activated receptor alpha/gamma gene expressions, while the
activation of peroxisome proliferator-activated receptor alpha/gamma may
attenuate myocardial fibrosis. Puerarin is the main isoflavone isolated from the
root of the wild leguminous creeper Pueraria lobata (Willd) Ohwi, so we thought
that puerarin could inhibit myocardial fibrotic formation. A mouse myocardial
fibrotic model was induced by hypodermic injection of isoprenaline when these
mice were simultaneously treated with puerarin 600 and 1200 mg/kg by gavage for
40 days, respectively. The results showed that puerarin could significantly
improve myocardial fibrosis and decrease the collagen accumulation, collagen
volume fraction, hydroxyproline content in myocardial tissue and cardiac weight
index. The results from reverse transcription polymerase chain reaction indicated
that the messenger RNA (mRNA) expression of transforming growth factor-beta1 in
myocardial tissue was decreased, while the mRNA expressions of peroxisome
proliferator-activated receptor alpha/gamma were increased, in the puerarin
groups as compared with the model group. Importantly, puerarin could
significantly decrease the protein expressions of transforming growth factor
beta1 and nuclear factor-kappaB in myocardial tissue. These results suggested
that puerarin could prevent isoprenaline-induced myocardial fibrosis in mice, and
its mechanisms might be related to reduction of transforming growth factor-beta1
expression via activation of peroxisome proliferator-activated receptor
alpha/gamma and subsequent inhibition of nuclear factor-kappaB in myocardial
tissue.
PMID- 22079206
TI - Molecular signature of kappa-carrageenan mimics chondroitin-4-sulfate and
dermatan sulfate and enables interaction with arylsulfatase B.
AB - The common food additive kappa-carrageenan (kappa-CGN) is a sulfated
polysaccharide that resembles chondroitin-4-sulfate (C4S) and dermatan sulfate
(DS). All have a sulfate group on C4 of a glycoside (galactose for CGN and N
acetylgalactosamine for C4S), and the sulfate-bearing glycoside is linked in a
beta-1,4-configuration to an unsulfated, six-carbon sugar (galactose for CGN,
glucuronate for C4S and iduronate for DS). The enzyme arylsulfatase B (ARSB; N
acetylgalactosamine-4-sulfate) is the highly selective enzyme that removes the
four-sulfate group from the nonreducing terminus of C4S and DS, thereby
regulating subsequent degradation. In this report, kappa-CGN is shown to be a
substrate for recombinant human ARSB (rhARSB). Sulfate was generated from both
C4S and kappa-CGN following incubation with rhARSB. Exposure of human colonic
epithelial cells to kappa-CGN, but not to C4S, produced reactive oxygen species
(ROS) and increased interleukin (IL)-8 secretion. The ROS production from kappa
CGN was reduced by exposure to rhARSB, but increased by competition from C4S or
DS, but not from chondroitin-6-sulfate. Prior treatment of either lambda- or iota
CGN with rhARSB had no impact on ROS, IL-8 or inorganic sulfate production,
demonstrating a specific effect of the molecular configuration of kappa-CGN. By
mimicry of C4S and DS and by interaction with ARSB, kappa-CGN can directly
interfere with the normal cellular functions of C4S, DS and ARSB. Since C4S and
DS are present in high concentration in tissues, the impact of kappa-CGN exposure
may be due to some extent to interference with the normal biological functions of
ARSB, C4S and DS.
PMID- 22079207
TI - Protein restriction during gestation alters histone modifications at the glucose
transporter 4 (GLUT4) promoter region and induces GLUT4 expression in skeletal
muscle of female rat offspring.
AB - Maternal nutrition during pregnancy is an intrauterine factor that results in
alteration of the offspring genome and associates with disease risk in the
offspring. We investigated the impact of a maternal low-protein (LP) diet on the
expression of glucose transporter 4 (GLUT4) in offspring skeletal muscle. GLUT4
is an insulin-regulated glucose transporter involved in insulin sensitivity and
carbohydrate metabolism in muscle cells. We observed sex-dependent GLUT4 mRNA
expression and increased GLUT4 protein content in female pup skeletal muscle with
maternal LP. Analysis of transcriptional and epigenetic regulation of increased
skeletal muscle GLUT4 expression in offspring rats revealed the regulatory
mechanisms involved. The protein level of myocyte enhancer factor 2A (MEF2A),
which has been known as an activator of GLUT4 transcription via the ability to
carry out specific binding to the GLUT4 MEF2 binding sequence, increased in
female pups whose mothers were fed a LP diet. Modifications of chromatin
structure, including acetylated histone H3, acetylated histone H4 and di
methylated histone H3 at lysine 4, were detected at a significantly increased
level at the GLUT4 promoter region in female pup muscle following a maternal LP
diet. Glycogen content was also detected as up-regulated, accompanied by
increased glycogen synthase in LP female offspring muscle. These results document
that maternal protein restriction during pregnancy induces GLUT4 expression in
female offspring skeletal muscle but not in males, which may indicate sex
dependent adaptation of glucose metabolism to a maternal LP diet.
PMID- 22079209
TI - Transferable cell-secreted extracellular matrices enhance osteogenic
differentiation.
AB - The coating of synthetic biomaterials with cell-derived decellularized
extracellular matrices (DMs) represents a promising approach to confer
bioactivity to otherwise inert materials and direct cell fate of host or
transplanted cells. These coatings are typically deposited on biomaterials by
culturing matrix-depositing cells for a sufficient duration on the target,
followed by decellularization of the substrate. We hypothesized that DMs created
in monolayer culture could be collected and then transferred to a secondary
substrate while retaining their instructive potential. Transferred decellularized
matrices (tDMs) were created by culturing human mesenchymal stem cells (hMSCs) on
tissue culture plastic (TCP) under a controlled microenvironment to deposit a
highly osteogenic DM, followed by collection, mechanical homogenization and
transfer to a secondary culture surface. We then investigated its capacity to
accelerate naive hMSC osteogenic differentiation by quantifying gene expression,
intracellular alkaline phosphatase production, and calcium deposition when
cultured on DMs or tDMs. All markers were significantly higher in hMSCs seeded on
DMs or tDMs compared to cells on TCP. The osteogenic response of naive hMSCs to
tDMs was dose dependent. We observed a reduction in ERK phosphorylation in hMSCs,
as well as a possible role of the cell surface integrin alpha2beta1, when probing
the mode of efficacy for tDMs. This study represents a proof-of-principle that
cell-derived matrix coatings can be deposited and effectively transferred while
retaining the ability to instruct cell phenotype, thus offering a novel approach
toward the development of hybrid biomaterials that mimic the complex interactions
between cells and the extracellular matrix.
PMID- 22079208
TI - Accelerated skeletal muscle recovery after in vivo polyphenol administration.
AB - Acute skeletal muscle damage results in fiber disruption, oxidative stress and
inflammation. We investigated cell-specific contributions to the regeneration
process after contusion-induced damage (rat gastrocnemius muscle) with or without
chronic grape seed-derived proanthocyanidolic oligomer (PCO) administration. In
this placebo-controlled study, male Wistar rats were subjected to PCO
administration for 2 weeks, after which they were subjected to a standardised
contusion injury. Supplementation was continued after injury. Immune and
satellite cell responses were assessed, as well as oxygen radical absorption
capacity and muscle regeneration. PCO administration resulted in a rapid
satellite cell response with an earlier peak in activation (Pax7+, CD56+, at 4 h
post-contusion) vs. placebo groups (PLA) (P<.001: CD56+ on Day 5 and Pax7+ on Day
7). Specific immune-cell responses in PLA followed expected time courses
(neutrophil elevation on Day 1; sustained macrophage elevation from Days 3 to 5).
PCO dramatically decreased neutrophil elevation to nonsignificant, while
macrophage responses were normal in extent, but significantly earlier (peak
between Days 1 and 3) and completely resolved by Day 5. Anti-inflammatory
cytokine, IL-10, increased significantly only in PCO (Day 3). Muscle fiber
regeneration (MHC(f) content and central nuclei) started earlier and was complete
by Day 14 in PCO, but not in PLA. Thus, responses by three crucial cell types
involved in muscle recovery were affected by in vivo administration of a specific
purified polyphenol in magnitude (neutrophil), time course (macrophages), or time
course and activation state (satellite cell), explaining faster effective
regeneration in the presence of proanthocyanidolic oligomers.
PMID- 22079210
TI - Ensemble fits of restrained peptides' conformational equilibria to NMR data.
Dependence on force fields: AMBER/8 ff03 versus ECEPP/3.
AB - Two variants of NMR-based conformational analyses of flexible peptides are
compared using two examples meeting the formula Tyr-D-Daa-Phe-Daa-NH2
(Daa=diamino acid): 1 combining D-Dab2 (alpha,gamma-diaminobutyryl) with Lys4,
and 2 -D-Dap2 (alpha,beta-diaminopropionyl) with Orn4. The omega-amino groups of
D-Daa2 and Daa4 are coupled with C=O into the urea, restraining 1 and 2 with 16-
and 14-membered rings and leading to potent and impotent MU/delta opioid
peptides, respectively. To the current task, we took from an earlier work (Filip
et al, J. Pept. Sci. 11 (2005) 347-352) the NMR NOE- and J-data in H2O/D2O; and
the selection of the ensembles of 1 and 2, 822 and 788 conformational families,
respectively, obtained by using the EDMC/ECEPP3 method. Here, we generated
ensembles of 1 and 2 using AMBER molecular dynamics in explicit water to
eventually selected 686 and 761 conformers for 1 and 2, respectively. We did
numbers of fits for both types of the conformational ensembles of 1 and 2 to
their NOE- and J-data using a common method i.e. maximum entropy approach (Groth
et al, J. Biomol. NMR 15 (1999) 315-330). Both types of the well structurally
diversified ensembles fit to quite different equilibria in regressions to common
experimental NOE- and J-restraints using maximum entropy principle, which is a
disappointing message. Intriguing is startlingly small standard deviation in J
couplings: sigma(JNHalphaH) ~ 0.01 Hz for LES-MD/AMBER ensemble, contrary to
sigma(JNHalphaH) = 0.8 - 1.1 Hz for the EDMC/ECEPP ensemble, over the wide range
of entropy, i.e. relatively insensitive to it. A similar feature is not the case
when comparing sigma(NOE) in both methods. Hence, at minute entropy
contributions, it follows that J does or does not transpose "overfitted" into the
final sigma(J) in the AMBER or ECEPP ensemble, respectively. Could this be an
effect of softness of the AMBER flexible-valence force field compared to ECEPP
rigid-geometry, and its effect on ensemble sampling? We do not know an answer.
PMID- 22079211
TI - The importance of patient-centered care for various patient groups.
AB - OBJECTIVES: To assess differences in the importance ascribed to patient-centered
care between various patient groups and demographic groups. METHODS: Survey data
collected using questionnaires were analyzed for patients that underwent hip or
knee surgery (n=214), patients suffering from rheumatoid arthritis (n=343),
spinal disk herniation (n=145), breast abnormalities (n=596) or congestive heart
failure (n=118). A composite for patient-centered care priorities was constructed
(alpha=0.82) and compared to the average importance over all healthcare aspects
in the surveys. RESULTS: All patient groups considered patient-centered care to
be of above-average importance (p's<0.001). Small but significant differences
were observed: patient-centered care was more important for patients suffering
from congestive heart failure (p<0.001) and patients who were younger, female,
well-educated and healthier (p's<0.05). Patients who had undergone hip or knee
surgery considered patient-centered care more important than patients with spinal
disk herniation did (p<0.05). CONCLUSION: Patient-centered care is important to
all patient groups. Differential policies regarding patient-centered care for
patient subgroups do not seem required. PRACTICAL IMPLICATIONS: Given the
importance attributed to patient-centered care, it is essential that elements of
patient-centered care are included in surveys, indicators of quality of care, and
the training of doctors and nurses.
PMID- 22079212
TI - Random inducible controlled expression (RICE) for identification of mycobacterial
virulence genes.
AB - We have developed Random Inducible Controlled Expression (RICE), a high
throughput genetic approach to identify regulated virulence pathways in
pathogenic mycobacteria. RICE allows expression of bacterial genes under
conditions where they are normally off, e.g. under laboratory growth conditions,
via the use of an inducible or constitutive promoter as well as gene dosage
effects due to the presence of the gene on a plasmid. Mycobacterial genomic DNA
can be digested to yield random fragments for cloning into a suicide expression
vector downstream of a mycobacterial promoter or with their own promoter on a
replicating plasmid increasing expression by gene dosage effects. The plasmid DNA
is normally amplified in Escherichia coli and delivered into mycobacteria to
select for recombinants or plasmid transformants. The resulting library is then
directly screened for enhanced host cell interactions in functional assays that
evaluate the efficiency of adherence, entry and replication inside host cells.
This approach has resulted in identification of several virulence factors from
pathogenic mycobacteria. Our analysis of one such locus identified by RICE, the
mycobacterial enhanced entry locus (mel2), found that the genes present
facilitate bacterial persistence inside the host by protecting the pathogen
against oxidative damage. Thus, we have developed a genetic strategy that offers
several advantages: (i) it allows identification of bacterial genetic elements
that have a direct role during host-pathogen interactions (ii) it can be used to
identify virulence factors in a broad range of pathogens and (iii) it can reveal
genes that are only induced at specific stages of infection.
PMID- 22079213
TI - Pleiotropic cellular, hemostatic, and biological actions of Ankaferd hemostat.
AB - Sustaining hemostasis in clinical hemorrhages is a challenging task and requires
extensive effort to stabilize medically hard-to-treat traumatic injuries. Several
hemostatic agents are preferred to control external and internal bleedings, yet
commercially available products are not sufficiently effective or fast-acting to
achieve hemostasis in extreme cases. Ankaferd Blood Stopper (ABS) is a herbal
extract traditionally used as a hemostatic agent. Recent studies have shown that
ABS could be utilized successfully as a hemostatic agent for the management of
clinical hemorrhages when conventional methods were ineffective. This review
serves as a basis to provide recent findings on several applications of ABS,
specifically preclinical, biological, and clinical studies both in vitro and in
vivo. Another section focuses on the ultrastructural morphology and protein
network formation of ABS in an effort to understand the hemostatic mechanisms of
this unique agent at tissue level.
PMID- 22079214
TI - Assessing the latent structure of the intolerance of uncertainty construct: an
initial taxometric analysis.
AB - Researchers have increasingly suggested that people with anxiety disorders share
a common fear that the uncertain future will be catastrophic. Intolerance of
uncertainty (IU) - the tendency to consider possible negative events as
unacceptable and threatening, irrespective of probabilities - is representative
of such fears. A key role has been indicated for IU in several anxiety and mood
disorders; however, the present study appears to be the first latent structure
examination of IU. Responses were obtained from a large sample (n=977; 65% women)
unselected with regard to IU level, comprising anxiety disorder outpatients
(i.e., putative taxon members), and community residents (i.e., putative
complement class members). MAXEIG, MAMBAC, and L-Mode were performed with
indicator sets drawn from the Intolerance of Uncertainty Scale-12. Assessments
also included objective Comparison Curve Fit Indices. Results yielded converging
evidence that IU symptoms have a dimensional latent structure. Comprehensive
findings, implications, and future research directions are discussed.
PMID- 22079215
TI - Changes in risk-taking over the course of an internet-delivered cognitive
behavioral therapy treatment for generalized anxiety disorder.
AB - It has been proposed that a persistent and pervasive tendency to avoid risks is
involved in the development and maintenance of clinically significant anxiety.
Few studies, however, have examined the clinical implications of risk-aversion,
and particularly the association between risk-aversion and treatment outcome. The
current study investigated how risk-aversion in specific domains (Social and
Recreational) related to treatment outcome in a clinical sample of patients with
generalized anxiety disorder (GAD) undergoing internet-delivered cognitive
behavioral therapy (CBT). We hypothesized that: (i) risk-taking would increase as
a result of treatment and (ii) risk-taking would mediate changes in symptom
severity and impairment as a result of treatment. Individuals recruited online
(N=44) meeting diagnostic criteria for GAD were randomized to the treatment
(n=24) or control group (n=20). Participants completed measures of symptom
severity, impairment and risk-taking before and after treatment. Results
partially confirmed our hypotheses, demonstrating that participants in the
treatment group significantly increased social and recreational risk-taking
scores relative to the control group and risk-taking mediated treatment outcome
for depression, but not for anxiety symptoms. The results of this study suggest
that social and recreational risk-avoidance decreases following CBT treatment,
and this change may mediate treatment outcome for depression. Clinical
implications of these findings are discussed.
PMID- 22079217
TI - The germfree murine animal: an important animal model for research on the
relationship between gut microbiota and the host.
AB - Scientific findings in recent decades have demonstrated that the commensal
intestinal microbiota has profound effects on the physiology and diseases of the
host. It is estimated that the human microbiota is composed of 10(14) bacterial
cells, a number 10 times greater than the total number of human cells. The
variety and the complex interactions of the intestinal microbiota are associated
with physiological details that remain largely unknown. Germfree hosts,
especially murine (rat or mouse) animals that have been maintained free from
demonstrable microbial associates such as bacteria, viruses, fungi, and parasites
throughout life, have become a powerful tool for exploring the interplay between
the host and microorganisms inhabiting the human intestine. This review and
survey of recent findings will argue that the germfree mouse model can produce
its greatest potential benefits in the study of the metabolism and immunity of
the host.
PMID- 22079216
TI - Diversity and zoonotic potential of rotaviruses in swine and cattle across
Europe.
AB - Group A rotaviruses can infect both humans and animals. Individual rotavirus
strains can occasionally cross species barriers and might hereby contribute to
the emergence of new genotypes in heterologous hosts. The incidence and impact of
zoonotic rotavirus are not well defined, and one reason for this is a lack of
data about strains circulating in suspected reservoir animal hosts. In this study
we report the incidence, genetic diversity, and molecular epidemiology of
rotaviruses detected in domestic cattle and swine in 6 European countries. From
2003 to 2007, 1101 and more than 2000 faecal specimens were collected from swine
and cattle, both healthy and diarrhoeic, and tested for rotaviruses. Viruses from
positive stools were genotyped and a subset of strains was characterized by
nucleotide sequencing and phylogenetic analysis of the VP7 (G) and VP4 (P) genes.
Rotaviruses were detected in 43% of bovine samples and in 14% of porcine samples.
In cattle, 10 different combinations of G and P types were identified and the
most common strains were G6P[11] and G6P[5]. In swine, the number of identified G
P combinations was higher (n=21), however, no single combination was predominant
across Europe. Newly described genotype specificities, P[27] and P[32], were
identified in swine. When compared at the nucleotide sequence level, the
identified porcine rotavirus strains and contemporary human strains grouped
together phylogenetically, whereas bovine rotavirus strains formed separate
clades. These data demonstrate large genetic diversity of porcine and bovine
rotavirus strains across Europe, and suggest that livestock herds may serve as
potential reservoirs for human infections.
PMID- 22079218
TI - Detection of avian rotaviruses of groups A, D, F and G in diseased chickens and
turkeys from Europe and Bangladesh.
AB - Avian rotaviruses (AvRVs) represent a diverse group of intestinal viruses, which
are suspected as the cause of several diseases in poultry with symptoms of
diarrhoea, growth retardation or runting and stunting syndrome (RSS). To assess
the distribution of AvRVs in chickens and turkeys, we have developed specific PCR
protocols. These protocols were applied in two field studies investigating faecal
samples or intestinal contents of diseased birds derived from several European
countries and Bangladesh. In the first study, samples of 166 chickens and 33
turkeys collected between 2005 and 2008 were tested by PAGE and conventional RT
PCR and AvRVs were detected in 46.2%. In detail, 16.1% and 39.2% were positive
for AvRVs of groups A or D, respectively. 11.1% of the samples contained both of
them and only four samples (2.0%) contained rotaviruses showing a PAGE pattern
typical for groups F and G. In the second study, samples from 375 chickens and 18
turkeys collected between 2009 and 2010 were analyzed using a more sensitive
group A-specific and a new group D-specific real-time RT-PCR. In this survey,
85.0% were AvRV-positive, 58.8% for group A AvRVs, 65.9% for group D AvRVs and
38.9% for both of them. Although geographical differences exist, the results
generally indicate a very high prevalence of group A and D rotaviruses in chicken
and turkey flocks with cases of diarrhoea, growth retardation or RSS. The newly
developed diagnostic tools will help to investigate the epidemiology and clinical
significance of AvRV infections in poultry.
PMID- 22079219
TI - Isolated exercise-induced rhabdomyolysis of brachialis and brachioradialis
muscles: an atypical clinical case.
AB - OBJECTIVE AND PATIENT: To report an atypical case of exercise-induced bilateral
brachialis and brachioradialis rhabdomyolysis in a 25-year-old woman. DISCUSSION
AND CONCLUSION: Persistent focal muscle pain, atypical by its duration and
intensity, even after moderate exercise, should prompt the search for
rhabdomyolysis and discuss the possibility of acute compartment syndrome. MRI
images can validate the muscle edema. Progressive and adapted training as well as
respecting individual limits are necessary measures to prevent rhabdomyolysis.
PMID- 22079220
TI - Pc16a, the first characterized peptide from Conus pictus venom, shows a novel
disulfide connectivity.
AB - A novel conotoxin, pc16a, was isolated from the venom of Conus pictus. This is
the first peptide characterized from this South-African cone snail and it has
only 11 amino acid residues, SCSCKRNFLCC*, with the rare cysteine framework XVI
and a monoisotopic mass of 1257.6Da. Two peptides were synthesized with two
possible conformations: globular (pc16a_1) and ribbon (pc16a_2). pc16a_1 co
eluted with the native peptide, which indicates a disulfide connectivity I-III,
II-IV. The structure of pc16a_1 was determined by NMR. Both synthetic peptides
were used to elucidate the biological activity. Bioassays were performed on
crickets, ghost shrimps, larvae of the mealworm beetle and mice, but no effect
was seen. Using two-electrode voltage clamp, a range of voltage-gated ion
channels (Na(v) and K(v)) and nicotinic acetylcholine receptors were screened,
but again no activity was found. Hence, the specific target of pc16a still
remains to be discovered.
PMID- 22079221
TI - The cardioprotective effect of different doses of vasopressin (AVP) against
ischemia-reperfusion injuries in the anesthetized rat heart.
AB - The aim of the present study was to investigate the protective effect of various
doses of exogenous vasopressin (AVP) against ischemia-reperfusion injury in
anesthetized rat heart. Anesthetized rats were randomly divided into seven groups
(n=4-13) and all of them subjected to prolonged 30 min regional ischemia and 120
min reperfusion. Group I served as saline control with ischemia, in treatment
groups II, III, IV and V, respectively different doses of AVP (0.015, 0.03, 0.06
and 1.2 MUg/rat) were infused within 10 min prior to ischemia, in group VI, an
AVP-selective V1 receptor antagonist (SR49059, 1mg/kg, i.v.) was administrated
prior to effective dose of AVP injection and in group VII, SR49059 (1 mg/kg,
i.v.) was only administrated prior to ischemia. Various doses of AVP
significantly prevented the decrease in heart rate (HR) at the end of reperfusion
compared to their baseline and decreased infarct size, biochemical parameters
[LDH (lactate dehydrogenase), CK-MB (creatine kinase-MB) and MDA
(malondialdehyde) plasma levels], severity and incidence of ventricular
arrhythmia, episodes and duration of ventricular tachycardia (VT) as compared to
control group. Blockade of V1 receptors by SR49059 attenuated the
cardioprotective effect of AVP on ventricular arrhythmias and biochemical
parameters, but partially returned infarct size to control. AVP 0.03 MUg/rat was
known as effective dose. Our results showed that AVP owns a cardioprotective
effect probably via V1 receptors on cardiac myocyte against ischemia/reperfusion
injury in rat heart in vivo.
PMID- 22079222
TI - Investigation of the potential involvement of eicosanoid metabolites in anti
diuretic hormone signaling in Rhodnius prolixus.
AB - The use of naturally occurring plant-derived compounds for controlling insect
pests remains an attractive alternative to potentially dangerous synthetic
chemical compounds. One prospective plant-based compound, isoforms of the so
called jack bean urease (JBU) from the jack bean, Canavalia ensiformis, as well a
derived peptide, Jaburetox-2Ec, have insecticidal effects on an array of insect
species. In the Chagas' disease vector, Rhodnius prolixus, some of the
physiological effects attributed to these urease isoforms include inhibition of
serotonin (5-HT)-stimulated fluid secretion by the Malpighian tubules (MTs).
Here, we investigated whether the effects of these exogenous urease isoforms were
targeting the neuroendocrine network involved in the anti-diuretic hormone
(RhoprCAPA-2) signaling cascade. We show that pharmacological agents known to
interfere with eicosanoid metabolite biosynthesis do not affect RhoprCAPA-2
inhibition of 5-HT-stimulated fluid secretion by MTs. In addition, we demonstrate
that RhoprCAPA-2 inhibition of MTs is independent of extracellular or
intracellular calcium. Using a heterologous system for analysis of receptor
activation, we show that neither JBU nor Jaburetox-2Ec are agonists of the anti
diuretic hormone receptor, RhoprCAPAr1. Finally, activation of the receptor using
sub-maximal doses of the natural ligand, RhoprCAPA-2, was not influenced by the
presence of either JBU or Jaburetox-2Ec indicating that the urease isoforms do
not compete with RhoprCAPA-2 for binding and activation of RhoprCAPAr1. Taken
together, these results suggest that at least two distinct mechanisms leading to
inhibition of fluid secretion by MTs exist in R. prolixus and, unlike the urease
related effects, the eicosanoid metabolite pathway is not involved in RhoprCAPA-2
mediated anti-diuresis.
PMID- 22079223
TI - Estradiol-activated estrogen receptor alpha does not regulate mature microRNAs in
T47D breast cancer cells.
AB - Breast cancers are sensitive to hormones such as estrogen, which binds to and
activates estrogen receptors (ER) leading to significant changes in gene
expression. microRNAs (miRNA) have emerged as a major player in gene regulation,
thus identification of miRNAs associated with normal or disrupted estrogen
signaling is critical to enhancing our understanding of the diagnosis and
prognosis of breast cancer. We have previously shown that 17beta-estradiol (E2)
induced activation of ERalpha in T47D cells results in significant changes in the
expression of protein-coding genes involved in cell cycle, proliferation, and
apoptosis. To identify miRNAs regulated by E2-activated ERalpha, we analysed
their expression in T47D cells following E2-activation using both dual-color
microarrays and TaqMan Low Density Arrays, and validations were carried out by
real-time PCR. Although estrogen treatment results in altered expression of up to
900 protein-coding transcripts, no significant changes in mature miRNA expression
levels could be confirmed. Whereas previous studies aiming to elucidate the role
of miRNA in ER-positive breast cancers cell lines have yielded conflicting
results, the work presented here represents a thorough investigation of and
significant step forward in our understanding of ERalpha mediated miRNA
regulation.
PMID- 22079224
TI - [Visceral leishmaniasis in two patients treated with methotrexate for rheumatoid
arthritis].
PMID- 22079225
TI - [Serological profile of immigrant pregnant women against HIV, HBV, HCV, rubella,
Toxoplasma gondii, Treponema pallidum, and Trypanosoma cruzi].
AB - INTRODUCTION: The increase in immigration is changing the prevalence of mother to
child infectious diseases. Our aim is to determine the serological profile of
foreign pregnant women against these infections. METHODS: A retrospective cross
sectional study was performed in a tertiary hospital from Madrid between August
2007 and October 2008. The seroprevalence against HIV, HBV, HCV, rubeola, T.
gondii, T. pallidum and T. cruzi was determined in every pregnant immigrant, as
well as in a representative group of Spanish pregnant women. RESULTS: A total of
2526 immigrant and 157 Spanish pregnant women were studied. None of the Spanish
and 0.5% of the foreigners showed antibodies against HIV; 18.9% of them were Sub
Saharan women. Antigen HBs was detected in 2% of the immigrant women and in 1.1%
of the Spanish women. Asian women had the highest rate of type B Hepatitis
(10.9%). There was 0.9% of type C Hepatitis among the immigrants and 1% among the
Spanish. Within the cases with RPR >= 1/8, 1.6% were immigrants, most of whom
were Latin American. Thirty-one per cent of the immigrants showed antibodies
against T. gondii (37.5% from Central America, 2.5% from the Far East). More than
95% of the Spanish women had antibodies against Rubella, this being lower in the
rest of the areas (75.5% in Sub-Saharan Africa). T. cruzi infection was detected
in 12.1% of the Bolivian women studied. CONCLUSION: The prevalence of mother-to
child transmitted infections depends on the origin of pregnant women. Knowledge
of these differences may lead to improved control these diseases.
PMID- 22079226
TI - [Severe pneumonia in a patient infected with human immunodeficiency virus (HIV)].
PMID- 22079227
TI - [Taxonomy and biology of fungi causing human infection].
AB - The advent of molecular techniques, mainly DNA sequencing, has led to important
changes in the taxonomy of pathogenic fungi and a better understanding of the
phylogenetic relationships among them. The number of fungal species potentially
pathogenic for humans has increased dramatically. Most of them are cryptic
species belonging to complex species that have replaced traditional single
morphospecies. This has occurred in several genera of mucorales and particularly
in different ascomycetous genera, such as Aspergillus, Fusarium, Sporothrix and
Scedosporium, among others. The correct identification of these species is
crucial for a better management of patients, since on many occasions those
species show different virulence and different antifungal responses. This review
summarises some of the most striking recent taxonomic changes produced in
pathogenic fungi.
PMID- 22079228
TI - [Relapses of leishmaniasis in an HIV infected patient: a therapeutic challenge].
PMID- 22079229
TI - [Memories of AIDS: lost opportunities].
PMID- 22079230
TI - [Does the cost of the drugs influence the choice of antiretroviral treatment
regimens?].
PMID- 22079231
TI - [Skin lesions and fever in an VIH-infected patient].
PMID- 22079232
TI - [General epidemiology of invasive fungal disease].
AB - Invasive mycoses associated with high morbidity and mortality rates are
increasing among immunocompromised or severely ill patients. Candida,
Cryptococcus, Pneumocystis and Aspergillus are most prevalent agents with varying
distribution as regards geography, patient condition and hospital units. The
latest multicentre candidaemia survey conducted in Spain, showed C. albicans as
the most frequently isolated species followed by C. parapsilosis, C. glabrata, C.
tropicalis and C. krusei in contrast with other European or American studies
where C. glabrata was second in rank. Aspergillus spp. is the leading agent
causing invasive mycoses among filamentous fungi followed by Fusarium spp.,
Scedosporium spp. and zygomycetes. Aspergillus fumigatus is the most common agent
in invasive aspergillosis (and azole-resistant isolates have been reported) but
in the last few years Aspergillus flavus, Aspergillus nidulans and Aspergillus
terreus have been isolated with increasing frequency variable with geographical
factors, patients' underlying conditions or previous antifungal treatments.
PMID- 22079233
TI - Somatic mtDNA mutations in lung tissues of pesticide-exposed fruit growers.
AB - Some pesticides have been considered potential chemical mutagens and their
widespread use involves the assessment of their potentially hazardous effects.
The mitochondrial genome is especially prone to DNA damage and thus can serve as
a biomarker to monitor the genotoxicity of pesticides to human DNA. We performed
a screening for somatic mutations in lung tissues from pesticide-exposed fruit
growers, by direct comparing the entire mtDNA sequences of the lung tissue and
the matched peripheral blood from the same individual. A phylogenetic approach
and a high standard procedure were utilized to avoid potential errors in data
generation and analysis. We observed a significantly increased frequency of mtDNA
somatic mutations in lung tissues which had been exposed to pesticides multiple
times by inhalation, and the potential biological significance of these mutations
was further discussed. The samples represented in this observational study, which
has multiple exposures to pesticides, experience a significant greater incidence
of mtDNA mutations, suggesting that multiple exposures to pesticides could damage
human mtDNA and cause somatic mutations.
PMID- 22079234
TI - Mechanisms in cancer-chemotherapeutic drugs-induced peripheral neuropathy.
AB - Anti-cancer drugs such as vincristine, paclitaxel, oxaliplatin, cisplatin and
bortezomib are well reported to exert direct and indirect effects on sensory
nerves to alter the amplitude of action potential, conduction velocity and induce
pain. It results in patient suffering and also limits the treatment with
potentially useful anticancer drugs. The different scientists have worked in this
area to explore the mechanisms responsible for its pathogenesis. Anti-cancer
agents activate plasma membrane localized ion channels on dorsal root ganglia and
dorsal horn neurons including sodium, calcium, potassium, glutamate activated
NMDA receptors to alter cytosolic ionic mileu particularly intracellular calcium
that trigger secondary changes to induce neuropathic pain. These may include
opening of mPTP pore on mitochondria to induce intracellular calcium release;
activation of protein kinase C; phosphorylation of TRPV; activation of
calpases/calpains; generation of nitric oxide and free radicals to induce
cytotoxicity to axons and neuronal cell bodies. Furthermore, the inflammatory
process initiated in glial cells and macrophages also trigger changes in the
sensory neurons to alter nociceptive processing. The present review elaborates
the role of all these individual targets in the pathogenesis of anticancer agents
induced neuropathic pain to develop effective therapeutic modalities for pain
management.
PMID- 22079235
TI - Gene expression and epigenetic changes by furan in rat liver.
AB - Furan, a widely used industrial compound, has been found in a number of heated
food items. Furan is carcinogenic to rats and mice, but the mechanism behind its
carcinogenic effect is still not well understood. In this study, we tested the
hypothesis that alteration of gene expression relating to cell cycle, apoptosis,
DNA damage and of epigenetic modifications including miRNA and DNA methylation
may contribute to rodent carcinogenicity of furan. Using quantitative PCR arrays
specific to cell cycle-, apoptosis- and DNA damage-related genes, we found that
three months furan treatment at 30 mg/kg (5 daily doses per week) induced
extensive mRNA expression changes (largely up-regulation) in male Sprague Dawley
rat liver, and the gene expression changes did not fully recover after a one
month withdrawal of furan. We also found 18 miRNAs were up-regulated and 12 were
down-regulated by PCR arrays. Many of these deregulated miRNAs were also found to
have similar changes in furan-induced tumour samples. Both hyper- and hypo
methylation of specific gene promoter regions were identified and validated in
the 3-month samples and tumour samples by microarray and COBRA (combined
bisulfite restriction analysis). No global DNA methylation change was found in
the 3 month treatment groups by LC-MS/MS, while furan-induced tumour samples
showed global hypomethylation compared to non-tumour tissues. In conclusion,
three months furan treatment at a carcinogenic dose resulted in irreversible gene
expression changes, miRNA modulation and DNA methylation alteration in
combination with a DNA-damage response, which suggests that non-genotoxic
mechanisms are important for furan carcinogenicity.
PMID- 22079236
TI - Emerging dysfunctions consequent to combined monoaminergic depletions in
Parkinsonism.
AB - The loss of dopamine (DA) neurons has been the pathophysiological focus of the
devastating conditions of Parkinson's disease, but depletion of DA alone in
animal models has failed to simultaneously elicit both the motor and non-motor
deficits of PD. The present study aimed to investigate, in rats, the respective
role of dopamine (DA), noradrenaline (NA) and serotonin (5-HT) depletions on
motor and non-motor behaviors and on subthalamic (STN) neuronal activity. We show
that NA or DA depletion significantly decreased locomotor activity and enhanced
the proportion of bursty and irregular STN neurons. Anxiety-like states required
DA depletion plus the depletion of 5-HT or NA. Anhedonia and "depressive-like"
behavior emerged only from the combined depletion of all three monoamines, an
effect paralleled by an increase in the firing rate and the proportion of bursty
and irregular STN neurons. Here, we provide evidence for the exacerbation of
behavioral deficits when NA and/or 5-HT depletions are combined with DA
depletion, bringing new insight into the combined roles of the three monoamines
in PD.
PMID- 22079238
TI - Complete mitochondrial genomes of Diplogonoporus balaenopterae and Diplogonoporus
grandis (Cestoda: Diphyllobothriidae) and clarification of their taxonomic
relationships.
AB - Although the diplogonadic human tapeworm, Diplogonoporus grandis, has long been
considered to be a synonym of the whale tapeworm, Diplogonoporus balaenopterae,
the identity of the both species at the complete mitochondrial genomes and
nuclear DNA levels has been not sufficiently undertaken to date. In the present
study, to clarify the taxonomic relationships between D. balaenopterae and D.
grandis at the molecular level, the complete mitochondrial genomes of both
species were sequenced and compared. In addition, the genetic variation in the
mitochondrial cytochrome c oxidase subunit 1 gene (cox1) and the nuclear internal
transcribed spacer-1 (ITS-1) region of the ribosomal RNA gene were examined. The
complete mitochondrial genomes of D. balaenopterae and D. grandis consisted of
13,724 bp and 13,725 bp, respectively. These mitochondrial genomes contained 12
protein-coding, 22 transfer RNA and 2 ribosomal RNA genes and two longer non
coding regions. Except for Hymenolepis diminuta, the genomic organization in both
species was essentially identical to that in other cestode genomes examined to
date. However, differences were observed between Diplogonoporus and
Diphyllobothrium species in abbreviated stop codons, sequences and the number of
repeat units in the 2nd non-coding regions. The genetic differences observed in
the mitochondrial genomes, cox1 and ITS-1 regions of both species were considered
typical of intraspecific variation. In conclusion, D. balaenopterae is a
taxonomically valid species and D. grandis is a junior synonym of D.
balaenopterae based on the zoological nomenclature. Further, molecular
phylogenetic analysis confirmed that D. balaenopterae is more closely related to
Diphyllobothrium stemmacephalum, the type-species of the genus Diphyllobothrium,
and the taxonomical validity of the genera Diplogonoporus and Diphyllobothrium
was also discussed.
PMID- 22079239
TI - Central auditory nervous system dysfunction in infants with non-syndromic cleft
lip and/or palate.
AB - OBJECTIVE: Peripheral hearing loss has been commonly reported in children with
non-syndromic cleft lip and/or palate (NSCLP) but few studies have provided
information about central auditory nervous system (CANS) functioning for this
group. The main objective of this study was to explore CANS functioning in
infants with NSCLP through analysis of auditory evoked potentials (AEPs).
METHODS: AEPs including auditory brainstem response (ABR), middle latency
response (MLR), and mismatch negativity (MMN) recordings were conducted in 34
infants of Chinese ethnicity with NSCLP and an equivalent number of normal
controls. RESULTS: There was no significant difference in ABR (all measurements,
including wave I, III, V latencies, I-V inter-wave latency, and wave V
amplitude), or MLR (recordable components, Na, Pa latencies, and Na-Pa amplitude)
findings between the two groups. However, infants with NSCLP had a significantly
smaller MMN response than their normal controls, using MMN strength as the
measurement. CONCLUSIONS: Significant abnormal auditory evoked potential findings
at the cortical level suggest that infants with NSCLP may be at risk of central
auditory discrimination dysfunction. Further effort is needed to determine
auditory processing abilities in infants with NSCLP.
PMID- 22079237
TI - Microarray analysis of CA1 pyramidal neurons in a mouse model of tauopathy
reveals progressive synaptic dysfunction.
AB - The hTau mouse model of tauopathy was utilized to assess gene expression changes
in vulnerable hippocampal CA1 neurons. CA1 pyramidal neurons were microaspirated
via laser capture microdissection followed by RNA amplification in combination
with custom-designed microarray analysis and qPCR validation in hTau mice and
nontransgenic (ntg) littermates aged 11-14months. Statistical analysis revealed
~8% of all the genes on the array platform were dysregulated, with notable
downregulation of several synaptic-related markers including synaptophysin (Syp),
synaptojanin, and synaptobrevin, among others. Downregulation was also observed
for select glutamate receptors (GluRs), Psd-95, TrkB, and several protein
phosphatase subunits. In contrast, upregulation of tau isoforms and a calpain
subunit were found. Microarray assessment of synaptic-related markers in a
separate cohort of hTau mice at 7-8months of age indicated only a few alterations
compared to the 11-14month cohort, suggesting progressive synaptic dysfunction
occurs as tau accumulates in CA1 pyramidal neurons. An assessment of SYP and PSD
95 expression was performed in the hippocampal CA1 sector of hTau and ntg mice
via confocal laser scanning microscopy along with hippocampal immunoblot analysis
for protein-based validation of selected microarray observations. Results
indicate significant decreases in SYP-immunoreactive and PSD-95-immunoreactive
puncta as well as downregulation of SYP-immunoreactive and PSD-95-immunoreactive
band intensity in hTau mice compared to age-matched ntg littermates. In summary,
the high prevalence of downregulation of synaptic-related genes indicates that
the moderately aged hTau mouse may be a model of tau-induced synaptodegeneration,
and has profound effects on how we perceive progressive tau pathology affecting
synaptic transmission in AD.
PMID- 22079240
TI - Internet skill-related problems in accessing online health information.
AB - PURPOSE: Despite the amount of health information available online, there are
several barriers that limit the Internet from being adopted as a source of health
information. The purpose of this study was to identify individual skill-related
problems that users experience when accessing the Internet for health information
and services. METHODS: Between November 2009 and February 2010, 88 subjects
participated in a performance test in which participants had to complete health
related assignments on the Internet. Subjects were randomly selected from a
telephone book. A selective quota sample was used and was divided over equal
subsamples of gender, age, and education. Each subject was required to complete
nine assignments on the Internet. RESULTS: The general population experiences
many Internet skill-related problems, especially those related to information and
strategic Internet skills. Aging and lower levels of education seemed to
contribute to the amount of operational and formal skill-related problems
experienced. Saving files, bookmarking websites, and using search engines were
troublesome for these groups of people. With respect to information skills, the
higher the level of educational attainment, the less problems the participants
experienced. Although younger subjects experienced far less operational and
formal skill-related problems, it was revealed that older subjects were less
likely to select and use irrelevant search results and unreliable sources.
Concerning the strategic Internet skills it was revealed that older subjects were
less likely to make inappropriate decisions based on information gathered.
CONCLUSIONS: The amount of online health-related information and services is
consistently growing; however, it appears that the general population experiences
many skill-related problems, particularly those related to information and
strategic Internet skills, and they become very important when it comes to
health. These skills are also problematic for younger generations who are often
seen as skilled Internet users. The results of the study call for policies that
account for low levels of Internet skills.
PMID- 22079241
TI - Chronic conditions (such as heart disease and stroke).
PMID- 22079242
TI - Development and evaluation of data entry templates based on the entity-attribute
value model for clinical decision support of pressure ulcer wound management.
AB - PURPOSES: The purpose of this study was to develop and evaluate the functionality
of structured data entry templates using the entity-attribute-value (EAV) model
for clinical decision support of pressure ulcer wound management. METHODS: A data
set for wound assessment of pressure ulcers that has commonly been recommended by
clinical practice guidelines was identified, and then the EAV models on each data
were developed. Structured data entry templates and a database were developed
based on these EAV models. These were integrated with a knowledge engine into the
clinical decision support system (CDSS) to provide patient-specific
recommendations on pressure ulcer wound management. The functionality of the EAV
model and structured data entry templates for the CDSS was evaluated
heuristically by five nurse experts using clinical scenarios. RESULTS: The data
set containing 13 entities was identified and EAV models of these entities were
created. Cardinalities and data types of attributes were defined to represent the
models in more detail. Terms used in the EAV models were mapped to SNOMED CT
concepts. Six data entry templates and the relational database with ten tables
were developed. Five nurses successfully entered all data in the scenarios except
one data element and retrieved expected recommendations successfully from the
clinical decision support system when all data were entered correctly.
CONCLUSIONS: The clinical data models and structured data entry templates
developed in this study were useful in supporting clinical decision making on
pressure ulcer wound management.
PMID- 22079243
TI - Regulation of human CYP11B1 and CYP11B2 promoters by transposable elements and
conserved cis elements.
AB - CYP11B1 and CYP11B2 responsible for the final steps of cortisol and aldosterone
synthesis, respectively, are believed to be duplicate genes with distinctive
promoters. Our sequence analysis uncovers that these two genes share great
homology in the proximal upstream regions, but insertion of Alu and L1 elements
drives promoters divergent. Each CYP11B promoter contains two Alu elements
embedded in a truncated L1 element, breaking L1 into three disconnected
fragments. Alu functions as an enhancer in both genes regardless of orientation
and copy number. Insertion of Alu upstream of a SV40 promoter also elevates
promoter activity. However, the effect of Alu on CYP11B1 is blocked by a second
L1 element (CYP11B1-L1.2) inserted between the first one and the conserved
proximal upstream region. Although CYP11B1-L1.2 is 5'-truncated and lacks a
functional ORF, replacing it with a fluorescent gene demonstrates that the
element can be transcribed from the CYP11B1 core promoter in an opposite
direction and a smaller magnitude compared to CYP11B1. Deletion of CYP11B1-L1.2
greatly increases CYP11B1 promoter activity and restores the enhancing effect of
Alu. The Ad5 and SF-1 binding elements conserved in the proximal core promoter
play a role in basal expression of both genes. Mutation of the Ad5 site reduces
promoter activity to the minimal level. ERRalpha is the transcription factor
interacting with Ad5 during basal expression. The core promoters of both genes
are also conserved in mouse and rat despite the fact that the sites corresponding
to cre, Ad5, and SF-1 in rodent Cyp11b1 promoters deviate from consensus.
PMID- 22079244
TI - Recent and potential developments of biofluid analyses in metabolomics.
AB - Metabolomics, one of the 'omic' sciences in systems biology, is the global
assessment and validation of endogenous small-molecule metabolites within a
biologic system. Analysis of these key metabolites in body fluids has become an
important role to monitor the state of biological organisms and is a widely used
diagnostic tool for disease. A majority of these metabolites are being applied to
metabolic profiling of the biological samples, for example, plasma and whole
blood, serum, urine, saliva, cerebrospinal fluid, synovial fluid, semen, and
tissue homogenates. However, the recognition of the need for a holistic approach
to metabolism led to the application of metabolomics to biological fluids for
disease diagnostics. A recent surge in metabolomic applications which are
probably more accurate than routine clinical practice, dedicated to
characterizing the biological fluids. While developments in the analysis of
biofluid samples encompassing an important impediment, it must be emphasized that
these biofluids are complementary. Metabolomics provides potential advantages
that classical diagnostic approaches do not, based on following discovery of a
suite of clinically relevant biomarkers that are simultaneously affected by the
disease. Emerging as a promising biofocus, metabolomics will drive biofluid
analyses and offer great benefits for public health in the long-term.
PMID- 22079245
TI - Proteomic analysis of zebrafish (Danio rerio) embryos exposed to cyclosporine A.
AB - Cyclosporine A, a potent immunosuppressive agent extensively used to prevent
allograft rejections, is under scrutiny due to severe toxic effects. CsA therapy
is often continued during pregnancy in conditions such as organ transplantations
and autoimmune diseases. Herein, we investigated the effects of CsA on early
morphogenesis of zebrafish and identified a spectrum of proteins whose expression
was altered in the drug treated embryos. Time-lapse fluorescence imaging of germ
line double transgenic zebrafish embryos treated with CsA revealed severe blood
regurgitation in heart chambers, absence of blood circulation in vessels,
pericardial and yolk sac edema. We also observed lack of mature blood vessels and
down-regulation of endothelial markers in CsA treated embryos. Proteomic analysis
using 2D-DIGE followed by mass-spectrometry led to the identification of 37
proteins whose expression was significantly modulated in presence of the drug.
These proteins were mostly associated with cytoskeletal/structural assembly,
lipid-binding, stress response and metabolism. Furthermore, mRNA expression
analysis of eight proteins and Western blotting of actin revealed consistency
between the changes observed in protein expression and its corresponding mRNA
levels. Our findings demonstrate that CsA administration during early
morphogenesis in zebrafish modulates the expression of some proteins which are
known to be involved in important physiological processes.
PMID- 22079246
TI - Analytical constraints for the analysis of human cell line secretomes by shotgun
proteomics.
AB - Human cell line secretome represents a valuable source of therapeutic targets and
candidate biomarkers. Secreted proteins found in biological fluids or culture
media are by essence highly diluted. Secretome investigation with proteomic
approaches is hardly compatible with the high content of proteins found in
complete cell culture media. Therefore, many studies are currently done with
media containing few or no protein. Such conditions may perturb cell metabolism
and proliferation. Here, we compared seventeen different compositions of culture
media for the human bronchial epithelial BEAS-2B cell line. Cell viability,
proliferation rate and initial protein charge were systematically compared. We
have shown that an important difficulty for the proteomic analysis is due to the
presence of detergents such as Pluronic F-68 which hinders peptide mass
spectrometry. The high glucose containing DMEM medium which is free of proteins
was shown to preserve a good viability and proliferation of cells. With this
conditioning medium, we identified 81 extracellular proteins in the secretome of
BEAS-2B cells. Moreover, to illustrate this approach, we exposed BEAS-2B cells to
a low toxic dose of CoCl(2,) and found 24 extracellular proteins modulated by
cobalt. This study highlights the possible contribution of such proteomic
approach in the field of toxicology.
PMID- 22079247
TI - Physiological response and differential leaf proteome pattern in the European
invasive Asteraceae Solidago canadensis colonizing a former cokery soil.
AB - Derelict contaminated sites are often colonized spontaneously by plant species
leading to a vegetal cover thought to limit particle dispersal and polluted water
infiltration. Those plants must cope with soil pollutants through tolerance
mechanisms that are not yet fully understood. Here, we focused our attention on a
particular Asteraceae plant, Solidago canadensis, considered as invasive in
Europe. S. canadensis spontaneously growing on either polluted (NM soil) or
control soils dumped on experimental plots were studied for their physiological
status, oxidative stress and 2D-DIGE of leaf extracts. S. canadensis tolerance to
soil pollutants was demonstrated since growth rates, allocation to reproduction
ratios and Fv/Fm ratios were similar in plants from control and NM soil. At the
cell level, the catalase activity level was increased in plants collected on NM
soil while lipoperoxidation was unaffected. Also, the leaf proteomic study
revealed thirty down-regulated and sixty-six up-regulated proteins. Abundances of
proteins related to oxidative stress, carbohydrate metabolism, ion transport were
mainly up-regulated while those of proteins involved in cell cycle and
transcription/translation were mostly down-regulated. Proteins associated to
protein metabolism were either down- or up-regulated. Considered altogether, we
highlighted that S. canadensis exhibited a complex proteome response when
experiencing a multicontaminated soil.
PMID- 22079248
TI - Dynamic proteome changes in Campylobacter jejuni 81-176 after high pressure shock
and subsequent recovery.
AB - Campylobacter jejuni is one of the most intriguing human foodborne bacterial
pathogen. Its survival throughout the food processing chain and its pathogenesis
mechanisms in humans remain enigmatic. Living in the animal guts and particularly
in avian intestine as a commensal bacterium, this microorganism is frequently
isolated from meat products. Ultra high pressure (HP) is a promising alternative
to thermal technology for microbial safety of foodstuffs with less organoleptic
and nutritional alterations. Its application could be extended to meat products
potentially contaminated by C. jejuni. To evaluate the response of Campylobacter
to this technological stress and subsequent recovery at a molecular level, a
dynamic 2-DE-based proteomic approach has been implemented. After cultivation, C.
jejuni cells were conditioned in a high-pressure chamber and transferred to fresh
medium for recovery. The protein abundance dynamics at the proteome scale were
analyzed by 2-DE during the cellular process of cell injury and recovery.
Monitoring protein abundance through time unraveled the basic metabolisms
involved in this cellular process. The significance of the proteome evolution
modulated by HP and subsequent recovery is discussed in the context of a specific
cellular response to stress and recovery of C. jejuni with 69 spots showing
significant changes through time.
PMID- 22079249
TI - Integrin-associated protein (CD47) is a putative mediator for soluble fibrinogen
interaction with human red blood cells membrane.
AB - Fibrinogen is a multifunctional plasma protein that plays a crucial role in
several biological processes. Elevated fibrinogen induces erythrocyte
hyperaggregation, suggesting an interaction between this protein and red blood
cells (RBCs). Several studies support the concept that fibrinogen interacts with
RBC membrane and this binding, due to specific and non-specific mechanisms, may
be a trigger to RBC hyperaggregation in inflammation. The main goals of our work
were to prove that human RBCs are able to specifically bind soluble fibrinogen,
and identify membrane molecular targets that could be involved in this process.
RBCs were first isolated from blood of healthy individuals and then separated in
different age fractions by discontinuous Percoll gradients. After isolation RBC
samples were incubated with human soluble fibrinogen and/or with a blocking
antibody against CD47 followed by fluorescence confocal microscopy, flow
cytometry acquisitions and zeta potential measurements. Our data show that
soluble fibrinogen interacts with the human RBC membrane in an age-dependent
manner, with younger RBCs interacting more with soluble fibrinogen than the older
cells. Importantly, this interaction is abrogated in the presence of a specific
antibody against CD47. Our results support a specific and age-dependent
interaction of soluble fibrinogen with human RBC membrane; additionally we
present CD47 as a putative mediator in this process. This interaction may
contribute to RBC hyperaggregation in inflammation.
PMID- 22079250
TI - Comparing the greenhouse gas emissions from three alternative waste combustion
concepts.
AB - Three alternative condensing mode power and combined heat and power (CHP) waste
to-energy concepts were compared in terms of their impacts on the greenhouse gas
(GHG) emissions from a heat and power generation system. The concepts included
(i) grate, (ii) bubbling fluidised bed (BFB) and (iii) circulating fluidised bed
(CFB) combustion of waste. The BFB and CFB take advantage of advanced combustion
technology which enabled them to reach electric efficiency up to 35% and 41% in
condensing mode, respectively, whereas 28% (based on the lower heating value) was
applied for the grate fired unit. A simple energy system model was applied in
calculating the GHG emissions in different scenarios where coal or natural gas
was substituted in power generation and mix of fuel oil and natural gas in heat
generation by waste combustion. Landfilling and waste transportation were not
considered in the model. GHG emissions were reduced significantly in all of the
considered scenarios where the waste combustion concepts substituted coal based
power generation. With the exception of condensing mode grate incinerator the
different waste combustion scenarios resulted approximately in 1 Mton of fossil
CO(2)-eq. emission reduction per 1 Mton of municipal solid waste (MSW)
incinerated. When natural gas based power generation was substituted by
electricity from the waste combustion significant GHG emission reductions were
not achieved.
PMID- 22079251
TI - Modeling of the reburning process using sewage sludge-derived syngas.
AB - Gasification of sewage sludge can provide clean and effective reburning fuel for
combustion applications. The motivation of this work was to define the reburning
potential of the sewage sludge gasification gas (syngas). A numerical simulation
of the co-combustion process of syngas in a hard coal-fired boiler was done. All
calculations were performed using the Chemkin programme and a plug-flow reactor
model was used. The calculations were modelled using the GRI-Mech 2.11 mechanism.
The highest conversions for nitric oxide (NO) were obtained at temperatures of
approximately 1000-1200K. The combustion of hard coal with sewage sludge-derived
syngas reduces NO emissions. The highest reduction efficiency (>90%) was achieved
when the molar flow ratio of the syngas was 15%. Calculations show that the
analysed syngas can provide better results than advanced reburning (connected
with ammonia injection), which is more complicated process.
PMID- 22079252
TI - Organic and nitrogen removal from landfill leachate in aerobic granular sludge
sequencing batch reactors.
AB - Granule sequencing batch reactors (GSBR) were established for landfill leachate
treatment, and the COD removal was analyzed kinetically using a modified model.
Results showed that COD removal rate decreased as influent ammonium concentration
increasing. Characteristics of nitrogen removal at different influent ammonium
levels were also studied. When the ammonium concentration in the landfill
leachate was 366 mg L(-1), the dominant nitrogen removal process in the GSBR was
simultaneous nitrification and denitrification (SND). Under the ammonium
concentration of 788 mg L(-1), nitrite accumulation occurred and the accumulated
nitrite was reduced to nitrogen gas by the shortcut denitrification process. When
the influent ammonium increased to a higher level of 1105 mg L(-1), accumulation
of nitrite and nitrate lasted in the whole cycle, and the removal efficiencies of
total nitrogen and ammonium decreased to only 35.0% and 39.3%, respectively.
Results also showed that DO was a useful process controlling parameter for the
organics and nitrogen removal at low ammonium input.
PMID- 22079253
TI - Discovery of selective glucocorticoid receptor modulator MK-5932.
AB - A series of partial agonists of the Glucocorticoid Receptor were prepared
targeting reduced transactivation activity, while maintaining significant
transrepression activity. Incorporation of an ortho-aryl amide produced compounds
with the desired in vitro profile. Bioreactors consisting of Suspension cultures
of Sf21 cells co expressing a CYP3A4 and NADPH-cytochrome P450 oxireductase were
used to prepare the major metabolites of these compounds and revealed that
oxidative N-dealkylation provided a pathway for formation of metabolites that
were more agonistic than the parent partial agonists. Oxidative N-dealkylation
was blocked in a new series of compounds, however oxidation alone was capable of
producing full agonist metabolites. Incorporation of an ortho-primary amide and
utilization of fluorine to modulate agonism afforded partial agonist MK-5932.
Synthesis of the major metabolites of MK-5932 using bioreactor technology
revealed that no significant GR-active metabolites were formed. Orally
administered MK-5932 displayed anti-inflammatory efficacy in a Rat Oxazolone
induced chronic dermatitis model, while sparing plasma insulin.
PMID- 22079254
TI - Synthesis and antiproliferative evaluation of 6-aryl-11-iminoindeno[1,2
c]quinoline derivatives.
AB - A number of 6-aryl-11-iminoindeno[1,2-c]quinoline derivatives were synthesized
and evaluated for their antiproliferative activities. Among them, (E)-6-{4-[3
(dimethylamino)propoxy]phenyl}-2-fluoro-9-hydroxy-11H-indeno[1,2-c]quinolin-11
one O-3-(dimethylamino)propyl oxime (23a) was the most active, exhibited GI(50)
values of 0.64, 0.39, 0.55, 0.67, and 0.65MUM against the growth of Hep G2, Hep
3B, A549, H1299, and MDA-MB-231, respectively. Compound 23a inhibited the growth
of hepatoma cell lines in a dose- and time-dependent manner. The proportion of
cells was decreased in the G1 and accumulated in G2/M phase after 12h treatment
of 23a, while the hypodiploid (sub-G0/G1 phase) cells increased. Further
investigations have shown that 23a induced cell cycle arrest at G2/M phase and
induce apoptosis via activation of p53, Bax, and caspase-8 which consequently
cause cell death.
PMID- 22079255
TI - Characterization and use of a rabbit-anti-mouse VPAC1 antibody by flow cytometry.
AB - Vasoactive intestinal peptide receptor-1 signaling in lymphocytes has been shown
to regulate chemotaxis, proliferation, apoptosis and differentiation. During T
cell activation, VPAC1 mRNA is downregulated, but the effect on its protein
levels is less clear. A small number of studies have reported measurement of
human VPAC1 by flow cytometry, but murine VPAC1 reagents are unavailable.
Therefore, we set out to generate a reliable and highly specific alpha-mouse
VPAC1 polyclonal antibody for use with flow cytometry. After successfully
generating a rabbit alpha-VPAC1 polyclonal antibody (alpha-mVPAC1 pAb), we
characterized its cross-reactivity and showed that it does not recognize other
family receptors (mouse VPAC2 and PAC1, and human VPAC1, VPAC2 and PAC1) by flow
cytometry. Partial purification of the rabbit alpha-VPAC1 sera increased the
specific-activity of the alpha-mVPAC1 pAb by 20-fold, and immunofluorescence
microscopy (IF) confirmed a plasma membrane subcellular localization for mouse
VPAC1 protein. To test the usefulness of this specific alpha-mVPAC1 pAb, we
showed that primary, resting mouse T cells express detectable levels of VPAC1
protein, with little detectable signal from activated T cells, or CD19 B cells.
These data support our previously published data showing a downregulation of
VPAC1 mRNA during T cell activation. Collectively, we have established a well
characterized, and highly species specific alpha-mVPAC1 pAb for VPAC1 surface
measurement by IF and flow cytometry.
PMID- 22079257
TI - Insights antifibrotic mechanism of methyl palmitate: impact on nuclear factor
kappa B and proinflammatory cytokines.
AB - Fibrosis accompanies most chronic liver disorders and is a major factor
contributing to hepatic failure. Therefore, the need for an effective treatment
is evident. The present study was designed to assess the potential antifibrotic
effect of MP and whether MP can attenuate the severity of oxidative stress and
inflammatory response in chronic liver injury. Male albino rats were treated with
either CCl(4) (1 ml/kg, twice a week) and/or MP (300 mg/kg, three times a week)
for six weeks. CCl(4)-intoxication significantly increased liver weight, serum
aminotransferases, total cholesterol and triglycerides while decreased albumin
level and these effects were prevented by co-treatment with MP. As indicators of
oxidative stress, CCl(4)-intoxication caused significant glutathione depletion
and lipid peroxidation while MP co-treatment preserved them within normal values.
As markers of fibrosis, hydroxyproline content and alpha-SMA expression increased
markedly in the CCl(4) group and MP prevented these alterations.
Histopathological examination by both light and electron microscope further
confirmed the protective efficacy of MP. To elucidate the antifibrotic mechanisms
of MP, the expression of NF-kappaB, iNOS and COX-2 and the tissue levels of TNF
alpha and nitric oxide were assessed; CCl(4) increased the expression of NF
kappaB and all downstream inflammatory cascade while MP co-treatment inhibited
them. Collectively these findings indicate that MP possesses a potent
antifibrotic effect which may be partly a consequence of its antioxidant and anti
inflammatory properties.
PMID- 22079256
TI - Epigallocatechin-3-gallate (EGCG) protects against chromate-induced toxicity in
vitro.
AB - Hexavalent chromium [Cr(VI)] is a human carcinogen that results in the generation
of reactive oxygen species (ROS) and a variety of DNA lesions leading to cell
death. Epigallocatechin-3-gallate (EGCG), the major polyphenol present in green
tea, possesses potent antioxidative activity capable of protecting normal cells
from various stimuli-induced oxidative stress and cell death. Here we
demonstrated that co-treatment with EGCG protected human normal bronchial
epithelial BEAS-2B cells from Cr(VI)-induced cell death in a dose-dependent
manner. Cr(VI) induces apoptosis as the primary mode of cell death. Co-treatment
of BEAS-2B cells with EGCG dose-dependently suppressed Cr(VI)-induced apoptosis.
Fluorescence microscopic analyses and quantitative measurement revealed that EGCG
significantly decreased intracellular levels of ROS induced by Cr(VI) exposure.
Using a well-established K(+)/SDS precipitation assay, we further showed that
EGCG was able to dose-dependently reduce DNA-protein cross-links (DPC), lesions
that could be partially attributed to Cr(VI)-induced oxidative stress. Finally,
analyses of Affymetrix microarray containing 28,869 well-annotated genes revealed
that, among the 3412 genes changed more than 1.5-fold by Cr(VI) treatment,
changes of 2404 genes (70%) were inhibited by pretreatment of EGCG. Real-time PCR
confirmed the induction of 3 genes involved in cell death and apoptosis by
Cr(VI), which was eliminated by EGCG. In contrast, Cr(VI) reduced the expression
of 3 genes related to cellular defense, and this reduction was inhibited by EGCG.
Our results indicate that EGCG protects BEAS-2B cells from Cr(VI)-induced
cytotoxicity presumably by scavenging ROS and modulating a subset of genes. EGCG,
therefore, might serve as a potential chemopreventive agent against Cr(VI)
carcinogenesis.
PMID- 22079258
TI - Asymmetric loss of parietal activity causes spatial bias in prodromal and mild
Alzheimer's disease.
AB - BACKGROUND: In Alzheimer's disease (AD), loss of effective neuronal activity is
reflected by cortical glucose hypometabolism. Hypometabolism in the posterior
parietal cortex (PPC) is among the first in vivo signs of AD; however, its
functional impact on large-scale brain mechanisms and behavior is poorly
understood. The lateral PPC contributes to spatial attention constituting a basic
function of the human brain. We hypothesized 1) that lateral PPC hypometabolism
is associated with impaired spatial attention in very early AD and 2) that
impaired competition of effective neuronal activity across hemispheres might
underlie this deficit in terms of brain mechanisms. METHODS: A model-based
imaging approach was applied to assess patients with prodromal (n = 28) and mild
(n = 7) AD. Quantitative attention parameters, derived from performance on simple
psychophysical tasks and analyzed by Bundesen's computational theory of visual
attention, were related to brain metabolism, measured by (18)F-fluorodeoxyglucose
positron emission tomography. RESULTS: Patients' left and right lateral PPC
metabolism was reduced. Nine patients had significant spatial attentional bias on
the left side and two patients on the right. Direction and degree of spatial bias
was correlated with direction and degree of an interhemispheric metabolism bias
in the inferior parietal lobe and temporoparietal junction. CONCLUSIONS: Our data
provide evidence that in very early AD, asymmetric hypometabolism of the lateral
PPC causes spatial attentional bias. Results are broadly consistent with the
model that asymmetrically impaired effective neuronal PPC activity in AD biases
the competition of visual objects for cortical representation and access to
awareness to one side.
PMID- 22079259
TI - Prognostic models for outcome following liver resection for colorectal cancer
metastases: A systematic review.
AB - BACKGROUND: Liver resection provides the best chance for cure in colorectal
cancer (CRC) liver metastases. A variety of factors that might influence survival
and recurrence have been identified. Predictive models can help in risk
stratification, to determine multidisciplinary treatment and follow-up for
individual patients. AIMS: To systematically review available prognostic models
described for outcome following resection of CRC liver metastases and to assess
their differences and applicability. METHODS: The Pubmed, Embase and Cochrane
Library databases were searched for articles proposing a prognostic model or risk
stratification system for resection of CRC liver metastases. Search terms
included 'colorectal', 'liver', 'metastasis', 'resection', 'prognosis' and
'prediction'. The articles were systematically reviewed. RESULTS: Fifteen
prognostic systems were identified, published between 1996 and 2009. The median
study population was 305 patients and the median follow-up was 32 months. All
studies used Cox proportional hazards for multi-variable analysis. No prognostic
factor was common in all models, though there was a tendency towards the number
of metastases, CRC spread to lymph nodes, maximum size of metastases,
preoperative CEA level and extrahepatic spread as representing independent risk
factors. Seven models assigned more weight to selected factors considered of
higher predictive value. CONCLUSION: The existing predictive models are diverse
and their prognostic factors are often not weighed according to their impact. For
the development of future predictive models, the complex relations within
datasets and differences in relevance of individual factors should be taken into
account, for example by using artificial neural networks.
PMID- 22079260
TI - Patient, practice and organisational influences on asthma control: observational
data from a national study on primary care in the United Kingdom.
AB - BACKGROUND: Achieving asthma control is central to optimising patient quality of
life and clinical outcome. Contemporary models of chronic disease management
across a variety of countries point to the importance of micro, meso and macro
level influences on patient care and outcome. However, asthma outcomes research
has almost invariably concentrated on identifying and addressing patient
predictors. Little is known about higher level organisational influences.
OBJECTIVE: This paper explores the contribution of organisational factors on poor
asthma control, allowing for patient factors, at three organisational levels: the
individual patient, local service deliverers, and strategic regional providers.
DESIGN, SETTING AND PARTICIPANTS: Prospective cross-sectional observational
cohort study of 64,929 people with asthma from 1205 primary care practices spread
throughout the United Kingdom (UK). Patient clinical data were recorded during a
routine asthma review. METHOD: Data were analysed using simple descriptive,
multiple regression and complex multi-level modelling techniques, accounting for
practice clustering of patients. RESULTS: Poor asthma control was associated with
areas of higher deprivation [regression coefficient 0.026 (95% confidence
intervals 0.006; 0.046)] and urban practice [-0.155 (-0.275; -0.035)] but not all
local and regional variation was explained by the data. In contrast, patient
level predictors of poor control were: short acting bronchodilator overuse [2.129
(2.091; 2.164)], days-off due to asthma [1.203 (1.148; 1.258)], PEFR<80 [0.76
(0.666; 0.854)], non-use of a self-management plan (SMP) [0.554 (0.515; 0.593)],
poor inhaler technique [0.53 (0.475; 0.585)], poor medication compliance [0.385 (
0.007; 0.777)], and gender [0.314 (0.281; 0.347)]. Pattern of medication use,
smoking history, age, body mass index (BMI), and health service resource use were
also significant factors for predicting control. CONCLUSIONS: Targeting of health
service resource requires knowledge of the factors associated with poor control
of asthma symptoms. In the UK the contribution of local and regional structures
appears minimal in explaining variation in asthma outcomes. However, unexplained
variation in the data suggests other unrecorded factors may play a part. While
patient personal characteristics (including self-management plan use, inhaler
technique, medication compliance) appear to be the predominant influence the
complex nature of the disease means that some, perhaps more subtle, influences
are affecting the variability at all levels and this variance needs to be
explored. Further research in other international contexts is required to
identify the likely applicability of these findings to other health care systems.
PMID- 22079261
TI - Having to focus on doing rather than being-nurse assistants' experience of
palliative care in municipal residential care settings.
AB - BACKGROUND: Palliative care should be provided, irrespective of setting to all
patients facing a life-threatening illness and to their families. The situation
and needs of older people differ from those of younger people since they often
have several co-existing diseases and health complaints. This implies an
extensive need for care and for longer periods of palliative care. The main
providers of palliative care for older people are nurse assistants, who are also
those with the shortest education. AIM: The aim of this study was to illuminate
nurse assistants' experience of palliative care for older people in residential
care. DESIGN: The study had an explorative, descriptive design. SETTINGS:
Thirteen residential care units in three different districts in a large city in
southern Sweden. PARTICIPANTS: Twenty-five nurse assistants selected to represent
variations in age, gender workplace and work experience. METHODS: Data were
collected from six focus-group interviews and subjected to content analysis to
gain an understanding of the phenomenon. RESULTS: The nurse assistants described
palliative care as a contrast to the everyday care they performed in that they
had a legitimate possibility to provide the care needed and a clear assignment in
relation to relatives. Palliative care also meant having to face death and dying
while feeling simultaneous that it was unnatural to talk about death and having
to deal with their own emotions. They emphasised that they were in need of
support and experienced leadership as invisible and opaque, but gained strength
from being recognized. CONCLUSION: In order to support nurse assistants in
providing high quality end-of-life care, more focus is needed on the trajectory
of older peoples' dying, on the importance of involving relatives throughout the
period of care provision, and on support when encountering death and dying. There
is also a need for engaged care leaders, both registered nurses and managers, to
recognize the work of nurse assistants and to support care provision for older
people within the framework of palliative care philosophy.
PMID- 22079262
TI - Long-term outcome of ulcerative colitis in patients who achieve clinical
remission with a first course of corticosteroids.
AB - BACKGROUND: Although the early outcomes of ulcerative colitis after a first
course of corticosteroids are well known, data on long-term disease evolution in
patients responding to a first corticosteroid course are scarce. AIMS: To
evaluate the long-term clinical evolution in ulcerative colitis patients
responding to a first course of corticosteroids and to identify those factors
associated with a poorer outcome. METHODS: Retrospective review of 114 patients
diagnosed with ulcerative colitis who responded to the first corticosteroid
course, and did not start thereafter maintenance therapy with thiopurines were
included. RESULTS: Corticosteroids were prescribed because of a moderate (78%) or
a severe flare (22%). All but two patients followed maintenance treatment with
mesalazine after corticosteroid discontinuation. After a median follow-up of 83
months (7-156), 72% of patients suffered new relapses leading to corticosteroid
reintroduction in 65% of patients. The earlier corticosteroids were introduced in
the course of ulcerative colitis, the higher the risk of relapse and
corticosteroid reintroduction. Thiopurines were started in 51%, and infliximab in
19%. Eleven percent of patients underwent colectomy. No predictors of thiopurine
use or colectomy were found. CONCLUSIONS: Half of the ulcerative colitis patients
responding to a first course of corticosteroids will require immunosuppressors
mainly because of steroid-dependence.
PMID- 22079263
TI - Update on the mechanism of action and on clinical efficacy of extracorporeal
photopheresis in the treatment of acute and chronic graft versus host disease in
children.
AB - Extracorporeal photopheresis (ECP) has been used for treatment of steroid
refractory graft versus host disease (GVHD) with encouraging results. Although
its exact mechanism of action is not fully understood, photoapheresed cells seem
to induce a selective immune response directed against alloreactive T cell
populations without causing generalized immunosuppression. Current pediatric
experience with ECP for GVHD is available in the form of a few retrospective
small studies concerning children with steroid refractory GVHD. Reviewing these
data we conclude that ECP is a safe procedure, well tolerated even in low-weight
pediatric patients, which warrants further evaluation in well-designed,
prospective, controlled studies.
PMID- 22079264
TI - Comparative levels of macrophage migration inhibitory factor, procalcitonin,
osteoprotegerin, interleukin-8, hs-C reactive protein, D-dimer in febrile
neutropenia, newly diagnosed cancer patients, and infectious fever.
AB - BACKGROUND: The purpose of this study is to determine the levels of procalcitonin
(PCT), IL-8 (interleukin-8), MIF (macrophage migration inhibitory factor),
osteoprotegerin (OPG), hs-CRP and D-dimer during fever above 38.3 degrees C due
to various causes. MATERIAL AND METHODS: Blood samples taken from a total of
consecutive 65 hospitalized patients during fever were prospectively tested for
hsCRP, PCT, IL-8, OPG, MIF and D-dimer. Of these patients, there were 26 patients
presenting with chemotherapy-induced neutropenia who had no infectious agents
found; 23 patients, who had a malignancy with a febrile episode which was neither
a microbiologically documented infection nor a chemotherapy-induced neutropenia,
and 16 patients who did not have a malignancy and were considered to have a
clinically and microbiologically documented infection. RESULTS: IL-8 and D-dimer
levels were higher in patients with febrile neutropenia than in the other two
groups. Although MIF and OPG were higher in patients with newly diagnosed
cancers, there were no differences among the three groups regarding PCT and hs
CRP values. CONCLUSION: High serum IL-8 and D-dimer levels can be useful markers
to identify hospitalized chemotherapy-induced neutropenia patients. MIF and OPG
were found to be higher in patients with newly diagnosed cancer.
PMID- 22079266
TI - Saponins from the Spanish saffron Crocus sativus are efficient adjuvants for
protein-based vaccines.
AB - Protein and peptide-based vaccines provide rigorously formulated antigens.
However, these purified products are only weakly immunogenic by themselves and
therefore require the addition of immunostimulatory components or adjuvants in
the vaccine formulation. Various compounds derived from pathogens, minerals or
plants, possess pro-inflammatory properties which allow them to act as adjuvants
and contribute to the induction of an effective immune response. The results
presented here demonstrate the adjuvant properties of novel saponins derived from
the Spanish saffron Crocus sativus. In vivo immunization studies and tumor
protection experiments unambiguously establish the value of saffron saponins as
candidate adjuvants. These saponins were indeed able to increase both humoral and
cellular immune responses to protein-based vaccines, ultimately providing a
significant degree of protection against tumor challenge when administered in
combination with a tumor antigen. This preclinical study provides an in depth
immunological characterization of a new saponin as a vaccine adjuvant, and
encourages its further development for use in vaccine formulations.
PMID- 22079265
TI - Protective immunity against mouse upper genital tract pathology correlates with
high IFNgamma but low IL-17 T cell and anti-secretion protein antibody responses
induced by replicating chlamydial organisms in the airway.
AB - To search for optimal immunization conditions for inducing protective immunity
against upper genital tract pathologies caused by chlamydial intravaginal
infection, we compared protection efficacy in mice immunized intranasally or
intramuscularly with live or inactivated Chlamydia muridarum organisms. Mice
immunized intranasally with live organisms developed strong protection against
both vaginal shedding of infectious organisms and upper genital tract
pathologies. The protection correlated with a robust antigen-specific T cell
response with high IFNgamma but low IL-17. Although a significant level of IL-5
was also detected, these mice maintained an overall Th1-dorminant immunity
following immunization and challenge infection. On the contrary, mice immunized
intranasally with inactivated organisms or intramuscularly with live or
inactivated organisms produced high levels of IL-17 and still developed
significant upper genital tract pathologies. High titers of antibodies against
chlamydial secretion antigens were detected only in mice immunized intranasally
with live organisms but not mice in other groups, suggesting that the
intranasally inoculated live organisms were able to undergo replication and
immune responses to the chlamydial secretion proteins may contribute to
protective immunity. These observations have provided important information on
how to develop subunit vaccines for inducing protective immunity against
urogenital infection with Chlamydia trachomatis organisms.
PMID- 22079267
TI - Birth order and private voluntary immunization--a study of 110,902 children.
AB - BACKGROUND: Introduction of new private, voluntary immunizations often results in
low vaccine uptake among certain sub-groups within the population. Revealing
factors associated with underimmunization is crucial in vaccine endorsement and
distribution. OBJECTIVE: Our goal was to investigate the effect of child's birth
order on private voluntary varicella vaccination. METHODS: A nested case-control
study was conducted on a cohort of 110,902 Israeli children under the age of 5
years. We compared social and demographic factors of immunized and unimmunized
participants. Logistic regression models were built to examine the association
between birth order and vaccination, controlling for child's age, gender, country
of birth, ethnicity, parents' country of birth, area of residence, and
socioeconomic status (SES). RESULTS: Ethnicity had the highest association with
varicella immunization status. The odds of vaccination in the general Jewish and
Ultra-Orthodox Jewish populations were 25.55- (95%CI:20.13;32.42) and 15.04-
(95%CI:10.18;22.22) times the odds in Arab population, respectively. Child's
birth order was inversely related to vaccination status and presented a nonlinear
exposure-response relationship. This relationship was maintained in different
ethnicity and SES groups. Child's birth order was associated with vaccination
differently in large (> 3 siblings) and small to average-sized sibships (<= 3
siblings). Other parameters associated with vaccination were child's and parents'
country of origin, area of residence and SES. CONCLUSIONS: Birth order is an
independent risk factor for underimmunization, associated with child's
vaccination status beyond economic, social, and demographic parental
characteristics.
PMID- 22079269
TI - Transcriptional networks and chromatin remodeling controlling adipogenesis.
AB - Adipocyte differentiation is tightly controlled by a transcriptional cascade,
which directs the extensive reprogramming of gene expression required to convert
fibroblast-like precursor cells into mature lipid-laden adipocytes. Recent global
analyses of transcription factor binding and chromatin remodeling have revealed
'snapshots' of this cascade and the chromatin landscape at specific time-points
of differentiation. These studies demonstrate that multiple adipogenic
transcription factors co-occupy hotspots characterized by an open chromatin
structure and specific epigenetic modifications. Such transcription factor
hotspots are likely to represent key signaling nodes which integrate multiple
adipogenic signals at specific chromatin sites, thereby facilitating coordinated
action on gene expression.
PMID- 22079268
TI - Genetic defects in the hotspot of inwardly rectifying K(+) (Kir) channels and
their metabolic consequences: a review.
AB - Inwardly rectifying potassium (Kir) channels are essential for maintaining normal
potassium homeostasis and the resting membrane potential. As a consequence,
mutations in Kir channels cause debilitating diseases ranging from cardiac
failure to renal, ocular, pancreatic, and neurological abnormalities.
Structurally, Kir channels consist of two trans-membrane domains, a pore-forming
loop that contains the selectivity filter and two cytoplasmic polar tails. Within
the cytoplasmic structure, clusters of amino acid sequences form regulatory
domains that interact with cellular metabolites to control the opening and
closing of the channel. In this review, we present an overview of Kir channel
function and recent progress in the characterization of selected Kir channel
mutations that lie in and near a C-terminal cytoplasmic 'hotspot' domain. The
resultant molecular mechanisms by which the loss or gain of channel function
leads to organ failure provide potential opportunities for targeted therapeutic
interventions for this important group of channelopathies.
PMID- 22079270
TI - A neural model of sequential movement planning and control of eye movements: Item
Order-Rank working memory and saccade selection by the supplementary eye fields.
AB - How does working memory store multiple spatial positions to control sequences of
eye movements, particularly when the same items repeat at multiple list
positions, or ranks, during the sequence? An Item-Order-Rank model of working
memory shows how rank-selective representations enable storage and recall of
items that repeat at arbitrary list positions. Rank-related activity has been
observed in many areas including the posterior parietal cortices (PPC),
prefrontal cortices (PFC) and supplementary eye fields (SEF). The model shows how
rank information, originating in PPC, may support rank-sensitive PFC working
memory representations and how SEF may select saccades stored in working memory.
It also proposes how SEF may interact with downstream regions such as the frontal
eye fields (FEF) during memory-guided sequential saccade tasks, and how the basal
ganglia (BG) may control the flow of information. Model simulations reproduce
behavioral, anatomical and electrophysiological data under multiple experimental
paradigms, including visually- and memory-guided single and sequential saccade
tasks. Simulations reproduce behavioral data during two SEF microstimulation
paradigms, showing that their seemingly inconsistent findings about saccade
latency can be reconciled.
PMID- 22079272
TI - Emotional memory: synthesis of a study proposal.
PMID- 22079273
TI - Occiput to thoracic fusion after surgical resection of desmoid tumor.
AB - BACKGROUND: Desmoid tumors are rare clinical entities that cause significant
morbidity based on their locally aggressive nature. Complete resection with wide
margins is the standard of care; however, when arising in the neck, resection is
limited due to proximity of the lesion to critical structures. CASE DESCRIPTION:
We describe a complete resection of a desmoid tumor requiring extensive resection
of cervical extensor musculature. We were able to achieve a total resection of a
cervical desmoid tumor with no evidence of recurrence at follow-up. CONCLUSIONS:
Complete resection of desmoid tumors is the standard of care. In this case, we
felt that complete resection would lead to iatrogenic instability; therefore, an
occiput to thoracic fusion was performed at the time of the resection.
PMID- 22079274
TI - Stereotactic biopsy with electrical monitoring for deep-seated brain tumors.
AB - OBJECTIVE: The stereotactic biopsy is widely integrated into clinical practice as
an efficient and safe procedure for histologic diagnoses. However, the surgical
risk increases when the lesions are close to the eloquence of the adjacent brain.
The present report describes two patients with deep-seated brain tumors who
underwent a stereotactic biopsy with electrical monitoring and demonstrates the
importance of this technique. METHODS: The tentative target and trajectory were
determined on a stereotactic map from the Schaltenbrand and Wahren atlas. A
Cosman-Roberts-Wells stereotactic frame was applied to the patient. Electrical
recording along a single trajectory was used to identify the circumscribed
neuronal structures, and electrical simulation was administered to the target.
The biopsy point was decided when no adverse events were observed with a low
electric current level. RESULTS: A 34-year-old male patient with anaplastic
astrocytoma in the putamen and thalamus and an 81-year-old female patient with
malignant lymphoma in the midbrain underwent stereotactic biopsies with
electrical monitoring. The biopsies were successfully performed without any
resulting neurologic deficits. CONCLUSIONS: This report describes two patients
with deep-seated brain tumors who underwent stereotactic biopsies with electrical
recording and stimulation. The electrical monitoring appears to be a useful
technique to complement the ordinary image-guided biopsy.
PMID- 22079275
TI - Predicting symptomatic cerebral vasospasm after aneurysmal subarachnoid
hemorrhage: are we there yet?
PMID- 22079276
TI - Surgical strategies in the management of cervical degenerative disorders.
PMID- 22079277
TI - Motor palsy after posterior cervical foraminotomy: anatomical consideration.
AB - BACKGROUND: Motor palsy is a serious complication that can result from cervical
surgery. We introduced cases of motor palsy after posterior cervical foraminotomy
(PCF) and consider cervical anatomy. METHODS: Between January 2007 and August
2010, 133 PCFs were performed on 106 consecutive patients with radiculopathy
caused by foraminal stenosis or posterolateral disc herniation. RESULTS: Three of
133 (2.3%) levels that underwent PCF developed a motor palsy. Two cases involved
the C5 nerve root, and one case involved the C6 nerve root. The cause of the C5
palsy may have been excessive retraction, whereas the cause of the C6 palsy may
have been thermal damage caused by drilling. The rate of C5 palsy (22.2%) was
much higher than that seen with other nerves. Anatomically, the C5 nerve root is
thinner and covers the entire intervertebral disc at a relatively sharper angle
than the other nerve roots. The removal of an extruded disc at C4-5 forces more
excessive retraction of the C5 nerve root. CONCLUSIONS: Although PCF is a good
alternative treatment with minimal morbidity for cervical radiculopathy, surgeons
should keep in mind the possibility of motor palsy, especially at C4-5.
PMID- 22079278
TI - Intraoperative patient-specific reconstruction of partial bone flap defects after
convexity meningioma resection.
AB - OBJECTIVE: To evaluate implant accuracy and cosmetic outcome of a new
intraoperative patient-specific cranioplasty method after convexity meningioma
resection. METHODS: The patient's own bone flap served as a template to mold a
negative form with the use of polymethyl methacrylate (PMMA). The area of bone
invasion was determined and broadly excised under white light illumination with a
safety margin of at least 1 cm. The definitive replica was cast within the
remaining bone flap frame and the imprint. Clinical and radiologic follow-up
examinations were performed 3 months after surgery. RESULTS: Four women and two
men (mean age 51.4 years+/-12.8) underwent reconstruction of bone flap defects
after meningioma resection. Mean duration of intraoperative reconstruction of the
partial bone flap defects was 19 minutes+/-4 (range 14-24 minutes). Implant sizes
ranged from 17-35 cm2 (mean size 22 cm2+/-8). Radiologic and clinical follow-up
examinations revealed excellent implant alignment and favorable cosmesis (visual
analogue scale for cosmesis [VASC]=97+/-5) in all patients. CONCLUSIONS: Patient
specific reconstruction of partial bone flap defects after convexity meningioma
resection using the presented intraoperative PMMA cast method resulted in
excellent bony alignment and a favorable cosmetic outcome. Relatively low costs
and minimized operation time for adjustment and insertion of the cranioplasty
implant justify use of this method in small bony defects as well.
PMID- 22079279
TI - Analysis of venous drainage from sylvian veins in clinoidal meningiomas.
AB - OBJECTIVE: To categorize clinoidal meningiomas according to their venous drainage
patterns, and use each category as a guideline to establish an appropriate
surgical strategy. METHODS: We performed a retrospective analysis of 22
consecutive surgically treated patients with clinoidal meningioma who underwent
preoperative digital subtraction angiography to examine the characteristics of
the venous drainage system. These patients were categorized into: 1) cortical
type in which the sylvian vein did not drain medially but drained to cortical
veins, 2) sphenobasal type in which the sylvian vein drained into the pterygoid
plexus, or 3) cavernous type in which the sylvian vein drained into the cavernous
sinus directly through the sphenoparietal sinus. We tailored the surgical
strategy to preserve these draining veins. RESULTS: Preoperative angiographic
evaluation demonstrated 14 patients (63.6%) with cortical type, 6 patients
(27.3%) with sphenobasal type, and 2 patients (9.1%) with the cavernous type. In
most cases, no restriction from the venous structure was observed because the
sylvian vein belonged to the cortical type. However, in the case of the
sphenobasal or sphenoparietal type, the surgical strategy seemed to be tailored
to preserve the venous drainage system. CONCLUSIONS: The surgical risk from
venous complication in the treatment of clinoidal meningiomas appears to be low;
however, there are likely to be patients that require a tailored surgical
approach to avoid venous complications. Detailed preoperative assessment of
anatomic structure and consideration of the optimal surgical strategy are
critical to improve treatment outcomes.
PMID- 22079280
TI - Adrenocorticotropic hormone-producing pituitary carcinoma with intracranial
metastases.
AB - BACKGROUND: Pituitary carcinomas are rare and challenging clinical entities.
Because of the paucity of cases, there is limited information in the literature
on how best to diagnose and treat pituitary carcinomas. METHODS: We review the
literature and describe a woman who presented with an adrenocorticotropic hormone
(ACTH)-secreting pituitary macroadenoma that later evolved into a carcinoma with
intracranial metastases. RESULTS: A 39-year-old woman presented at age 27 with
classic findings of Cushing's syndrome and a pituitary macroadenoma. Her initial
treatment was transsphenoidal surgery, during which we confirmed an ACTH
secreting pituitary neoplasm. For 5 years, she was asymptomatic before her first
recurrence. During the next 6 years, she underwent four transsphenoidal surgeries
and two craniotomies. After each surgery, there was some reduction in the size of
the macroadenoma but the residual tumor mass would rapidly enlarge.
Immunochemical staining was positive for ACTH, and a stain for Ki-67 antigen
showed a high mitotic index. Eleven years after her initial presentation,
magnetic resonance imaging revealed bilateral hippocampal and tempero-occipital
masses. The patient's health continued to deteriorate, largely from complications
of severe hypercortisolemia, and she died from sepsis. At postmortem, the
hippocampus and tempero-occipital lobe masses proved to be a pituitary tumor with
positive ACTH staining. CONCLUSIONS: Pituitary carcinomas are rare, may present
many years after diagnosis of a primary pituitary adenoma, and should be
suspected in patients with persistent or recurrent disease. Reliable
histopathologic ways to distinguish between carcinoma and adenoma are difficult
because the features of hypercellularity, nuclear pleomorphism, and mitotic
figures are not always helpful.
PMID- 22079281
TI - Male only progeny in Anastrepha suspensa by RNAi-induced sex reversion of
chromosomal females.
AB - In Tephritidae sex determination is established by orthologs to the Drosophila
melanogaster transformer and transformer-2 genes, though the primary signals for
sex determination differ. The presence of the Y chromosome in the tephritid
species is critical for male differentiation, while the ratio of X chromosomes to
autosome ploidy is critical in drosophilids. Here the isolation, expression and
function of tra and tra-2 orthologs are described for the agriculturally
important tephritid, Anastrepha suspensa, and their possible use in genetically
modified organisms for biologically-based pest management. The Astra and Astra-2
genes are highly conserved in structure, regulation and function with respect to
those known from other tephritid species. Sex-specific transcripts for Astra were
detected, one in females and three in males, whereas Astra-2 had a single common
transcript found in both sexes. To test the function of these genes, Astra and
Astra-2 dsRNA was injected into A. suspensa embryos from a transgenic strain
having a Y-linked DsRed marker integration, allowing XY males to be distinguished
from XX phenotypic males. Nearly all XX embryos developed into fully masculinized
phenotypic male adults with no apparent female morphology. Upon dissection
abnormal hypertrophic gonads were revealed in XX pseudomales but not in the XY
males. Our findings suggest that Astra and Astra-2 are both necessary for female
development, and that the potential exists for producing a male-only population
when either gene alone, or both genes simultaneously, are knocked-down.
PMID- 22079282
TI - Dentistry and population approaches for preventing dental diseases.
AB - Dental professionals are expected to engage in oral disease prevention, but their
tools limit the approach to chair side activities based on the common notion that
the major dental diseases, dental caries, gingivitis and periodontitis, are
behavioural diseases shaped by individual lifestyles. However, lifestyles also
have causes and individual behaviours reflect cultural norms, expectations and
opportunities that are socio-economically determined and structurally maintained.
Importantly, the effects of the societal and socio-economic determinants reach
way above their influences as individual attributes, and effective approaches to
the prevention and control of oral diseases are aligned with this causal chain.
Unfortunately, the ethos and philosophy of dentistry is focused to a downstream,
patient-centred, curative and rehabilitative approach to oral diseases. Whilst
such services are needed to care for those who have already suffered the
consequences of oral diseases, they do not influence population oral health. A
more balanced distribution of efforts and resources along the whole range of
intervention points from the downstream curative to the upstream structural
healthy policy approaches is required if appropriate, evidence-based, effective,
cost-effective, sustainable, equitable, universal, comprehensive and ethical
delivery of health care, including oral health care, is the goal. The
implementation of healthy policies and sound approaches to population oral health
will require substantial commitment and political will on the part of the public
and their elected officials.
PMID- 22079284
TI - Glucose: a vital toxin and potential utility of melatonin in protecting against
the diabetic state.
AB - The molecular mechanisms including elevated oxidative and nitrosative reactants,
activation of pro-inflammatory transcription factors and subsequent inflammation
appear as a unified pathway leading to metabolic deterioration resulting from
hyperglycemia, dyslipidemia, and insulin resistance. Consistent evidence reveals
that chronically-elevated blood glucose initiates a harmful series of processes
in which toxic reactive species play crucial roles. As a consequence, the
resulting nitro-oxidative stress harms virtually all biomolecules including
lipids, proteins and DNA leading to severely compromised metabolic activity.
Melatonin is a multifunctional indoleamine which counteracts several
pathophysiologic steps and displays significant beneficial effects against
hyperglycemia-induced cellular toxicity. Melatonin has the capability of
scavenging both oxygen and nitrogen-based reactants and blocking transcriptional
factors which induce pro-inflammatory cytokines. These functions contribute to
melatonin's antioxidative, anti-inflammatory and possibly epigenetic regulatory
properties. Additionally, melatonin restores adipocyte glucose transporter-4 loss
and eases the effects of insulin resistance associated with the type 2 diabetic
state and may also assist in the regulation of body weight in these patients.
Current knowledge suggests the clinical use of this non-toxic indoleamine in
conjunction with other treatments for inhibition of the negative consequences of
hyperglycemia for reducing insulin resistance and for regulating the diabetic
state.
PMID- 22079283
TI - Regulation of reactionary dentin formation by odontoblasts in response to
polymicrobial invasion of dentin matrix.
AB - Odontoblast synthesis of dentin proceeds through discrete but overlapping phases
characterized by formation of a patterned organic matrix followed by remodelling
and active mineralization. Microbial invasion of dentin in caries triggers an
adaptive response by odontoblasts, culminating in formation of a structurally
altered reactionary dentin, marked by biochemical and architectonic modifications
including diminished tubularity. Scanning electron microscopy of the collagen
framework in reactionary dentin revealed a radically modified yet highly
organized meshwork as indicated by fractal and lacunarity analyses. Immuno-gold
labelling demonstrated increased density and regular spatial distribution of
dentin sialoprotein (DSP) in reactionary dentin. DSP contributes putative
hydroxyapatite nucleation sites on the collagen scaffold. To further dissect the
formation of this altered dentin matrix, the associated enzymatic machinery was
investigated. Analysis of extracted dentin matrix indicated increased activity of
matrix metalloproteinase-2 (MMP-2) in the reactionary zone referenced to
physiologic dentin. Likewise, gene expression analysis of micro-dissected
odontoblast layer revealed up-regulation of MMP-2. Parallel up-regulation of
tissue inhibitor of metalloproteinase-2 (TIMP-2) and membrane type 1- matrix
metalloproteinase (MT1-MMP) was observed in response to caries. Next, modulation
of odontoblastic dentinogenic enzyme repertoire was addressed. In the odontoblast
layer expression of Toll-like receptors was markedly altered in response to
bacterial invasion. In carious teeth TLR-2 and the gene encoding the
corresponding adaptor protein MyD88 were down-regulated whereas genes encoding
TLR-4 and adaptor proteins TRAM and Mal/TIRAP were up-regulated. TLR-4 signalling
mediated by binding of bacterial products has been linked to up-regulation of MMP
2. Further, increased expression of genes encoding components of the TGF-beta
signalling pathway, namely SMAD-2 and SMAD-4, may explain the increased synthesis
of collagen by odontoblasts in caries. These findings indicate a radical adaptive
response of odontoblasts to microbial invasion of dentin with resultant synthesis
of modified mineralized matrix.
PMID- 22079285
TI - Bradykinin receptor 2 extends inflammatory cell recruitment in a model of acute
gouty arthritis.
AB - The aim of this study was determine the effect of bradykinin receptor antagonism
on MSU crystal-induced chemokine production and leukocyte recruitment. Mice were
injected intraperitoneally with monosodium urate (MSU) crystals +/- bradykinin B1
or B2 receptor antagonists, Des-Arg-HOE-140 and HOE-140, respectively. MSU
crystal-induced chemokine production and leukocyte recruitment in the peritoneum
were measured over 24h and B1 and B2 receptor expression on leukocytes and
peritoneal membrane was determined by flow cytometry and fluorescence microscopy.
Data analysis showed that only B2 receptor antagonism decreased monocyte and
neutrophil infiltration 24 h post MSU crystal administration. Decreased leukocyte
infiltration was associated with reduced monocyte (CCL2) chemokine levels. MSU
crystal-induced damage to the surrounding visceral membrane was also attenuated
in the presence of B2 receptor antagonism. Together, these data show that
bradykinin receptor 2 plays a role in maintaining MSU crystal-induced leukocyte
infiltration and membrane permeability and identify the B2 receptor as a
potential therapeutic target for managing inflammation in gout.
PMID- 22079286
TI - Adrenomedullin: a possible regulator of germinal vesicle breakdown.
AB - Adrenomedullin (ADM) is a multifunctional hormone that regulates processes as
diverse as blood pressure and cell growth. Although expressed in the ovary, the
role of ADM in this organ is not clear. In the present study, we found the
expression of ADM receptor and receptor activity-modifying proteins in mouse
cumulus cells but not in the oocytes. We report that germinal vesicle breakdown
(GVBD), which is required for oocyte maturation, is not inhibited by ADM alone.
However, ADM in the presence of the nitric oxide donor sodium nitroprusside (SNP)
significantly inhibited GVBD. Furthermore, the ADM- and SNP-dependent inhibition
of GVBD was abrogated by Akt blockade. Additionally, Akt expression and
phosphorylation was exhibited by ADM, suggesting that Akt signaling upstream in
cumulus cells is responsible. Additionally, immunohistochemical analysis revealed
that ADM was localized in the granulosa cells of developed follicles, implying
the possibility that ADM physiologically affects oocyte maturation in vivo. Our
results provide the evidence that ADM can act as a GVBD regulator.
PMID- 22079287
TI - 5-Hydroxy-eicosapentaenoic acid is an endogenous GPR119 agonist and enhances
glucose-dependent insulin secretion.
AB - GPR119 is one of the G-protein-coupled receptors expressed in pancreatic beta
cells and intestinal endocrine cells. Since agonists to GPR119 stimulate glucose
dependent insulin secretion, GPR119 agonists are anticipated to promote anti
diabetic effects and control of glucose homeostasis. Here, we reported that an
omega-3 unsaturated fatty acid metabolite, 5-hydroxy-eicosapentaenoic acid (5
HEPE), was a potent agonist for GPR119 and enhanced glucose-dependent insulin
secretion. 5-HEPE stimulated cAMP accumulation in mouse MIN6 insulinoma cells and
human HuTu80 intestinal adenocarcinoma cells. These effects were blunted by
GPR119-specific siRNA. Recombinant GPR119 also responded to 5-HEPE as well as
authentic agonists. Several previous reports have indicated the beneficial
biological effects of omega-3 unsaturated fatty acids, and epidemiological
studies have suggested that these fatty acids plays a protective role against
diabetes. However, the molecular pharmacology and receptor identifications of
omega-3 unsaturated fatty acids and their metabolites have not yet been well
investigated. It is hoped that our findings will encourage novel investigations
into the molecular relationships between omega-3 fatty acids and diabetes.
PMID- 22079288
TI - Quercetin inhibits amyloid fibrillation of bovine insulin and destabilizes
preformed fibrils.
AB - Growing interest and research efforts have recently been focused on elucidating
the molecular mechanism of amyloid formation and the screening of effective
inhibitors to interrupt amyloid structures. In the present study, the anti
amyloidogenic effects of quercetin were investigated in vitro using bovine
insulin as a model protein. The results demonstrated that quercetin dose
dependently inhibited amyloid formation of insulin. Moreover, quercetin
destabilized the preformed insulin fibrils and transformed the fibrils into
amorphous aggregates. Hemolysis was observed when human erythrocytes were co
incubated with insulin fibrils. Quercetin inhibited fibril-induced hemolysis in a
dose-dependent manner. SDS-PAGE showed that insulin fibrils induced the
aggregation of cytoskeletal proteins of erythrocyte membranes and that quercetin
attenuated this fibril-induced cytoskeletal aggregation. The results of the
present work suggest that quercetin may serve as a lead structure for the design
of novel anti-amyloidogenic drugs.
PMID- 22079289
TI - Increased salt and drought tolerance by D-ononitol production in transgenic
Arabidopsis thaliana.
AB - The methylation of myo-inositol forms O-methyl inositol (D-ononitol) when plants
are under abiotic stress in a reaction catalyzed by myo-inositol
methyltransferase (IMT). D-Ononitol can serve as an osmoprotectant that prevents
water loss in plants. We isolated the IMT cDNA from Glycine max and found by RT
PCR analysis that GmIMT transcripts are induced by drought and salinity stress
treatments in the leaves of soybean seedlings. We confirmed the protein product
of GmIMT and its substrate using a recombinant system in E. coli. Transgenic
Arabidopsis plants over-expressing GmIMT displayed improved tolerance to
dehydration stress treatment and to a lesser extent high salinity stress
treatment. These results indicate that GmIMT is functional in heterologous
Arabidopsis plants.
PMID- 22079290
TI - High density cultures of embryoid bodies enhanced cardiac differentiation of
murine embryonic stem cells.
AB - Murine embryonic stem cell (mESC)-derived cardiomyocytes represent a promising
source of cells for use in the development of models for studying early cardiac
development as well as cell-based therapies in postnatal pathologies. Here, we
report a highly efficient cardiac differentiation system in which high density
embryoid body (EB) cultures leads to a marked increase of cardiomyocytes
production from multiple mESC lines without the addition of any cardiogenic
growth factors. Our results show that high density EB cultures significantly
increase the yield of functional cardiomyocytes, which express typical cardiac
markers, exhibit normal rhythmic Ca(2+) transients, and respond to both beta
adrenergic and electric stimulations. During the differentiation period, the
inhibition of bone morphogenetic protein (BMP) signaling significantly attenuates
the increase of cardiac differentiation as well as the increased expression of
cardiac-specific genes, NK2 transcription factor related 5 (Nkx2.5) and myosin
light chain 2v (Mlc2v) by high density EB cultures. Therefore, we believe that we
offer a novel and efficient means of cardiomyocyte production for practical use
of mESCs in cardiac regenerative medicine.
PMID- 22079291
TI - SAXS data analysis and modeling of tetravalent neutralizing antibody CD4-IgG2 -/+
HIV-1 gp120 revealed that first two gp120 bind to the same Fab arm.
AB - This communication describes SAXS data based global structures of tetravalent
antibody CD4-IgG2 and its dimeric to pentameric complexes with gp120s. Comparison
of models brought forth that while the two CD4s grafted on each arm remain
tightly packed in the unliganded antibody, they enable binding of first two
gp120s preferentially to the same Fab arm in an asymmetric manner. Retention of
residues in the CD4-Fab linker earlier reasoned to enable bi-fold collapse of
gp120-bound soluble CD4, and observed asymmetry of the (CD4-IgG2)/(gp120)(2)
complex suggest that encoded flexibility in CD4-Fab linker is a critical
structure-function factor for this broad spectrum neutralizing antibody.
PMID- 22079293
TI - Protein prenylation: a new mode of host-pathogen interaction.
AB - Post translational modifications are required for proteins to be fully
functional. The three step process, prenylation, leads to farnesylation or
geranylgeranylation, which increase the hydrophobicity of the prenylated protein
for efficient anchoring into plasma membranes and/or organellar membranes.
Prenylated proteins function in a number of signaling and regulatory pathways
that are responsible for basic cell operations. Well characterized prenylated
proteins include Ras, Rac and Rho. Recently, pathogenic prokaryotic proteins,
such as SifA and AnkB, have been shown to be prenylated by eukaryotic host cell
machinery, but their functions remain elusive. The identification of other
bacterial proteins undergoing this type of host-directed post-translational
modification shows promise in elucidating host-pathogen interactions to develop
new therapeutics. This review incorporates new advances in the study of protein
prenylation into a broader aspect of biology with a focus on host-pathogen
interaction.
PMID- 22079292
TI - Store-operated calcium entry is present in HL-1 cardiomyocytes and contributes to
resting calcium.
AB - Store-operated Ca(2+) entry (SOCE) has recently been shown to be of physiological
and pathological importance in the heart, particularly during cardiac
hypertrophy. However, measuring changes in intracellular Ca(2+) during SOCE is
very difficult to study in adult primary cardiomyocytes. As a result there is a
need for a stable and reliable in vitro model of SOCE which can be used to test
cardiac drugs and investigate the role of SOCE in cardiac pathology. HL-1 cells
are the only immortal cardiomyocyte cell line available that continuously divides
and spontaneously contracts while maintaining phenotypic characteristics of the
adult cardiomyocyte. To date the role of SOCE has not yet been investigated in
the HL-1 cardiac cell line. We report for the first time that these cells
expressed stromal interaction molecule 1 (STIM1) and the Ca(2+) release-activated
Ca(2+) (CRAC) channel Orai1, which are essential components of the SOCE
machinery. In addition, SOCE was tightly coupled to sarcoplasmic reticulum (SR)
Ca(2+) release in HL-1 cells, and such response was not impaired in the presence
of voltage dependent Ca(2+) channels (L-type and T-type channels) or reverse mode
Na(+)/Ca(2+) exchanger (NCX) inhibitors. We were able to abolish the SOCE
response with known SOCE inhibitors (BTP-2 and SKF-96365) and by targeted
knockdown of Orai1 with RNAi. In addition, knockdown of Orai1 resulted in lower
baseline Ca(2+) and an attenuated response to thapsigargin (TG) and caffeine,
indicating that SOCE may play a role in Ca(2+) homeostasis during unstressed
conditions in cardiomyocytes. Currently, there is little knowledge about SOCE in
cardiomyocytes, and the present results suggest that HL-1 cells will be of great
utility in investigating the role of SOCE in the heart.
PMID- 22079294
TI - An epifluorescence microscopy method for generalized polarization imaging.
AB - Generalized polarization (GP) microscopy represents an excellent tool to study
lipid-lipid and lipid-protein interactions in situ and in vitro. Here, we present
an efficient and cost effective method to perform GP microscopy using a standard
light-emitting diode (LED) epifluorescence microscope equipped with a digital
color camera.
PMID- 22079295
TI - The AMPA receptor potentiator Org 26576 modulates stress-induced transcription of
BDNF isoforms in rat hippocampus.
AB - Brain derived neurotrophic factor (BDNF) is a key mediator of brain plasticity.
The modulation of its expression and function is important for cognition and
represents a key strategy to enhance neuronal resilience. Within this context,
there exists a close interaction between glutamatergic neurotransmission and BDNF
activity towards regulating cellular homeostasis and plasticity. The aim of the
current study was to investigate the ability of the AMPA receptor potentiator Org
26576 to modulate BDNF expression in selected brain regions under basal
conditions or in response to an acute swim stress. Rats subjected to a single
intraperitoneal injection with Org 26576 (10mg/kg) or saline were exposed to a
swim stress session (5 min) and sacrificed 15 min after the end of stress. Real
time PCR assay was used to determine changes in BDNF transcription in different
brain regions. Total BDNF mRNA levels were significantly increased in the
hippocampus of animals exposed to the combination of Org 26576 and stress
whereas, in prefrontal and frontal cortices, BDNF mRNA levels were modulated by
the acute stress, independently from drug treatment. The analysis of BDNF
transcripts in the hippocampus revealed a major contribution of exons I and IV.
Our results suggest that AMPA receptor potentiation by Org 26576 exerts a
positive modulatory influence on BDNF expression during ongoing neuronal
activity. Given that these mechanisms are critical for neuronal plasticity, we
hypothesized that such changes may facilitate learning/coping mechanisms
associated with a mild stressful experience.
PMID- 22079296
TI - Ecological and molecular consequences of prolonged drought and subsequent
rehydration in Folsomia candida (Collembola).
AB - Drought tolerance in water-permeable, soil-living Collembola (e.g. Folsomia
candida) is achieved due to a unique water vapour absorption mechanism, where
accumulation of sugars and polyols is essential. However, the molecular
mechanisms underlying such adaptation as well as the maintenance of this survival
strategy and the responses to rehydration after prolonged drought in these soil
living Collembola are unclear. In the present study, the functional relationships
between ecological drought responses and expression of related target genes were
investigated in F. candida exposed to mild and severe drought for up to 5 weeks
by relating survival, moulting and reproduction rate with mRNA-level expression
of 7 target genes during drought, dehydration and rehydration. Prolonged drought
and subsequent rehydration induced significant changes in gene expression which
could be related to the fitness traits studied. In F. candida the ecological and
molecular responses to mild drought differed from those of severe drought. From
the changes in gene expression, where significantly increased expression of
Glucose-6-phosphate-isomerase (gpi) and Heat shock protein 70 (hsp70) was
dominating, it is proposed that protection of cellular structure and function
during prolonged mild drought (98.2% RH) is partly achieved from a continuous
accumulation of compatible osmolytes in F. candida. To achieve protection during
and after prolonged severe drought (96.1% RH), components related to cell
division and development such as inositol monophosphatase and one of the small
heat shock proteins (sHsps), Heat shock protein23 (hsp23), seem to play an
important role in F. candida.
PMID- 22079297
TI - Venom lethality and diet: differential responses of natural prey and model
organisms to the venom of the saw-scaled vipers (Echis).
AB - The composition of snake venoms shows a high degree of variation at all taxonomic
levels, and natural selection for diet has been implicated as a potential cause.
Saw-scaled vipers (Echis) provide a good model for studying this phenomenon. The
venoms of arthropod feeding species of Echis are significantly more toxic to
natural scorpion prey than those of species which feed predominantly upon
vertebrate prey. Although testing venom activity on natural prey is important for
our understanding of the evolution of venom, natural prey species are often
difficult to obtain in sufficient numbers for toxinological work. In order to
test the viability of using cheaper and more easily available model organisms for
toxicity assessments in evolutionary research, and the extent to which toxicity
of arthropod-eating Echis venoms is increased to arthropods in general or
targeted to certain groups, we conducted median lethal dosage (LD(50)) and time
to death trials using the desert locust (Schistocerca gregaria) as a model
arthropod, rarely consumed by wild Echis. The venoms of arthropod specialist
Echis were found to be significantly more toxic to locusts than the venom of a
vertebrate feeding outgroup (Bitis arietans), and one arthropod specialist venom
was found to be more toxic than those species which feed upon arthropods
infrequently or not at all. The venoms of arthropod specialists were also found
to cause death and incapacitation faster than the vertebrate feeding outgroup.
Despite some similarity of trends, there are considerable differences between the
response of natural prey (scorpions) and a model arthropod (locust) to the venoms
of Echis species. This suggests that when possible, natural prey rather than
convenient model organisms should be used to gain an understanding of the
functional significance of variation in venom composition in snakes.
PMID- 22079298
TI - BJcuL, a lectin purified from Bothrops jararacussu venom, induces apoptosis in
human gastric carcinoma cells accompanied by inhibition of cell adhesion and
actin cytoskeleton disassembly.
AB - We show that BJcuL, a lectin purified from Bothrops jararacussu venom, exerts
cytotoxic effects to gastric carcinoma cells MKN45 and AGS. This effect was due
to the direct interaction with specific glycans on the cells surface and was
observed by cell viability decrease, disorganization of actin filaments and
apoptosis. In addition, BJcuL was able to reduce tumor cell adhesion to matrigel,
what was inhibited by specific carbohydrate or partially inhibited when cells
were pre-incubated with matrigel. Our results suggest that BJcuL was able to
promote apoptosis in both tumor cells lines and therefore has a prospect for
potential use in cancer therapy.
PMID- 22079299
TI - High-resolution picture of a venom gland transcriptome: case study with the
marine snail Conus consors.
AB - Although cone snail venoms have been intensively investigated in the past few
decades, little is known about the whole conopeptide and protein content in venom
ducts, especially at the transcriptomic level. If most of the previous studies
focusing on a limited number of sequences have contributed to a better
understanding of conopeptide superfamilies, they did not give access to a
complete panorama of a whole venom duct. Additionally, rare transcripts were
usually not identified due to sampling effect. This work presents the data and
analysis of a large number of sequences obtained from high throughput 454
sequencing technology using venom ducts of Conus consors, an Indo-Pacific living
piscivorous cone snail. A total of 213,561 Expressed Sequence Tags (ESTs) with an
average read length of 218 base pairs (bp) have been obtained. These reads were
assembled into 65,536 contiguous DNA sequences (contigs) then into 5039 clusters.
The data revealed 11 conopeptide superfamilies representing a total of 53 new
isoforms (full length or nearly full-length sequences). Considerable isoform
diversity and major differences in transcription level could be noted between
superfamilies. A, O and M superfamilies are the most diverse. The A family
isoforms account for more than 70% of the conopeptide cocktail (considering all
ESTs before clustering step). In addition to traditional superfamilies and
families, minor transcripts including both cysteine free and cysteine-rich
peptides could be detected, some of them figuring new clades of conopeptides.
Finally, several sets of transcripts corresponding to proteins commonly recruited
in venom function could be identified for the first time in cone snail venom
duct. This work provides one of the first large-scale EST project for a cone
snail venom duct using next-generation sequencing, allowing a detailed overview
of the venom duct transcripts. This leads to an expanded definition of the
overall cone snail venom duct transcriptomic activity, which goes beyond the
cysteine-rich conopeptides. For instance, this study enabled to detect proteins
involved in common post-translational maturation and folding, and to reveal
compounds classically involved in hemolysis and mechanical penetration of the
venom into the prey. Further comparison with proteomic and genomic data will lead
to a better understanding of conopeptides diversity and the underlying mechanisms
involved in conopeptide evolution.
PMID- 22079300
TI - Graphene nanosheets modified glassy carbon electrode for simultaneous detection
of heroine, morphine and noscapine.
AB - In the present study, the graphene nanosheets (GNSs) modified glassy carbon (GC)
electrode is employed for simultaneous determination of morphine, noscapine and
heroin. To the best of our knowledge this is the first report of the simultaneous
determination of these three important opiate drugs based on their direct
electrochemical oxidation. Field emission scanning electron microscopy (FESEM)
technique is utilized in order to study the surface morphology of the modified
electrode. The modified electrode shows excellent electrocatalytic activity
toward oxidation of morphine, noscapine and heroin at reduced overpotentials in
wide pH range. In the performed experiments, differential pulse voltammetric
determination of morphine, noscapine and heroin yields calibration curves with
the following characteristics; linear dynamic range up to 65, 40 and 100 MUM,
sensitivity of 275, 500 and 217 nA MUM(-1) cm(-2), and detection limits of 0.4,
0.2 and 0.5 MUM at 3S(B), respectively. Fast response time, signal stability,
high sensitivity, low cost and ease of preparation method without using any
specific electron-transfer mediator or specific reagent are the advantageous of
the proposed sensor. The modified electrode can be used for simultaneous or
individual detection of three major narcotic components, heroin, noscapine and
morphine at micromolar concentration without any separation or pretreatment
steps.
PMID- 22079301
TI - Size characterization of the associations between carbon nanotubes and humic
acids in aqueous media by asymmetrical flow field-flow fractionation combined
with multi-angle light scattering.
AB - This work focuses on the influence of humic acids (HAs) on the fate of carbon
nanotubes (CNTs) in aqueous media. This influence was demonstrated by mixing CNT
powder with HAs in aqueous solution in varying concentrations. The aqueous media
containing HAs and CNTs were size-characterized by asymmetrical flow field-flow
fractionation (AsFlFFF) coupled with multi-angle light scattering (MALS). This
coupling yielded information concerning the size distribution of single- and
multi-walled CNTs (SWCNTs and MWCNTs) and HAs under different physico-chemical
conditions that can occur in environmental water. HAs can disperse individual
CNTs in aqueous media. However, the difference in the physical structure between
SWCNTs and MWCNTs leads to significant differences in the quantity of HA that can
adsorb onto the nanotube surface and in the stability of the CNT/HA complex.
Compared with MWCNTs, SWCNTs suspended in HAs are less affected by changing ionic
strength with respect to stability and the amount suspended.
PMID- 22079302
TI - Zerovalent iron encapsulated chitosan nanospheres - a novel adsorbent for the
removal of total inorganic arsenic from aqueous systems.
AB - Evaluation of Chitosan zerovalent Iron Nanoparticle (CIN) towards arsenic removal
is presented. Addition of chitosan enhances the stability of Fe(0) nano particle.
Prepared adsorbent was characterized by FT-IR, SEM EDX, BET and XRD. It was found
that, with an initial dose rate of 0.5 g L(-1), concentrations of As (III) and As
(V) were reduced from 2 mg L(-1) to <5 MUg L(-1) in less than 180 min and the
adsorbent was found to be applicable in wide range of pH. Langmuir monolayer
adsorption capacity was found to be 94+/-1.5 mg g(-1) and 119+/-2.6 mg g(-1) at
pH 7 for As (III) and As (V) respectively. Major anions including sulfate,
phosphate and silicate did not cause significant interference in the adsorption
behavior of both arsenite and arsenate. The adsorbent was successfully recycled
five times and applied to the removal of total inorganic arsenic from real life
groundwater samples.
PMID- 22079303
TI - Parotitis associated with Crimean Congo hemorrhagic fever virus.
AB - BACKGROUND: Crimean Congo Hemorrhagic Fever (CCHF) is a potentially fatal tick
borne viral disease, the course of which may accompanied by various clinical
findings. OBJECTIVES: We describe a picture of non-suppurative parotitis
developing in association with CCHF virus. STUDY DESIGN: A 48-year-old patient
presenting to our hospital with lethargy, hemorrhage and pain and swelling below
the left ear was diagnosed with CCHF through IgM antibody and polymerase chain
reaction positivity in serum investigated for CCHF virus. A picture of non
suppurative parotitis developed on the 3rd day of admission. RESULTS: Other
causes of parotitis were excluded with the help of serological tests, and the
case was regarded as one of CCHF-associated parotitis. The patient was put on
adjuvant therapy, an improvement in clinical findings was observed and he was
discharged in a healthy condition on the 8th day. CONCLUSIONS: Ours is the first
case in the literature of parotitis seen during CCHF. CCHF should be considered
in differential diagnosis in addition to other frequently encountered viral
agents in patients from endemic regions presenting with a picture of non
suppurative parotitis.
PMID- 22079304
TI - Molecular epidemiology of a large community-based outbreak of hepatitis B in
Bristol, U.K.
AB - BACKGROUND: A large outbreak of hepatitis B virus (HBV) infection in the U.K.
occurred between 2001 and 2005 in Bristol, U.K. OBJECTIVES: To identify HBV
strains circulating amongst risk groups in the HBV outbreak cohort. STUDY DESIGN:
Cross-sectional study of acute HBV outbreak cases in Bristol. RESULTS: HBV
sequences from sera of 95 of the 237 cases (40%) were characterised. The majority
of cases (77%) were found to carry an HBV variant belonging to genotype D,
designated HBV(BV). Eighty-eight percent (36/41) of sequences from injection drug
users were HBV(BV) as were 70% (19/27) from those with heterosexual intercourse
as the primary identified risk factor. Of 15 sequences characterised from cases
of pre-outbreak acute or chronic hepatitis B residing in Bristol, 40% also
carried HBV(BV); the earliest was from a case identified in 1994. CONCLUSION: The
findings from this study link the spread of HBV(BV) from injecting drug users to
the general population through heterosexual intercourse during the outbreak. The
molecular sequencing of specimens from this outbreak reports the emergence of
HBV(BV), a HBV strain circulating in Bristol and South West England, as the cause
of one of the largest outbreaks of acute hepatitis B in the U.K.
PMID- 22079305
TI - Use of real-time polymerase chain reaction (rtPCR) as a diagnostic tool for
influenza infection in a vaccine efficacy trial.
AB - BACKGROUND: Conventional techniques for diagnosing influenza based on viral cell
culture or disease serology have limitations, and molecular assays, such as real
time polymerase chain reaction (rtPCR) are increasingly used. OBJECTIVES: To
evaluate the use of rtPCR as a diagnostic tool for the determination of influenza
virus infection. STUDY DESIGN: This prospective, double-blind, placebo
controlled, randomised efficacy study was conducted in persons aged 18-64 years.
Cases of influenza-like-illness (ILI), defined as at least one systemic symptom
[fever >=37.8 degrees C and/or myalgia] and at least one respiratory symptom
[cough and/or sore throat] were identified by active and passive surveillance.
For each case of suspected ILI, nasal and throat swabs were collected and
analysed by viral culture and rtPCR. RESULTS: 227 ILI cases were positive by
rtPCR while 64% (145/227) were positive by both rtPCR and culture. For both
assays, the maximum percentage of swabs that tested positive was on Day 0,
thereafter positive samples by rtPCR remained constant until Day 5 but decreased
progressively by culture. All rtPCR positive cases with a viral load of below
4.5log(10) copies/sample were negative by culture. There were however culture
negative cases with high viral loads. Vaccine efficacy for influenza was
estimated as 54.7% by rtPCR (culture positive or negative) and 61.6% by culture
irrespective of match to vaccine strain. Clinical severity was not significantly
different between culture positive cases and culture negative but rtPCR positive
cases. CONCLUSIONS: rtPCR is a sensitive and specific diagnostic tool for
influenza vaccine efficacy studies.
PMID- 22079306
TI - [Multidisciplinary meetings in oncology do not impact the physician-patient
relationship].
AB - CONTEXT: The setting of multidisciplinary meeting (MDM) by the French Cancer Plan
has introduced new decisional elements in the patient-physician relationship in
oncology. METHODS: To assess the potential impact of MDM on this relationship, a
study was conducted at the Tours Hospital: 145 questionnaires were collected from
patients whose files have been discussed in MDM, 40 questionnaires were collected
from physicians attending these meetings and an analysis of 324 files was
performed. RESULTS: Patients recognize the decisional process of MDM as
reassuring for 80% of them. However, a majority (73%) expressed that the most
important for them is the relationship with the referring physician, almost all
(96%) having a total or great confidence in him. The results emphasize that trust
appears to be related to the quality of communication, open dialogue and the
competence of the doctor in particular in the choice of treatment. A review of
files shows that in 91% of cases, the opinion of the RCP is applied and that, in
69% of cases, the referring doctor delivers the information to the patient after
MDM. From the physicians' perspective, 33/40 report that the MDM do not alter
their relationship with the patient. We note that 35/40 express that the
consultation after MDM facilitates the presentation of the decision and 37/40
that the decision is always or often applied in accordance with the opinion of
the MDM. CONCLUSION: MDM appears in most cases in this study not to modify the
patient-physician relationship. Due to the patient confidence into the referring
physician, the role of this one is essential in integrating the decisional
multidisciplinary opinion of MDM and it is important to ensure from his/her
disengagement in the decisional process.
PMID- 22079307
TI - [History of robotic surgery in surgery: a progressive evolution towards a
surgical revolution].
PMID- 22079308
TI - Predictors of warm ischemia time and perioperative complications in a
multicenter, international series of robot-assisted partial nephrectomy.
AB - BACKGROUND: Warm ischemia time (WIT) and complication rates are two important
parameters for evaluating the perioperative results of robot-assisted partial
nephrectomy (RAPN). Few data are available about the clinical predictors of WIT
and overall complications. OBJECTIVE: To identify clinical predictors of WIT and
perioperative complications. DESIGN, SETTING, AND PARTICIPANTS: This is a
retrospective study including 347 patients who underwent RAPN for suspicious
renal cell carcinoma (RCC) at four referral centers from September 2008 to
September 2010. INTERVENTION: All patients underwent RAPN using the da Vinci S
Surgical System with hilar clamping. MEASUREMENTS: WIT >20 min and overall
complication rates were the main outcomes. Postoperative complications were
classified according to the Clavien/Dindo system. Moreover, the following
perioperative variables were considered: clinical tumor size, anatomical tumor
characteristics according to Preoperative Aspects and Dimensions Used for an
Anatomical (PADUA) classification score, surgeon experience, console time, blood
loss, and upper collecting system (UCS) repair. RESULTS AND LIMITATIONS: WIT >20
min was reported in 125 (36%) cases. Intraoperative and postoperative
complications were observed in 10 (2.9%) and 41 (11.8%) cases, respectively.
Surgeon experience (odds ratio [OR]: 6.381; 95% confidence interval [CI], 3.687
11.042; p<0.001), clinical tumor size (OR: 1.022; 95% CI, 1.002-1.044; p=0.03),
the other anatomic characteristics determined by the PADUA classification score
(OR: 1.294; 95% CI, 1.080-1.549; p=0.005), and the UCS repair (OR: 2.987; 95% CI,
1.728-5.165; p<0.001) turned out to be independent predictors of WIT >20 min.
Similarly, surgeon experience (OR: 3.937; 95% CI, 2.011-7.705; p<0.001), clinical
tumor size (OR: 1.033; 95% CI, 1.009-1.058; p=0.007), and the other anatomical
characteristics determined by the PADUA classification score (OR: 1.427; 95% CI,
1.149-1.773; p<0.001) turned out to be independent predictors of overall
complication rates. The retrospective design is the main limitation of this
multicenter, international study. Therefore, some patient characteristics and
comorbidities were not recorded. CONCLUSIONS: Anatomic tumor characteristics as
determined by the PADUA classification score were independent predictors of WIT
and overall complications, once adjusted for the effects of surgeon experience
and clinical tumor size.
PMID- 22079309
TI - In vitro evaluation of tectoridin, tectorigenin and tectorigenin sodium sulfonate
on antioxidant properties.
AB - Tectoridin (4',5,7-thrihydroxy-6-methoxyisoflavone-7-O-beta-d-glucopyranoside)
isolated from the flowers of Pueraria thunbergiana is reported to have less
hepatoprotective, hypoglycemic, antiallergic and anaphylaxis inhibitory activity
than its aglycone form tectorigenin. To obtain tectorigenin, tectoridin was
hydrolyzed in the current study. However, practical limitations of tectorigenin
do exist due to its poor water-solubility. To increase its water-solubility,
tectorigenin was sulfonated with sulfuric acid (98wt.%) and mixed with saturated
salt water to produce tectorigenin sodium sulfonate. Tectoridin and the two
transfer products were identified by UV, IR, HPLC-MS, (1)H NMR and (13)C NMR, and
the solubility of tectorigenin sodium sulfonate was increased about 9-fold than
tectorigenin. Antioxidant experiments of tectoridin, tectorigenin and modified
tectorigenin in vitro including reducing power, superoxide anion radical
scavenging activity, hydroxyl radical scavenging activity, 1,1-diphenyl-2-picryl
hydrazyl (DPPH) radical scavenging activity and anti-lipid peroxidation were
carried on comparing with ascorbic acid (Vc) or butylated hydroxytoluene (BHT).
The results suggested that the antioxidant activity in all the experimental
systems exhibited the same order as follows: tectorigenin sodium
sulfonate>tectorigenin>tectoridin. Due to the high water-solubility and good
antioxidant properties with tectorigenin sodium sulfonate, appropriate chemical
modifications could greatly improve the biological activities of the naturally
occurring products.
PMID- 22079310
TI - Effect on pro-inflammatory and antioxidant genes and bioavailable distribution of
whole turmeric vs curcumin: Similar root but different effects.
AB - Curcuma longa is a perennial member of the Zingiberaceae family, and cultivated
mainly in India, and Southeast Asia. The hypothesis for this study is that
turmeric will have distinctive effects from curcumin due to the presence of other
bioactive compounds. Thirty Eight-week old Sprague-Dawley rats were separated
into three oral feeding groups. Group 1, standard rat chow, Control diet - AIN
93M, group 2 - Curcumin - 700ppm or 0.7g/kg diet, and group 3 - Turmeric -
14,000ppm or 14g/kg diet for a total of 3weeks. One group of rats were feed all
three diets only and another group underwent esophagoduodenal anastomosis to
evaluate the effects of bioavailability. Curcumin diet did not increase the
transcription of mRNA of TNF-alpha, IL-6, iNOS, and COX-2. The average fold
change in the mRNAs level was not significant. Whereas turmeric diet increases
the levels of IL-6 (1.9-fold, p=0.05), iNOS (4.39-fold, p=0.02), IL-8 (3.11-fold,
p=0.04), and COX-2 (2.02-fold, p=0.05), suggesting that turmeric either was more
bioavailable or had more affect on pro-inflammatory genes compare to curcumin
diet. We have demonstrated the molecular effects of curcumin and turmeric in the
role as an anti-inflammatory therapy. However, significant bioavailable
differences do occur and must be considered in further chemopreventative
investigative trials the setting of reflux esophagitis, Barrett's esophagus, and
other upper gastrointestinal cancers.
PMID- 22079311
TI - Antioxidant activities of Ganoderma lucidum polysaccharides and their role on DNA
damage in mice induced by cobalt-60 gamma-irradiation.
AB - In this study, the radio-protective effects of Ganoderma lucidum polysaccharides
(GLP) were investigated in a mouse animal model exposed to (60)Co gamma
irradiation. Each of three batches of mice were divided into five groups
(negative control, positive gamma irradiated control, and low, middle and high
dosage GLP groups). Different batches of animals were used to evaluate the impact
of GLP on peripheral white blood cell count, immune organ index; DNA damage,
lipid peroxidation; micronuclei formation, and nucleated cell count in bone
marrow induced by (60)Co gamma-irradiation. DNA strand-break and micronuclei
frequency were significantly reduced and glutathione peroxidase activity and
nucleated cell count in bone marrow were significantly increased by GLP treatment
in a dose-dependent manner. GLP intervention also increased the activity of
superoxide dismutase and decreased the level of malondialdehyde in middle and
high GLP treatment groups. No adverse effects were observed on peripheral white
blood cells and immune organ or body weight in either the control groups or GLP
treated gamma exposed mice. These findings suggest that GLP possesses marked
antioxidant capacity which plays an important role in the prevention of radiation
damage in mice induced by (60)Co gamma-irradiation.
PMID- 22079313
TI - Fish consumption during child bearing age: a quantitative risk-benefit analysis
on neurodevelopment.
AB - The fish ingredient N3-docosahexaenoic acid 22:6 n-3 (DHA) stimulates brain
development. On the other hand methylmercury (MeHg) in fish disturbs the
developing central nervous system. In this Context the IQ score in children is
considered as an aggregate measure of in utero brain development. To determine
the effect of DHA exposure on prenatal neurodevelopment the maternal DHA intake
during pregnancy was compared with its epidemiologically observed effect on the
IQ score of children. For MeHg the maternal intake was converted into its
accumulation in the maternal body. The maternal body burden then was compared
with its epidemiologically observed relationship with the IQ score. Taking the
MeHg and DHA content of 33 fish species the net effect of these compounds on the
IQ score was quantified. For most fish species the adverse effect of MeHg on the
IQ score exceeded the beneficial effect of DHA. In the case of long-living
predators a negative effect up to 10 points on the IQ score was found. The
results of this study indicate that food interventions aiming at the beneficial
effects of fish consumption should focus on fish species with a high DHA content,
while avoiding fish species with a high MeHg content.
PMID- 22079312
TI - Cancer chemoprevention by dietary chlorophylls: a 12,000-animal dose-dose matrix
biomarker and tumor study.
AB - Recent pilot studies found natural chlorophyll (Chl) to inhibit carcinogen uptake
and tumorigenesis in rodent and fish models, and to alter uptake and
biodistribution of trace (14)C-aflatoxin B1 in human volunteers. The present
study extends these promising findings, using a dose-dose matrix design to
examine Chl-mediated effects on dibenzo(def,p)chrysene (DBC)-induced DNA adduct
formation, tumor incidence, tumor multiplicity, and changes in gene regulation in
the trout. The dose-dose matrix design employed an initial 12,360 rainbow trout,
which were treated with 0-4000ppm dietary Chl along with 0-225ppm DBC for up to
4weeks. Dietary DBC was found to induce dose-responsive changes in gene
expression that were abolished by Chl co-treatment, whereas Chl alone had no
effect on the same genes. Chl co-treatment provided a dose-responsive reduction
in total DBC-DNA adducts without altering relative adduct intensities along the
chromatographic profile. In animals receiving DBC alone, liver tumor incidence
(as logit) and tumor multiplicity were linear in DBC dose (as log) up to their
maximum-effect dose, and declined thereafter. Chl co-treatment substantially
inhibited incidence and multiplicity at DBC doses up to their maximum-effect
dose. These results show that Chl concentrations encountered in Chl-rich green
vegetables can provide substantial cancer chemoprotection, and suggest that they
do so by reducing carcinogen bioavailability. However, at DBC doses above the
optima, Chl co-treatments failed to inhibit tumor incidence and significantly
enhanced multiplicity. This finding questions the human relevance of
chemoprevention studies carried out at high carcinogen doses that are not proven
to lie within a linear, or at least monotonic, endpoint dose-response range.
PMID- 22079314
TI - Pu-erh black tea extract supplementation attenuates the oxidative DNA damage and
oxidative stress in Sprague-Dawley rats with renal dysfunction induced by
subchronic 3-methyl-2-quinoxalin benzenevinylketo-1,4-dioxide exposure.
AB - 3-Methyl-2-quinoxalin benzenevinylketo-1,4-dioxide (Quinocetone, QCT), has been
used to treat dysentery and promote growth in animal feeding. However, available
data show that QCT has potential nephrotoxicity. The present study was designed
to investigate the protective effects of Pu-erh black tea extract (PBTE) which is
a traditional remedy in China with antioxidant properties against oxidative DNA
damage and oxidative stress in a rat model of QCT-induced renal dysfunction.
Increased serum creatinine, blood urea nitrogen, pathological lesions, urinary 8
hydroxy 2-deoxyguanosine (8-OHdG) and renal DNA damage were observed in the QCT
fed rats. These were accompanied by intracellular reactive oxygen species
accumulation, enhanced lipid peroxidation, and inhibited antioxidant system,
i.e., glutathione glutathione S-transferase, glutathione peroxidase and
glutathione reductase. Oral administration of PBTE effectively suppressed QCT
induced renal dysfunction, as evidenced by reduced serum creatinine, urinary 8
OHdG and DNA damage in isolated renal cells, amelioration of oxidative stress and
modulation of antioxidative system. In conclusion, PBTE administration
ameliorated QCT-induced nephrotoxicity by maintaining DNA's double-helix
architecture and mitigating oxidative stress.
PMID- 22079316
TI - Effects of acute nicotine administration on behavioral and neural (EEG)
correlates of working memory in non-smokers.
AB - Enhancements in working memory (WM) performance have been previously reported
following acute smoking/nicotine. Neuroimaging and behavioral assessments of
nicotine's effects on WM have yielded inconsistent findings. Few studies,
however, have examined the effects of nicotine on WM-related neural activity in
non-smokers. The present study examined the effect of acute nicotine gum
administration (6 mg) on electroencephalographic (EEG) activity (alpha(1),
alpha(2) and theta bands) and performance on the parametrically manipulated N
back task of WM in 20 non-smoking adults. EEG activity varied with WM load (e.g.
alpha(1) decreasing and theta increasing). Performance on the N-back was also
load-sensitive, with slower reaction times and decreased accuracy associated with
increasing memory load. Neither response speed nor accuracy measures were
affected by nicotine but EEG was, with the effects varying by load and brain
region. Nicotine-induced increases in alpha(2) and theta were observed under
lower (0-, 1-back) memory load conditions Additionally, nicotine significantly
reduced signal detection sensitivity values and altered response bias toward
being more conservative at all levels of the N-back. Taken together, these
findings suggest that while nicotine may boost WM neural processes at lower
levels of WM load in non-smokers, it also may activate concurrent behavioral
inhibition networks that negate any effects on behavioral performance.
Additionally, nicotine appears to have no impact, or perhaps a negative impact,
on these processes under more demanding (2-back, 3-back) conditions in non
smokers.
PMID- 22079315
TI - The mechanism of word crowding.
AB - Word reading speed in peripheral vision is slower when words are in close
proximity of other words (Chung, 2004). This word crowding effect could arise as
a consequence of interaction of low-level letter features between words, or the
interaction between high-level holistic representations of words. We evaluated
these two hypotheses by examining how word crowding changes for five
configurations of flanking words: the control condition - flanking words were
oriented upright; scrambled - letters in each flanking word were scrambled in
order; horizontal-flip - each flanking word was the left-right mirror-image of
the original; letter-flip - each letter of the flanking word was the left-right
mirror-image of the original; and vertical-flip - each flanking word was the up
down mirror-image of the original. The low-level letter feature interaction
hypothesis predicts similar word crowding effect for all the different flanker
configurations, while the high-level holistic representation hypothesis predicts
less word crowding effect for all the alternative flanker conditions, compared
with the control condition. We found that oral reading speed for words flanked
above and below by other words, measured at 10 degrees eccentricity in the nasal
field, showed the same dependence on the vertical separation between the target
and its flanking words, for the various flanker configurations. The result was
also similar when we rotated the flanking words by 90 degrees to disrupt the
periodic vertical pattern, which presumably is the main structure in words. The
remarkably similar word crowding effect irrespective of the flanker
configurations suggests that word crowding arises as a consequence of
interactions of low-level letter features.
PMID- 22079317
TI - Genistein inhibits aggregation of exogenous amyloid-beta1-40 and alleviates
astrogliosis in the hippocampus of rats.
AB - We addressed the question of whether injection of Amyloid beta (Abeta)(1-40) in
the rat brain is associated with pathology in the hippocampus, and if genistein
has any protective effect against the neuronal damage caused by Abeta(1-40).
Genistein is a plant-derived compound with a structure similar to that of the
female sex hormone estrogen and it was recently shown that pretreatment with a
single dose of genistein ameliorated learning and memory deficits in an (Abeta)(1
40) rat model of Alzheimer's disease. Here, we report that injection of the
amyloid peptide into the hippocampus of rats led to formation of Abeta(1-40)
positive aggregates close to the lateral blade of the dentate gyrus (DGlb). We
also observed the following in the hippocampus: extensive cell death in the DGlb
(P<0.0001), CA1 (P=0.03), and CA3 (P=0.002); an increased number of iNOS
expressing cells (P=0.01) and gliosis. Genistein given to rats by gavage 1h
before injection of Abeta(1-40) inhibited the formation of Abeta(1-40) positive
aggregates in the brain tissue and led to increased number of nNOS(+) (P=0.0001)
cells in the hippocampus compared to sham-operated genistein-treated controls.
Treatment with genistein also alleviated the extensive astrogliosis that occurred
in Abeta(1-40)-injected hippocampus to a level similar to that observed in sham
operated rats. We conclude that the neurons in the DGlb are most sensitive to
Abeta(1-40), and a single dose of genistein can ameliorate Abeta(1-40) induced
pathology.
PMID- 22079318
TI - Effects of A-CREB, a dominant negative inhibitor of CREB, on the expression of c
fos and other immediate early genes in the rat SON during hyperosmotic
stimulation in vivo.
AB - Intraperitoneal administration of hypertonic saline to the rat supraoptic nucleus
(SON) increases the expression of several immediate early genes (IEG) and the
vasopressin gene. These increases have usually been attributed to action of the
cyclic-AMP Response Element Binding Protein (CREB). In this paper, we study the
role of CREB in these events in vivo by delivering a potent dominant-negative
form of CREB, known as A-CREB, to the rat SON through the use of an adeno
associated viral (AAV) vector. Preliminary experiments on HEK 293 cells in vitro
showed that the A-CREB vector that we used completely eliminated CREB-induced c
fos expression. We stereotaxically injected this AAV-A-CREB into one SON and a
control AAV into the contralateral SON of the same rat. Two weeks following these
injections we injected hypertonic saline intraperitoneally into the rat. Using
this paradigm, we could measure the relative effects of inhibiting CREB on the
induced expression of c-fos, ngfi-a, ngfi-b, and vasopressin genes in the A-CREB
AAV injected SON versus the control AAV injected SON in the same rat. We found
only a small (20%) decrease of c-fos expression and a 30% decrease of ngfi-b
expression in the presence of the A-CREB. There were no significant changes in
expression found in the other IEGs nor in vasopressin that were produced by the A
CREB. This suggests that CREB may play only a minor role in the expression of
IEGs and vasopressin in the osmotically activated SON in vivo.
PMID- 22079319
TI - Dose-dependent effects of neonatal SSRI exposure on adult behavior in the rat.
AB - Neonatal exposure to antidepressants produces lasting impairments in male sexual
behavior. Although perturbation of the serotonin system during neonatal life has
been implicated in the long-term behavioral effects of neonatal antidepressant
exposure, dose-response studies were necessary to confirm that inhibition of the
serotonin transporter during the neonatal period is sufficient to produce
impairments in sexual behavior. Therefore, the present study examined the dose
response effects of neonatal citalopram exposure on sexual behavior. In addition,
the effects of exposure on anxiety-related behavior were examined since
alterations in this behavioral measure could affect sexual behavior. Male Long
Evans rats were injected subcutaneously with citalopram (CTM) in one of three
doses (5, 10 or 20mg/kg/d), or saline (SAL) in a volume of 0.1 ml twice daily
(07:00 and 14:00 h) from PD8 to PD21. The rats were tested as adults (>PD90) for
anxiety-like behavior and exploration in the elevated plus maze test and sexual
behavior. Neonatal citalopram exposure produced persistent reductions in male
sexual behavior characterized by significant dose-dependent reductions in the
percentage of male rats displaying mounting as well as dose-dependent reductions
in the number of mounts and mount latency. Neonatal citalopram exposure also
produced significant dose-dependent linear trends for reductions in intromission
and ejaculation behavior. However, neonatal SSRI exposure was not found to
produce any effects on exploration or anxiety-like behavior in the elevated plus
maze test. The present findings support the hypothesis that inhibition of the
serotonin transporter during neonatal life by an SSRI is directly responsible for
the long-term effects on male sexual behavior.
PMID- 22079320
TI - A single administration of methamphetamine to mice early in the light period
decreases running wheel activity observed during the dark period.
AB - Repeated intermittent administration of amphetamines acutely increases appetitive
and consummatory aspects of motivated behaviors as well as general activity and
exploratory behavior, including voluntary running wheel activity. Subsequently,
if the drug is withdrawn, the frequency of these behaviors decreases, which is
thought to be indicative of dysphoric symptoms associated with amphetamine
withdrawal. Such decreases may be observed after chronic treatment or even after
single drug administrations. In the present study, the effect of acute
methamphetamine (METH) on running wheel activity, horizontal locomotion,
appetitive behavior (food access), and consummatory behavior (food and water
intake) was investigated in mice. A multi-configuration behavior apparatus
designed to monitor the five behaviors was developed, where combined measures
were recorded simultaneously. In the first experiment, naive male ICR mice showed
gradually increasing running wheel activity over three consecutive days after
exposure to a running wheel, while mice without a running wheel showed gradually
decreasing horizontal locomotion, consistent with running wheel activity being a
positively motivated form of natural motor activity. In experiment 2, increased
horizontal locomotion and food access, and decreased food intake, were observed
for the initial 3h after acute METH challenge. Subsequently, during the dark
phase period decreased running wheel activity and horizontal locomotion were
observed. The reductions in running wheel activity and horizontal locomotion may
be indicative of reduced dopaminergic function, although it remains to be seen if
these changes may be more pronounced after more prolonged METH treatments.
PMID- 22079321
TI - Developmental changes in the expression of Kappav1 potassium channels in rat
vestibular ganglion cells.
AB - The primary afferent neurons of the vestibular ganglion convey sensory
information from hair cells in the semicircular canals and otolith organs to the
vestibular nuclei, the adjacent brainstem and the cerebellum. The intrinsic
firing properties of vestibular ganglion cells (VGCs) are heterogeneous and have
been classified into phasic, intermediate and tonic firing types on the basis of
their response to injected depolarizing currents. A previous study from our group
showed that the proportion of phasic discharging VGCs decreased during the first
postnatal weeks. Moreover, alpha-dendrotoxin (alpha-DTX), a Kv1 potassium
channels antagonist, turned neuron phasic firing to tonic, thus suggesting that
these channels play an important role in the developmental changes of VGCs firing
patterns. Here, by using immunohistochemistry, Western blotting and quantitative
real-time reverse transcriptase-polymerase chain reaction (RT-PCR), we explored
the change in the expression of alpha-DTX-sensitive K(+) channels, Kv1.1, Kv1.2
and Kv1.6 in rat VGCs during early postnatal periods. We showed that expression
of Kv1.6 protein is down-regulated together with expression of Kv1.6 mRNA after
postnatal day 7 in rat VGCs whereas expression of Kv1.1 and Kv1.2 proteins did
not change during the same developmental period. Our results suggest that down
regulation of the Kv1.6 protein and mRNA may be associated with maturation of
excitable properties of primary vestibular neurons.
PMID- 22079322
TI - Ex vivo detection for chronic ethanol consumption-induced neurochemical changes
in rats.
AB - The aim of this study was to quantitatively investigate the chronic ethanol
induced cerebral metabolic changes in various regions of the rat brain, using the
proton high resolution magic angle spinning spectroscopy technique. The rats were
divided into two groups (control group: N=11, ethanol-treated group: N=11) and
fed with the liquid diets for 10 weeks. In each week, the mean intake volumes of
liquid diet were measured. The brain tissues, including cerebellum (Cere),
frontal cortex (FC), hippocampus (Hip), occipital cortex (OC) and thalamus
(Thal), were harvested immediately after the end of experiments. The ex vivo
proton spectra for the five brain regions were acquired with the Carr-Purcell
Meiboom-Gill (CPMG) pulse sequence at 500-MHz NMR spectrometer. All of the
spectra were processed using the LCModel software, with simulated basis-set file,
and the metabolite levels were referenced to total creatine. In the ethanol
liquid diet group, there were significant increases in the metabolites ratio
levels, as compared to control (Cere: alanine, glutathione, and N
acetlyaspartate; FC: phosphocholine and taurine; Hip: alanine, glutamine, and N
acetylaspartate; OC: glutamine; Thal: alanine, gamma-aminobutyric acid,
glutamate, glycerophosphocholine, phosphocholine, taurine, and free choline).
However, in the ethanol liquid diet group, the myo-inositol levels of the OC were
significantly lower. The present study demonstrates how chronic ethanol
consumption affects cerebral metabolites in the chronic ethanol-treated rat.
Therefore, this result could be useful to pursue clinical applications for
quantitative diagnosis in human alcoholism.
PMID- 22079323
TI - Cortical thickness analysis and optimized voxel-based morphometry in children and
adolescents with prelingually profound sensorineural hearing loss.
AB - Crossmodal neuroplastic changes following auditory deprivation in individuals
with profound sensorineural hearing loss (SNHL) have been well documented in
previous literature. However, previous studies have shown very little evidence of
structural brain changes in individuals with prelingually profound SNHL and no
studies have reported significant differences of gray matter (GM) in deaf
subjects. Therefore, it is essential to employ a more specific and sensitive
technique to detect subtle structural brain differences in deaf individuals. The
objective of our study was to investigate neuroanatomical differences in children
and adolescents with profound SNHL by cortical thickness analysis and optimized
voxel-based morphometry (VBM). T1-weighted volumetric images of 16 children and
adolescents with prelingually profound SNHL and 16 hearing controls were
analyzed. The ANCOVA analysis revealed a statistically significant decreased
average cortical thickness of the whole brain. As to vertex-based analysis,
cortical thickness of the deaf subjects showed significant thinning in the left
precentral gyrus, right postcentral gyrus, the left superior occipital gyrus and
the left fusiform gyrus compared with the hearing subjects. VBM revealed
statistically significant focal reduction of white matter (WM) volume in the left
middle frontal gyrus and the right inferior occipital gyrus in deaf subjects
without statistically significant differences in GM volume between the two
groups. These findings demonstrated that structural changes happened not only in
the WM but also in the GM of the subjects with prelingually profound SNHL, which
have never been reported before in any previous literature. Our results also
implicated the potential neuroplastic changes associated with crossmodal
reorganization in the brain after auditory deprivation in the early deafness.
PMID- 22079324
TI - Downregulation of miR-199a may play a role in 3-nitropropionic acid induced
ischemic tolerance in rat brain.
AB - MicroRNAs (miR) are single-stranded short RNA molecules that regulate gene
expression by degradation or translational repression of mRNA. It has been
reported that the downregulation of miR-199a plays an important role in cardiac
ischemic tolerance. We examined the expression of miR-199a after 3-nitropropionic
acid (3-NPA) preconditioning in rat brain. 3-NPA (20mg/kg), an irreversible
inhibitor of succinate dehydrogenase, was injected intraperitoneally to induce
ischemic tolerance in rats. For comparison, the control group received
intraperitoneal injections of vehicle (0.9% sodium chloride). Quantitative RT-PCR
assay was applied to detect the expression of miR-199a. Luciferase reporter
assays and Western blotting were used to verify the target genes of miR-199a. In
cortex and striatum, miR-199a was downregulated at two separate time intervals
(the 2nd and 4th day), while in the hippocampus, it was downregulated on the 2nd
day after 3-NPA preconditioning. The maximum reduction of miR-199a was 66.3% in
striatum (4th day), 54.9% in hippocampus (2nd day), and 27.6% in cortex (2nd
day). The level of sirt1 protein, a putative target of miR-199a and a known
mediator of neuroprotective effect in brain ischemic tolerance, decreased
significantly in hippocampal neurons by overexpression of miR-199a, while it
increased with knockdown of miR-199a. Taking these results together, we
hypothesize miR-199a may have a role in the formation of cerebral ischemic
tolerance.
PMID- 22079325
TI - Increased expression of placental growth factor in patients with temporal lobe
epilepsy and a rat model.
AB - Placental growth factor (PIGF) plays a role in angiogenesis and neuroprotection.
It has been suggested that angiogenesis and blood-brain barrier damage are
involved in the pathophysiology of epilepsy. In this study, we investigated the
PIGF expression in the temporal neocortices of 11 patients with pharmaco
resistant temporal lobe epilepsy (TLE) and 6 non-epileptic controls, using double
immunofluorescence labeling, immunohistochemistry and Western blotting. We also
assessed PIGF expression pattern in a rat model of TLE induced by lithium
chloride-pilocarpine. We found that PIGF expression was significantly elevated in
patients with TLE than in control. TLE patients with initial injuries had
significantly higher PIGF level than those without initial injuries. In the TLE
rat model, PIGF upregulation started at 6h after status epilepticus and
maintained at significant high level for up to 60 days. These results suggest
that the augmentation of brain PIGF is associated with development of epilepsy.
PMID- 22079326
TI - The ratio of phosphatidylcholine to phosphatidylethanolamine does not predict
integrity of growing MT58 Chinese hamster ovary cells.
AB - Phosphatidylcholine (PC) homeostasis is important for maintaining cellular growth
and survival. Cellular growth and apoptosis may also be influenced by the PC to
phosphatidylethanolamine (PE) ratio as a reduction in this ratio can result in a
loss of membrane integrity. To investigate whether a reduced PC:PE ratio
influences cellular growth and apoptosis, we utilized the MT58 cell line, which
contains a thermo-sensitive mutation in CTP:phosphocholine cytidylyltransferase
alpha, the rate-limiting enzyme for PC biosynthesis. Incubation of MT58 cells at
the restrictive temperature of 41 degrees C results in a reduction of cellular PC
and induces apoptosis. Furthermore, MT58 cells have a 50% reduction in the PC:PE
ratio when incubated at 41 degrees C. In an attempt to normalize the PC:PE ratio,
which may stabilize cellular membranes and rescue MT58 cells from apoptosis, the
cells were treated with either silencing RNA to impair PE biosynthesis or
lysophosphatidylcholine to increase PC mass. Impairing PE biosynthesis in MT58
cells reduced cellular PE and PC concentrations by 30% and 20%, but did not
normalize the PC:PE ratio. Loss of both phospholipids enhanced the onset of
apoptosis in MT58 cells. Lysophosphatidylcholine normalized cellular PC,
increased PE mass by 10%, restored cellular growth and prevented apoptosis of
MT58 cells without normalizing the PC:PE ratio. Furthermore, total amount of
cellular PC and PE, but not the PC:PE ratio, correlated with cellular growth
(R(2)=0.76), and inversely with cellular apoptosis (R(2)=0.97). These data
suggest the total cellular amount of PC and PE, not the PC:PE ratio, influences
growth and membrane integrity of MT58 cells.
PMID- 22079327
TI - How to recognise collateral damage in partial nerve injury models of neuropathic
pain.
PMID- 22079329
TI - CETP inhibition in perspective.
PMID- 22079328
TI - The spectrum of pyruvate dehydrogenase complex deficiency: clinical, biochemical
and genetic features in 371 patients.
AB - CONTEXT: Pyruvate dehydrogenase complex (PDC) deficiency is a genetic
mitochondrial disorder commonly associated with lactic acidosis, progressive
neurological and neuromuscular degeneration and, usually, death during childhood.
There has been no recent comprehensive analysis of the natural history and
clinical course of this disease. OBJECTIVE: We reviewed 371 cases of PDC
deficiency, published between 1970 and 2010, that involved defects in subunits
E1alpha and E1beta and components E1, E2, E3 and the E3 binding protein of the
complex. DATA SOURCES AND EXTRACTION: English language peer-reviewed publications
were identified, primarily by using PubMed and Google Scholar search engines.
RESULTS: Neurodevelopmental delay and hypotonia were the commonest clinical signs
of PDC deficiency. Structural brain abnormalities frequently included
ventriculomegaly, dysgenesis of the corpus callosum and neuroimaging findings
typical of Leigh syndrome. Neither gender nor any clinical or neuroimaging
feature differentiated the various biochemical etiologies of the disease.
Patients who died were younger, presented clinically earlier and had higher blood
lactate levels and lower residual enzyme activities than subjects who were still
alive at the time of reporting. Survival bore no relationship to the underlying
biochemical or genetic abnormality or to gender. CONCLUSIONS: Although the
clinical spectrum of PDC deficiency is broad, the dominant clinical phenotype
includes presentation during the first year of life; neurological and
neuromuscular degeneration; structural lesions revealed by neuroimaging; lactic
acidosis and a blood lactate:pyruvate ratio <=20.
PMID- 22079330
TI - Development of IgA nephropathy-like glomerulonephritis associated with Wiskott
Aldrich syndrome protein deficiency.
AB - Wiskott-Aldrich syndrome (WAS) is a rare X-linked disorder caused by mutations in
the WAS gene. Glomerulonephritis is a frequent complication, however,
histopathological data from affected patients is scarce because the
thrombocytopenia that affects most patients is a contraindication to renal
biopsies. We found that WASp-deficient mice develop proliferative
glomerulonephritis reminiscent of human IgA nephropathy (IgAN). We examined
whether increased aberrant IgA production is associated with the development of
glomerulonephritis in WASp-deficient mice. Serum IgA and IgA production by
splenic B cells was increased in WASp-deficient mice compared to wild-type (WT)
mice. A lectin-binding study revealed a reduced ratio of sialylated and
galactosylated IgA in the sera from old WASp-deficient mice. Circulating IgA
containing immune complexes showed significantly higher titers in WASp-deficient
mice compared to WT mice. These results indicate that the increased IgA
production and aberrant glycosylation of IgA may be critically involved in the
pathogenesis of glomerulonephritis in WAS.
PMID- 22079331
TI - Targeted immunosuppression: no longer naive.
PMID- 22079332
TI - Patterns of estrous cycles, estrous behavior, and circulating prolactin in spring
and summer in ewes selected for autumn lambing and exposed to ambient or long-day
photoperiods.
AB - Estrous behavior in response to ambient and long-day photoperiods was evaluated
in ewes developed by 10 years of selection for ability to lamb in autumn.
Following October lambing, 67 ewes were moved indoors and exposed to long-day
(16L:8D) or ambient photoperiods from February 2 until July 6. Two vasectomized
rams with marking harnesses were housed with each group. Estrous behavior was
monitored twice weekly. Ewes from the selection line were unresponsive to long
days, with no effects on estrous behavior, frequency of ovulation, or circulating
prolactin. Adult ewes were anestrus for only 34+/-3 d, but 2- and 3-years-old
ewes were anestrus for 72+/-7 and 57+/-10 d, respectively. Frequencies of
ovulation based on circulating progesterone concentrations in March, May, and
June were 97%, 95% and 52%, respectively, indicating that many ewes that did not
exhibit estrus still ovulated. Prolactin concentrations increased from 10 ng/ml
in February to 27 ng/ml in March and 173 ng/ml in June but were not affected by
light treatment. Ten ewes that failed to exhibit estrus behavior for at most 24 d
during the main study were then monitored for 74 additional long days. Nine of 10
ewes did not exhibit estrus for periods similar to 1 or 2 estrus cycles during
this period, but eight ewes re-initiated cycles by the end of the study on
September 18. Selection for ability to lamb in autumn thus resulted in ewes with
an abbreviated seasonal anestrus and reduced sensitivity to long days.
PMID- 22079333
TI - [The social value of informal care provided to elderly dependent people in
Spain].
AB - OBJECTIVE: To analyze one part of the social benefit derived from non
professional (informal) caregivers by analyzing the hypothetical amount of
resources that would need to be invested if informal care were substituted by
formal care. METHODS AND DATA: Using data from the Survey of Disabilities,
Personal Autonomy and Situations of Dependency (EDAD-2008), we estimated the cost
to society if informal care were substituted by formal care of the population
aged 65 years and older. For this purpose, first we computed the total amount of
informal caregiving hours provided in Spain in 2008, and then we obtained its
monetary worth by using the proxy good method. RESULTS: The monetary worth of
informal care provided in 2008 ranged from 25,000 and 40,000 million euros,
depending on the shadow price used to value one hour of care. These figures
represented between 2.3% and 3.8% of the GDP for the same year. In regional
terms, the valuation of informal care across Spain's autonomous regions showed a
significant degree of dispersion, and in some regions, amounted to 6% of their
GDP. CONCLUSIONS: The comprehensive approach to the care of the elderly should
take the role and needs of informal caregivers into consideration. Caregivers
should be given greater social recognition.
PMID- 22079334
TI - IL-33 enhances Siglec-8 mediated apoptosis of human eosinophils.
AB - IL-33 activates eosinophils directly via the ST2 receptor. Like IL-5, IL-33
induces eosinophilia and eosinophilic airway inflammation in mouse models and
primes human eosinophil responses. Previously, we reported that IL-5 priming
enhances Siglec-8 mediated mitochondrial and reactive oxygen species (ROS)
dependent eosinophilic apoptosis and eliminates caspase dependence of this cell
death process. Whether IL-33, like IL-5, augments pro-apoptotic pathways
involving receptors such as Siglec-8 and in a similar manner has not been
explored. Annexin-V labeling was performed to detect apoptosis in human
eosinophils pre-incubated with or without a range of concentrations of IL-33
and/or IL-5 in the presence or absence of Siglec-8 monoclonal antibody (mAb) 2C4
and inhibitors of caspases. Tetramethyl-rhodamine staining was used as a marker
of mitochondrial membrane potential loss and injury. ROS production was
determined by measuring the superoxide dismutase-inhibitable reduction of
cytochrome c. Cleavage of poly(ADP-ribose) polymerase (PARP) was assessed using
Western blotting. Eosinophils cultured alone or with mAb 2C4 underwent low levels
of apoptosis at 24h. 2C4-induced eosinophil apoptosis was markedly and equally
enhanced after culture for 24h with either IL-33 or IL-5, although IL-5 was more
potent. Effects on apoptosis with IL-33 and IL-5 were synergistic. In contrast,
percentages of cells exhibiting reduced mitochondrial membrane potential were
greater with IL-33 than IL-5 and effects of these cytokines were also
synergistic. Antimycin, an inhibitor of mitochondrial electron transport, almost
completely inhibited 2C4-induced apoptosis with either IL-33 or IL-5.
Surprisingly, 2C4-induced eosinophil ROS production was significantly enhanced
with IL-5 but not IL-33. Siglec-8-mediated apoptosis in the presence of IL-33 was
more sensitive in magnitude than IL-5 to inhibition by the pan-caspase inhibitor
Z-VAD-FMK, yet both cytokine conditions were associated with PARP cleavage. These
data demonstrate that IL-33 is as effective but less potent than IL-5 in
enhancing Siglec-8-mediated eosinophil apoptosis, and can synergize with IL-5.
Eosinophils primed by IL-33 and/or IL-5 in vivo would be expected to display
enhanced susceptibility to undergoing Siglec-8-induced apoptosis.
PMID- 22079335
TI - Hematopoiesis sculpted by pathogens: Toll-like receptors and inflammatory
mediators directly activate stem cells.
AB - Hematopoietic stem cells (HSCs) repopulate the immune system during normal
replenishment as well as under the burden of pathogen stress, but the respective
outcomes of differentiation are not the same. Under homeostatic conditions such
as those which accompany turnover of immune cell subsets, HSCs appear to co
equally prime genes associated with the major downstream lineages: lymphoid,
myeloid, and megakaryocyte/erythroid. Recent studies reveal, however, that during
pathogen exposure, hematopoiesis may yield progeny in proportions different than
those produced under homeostasis. At least some of these effects may be due to
pathogen engagement of Toll-like receptors (TLRs) expressed on HSCs. HSCs are
also responsive to inflammatory cytokines that are produced in response to
pathogen burden and are present in the bone marrow microenvironment. Thus,
hematopoiesis is not a formulaic process that produces the same, predictable
outcome regardless of the specific environmental context. Rather, hematopoiesis
represents a dynamic biological system that can be appreciably responsive to
environmental factors, an influence that extends to the level of the HSC itself.
Knowledge of functional consequences of TLR ligation on HSCs may be
therapeutically exploited and applied to treatment of hematopoietic insufficiency
in the setting of infection and disease.
PMID- 22079336
TI - Point-to-point registration with mandibulo-maxillary splint in open and closed
jaw position. Evaluation of registration accuracy for computer-aided surgery of
the mandible.
AB - INTRODUCTION: Computer navigation plays an increasingly important role in
craniomaxillofacial surgery. The difficulties in computer navigation at the
craniomaxillofacial site lie in the accurate transmission of the dataset to the
operating room. This study investigates the accuracy of the dental-splint
registration method for the skull, midface, and mandible. MATERIAL AND METHODS: A
synthetic human skull model was prepared with landmarks and scanned with cone
beam computer tomography (CBCT). Two registration splints fixed the mandible
against the viscerocranium in two different positions (closed vs. open). The
target registration error was computed in all 278 landmarks spread over the
entire skull and mandible in 10 repeated measurements using the VectorVision(2)
(BrainLAB Inc., Feldkirchen, Germany) navigation system. RESULTS: If registered
in the closed position an average precision of 2.07 mm with a standard deviation
(SD) of 0.78 mm was computed for all landmarks distributed over the whole skull.
Registration in the open position resulted in an average precision of 1.53 mm
(SD=0.55 mm). For single landmarks the precision decreases linearly with distance
from the reference markers. The longer the three-dimensional distance between the
registration points, the more precise the computer navigation is, mainly in the
most posterior area of the cranium. CONCLUSION: Our findings in the cranium are
comparable with those of other studies. Artificial fixation of the lower jaw via
splint seems to introduce no additional error. The registration points should be
as far apart from each other as possible during navigation with the splint.
PMID- 22079337
TI - A fast and accurate automatic lung segmentation and volumetry method for MR data
used in epidemiological studies.
AB - In modern epidemiological population-based studies a huge amount of magnetic
resonance imaging (MRI) data is analysed. This requires reliable automatic
methods for organ extraction. In the current paper, we propose a fast and
accurate automatic method for lung segmentation and volumetry. Our approach
follows a "coarse-to-fine" segmentation strategy. First, we extract the lungs and
trachea excluding the main pulmonary vessels. This step is executed very fast and
allows for measuring the volume of both structures. Thereafter, we start a
refinement procedure that consists of three main stages: trachea extraction, lung
separation, and filling the cavities on the final lung masks. After the trachea
extraction step the volumes of both lungs without the main vessels can be
measured. The final segmentation step results in the volumes of the left and
right lungs including the vessels. The method has been tested by processing MR
datasets from ten healthy participants. We compare our results with manually
produced masks and obtain high agreement between the expert reading and our
method: the True Positive Volume Fraction is more than 95%. The proposed
automatic approach is fast and accurate enough to be applied in clinical routine
for processing of thousands of participants.
PMID- 22079338
TI - Mean platelet volume (MPV) is associated with leukoaraiosis in the apparently
healthy elderly.
AB - Leukoaraiosis refers to diffuse white matter abnormalities on MR brain scans,
which have been suggested to be associated with cerebral microangiopathy and
geriatric syndromes such as cognitive impairment, depressive mood and gait
disturbance. MPV is a simple indicator of platelet size and has been known to be
a marker of platelet activity. MPV is now considered a link between inflammation
and thrombosis in multiple cardiovascular and cerebrovascular disorders including
hypertension, peripheral artery disease, and stroke. This cross-sectional study
aims to evaluate the association between MPV and leukoaraiosis for 223 healthy
elderly subjects (142 men, 81 women; mean age 66.0+/-5.2 years) in a health
examination program including brain magnetic resonance imaging (MRI) scans. The
overall prevalence rate of leukoaraiosis was 15.3%. The mean MPV was
significantly higher in the leukoaraiosis group than control group: 8.4+/-0.8 and
8.1+/-1.0, respectively (p=0.036). A higher value of MPV was independently
associated with an increased risk of leukoaraiosis. In the multivariate logistic
analysis, the odds ratio (OR) (95% confidence interval (CI)) for leukoaraiosis
was 1.61 (1.02-2.53) with 1 fL of MPV increment after adjusting for confounding
variables. In conclusion, MPV was found to be independently and positively
associated with leukoaraiosis. This finding indicates that MPV values may be a
useful additional measure in assessing the risk of leukoaraiosis in the clinical
setting.
PMID- 22079339
TI - Mapping of the binding sites for the OX1 orexin receptor antagonist, SB-334867,
using orexin/hypocretin receptor chimaeras.
AB - The binding sites for agonists and antagonist of orexin receptors are not know,
hampering progressive drug design approaches. In the current study, we utilized
chimaeric orexin receptor approach to map the receptor areas contributing to the
selectivity of the classical antagonist, SB-334867, for OX(1) receptors.
Altogether ten chimaeras between OX(1) and OX(2) orexin receptors were utilized.
The receptors were transiently expressed in HEK-293 cells. The ability (K(B)) of
SB-334867 to inhibit orexin-A-induced inositol phosphate release (phospholipase C
activity) was measured. The results, in synthesis, suggest that there are several
possible interactions contributing to the high affinity binding, all of which are
not required simultaneously. This is indicated by the fact that most of the
chimaeras display affinity (at least somewhat) higher than OX(2). As previously
shown for the agonist distinction, the second quarter of the receptor, from the C
terminal part of the transmembrane helix 2 to the transmembrane helix 4 seems to
be most central also for SB-334867 binding, but also the third quarter, from the
transmembrane helix 4 to the transmembrane helix 6 is able to contribute (and
compensate for loss of other sites). A previous study has suggested that amino
acids conserved between OX(1) and OX(2) receptors would somehow confer
selectivity for subtype-selective antagonists. In contrast to previous findings,
our results indicate that the amino acids distinct between the receptor subtypes
are in key position.
PMID- 22079340
TI - Multi-organ investigation in 16 CADASIL families from central Italy sharing the
same R1006C mutation.
AB - Cerebral autosomal dominant arteriopathy with subcortical infarcts and
leukoencephalopathy (CADASIL) may involve many target organs with relevant
variability among affected individuals. We performed a multi-organ assessment
tapping nervous system, skeletal muscle and cardiovascular system in thirty-nine
individuals belonging to 16 families from Central Italy sharing the same R1006C
CADASIL mutation. Stroke prevalence was larger in female patients (66.7%) than in
males (23.8%); high levels of CKemia were quite frequent (21.6%) and were related
to a myopathy without mitochondrial alterations; several individuals had atrial
septal aneurysm (10.3%). No specific relationships between common cardiovascular
risk factors and clinical manifestations were found. The present systematic study
thus identified several gender-related, myopathic and cardiovascular
peculiarities of R1006C mutation. This kind of comprehensive approach is
necessary to define clinical course, prognosis and treatment options for a multi
organ disease such as CADASIL.
PMID- 22079341
TI - Investigation of the mechanisms of progesterone protection following oxygen
glucose deprivation in organotypic hippocampal slice cultures.
AB - This study aimed to test the hypothesis that progesterone is neuroprotective
against oxygen-glucose deprivation (OGD) through its conversion to the active
metabolite allopregnanolone (AlloP) and the potentiation of GABA(A) receptors.
Organotypic hippocampal cultures were exposed to 2h of OGD and the resulting cell
death was quantified 24h later using combined propidium iodide and Hoechst
immunostaining. Initially, we confirmed, that both progesterone and AlloP were
protective in terms of reducing cell death following OGD in hippocampal cultures
and for both, the optimal level of protection was observed at a concentration of
0.1MUM. However, the protective effect of progesterone was absent in the presence
of finasteride (10MUM) which inhibits the metabolism of progesterone to active
metabolites, including AlloP. In addition, the concurrent application of
picrotoxin (100MUM), a potent GABA(A) receptor antagonist, prevented the
protection previously seen by either progesterone or AlloP alone. These results
indicate that progesterone protects hippocampal cultures from cell death
following OGD largely due to its conversion to AlloP and that GABA(A) receptors
are important mediators of the protective effects of both progesterone and AlloP.
PMID- 22079342
TI - Cervical dermoid sinus in a cat: case presentation and review of the literature.
AB - A 6-month-old female spayed domestic shorthair cat was presented for evaluation
of a focal subcutaneous swelling on the dorsal neck at the level of atlas. The
magnetic resonance imaging and surgical treatment of a dermoid sinus associated
with the cervical vertebrae is described. To the authors' knowledge, a dermoid
sinus in this location has not been described previously in the cat. The
prognosis following surgical resection appears favorable.
PMID- 22079343
TI - Mycobacterial disease in cats in Great Britain: I. Culture results, geographical
distribution and clinical presentation of 339 cases.
AB - This study investigated 339 cases of feline mycobacterial disease from cats with
cutaneous lesions or masses found at exploratory laparotomy. Tissue samples were
submitted to the Veterinary Laboratories Agency for mycobacterial culture over a
4-year period to December 2008. The study assessed which species of culturable
mycobacteria were involved, where the cats lived, and their clinical presentation
(physical findings, serum biochemistry, radiography, feline leukaemia virus and
feline immunodeficiency virus status). Mycobacterium microti was cultured from
19%, Mycobacterium bovis 15%, Mycobacterium avium 7%, non-M avium non-tuberculous
mycobacteria 6%, with no growth in 53% of samples. M microti, M bovis and M avium
were found in almost mutually exclusive clusters within Great Britain (GB) (ie, M
bovis in South-West England/Wales/Welsh Border, M avium in eastern England and M
microti south of London and in South-West Scotland). While differences were seen
in the clinical presentation and distribution of lesions caused by the different
infections, these were not sufficiently different to be diagnostic. Cats commonly
presented with single or multiple cutaneous lesions (74%), which were sometimes
ulcerated or discharging, located most frequently on the head (54%). Lymph nodes
were usually involved (47%); typically the submandibular nodes. Systemic or
pulmonary signs were rarely seen (10-16%). When a cat is suspected of having
mycobacteriosis, accurate identification of the species involved helps to
determine appropriate action. Our findings show that knowing the cat's geographic
location can be helpful, while the nature of the clinical presentation is less
useful. Most cases of feline mycobacterial disease in GB are cutaneous.
PMID- 22079345
TI - Effect of remote ischemic conditioning on dendritic cell number in blood after
renal transplantation--flow cytometry in a porcine model.
AB - Delayed graft function after transplantation increases the risk of rejection.
Remote ischemic conditioning (rIC) consists of repetitive, brief, non-damaging
periods of ischemia in a limb. For reasons not fully understood, rIC protects the
target organ against subsequent ischemia-reperfusion injury. Because ischemic
endothelium attracts dendritic cells (DCs), we hypothesised that rIC protects the
organ by "trapping" circulating DCs in the limb exposed to rIC. With fewer DCs
thus available to infiltrate the graft, a strong T-cell mediated immune response
toward the graft is less likely. To test this hypothesis, we measured the number
of circulating DCs in a porcine model of renal transplantation with and without
rIC. Brain death was induced in eight 65-kg donor pigs. After 22 h of cold
ischemia, the kidneys were transplanted into sixteen 15-kg recipient pigs. The
recipients were randomised to either non-rIC or rIC before reperfusion of the
graft and observed 10 h after reperfusion. The number of DCs was determined by
flow cytometry. DCs were identified on the basis of forward- and side-scatter
characteristics of CD14-negative mononuclear cells with expression of CD172a.
Dendritic cells were subclassified as either plasmacytoid (pDCs) (CD172a(dim),
CD4(+), CD14(-)) or conventional (cDCs) (CD172a(high), CD4(-), CD14(-)). Remote
ischemic conditioning did not affect the number of circulating cDCs or pDCs
within the 10h after transplantation studied. Regardless of rIC, the number of
pDCs decreased after graft reperfusion and then returned to baseline levels. In
contrast, the number of circulating cDCs increased after reperfusion and later
returned to baseline levels.
PMID- 22079344
TI - The enzymatic activity of human aldehyde dehydrogenases 1A2 and 2 (ALDH1A2 and
ALDH2) is detected by Aldefluor, inhibited by diethylaminobenzaldehyde and has
significant effects on cell proliferation and drug resistance.
AB - There has been a new interest in using aldehyde dehydrogenase (ALDH) activity as
one marker for stem cells since the Aldefluor flow cytometry-based assay has
become available. Diethylaminobenzaldehyde (DEAB), used in the Aldeflour assay,
has been considered a specific inhibitor for ALDH1A1 isoform. In this study, we
explore the effects of human ALDH isoenzymes, ALDH1A2 and ALDH2, on drug
resistance and proliferation, and the specificity of DEAB as an inhibitor. We
also screened for the expression of 19 ALDH isoenzymes in K562 cells using TaqMan
Low Density Array (TLDA). We used lentiviral vectors containing the full cDNA
length of either ALDH2 or ALDH1A2 to over express the enzymes in K562 leukemia
and H1299 lung cancer cell lines. Successful expression was measured by activity
assay, Western blot, RT-PCR, and Aldefluor assay. Both cell lines, with either
ALDH1A2 or ALDH2, exhibited higher cell proliferation rates, higher clonal
efficiency, and increased drug resistance to 4-hydroperoxycyclophosphamide and
doxorubicin. In order to study the specificity of known ALDH activity inhibitors,
DEAB and disulfiram, we incubated each cell line with either inhibitor and
measured the remaining ALDH enzymatic activity. Both inhibitors reduced ALDH
activity of both isoenzymes by 65-90%. Furthermore, our TLDA results revealed
that ALDH1, ALDH7, ALDH3 and ALDH8 are expressed in K562 cells. We conclude that
DEAB is not a specific inhibitor for ALDH1A1 and that Aldefluor assay is not
specific for ALDH1A1 activity. In addition, other ALDH isoenzymes seem to play a
major role in the biology and drug resistance of various malignant cells.
PMID- 22079346
TI - Exercise-induced galanin release facilitated GLUT4 translocation in adipocytes of
type 2 diabetic rats.
AB - Although galanin has been shown to increase insulin sensitivity in skeletal
muscle of rats, there is no literature available about the effect of galanin on
Glucose Transporter 4 (GLUT4) translocation from intracellular membrane pools to
plasma membranes in adipocytes of type 2 diabetic rats. In the present study M35,
a galanin antagonist was used to elucidate whether exercise-induced galanin
release increased GLUT4 translocation in adipocytes of streptozotocin-induced
diabetic rats. The present findings showed that plasma galanin levels after
swimming training in all four trained groups were higher compared with each
sedentary control. M35 treatment had an inhibitory effect on glucose infusion
rates in the euglycemic-hyperinsulinemic clamp test and GLUT4 mRNA expression
levels in adipocytes. Moreover, M35 treatment reduced GLUT4 concentration in both
plasma membranes and total cell membranes. The ratios of GLUT4 contents in plasma
membranes to total cell membranes in four drug groups were lower compared with
each control. These data demonstrate a beneficial role of endogenous galanin to
transfer GLUT4 from internal stores to plasma membranes in adipocytes of type 2
diabetic rats. Galanin plays a significant role in regulation of glucose
metabolic homeostasis and is an important hormone relative to diabetes.
PMID- 22079347
TI - Augmentation of methamphetamine-induced behaviors in transgenic mice lacking the
trace amine-associated receptor 1.
AB - The trace amine-associated receptor 1 (TAAR1) is a G protein-coupled receptor
that is functionally activated by amphetamine-based psychostimulants, including
amphetamine, methamphetamine and MDMA. Previous studies have shown that in
transgenic mice lacking the TAAR1 gene (TAAR1 knockout; KO) a single injection of
amphetamine can produce enhanced behavioral responses compared to responses
evoked in wild-type (WT) mice. Further, the psychostimulant effects of cocaine
can be diminished by selective activation of TAAR1. These findings suggest that
TAAR1 might be implicated in the rewarding properties of psychostimulants. To
investigate the role of TAAR1 in the rewarding effects of drugs of abuse, the
psychomotor stimulating effects of amphetamine and methamphetamine and the
conditioned rewarding effects of methamphetamine and morphine were compared
between WT and TAAR1 KO mice. In locomotor activity studies, both single and
repeated exposure to d-amphetamine or methamphetamine generated significantly
higher levels of total distance traveled in TAAR1 KO mice compared to WT mice. In
conditioned place preference (CPP) studies, TAAR1 KO mice acquired
methamphetamine-induced CPP earlier than WT mice and retained CPP longer during
extinction training. In morphine-induced CPP, both WT and KO genotypes displayed
similar levels of CPP. Results from locomotor activity studies suggest that TAAR1
may have a modulatory role in the behavioral sensitization to amphetamine-based
psychostimulants. That methamphetamine-but not morphine-induced CPP was augmented
in TAAR1 KO mice suggests a selective role of TAAR1 in the conditioned
reinforcing effects of methamphetamine. Collectively, these findings provide
support for a regulatory role of TAAR1 in methamphetamine signaling.
PMID- 22079348
TI - Tolerance to cocaine's effects on schedule-controlled behavior: role of delay
between pause-ending responses and reinforcement.
AB - The schedule of reinforcement under which behavior is maintained is an important
contributor to whether tolerance to the behavioral effects of cocaine develops.
Schedule parameter value (for example, fixed-ratio size) has been shown to affect
the development of tolerance under some schedule types but not others, but the
specific procedural variables causing this effect remain to be identified. To
date, schedule-parameter-related tolerance has developed when a longer pause
after reinforcement does not lead to a shorter delay between the response that
ends the pause and reinforcement. The current study investigated the importance
of this variable in pigeons using a multiple chained Fixed-Ratio 1, Fixed-Time x
schedule, in which the first key peck in a trial produced a stimulus change and
initiated a delay at the end of which food was presented regardless of whether or
not additional pecks were made during the delay. Dose-response curves were
assessed before, during and after chronic (daily) administration of cocaine.
Tolerance to the pause-increasing effects of cocaine occurred to a similar degree
regardless of the scheduled time between the end of the pause and reinforcement.
Therefore, the relationship between pause length and delay to reinforcement does
not provide an explanation for schedule-parameter-related tolerance.
PMID- 22079349
TI - Anaplastic lymphoma kinase and leukocyte tyrosine kinase: functions and genetic
interactions in learning, memory and adult neurogenesis.
AB - Anaplastic Lymphoma Kinase (Alk) is a receptor tyrosine kinase expressed
throughout the adult mammalian hippocampus. Recent studies in Drosophila and
prior studies in Caenorhabditis elegans have implicated Alk signaling in learning
and neurogenesis. We have studied the roles of Alk and the closely related
receptor Leukocyte Tyrosine Kinase (Ltk) in learning, behavior and neurogenesis.
In the hippocampus, both receptors are expressed throughout the dentate gyrus,
CA1 and CA3. To assess the functional roles of Alk and Ltk in the mammalian
brain, we analyzed phenotypes in Alk mutant, Ltk mutant and Alk/Ltk double-mutant
mice compared to wild-type littermates. Similar to Drosophila, we found enhanced
performance in spatial memory in Alk mutant mice. Also similar to Drosophila, we
observed reduced neurogenesis associated with loss of Alk function. We also
report genetic interactions between Alk and Ltk with respect to neurogenesis and
behavioral measures such as activity, anxiety levels, and retention of spatial
memory.
PMID- 22079350
TI - Mechanical analysis of Drosophila indirect flight and jump muscles.
AB - The genetic advantages of Drosophila make it a very appealing choice for
investigating muscle development, muscle physiology and muscle protein structure
and function. To take full advantage of this model organism, it has been vital to
develop isolated Drosophila muscle preparations that can be mechanically
evaluated. We describe techniques to isolate, prepare and mechanically analyze
skinned muscle fibers from two Drosophila muscle types, the indirect flight
muscle and the jump muscle. The function of the indirect flight muscle is similar
to vertebrate cardiac muscle, to generate power in an oscillatory manner. The
indirect flight muscle is ideal for evaluating the influence of protein mutations
on muscle and cross-bridge stiffness, oscillatory power, and deriving cross
bridge rate constants. Jump muscle physiology and structure are more similar to
skeletal vertebrate muscle than indirect flight muscle, and it is ideal for
measuring maximum shortening velocity, force-velocity characteristics and steady
state power generation.
PMID- 22079351
TI - New trends in fluorescence in situ hybridization for identification and
functional analyses of microbes.
AB - Fluorescence in situ hybridization (FISH) has become an indispensable tool for
rapid and direct single-cell identification of microbes by detecting signature
regions in their rRNA molecules. Recent advances in this field include new web
based tools for assisting probe design and optimization of experimental
conditions, easy-to-implement signal amplification strategies, innovative
multiplexing approaches, and the combination of FISH with transmission electron
microscopy or extracellular staining techniques. Further emerging developments
focus on sorting FISH-identified cells for subsequent single-cell genomics and on
the direct detection of specific genes within single microbial cells by advanced
FISH techniques employing various strategies for massive signal amplification.
PMID- 22079352
TI - Clostridia: the importance of their exceptional substrate and metabolite
diversity for biofuel and biorefinery applications.
AB - Clostridia are anaerobic Firmicutes producing a large array of metabolites by
utilizing simple and complex carbohydrates, such as cellulose, as well as CO2/H2
or CO. Their exceptional substrate diversity is enhanced by their ability to
produce a broad spectrum of chemicals that can be used as precursors to or
directly as biofuels and industrial chemicals. Genetic and genomic tools are
under intense development, and recent efforts to metabolically engineer
clostridia demonstrate their potential for biofuel and biorefinery applications.
Pathway engineering to combine established substrate-utilization programs, such
as for cellulose, CO2/H2 or CO, with desirable metabolic programs could lead to
modular design of strains suitable for many applications. Engineering complex
phenotypes--aerotolerance, abolished sporulation, and tolerance to toxic
chemicals--could lead to superior bioprocessing strains.
PMID- 22079353
TI - Low energy microcolumn for large field view inspection.
AB - Since the development of microcolumn system, it attracted much attention because
multiple microcolumns can be assembled into arrayed form, which is expected to
generate multiple electron beams and overcome the disadvantage of electron beam
inspection equipments, low throughput. However, it is not easy to apply a
microcolumn to the practical inspection or testing equipment since its scanning
area is too small. Even if the arrayed operation using multiple microcolumns can
overcome this limit, it requires complicated supporting systems and related
technologies to operate a number of microcolumns simultaneously. Therefore, we
tried to modify microcolumn design itself so that it can have a large field of
view. In this work, two kinds of modified columns will be suggested and the
preliminary results showing their performance of scanning large area will be
discussed.
PMID- 22079354
TI - Large cell neuroendocrine carcinoma arising in a sessile serrated adenoma: a
novel observation.
AB - A 68-year-old woman underwent polypectomy of 2 right-sided colonic polyps
identified by screening colonoscopy. Histologic examination of both polyps showed
features of sessile serrated adenoma. The larger polyp harbored an invasive tumor
composed of large, high-grade cells arranged in nests and cords without tumoral
mucin production. Immunohistochemistry demonstrated synaptophysin, cdx-2,
cytokeratin 7, and cytokeratin 20 positivity. Both invasive carcinoma and sessile
serrated adenoma showed a decreased expression level to focal negative expression
of hMLH-1 by immunohistochemistry. Combined morphologic and immunohistochemical
features favored large cell neuroendocrine carcinoma arising in a sessile
serrated adenoma. Specific carcinoma subtypes and special histologic features
(eg, tumor-infiltrating lymphocytes) have been previously reported in carcinomas
arising from sessile serrated adenomas. Large cell neuroendocrine carcinoma has
not yet been reported in association with sessile serrated adenomas, with this
case suggesting a rare but potentially novel end point for the microsatellite
instability pathway.
PMID- 22079355
TI - Composition and significance of splenic Gamna-Gandy bodies in sickle cell anemia.
AB - Children with sickle cell anemia may undergo acute splenic sequestration.
Splenectomy is performed in an attempt to reduce further events. Histologic
studies of spleens have revealed the presence of granuloma-like nodules, known as
Gamna-Gandy bodies with amorphous inclusions; however, their significance is
unknown. The medical case records and histologic samples of consecutive children
with sickle cell anemia treated with splenectomy between 2001 and 2007 at Our
Lady's Children's Hospital, Dublin, were reviewed. Seventeen patients were
identified. Gamna-Gandy bodies were studied by scanning electron microscopy and x
ray fluorescence spectroscopy. Gamna-Gandy bodies were identified in 7 (41%)
patients, and amorphous inclusions were always seen. Patient age correlated
significantly with Gamna-Gandy bodies (P = .002). Scanning electron microscopic
analysis demonstrated the crystalline nature of Gamna-Gandy bodies and the
chemical composition (C 47.1%; O(2) 29.7%; P 9.0%; K(+) 0.4%; Ca(2+) 6.4%; Fe(2+)
7.4%), whereas x-ray diffraction studied the structure (CaPO(4) ? FeOH). A
crystal-formation gradient was observed, increasing from the red pulp to the
white pulp. Our study shows that Gamna-Gandy bodies contain crystals and that
their formation is age dependent. We also demonstrated the crystal structure and
chemical composition and the relationship between Gamna-Gandy bodies and chest
crises presplenectomy or postsplenectomy.
PMID- 22079356
TI - E-cadherin nuclear staining is useful for the diagnosis of ovarian adult
granulosa cell tumor.
AB - We recently have demonstrated nuclear localization of E-cadherin in ovarian adult
granulosa cell tumors (Histopathology 2011;58:423). The purpose of the present
study is to investigate the diagnostic utility of E-cadherin nuclear staining for
the differential diagnosis between ovarian adult granulosa cell tumor and its
morphological mimics. Tissue samples taken from 81 ovarian tumors and 20
extraovarian tumors were immunohistochemically stained using monoclonal anti-E
cadherin antibody recognizing cytoplasmic domain (clone 36 supplied by BD
Biosciences, San Jose, CA). The ovarian tumors consisted of 30 adult granulosa
cell tumors, 3 Sertoli-stromal cell tumors, 14 fibrothecomas, 5 carcinoid tumors,
1 large cell neuroendocrine carcinoma, 18 endometrioid adenocarcinomas, and 10
poorly differentiated serous adenocarcinomas. Extraovarian tumors consisted of 16
uterine endometrial stromal neoplasms and 4 pulmonary small cell carcinomas. Only
tumor cells with nuclear staining were considered positive in this study. Ninety
percent of adult granulosa cell tumors, 67% of Sertoli-stromal cell tumors, 64%
of fibrothecomas, 75% of endometrial stromal neoplasms, 75% of small cell
carcinomas, and the one large cell neuroendocrine carcinoma showed E-cadherin
nuclear expression, whereas all the ovarian carcinoid tumors, endometrioid
adenocarcinomas, and poorly differentiated serous adenocarcinomas were negative.
E-cadherin nuclear staining is useful in distinguishing between adult granulosa
cell tumors and ovarian adenocarcinomas or carcinoid tumors. However, it is of
limited use for distinguishing between adult granulosa cell tumors and
endometrial stromal neoplasms or small cell carcinomas. E-cadherin should be
included in the immunohistochemical panel for an accurate diagnosis of ovarian
adult granulosa cell tumors.
PMID- 22079357
TI - Nosology: infarction hematoma, a placental infarction encasing a hematoma.
AB - Six cases of mothers whose placentas demonstrate multiple infarctions with a
central intraparenchymal hematoma are presented. The histology is distinct from
intervillous thrombus and Kline hemorrhage. The mothers have a history of
multiple fetal losses, eclampsia/preeclampsia, and at least 1 case of documented
recurrence. A distinct name is proposed for this lesion, infarction hematoma,
which would help clarify further studies.
PMID- 22079358
TI - The effect of luminance on simulated driving speed.
AB - Perceived speed is modulated by a range of stimulus attributes such as contrast,
luminance and adaptation duration. It has been suggested that such changes in
perceived speed may influence driving behaviour. In order to evaluate the effect
of luminance on driving speed we have measured subjects' driving speed in a
driving simulator for a range of luminance and speed over time. The results
indicate that reducing luminance results in a decrease in driving speed for all
speeds measured. This reduction in driving speed at low luminance is consistent
with previous findings that perceived speed increases at low luminance. However,
the results also indicated that driving speed remained stable over a 30s period.
The stability of driving speed over time is inconsistent with previous findings
that perceived speed reduces exponentially as a function of adaptation duration.
The results are suggestive of a scheme whereby driving speed is consistent with
the known effects of luminance upon perceived speed but may also be modulated by
higher order processes that serve to maintain a constant speed over time.
PMID- 22079359
TI - Initial experience with repetitive transarterial chemoembolization (TACE) as a
third line treatment of ovarian cancer metastasis to the liver: indications,
outcomes and role in patient's management.
AB - OBJECTIVE: To evaluate local tumor control and survival data after transarterial
chemoembolization (TACE) with different drug combinations in the palliative third
line treatment of patients with ovarian cancer liver metastases. METHODS: Sixty
five patients (mean age: 51.5 year) with unresectable hematogenous hepatic
metastases of ovarian cancer who did not respond to systemic chemotherapy were
repeatedly treated with TACE in 4-week intervals. The local chemotherapy protocol
consisted of Mitomycin (group 1) (n=14; 21.5%), Mitomycin with Gemcitabine (group
2) (n=26; 40%), or Mitomycin with Gemcitabine and Cisplatin (group 3) (n=25;
38.5%). Embolization was performed with Lipiodol and starch microspheres. Local
tumor response was evaluated by MRI according to RECIST criteria. Survival data
were calculated according to the Kaplan-Meier method. RESULTS: The local tumor
control was: partial response (PR) in 16.9% (n=11), stable disease (SD) in 58.5%
(n=38) and progressive disease (PD) in 24.6% (n=16) of patients. In group 1, we
observed SD in 78.6% (11/14), and PD in 21.4% (3/14) of patients. In group 2, PR
in 7.7% (2/26), SD in 57.7% (15/26), and PD in 34.6% (9/26) of patients. In group
3, PR in 36% (9/25), SD in 48% (12/25), and PD in 16% (4/25) of patients.
Survival rate from the start of TACE was 58% after 1-year, 19% after 2-years, and
13% after 3-years. The median and mean survival times were 14 and 18.5 months
without statistically significant difference for the 3 groups of patients
(p=0.502). CONCLUSION: Transarterial chemoembolization is effective palliative
treatment in achieving local control in selected patients with liver metastases
from ovarian cancer.
PMID- 22079360
TI - Combined methotrexate-dactinomycin: an effective therapy for low-risk gestational
trophoblastic neoplasia.
AB - OBJECTIVE: The objective of this study is to examine the outcomes of combined
chemotherapy using methotrexate and dactinomycin in the management of women with
low-risk gestational trophoblastic neoplasia (GTN). The primary outcome is the
total number of cycles of chemotherapy required to achieve a normal level of
human chorionic gonadotropin (hCG). The secondary outcome is treatment-related
toxicity. METHODS: A retrospective chart review of all patients with GTN treated
between 1996-2007 and 1991-2007 was performed at the Alberta Cross Cancer
Institute and the British Columbia Cancer Agency, respectively. Patients with low
risk GTN, treated with 0.6 mg/m(2) dactinomycin (days 1 and 2) and methotrexate
100mg/m(2) were included. Toxicities were graded using the National Cancer
Institute Common Terminology Criteria for Adverse Events. The number of cycles to
achieve normalization of hCG was determined, and multivariate analyses were
performed to identify factors associated with treatment duration. RESULTS: One
hundred women were eligible. The average age was 29 years (range 15-46). The
median number of cycles to achieve a normal hCG was 3 (range 1-11). Two patients
required second-line treatment and one patient chose to proceed with
hysterectomy. Ninety-eight percent of patients were primarily cured with this
regimen, and 2 were cured with second line treatment. Grade 3 and 4 hematologic
toxicities were experienced by 12% and 8% of patients, respectively. Grade 2 and
3 stomatitis or mucositis were noted in 44% and 3% of patients, respectively.
CONCLUSIONS: Low-risk GTN is reliably and rapidly cured with combined
methotrexate-dactinomycin. Toxicity is modest.
PMID- 22079361
TI - A phase II trial of radiation therapy and weekly cisplatin chemotherapy for the
treatment of locally-advanced squamous cell carcinoma of the vulva: a gynecologic
oncology group study.
AB - OBJECTIVES: To determine the efficacy and toxicity of radiation therapy and
concurrent weekly cisplatin chemotherapy in achieving a complete clinical and
pathologic response when used for the primary treatment of locally-advanced
vulvar carcinoma. METHODS: Patients with locally-advanced (T3 or T4 tumors not
amenable to surgical resection via radical vulvectomy), previously untreated
squamous cell carcinoma of the vulva were treated with radiation (1.8 Gy daily *
32 fractions=57.6 Gy) plus weekly cisplatin (40 mg/m(2)) followed by surgical
resection of residual tumor (or biopsy to confirm complete clinical response).
Management of the groin lymph nodes was standardized and was not a statistical
endpoint. Primary endpoints were complete clinical and pathologic response rates
of the primary vulvar tumor. RESULTS: A planned interim analysis indicated
sufficient activity to reopen the study to a second stage of accrual. Among 58
evaluable patients, there were 40 (69%) who completed study treatment. Reasons
for prematurely discontinuing treatment included: patient refusal (N=4), toxicity
(N=9), death (N=2), other (N=3). There were 37 patients with a complete clinical
response (37/58; 64%). Among these women there were 34 who underwent surgical
biopsy and 29 (78%) who also had a complete pathological response. Common adverse
effects included leukopenia, pain, radiation dermatitis, pain, or metabolic
changes. CONCLUSIONS: This combination of radiation therapy plus weekly cisplatin
successfully yielded high complete clinical and pathologic response rates with
acceptable toxicity.
PMID- 22079362
TI - Experiences of care delivery: endometrial cancer survivors at end of treatment.
AB - OBJECTIVES: To gain a better understanding of the experiences of endometrial
cancer patients at the transition from acute cancer treatment to well follow up
care. METHODS: Cross-sectional mixed methods (survey and focus groups) study to
examine and describe endometrial cancer survivor's experience of the transition
to survivorship including their knowledge of survivorship issues, feeling of
preparedness, health related distress and perspectives on care delivery and end
of treatment transitions. RESULTS: Survey data (n=169) and focus group data
(n=14) suggests that a large majority of endometrial cancer survivors are not
provided with any formal transition planning or documentation and as a result
feel ill-prepared for the post treatment phase of survivorship. The majority of
women in this study reported that they were not aware of their risk for
recurrence or how to monitor for this and they were not provided with clear
information in this regard. They were also unsure of the health risks and long
term and late effects of cancer and how to find information about them and
resources to manage them. A substantial minority (30-40%) of women continued to
be frustrated and discouraged by their health problems. Few participants had been
told about the resources and supports that are available to them and their
families/friends or were not provided with any information about self-management
tools or education that could be helpful for them in their recovery. CONCLUSIONS:
Both the quantitative and qualitative results in our study suggest that quality
of care for endometrial cancer survivors must begin to shift from a purely
disease-focused approach to a wellness-centered approach that provides
coordinated, patient-centered, comprehensive care and more formalized transition
care planning.
PMID- 22079363
TI - Comparison of platelet clumping and complete blood count results with Sysmex XT
2000iV in feline blood sampled on EDTA or EDTA plus CTAD (citrate, theophylline,
adenosine and dipyridamole).
AB - False thrombocytopenia may result from platelet aggregation, especially in feline
ethylenediamine tetra-acetic acid (EDTA) blood specimens. Citrate, theophylline,
adenosine and dipyridamole (CTAD) was added to 46 feline EDTA specimens to test
its anti-aggregation action. Platelet aggregation was estimated from blood films
and a complete blood count was performed with a Sysmex XT-2000iV analyser.
Platelet aggregation score was >2 in 11/46 EDTA tubes and only in one EDTA+CTAD
specimen. The platelet count was higher in all CTAD-supplemented tubes except
one, medians measured by cytometry being 225.5 * 10(9)/l and 249.0 * 10(9)/l in
EDTA and EDTA+CTAD, respectively (P = 0.007). Adding CTAD had statistically and
analytically significant but moderate effects on other blood variables, the most
intense variations being observed for reticulocytes (about 3% higher in EDTA
specimens) and reticulocyte indexes. Addition of CTAD to EDTA when sampling
feline blood is a useful option to reduce platelet clumping.
PMID- 22079364
TI - Phosphorylated smooth muscle heavy meromyosin shows an open conformation linked
to activation.
AB - Smooth muscle myosin and smooth muscle heavy meromyosin (smHMM) are activated by
regulatory light chain phosphorylation, but the mechanism remains unclear.
Dephosphorylated, inactive smHMM assumes a closed conformation with asymmetric
intramolecular head-head interactions between motor domains. The "free head" can
bind to actin, but the actin binding interface of the "blocked head" is involved
in interactions with the free head. We report here a three-dimensional structure
for phosphorylated, active smHMM obtained using electron crystallography of two
dimensional arrays. Head-head interactions of phosphorylated smHMM resemble those
found in the dephosphorylated state but occur between different molecules, not
within the same molecule. The light chain binding domain structure of
phosphorylated smHMM differs markedly from that of the "blocked" head of
dephosphorylated smHMM. We hypothesize that regulatory light chain
phosphorylation opens the inhibited conformation primarily by its effect on the
blocked head. Singly phosphorylated smHMM is not compatible with the closed
conformation if the blocked head is phosphorylated. This concept has implications
for the extent of myosin activation at low levels of phosphorylation in smooth
muscle.
PMID- 22079365
TI - The structure of Aquifex aeolicus ribosomal protein S8 reveals a unique subdomain
that contributes to an extremely tight association with 16S rRNA.
AB - The assembly of ribonucleoprotein complexes occurs under a broad range of
conditions, but the principles that promote assembly and allow function at high
temperature are poorly understood. The ribosomal protein S8 from Aquifex aeolicus
(AS8) is unique in that there is a 41-residue insertion in the consensus S8
sequence. In addition, AS8 exhibits an unusually high affinity for the 16S
ribosomal RNA, characterized by a picomolar dissociation constant that is
approximately 26,000-fold tighter than the equivalent interaction from
Escherichia coli. Deletion analysis demonstrated that binding to the minimal site
on helix 21 occurred at the same nanomolar affinity found for other bacterial
species. The additional affinity required the presence of a three-helix junction
between helices 20, 21, and 22. The crystal structure of AS8 was solved,
revealing the helix-loop-helix geometry of the unique AS8 insertion region, while
the core of the molecule is conserved with known S8 structures. The AS8 structure
was modeled onto the structure of the 30S ribosomal subunit from E. coli,
suggesting the possibility that the unique subdomain provides additional backbone
and side-chain contacts between the protein and an unpaired base within the three
way junction of helices 20, 21, and 22. Point mutations in the protein insertion
subdomain resulted in a significantly reduced RNA binding affinity with respect
to wild-type AS8. These results indicate that the AS8-specific subdomain provides
additional interactions with the three-way junction that contribute to the
extremely tight binding to ribosomal RNA.
PMID- 22079366
TI - YhiQ is RsmJ, the methyltransferase responsible for methylation of G1516 in 16S
rRNA of E. coli.
AB - Ten methyltransferases and one pseudouridine synthase are required for complete
modification of the small ribosomal subunit in Escherichia coli. Nine
methyltransferases, as well as the pseudouridine synthase, are already known.
Here, we identify RsmJ, the last unknown methyltransferase required for
methylation of m(2)G1516 in 16S ribosomal RNA (rRNA), as the protein encoded by
yhiQ. Reverse transcription primer extension analysis reveals that rRNA extracted
from a yhiQ deletion strain is not methylated at G1516. Moreover, methylation is
restored upon gene complementation. Also, purified recombinant YhiQ specifically
methylates 30S subunits extracted from the deletion strain. The absence of the
yhiQ gene leads to a cold-sensitive phenotype. Based on these data, we propose
that the yhiQ gene be renamed rsmJ.
PMID- 22079368
TI - Treatment of osteoporosis in women intolerant of oral bisphosphonates.
AB - In the past 15 years, oral bisphosphonate therapy has become the mainstay of
pharmacological management in patients with osteoporosis. In the UK, alendronate
is the drug of first choice, based on clinical efficacy data and cost. However,
some patients are unable to take oral bisphosphonates for a number of reasons. In
this article, we review the practical management of such cases, including
strategies for monitoring adherence and switching to alternative oral agents
(e.g. risedronate, strontium ranelate, raloxifene). In some cases, alternative
parenteral agents may be considered, including intravenous bisphosphonates,
parathyroid hormone therapies and denosumab. Specific concerns about safe
prescribing are considered, when prescribing potent anti-resorptive medications,
particularly relating to renal function and vitamin D deficiency. Finally,
consideration is given to clinical risk factors, including aspects of lifestyle
which may be modified to decrease fracture risk.
PMID- 22079367
TI - Intra-chain 3D segment swapping spawns the evolution of new multidomain protein
architectures.
AB - Multidomain proteins form in evolution through the concatenation of domains, but
structural domains may comprise multiple segments of the chain. In this work, we
demonstrate that new multidomain architectures can evolve by an apparent three
dimensional swap of segments between structurally similar domains within a single
chain monomer. By a comprehensive structural search of the current Protein Data
Bank (PDB), we identified 32 well-defined segment-swapped proteins (SSPs)
belonging to 18 structural families. Nearly 13% of all multidomain proteins in
the PDB may have a segment-swapped evolutionary precursor as estimated by more
permissive searching criteria. The formation of SSPs can be explained by two
principal evolutionary mechanisms: (i) domain swapping and fusion (DSF) and (ii)
circular permutation (CP). By large-scale comparative analyses using structural
alignment and hidden Markov model methods, it was found that the majority of SSPs
have evolved via the DSF mechanism, and a much smaller fraction, via CP.
Functional analyses further revealed that segment swapping, which results in two
linkers connecting the domains, may impart directed flexibility to multidomain
proteins and contributes to the development of new functions. Thus, inter-domain
segment swapping represents a novel general mechanism by which new protein folds
and multidomain architectures arise in evolution, and SSPs have structural and
functional properties that make them worth defining as a separate group.
PMID- 22079369
TI - TNFRSF11B gene haplotype and its association with bone mineral density variations
in postmenopausal Mexican-Mestizo women.
AB - OBJECTIVE: Osteoporosis is a complex health disease characterized by low bone
mineral density (BMD), which is determined by an interaction of genetics with
metabolic and environmental factors. The tumor necrosis factor receptor
superfamily, member 11b (TNFRSF11B) gene, has been investigated in relation to
BMD. Three polymorphisms in/nearby TNFRSF11B have been associated with BMD
variations in some populations. The aim of this study was to investigate the
possible association among three SNPs of TNFRSF11B and their haplotypes with the
presence of BMD variations in postmenopausal Mexican Mestizo women. SUBJECTS AND
METHODS: One thousand unrelated postmenopausal women of Mexican-Mestizo ethnic
origin, who attended the outpatient clinic for routine, general medical
evaluation, were invited and 750 women accepted to participate in the study. A
structured questionnaire for risk factors was applied and BMD was measured in
total hip and lumbar spine by dual-energy X-ray absorptiometry. DNA was obtained
from blood leukocytes. Three single-nucleotide polymorphisms in TNFRSF11B gene
were studied: rs4355801, rs2073618, and rs6993813. Real-time PCR allelic
discrimination was used for genotyping. Deviations from Hardy-Weinberg
equilibrium were tested. Pairwise linkage disequilibrium between single
nucleotide polymorphisms was calculated by direct correlation r(2), and haplotype
analysis was conducted. RESULTS: Of the subjects, 31% had osteoporosis, 45.1% had
osteopenia, and 23.9% had normal BMD. Genotype and allele distributions showed no
significant differences; however, A-G-T haplotype was associated with variations
in femoral neck BMD (P=0.022). CONCLUSIONS: In our study population, analysis of
the haplotypes of TNFRSF11B is a better genetic marker for variations in BMD.
PMID- 22079370
TI - Degradation resistance of ormocer- and dimethacrylate-based matrices with
different filler contents.
AB - OBJECTIVES: To investigate the influence of matrix and filler content on
degradation resistance of an experimental pure-ormocer and an dimethacrylate
based composite derived from a commercially available material. MATERIALS AND
METHODS: A dimethacrylate- Grandio (GR) and a model pure ormocer-based-matrix
ORMOCER (ORM) were used. Each material had three different types according to
their filler content (% w/w): regular (87% and 86%), flowable (80% and 79%) and
fissure sealer (70% and 69%) for GR and ORM respectively. Disc-shaped (1 mm
thickness*10 mm diameter) samples were prepared for each material (n=6). Water
sorption and solubility tests were adapted from ISO4049. To evaluate porosity,
specimens were scanned at a resolution of 19.4 MUm and 3D reconstructions were
made. The volume ratio of pores in the specimens were calculated and expressed as
percentages. The results were submitted to 2-way ANOVA (factors: matrix and
filler content) and Tukey post-hoc statistic test (p=0.05). RESULTS: Filler
content influenced the water sorption for the ormocer-matrix and the water
solubility for the dimethacrylates. ORMOCER regular was a less porous material
compared to flowable and sealer formulations. On the other hand, the filler
content had no effect on porosity for Grandio. CONCLUSION: Modifications made in
the pure ormocer-matrix formulation were not significantly important to produce a
more water-stable material compared to the dimethacrylate-matrix composite
materials.
PMID- 22079371
TI - Clinical guidelines for treating caries in adults following a minimal
intervention policy--evidence and consensus based report.
AB - OBJECTIVES: In 2002, FDI (World Dental Federation) published a policy advocating
that caries be treated by minimal intervention (MI). This MI policy has been
accepted worldwide and is taught in universities. But acceptance in general
dental practice has been slower, especially in Japan where healthcare payment and
practice favour drilling and filling. To help disseminate this MI policy into
general practice, the Japanese Society of Conservative Dentistry developed an
evidence-based clinical guideline for restoring carious permanent teeth in adult
patients. METHODS: The guideline was developed by a committee of nine university
clinicians and a librarian. The committee selected the most frequent clinical
questions in treating caries and used electronic databases to search and assess
the best scientific evidence for each. Members then added their clinical
experience and discussed to reach consensus on each question on treating caries
with MI policy. Graded recommendations and guidance were made for each clinical
question. The provisional guideline was strengthened after review and discussion
with university researchers and general practitioners. RESULTS: The guideline
addresses the 16 most frequent clinical questions in treating adult caries,
including restorative methods and how to tackle root caries. Recommendations for
treatment using MI policy were developed using the best scientific evidence and
consensus of experienced clinicians. CLINICAL SIGNIFICANCE: The guideline offers
a practical expert view of treating caries with the MI policy that incorporates
the best scientific evidence, the latest techniques, the most preferable
materials and the general consensus of expert clinicians.
PMID- 22079372
TI - Outcome after homograft redo operation in aortic position.
AB - OBJECTIVE: Since 1992, homografts have been implanted in our institution. After
initial sub-coronary implantation of the homograft, our preferred technique for
aortic-valve replacement with homografts became root replacement, which poses a
surgical challenge whenever redo procedures are necessary. The aim of the present
study was to evaluate the outcome after homograft redo surgery, based on
prospective data from the biggest patient cohort in Germany for this procedure.
METHODS: Between May 1992 and August 2009, 363 adult patients underwent aortic
valve replacement with homografts in our cardiac surgery department. Homograft
replacement was indicated in 90 of these 363 patients due to degenerative or
infective conditions, and these were analysed. RESULTS: In these 73 male and 17
female patients (mean age at redo operation 62.0 years), homograft explantation
was necessary due to infection (n = 14) or degeneration (stenosis n = 19,
regurgitation > II degrees n = 57). Mean time between homograft implantation and
redo operation was 8.4 +/- 3.6 years (range 0.0-15.5 years). Redo valve
replacement through the aorta/homograft was done in 86 cases (valve into
homograft wall = 80, total replacement of the homograft = 6) and trans-apical
homograft replacement with an Edwards Sapien(r) Trans-catheter valve in four.
Thirteen additional procedures were performed: bypass surgery (n = 1), mitral
valve repair (n = 6), replacement of the ascending aorta (n = 5) and tricuspid
valve repair (n = 1). Thirty-day mortality was 8.9% (n = 8, all of these patients
presented with a homograft infection; five patients had a homograft reinfection).
Survival rates after 1 and 5 years were 86.0% and 77.4%, respectively.
CONCLUSIONS: The risk for a redo procedure after aortic-valve replacement with a
homograft seems to be acceptable when compared with other prostheses. Mortality
was, however, elevated in patients with a homograft infection. Trans-apical
procedures are safe and feasible and might be our preferred technique for the
future. Valve infections still remain a contraindication for trans- apical
procedures.
PMID- 22079373
TI - Expression of recombinant HAO3 from an Iranian isolate of Hyalomma anatolicum
anatolicum in Pichia pastoris and evaluation of its antigenicity.
AB - Hyalomma anatolicum anatolicum tick is considered as one of the main problem of
ruminants' productivity in endemic countries such as parts of Africa, the Middle
East and India. The disease is economically important and hence, its control and
eradication is a priority. This problem reinforces the need for alternative
approach like vaccine to control tick infestations instead of continuous
application of acaricide which led to the natural selection of the acaricide
resistant ticks. Therefore, the present study provided evidence for the
construction of transformant containing the chromosomally integrated multi-copy
expression cassettes of HAO3, its successful and efficient expression in Pichia
pastoris yeast and purification of the secreted protein by ultrafiltration (UF)
system in a high level yield and purity. The result of antigenicity assay for the
rHAO3 protein pointed well toward its capability for the elicitation of antibody
response in immunized rabbits. Interestingly, the results indicated that the
expressed HAO3 protein reacted well with mid gut antigen (MGAg) and rBm86 (Gavac)
antisera in ELISA and western blot assays making it evident that the epitopes
present in expressed protein are well recognized by the antibodies against MGAg
and rBm86 proteins. Moreover, the presence of cross-reactive epitopes between
rHAO3 protein with its native antigen from mid gut cells was also determined.
PMID- 22079374
TI - Improved detection of Trypanosoma brucei by lysis of red blood cells,
concentration and LED fluorescence microscopy.
AB - Confirmatory diagnosis of African trypanosomiasis relies on demonstration of
parasites in body fluids by bright field microscopy. The parasitaemia in infected
patients and animals is usually low, and concentration methods are used to try
and increase the chances of seeing parasites. Recently, fluorescence microscopes
using light-emitting diodes (LED) have been developed. Since they emit strong
light, their use does not require a dark room, making field application a
possibility. We have combined LED fluorescence microscopy with lysis of red blood
cells (RBC) to improve the sensitivity and speed of detecting trypanosomes. In
studies conducted at four centers in Uganda and the Democratic Republic of the
Congo, parasitaemic blood was serially diluted and the RBCs lysed using
commercial buffer. Samples were then concentrated by centrifugation, and
different volumes of the sediment used to make thin and thick smears. Next, these
were stained with acridine orange or Giemsa, and examined using an LED microscope
under fluorescence or bright light, respectively. Detection of parasites was
significantly improved by RBC lysis and concentration, regardless of the staining
and microscopy method used. Further improvements were made when smears were
prepared using larger volumes of sediment. The best results were obtained with
thin smears prepared using 20 MUl of sediment and stained with acridine orange.
The time taken to see the first parasite was dramatically reduced when smears
were examined by LED fluorescence microscopy, compared to bright light. LED
fluorescence microscopy was found to be easier and requiring less visual effort
than bright field microscopy. These studies demonstrate the potential for
incremental improvement in detection of Trypanosoma brucei by combining LED
fluorescence microscopy with RBC lysis and concentration. The lysis and
concentration method may also be useful in sample preparation for other
diagnostic tests for trypanosomiasis.
PMID- 22079375
TI - The subgenus Adlerius Nitzulescu (Diptera, Psychodidae, Phlebotomus) in Iran.
AB - Phlebotomine sandflies of the subgenus Adlerius (Diptera: Psychodidae) includes
20 described species and two unnamed from Afghanistan. The female sandflies of
this subgenus are considered as indistinguishable morphologically and their
identification is based on the identification of associated males. Some species
of Adlerius are suspected vectors of visceral leishmaniasis and at least one
species has been implicated as a vector of cutaneous leishmaniasis. Four species
of Adlerius have been recorded in Iran in the past: P. brevis Theodor and
Mesghali, 1964, P. halepensis Theodor, 1948, P. longiductus Parrot, 1928 and P.
balcanicus Theodor, 1948. The present study based on a field work carried out all
over Iran reports two new species in the country: Phlebotomus turanicus Artemiev
1974 and Phlebotomus salangensis Artemiev, 1978. They have been caught from the
North-East of Iran in provinces bordering Turkmenistan and Afghanistan,
respectively. A review of the distribution of the Adlerius species of Iran is
proposed.
PMID- 22079376
TI - Mammalian cell invasion by closely related Trypanosoma species T. dionisii and T.
cruzi.
AB - Protozoan parasites of the genus Trypanosoma can infect virtually all mammalian
species. Within this genus, Trypanosoma dionisii from bats and Trypanosoma cruzi
that causes Chagas' disease, belonging to the subgenus Schizotrypanum, can invade
mammalian cells. The mechanisms of cell invasion by T. dionisii are poorly
understood. To address that question, metacyclic trypomastigotes (MT) and human
epithelial HeLa cells were used. Similarly to genetically divergent T. cruzi
strains G (TcI) and CL (TcVI), associated, respectively with marsupial and human
infections, T. dionisii infectivity increased under nutritional stress, a
condition that induces host cell lysosome exocytosis required for parasite
internalization. For efficient internalization, T. dionisii depended on MT
protein tyrosine kinase (PTK) and Ca(2+) mobilization from acidocalcisomes,
whereas T. cruzi strains also relied on phosphatidylinositol 3-kinase (PI3K),
protein kinase C (PKC) and Ca(2+) released from thapsigargin-sensitive
compartments. T. dionisii-induced signaling in host cells implicated PKC and
Ca(2+) mobilized from thapsigargin-sensitive stores, like T. cruzi, but without
PI3K involvement. Unlike T. cruzi, T. dionisii metacyclic forms did not use l
proline as source of energy required for internalization. Molecules related to T.
cruzi surface glycoproteins involved in MT-host cell interaction were
undetectable in T. dionisii. The difference in the surface profile of the two
species was also inferred from the susceptibility of T. dionisii metacyclic forms
to complement-mediated lysis, as opposed to complete resistance of T. cruzi. In
summary, the two Trypanosoma species display distinct surface profiles but invade
host cells through a common mechanism involving lysosome mobilization to the site
of parasite entry.
PMID- 22079377
TI - Chicken oviduct-specific expression of transgene by a hybrid ovalbumin enhancer
and the Tet expression system.
AB - We generated genetically manipulated chickens and quail by infecting them with a
retroviral vector expressing the human growth hormone under the control of
chicken ovalbumin promoter/enhancer up to -3861 bp from the transcriptional start
site. The growth hormone was expressed in an oviduct-specific manner and was
found in egg white, although its level was low. The DNA sequence of the
integrated form of the viral vector in the packaging cells was shown to be
truncated and contained only the sequence spanning -3861 to -1569 bp. This
represented only the DNase I hypersensitive site (DHS) III of the 4 DHSs and
lacked the proximal promoter of the ovalbumin control region. We found several
TATA-like and other promoter motifs of approximately -1800 bp and considered that
these promoter motifs and DHS III may cause weak but oviduct-specific expression
of the growth hormone. To prove this hypothesis and apply this system to oviduct
specific expression of the transgene, the truncated regulatory sequence was fused
to an artificial transactivator-promoter system. In this system, initial weak but
oviduct-specific expression of the Tet activator from the promoter element in the
ovalbumin control sequence triggered a self-amplifying cycle of expression. DsRed
was specifically expressed in oviduct cells of genetically manipulated chickens
using this system. Furthermore, deletion of a short region possibly containing
the promoter elements (-2112 to -1569 bp) completely abrogated oviduct-specific
expression. Taken together, these results suggest that weak expression of this
putative promoter causes oviduct-specific expression of the transgene.
PMID- 22079378
TI - Synthesis and in vitro antiproliferative evaluation of pyrimido[5,4-c]quinoline-4
(3H)-one derivatives.
AB - A series of pyrimido[5,4-c]quinoline-4-(3H)-one derivatives variously substituted
at positions 2 and 3 were synthesized and evaluated for their in vitro
antiproliferative activities against a panel of six human cancer cell lines.
Biological evaluation revealed that the vast majority of derivatives exhibited
moderate tumor growth inhibitory activities. In particular, compound 7e showed
effective anti-tumor activity with broad-spectrum toward numerous cell lines and
the most active member in this study. This derivative displaying significant
activity against KB (IC(50): 4.9 MUM), CNE2 (IC(50): 13.8 MUM), MGC-803 (IC(50):
4.8 MUM), GLC-82 (IC(50): 7.88 MUM), MDA-MB-453 (IC(50): 18.2 MUM) and MCF-7
(IC(50): 10.1 MUM) cell lines could be considered as the most promising and
useful template for future development to obtain more potent anti-tumor agent(s).
PMID- 22079379
TI - Synthesis and antibacterial activity of naphthyridone derivatives containing
mono/difluoro-methyloxime pyrrolidine scaffolds.
AB - A series of novel naphthyridone derivatives containing mono/difluoro-methyloxime
pyrrolidine scaffolds were designed and synthesized. These derivatives were
initially evaluated for their in vitro antibacterial activity and compounds 13a1,
b1 were chosen for further evaluation their in vivo activity against systemic
infections in mice. The results indicate that all of the target compounds have
considerable in vitro antibacterial activity. In the in vivo experiments, 13b1
was found to be more effective than the parent drug gemifloxacin against the
tested five strains, and especially its activity (ED(50):21.27 mg/kg) is 5.2-6.1
times more potent than gemifloxacin and ciprofloxacin against clinically
important Gram-negative pathogen Pseudomonas aeruginosa.
PMID- 22079380
TI - Scandium oxide coated polycrystalline tungsten studied using emission microscopy
and photoelectron spectroscopy.
AB - Thermionic electron emission from 200 to 500 nm thick coatings of scandium oxide
on tungsten foil have been examined in thermionic emission microscopy,
spectroscopic photoelectron microcopy, synchrotron radiation and ultraviolet
photoelectron spectroscopy (UPS). A clear dependence of the scandium oxide-W
electron yield on the grain orientation of the polycrystalline tungsten is
observed in thermionic emission and photoelectron emission.
PMID- 22079381
TI - Gertrude Rempfer and the development of high resolution focused ion beam
technology.
AB - High resolution focused ion beam (FIB) technology depends on electrostatic
optics. As a longtime researcher on electrostatic optics Dr. Gertrude Rempfer has
had a great impact not only on the development of FIB technology but, as a
result, also on several technology areas dependent on it. This paper provides a
brief description of how that came about.
PMID- 22079382
TI - Changes in ribosome biogenesis may induce cancer by down-regulating the cell
tumor suppressor potential.
AB - Many human pathological conditions, not linked to genetic alterations of
oncogenes or tumor suppressors, are nevertheless associated with an increased
risk of developing cancer, and some of them are characterized by quantitative
and/or qualitative changes in ribosome biogenesis. Indeed, there is evidence that
both an up-regulation of ribosome biogenesis, such as that occurring during the
abnormal stimulation of cell growth, and intrinsic dysfunctions of ribosomes,
such as those characterizing a series of inherited disorders, show an increased
incidence of tumor onset. Here we discuss some recent insights into the
mechanisms by which these alterations in ribosome biogenesis may facilitate
tumorigenesis.
PMID- 22079383
TI - Navigating the fine line between benefit and risk in chronic atrial fibrillation:
rationale and design of the Standard versus Atrial Fibrillation spEcific
managemenT studY (SAFETY).
AB - BACKGROUND: Health outcomes associated with atrial fibrillation (AF) continue to
be poor and standard management often does not provide clinical stability. The
Standard versus Atrial Fibrillation spEcific managemenT studY (SAFETY) compares
the efficacy of a post-discharge, nurse-led, multi-disciplinary programme to
optimise AF management with usual care. METHODS: SAFETY is a prospective, multi
centre, randomised controlled trial with blinded-endpoint adjudication. A target
of 320 hospitalised patients with a chronic form of AF will be randomised
(stratified by "rate" versus "rhythm" control) to usual post-discharge care or
the SAFETY Intervention (SI). The SI involves home-based assessment, extensive
clinical profiling and the application of optimal gold-standard pharmacology
which is individually tailored according to a "traffic light" framework based on
clinical stability, risk profile and therapeutic management. The primary endpoint
is event-free survival from all-cause death or unplanned readmission during 18-36
months follow-up. Secondary endpoints include rate of recurrent hospital stay,
treatment success (i.e. maintenance of rhythm or rate control and/or application
of anti-thrombotic therapy without a bleeding event) and cost-efficacy. RESULTS:
With study recruitment to be completed in early 2012, the results of this study
will be available in early 2014. CONCLUSIONS: If positive, SAFETY will represent
a potentially cost-effective and readily applicable strategy to improve health
outcomes in high risk individuals discharged from hospital with chronic AF.
PMID- 22079384
TI - Flow patterns and wall shear stress distribution in human internal carotid
arteries: the geometric effect on the risk for stenoses.
AB - It has been widely observed that atherosclerotic stenosis occurs at sites with
complex hemodynamics, such as arteries with high curvature or bifurcations. These
regions usually have very low or highly oscillatory wall shear stress (WSS). In
the present study, 3D sinusoidally pulsatile blood flow through the models of
internal carotid artery (ICA) with different geometries was investigated with
computational simulation. Three preferred sites of stenoses were found along the
carotid siphon with low and highly oscillatory WSS. The risk for stenoses at
these sites was scaled with the values of time-averaged WSS and oscillating shear
index (OSI). The local risk for stenoses at every preferred site of stenoses was
found different between 3 types of ICA, indicating that the geometry of the blood
vessel plays significant roles in the atherogenesis. Specifically, the large
curvature and planarity of the vessel were found to increase the risk for
stenoses, because they tend to lower WSS and elevate OSI. Therefore, the
geometric study makes it possible to estimate the stenosis location in the ICA
siphon as long as the shape of ICA was measured.
PMID- 22079385
TI - The shear mechanical properties of diabetic and non-diabetic plantar soft tissue.
AB - Changes in the plantar soft tissue shear properties may contribute to ulceration
in diabetic patients, however, little is known about these shear parameters. This
study examines the elastic and viscoelastic shear behavior of both diabetic and
non-diabetic plantar tissue. Previously compression tested plantar tissue
specimens (n=54) at six relevant plantar locations (hallux, first, third, and
fifth metatarsal heads, lateral midfoot, and calcaneus) from four cadaveric
diabetic feet and five non-diabetic feet were utilized. Per in vivo data (i.e.,
combined deformation patterns of compression followed by shear), an initial
static compressive strain (36-38%) was applied to the tissue followed by target
shear strains of 50% and 85% of initial thickness. Triangle waves were used to
quantify elastic parameters at both strain levels and a stress relaxation test
(0.25 s ramp and 300 s hold) was used to quantify the viscoelastic parameters at
the upper strain level. Several differences were found between test groups
including a 52-62% increase in peak shear stress, a 63% increase in toe shear
modulus, a 47% increase in final shear modulus, and a 67% increase in middle
slope magnitude (sharper drop in relaxation) in the diabetic tissue. Beyond a 54%
greater peak compressive stress in the third metatarsal compared to the lateral
midfoot, there were no differences in shear properties between plantar locations.
Notably, this study demonstrates that plantar soft tissue with diabetes is
stiffer than healthy tissue, thereby compromising its ability to dissipate shear
stresses borne by the foot that may increase ulceration risk.
PMID- 22079386
TI - The knee adduction moment measured with an instrumented force shoe in patients
with knee osteoarthritis.
AB - The external knee adduction moment (KAdM) during gait is an important parameter
in patients with knee osteoarthritis (OA). KAdM measurement is currently
restricted to instruments only available in gait laboratories. However,
ambulatory movement analysis technology, including instrumented force shoes (IFS)
and inertial and magnetic measurement systems (IMMS), can measure kinetics and
kinematics of human gait free of laboratory restrictions. The objective of this
study was a quantitative validation of the accuracy of the KAdM in patients with
knee OA, when estimated with an ambulatory-based method (AmbBM) versus a
laboratory-based method (LabBM). AmbBM is employing the IFS and a linked-segment
model, while LabBM is based on a force plate and optoelectronic marker system.
Effects of ground reaction force (GRF), centre of pressure (CoP), and knee joint
position measurement are evaluated separately. Twenty patients with knee OA were
measured. The GRFs showed differences up to 0.22 N/kg, the CoPs showed
differences up to 4 mm, and the medio-lateral and vertical knee position showed
differences to 9 mm, between AmbBM and LabBM. The GRF caused an under-estimation
in KAdM in early stance. However, this effect was counteracted by differences in
CoP and joint position, resulting in a net 5% over-estimation. In midstance and
late stance the accuracy of the KAdM was mainly limited by use of the linked
segment model for joint position estimation, resulting in an under-estimation
(midstance 6% and late stance 22%). Further improvements are needed in the
estimation of joint position from segment orientation.
PMID- 22079387
TI - Accuracy of finite element predictions in sideways load configurations for the
proximal human femur.
AB - Subject-specific finite element models have been used to predict stress-state and
fracture risk in individual patients. While many studies analysed quasi-axial
loading configurations, only few works simulated sideways load configurations,
such as those arising in a fall. The majority among these latter directly
predicted bone strength, without assessing elastic strain prediction accuracy.
The aim of the present work was to evaluate if a subject-specific finite element
modelling technique from CT data that accurately predicted strains in quasi-axial
loading configurations is suitable to accurately predict strains also when
applying low magnitude loads in sideways configurations. To this aim, a combined
numerical-experimental study was performed to compare finite element predicted
strains with strain-gauge measurements from three cadaver proximal femurs
instrumented with sixteen strain rosettes and tested non-destructively under
twelve loading configurations, spanning a wide cone (0-30 degrees for both
adduction and internal rotation angles) of sideways fall scenarios. The results
of the present study evidenced a satisfactory agreement between experimentally
measured and predicted strains (R(2) greater than 0.9, RMSE% lower than 10%) and
displacements. The achieved strain prediction accuracy is comparable to those
obtained in state of the art studies in quasi-axial loading configurations.
Still, the presence of the highest strain prediction errors (around 30%) in the
lateral neck aspect would deserve attention in future studies targeting bone
failure.
PMID- 22079388
TI - Thalassaemia in pregnancy.
AB - Thalassaemia is the most common monogenetic disease worldwide. Antenatal
screening is effective and simple, and accurate genetic prenatal diagnosis can be
achieved in early gestation. Less invasive methods are feasible with ultrasound
fetal assessment for alpha-thalassaemia, analysis of circulating fetal nucleic
acid in maternal plasma, and pre-implantation genetic diagnosis. Women with
thalassaemia major and intermedia are at risk of various maternal complications,
such as cardiac failure, alloimmunisation, viral infection, thrombosis, endocrine
and bone disturbances. Therefore, it is prudent to adhere to a standard
management plan in this group of pregnant women. Close monitoring of the maternal
and fetal condition during pregnancy is essential, and various treatments, such
as blood transfusion or postpartum prophylaxis for thromboembolism, may be
indicated. After birth, resumption of iron chelation and bisphosphonates
treatment is needed, and counselling on breast feeding and contraception should
be given.
PMID- 22079389
TI - Thrombophilia and early pregnancy loss.
AB - Early pregnancy loss is the most common pregnancy complication. About 15% of
pregnancies result in pregnancy loss and 1% of women experience recurrent
miscarriage (more than three consecutive miscarriages). The influence of
thrombophilia in pregnancy is a popular research topic in recurrent miscarriage.
Both acquired and inherited thrombophilia are associated with a risk of pregnancy
failure. Antiphospholipid syndrome is the only thrombophilia known to have a
direct adverse effect on pregnancy. Historically, clinical research studying
thrombophilia treatment in recurrent miscarriage has been of limited value owing
to small participant numbers, poor study design and heterogeneity. The debate on
the efficacy of aspirin and heparin has advanced with recently published
randomised-controlled trials. Multi-centre collaboration is required to ascertain
the effect of thrombophilia on early pregnancy loss and to establish an evidence
based treatment protocol.
PMID- 22079390
TI - Coenzyme Q(10), vitamin E, selenium, and methionine in the treatment of chronic
recurrent viral mucocutaneous infections.
AB - OBJECTIVE: Host defense and latency determinants in viral recurrent dermatologic
infections are not entirely understood, as conventional protocols are inadequate
to achieve fast healing and relapse prevention. Endogenously produced
oxygen/nitrogen reactive species (ROS/RNS) are essential for antiviral immune
defense, while their excess may aggravate skin inflammation. Here, we sought a
nutritional approach capable of controlling ROS/RNS balance to accelerate
recovery and inhibit recurrences of two mucocutaneous chronic DNA-virus
infections. METHODS: Two controlled clinical trials evaluated the feasibility of
ROS/RNS-modulating nutriceutical dosages of coenzyme Q(10), RRR-alpha-tocopherol,
selenium aspartate, and L-methionine associated with established therapies.
Clinical trial 1 evaluated 68 patients with relapsing human papillomavirus skin
warts treated with cryotherapy followed by 180 d of nutriceutical/placebo
administration. Clinical trial 2 compared the combination of acyclovir followed
by 90 d of nutriceutical administration versus acyclovir alone in patients with
recurrences of herpes simplex genitalis (n = 60) or herpes zoster (n = 29). Viral
DNA levels were assessed by polymer chain reaction, biomarkers of antiviral
defense (peroxynitrite and IFNalpha/gamma) and antioxidant capacity (lipophilic
antioxidants and glutathione) were assayed by biochemical/enzyme-linked
immunosorbent assay techniques in blood fractions. RESULTS: In both trials, the
nutriceutical induced significantly faster healing (P < 0.01-0.05) with reduced
incidence of relapses (P < 0.05) as compared to control groups, which was
confirmed by decreased viral load and increased antiviral cytokine and
peroxynitrite plasma levels. Plasma antioxidant capacity was higher (P < 0.01) in
the experimental versus control groups. CONCLUSIONS: Results document positive
clinical outcomes of the selected nutriceutical associated with conventional
protocols in the management of relapsing mucocutaneous human papillomavirus and
herpes infections.
PMID- 22079391
TI - Coenzyme Q10 supplementation and exercise-induced oxidative stress in humans.
AB - OBJECTIVE: The theoretically beneficial effects of coenzyme Q10 (Q10) on exercise
related oxidative stress and physical capacity have not been confirmed to our
knowledge by interventional supplementation studies. Our aim was to investigate
further whether Q10 supplementation at a dose recommended by manufacturers
influences these factors. METHODS: Using a randomized, double-blind, controlled
design, we investigated the effect on physical capacity of 8 wk of treatment with
a daily dose of 90 mg of Q10 (n = 12) compared with placebo (n = 11) in
moderately trained healthy men 19 to 44 y old. Two days of individualized
performance tests to physical exhaustion were performed before and after the
intervention. Primary outcomes were maximal oxygen uptake, workload, and heart
rate at the lactate threshold. Secondary outcomes were creatine kinase,
hypoxanthine, and uric acid. RESULTS: No significant differences between the
groups were discerned after the intervention for maximal oxygen uptake (-0.11
L/min, 95% confidence interval -0.31 to 0.08, P = 0.44), workload at lactate
threshold (6.3 W, -13.4 to 25.9, P = 0.36), or heart rate at lactate threshold
(2.0 beats/min, -4.9 to 8.9, P = 0.41). No differences between the groups were
detected for hypoxanthine or uric acid (serum markers of oxidative stress) or
creatine kinase (a marker of skeletal muscle damage). CONCLUSION: Although in
theory Q10 could be beneficial for exercise capacity and in decreasing oxidative
stress, the present study could not demonstrate that such effects exist after
supplementation with a recommended dose.
PMID- 22079392
TI - Effects of high-fat diet on plasma lipids, adiposity, and inflammatory markers in
ovariectomized C57BL/6 mice.
AB - OBJECTIVE: We hypothesized that a high-fat (HF) diet aggravates ovariectomy
related complications. To test this hypothesis, ovariectomized (OVX) mice were
fed a HF diet, and we investigated the lipid metabolism, adipose tissue
remodeling, adipokines, and inflammatory cytokines. METHODS: To investigate the
situation in a mouse model of ovariectomy, OVX and SHAM C57BL/6 mice fed a HF
diet (60% fat) or standard chow (SC, 10% fat) were monitored for 18 wk. We
evaluated daily food intake and weekly body weight. Mice were killed at 30 wk of
age. Blood samples and adipose tissue were collected for biochemical, histologic,
and molecular analysis. RESULTS: OVX groups showed atrophied uterus compared to
the SHAM groups, ensuring the success of surgically induced menopause. Despite
lower food intake, OVX-HF mice gained about 52% more weight and had heavier total
body fats, especially in relation to ovarian fat pad (372%)-a visceral fat which
is associated with increased pathogenicity in obesity, and showed larger
adipocytes (30%) when compared to OVX-SC mice. Biochemical analysis showed that
the OVX-HF mice had increased levels of serum total cholesterol (51%), greater
serum triglycerides (158%), lower serum adiponectin (40%), and higher plasma
leptin (323%) than OVX-SC mice. The obese group (OVX-HF) also had higher IL-6
levels than both SHAM-HF (241%) and OVX-SC mice (870%). CONCLUSION: OVX C57BL/6
mice fed HF diet had greater adipose fat pad, larger adipocytes, and increased
inflammatory markers, reinforcing the idea that a HF diet aggravates the
complications of ovariectomy-associated inflammation.
PMID- 22079393
TI - Hypermethylation of repetitive DNA elements in livers of mice fed an atherogenic
diet.
AB - OBJECTIVE: DNA methylation status was examined in C57BL/6J obese mice fed an
atherogenic diet (AD) to establish the correlation between epigenetic alterations
and obesity-related abnormalities. METHODS: Six-week-old male C57BL/6J mice were
fed a normal diet (ND) or AD for 8 wk. Methylation levels of global DNA and
repetitive DNA elements in livers of ND-fed mice and AD-fed mice were examined.
RESULTS: The total amounts of 5-MeC genomic contents in livers of AD-fed mice
were increased as compared with those of ND-fed mice. Hypermethylation of
repetitive DNA elements was observed in livers of AD-fed mice. CONCLUSION:
Hypermethylation of repetitive DNA elements in livers of AD-fed mice proposes
epigenetic changes by nutritional intervention.
PMID- 22079394
TI - Effects of leucine supplementation and resistance exercise on dexamethasone
induced muscle atrophy and insulin resistance in rats.
AB - OBJECTIVE: We aimed to evaluate the effects of resistance exercise (RE) and
leucine (LEU) supplementation on dexamethasone (DEXA)-induced muscle atrophy and
insulin resistance. METHODS: Male Wistar rats were randomly divided into DEXA
(DEX), DEXA + RE (DEX-RE), DEXA + LEU (DEX-LEU), and DEXA + RE + LEU (DEX-RE-LEU)
groups. Each group received DEXA 5 mg . kg(-1) . d(-1) for 7 d from drinking
water and were pair-fed to the DEX group; LEU-supplemented groups received 0.135
g . kg(-1) . d(-1) through gavage for 7 d; the RE protocol was based on three
sessions of squat-type exercise composed by three sets of 10 repetitions at 70%
of maximal voluntary strength capacity. RESULTS: The plantaris mass was
significantly greater in both trained groups compared with the non-trained
groups. Muscle cross-sectional area and fiber areas did not differ between
groups. Both trained groups displayed significant increases in the number of
intermediated fibers (IIa/IIx), a decreased number of fast-twitch fibers (IIb),
an increased ratio of the proteins phospho(Ser2448)/total mammalian target of
rapamycin and phospho(Thr389)/total 70-kDa ribosomal protein S6 kinase, and a
decreased ratio of phospho(Ser253)/total Forkhead box protein-3a. Plasma glucose
was significantly increased in the DEX-LEU group compared with the DEX group and
RE significantly decreased hyperglycemia. The DEX-LEU group displayed decreased
glucose transporter-4 translocation compared with the DEX group and RE restored
this response. LEU supplementation worsened insulin sensitivity and did not
attenuate muscle wasting in rats treated with DEXA. Conversely, RE modulated
glucose homeostasis and fiber type transition in the plantaris muscle.
CONCLUSION: Resistance exercise but not LEU supplementation promoted fiber type
transition and improved glucose homeostasis in DEXA-treated rats.
PMID- 22079396
TI - Accuracy of GFR predictive equations in renal transplantation: validation of a
new turbidimetric cystatin C assay on Architect c8000.
AB - OBJECTIVES: To evaluate the Sentinel-PETIA cystatin C on Architect c8000
analyzer. DESIGN AND METHODS: We assessed analytical performances and clinical
relevance by comparison with a reference isotopic method in kidney transplant
recipients. RESULTS: This assay exhibited reliable precision and was close to the
non standardized Siemens-PENIA method. All tested equations allowed reliable
assessment of GFR. CONCLUSIONS: Cystatin C improved GFR determination at the
critical level of 60 mL/min/1.73 m2. New formulas might be necessary after IFCC
standardization.
PMID- 22079395
TI - Impact of barbecued meat consumed in pregnancy on birth outcomes accounting for
personal prenatal exposure to airborne polycyclic aromatic hydrocarbons: Birth
cohort study in Poland.
AB - OBJECTIVE: We previously reported an association between prenatal exposure to
airborne polycyclic aromatic hydrocarbons (PAH) and lower birth weight, birth
length, and head circumference. The main goal of the present analysis was to
assess the possible impact of coexposure to PAH-containing barbecued meat
consumed during pregnancy on birth outcomes. MATERIALS AND METHODS: The birth
cohort consisted of 432 pregnant women who gave birth at term (>36 wk of
gestation). Only non-smoking women with singleton pregnancies, 18-35 y of age,
and who were free from chronic diseases such as diabetes and hypertension, were
included in the study. Detailed information on diet over pregnancy was collected
through interviews and the measurement of exposure to airborne PAHs was carried
out by personal air monitoring during the second trimester of pregnancy. The
effect of barbecued meat consumption on birth outcomes (birth weight, length, and
head circumference at birth) was adjusted in multiple linear regression models
for potential confounding factors such as prenatal exposure to airborne PAHs,
child's sex, gestational age, parity, size of mother (maternal prepregnancy
weight, weight gain in pregnancy), and prenatal environmental tobacco smoke.
RESULTS: The multivariable regression model showed a significant deficit in birth
weight associated with barbecued meat consumption in pregnancy (coeff = -106.0 g;
95%CI: -293.3, -35.8). The effect of exposure to airborne PAHs was about the same
magnitude order (coeff. = -164.6 g; 95%CI: -172.3, -34.7). Combined effect of
both sources of exposure amounted to birth weight deficit of 214.3 g (95%CI:
419.0, -9.6). Regression models performed for birth length and head circumference
showed similar trends but the estimated effects were of borderline significance
level. As the intake of barbecued meat did not affect the duration of pregnancy,
the reduced birth weight could not have been mediated by a shortened gestation
period. CONCLUSION: In conclusion, the study results provided epidemiologic
evidence that prenatal PAH exposure from diet including grilled meat might be
hazardous for fetal development.
PMID- 22079397
TI - Reduction of butyrylcholinesterase activity in plasma from patients with
disorders of propionate metabolism is prevented by treatment with L-carnitine and
protein restriction.
AB - OBJECTIVE: We investigated the relationship between butyrylcholinesterase (BuChE)
activity and lipid oxidative damage in patients with disorders of propionate
metabolism, before and after treatment with protein restriction and L-carnitine.
DESIGN AND METHODS: BuChE activity and malondialdehyde (MDA) were measured in
plasma from eight untreated patients (at diagnosis) and from seven patients under
treatment with protein restriction and L-carnitne supplementation (100mg/kg/day).
RESULTS: We verified a significant reduction of butyrylcholinesterase activity,
as well as an increased MDA formation in plasma from untreated patients. However,
treated patients presented MDA and BuChE activity similar to controls.
Furthermore, butyrylcholinesterase activity was negatively correlated with MDA
concentrations in these patients. CONCLUSION: The results suggest that an
increased free radicals formation may be involved in the decrease of
butyrylcholinesterase activity, possibly contributing to the neurological damage
of these disorders, and that treatment with L-carnitine and low-protein diet
possibly is able to prevent this damage.
PMID- 22079398
TI - Social memories in rodents: methods, mechanisms and modulation by stress.
AB - Intact social memory forms the basis of meaningful interactions between
individuals. Many factors can modulate the quality of social memory, and these
have been studied in detail in rodents. Social memory, however, cannot be
considered a single entity. The term social memory reflects different processes,
such as social recognition of a novel conspecific individual and social learning
(or 'learning from others'). This review summarizes the findings obtained with
behavioral paradigms that were developed for the study of memory formation by
social recognition and social learning. In particular, we focus on studies that
include tests for social habituation/discrimination paradigms, tests for memory
of a previously established social hierarchy and the social transmission of the
food preference test. The role of individual differences and the main
neurobiological mechanisms (i.e., the brain regions and neurochemical systems
involved) that have been implicated in each of these types of social-related
memories are reviewed. In addition, we address the key modulatory influence of
stress on the formation of these types of memories; discussing the contribution
of central (corticotropin-releasing factor, CRF) and peripheral (glucocorticoids)
stress systems and their interactions with the social neuropeptide systems.
Overall, we present here a general overview of the current state of a thriving
research area within the field of social neuroscience.
PMID- 22079399
TI - Structural and physiological phenotypes of disease-linked lamin mutations in C.
elegans.
AB - The nuclear lamina is a major structural element of the nucleus and is
predominately composed of the intermediate filament lamin proteins. Missense
mutations in the human lamins A/C cause a family of laminopathic diseases, with
no known mechanistic link between the position of the mutation and the resulting
disease phenotypes. The Caenorhabditis elegans lamin (Ce-lamin) is structurally
and functionally homologous to human lamins, and recent advances have allowed
detailed structural analysis of Ce-lamin filaments both in vitro and in vivo.
Here, we studied the effect of laminopathic mutations on Ce-lamin filament
assembly in vitro and the corresponding physiological phenotypes in animals. We
focused on three disease-linked mutations, Q159K, T164P, and L535P, which have
previously been shown to affect lamin structure and nuclear localization.
Mutations prevented the proper assembly of Ce-lamin into filament and/or
paracrystalline arrays. Disease-like phenotypes were observed in strains
expressing low levels of these mutant lamins, including decreased fertility and
motility coincident with muscle lesions. In addition, the Q159K- and T164P
expressing strains showed a reduced lifespan. Thus, different disease-linked
mutations in Ce-lamin exhibit major effects in vivo and in vitro. Using C.
elegans as a model system, a comprehensive analysis of the effects of specific
lamin mutations from the level of in vitro filament assembly to the physiology of
the organism will help uncover the mechanistic differences between these
different lamin mutations.
PMID- 22079400
TI - Finding rigid bodies in protein structures: Application to flexible fitting into
cryoEM maps.
AB - We present RIBFIND, a method for detecting flexibility in protein structures via
the clustering of secondary structural elements (SSEs) into rigid bodies. To test
the usefulness of the method in refining atomic structures within cryoEM density
we incorporated it into our flexible fitting protocol (Flex-EM). Our benchmark
includes 13 pairs of protein structures in two conformations each, one of which
is represented by a corresponding cryoEM map. Refining the structures in
simulated and experimental maps at the 5-15A resolution range using rigid bodies
identified by RIBFIND shows a significant improvement over using individual SSEs
as rigid bodies. For the 15A resolution simulated maps, using RIBFIND-based rigid
bodies improves the initial fits by 40.64% on average, as compared to 26.52% when
using individual SSEs. Furthermore, for some test cases we show that at the sub
nanometer resolution range the fits can be further improved by applying a two
stage refinement protocol (using RIBFIND-based refinement followed by an SSE
based refinement). The method is stand-alone and could serve as a general
interactive tool for guiding flexible fitting into EM maps.
PMID- 22079401
TI - Microstructure-stiffness relationships of ten European and tropical hardwood
species.
AB - Hardwood species exhibit a huge anatomical variability. This makes them perfect
study objects for exploring relations between structural features at different
length scales and corresponding stiffness properties of wood. We carry out
microscopic analysis, nanoindentation tests, as well as macroscale ultrasonic and
quasi-static tension tests and build a complete set of microstructural and
corresponding micromechanical data of ten different (European and tropical)
hardwood species. In addition, we apply micromechanical modeling to further
elucidate the individual influences of particular structural features, which
might appear only in a superimposed manner in experiments. The test results
confirm the dominant influences of the microfibril angle on the stiffness at cell
wall level and of density at the macroscopic scale. Vessels and ray cells affect
the macroscopic stiffness of the wood tissue not only through their content, but
also through their arrangement and shape: A ring-porous structure results in
comparably higher longitudinal but lower radial stiffness than a diffuse-porous
one. As for ray cells, large and particularly compactly shaped bundles might
reduce the stiffness in tangential direction because of the fiber deviations they
cause. Moreover, vessel and ray content might affect the relation between
nanoindentation modulus and density-corrected macroscopic longitudinal stiffness.
PMID- 22079402
TI - Adaptive volumetric modulated arc treatment planning for esophageal cancers using
cone beam computed tomography.
AB - PURPOSE: To assess the potential of cone beam CT (CBCT) derived adaptive RapidArc
treatment for esophageal cancers in reducing the dose to organs at risk (OAR).
METHODS AND MATERIALS: Ten patients with esophageal cancer were CT scanned in
free breathing pattern. The PTV is generated by adding a 3D margin of 1 cm to the
CTV as per ICRU 62 recommendations. The double arc RapidArc plan (Clin_RA) was
generated for the PTV. Patients were setup using kV orthogonal images and kV-CBCT
scan was acquired daily during first week of therapy, then weekly. These images
were exported to the Eclipse TPS. The adaptive CTV which includes tumor and
involved nodes was delineated in each CBCT image set for the length of the PTV.
The composite CTV from first week CBCT was generated using Boolean union operator
and 5 mm margin was added circumferentially to generate adaptive PTV (PTV1).
Adaptive RapidArc plan (Adap_RA) was generated. NTCP and DVH of the OARs of the
two plans were compared. Similarly, PTV2 was generated from weekly CBCT. PTV2 was
evaluated for the coverage of 95% isodose of Adap_RA plan. RESULTS: The PTV1 and
PTV2 volumes covered by 95% isodose in adaptive plans were 93.51 +/- 1.17% and
94.59 +/- 1.43% respectively. The lung V(10Gy,)V(20Gy) and mean dose in Adap_RA
plan was reduced by 17.43% (p = 0.0012), 34.64% (p = 0.0019) and 16.50% (p =
0.0002) respectively compared to Clin_RA. The Adap_RA plan reduces the heart
D(35%) and mean dose by 17.35% (p = 0.0011) and 17.16% (p = 0.0012). No
significant reduction in spinal cord and liver doses were observed. NTCP for the
lung (0.42% vs. 0.08%) and heart (1.39% vs. 0.090%) was reduced significantly in
adaptive plans. CONCLUSION: The adaptive re-planning strategy based on the first
week CBCT dataset significantly reduces the doses and NTCP to OARs.
PMID- 22079403
TI - Gait characteristics when walking with rounded soft sole shoes.
AB - This study aimed to examine the effect of shoes with a rounded soft sole (Stretch
Walker: SW) on gait. Fifteen healthy male (mean age: 23.2) walked under three
conditions (SW, Flat-bottomed Shoe: FS, Barefoot: BF). Including walking speed,
stance time, step length were selected as temporal-spatial parameters. The angle
of hip, knee, and ankle joints during particular phases were selected as
kinematic parameters. Walking speed, stance time, step length and flexion angle
of the ankle joint at initial contact were greater when wearing either shoe than
walking BF; cadence was faster walking BF than wearing either shoe; double
support time increased (FS>SW>BF); step width was greater (FS>SW>BF); walking
angle was greater wearing the SW than wearing the FS and walking BF; and range of
motion and maximum flexion angle of the hip and knee joints were greater wearing
the FS than walking BF. In conclusion, wearing the SW with a heel-to-toe rocker
and soft sole changes the double support time, step width, and walking angle and
increases step length and walking speed compared to walking BF. The difference of
the range of motion in hip and knee joints was larger between walking BF and
wearing the FS than between walking BF and wearing the SW.
PMID- 22079404
TI - Incidence, risk factors for amputation among patients with diabetic foot ulcer in
a North Indian tertiary care hospital.
AB - OBJECTIVE: Aim of this study was to evaluate the incidence and risk factors for
amputation among patients with diabetic foot ulcer (DFU). RESEARCH DESIGN AND
METHODS: We performed a prospective study of 162 DFU in patients treated in a
multidisciplinary based diabetes and endocrinology centre of Jawaharlal Nehru
Medical College of Aligarh Muslim University, Aligarh, India during the period of
December 2008-March 2011. Detailed history and physical examination was carried
out for every subject. Risk factors for amputation were determined by univariate
analysis with 95% of CI. RESULTS: The overall amputation rate was 28.4%. On
univariate analysis, male sex [OR 2.8, RR 1.28], hypertension [OR 2.83, RR 1.31],
neuropathy [OR 3.01, RR 1.35], nephropathy [OR 2.24, RR 1.26], LDL-C (>100mg/dl)
[OR 2.53, RR 1.28], total cholesterol (>150mg/dl) [OR 3.74, RR
1.52],HDLC(<40mg/dl) [OR 1.19, RR 1.18], triglycerides (>200mg/dl) [OR 5.44,
RR1.76], previous antibiotic use [OR 9.12, RR 1.92], osteomyelitis [OR 6.97, RR
2.43] and biofilm infection [OR 4.52, RR 1.41] were significant risk factors.
CONCLUSION: The risk factors for amputation were presence of PVD, leukocytosis,
neuropathy, nephropathy, hypertension, dyslipidemia, over use of antibiotics,
osteomyelitis, biofilm production and higher grade of ulcer.
PMID- 22079405
TI - Somatic mutations in aging, cancer and neurodegeneration.
AB - The somatic mutation theory of aging posits that the accumulation of mutations in
the genetic material of somatic cells as a function of time results in a decrease
in cellular function. In particular, the accumulation of random mutations may
inactivate genes that are important for the functioning of the somatic cells of
various organ systems of the adult, result in a decrease in organ function. When
the organ function decreases below a critical level, death occurs. A significant
amount of research has shown that somatic mutations play an important role in
aging and a number of age related pathologies. In this review, we explore
evidence for increases in somatic nuclear mutation burden with age and the
consequences for aging, cancer, and neurodegeneration. We then review evidence
for increases in mitochondrial mutation burden and the consequences for
dysfunction in the disease processes.
PMID- 22079406
TI - Serum concentration of an inflammatory glycotoxin, methylglyoxal, is associated
with increased cognitive decline in elderly individuals.
AB - BACKGROUND: Advanced glycations end products increase oxidant stress,
inflammation, and neurotoxicity. Serum levels are increased in diabetes and
aging. We examined the relationship between serum methylglyoxal derivatives
(sMG), and cognitive decline, in 267 non-demented elderly. METHODS: Tobit mixed
regression models assessed the association of baseline sMG with cognitive decline
in the Mini Mental State Exam (MMSE) over time, controlling for sociodemographic
factors (age, sex, and years of education), cardiovascular risk factors (diabetes
and presence of an ApoE4 allele), and kidney function. sMG was assessed by ELISA.
RESULTS: The fully adjusted model showed an annual decline of 0.26 MMSE points
per unit increase in baseline sMG (p = 0.03). Significance was unchanged as
additional risk factors were added to the model. The interactions of sMG with
diabetes, sex, age, kidney function, and ApoE4 genotype were not significant.
CONCLUSIONS: Higher levels of baseline sMG were associated with a faster rate of
cognitive decline, after adjusting for several sociodemographic and clinical
characteristics. This relationship did not differ by sex, ApoE4 genotype, or
diabetes status suggesting its generality. Since subjects were cognitively normal
at the beginning of the study, elevated sMG may be indicative of brain cell
injury initiated before clinically evident cognitive compromise.
PMID- 22079407
TI - Membrane proteins in four acts: function precedes structure determination.
AB - Studies on four membrane protein systems, which combine information derived from
crystal structures and biophysical studies have emphasized, as a precursor to
crystallization, demonstration of functional activity. These assays have relied
on sensitive spectrophotometric, electrophysiological, and microbiological assays
of activity to select purification procedures that lead to functional complexes
and with greater likelihood to successful crystallization: (I), Hetero-oligomeric
proteins involved in electron transport/proton translocation. (1) Crystal
structures of the eight subunit hetero-oligomeric trans-membrane dimeric
cytochrome b(6)f complex were obtained from cyanobacteria using a protocol that
allowed an analysis of the structure and function of internal lipids at specific
intra-membrane, intra-protein sites. Proteolysis and monomerization that
inactivated the complex and prevented crystallization was minimized through the
use of filamentous cyanobacterial strains that seem to have a different set of
membrane-active proteases. (2) An NADPH-quinone oxido-reductase isolated from
cyanobacteria contains an expanded set of 17 monotopic and polytopic hetero
subunits. (II) beta-Barrel outer membrane proteins (OMPs). High resolution
structures of the vitamin B(12) binding protein, BtuB, solved in meso and in
surfo, provide the best example of the differences in such structures that were
anticipated in the first application of the lipid cubic phase to membrane
proteins [1]. A structure of the complex of BtuB with the colicin E3 and E2
receptor binding domain established a "fishing pole" model for outer membrane
receptor function in cellular import of nuclease colicins. (III) A modified
faster purification procedure contributed to significantly improved resolution
(1.83A) of the universal porin, OmpF, the first membrane protein for which
meaningful 3D crystals have been obtained [2]. A crystal structure of the N
terminal translocation domain of colicin E3 complexed to OmpF established the
role of OmpF as an import channel for colicin nuclease cytotoxins. (IV) alpha
Synuclein, associated with the etiology of Parkinson's Disease, is an example of
a protein, which is soluble and disordered in solution, but which can assume an
ordered predominantly alpha-helical conformation upon binding to membranes. When
subjected in its membrane-bound form to a trans-membrane electrical potential,
alpha-synuclein can form voltage-gated ion channels. Summary of methods to assay
functions/activities: (i) sensitive spectrophotometric assay to measure electron
transfer activities; (ii) hydrophobic chromatography to deplete lipids, allowing
reconstitution with specific lipids for studies on lipid-protein interactions;
(iii) microbiological screen to assay high affinity binding of colicin receptor
domains to Escherichia coli outer membrane receptors; (iv)
electrophysiology/channel analysis (a) to select channel-occluding ligands for co
crystallization with ion channels of OmpF, and (b) to provide a unique
description of voltage-gated ion channels of alpha-synuclein.
PMID- 22079408
TI - Microbiological and meteorological analysis of two Australian dust storms in
April 2009.
AB - Dust is an important source of bioaerosols including bacteria. In this study, the
microbiology and meteorology of specific dust storms in Australia were
investigated. The samples were collected from two dust events in April 2009 that
were characterised by intense cold fronts that entrained dust from the highly
erodible and drought-stricken Mallee and Riverina regions of Victoria and central
NSW. In the first storm, the dust travelled eastward over Canberra and Sydney,
and in the second storm, the dust travelled east/southeastward over Canberra and
Melbourne. Rain fell on both cities during the second dust storm. Dust and rain
samples were collected, cultured, and the composition compared using polymerase
chain reaction denaturing gradient gel electrophoresis (PCR-DGGE). Multiple bands
were evident on DGGE indicative of a diverse microflora, and identification of
several bands confirmed the presence of multiple genera and species representing
three phyla. Numerous bands represented Bacillus species, and these were present
in multiple dust samples collected from both Canberra and Melbourne.
Interestingly, the microflora present in rain samples collected in Canberra
during the second dust storm was quite different and the DGGE banding patterns
from these samples clustered separately to most dust samples collected at the
same time. Identification of several DGGE bands and PCR products from these rain
samples indicated the presence of Pseudomonas species. These results indicate
that Australian dust and rain have a diverse microflora and highlights the
contribution of dust events to the distribution of microbes in the environment.
PMID- 22079411
TI - Gamma knife radiosurgery for giant cell tumor of the petrous bone.
PMID- 22079410
TI - Comparison of relative and actual chest compression depths during cardiac arrest
in children, adolescents, and young adults.
AB - AIM: Cardiopulmonary resuscitation (CPR) guidelines recommend specific chest
compression (CC) target depths for children. We quantitatively describe relative
anterior-posterior diameter (APD) depth, actual depth, and force of CCs during
real CPR events in children. METHODS: CC depth and force were recorded during
real CPR events in children >=8 years using FDA-approved CC sensor. Patient chest
APD was measured at conclusion of each CPR event. CC data was stratified and
analyzed according to age (pre-puberty, 8-14 years; post-puberty, 15+ years).
Relative (% APD) and actual CC depth, corrected for mattress deflection, were
assessed and compared with American Heart Association (AHA) 2005 and 2010
pediatric CPR guidelines. RESULTS: 35 events in 32 subjects included 16,158 CCs
for data analysis: 16 pre-puberty (CCs=7484, age 11.9+/-2 years, APD 164.6+/-25.1
mm); 19 post-puberty (CCs=8674, age 18.0+/-2.7 years, APD 196.5+/-30.4 mm). After
correction for mattress deflection, 92% of CC delivered to pre-puberty were <1/3
relative APD and 60% of CC were <38 mm actual depth. Mean actual CC depth (36.2+/
9.6 mm vs. 36.8+/-9.9 mm, p=0.64), mean relative APD (22.5%+/-7.0% vs. 19.5+/
6.7%, p=0.13), and mean CC force (30.7+/-7.6 kg vs. 33.6+/-9.4 kg, p=0.07) were
not significantly less in pre-puberty vs. post-puberty. CONCLUSIONS: During in
hospital cardiac arrest of children >=8 years, CCs delivered by resuscitation
teams were frequently <1/3 relative APD and <38 mm actual depth after mattress
deflection correction, below pediatric and adult target guidelines. Mean CC
actual depth and force were not significantly different in pre-puberty and post
puberty. Additional investigation to determine depth of CCs to optimize
hemodynamics and outcomes is needed to inform future CPR guidelines.
PMID- 22079412
TI - Transcriptome profiling of female alates and egg-laying queens of the Formosan
subterranean termite.
AB - Termites are known to have an extraordinary reproductive plasticity and capacity,
but the underlying genetic patterns of termite reproductive biology are
relatively understudied. The goal of this study was to identify genes for which
expression levels differ between dealated precopulatory females (virgins) and egg
laying queens of the Formosan subterranean termite, Coptotermes formosanus
Shiraki. We constructed a normalized polyphenic expressed sequence tag (EST)
library that represents genomic material from most of the castes and life stages
of the Formosan subterranean termite. Microarrays were designed using probes from
this EST library and public genomic resources. Virgin females and queens were
competitively hybridized to these microarrays and differentially expressed
candidate genes were identified. Differential expression of eight genes was
subsequently confirmed via reverse transcriptase quantitative PCR (RT-QPCR). When
compared to virgins, queens had higher expression of genes coding for proteins
related to immunity (gram negative binding protein), nutrition (e.g., termite
derived endo-beta-1,4-glucanase), protein storage, regulation of caste
differentiation and reproduction (hexamerin, juvenile hormone binding protein).
Queens also had higher transcript levels for genes involved in metabolism of
xenobiotics, fat, and juvenile hormone (glutathione-S-transferase-like proteins,
and cytochrome P450), among others. In particular, hexamerin, juvenile hormone
binding protein, and a cytochrome P450 from the 4C subfamily are likely to be
involved in initiating the inactive period during the reproductive cycle of the
queen. Vice versa, virgins had higher expression than queens of genes related to
respiration, probably due to recent flight activity, and several genes of unknown
function.
PMID- 22079413
TI - Insights into the pathophysiology of ankylosing spondylitis: contributions from
animal models.
AB - The introduction of anti-tumor necrosis factor strategies has significantly
changed the perspective and outcome of patients with ankylosing spondylitis and
related spondyloarthritides. This breakthrough has also boosted further research
efforts into the mechanisms of disease. As human tissue specimens of the spine
and sacroiliac joints are very difficult to obtain and rarely allow mechanistic
studies, most of the new concepts have emerged from different animal models of
disease. In this review, we summarize insights into the role of HLA-B27 based on
transgenic rat and mouse models, efforts into the identification of cell
populations stimulating inflammation and molecular studies of pathological bone
formation leading to ankylosis. Important progress has been made and novel
hypotheses were put forward. These include the impact of HLA-B27 on endoplasmic
reticulum stress and the unfolded protein response, the role of stromal cells in
inflammation, the entheseal stress hypothesis and the identification of the bone
morphogenetic protein and WNT signaling pathways as therapeutic targets for
ankylosis.
PMID- 22079415
TI - Bone tissue and muscle dystrophin deficiency in mdx mice.
AB - Duchenne muscular dystrophy is a neuromuscular disease caused by the lack of
dystrophin that affects skeletal muscles, causing degeneration of muscle fibers
and replacing them with fibrous and adipose tissue, events that gradually lead to
functional loss. Patients with Duchenne muscular dystrophy have shown that bones
become more fragile with age and with advancement of the disease. Muscle weakness
and reduced mobility have been suggested to be the factors that promote bone
deterioration. However, it seems that this does not occur in mdx mice. It has
been identified in mdx mice the existence of a factor related or not to the lack
of dystrophin that also participates in the impairment of bone quality. Mdx mice
also exhibit muscle degeneration, but unlike human, it is compensated by muscle
regeneration. In consequence, there is an increase in the muscle mass, but not
necessarily of muscle contractile strength. The accommodation of this increased
muscle mass promotes bone formation at specific sites, such as at tendo-osseous
junctions. In addition, the inflammatory response to muscle injury may be
responsible for the increase in angiogenesis and regeneration observed in mdx
mice, inducing the release of cytokines and chemokines that play an important
role in the recruitment of leukocytes and macrophages. Then, mdx mice may possess
compensatory mechanisms in bone in response to a genetic defect.
PMID- 22079417
TI - Disease associated cytokine SNPs database: an annotation and dissemination model.
AB - Cytokines mediate crucial functions in innate and adaptive immunity. They play
valuable roles in immune cell growth and lineage specification, and are
associated with various disease pathologies. A large number of low, medium and
high throughput studies have implicated association of single nucleotide
polymorphisms (SNPs) in cytokine genes with diseases. A preponderance of such
experiments has not shown any causality of an identified SNP to the associated
disease. Instead, they have identified statistically significant SNP-disease
associations; it is likely that some of these cytokine gene variants may directly
or indirectly cause the disease phenotype(s). To fill this knowledge gap and
derive study parameters for cytokine SNP-disease causality relationships, we have
designed and developed the disease associated cytokine SNP database (DACS-DB).
DACS-DB has data on 456 cytokine genes, approximately 63,000 SNPs, and 853 SNP
associated diseases. In DACS-DB, among other attributes, we present functional
annotation, and heterozygosity allele frequency for the SNPs, and literature
validated SNP association for diseases. Users of the DB can run queries such as
the ones to find disease-associated SNPs in a cytokine gene, and all the SNPs
involved in a disease. We have developed a web front end (available at
http://www.iupui.edu/~cytosnp) to disseminate this information for immunologists,
biomedical researchers, and other interested biological researchers. Since there
is no such comprehensive collection of disease associated cytokine SNPs, this DB
will be vital to understand the role of cytokine SNPs as markers in disease, and
more importantly, in causality to disease thus helping to identify drug targets
for common inflammatory diseases.
PMID- 22079418
TI - Identification, organ expression and ligand-dependent expression levels of
peroxisome proliferator activated receptors in grass carp (Ctenopharyngodon
idella).
AB - The peroxisome proliferator-activated receptors (PPARs) are ligand-dependent
transcription factors belonging to the nuclear receptor family, and can regulate
various genes involved in lipid metabolism. The aim of the present study was to
investigate the tissue distribution patterns of PPARs and their ligand
specificities in grass carp. We cloned three PPAR isotypes of the species and
evaluated their organ distribution patterns using real-time PCR. Through
analyzing the deduced amino acid sequences identities between the products cloned
in grass carp and those described in other species, we concluded that the same
type of PPAR amino acid sequences in different species were with high homology,
and different subtypes of PPAR in the same species were with low homology. The
mRNA constitutive expression level of PPARalpha predominated in the liver, but
was weak in other tested tissues. PPARbeta was present in all tested organs, and
particularly abundant in heart, liver and muscle. PPARgamma was only detected in
the liver, and to a lesser extent in brain, muscle and visceral adipose tissue.
Grass carp were intraperitoneally injected with 50 mg kg(-1) body mass (bw) dose
of clofibrate, 42 mg kg(-1) bw dose of 2-bromo palmitate and 1 mg kg(-1) bw dose
of 15-deoxy-Delta(12,14) prostaglandin J2 (15d-PGJ2), respectively, and the
relative changes of the mRNA abundance of PPARs in liver were analyzed by real
time PCR. Clofibrate was able to increase the expressions of both PPARalpha and
beta, but was not able to for PPARgamma. 2-bromo palmitate could affect the
expressions of both PPARbeta and gamma, but was not able to for PPARalpha. 15d
PGJ2 was able to induce PPARbeta expression, but PPARalpha and gamma were not
enhanced. Consequently, these results indicate that clofibrate, 2-bromo palmitate
and 15d-PGJ2 could be applied as the activators of grass carp PPARs.
PMID- 22079420
TI - [Ocular syphilis. A report of two patients].
AB - INTRODUCTION: Whereas syphilis is a classical cause of uveitis, it is still often
under-recognized. Treatment recommendations are not based on specific clinical
studies. CASE REPORTS: We report two patients with syphilitic uveitis diagnosed
and treated at the University Hospital of Angers. Ocular involvement was
inaugural in both patients. Each had a specific treatment but none had a complete
recovery of visual function. CONCLUSION: Syphilis must be discussed in all
patients diagnosed with uveitis or papillitis. Although not evidence-based,
prompt therapy may lead to functional recovery.
PMID- 22079416
TI - Neurodegeneration the RNA way.
AB - The expression, processing, transport and activities of both coding and non
coding RNAs play critical roles in normal neuronal function and differentiation.
Over the past decade, these same pathways have come under scrutiny as potential
contributors to neurodegenerative disease. Here we focus broadly on the roles of
RNA and RNA processing in neurodegeneration. We first discuss a set of
"RNAopathies", where non-coding repeat expansions drive pathogenesis through a
surprisingly diverse set of mechanisms. We next explore an emerging class of "RNA
binding proteinopathies" where redistribution and aggregation of the RNA binding
proteins TDP-43 or FUS contribute to a potentially broad range of
neurodegenerative disorders. Lastly, we delve into the potential contributions of
alterations in both short and long non-coding RNAs to neurodegenerative illness.
PMID- 22079419
TI - Estimating the transmission potential of supercritical processes based on the
final size distribution of minor outbreaks.
AB - Use of the final size distribution of minor outbreaks for the estimation of the
reproduction numbers of supercritical epidemic processes has yet to be
considered. We used a branching process model to derive the final size
distribution of minor outbreaks, assuming a reproduction number above unity, and
applying the method to final size data for pneumonic plague. Pneumonic plague is
a rare disease with only one documented major epidemic in a spatially limited
setting. Because the final size distribution of a minor outbreak needs to be
normalized by the probability of extinction, we assume that the dispersion
parameter (k) of the negative-binomial offspring distribution is known, and
examine the sensitivity of the reproduction number to variation in dispersion.
Assuming a geometric offspring distribution with k=1, the reproduction number was
estimated at 1.16 (95% confidence interval: 0.97-1.38). When less dispersed with
k=2, the maximum likelihood estimate of the reproduction number was 1.14. These
estimates agreed with those published from transmission network analysis,
indicating that the human-to-human transmission potential of the pneumonic plague
is not very high. Given only minor outbreaks, transmission potential is not
sufficiently assessed by directly counting the number of offspring. Since the
absence of a major epidemic does not guarantee a subcritical process, the
proposed method allows us to conservatively regard epidemic data from minor
outbreaks as supercritical, and yield estimates of threshold values above unity.
PMID- 22079421
TI - [Autoimmune channelopathies].
AB - Autoimmune channelopathies are rare neuromuscular diseases that have been
characterized clinically for several decades but for which the evidence of
associated antibodies has only been recently demonstrated. Ion channels have an
important role of activation, inhibition and regulation in neuromuscular
transmission. Myasthenia gravis, generally associated with the presence of anti
acetylcholine receptor antibody, is the best-known channelopathy. Other anti
channel antibodies, including voltage-dependent, are associated with several
neurological diseases, as illustrated by anti-voltage-gated calcium channels
found in Lambert-Eaton myasthenic syndrome and paraneoplastic cerebellar ataxia,
and anti-voltage-gated potassium channels found in neuromyotonia, Morvan's
syndrome and limbic encephalitis. The treatment of autoimmune channelopathies is
logically based on corticosteroids, immunosuppressant drugs, intravenous
immunoglobulins and plasmapheresis.
PMID- 22079422
TI - [From HLA to cell therapy].
PMID- 22079423
TI - Spatio-temporal epidemiology of highly pathogenic avian influenza (H5N1)
outbreaks in Nigeria, 2006-2008.
AB - From 2006 to 2008, outbreaks of highly pathogenic avian influenza A (HPAI) virus
of the H5N1 subtype occurred among poultry in Nigeria. We described the spatio
temporal patterns of the HPAI H5N1 outbreaks in Nigeria. Data of suspected and
laboratory confirmed outbreaks maintained at the National Veterinary Research
Institute Vom was analyzed using descriptive and exploratory analyses, GIS
mapping, global and local spatial statistical analyses using the Cuzick-Edwards'
(C-E) test and SaTScan Space-Time Scan Statistic. A total of 1654 suspected
outbreaks were reported from 32 of the 36 States and the Federal Capital
Territory (FCT), 299 were confirmed HPAI H5N1 positive from 27 states and FCT.
The outbreaks occurred as three distinct epidemic waves with peak periods of
January-March mainly in the North-West, North-Central and North-East regions
during 2006 and 2007 and July-September in the South-West and South-South regions
in 2007. Three spatio-temporal clusters were identified extending across States
and international borders, consistent with disease transmission occurring through
local and long-distance spread. This calls for enhanced strategies by the states
and regional authorities to improve surveillance, prevention and control measures
at the states, national and international levels.
PMID- 22079424
TI - The inhibitory potency of local anesthetics on NMDA receptor signalling depends
on their structural features.
AB - Development of postoperative hyperalgesia depends on N-methyl-d-aspartate (NMDA)
receptor activation. Local anesthetics protect against those hyperalgesic pain
states and inhibit NMDA receptor activation. To outline what structural features
of local anesthetics are responsible for NMDA receptor inhibition we evaluated a
series of experimental lidocaine analogs (carbanilic derivates). Human
GluN1/GluN2A NMDA receptors were expressed recombinantly in Xenopus laevis
oocytes. Peak currents were measured by voltage clamp technique. Oocytes were
stimulated with glutamate/glycine (EC(50)). The responses following a 10min
incubation with in total 13 experimental derivates of local anesthetics (10(-3)M
10(-7)M) were measured to obtain the IC(50). Furthermore the Comprehensive
Descriptors for Structural and Statistical Analysis CODESSA software was used to
design a Quantitative Structure-Activity Relationship (QSAR)-model for all
substances. The IC(50) values were in the range of 2.74*10(-5)M-2.26*10(-3)M,
strongly affected by the position and the length of the aliphatic side chain in
the aromatic part of the local anesthetic molecule. Substance with no substituent
on the aromatic ring showed the highest inhibitory activity. The obtained QSAR
model predicted that lidocaine derivatives with free positions 2 and 6 on the
aromatic ring had a higher efficacy than clinically used local anesthetics for
inhibition of NMDA receptor signaling. Structural changes of local anesthetic
molecules can alter the potency to inhibit NMDA receptor signaling and are
independent of the local anesthetic (sodium-channel blocking) potency. The
development of novel drugs based on local anesthetic like structures may be a new
approach for the protection or treatment of NMDA receptor mediated hyperalgesia
and may be associated with a low side effect profile.
PMID- 22079425
TI - A Vegetation Index qualifying pasture edges is related to Ixodes ricinus density
and to Babesia divergens seroprevalence in dairy cattle herds.
AB - Babesia divergens, transmitted by the tick Ixodes ricinus, is the main agent of
bovine piroplasmosis in France. This Apicomplexa often is present in asymptomatic
carriers; however, clinical cases are rare. While numerous factors are known to
influence tick density, no risk factor of contact with B. divergens has been
identified for cattle. Our study aimed to explore whether a Vegetation Index
could serve as an indirect indicator of within-herd B. divergens seroprevalence.
In February 2007, blood samples were taken from all of the cows in 19 dairy
cattle herds in Western France and IFAT serology was performed individually to
measure B. divergens seroprevalence. The following spring, I. ricinus nymphs were
collected by drag sampling along transects on the vegetation of each farm's
pasture perimeters. Tick density was related significantly to a Vegetation Index
(V.I., ranging from 1 to 5) that took into account the abundance of trees and
bushes on the edge of pastures: most ticks (57%) were found in transects with the
highest V.I. (covering 15% of the explored surface in the study area). At the
farm level, the proportion of transects presenting I. ricinus nymphs was
significantly related to B. divergens seroprevalence: the farms with more than
15% of transects with I. ricinus had a significantly higher risk of high
seroprevalence. The proportion of pasture perimeters where the V.I.=5 also was
significantly related to B. divergens seroprevalence: the farms where more than
20% of transects had a V.I.=5 had a significantly higher risk of high
seroprevalence. Given that the Vegetation Index is a steady indicator of the
potential I. ricinus density in the biotope, we recommend that the risk of high
B. divergens seroprevalence in cows be evaluated using this tool rather than drag
samplings.
PMID- 22079426
TI - Levels of some antioxidant molecules and lipid peroxidation during in vivo
transformation of the progenetic metacercaria of Clinostomum complanatum to
ovigerous adult worms.
AB - The levels of oxidative stress markers are an important indicator of the
physiological state of the parasite and its host. In the present study levels of
lipid peroxidation, glutathione S transferase, glutathione, superoxide dismutase
and catalase were determined in the Clinostomum complanatum progenetic
metacercaria, obtained from the fish peritoneum (a hypoxic habitat). The in vivo
transformed ovigerous adult worms were obtained from the aerobic environment of
the buccopharyngeal region of experimentally infected chickens. Levels of
antioxidant molecules were also determined in the blood of experimentally
infected chickens. An increase in the levels of lipid peroxidation, and a
significant decrease in the levels of glutathione S transferase, glutathione,
superoxide dismutase and catalase was observed in the infected host as compared
to the controls. In the ovigerous worms, the levels of lipid peroxidation,
glutathione S transferase, glutathione, superoxide dismutase were found to be
significantly less than the levels observed in the progenetic metacercaria. Since
the establishment of worm in the buccal cavity of the avian host would lead to
its exposure to oxygen and the haematophagous nature of the parasite also exposes
it to the free radicals in the host blood, the progenetic metacercaria has
evolved to produce excess free radical scavenging molecules reserved to combat
the oxidative stress encountered within the microhabitat of the definitive host.
PMID- 22079427
TI - A respiratory syncytial virus isolate enables the testing of virucidal products.
AB - The respiratory syncytial virus (RSV) is known as a major cause of respiratory
infections and nosocomial diseases. Testing this virus is rather difficult due to
the problems encountered in producing it at a high titer without using any
purification method. A RSV isolate which replicates to high level on a Hep-2 cell
line with an infectious titer of at least 10(7)TCID(50)mL(-1) in culture
supernatant fluids has been identified. Thanks to this isolate, the virucidal
effects of two products, a hand rub solution and a surface disinfectant, were
conveniently tested according to the EN 14476:2007-02 procedure.
PMID- 22079428
TI - Absence of mannose-binding lectin prevents hyperglycemic cardiovascular
complications.
AB - Diabetes, stress, pharmaceuticals, surgery, and physical trauma can lead to
hyperglycemic conditions. A consistent relationship has been found between
chronic inflammation and the cardiovascular complications of hyperglycemia. We
hypothesized that cardiomyopathy and vasculopathy resulting from acute
hyperglycemia are dependent on mannose-binding lectin (MBL) and lectin complement
pathway activation. Hyperglycemia was induced in wild-type (WT) C57BL/6 and MBL
null mice after streptozotocin administration. Echocardiographic data and tissue
samples were collected after 4, 7, or 14 days of acute hyperglycemia.
Hyperglycemic WT mice demonstrated dilated cardiomyopathy with significantly
increased short and long axis area measurements during systole and diastole
compared to hyperglycemic MBL-null mice. The EC(50) for acetylcholine-induced
relaxation of mesenteric arterioles in WT mice after 4 days of hyperglycemia
demonstrated a significant loss of nitric oxide-mediated relaxation compared to
normoglycemic WT or hyperglycemic MBL-null mice. Myocardial histochemistry and
Western blot analysis revealed a significant influx of macrophages, altered
morphology, and increased elastin and collagen deposition in hyperglycemic WT
hearts compared to MBL-null hearts. Serum transforming growth factor-beta1 levels
were significantly lower in hyperglycemic MBL-null compared to WT mice,
suggesting decreased profibrotic signaling. Together, these data suggest that MBL
and the lectin complement pathway play a significant role in vascular dysfunction
and cardiomyopathy after acute hyperglycemia.
PMID- 22079429
TI - Elastase/LPS-exposed mice exhibit impaired innate immune responses to bacterial
challenge: role of scavenger receptor A.
AB - Nontypeable Haemophilus influenzae (NTHi) is an important bacterial pathogen
associated with lower respiratory tract colonization and with acute exacerbations
and disease progression in chronic obstructive pulmonary disease (COPD). Why the
immune system fails to eliminate NTHi and the exact contribution of the organism
to COPD progression are not well understood, in part because we lack an animal
model that mimics all aspects of COPD. For this study, we used an established
murine model that exhibits typical features of COPD. Elastase/LPS-exposed mice
infected with NTHi showed persistence of bacteria up to 5 days after infection,
whereas mice exposed to elastase, LPS, or PBS cleared all bacteria by 3 days.
Elastase/LPS-exposed mice also showed sustained lung neutrophilic inflammation,
goblet cell metaplasia, airway hyperresponsiveness, and progression of emphysema
at 15 days after infection. Alveolar macrophages isolated from elastase/LPS
exposed mice showed impaired bacterial phagocytosis, reduced expression of MARCO
and of mannose receptor, and absent expression of scavenger receptor-A (SR-A).
Neutralization of SR-A significantly decreased phagocytosis of NTHi by normal
alveolar macrophages. Our results suggest that elastase/LPS-exposed mice show
impaired bacterial clearance and sustained lung inflammation. Lack of SR-A
expression may, in part, be responsible for impaired phagocytosis of bacteria by
alveolar macrophages of elastase/LPS-exposed mice. These data validate the
suitability of elastase/LPS model for investigating NTHi pathogenesis and
progression of disease in COPD.
PMID- 22079430
TI - Platelet-activating factor receptor is essential for the development of
experimental cerebral malaria.
AB - Cerebral malaria is a severe form of the disease that may result, in part, from
an overt inflammatory response during infection by Plasmodium falciparum. The
understanding of the pathogenesis of cerebral malaria may aid in the development
of better therapeutic strategies for patients. The immune response in cerebral
malaria involves elevation of circulating levels of cytokines and chemokines
associated with leukocyte accumulation and breakdown of the blood-brain barrier
in the central nervous system. Platelet-activating factor (PAF) is a mediator of
inflammation shown to orchestrate inflammatory processes, including recruitment
of leukocytes and increase of vascular permeability. Using mice lacking the PAF
receptor (PAFR(-/-)), we investigated the relevance of this molecule for the
outcome and the neuroinflammatory process triggered by P. berghei ANKA, an
experimental model of cerebral malaria. In PAFR(-/-) mice, lethality was markedly
delayed and brain inflammation was significantly reduced, as demonstrated by
histology, accumulation, and activation of CD8(+) T cells, changes in vascular
permeability and activation of caspase-3 on endothelial cells and leukocytes.
Similarly, treatment with the PAFR antagonist UK-74,505 delayed lethality. Taken
together, the results suggest that PAFR signaling is crucial for the development
of experimental cerebral malaria. Mechanistically, PAFR activation is crucial for
the cascade of events leading to changes in vascular permeability, accumulation,
and activation of CD8(+) T cells and apoptosis of leukocytes and endothelial
cells.
PMID- 22079432
TI - Renal dendritic cells adopt a pro-inflammatory phenotype in obstructive uropathy
to activate T cells but do not directly contribute to fibrosis.
AB - Unilateral ureteral obstruction (UUO) is a well-characterized murine model of
renal inflammation leading to fibrosis. Renal dendritic cells (DCs) constitute a
significant portion of kidney leukocytes and may participate in local
inflammation and have critical roles in antigen presentation. The heterogeneity
in renal DC populations and surface marker overlap with monocytes/macrophages has
made studying renal DCs difficult. These studies used CD11c-promoter driven
reporter/depletion mice to study DCs in vivo. Studying early local inflammatory
events (day 3 of UUO), in vivo multiphoton imaging of the intact kidney of CD11c
reporter mice revealed more dendrite extensions and increased activity of renal
DCs in real time. Phenotypic analysis suggested resident DC maturation in
obstructed kidneys with increased CD11b and less F4/80 expressed. CD11b(hi) Gr
1(+) inflammatory DCs were also present in obstructed kidneys. T-cell receptor
transgenic mice revealed enhanced antigen-presenting capacity of renal DCs after
UUO, with increased antigen-specific T-cell proliferation in vivo and ex vivo.
However, conditional DC ablation at days 0, 2, or 4 did not attenuate fibrosis or
apoptosis 7 days after UUO, and depletion at 7 days did not alter outcomes at day
14. Therefore, after UUO, renal DCs exhibit inflammatory morphological and
functional characteristics and are more effective antigen-presenting cells, but
they do not directly contribute to tubulointerstitial damage and fibrosis.
PMID- 22079431
TI - Minor histocompatibility antigens are expressed in syncytiotrophoblast and
trophoblast debris: implications for maternal alloreactivity to the fetus.
AB - The fetal semi-allograft can induce expansion and tolerance of antigen-specific
maternal T and B cells through paternally inherited major histocompatibility
complex and minor histocompatibility antigens (mHAgs). The effects of these
antigens have important consequences on the maternal immune system both during
and long after pregnancy. Herein, we investigate the possibility that the
placental syncytiotrophoblast and deported trophoblastic debris serve as sources
of fetal mHAgs. We mapped the expression of four mHAgs (human mHAg 1, pumilio
domain-containing protein KIAA0020, B-cell lymphoma 2-related protein A1, and
ribosomal protein S4, Y linked) in the placenta. Each of these proteins was
expressed in several placental cell types, including the syncytiotrophoblast.
These antigens and two additional Y chromosome-encoded antigens [DEAD box
polypeptide 3, Y linked (DDX3Y), and lysine demethylase5D] were also identified
by RT-PCR in the placenta, purified trophoblast cells, and cord blood cells.
Finally, we used a proteomic approach to investigate the presence of mHAgs in the
syncytiotrophoblast and trophoblast debris shed from first-trimester placenta. By
this method, four antigens (DDX3Y; ribosomal protein S4, Y linked; solute carrier
1A5; and signal sequence receptor 1) were found in the syncytiotrophoblast, and
one antigen (DDX3Y) was found in shed trophoblast debris. The finding of mHAgs in
the placenta and in trophoblast debris provides the first direct evidence that
fetal antigens are present in debris shed from the human placenta. The data,
thus, suggest a mechanism by which the maternal immune system is exposed to fetal
alloantigens, possibly explaining the relationship between parity and graft
versus-host disease.
PMID- 22079433
TI - Melatonin inhibits glucocorticoid-dependent GR-TIF2 interaction in newborn
hamster kidney (BHK) cells.
AB - The antagonism exerted by melatonin on the glucocorticoid response has been well
established, being strongly dependent on the cellular context. Previously, we
found that melatonin inhibits glucocorticoid receptor (GR) dissociation from the
chaperone hetero-complex and nuclear translocation on mouse thymocytes. Here, by
performing confocal fluorescence microscopy and the Number and Brightness assay
we show that in newborn hamster kidney cells (BHK21) melatonin neither affects GR
nuclear translocation nor GR homodimerization. Instead, co-immunoprecipitation
studies suggest that physiological concentrations of melatonin impair GR
interaction with the transcriptional intermediary factor 2 (TIF2). This melatonin
effect was not blocked by the MT(1)/MT(2) receptor antagonist luzindole.
Curiously, luzindole behaved as an antiglucocorticoid per se by impairing the
glucocorticoid-dependent MMTV-driven gene expression affecting neither GR
translocation nor GR-TIF2 interaction.
PMID- 22079434
TI - Stimulation of inflammatory gene expression in human preadipocytes by macrophage
conditioned medium: upregulation of IL-6 production by macrophage-derived IL
1beta.
AB - The aim of this study was to examine the effects of macrophage secretions on
global gene expression in human preadipocytes using microarrays. Preadipocytes
were cultured with unconditioned or conditioned medium from U937 macrophages, and
gene expression examined with Agilent arrays (43,000 probes). 472 transcripts
were differentially regulated (>2-fold difference; P<0.05) between preadipocytes
in the conditioned medium compared to the unconditioned; 401 were upregulated and
71 downregulated. The upregulated transcripts were particularly linked to
inflammation, including IL-1beta, IL-6, and CCL20 (16.8-, 10.0-, and 8.9-fold
increases, respectively) together with matrix metalloproteinases (MMP3, MMP9 and
MMP12). Major pathways regulated by the conditioned medium were linked to
inflammation, macrophage infiltration and lipid accumulation. Network analysis
identified NFkB and IL-1beta as central nodes in the upregulation of multiple
inflammation-related genes. Treatment with an IL-1beta neutralising antibody
abolished the stimulation of IL-6 secretion by conditioned medium, indicating
that IL-1beta is a key regulator of preadipocyte IL-6 production. Macrophages
evoke extensive changes in preadipocyte gene expression.
PMID- 22079435
TI - The era of systems developmental biology.
PMID- 22079436
TI - Ictal headache: headache as first ictal symptom in focal epilepsy.
AB - Headache may be associated with seizures as a preictal, ictal, or postictal
phenomenon, but it is often neglected because of the dramatic neurological
manifestations of the seizure. Headache can also be the sole or predominant
clinical manifestation of epileptic seizures, although this is a relatively rare
condition. We describe two cases of focal symptomatic drug-resistant epilepsy
with headache as the first ictal symptom. In both cases, the headache, which
lasted a few seconds, was contralateral to the ictal discharge and did not have
the clinical features of migraine. Ictal headache is a rare epilepsy symptom that
can help to localize ictal EEG discharges. Recently, the term ictal epileptic
headache has been proposed in cases in which headache is the sole ictal epileptic
manifestation Diagnosis requires the simultaneous onset of headache with EEG
demonstrated ictal discharges.
PMID- 22079437
TI - Prevalence of autism-caused disability among Chinese children: a national
population-based survey.
AB - Few articles in English have discussed the prevalence of autism in China. The
work described here was aimed at estimating the prevalence rate of autism-caused
disability among Chinese children and exploring family environmental factors
associated with autism based on a national population sample. Data for this study
were derived from the Second China National Sample Survey on Disability. A
weighted number of 77,301 disabled children affected by autism were identified,
yielding a prevalence rate of 2.38/10,000. A history of mental disorders in
adults was strongly associated with autism. The prevalence of autism in Chinese
children was underestimated, and the lack of qualified professionals able to
identify and diagnose autism was the main reason. Countermeasures are warranted
to obtain a more precise overview of autism in China.
PMID- 22079438
TI - What makes a simple partial seizure complex?
AB - The assessment of ictal consciousness has been the landmark criterion for the
differentiation between simple and complex partial seizures over the last three
decades. After review of the historical development of the concept of "complex
partial seizure," the difficulties surrounding the simple versus complex
dichotomy are addressed from theoretical, phenomenological, and
neurophysiological standpoints. With respect to consciousness, careful analysis
of ictal semiology shows that both the general level of vigilance and the
specific contents of the conscious state can be selectively involved during
partial seizures. Moreover, recent neuroimaging findings, coupled with classic
electrophysiological studies, suggest that the neural substrate of ictal
alterations of consciousness is twofold: focal hyperactivity in the limbic
structures generates the complex psychic phenomena responsible for the altered
contents of consciousness, and secondary disruption of the network involving the
thalamus and the frontoparietal association cortices affects the level of
awareness. These data, along with the localization information they provide,
should be taken into account in the formulation of new criteria for the
classification of seizures with focal onset.
PMID- 22079439
TI - R-Isovaline: a subtype-specific agonist at GABA(B)-receptors?
AB - The R-enantiomer of isovaline, an analgesic amino acid, has a chemical structure
similar to glycine and GABA. Although its actions on thalamic neurons are
strychnine-resistant and independent of the Cl(-) gradient, R-isovaline increases
membrane conductance for K(+). The purpose of this study was to determine if R
isovaline activated metabotropic GABA(B) receptors. We used whole-cell voltage
clamp recordings to characterize the effects of R-isovaline applied by bath
perfusion and local ejection from a micropipette to thalamic neurons in 250 MUm
thick slices of rat brain. The immunocytochemical methods that we employed to
visualize GABA(B1) and GABA(B2) receptor subunits showed extensive staining for
both subunits in ventrobasal nuclei, which were the recording sites. Bath or
local application of R-isovaline caused a slowly developing increase in
conductance and outward rectification in 70% (54/77) of neurons, both effects
reversing near the K(+) Nernst potential. As with the GABA(B) agonist baclofen, G
proteins likely mediated the R-isovaline effects because they were susceptible to
blockade by non-hydrolyzable substrates of guanosine triphosphate. The GABA(B)
antagonists CGP35348 and CGP52432 prevented the conductance increase induced by R
isovaline, applied by bath or local ejection. The GABA(B) allosteric modulator
CGP7930 enhanced the R-isovaline induced increase in conductance. At high doses,
antagonists of GABA(A), GABA(C), glycine(A), MU-opioid, and nicotinic receptors
did not block R-isovaline responses. The observations establish that R-isovaline
increases the conductance of K(+) channels coupled to metabotropic GABA(B)
receptors. Remarkably, not all neurons that were responsive to baclofen responded
to R-isovaline. The R-isovaline-induced currents outlasted the fast baclofen
responses and persisted for a 1-2-h period. Despite some similar actions, R
isovaline and baclofen do not act at identical GABA(B) receptor sites. The
binding of R-isovaline and baclofen to the GABA(B) receptor may not induce the
same conformational changes in receptor proteins or components of the
intracellular signaling pathways.
PMID- 22079440
TI - Somatotopic direct projections from orofacial areas of primary somatosensory
cortex to pons and medulla, especially to trigeminal sensory nuclear complex, in
rats.
AB - The primary somatosensory cortex (S1) projects to the thalamus and brainstem
somatosensory nuclei and modulates somatosensory information ascending to the S1
itself. However, the projections from the S1 to the brainstem second-order
somatosensory neuron pools have not been fully studied. To address this in rats,
we first revealed the somatotopic representation of orofacial areas in the S1 by
recording cortical surface potentials evoked by stimulation of the lingual,
mental, infraorbital, and frontal nerves. We then examined the morphology of
descending projections from the electrophysiologically defined orofacial S1 areas
to the pons and medulla after injections of an anterograde tracer, biotinylated
dextranamine (BDA), into the orofacial S1 areas. BDA-labeled axon terminals were
seen mostly in the trigeminal sensory nuclear complex (TSNC) and had a strong
contralateral predominance. They also showed a somatotopic arrangement in
dorsoventral and superficial-deep directions within almost all rostrocaudal TSNC
levels, and in a rostrocaudal direction within the trigeminal caudal subnucleus.
In the principal nucleus (Vp) or oral subnucleus (Vo) of TSNC, the BDA-labeled
axon terminals showed a somatotopic arrangement closely matched to that of the
electrophysiologically defined projection sites of orofacial primary afferents;
these projection sites were marked by injections of a retrograde tracer,
Fluorogold (FG), into the Vp or Vo. The FG injections labeled a large number of
S1 neurons, with a strong contralateral predominance, in a somatotopic manner,
which corresponded to that presented in the electrophysiologically defined
orofacial S1 areas. The present results suggest that the orofacial S1 projections
to somatotopically matched regions of trigeminal second-order somatosensory
neuron pools may allow the orofacial S1 to accurately modulate orofacial
somatosensory transmission to higher brain centers including the orofacial S1
itself.
PMID- 22079441
TI - A massive basal cell adenocarcinoma of the palatal minor salivary gland that
progressed into the pterygopalatine fossa.
AB - Basal cell adenocarcinoma (BCAC) is a rare malignant neoplasm in the salivary
glands and BCAC of the minor salivary glands is exceedingly rare. Only nine cases
of palatal BCACs of the minor salivary gland have been reported. BCAC is a low
grade malignant tumour which shares many histologic characteristics with basal
cell adenoma. Histological differentiation between the two is difficult and they
are often discriminated only by invasion of local structures or by perineural or
vascular invasive figures. The authors describe the case of a 69-year-old man
with a massive BCAC of a palatal minor salivary gland that progressed into the
nasal cavity and pterygopalatine fossa and was treated by a subtotal
maxillectomy. This is a highly locally advanced case which required a wider
surgical excision range than other previously reported BCAC cases of the palatal
minor salivary glands. In this case, the proper diagnosis could not be made by
local biopsy alone. It should be kept in mind that it may be difficult to
distinguish BCAC from basal cell adenoma by microscopic examination of biopsy
specimens alone.
PMID- 22079442
TI - Polybrominated diphenyl ethers (PBDEs), polychlorinated biphenyls (PCBs) and
neuropsychological status among older adults in New York.
AB - Polybrominated diphenyl ethers (PBDEs) are emerging environmental contaminants,
but little is known about their possible human health effects. The objective of
this study is to evaluate the association between exposure to PBDEs and
neuropsychological function among older adults and the possibility of effect
modification with polychlorinated biphenyls (PCBs). Serum samples were analyzed
for concentrations of 9 PBDE and 30 PCB congeners and 34 tests of cognitive and
motor function, affective state, and olfactory function were assessed among 144
men and women of 55-74 years of age. After adjustment for relevant confounders,
no overall associations were observed between the sum of the PBDE congener
concentrations in serum (? PBDE) and scores on the neuropsychological tests.
However, statistically significant interactions were found between PBDEs and PCBs
for some measures of verbal learning and memory. Among persons with ? PCB
concentrations at or above the median of 467ppb (lipid basis), an increase in ?
PBDE concentrations from the 25th to 75th percentile was associated with
decreases between 7% and 12% on scores for certain subscales of the California
Verbal Learning Test. In contrast, no statistically significant associations were
observed for PBDEs among persons with ? PCB levels below the median. The results
suggest that PBDEs and PCBs may interact to affect verbal memory and learning
among persons 55-74 years old. This is the first study to evaluate the
neuropsychological effects of PBDEs in adults and the possibility of synergy with
PCBs in humans.
PMID- 22079443
TI - Thrombin generation in clinical conditions.
AB - Commercial assays for determining thrombin generation in plasma are being tested
in clinical conditions associated with thrombosis or bleeding. While pre
analytical conditions remain a source of inter laboratory variation, demanding
for further standardization, clinical research proceeds. In patients at risk of
venous thrombosis thrombin generation (TG) analysis may be utilized to detect
underlying thrombophilia and this has been achieved both with addition of
thrombomodulin or activated protein C, to test the contribution of the protein C
system. In patients with documented venous thromboembolism, increased TG values
are seen in those patients at greatest risk for recurrence, although the data are
not consistent yet. In patients with arterial vascular disease, effects on TG
patterns are seen that both reflect atherosclerosis (and its risk factors) and
link to risk of recurrent atherothrombosis (coronary or stroke), but the data are
limited. In patients with a bleeding diathesis, like hemophilia, the main
importance of TG assays lies in the application for monitoring replacement
therapy, either with factor concentrate or rFVIIa. An interesting application is
in conjunction with thromboelastography, for monitoring peri-operative
transfusion policy. Finally, TG analysis may contribute to monitoring
anticoagulant drug treatment, but these and other applications would greatly
benefit from whole blood, point of care applications of TG testing.
PMID- 22079445
TI - Liporetro-D-peptides - a novel class of highly selective thrombin inhibitors.
AB - INTRODUCTION: Plasma serine protease thrombin plays a key role in coagulation,
haemostasis and thromboembolic diseases. Direct thrombin inhibitors could be
beneficial for future anticoagulant therapy. We have synthesized and studied
liporetro-D-peptides - efficient thrombin inhibitors resistant to enzymatic
degradation. MATERIALS AND METHODS: Compounds X-D-Arg-D-Phe-OMe, where X=residue
of lauric or myristic acid or 9-fluorenylmethoxycarbonyl, have been synthesized
by conventional peptide synthesis in solution and their comparative inhibitory
analysis in relation to thrombin, factor X, plasmin and trypsin has been
conducted. RESULTS: Modification of the synthetic liporetro-D-peptides with the
myristic acid residue was the most successful one. This modification has
dramatically increased the inhibition efficacy (Ki=0,17 MUM) and selectivity
toward the chosen target enzyme, thrombin, in comparison to factor X, plasmin and
trypsin (more than 600, 900, and 5000-fold, respectively). CONCLUSIONS: Our
findings establish an important role of the fatty moiety in the structure of
peptide inhibitors with regards to their potency and selectivity toward thrombin.
PMID- 22079444
TI - Controlled reperfusion with intravenous bivalirudin and intracoronary abciximab
combination therapy in the porcine myocardial infarction model.
AB - INTRODUCTION: The reperfusion injury (RI) remains a significant limitation of
primary PCI, therefore we evaluated the role of intracoronary abciximab and
bivalirudin for anticoagulation on myocardial salvage and RI in the porcine model
of ischemia/reperfusion. MATERIALS AND METHODS: Myocardial infarction was induced
in 23 pigs by 60-minute over-the-wire (OTW) balloon occlusion of the LAD. Animals
received intravenous bivalirudin and then five minutes prior to reperfusion,
either a coronary downstream infusion of abciximab (n=11) or saline (n=12)
through the central lumen of an OTW catheter. All animals were followed for 48
hours. RESULTS: Histological analysis showed that infarct area (IA) and area at
risk (AAR) were comparable between groups (IA/AAR%: 57.6 +/- 8% vs. 57.1 +/- 7%,
p=0.8). Confirming this trend, biochemical markers (troponin I, TNF-alpha, IL-6,
hsCRP, adiponectin, and VCAM) and left ventricular ejection fraction were also
similar at 48 hours. Adhesion markers like ICAM and P-selectin were significantly
decreased in the study group, nevertheless histological evidence of leukocyte
extravasation was similar. The enhancement of apoptosis by TUNEL was comparable
in both groups. The number of hemorrhagic infarctions confirmed by micro and
macroscopic evaluation tended to be higher in the study group (70% vs. 20%,
p=0.07). CONCLUSIONS: Despite lowered concentrations of adhesion molecules,
intracoronary abciximab with peripheral bivalirudin is not superior to
bivalirudin unaided in terms of myocardial salvage caused by RI in the porcine
ischemia/reperfusion model. This might be due to local hemorrhage caused by
abciximab.
PMID- 22079446
TI - Evaluation of the procoagulant activity of endogenous phospholipids in the
platelet-free plasma of children with sickle cell disease using functional
assays.
AB - BACKGROUND: The mechanisms of hypercoagulability in sickle cell disease (SCD) are
poorly understood. OBJECTIVE: We aimed to explore the procoagulant activity of
endogenous phospholipids (ePL) in the platelet-free plasma of SCD children.
METHODS: A factor Xa clotting time (XACT), thrombin generation (TG) and a capture
based assay for the detection of procoagulant microparticles (PMP) were used.
Forty three SCD children (35 SS, 6 SC and 2 Sbeta+) were evaluated at steady
state and compared to 20 controls. Fourteen patients were also evaluated during
vaso-occlusive crisis. TG was performed using 10 pM tissue factor without
addition of exogenous phospholipids. A control condition was also performed using
10 pM tissue factor and 4 MUM phospholipids. Percentages of the test/control
conditions were calculated for the peak height (% peak), endogenous thrombin
potential (% ETP) and velocity index (% VI). RESULTS: XACT times were shorter,
PMP levels, peak height and velocity index of thrombin generation were higher in
SCD patients than controls. Lag time and ETP were not different between the two
groups. % peak, % ETP and % VI were higher in patients than controls. Significant
correlations were found between PMP levels and XACT, also between PMP levels and
peak height, velocity index, ETP and their respective percentages to the control
condition, but not with lag time. Double heterozygous patients showed
intermediate values for XACT and TG parameters. No significant difference was
observed when comparing patients at steady-state versus vaso-occlusive crisis.
CONCLUSION: High procoagulant activity of ePL was observed in the platelet-free
plasma of SCD children, probably borne by procoagulant microparticles. This may
contribute to a high hemostatic potential and predisposition to thrombotic
complications in these patients.
PMID- 22079447
TI - Socio-demographic characteristics of children experiencing socioeconomic
disadvantage who meet physical activity and screen-time recommendations: the
READI study.
AB - OBJECTIVE: To identify socio-demographic characteristics of children from
socioeconomically disadvantaged neighbourhoods who meet physical activity and
screen recommendations. METHOD: Children aged 5-12 years (n=373; 45% boys) were
recruited in 2007 from socioeconomically disadvantaged urban and rural areas of
Victoria, Australia. Children's physical activity, height and weight were
objectively measured. Mothers reported their highest level of education, and
proxy-reported their child's usual screen-time. Odds ratios (OR) and 95%
confidence intervals (95% CI) examined odds of meeting physical activity (>60
minutes/day) and screen (<= 120 minutes/day) recommendations according to socio
demographic characteristics. RESULTS: Approximately 84% of children met physical
activity and 43% met screen recommendations. Age was inversely associated with
odds of meeting physical activity and screen recommendations, and
overweight/obese status was associated with lower odds of meeting screen
recommendations (boys: OR=0.39, 95%CI=0.16-0.95; girls: OR=0.47, 95%CI=0.26
0.83). Among boys, living in a rural area was positively associated with meeting
screen recommendations (OR=3.08, 95%CI=1.42-6.64). Among girls, high levels of
maternal education were positively associated with meeting screen recommendations
(OR=2.76, 95%CI=1.33-5.75). CONCLUSION: Specific socio-demographic
characteristics were associated with odds of meeting physical activity and screen
recommendations. Identifying factors associated with such 'resilience' among this
group may provide important learnings to inform future physical activity
promotion initiatives.
PMID- 22079448
TI - Neural insensitivity to upticks in value is associated with the disposition
effect.
AB - The disposition effect is a phenomenon in which investors hold onto losing assets
longer than they hold onto gaining assets. In this study, we used functional
magnetic resonance imaging (fMRI) to measure the response of valuation regions in
the brain during the decision to keep or to sell an asset that followed a random
walk in price. The most common explanation for the disposition effect is
preference-based: namely, that people are risk-averse over gains and risk-seeking
over losses. This explanation would predict correlations between individuals'
risk-preferences, the magnitude of their disposition effect, and activation in
valuation structures of the brain. We did not observe these correlations. Nor did
we find evidence for a realization utility explanation, which would predict
differential responses in valuation regions during the decision to sell versus
keep an asset that correlated with the magnitude of the disposition effect.
Instead, we found an attenuated ventral striatum response to upticks in value
below the purchase price in some individuals with a large disposition effect.
Given the role of the striatum in signaling prediction error, the blunted
striatal response is consistent with the expectation that an asset will rise when
it is below the purchase price, thus spurring loss-holding behavior. This
suggests that for some individuals, the disposition effect is likely driven by a
belief that the asset will eventually return to the purchase price, also known as
mean reversion.
PMID- 22079449
TI - Common inhibitory prefrontal activation during inhibition of hand and foot
responses.
AB - Previous neuroimaging studies using manual Stop signal task showed the inhibitory
related areas in the pre-supplementary motor area (pre-SMA) and ventrolateral
prefrontal cortex (VLPFC). However, most previous studies employed the manual
response inhibition task and the brain representation of the response inhibition
of the other body parts has been rarely studied. To further understand the
precise brain processing of response inhibition, we performed the event-related
fMRI study of Stop signal tasks using the hand and foot response to reveal the
common prefrontal region relevant for response inhibition in 13 subjects. We
found that the pre-SMA and bilateral VLPFC were commonly activated in successful
response inhibition both for hand and foot tasks. The comparison of brain
activation between hand and foot response inhibition tasks did not show any
significant difference in the prefrontal area. In addition, there was no
significant difference for peak coordinates in the pre-SMA and bilateral VLPFC
between hand and foot tasks. These findings indicate the common neural network
for inhibition of initiated responses regardless of the hand and foot.
PMID- 22079450
TI - Phase stability in fMRI time series: effect of noise regression, off-resonance
correction and spatial filtering techniques.
AB - Although the majority of fMRI studies exploit magnitude changes only, there is an
increasing interest regarding the potential additive information conveyed by the
phase signal. This integrated part of the complex number furnished by the MR
scanners can also be used for exploring direct detection of neuronal activity and
for thermography. Few studies have explicitly addressed the issue of the
available signal stability in the context of phase time-series, and therefore we
explored the spatial pattern of frequency specific phase fluctuations, and
evaluated the effect of physiological noise components (heart beat and
respiration) on the phase signal. Three categories of retrospective noise
reduction techniques were explored and the temporal signal stability was
evaluated in terms of a physiologic noise model, for seven fMRI measurement
protocols in eight healthy subjects at 3T, for segmented CSF, gray and white
matter voxels. We confirmed that for most processing methods, an efficient use of
the phase information is hampered by the fact that noise from physiological and
instrumental sources contributes significantly more to the phase than to the
magnitude instability. Noise regression based on the phase evolution of the
central k-space point, RETROICOR, or an orthonormalized combination of these were
able to reduce their impact, but without bringing phase stability down to levels
expected from the magnitude signal. Similar results were obtained after targeted
removal of scan-to-scan variations in the bulk magnetic field by the dynamic off
resonance in k-space (DORK) method and by the temporal off-resonance alignment of
single-echo time series technique (TOAST). We found that spatial high-pass
filtering was necessary, and in vivo a Gaussian filter width of 20mm was
sufficient to suppress physiological noise and bring the phase fluctuations to
magnitude levels. Stronger filters brought the fluctuations down to levels
dictated by thermal noise contributions, and for 62.5mm(3) voxels the phase
stability was as low as 5 mrad (0.27 degrees ). In conditions of low SNR(o) and
high temporal sampling rate (short TR); we achieved an upper bound for the phase
instabilities at 0.0017 ppm, which is close to the dHb contribution to the GM/WM
phase contrast.
PMID- 22079451
TI - Self-face evaluation and self-esteem in young females: an fMRI study using
contrast effect.
AB - Self-evaluation is affected by facial attractiveness, particularly in females,
and may be related to self-esteem. Self-face evaluation is relative to the
attractiveness of others ("contrast effect"). In this functional magnetic
resonance imaging (fMRI) study, we examined both the neural correlates of self
face evaluation using the contrast effect and a neural relationship between self
face evaluation and self-esteem. We prepared the following three types of "target
faces": one's own face (S), a close friend's face (F), and an unfamiliar face
(O). They were randomly intermingled among same-sex unfamiliar foils during two
block-types. Our intention was to evoke positive evaluations of target faces
using unattractive foils in one block-type, and negative evaluations using
attractive foils in the other. The posterior cingulate cortex (PCC) and ventral
tegmental area (VTA) exhibited greater activation from the positive modulation
for S than for O. Activation in these regions was positively correlated with self
esteem and showed the same tendency between S and F. PCC and VTA, which have been
implicated in the processing of self-relatedness and reward, respectively, might
play a role in the processing of positive self-face evaluation as self
referential stimuli and social rewards, respectively. These results suggested
that the PCC and the VTA are the neural correlates of positive self-face
evaluation, and that there is a neural relationship between self-face evaluation
and self-esteem. The positive evaluation of a close friend's face might be
perceived and processed in the same way as one's own face.
PMID- 22079452
TI - A short-scan method for k(3) estimation with moderately reversible PET ligands:
application of irreversible model to early-phase PET data.
AB - Long dynamic scans (60-120 min) are often required for estimating the k(3) value,
an index of receptor density, by positron emission tomography (PET). However, the
precision of k(3) is usually low in kinetic analyses for reversible PET ligands
compared with irreversible ligands. That is largely due to unstable estimation of
the dissociation rate constant, k(4). We propose a novel '3P+' method for
estimating k(3) of moderately reversible ligands, where a 3-parameter model
without k(4) is applied to early-phase PET data to obtain a good model-fit of
k(3) estimation. By using [(11)C] Pittsburgh compound B (PIB) (k(4) = 0.018/min)
as an example of a moderately reversible ligand, the 3P+ method simulation with a
28 min PET scan yielded less than 3% k(3) relative bias with a +100% k(3) change.
In [(11)C]PIB PET scans of 15 normal controls (NC) and nine patients with
Alzheimer's disease (AD), the 3P+ method provided a precise k(3) estimate (mean
SE of 13.6% in parietal cortex; covariance matrix method). The results revealed
linear correlations (r = 0.964) of parietal k(3) values in 24 subjects between
28minute 3P+ method and conventional 90 minute 4-parameter method. A good
separation of k(3) between NC and AD groups (P < 0.001; t-test) was replicated in
28 minute 3P+ method. The short-scan 3P+ method may be a practical alternative
method for analyzing reversible ligands.
PMID- 22079453
TI - Gray matter nulled and vascular space occupancy dependent fMRI response to visual
stimulation during hypoxic hypoxia.
AB - Two cerebral blood volume (CBV)-weighted fMRI techniques, gray matter nulled
(GMN) and vascular space occupancy (VASO)-dependent techniques at spatial
resolution of 2 * 2 * 5 mm(3), were compared in the study investigating
functional responses in the human visual cortex to stimulation in normoxia
(inspired O(2) = 21%) and mild hypoxic hypoxia (inspired O(2) = 12%). GMN and
VASO signals and T(2)* were quantified in activated voxels. While the CBV
weighted signal changes in voxels activated by visual stimulation were similar in
amplitude in both fMRI techniques in both oxygenation conditions, the number of
activated voxels during hypoxic hypoxia was significantly reduced by 72 +/- 22%
in GMN fMRI and 66 +/- 23% in VASO fMRI. T(2)* prolonged in GMN and VASO
activated voxels in normoxia by 1.6 +/- 0.5 ms and 1.7 +/- 0.5 ms, respectively.
In hypoxia, however, T(2)* shortened in GMN-activated voxels by 0.7 +/- 0.6 ms (p
< 0.001 relative to normoxia), but prolonged in VASO-activated ones by 1.1 +/-
0.6 ms (p < 0.05 relative to normoxia). The data show that the hemodynamic
responses to visual stimulation were not affected by hypoxic hypoxia, but T(2)*
increases by both CBV-weighted fMRI techniques were smaller in activated voxels
in hypoxia. The mechanisms influencing GMN fMRI signal in both oxygenation
conditions were explored by simulating effects of the oxygen extraction fraction
(OEF) and partial voluming with cerebral spinal fluid (CSF) and white matter in
imaging voxels. It is concluded that while GMN fMRI data point to increased,
rather than decreased OEF during visual stimulation in hypoxia, partial voluming
by CSF is likely to affect the CBV quantification by GMN fMRI under the
experimental conditions used.
PMID- 22079454
TI - Genome-wide supported risk variant for bipolar disorder alters anatomical
connectivity in the human brain.
AB - Bipolar disorder is a devastating, highly heritable mental disorder related to
disturbed connectivity between limbic and frontal brain areas. A meta-analysis of
genome-wide association studies as well as independent replications showed
ankyrin 3 (ANK3) to be one of the best-supported risk genes for bipolar disorder.
Using an imaging genetics approach employing diffusion tensor imaging in 88
healthy volunteers, we show decreased white matter integrity, indicated by lower
fractional anisotropy and longitudinal diffusivity, in healthy carriers of the
ANK3 rs10994336 risk genotype in the anterior limb of the internal capsule. We
are also able to show that the resulting alterations of cortical-striatal
thalamic circuits are related to impaired set-shifting and increased risk-taking.
For risk-allele carriers of ANK3 rs9804190 no white matter alterations or
neuropsychological impairments were observed. In sum, our findings show that ANK3
rs10994336 or a variant in linkage-disequilibrium is functional in the human
brain and also influences behavioral phenotypes related to bipolar disorder.
PMID- 22079455
TI - The link between visual exploration and neuronal activity: a multi-modal study
combining eye tracking, functional magnetic resonance imaging and transcranial
magnetic stimulation.
AB - In the present multi-modal study we aimed to investigate the role of visual
exploration in relation to the neuronal activity and performance during
visuospatial processing. To this end, event related functional magnetic resonance
imaging er-fMRI was combined with simultaneous eye tracking recording and
transcranial magnetic stimulation (TMS). Two groups of twenty healthy subjects
each performed an angle discrimination task with different levels of difficulty
during er-fMRI. The number of fixations as a measure of visual exploration effort
was chosen to predict blood oxygen level-dependent (BOLD) signal changes using
the general linear model (GLM). Without TMS, a positive linear relationship
between the visual exploration effort and the BOLD signal was found in a
bilateral fronto-parietal cortical network, indicating that these regions reflect
the increased number of fixations and the higher brain activity due to higher
task demands. Furthermore, the relationship found between the number of fixations
and the performance demonstrates the relevance of visual exploration for
visuospatial task solving. In the TMS group, offline theta bursts TMS (TBS) was
applied over the right posterior parietal cortex (PPC) before the fMRI experiment
started. Compared to controls, TBS led to a reduced correlation between visual
exploration and BOLD signal change in regions of the fronto-parietal network of
the right hemisphere, indicating a disruption of the network. In contrast, an
increased correlation was found in regions of the left hemisphere, suggesting an
intent to compensate functionality of the disturbed areas. TBS led to fewer
fixations and faster response time while keeping accuracy at the same level,
indicating that subjects explored more than actually needed.
PMID- 22079456
TI - Quadratic component analysis.
AB - I present a method for analyzing multichannel recordings in response to repeated
stimulus presentation. Quadratic Component Analysis (QCA) extracts responses that
are stimulus-induced (triggered by the stimulus but not precisely locked in
time), as opposed to stimulus-evoked (time-locked to the stimulus). Induced
responses are often found in neural response data from magnetoencephalography
(MEG), electroencephalography (EEG), or multichannel electrophysiological and
optical recordings. The instantaneous power of a linear combination of channels
can be expressed as a weighted sum of instantaneous cross-products between
channel waveforms. Based on this fact, a technique known as Denoising Source
Separation (DSS) is used to find the most reproducible "quadratic component"
(linear combination of cross-products). The linear component with a square most
similar to this quadratic component is taken to approximate the most reproducible
evoked activity. Projecting out the component and repeating the analysis allows
multiple induced components to be extracted by deflation. The method is
illustrated with synthetic data, as well as real MEG data. At unfavorable signal
to-noise ratios, it can reveal stimulus-induced activity that is invisible to
other approaches such as time-frequency analysis.
PMID- 22079457
TI - Cloning, identification and functional characterization of a pi-class glutathione
S-transferase from the freshwater mussel Cristaria plicata.
AB - Glutathione-S-transferases (GSTs) are multifunctional phase II detoxification
enzymes that catalyze the attachment of electrophilic substrates to glutathione
and play an important role in protecting organisms against the toxicity of
reactive oxygen species (ROS). The piGST cDNA was cloned and sequenced after
rapid amplification of cDNA ends (RACE) from the freshwater mussel Cristaria
plicata. The comparison of the deduced amino acid sequences with GSTs from other
species showed that the enzymes belonged to the pi-class and the amino acids
defining the binding sites of glutathione (G-site) and for xenobiotic substrates
(H-site) were highly conserved. The Cp-piGST cDNA is 816 nucleotides (nt) in
length and contained a 615 nt open reading frame (ORF) encoding 205 amino acid
residues, and has 19 nt of 5' untranslated region (UTR) and a 3' UTR of 182 nt
including a tailing signal (AATAAA) and a poly (A) tail. The molecular weight of
the predicted piGST is 23.4 kDa, with the calculated PI being 5.2. The mRNA
transcript of Cp-piGST could be detected in all the examined tissues with highest
expression level in hepatopancreas. The expression level of Cp-piGST in
hepatopancreas and gill showed similar trend that were significantly increased
after bacterial challenge compared to the control group at 12 h. Furthermore, the
recombinant Cp-piGST with high enzyme activity was induced to be expressed as a
soluble form by IPTG at 20 degrees C for 8 h, and then was purified by using the
native Ni(2+) affinity chromatography. The specific activity of the purified
soluble Cp-piGST enzyme into pET30 was 2.396 MUmol/min/mg, and which into pET32
was 1.706 MUmol/min/mg. The recombinant Cp-piGST had a maximum activity at
approximately pH 8.0, and its optimum temperature was 37 degrees C. The
recombinant Cp-piGST enzyme activity became lower gradually with the denaturant
concentration increasing.
PMID- 22079458
TI - Preoperative inpatient hospitalization and risk of perioperative infection
following elective vascular procedures.
AB - BACKGROUND: Health care-associated infections are not uncommon after elective
vascular surgery and can negatively impact mortality rates and hospital resource
utilization. Identification of modifiable risk factors for perioperative
infection is critical for efforts aimed toward reducing their incidence. We
evaluated the associations between preoperative inpatient hospitalization and
perioperative surgical site infection (SSI), pneumonia, and urinary tract
infection (UTI) following elective vascular surgery procedures. METHODS: Vascular
procedures were identified from the 2005 to 2008 American College of Surgeons
National Safety Quality Improvement Participant User Data File by using primary
Current Procedural Terminology (CPT) codes. Perioperative infections were
evaluated as outcomes based on three categories: SSI, pneumonia, and UTI.
Patients admitted >=1 day before operation were considered inpatients before
surgery. Associations between preoperative inpatient hospitalization and
perioperative SSI, pneumonia, and UTI were evaluated using the Cochran-Armitage
trend test and multivariable logistic regression. RESULTS: In total 40,669
elective vascular procedures were identified, of which 7,514 (18.5%) were
preoperative inpatients. Patients with preoperative inpatient hospitalization had
a greater frequency of age >80 years and dependent functional status and also had
higher rates of several comorbid conditions, including congestive heart failure,
severe chronic obstructive pulmonary disease, >10% weight loss over the past 6
months, history of bleeding disorder, and current smoker within 1 year, than
patients admitted on the same day of their procedure. The overall rates of SSI,
pneumonia, and UTI were 3.2%, 1.9%, and 1.4%, respectively. Patients with
preoperative inpatient hospitalization had higher 30-day incidence of SSI (4.5
vs. 2.9%), pneumonia (3.1 vs. 1.6%), and UTI (2.3 vs. 1.2%). In multivariable
models including preoperative risk factors, preoperative inpatient
hospitalization was associated with increased 30-day risk of SSI (odds ratio
[OR], 1.21; 95% confidence interval [CI]: 1.06-1.39; p = 0.0066), pneumonia (OR,
1.64; 95% CI: 1.39-1.94; p < 0.0001), and UTI (OR, 1.46; 95% CI: 1.20-1.77; p <
0.0001). CONCLUSION: Preoperative inpatient hospitalization is associated with
higher rates of perioperative SSI, pneumonia, and UTI in patients undergoing
elective vascular surgery procedures. Avoidance of unnecessary preoperative
hospitalization has potential to reduce rates of perioperative infection, but
additional research is needed to develop evidence-based management strategies
when hospitalization before elective procedures is necessary.
PMID- 22079459
TI - Alternative management of iliac vein injury during anterior lumbar spine
exposure.
AB - Vascular injuries are, unfortunately, common complications during anterior
approach to lumbar spine, with venous injuries occurring most frequently. The L4
L5 level of exposure is associated most commonly with venous injuries because it
requires significant mobilization of the vascular structures. We present two
cases of left common iliac vein tears encountered during redo anterior exposure
for spine revision. This was in the setting of an anterior lumbar interbody
fusion at the L4-L5 level and for the repeat disc arthroplasty at the L5-S1
level. We describe the endovascular technique used to successfully repair venous
tear with the deployment of a covered stent across the injury, preventing the
ligation of the left common iliac vein.
PMID- 22079460
TI - Thromboexclusion of the complete aorta in the treatment of chronic type B
aneurysm.
AB - We report a case of a 55-year-old woman who was referred to us with a huge
chronic postdissection thoracoabdominal aneurysm of a maximal intrathoracic
diameter of 13.5 cm and signs of intrathoracic imminent rupture with subparietal
pleural hemorrhagic effusion. Computed tomography examination revealed that the
left lung had undergone complete atelectasis and carnification owing to
compression of the left principal bronchus. There were also signs of left kidney
atrophy because of the left renal artery originating from the thrombosed false
lumen. Owing to delicate preoperative condition, we decided to perform
thromboexclusion of the complete aorta with reattachment of all the supra-aortic
and visceral branches and complete bipolar exclusion of thoracoabdominal aorta.
The patient was discharged from the hospital on the 35th postoperative day in a
good condition. Following computed tomography scan revealed complete thrombosis
of the excluded portion of the aorta.
PMID- 22079461
TI - Socioeconomic position, comorbidity, and mortality in aortic aneurysms: a 13-year
prospective cohort study.
AB - BACKGROUND: To evaluate factors associated with incidence and 3-year all-cause
mortality in patients with aortic aneurysm (AA). The design is sex and age
stratified (60-79 and 80-90 years) prospective cohort. By using the population
register, we constituted a cohort of all men and women born between 1900 and 1930
and living in Scania by 1991, and followed them for 13 years. Identification of
AA was based on hospital discharge diagnosis obtained from the Swedish Patient
Register or from the information on death certificates from the Cause of Death
Register. METHODS: We applied stepwise Cox regression and investigated both AA
incidence (1991-2003) as well as 3-year survival after the first hospitalization
for AA. RESULTS: We found an inverse relation between AA incidence and previous
hospitalization by diabetes mellitus in women (hazard ratio [HR]: 0.41; 95%
confidence interval [CI]: 0.19-0.88) and in men (HR: 0.38; 95% CI: 0.24-0.61)
aged 60-79 years. Three-year all-cause mortality after diagnosis of AA was 58.6%
in women, 50.2% in men, 72.9% in octogenarians, and 43.7% for nonoctogenarians.
Low income, chronic respiratory diseases, cerebrovascular diseases, dementia,
systemic connective tissue disorders, renal failure, and malignant neoplasms were
independent factors for mortality in 60-79-year-old men with AA. CONCLUSIONS:
Inferior socioeconomic position is associated with increased 3-year all-cause
mortality in 60-79-year-old men with AA.
PMID- 22079462
TI - Mesocavoatrial shunt for Budd-Chiari syndrome: a case report with long-term
follow-up.
AB - BACKGROUND: A case of mesocavoatrial shunting for the treatment of Budd-Chiari
syndrome (BCS) with long-term follow-up is reported. METHODS: A 25-year-old man
with stage II BCS was treated with a mesocavoatrial shunt to decompress the
portal and IVC hypertension. During the 6-year follow-up, the patient was able to
resume work as a salesperson and has since led a normal life. His graft remains
patent. CONCLUSION: A mesocavoatrial shunt can simultaneously decompress portal
and IVC hypertension and has satisfactory long-term patency. A mesocavoatrial
shunt can be used to treat patients with severe BCS who could not be successfully
treated with medical therapy and intervention.
PMID- 22079463
TI - Symptomatic aneurysm of a perforating peroneal artery after a blunt trauma.
AB - A 48-year-old woman was referred to us for a pulsatile and painful mass on the
right leg after a trauma occurred 2 months earlier. The duplex scan revealed the
presence of an aneurysm of the perforating peroneal artery. The patient underwent
an endovascular coil embolization of the aneurysm. The duplex-scan follow-up
showed the patency of the peroneal vessel and the complete aneurysm thrombosis.
The patient was discharged in good condition without pain. In literature, only
four cases of aneurysm of perforating peroneal artery aneurysm, all with a clear
traumatic etiology, are reported. In this case, the endovascular treatment was
safe and effective.
PMID- 22079464
TI - Case report of a patient with iliac occlusive disease due to pseudoxantoma
elasticum and review of the bibliography.
AB - BACKGROUND: Pseudoxanthoma elasticum (PXE) is a rare genetic disorder
characterized by progressive calcification and fragmentation of elastic fibers in
the skin, the retina, and the cardiovascular system, and is also termed as
elastorrhexia. The purpose of this case presentation is to report the case of a
PXE patient with an atypical localization of atherosclerotic lesion (iliac
arteries) and that this rare disease should always be included in the
differential diagnosis of patients with premature atheromatosis. METHODS AND
RESULTS: A 58-year-old patient, suffering from PXE, came to our clinic to seek
advice for his severe lower limb claudication. The image of the magnetic
resonance angiography of his aorta, iliac arteries, and lower limb arteries
demonstrated total occlusion of the left common iliac artery and preocclusive
stenosis of the orifice on the right common iliac artery. The patient was treated
successfully by angioplasty with kissing stent placement at the iliac arteries,
and 6 months later, he is symptom-free, with ankle-brachial indexes of 1.0 and
1.05 on the left and right legs, respectively. CONCLUSION: This case report
presentation has a primary goal to show that the disease may cause atypical
localizations of atherosclerosis (iliac arteries) and a secondary goal to
demonstrate that endovascular treatment in these patients may be a safe and
viable option. It is also a good opportunity for a brief review of the
bibliography.
PMID- 22079465
TI - Using indocyanine green fluorescent lymphography and lymphatic-venous anastomosis
for cancer-related lymphedema.
AB - Advances in cancer therapy have increased the importance of improvement of
quality of life after cancer survival. Cancer-related lymphedema or secondary
lymphedema that occurs after lymph node dissection in resection of tumors of
abdominal visceral organs can impair quality of life. However, standard curative
treatment for secondary lymphedema has not been established. This may be due to
the lack of a method for early diagnosis of lymphedema, and because of selection
of conservative treatment such as compression therapy to delay edema progression
in many cases. To develop a curative approach, we have performed definite
diagnosis of early-stage lymphedema using magnetic resonance imaging and an
indocyanine green fluorescent lymphography, followed by surgical treatment with
lymphatic-venous anastomosis using supermicrosurgery. Herein, we report the first
case of secondary lymphedema in which we performed early diagnosis and surgery
using these techniques and achieved an almost complete cure of lymphedema. We
suggest that early diagnostic imaging and early microsurgery is the key of
lymphedema treatment.
PMID- 22079466
TI - CD133+ liver cancer stem cells modulate radioresistance in human hepatocellular
carcinoma.
AB - CD133 is a cancer stem-cell (CSC) marker associated with radioresistance and
chemoresistance in various cancers. In the present study, CD133-expressing liver
cancer cells following radiation exposure showed higher activation of MAPK/PI3K
signaling pathway and reduction in reactive oxygen species levels compared to
CD133- cells. The in vivo study with a xenograft model showed increased tumor
formation in irradiated CD133+ cell-injected nude mice compared to the CD133-
group, suggesting that CD133 contributes to radioresistance in HCC. Therefore,
CD133-expressing liver cancer cells have anti-apoptotic and radioresistance
properties that may be useful to improve anti-cancer treatments, including
chemotherapy/radiotherapy of HCC.
PMID- 22079467
TI - Differential function of lysophosphatidic acid receptors in cell proliferation
and migration of neuroblastoma cells.
AB - Lysophosphatidic acid (LPA) is a bioactive lipid mediator that induces diverse
cellular biological effects and interacts with G protein-coupled transmembrane
LPA receptors. In the present study, to assess biological roles of LPA receptors
in the pathogenesis of tumor cells, each LPA receptor (Lpar1, Lpar2 or Lpar3)
expressing rat neuroblastoma B103 cells (lpa1-1, lpa2-2 or lpa3-3-2 cells,
respectively) were used. In cell motility and invasion assay, lpa2-2 and lpa3-3-2
cells showed significant higher intrinsic activity without LPA treatment than LPA
receptor-unexpressing AB2-1bf cells. LPA treatment further increased cell
motility of these cells, which was suppressed by the pretreatment with inhibitors
of Gi, Gq protein, or ROCK. By contrast, lpa1-1 cells markedly decreased
intrinsic cell motility and invasion, compared with AB2-1bf cells. Constitutively
active mutant Lpar1-expressing cells (lpa1Delta-1) showed significant high
motility, comparable with those of lpa2-2 and lpa3-3-2. In soft agar assay, lpa3
3-2 and lpa1Delta-1 cells showed colony formation, but other cells failed. These
results suggest that LPA receptors may play different roles in cell proliferation
and migration of rat neuroblastoma cells.
PMID- 22079468
TI - Significance of increased blastic-appearing cells in bone marrow following
myeloablative unrelated cord blood transplantation in adult patients.
AB - An abnormal increase of nonleukemic blastic-appearing lymphocytes in bone marrow
(BM) specimens has been reported after unrelated cord blood transplantation
(UCBT). This study analyzed the incidence, chronology, biological features, and
clinical significance of elevated numbers of these cells in a series of 165
consecutive adult patients demonstrating myeloid engraftment after myeloablative
UCBT in a single institution. The patients' BM samples were routinely evaluated
by cytomorphology at different time points after UCBT. When >=5% of blastic
appearing cells were detected by cytomorphology in the BM, samples were also
evaluated by multiparametric flow cytometry to characterize these cells.
Systematic chimerism analyses of BM samples using PCR amplification of short
tandem repeat markers were performed. Forty-three patients (cumulative incidence,
26.1%) demonstrated >=5% of nonmalignant blastic-appearing cells in BM after a
median of 101 days after UCBT (range, 28-377 days). All of these patients had
full-donor chimerism and a clinical course without leukemic relapse.
Multiparametric flow cytometry analyses performed in 36 of the 43 patients showed
a polyclonal expansion of B lymphocytes with a broad spectrum of maturation
stages. An increased number of nonmalignant blastic-appearing cells was
significantly associated with a high number of lymphocytes infused at the time of
UCBT and with low rates of acute and chronic extensive graft-versus-host disease,
suggesting a potential immunoregulatory role of these cells. The observation of
>=5% nonmalignant blastic-appearing cells in BM samples after myeloablative UCBT
is frequent, and these should be distinguished from malignant blasts.
PMID- 22079469
TI - Evaluation of oral beclomethasone dipropionate for prevention of acute graft
versus-host disease.
AB - Results from two randomized trials have shown that oral beclomethasone
dipropionate (BDP) is effective for treatment of acute gastrointestinal graft
versus-host disease. Here, we report results of a double-blind, randomized
placebo-controlled phase II study designed to test the hypothesis that acute
graft-versus-host disease could be prevented by administration of oral BDP,
beginning before hematopoietic cell transplantation and continuing until day 75
after hematopoietic cell transplantation after myeloablative conditioning. Study
drug (BDP or placebo) was administered as 1-mg immediate-release formulation plus
1-mg delayed-release formulation orally four times daily. According to the
primary endpoint, systemic glucocorticoid treatment for graft-versus-host disease
was given to 60 of the 92 participants (65%) in the BDP arm, versus 31 of 46
participants (67%) in the placebo arm. The secondary efficacy endpoints showed no
statistically significant differences between the two arms. The proportion of
participants who took at least 90% of the prescribed study drug during the first
4 weeks after hematopoietic cell transplantation was 54% overall. Lower severity
of mucositis strongly correlated with higher adherence to the schedule of study
drug administration. Inconsistent adherence related to mucositis during recovery
after myeloablative conditioning may have obscured a beneficial therapeutic
effect in the current study.
PMID- 22079470
TI - Phase I-II study of clofarabine-melphalan-alemtuzumab conditioning for allogeneic
hematopoietic cell transplantation.
AB - We conducted a phase I-II study of transplantation conditioning with clofarabine
melphalan-alemtuzumab for patients with advanced hematologic malignancies. Ten
patients were accrued to the phase I portion, which utilized an accelerated
titration design. No dose-limiting toxicity was observed, and clofarabine 40
mg/m(2) * 5, melphalan 140 mg/m(2) * 1, and alemtuzumab 20 mg * 5 was adopted for
the phase II study, which accrued 72 patients. Median age was 54 years. There
were 44 patients with acute myelogenous leukemia or myelodysplastic syndromes, 27
with non-Hodgkin lymphoma, and nine patients with other hematologic malignancies.
The largest subgroup of 35 patients had American Society for Blood and Marrow
Transplantation high-risk, active disease. All evaluable patients engrafted with
a median time to neutrophil and platelet recovery of 10 and 18 days,
respectively. The cumulative incidence of treatment-related mortality was 26% at
1 year. Cumulative incidence of relapse was 29% at 1 year. Overall survival was
80% (95% confidence interval [CI], 71-89) at 100 days and 59% (95% CI, 47-71) at
1 year. Progression-free-survival was 45% (95% CI, 33-67) at 1 year. Rapid-onset
renal failure was the main toxicity in the phase II study and more frequent in
older patients and those with baseline decrease in glomerular filtration rate.
Grade 3-5 renal toxicity was observed in 16 of 74 patients (21%) treated at the
phase II doses. Clofarabine-melphalan-alemtuzumab conditioning yields promising
response and duration of response, but renal toxicity poses a considerable risk
particularly in older patients.
PMID- 22079472
TI - Transplantation for lymphoma: good for the brain as well as the body?
PMID- 22079471
TI - A Phase I study of gemtuzumab ozogamicin (GO) in combination with busulfan and
cyclophosphamide (Bu/Cy) and allogeneic stem cell transplantation in children
with poor-risk CD33+ AML: a new targeted immunochemotherapy myeloablative
conditioning (MAC) regimen.
AB - Children with high-risk acute myelogenous leukemia (AML) (induction failure [IF],
refractory relapse [RR], third complete remission [CR3]) have dismal outcomes.
Over 80% of AML patients express CD33, a target of gemtuzumab ozogamicin (GO). GO
is an active drug in childhood AML but has not been studied in a myeloablative
conditioning regimen. We sought to determine the safety of GO in combination with
busulfan/cyclophosphamide (Bu/Cy) conditioning before allogeneic hematopoietic
stem cell transplantation (alloSCT). GO was administered on day -14 at doses of
3.0, 4.5, 6.0, and 7.5 mg/m(2), busulfan on days -7, -6, -5, -4 (12.8-16.0
mg/kg), and cyclophosphamide on days -3 and -2 (60 mg/kg/day). GVHD prophylaxis
consisted of tacrolimus and mycophenolate mofetil. We enrolled 12 patients: 8 IF,
3 RR, 1 CR3; median age: 3 years (1-17); median follow-up: 1379 days (939-2305).
Nine received umbilical cord blood (UCB), 2 matched unrelated donors (MUDs) and 1
HLA-matched sibling donor: 3 patients each at GO doses of 3.0, 4.5, 6.0, or 7.5
mg/m(2). No dose-limiting toxicities secondary to GO were observed. Day 100
treatment-related mortality (TRM) was 0%. Myeloid and platelet engraftment was
observed in 92% and 75% of patients at median day 22 (12-40) and 42 (21-164),
respectively. Median day +30 donor chimerism was 99% (85%-100%). The probability
of grade II-IV acute graft-versus-host disease (aGVHD) was 42% and chronic GVHD
(cGVHD) was 28%. One-year overall survival (OS) and event-free survival (EFS) was
50% (95% confidence interval [CI], 20.8-73.6). GO combined with Bu/Cy regimen
followed by alloSCT is well tolerated in children with poor-risk AML. GO at 7.5
mg/m(2) in combination with Bu/Cy is currently being tested in a phase II study.
PMID- 22079473
TI - Disinfection of gram-negative and gram-positive bacteria using DynaJets(r)
hydrodynamic cavitating jets.
AB - Cavitating jet technologies (DynaJets(r)) were investigated as a means of
disinfection of gram-negative Escherichia coli, Klebsiellapneumoniae, Pseudomonas
syringae, and Pseudomonas aeruginosa, and gram-positive Bacillus subtilis. The
hydrodynamic cavitating jets were found to be very effective in reducing the
concentrations of all of these species. In general, the observed rates of
disinfection of gram-negative species were higher than for gram-positive species.
However, different gram-negative species also showed significant differences (P.
syringae 6-log(10) reduction, P. aeruginosa 2-log(10) reduction) under the same
conditions. Disinfection of E. coli repeatedly showed five orders of magnitude
reduction in concentration within 45-60-min at low nozzle pressure (2.1 bar).
Optimization of nozzle design and operating pressures increased disinfection
rates per input energy by several orders of magnitude. The power efficiencies of
the hydrodynamic cavitating jets were found to be 10-100 times greater than
comparable ultrasonic systems.
PMID- 22079474
TI - Protein contact map prediction using multi-stage hybrid intelligence inference
systems.
AB - Proteins are one of the most important molecules in organisms. Protein function
can be inferred from its 3D structure. The gap between the number of discovered
protein sequences and the number of structures determined by the experimental
methods is increasing. Accurate prediction of protein contact map is an important
step toward the reconstruction of the protein's 3D structure. In spite of
continuous progress in developing contact map predictors, highly accurate
prediction is still unresolved problem. In this paper, we introduce a new
predictor, JUSTcon, which consists of multiple parallel stages that are based on
adaptive neuro-fuzzy inference System (ANFIS) and K nearest neighbors (KNNs)
classifier. A smart filtering operation is performed on the final outputs to
ensure normal connectivity behaviors of amino acids pairs. The window size of the
filter is selected by a simple expert system. The dataset was divided into
testing dataset of 50 proteins and training dataset of 450 proteins. The system
produced an average accuracy of 45.2% for the sequence separation of six amino
acids. In addition, JUSTcon outperformed SVMcon and PROFcon predictors in the
cases of large separation distances. JUSTcon produced an average accuracy of 15%
for the sequence separation of 24 amino acids after applying it on CASP9 targets.
PMID- 22079475
TI - Antimetastatic potential of cardiotoxin III involves inactivation of PI3K/Akt and
p38 MAPK signaling pathways in human breast cancer MDA-MB-231 cells.
AB - AIM: The aim of this study is to determine whether cardiotoxin III (CTX III)
inhibited the metastasis in MDA-MB-231 cells and to further explain its possible
mechanisms. MAIN METHODS: The MTT assay, wound healing assay, Boyden chamber
invasion assay, zymography analysis, reverse transcriptase polymerase chain
reaction (RT-PCR), enzyme-linked immunosorbent assay (ELISA), inhibitor assay,
and Western blot analysis were used to reveal molecular events of CTX III in this
study. KEY FINDINGS: During treatment with non-toxic doses of CTX III, not only
cell migration and invasion were markedly suppressed but the expression/activity
of matrix metalloproteinase-9 (MMP-9) was also significantly and selectively
suppressed in a concentration-dependent manner. In addition, CTX III decreased
the nuclear protein level of nuclear factor kappa B (NF-kappaB), and pretreatment
with NF-kappaB inhibitor (PDTC) or IkappaB protease inhibitor (TPCK) also reduced
MMP-9 expression/activity and cell migration. Our biochemical assays indicated
that CTX III potently suppressed the phosphorylation of p38 mitogen-activated
protein kinase (MAPK), phosphatidylinositide-3-kinase (PI3K) and Akt.
Additionally, the treatment of inhibitors specific for p38 MAPK (SB203580) or
PI3K (wortmannin) to cells could result in a reduced expression of NF-kappaB and
MMP-9 expression, concomitantly with an inhibition on cell metastasis.
SIGNIFICANCE: These results demonstrated that CTX III inhibition of MDA-MB-231
cells may occur through inactivation of both PI3K/Akt and p38 MAPK signaling
pathways, exerting inhibitory effects on NF-kappaB transcriptional factor,
thereby decreasing the activity of MMP-9 and then posing an anti-metastatic
effect in the cells.
PMID- 22079476
TI - Applying radio-frequency identification (RFID) technology in transfusion
medicine.
AB - ISO/IEC 18000-3 mode 1 standard 13.56 MHz RFID tags have been accepted by the
International Society for Blood Transfusion (ISBT) and the United States Food and
Drug Administration (FDA) as data carriers to integrate with and augment ISBT 128
barcode data carried on blood products. The use of 13.56 MHz RFID carrying ISBT
128 data structures allows the global deployment and use of RFID, supporting both
international transfer of blood and international disaster relief. The deployment
in process at the BloodCenter of Wisconsin and testing at the University of Iowa
Health Center is the first FDA-permitted implementation of RFID throughout in all
phases of blood banking, donation through transfusion. RFID technology and
equipment selection will be discussed along with FDA-required RF safety testing;
integration with the blood enterprise computing system and required RFID tag
performance. Tag design and survivability is an issue due to blood bag
centrifugation and irradiation. Deployment issues will be discussed. Use of RFID
results in significant return on investment over the use of barcodes in the blood
center operations through labor savings and error reduction.
PMID- 22079477
TI - Cyclic nucleotide phosphodiesterases: critical modulators of endocrine,
metabolic, and cardiovascular function and appealing therapeutic targets.
PMID- 22079478
TI - Experimental SSM-CVB3 infection in macaques.
AB - OBJECTIVE: To evaluate the pathogenicity of SSM-CVB3 in a macaque model. METHODS:
The clinical symptoms of macaques were recorded; hematological, biochemical and
histopathological evaluations were completed; viral titers and neutralization
titers (NT-titers) in sera were tested; and the mRNA levels of SSM-CVB3,
coxsackievirus and adenovirus receptor (CAR) and decay accelerating factor (DAF)
were determined. RESULTS: After SSM-CVB3 infection, the macaques showed a lack of
activity, a poor appetite, a higher body temperature, and severe diarrhea. The
macaques also developed hematuria and albuminuria at 4 to 10 days post
inoculation. Virus titers (5.1-6.5 LogTCID(50)/mL) were higher at 6 to 10 days
post-inoculation, and NT-titers (6.5-7.3 Log2) reached plateaus at 8 to 14 days
post-inoculation. The infected macaques developed serious anemia with decreased
RBC and WBC, but the percentages of LYM were increased. The levels of CK, CK-MB,
AST and ALT in the sera were 84-169 U/L, 87.6-271.1 U/L, 43-87 U/L and 43-82 U/L,
respectively, and all of those were higher than normal. Histological analysis
showed obvious cardiac, hepatic and renal damages in the infected macaques and
the mRNA contents of SSM-CVB3, CAR and DAF in the heart, liver and kidneys of
infected macaques were higher (P<0.05). CONCLUSION: This was the first report on
experimental SSM-CVB3 infections in macaques with serious hepatic and renal
damage, except for myocarditis. The information obtained from this study suggests
that the SSM-CVB3 strain and this macaque model could be used for studying CVB3
induced cardiac, hepatic or renal diseases.
PMID- 22079480
TI - The image of nursing as perceived by nurses.
AB - PURPOSE: To explore the image of nursing as perceived by nurses. BACKGROUND:
Nurses' image has always been a concern among those in the profession. The
decision to enter nursing, to remain in nursing, to promote nursing, and to
further a career in nursing may be the result of nurses' perception of the image
of the profession. No recent studies have been done on how nurses perceive the
profession METHODS: A purposeful sample of 13 participants ranging in experience
from senior student nurses to Registered Nurses with over 21 years of experience
in direct patient care, participated in in-depth interviews. For this descriptive
exploratory design, qualitative data were collected and analyzed. FINDINGS: Three
broad categories that were significant in how nurses perceive the profession
emerged: a) role of nurses, b) nursing knowledge, and c) attitude of nurses.
Those about to enter the profession have a positive image of nursing based on
their roles and their nursing knowledge. Attitude of nurses significantly
influenced participants' perceptions of nursing's professional image. As they
progress through their career, nurses have negative perceptions of the
profession, influenced by working environment and interactions with others in the
health care arena. CONCLUSION: Overall, nurses working in hospitals have negative
perceptions of the profession; nurses working in maternal-child health have
positive perceptions; nurses' perceptions of nurses working in areas different
from theirs are negative; nurses perceive senior nurses in a negative light and
senior nurses have negative perceptions of new nurses.
PMID- 22079479
TI - Autophagy in the brains of young patients with poorly controlled T1DM and fatal
diabetic ketoacidosis.
AB - Semi-quantitative neuroradiologic studies, quantitative neuron density studies
and immunocytochemistry markers of oxidative stress and neuroinflammation
indicate neuronal injury and deficits in young patients with chronic poorly
controlled type 1 diabetes mellitus (T1DM). Present data suggest that
pathogenesis of the neuronal deficits in young patients, who die as the result of
diabetic ketoacidosis (DKA) and brain edema (BE), does not involve apoptosis, a
prominent form of regulated cell death in many disease states. To further address
this we studied mediators of macroautophagy, endoplasmic reticulum (ER) stress
and apoptosis. In all areas studied we demonstrated increased levels of
macroautophagy-associated proteins including light chain-3 (LC3) and autophagy
related protein-4 (Atg4), as well as increased levels of the ER-associated
glucose-regulated protein78/binding immunoglobulin protein (GRP78/BiP) in T1DM.
In contrast, cleaved caspase-3 was rarely detected in any T1DM brain regions.
These results suggest that chronic metabolic instability and oxidative stress may
cause alterations in the autophagy-lysosomal pathway but not apoptosis, and
macroautophagy-associated molecules may serve as useful candidates for further
study in the pathogenesis of early neuronal deficits in T1DM.
PMID- 22079481
TI - Essaying the essay: nursing scholarship and the hegemony of the laboratory.
AB - It might appear odd or even perverse to be arguing for the essay as a vehicle for
academic thought and writing, particularly given the current emphasis on
scientific research and evidence-based practice. In fact, the scholarly essay has
virtually ceased to exist as an academic form in practice disciplines such as
nursing, excluded by what we will identify and refer to as the hegemony of the
laboratory. In a practical as well as an intellectual attempt to reinstate it,
this paper is structured in the form of two consecutive short essays. In the
first, we identify the character, features and purpose of the scholarly essay and
examine its demise as an academic form. In the second, we explore some possible
reasons why the essay never became fully accepted as an academic form in nursing.
We suggest that the essay is thematically eclectic and stylistically promiscuous,
drawing from a broad range of cultural, disciplinary and academic reference
points. As such, it presents a challenge to the dominant technical rational
approach to academic nursing in both its form and its content, particularly in
its disregard for the rigidly imposed genres and structures increasingly demanded
by academic nursing journals.
PMID- 22079482
TI - Optimization of preparative chromatographic separation of multiple rare earth
elements.
AB - This work presents a method to optimize multi-product chromatographic systems
with multiple objective functions. The system studied is a neodymium, samarium,
europium, gadolinium mixture separated in an ion exchange chromatography step. A
homogeneous Langmuir Mobile Phase Modified model is calibrated to fit the
experiments, and then used to perform the optimization task. For the optimization
a multi-objective Differential Evolution algorithm was used, with weighting based
on relative value of the components to find optimal operation points along the
Pareto front. The objectives of the Pareto front are weighted productivity and
weighted yield with purity as an equality constraint. A prioritizing scheme based
on relative values is applied for determining the pooling order. A simple rule of
thumb for pooling strategy selection is presented. The multi-objective
optimization gives a Pareto front which shows the rule of thumb, as a gap in one
of the objective functions.
PMID- 22079483
TI - Chromatographic and spectroscopic data fusion analysis for interpretation of
photodegradation processes.
AB - Photodegradation processes are of high relevance in environmental and
pharmaceutical contexts, since the fate and identity of photoproducts are often
linked to contamination or toxicology issues. The aim of this work is proposing a
new general methodology to monitor and interpret photodegradation processes based
on chromatographic and spectroscopic measurements and data fusion analysis. The
new approach relies on two steps: (a) data fusion of HPLC-DAD-MS runs coming from
process monitoring and analysis by soft-modeling multivariate curve resolution
(MCR) to achieve the identification of photoproducts and a first insight on their
kinetic behavior; and (b) data fusion of UV-vis spectroscopic monitoring data
with HPLC-DAD process runs and analysis by hybrid hard- and soft-modeling MCR (HS
MCR) to complete the description of the kinetic mechanism of the process and to
provide the related rate constants. These data fusions overcome limitations of
previous approaches and have never been reported in real examples of process
analysis. The ketoprofen photodegradation has been selected as the real case
study to illustrate the possibilities of the described approach. In this
particular case, a comprehensive description of the kinetics linked to the
photochemical degradation of the ketoprofen, with all successive steps, related
rate constants and photoproducts formed has been proposed. Four photodegradation
products (3-(1-hydroperoxyethyl)benzophenone, 3-acetylbenzophenone, 3-(1
hydroxyethyl)benzophenone and 3-ethvlbenzophenone) could be resolved as a result
of the decarboxylation of ketoprofen under UV light and the photodegradation
process could be described with a kinetic model including parallel and
consecutive reactions.
PMID- 22079484
TI - Silicon speciation by gas chromatography coupled to mass spectrometry in
gasolines.
AB - A method for the speciation of silicon compounds in petroleum products was
developed using gas chromatography coupled to mass spectrometry (GC-MS). Prior to
analysis, several precautions about storage and conservation were applied for all
samples. In spiked gasoline samples, limits of detection between 24 and 69 MUg
kg(-1) for cyclic siloxanes (D(4)-D(6)) and between 1 and 7 MUg kg(-1) for other
species were obtained. In this study, cyclic siloxanes (D(n)) and one
ethoxysilane were quantified for the first time in petroleum products by a
specific method based on response factor calculation to an internal standard.
This method was applied to four samples of naphthas and gasolines obtained from a
steam cracking process. Cyclic siloxanes were predominant in four investigated
samples with concentrations ranging between 101 and 2204 MUg kg(-1). Cyclic
siloxane content decreased with an increase in their degree of polymerization.
During a steam cracking process, silicon concentrations determined by GC-MS SIM
(single ion monitoring) significantly increase. This trend was confirmed by ICP
OES (inductively coupled plasma optical emission spectroscopy) measurements but a
difference on the total silicon content was observed, certainly highlighting the
presence of unknown silicon species. GC-MS SIM method gives access to the
chemical nature of the silicon species, which is crucial for the understanding of
hydrotreatment catalyst poisoning in the oil and gas industry.
PMID- 22079485
TI - Hepatocellular carcinoma arising from hepatocellular adenoma in a hepatitis B
virus-associated cirrhotic liver.
AB - Hepatocellular adenoma (HCA) is a rare, benign proliferation of hepatocytes that
occurs mostly in a normal liver and in extreme rare cases, occurs in a cirrhotic
liver. Hepatocellular carcinomas (HCC) arising within HCA through malignant
transformation is rare. The specific incidence and mechanism of malignant
transformation has not been established, but the long term use of oral
contraceptives is considered a causative agent. We report a case of HCC arising
from HCA detected in a hepatitis B-related cirrhotic liver with serial radiologic
images.
PMID- 22079486
TI - Variant obturator artery complicating uterine artery embolization.
PMID- 22079487
TI - Three-dimensional hydrogel structures as optical sensor arrays, for the detection
of specific DNA sequences.
AB - The fabrication and characterization of surface-attached PEG-diacrylate hydrogel
structures and their application as sensing platforms for the detection of
specific target sequences are reported. Hydrogel structures were formed by a
photopolymerization process, using substrate-bound Eosin Y molecules for the
production of free radicals. We have demonstrated that this fabrication process
allows for control over hydrogel growth down to the micrometer scale. Confocal
imaging revealed relatively large pore structures for 25% (v/v) PEG-diacrylate
hydrogels, which appear to lie in tightly packed layers. Our data suggest that
these pore structures decrease in size for hydrogels with increasing levels of
PEG-diacrylate. Surface coverage values calculated for hydrogels immobilized with
21-mer DNA probe sequences were significantly higher compared to those previously
reported for 2- and 3-dimensional sensing platforms, on the order of
10(16)molecules cm(-2). Used as sensing platforms in DNA hybridization assays, a
detection limit of 3.9 nM was achieved for hybridization reactions between 21-mer
probe and target sequences. The ability of these hydrogel sensing platforms to
discriminate between wild-type and mutant allele sequences was also demonstrated,
down to target concentrations of 1-2 nM. A reduction in the hybridization time
down to a period of 15 min was also achieved, while still maintaining confident
results, demonstrating the potential for future integration of these sensing
platforms within Lab-on-Chip or diagnostic devices.
PMID- 22079488
TI - Is health-related quality of life an independent prognostic factor for 12-month
mortality and nursing home placement among elderly patients hospitalized via the
emergency department?
AB - OBJECTIVES: To assess whether health-related quality of life is an independent
prognostic factor for mortality or nursing home placement in frail elderly
patients. DESIGN: A prospective, multicenter study with a 12-month follow-up.
SETTING: Nine French hospitals. PARTICIPANTS: A total of 1306 patients aged 75
and older hospitalized through an emergency department. MEASUREMENTS: Data
obtained from sociodemographic characteristics, Comprehensive Geriatric
Assessment and the Duke Health Profile (DHP) were used into a Cox model to
identify prognostic variables for 12-month mortality and institutionalization.
RESULTS: Crude mortality and nursing home placement rates were 34.1% (n = 445)
and 16.1% (n = 210), respectively. Independent prognostic factors identified for
mortality were: Comorbidity level (moderate: hazard ratio [HR] [95% confidence
interval (CI)] = 1.40 [1.09-1.78]; severe: 2.70 [1.63-4.46]), dependence for
activities of daily living (1.68 [1.06-2.67]), pressure sore risk (1.49 [1.16
1.90]), risk of malnutrition (2.09 [1.46-3.00]), delirium (2.25 [1.75-2.90]), and
10-point increase in the DHP perceived health score (0.96 [0.93-0.99]).
Independent prognostic factors identified for nursing home placement were the
following: living alone at home (1.82 [1.30-2.55]), having 2 children or more
(0.71 [0.51-0.99]), dependence for activities of daily living (2.48 [1.39-4.44]),
dementia (1.93 [1.39-2.69]), unplanned hospital readmission during follow-up
(2.05 [1.45-2.91]), and 10-point increase in the DHP social health score (0.90
[0.83-0.99]). Balance troubles and risk of malnutrition were no more significant
when adjusted for the DHP scores and other clinical variables. CONCLUSION: The
perceived health and social health scores of the DHP were independent prognostic
factors of survival and nursing home placement among hospitalized elderly
patients, respectively. When associated with Comprehensive Geriatric Assessment,
they could help screen frail patients to set up as early as possible targeted
interventions to restore/maintain modifiable prognostic factors, such as
nutritional status, functional ability, and social support.
PMID- 22079489
TI - Changes in the cannabinoid (CB1) receptor expression level and G-protein
activation in kainic acid induced seizures.
AB - It has been known for centuries that exogenous cannabinoids, such as
tetrahydrocannabinol have anticonvulsant activity. Recent studies have advanced
our understanding of the endogenous cannabinoid system and renewed the interest
in cannabinoids as a potential treatment for epilepsy. The endogenous cannabinoid
system is rapidly activated after seizure activity but still little is known
about the molecular mechanisms underlying the role of the cannabinoid system in
epilepsy. In this study epileptiform activity was induced by kainic acid (KA) and
effects of the CB1 receptor agonists N-(2-Chloroethyl)-5Z,8Z,11Z,14Z
eicosatetraenamide (ACEA) on G-protein signaling using the agonist-stimulated
[(35)S]GTPgammaS binding assay were evaluated. Control and KA treated rat
hippocampus and cortex membranes were used. Our results showed that the ACEA
displayed a high potency and efficacy in stimulating the G-proteins and when
compared to the control animals, significant enhancements were observed in
tissues from the KA treated animals. Potency and efficacy values were in
particular increased in the hippocampus tissues. Furthermore, gene expression
levels of the cannabinoid receptor 1 (CB1) receptor and cannabinoid receptor
interacting protein 1 (CRIP1) were measured by RT-PCR, where both CB1 and CRIP1
expressions were found to be elevated in the KA treated animals.
PMID- 22079490
TI - Are estimates of the value of a statistical life exaggerated?
AB - The magnitude of the value of a statistical life (VSL) is critical to the
evaluation of many health and safety initiatives. To date, the large and rigorous
VSL research literature has not explicitly accommodated publication selectivity
bias (i.e., the reduced probability that insignificant or negative VSL values are
reported). This study demonstrates that doing so is essential. For studies that
employ hedonic wage equations to estimate VSL, correction for selection bias
reduces the average value of a statistical life by 70-80%. Our meta-regression
analysis also identifies several sources for the wide heterogeneity found among
reported VSL estimates.
PMID- 22079491
TI - Regional specific alterations in brain acetylcholinesterase activity after
repeated blast exposures in mice.
AB - Acetylcholinesterase (AChE) which catalyzes the hydrolysis of the
neurotransmitter acetylcholine has been recognized as one of the major regulators
of stress responses after traumatic brain injury (TBI). Repeated blast exposure
induces TBI (blast TBI) with a variable neuropathology at different brain
regions. Since AChE inhibitors are being used as a line of treatment for TBI, we
sought to determine the time course of AChE activity in the blood and different
brain regions after repeated blast exposures using modified Ellman assay. Our
data showed that repeated blast exposures significantly reduced AChE activity in
the whole-blood and erythrocytes by 3-6h, while plasma AChE activity was
significantly increased by 3h post-blast. In the brain, significant increase in
AChE activity was observed at 6h in the frontal cortex, while hind cortex and
hippocampus showed a significant decrease at 6h post-blast, which returned to
normal levels by 7 days. AChE activity in the cerebellum and mid brain showed a
decrease at 6h, followed by significant increase at 3 days and that was decreased
significantly at 14 days post-blast. Medulla region showed decreased AChE
activity at 24h post-blast, which was significantly increased at 14 days. These
results suggest that there are brain regional and time-related changes in AChE
activity after tightly coupled repeated blast exposures in mice. In summary,
acute and chronic regional specific changes in the AChE activity after repeated
blast exposures warrant systematic evaluation of the possibility of AChE
inhibitor therapeutics against blast TBI.
PMID- 22079492
TI - Innate IL-13-producing nuocytes arise during allergic lung inflammation and
contribute to airways hyperreactivity.
AB - BACKGROUND: IL-4, IL-5, and IL-13 are thought to be central to the allergic
asthmatic response. Previous work supposed that the essential source of these
cytokines was CD4(+) T(H)2 cells. However, more recent studies have suggested
that other innate production of type 2 cytokines might be as important.
OBJECTIVES: Nuocytes are a novel population of IL-13-producing innate cells,
which are critical for protective immunity in Nippostrongylus brasiliensis
infection. Given this, we investigated the potential existence and functional
importance of nuocytes in experimental allergic asthma. METHODS: We generated
Il4(+/eGFP)Il13(+/Tomato) dual-reporter mice to study cytokine-producing cells
during allergic inflammation. We adoptively transferred innate IL-13-producing
cells to investigate their role in airways hyperreactivity (AHR). RESULTS: We
show that allergen-induced nuocytes infiltrate the lung and are a major innate
source of IL-13. CD4(+) T cells in the lung almost exclusively express only IL
13, whereas IL-4-producing T cells were restricted to the draining lymph nodes.
Intranasal administration of IL-25 or IL-33 induced IL-13-producing nuocytes in
the BAL fluid. Strikingly, adoptive transfer of wild-type nuocytes, but not Il13(
/-) nuocytes, into Il13(-/-) mice, which are normally resistant to IL-25-induced
AHR, restored airways resistance and lung cell infiltration. CONCLUSIONS: These
findings identify nuocytes as a novel cell type in allergic lung inflammation and
an innate source of IL-13 that can directly induce AHR in the absence of IL-13
producing CD4(+) T cells. These data highlight nuocytes as an important new
consideration in the development of future allergic asthma therapy.
PMID- 22079493
TI - Molecular characterization and expression analysis of two new C-reactive protein
genes from common carp (Cyprinus carpio).
AB - C-Reactive protein (CRP) plays an important role in the acute phase response.
Transcripts encoding two new CRP-like molecules (ccCRP1 and ccCRP2) from European
common carp have been characterized which has enabled seven CRP-like genes to be
identified in zebrafish. 79.3% (ccCRP1) and 74.5% (ccCRP2) identity to CRP from
East-Asian common carp occurs and fish CRP genes form a distinct clade. ccCRP2
gene organization comprises four exons and three introns, in contrast to the two
exons/one intron organization of mammalian CRP genes. Gene expression assays
showed both ccCRP-like molecules are constitutively expressed in liver, skin,
gill, gut, muscle, kidney, spleen and blood. Protein levels of ccCRP in serum and
spleen were significantly different from other organs analyzed, and levels were
greatest in the liver. It is proposed that the two carp CRP genes defined differ
in their expression profiles which may suggest differences in their biological
activities.
PMID- 22079494
TI - Excitation, inhibition, local oscillations, or large-scale loops: what causes the
symptoms of schizophrenia?
AB - What causes the positive, negative, and cognitive symptoms of schizophrenia? The
importance of circuits is underscored by the finding that no single gene
contributes strongly to the disease. Thus, some circuit abnormality to which many
proteins can contribute is the likely cause. There are several major hypotheses
regarding the circuitry involved: first, a change in the balance of
excitation/inhibition in the prefrontal cortex (PFC); second, abnormal EEG
oscillations in the gamma range; third, an increase in theta/delta EEG power
related to changes in the thalamus (particularly midline nuclei); fourth,
hyperactivity in the hippocampus and consequent dopamine hyperfunction; and
fifth, deficits in corollary discharge. Evidence for these hypotheses will be
reviewed.
PMID- 22079495
TI - Episodic memory on the path to Alzheimer's disease.
AB - This review is focused on specific circuits of the medial temporal lobe that have
become better understood in recent years for their computational properties
contributing to episodic memory and to memory impairment associated with aging
and other risk for AD. The layer II neurons in the entorhinal cortex and their
targets in the dentate gyrus and CA3 region of hippocampus comprise a system that
rapidly encodes representations that are distinct from prior memories. Frank
neuron loss in the entorhinal cortex is specific for AD, and related structural
and functional changes across the network comprised of the entorhinal cortex and
the dentate/CA3 regions hold promise for predicting progression on the path to
AD.
PMID- 22079496
TI - Multi-scale simulations of field ion microscopy images--image compression with
and without the tip shank.
AB - Multi-scale simulations of field ion microscopy images of faceted and
hemispherical samples are performed using a 3D model. It is shown that faceted
crystals have compressed images even in cases with no shank. The presence of the
shank increases the compression of images of faceted crystals quantitatively in
the same way as for hemispherical samples. It is hereby proven that the shank
does not influence significantly the local, relative variations of the
magnification caused by the atomic-scale structure of the sample.
PMID- 22079497
TI - A software tool for automatic analysis of selected area diffraction patterns
within Digital MicrographTM.
AB - A software package "SADP Tools" is developed as a complementary diffraction
pattern analysis tool. The core program, called AutoSADP, is designed to
facilitate automated measurements of d-spacing and interplaner angles from TEM
selected area diffraction patterns (SADPs) of single crystals. The software uses
iterative cross correlations to locate the forward scattered beam position and to
find the coordinates of the diffraction spots. The newly developed algorithm is
suitable for fully automated analysis and it works well with asymmetric
diffraction patterns, off-zone axis patterns, patterns with streaks, and noisy
patterns such as Fast Fourier transforms of high-resolution images. The AutoSADP
tool runs as a macro for the Digital Micrograph program and can determine d
spacing values and interplanar angles based on the pixel ratio with an accuracy
of better than about 2%.
PMID- 22079499
TI - Inspection of collapse cause of Sampoong Department Store.
AB - On June 29, 1995, the Sampoong Department Store in Seoul, South Korea, completely
collapsed. It was a five story reinforced concrete (RC) building with underground
4 floors and was built using a technique called "flat slab construction". The
collapse is the largest peacetime disaster in South Korean history - 502 people
died, 6 missing, and 937 sustained injuries. The Sampoong Department Store was
completed in late 1989, and it opened to the public on July 7, 1990, attracting
an estimated 40,000 people per day during the building's 5 years in service.
After the collapse, intense investigation was conducted to find out the direct
causes of the collapse through (1) survey on the present collapse situation and
ground condition, (2) strength test of the concrete and steel collected at the
site, (3) design documents and construction/management reports, and (4)
structural analysis. The investigation revealed that the Sampoong Department
Store collapsed because so many direct and indirect causes such as flaws in
design phase and mistakes in construction and management process were overlapped.
PMID- 22079498
TI - Detection of polybrominated biphenyl ethers (PBDEs) in pediatric hair as a tool
for determining in utero exposure.
AB - INTRODUCTION: Cryptorchidism, or undescended/maldescended testis, is the most
common birth defect of male genitalia. Its prevalence has been increasing over
the past few decades. This may be due to an increase in the prevalence of anti
androgenic chemicals such as polychlorinated biphenyls, organochloride
pesticides, plasticizers and fungicides. A newer group of chemicals, brominated
flame retardants (BFRs), are being implicated as endocrine-disrupting chemicals.
These chemicals are used worldwide in polymers that are incorporated into a
variety of consumer products (e.g., textile, computers and televisions,
insulating foam, electrical equipment and kitchen appliances). In order to
quantify BFRs we introduce the use of hair levels of polybrominated diphenyl
esters (PBDEs) as biomarkers of systemic exposure. This approach will allow for
the estimation of in utero BFR exposure, in the process of evaluating the
potential link between the incidence of cryptorchidism in newborn males and level
of exposure of the pregnant mother to environmentally relevant BFRs. For that end
we have developed a GC/MS assay in which children's hair is analyzed for the
presence of polybrominated biphenyl ethers (PBDEs). METHODS: In this pilot, 10
40mg of hair from 24 children (12 newborn and 12 from children 1 to 15 years) was
extracted overnight at 40 degrees C with 4N HCl and hexane (4:1). The samples
were eluted from 2g NaSO(4):2g Florisil SPE columns with 8mL hexane. Dried
samples are reconstituted with anhydrous isooctane and injected onto a GC/MS and
analyzed for BDE-28, BDE-47, BDE-99, BDE-100, BDE-153, BDE-154, BDE-183 and BDE
209. RESULTS: PBDEs were detected in all of the newborn and child hair. The
SigmaPBDE ranged from 0.038 to 1.01pg/mg newborn hair and from 0.208 to
2.695ng/mg child hair. The most abundant PBDE in newborn hair was BDE-153 while
in child hair the variable PBDEs were BDE-47 and BDE-99. The highest molecular
weight congener BDE-209 was detected in 10/24 pediatric hair samples. The LOQ is
0.0625pg/mg (BDE-209 0.625pg/mg) and the efficiency of extraction was between 70
and 90%. CONCLUSION: This GC/MS method is sufficiently sensitive to detect the
presence of all 8 PBDE congeners tested in as little as 10mg of pediatric hair.
The results show that PBDEs are present in newborn hair, making this matrix
useful in examining in utero exposure to PBDEs and linking it to cryptorchidism.
PMID- 22079500
TI - Single genotypes underestimate the prevalence of antiretroviral resistance in
patients with perinatally acquired HIV.
PMID- 22079501
TI - Procalcitonin improves the differentiation between infectious and
cryptogenic/secondary organizing pneumonia.
PMID- 22079502
TI - Short course amphotericin B with high dose fluconazole for HIV-associated
cryptococcal meningitis.
AB - OBJECTIVE: To define more rapidly effective initial antifungal regimens
sustainable in resource-constrained settings. METHODS: Cohort study in SW Uganda:
Thirty HIV-seropositive, antiretroviral therapy-naive, patients with first
episode cryptococcal meningitis were treated with high dose fluconazole (1200
mg/d for 2 weeks, then 800 mg/d until ART started) plus amphotericin B (AmB, 1
mg/kg/d), with routine normal saline and potassium supplementation, for the
initial 5 days. Outcome measures were early fungicidal activity (EFA), determined
by serial quantitative CSF cultures, safety, and mortality. RESULTS: EFA was
0.30 +/- 0.11 log CFU/day calculated over the first 2 weeks of treatment, with no
reduction in the rate of clearance between days 5 and 14. There was no grade IV
hypokalemia or elevated creatinine, and no grade III or IV anemia or elevation of
ALT. AmB or high dose fluconazole were not stopped early in any patient.
Mortality was 23% at 2, and 28% at 10 weeks. CONCLUSIONS: Short course AmB was
associated with rapid clearance of infection and was well-tolerated, suggesting
it could be used safely in many centres currently relying on fluconazole
monotherapy. Phase III trials are needed in African centres to compare short
course with the standard 2-week course of AmB.
PMID- 22079503
TI - Glucose starvation stimulates Zn2+ toxicity in cultures of cerebellar granule
neurons.
AB - Zinc chloride (0.02 mM, 3h) did not have any influence on the survival of
cerebellar granule neurons (CGNs) incubated in balanced salt solution (BSS).
However, in the absence of glucose ZnCl(2) caused severe neuronal damage,
decreasing cell survival to 12+/-2%. Either the blockade of ionotropic glutamate
NMDA-receptors with MK-801 or APV or supplementation the medium with ruthenium
red (mitochondrial Ca(2+) uniporter blocker) almost entirely protected CGNs from
the toxic effect of ZnCl(2) during glucose deprivation (GD). However, NBQX
(AMPA/kainate glutamate receptor blocker) did not show protective effect.
Measurements of intracellular calcium ions concentration using fluorescent probe
(Fluo-4 AM) and zinc ions (FluoZin-3AM) demonstrated that 1.5h-exposure to GD
induced intensive increase of Fluo-4 fluorescence and small increase of FluoZin-3
fluorescence in neurons. The supplementation of medium with ZnCl(2) caused equal
increase of FluoZin-3 fluorescence at both GD and normoglycemia, whereas the
potentiation of Fluo-4 fluorescence by zinc was observed only under GD and could
be prevented by MK-801. However, neither MK-801 nor NBQX could influence
[Zn(2+)](i) increase caused by zinc addition under GD, while ruthenium red did
cause significant increase of [Zn(2+)](i). This data implies that zinc ions
during GD induce an additional overload of CGNs with calcium ions that get
transported through activated NMDA-channel. Zinc and calcium ions accumulate in
mitochondria and amplify individual destructive action on these organelles
leading to neuronal death.
PMID- 22079504
TI - Single pulse TMS induced disruption to right and left parietal cortex on addition
and multiplication.
AB - Whether or not mathematical operations are dependent on verbal codes in left
hemisphere areas - particularly the left intraparietal sulcus - remains an issue
of intense debate. Using single pulse transcranial magnetic stimulation directed
at horizontal and ventral regions of the left and right intraparietal sulcus, we
examined disruption to reaction times in simple addition and multiplication.
Results indicate that these two operations differ in the pattern of
lateralization across time for the two areas studied. These show that
computational efficiency is not specifically dependent on left hemisphere regions
and, in particular, that efficiency in multiplication is dependent on the ventral
region of the intraparietal sulcus in the right hemisphere considered to be
critical for motion representation and automatization.
PMID- 22079505
TI - Time course of word production in fast and slow speakers: a high density ERP
topographic study.
AB - The transformation of an abstract concept into an articulated word is achieved
through a series of encoding processes, which time course has been repeatedly
investigated in the psycholinguistic and neuroimaging literature on single word
production. The estimates of the time course issued from previous investigations
represent the timing of process duration for mean processing speed: as production
speed varies significantly across speakers, a crucial question is how the timing
of encoding processing varies with speed. Here we investigated whether between
subjects variability in the speed of speech production is distributed along all
encoding processes or if it is accounted for by a specific processing stage. We
analysed event-related electroencephalographical (ERP) correlates during overt
picture naming in 45 subjects divided into three speed subgroups according to
their production latencies. Production speed modulated waveform amplitudes in the
time window ranging from about 200 to 350 ms after picture presentation and the
duration of a stable electrophysiological spatial configuration in the same time
period. The remaining time windows from picture onset to 200 ms before
articulation were unaffected by speed. By contrast, the manipulation of a
psycholinguistic variable, word age-of-acquisition, modulated ERPs in all speed
subgroups in a different and later time period, starting at around 400 ms after
picture presentation, associated with phonological encoding processes. These
results indicate that the between-subject variability in the speed of single word
production is principally accounted for by the timing of a stable
electrophysiological activity in the 200-350 ms time period, presumably
associated with lexical selection.
PMID- 22079506
TI - Single-trial magnetoencephalography signals encoded as an unfolding decision
process.
AB - The model of a stochastic decision process unfolding in motor and premotor
regions of the brain was encoded in single-trial magnetoencephalographic (MEG)
recordings while ten healthy subjects performed a sensorimotor Reaction Time (RT)
task. The duration of single-trial MEG signals preceding the motor response,
recorded over the motor cortex contralateral to the responding hand, co-varied
with RT across trials according to the model's prediction. Furthermore, these
signals displayed the same properties of a "rising-to-a-fixed-threshold" decision
process as posited by the model and observed in the activity of single neurons in
the primate cortex. The present findings demonstrate that non-averaged, single
trial MEG recordings can be used to test models of cognitive processes, like
decision-making, in humans.
PMID- 22079507
TI - Inflammation selectively enhances amygdala activity to socially threatening
images.
AB - Although social withdrawal is a prominent symptom of sickness, the mechanisms
associated with this behavioral change remain unclear. In animals, the amygdala
is a key neural region involved in sickness-induced social withdrawal. Consistent
with this, in humans, heightened amygdala activity to negative social cues is
associated with social avoidance tendencies. Based on these findings, we
investigated whether an experimental inflammatory challenge selectively increased
amygdala activity to socially threatening images as well as whether this activity
related to feelings of social disconnection. Thirty-nine participants were
randomly assigned to receive either placebo or low-dose endotoxin, which
increases inflammatory activity. Pro-inflammatory cytokines were assessed at 7
hourly time points via blood draws; self-reported feelings of social
disconnection and physical sickness symptoms were assessed hourly as well. Two
hours post-injection, participants underwent an fMRI procedure to assess amygdala
reactivity during the presentation of socially threatening images (fear faces) as
well as non-socially threatening images (guns), socially non-threatening images
(happy faces), and non-social, non-threatening images (household objects).
Endotoxin led to greater amygdala activity in response to socially threatening
vs. all other types of images. No such differences were found for placebo
participants. Additionally, increased amygdala activity in endotoxin participants
during the viewing of socially vs. non-socially threatening images was associated
with increased feelings of social disconnection. These findings highlight the
amygdala as a neural region that may be important for sickness-induced social
withdrawal. The implications of amygdalar involvement in sickness-induced social
withdrawal are discussed.
PMID- 22079508
TI - Generation of continuous packed bed reactor with PVA-alginate blend immobilized
Ochrobactrum sp. DGVK1 cells for effective removal of N,N-dimethylformamide from
industrial effluents.
AB - Effective removal of dimethylformamide (DMF), the organic solvent found in
industrial effluents of textile and pharma industries, was demonstrated by using
free and immobilized cells of Ochrobactrum sp. DGVK1, a soil isolate capable of
utilizing DMF as a sole source of carbon, nitrogen. The free cells have
efficiently removed DMF from culture media and effluents, only when DMF
concentration was less than 1% (v/v). Entrapment of cells either in alginate or
in polyvinyl alcohol (PVA) failed to increase tolerance limits. However, the
cells of Ochrobactrum sp. DGVK1 entrapped in PVA-alginate mixed matrix tolerated
higher concentration of DMF (2.5%, v/v) and effectively removed DMF from
industrial effluents. As determined through batch fermentation, these immobilized
cells have retained viability and degradability for more than 20 cycles. A
continuous packed bed reactor, generated by using PVA-alginate beads, efficiently
removed DMF from industrial effluents, even in the presence of certain organic
solvents frequently found in effluents along with DMF.
PMID- 22079509
TI - An algorithm for risk assessment and intervention of mother to child transmission
of hepatitis B virus.
AB - BACKGROUND & AIMS: Despite immunoprophylaxis, mother to child transmission (MTCT)
of hepatitis B virus (HBV) still occurs in infants born to hepatitis B surface
antigen (HBsAg)-positive mothers. We analyzed methods of risk assessment and
interventions for MTCT. METHODS: We reviewed 63 articles and abstracts published
from 1975-2011 that were relevant to MTCT; articles were identified using the
PubMed bibliographic database. RESULTS: Administration of HB immunoglobulin and
HB vaccine to infants at birth (within 12 hours), followed by 2 additional doses
of vaccines within 6-12 months, prevented approximately 95% of HBV transmission
from HBsAg-positive mothers to their infants. However, HBV was still transmitted
from 8%-30% of mothers with high levels of viremia. It is important to assess the
risk for MTCT and identify mothers who are the best candidates for intervention.
The most important risk factor is maternal level of HBV DNA >200,000 IU (10(6)
copies)/mL; other factors include a positive test result for the HB e antigen,
pregnancy complications such as threatened preterm labor or prolonged labor, and
failure of immunoprophylaxis in prior children. Antiviral therapy during late
stages of pregnancy is the most effective method to reduce transmission from
mothers with high levels of viremia, but elective cesarean section might also be
effective. Antepartum administration of HB immunoglobulin, giving infants a
double dose of HB vaccine, or avoiding breastfeeding had no impact on MTCT.
CONCLUSIONS: HBsAg-positive mothers should be assessed for risk of MTCT, and
infants should receive immunoprophylaxis. Pregnant women with levels of HBV DNA
>200,000 IU/mL should be considered for strategies to reduce the risk for MTCT.
We propose an algorithm for risk assessment and patient management that is based
on a review of the literature and the opinion of a panel of physicians with
expertise in preventing MTCT.
PMID- 22079510
TI - Spontaneous intramural hematoma of colon.
PMID- 22079511
TI - Tinkering with a tarnished technique: isn't it time to abandon celiac plexus
blockade for the treatment of abdominal pain in chronic pancreatitis?
PMID- 22079512
TI - Baclofen improves symptoms and reduces postprandial flow events in patients with
rumination and supragastric belching.
AB - BACKGROUND & AIMS: In patients with clinically suspected rumination, esophageal
impedance manometry differentiates episodes of rumination (involuntary straining
with intragastric pressure increases) from aerophagia/supragastric belching.
Treatment options are limited and focused on behavioral therapy. Baclofen, an
agonist of the gamma-aminobutyric acid B receptor, increases lower esophageal
sphincter pressure and decreases swallowing rate. We investigated its effects in
these patients. METHODS: High-resolution manometry-impedance recordings were
taken from 12 patients (8 women; mean age, 45 years; range, 18-89 years) with
clinically suspected rumination or supragastric belching before and during
treatment with baclofen (10 mg, 3 times daily). After 30 minutes of recordings,
patients received a 1000-kcal solid meal; recordings were then continued for 1
hour. Patients were asked to register symptoms with an event marker. The number
of symptoms registered and number and type of flow events were compared before
and during treatment. RESULTS: An average of 20 symptom markers (range, 14-34)
were recorded at baseline (10 [range, 4-25] for belching and 9 [range, 0-11] for
regurgitation). This was significantly reduced to 6 (range, 2-22) (3 [range, 1
15] for belching and 1 [range, 0-13] for regurgitation) during baclofen treatment
(P = .01). The number of flow events (473 at baseline [42 reflux, 192 rumination,
188 supragastric belching, and 42 aerophagia]) was significantly reduced to 282
(32 reflux, 99 rumination, 123 supragastric belching, and 13 aerophagia) during
baclofen therapy (P = .02). The reduction in flow events correlated with the
increase in lower esophageal sphincter pressure (r = -0.62; P = .03) and
reduction in swallowing frequency (r = 0.64; P = .02). CONCLUSIONS: Baclofen is
an effective treatment for patients with rumination or supragastric
belching/aerophagia.
PMID- 22079513
TI - Telomerase and idiopathic pulmonary fibrosis.
AB - Idiopathic pulmonary fibrosis (IPF) is the most common manifestation of telomere
mediated disorders. Germline mutations in the essential telomerase genes, hTERT
and hTR, are the causal genetic defect in up to one-sixth of pulmonary fibrosis
families. The presence of telomerase mutations in this subset is significant for
clinical decisions as affected individuals can develop extra-pulmonary
complications related to telomere shortening such as bone marrow failure and
cryptogenic liver cirrhosis. There is also evidence that IPF is an ancestral
manifestation of autosomal dominant telomere syndromes where, with successive
generations, the disease evolves from pulmonary fibrosis into a bone marrow
failure-predominant disorder, defining a unique form of genetic anticipation.
Here I review the significance of telomere defects for understanding the
genetics, disease patterns and pathophysiology of IPF. The importance of this
diagnosis for patient care decisions will also be discussed.
PMID- 22079514
TI - [Results and reflections of the Scientific Committee of the XXVIII Conference of
the Spanish Society of Quality in Healthcare].
AB - OBJECTIVES: To determine whether the objectives entrusted to the Scientific
Committee (SC) of the 28th National Conference have been met, and to determine
whether the results differed from those of previous conferences. METHODS: A
chronological description of the work of the SC and a comparison with the results
of the previous two Conferences of the Spanish Society for Quality in health care
(SSQHC). RESULTS: A total of 869 papers were submitted, of which 32.6%
corresponded to the area of patient safety. Galicia was the community that
presented more communications (28%), followed by Catalonia (12.9%) and Murcia
(10.9%). CONCLUSIONS: The methodology used by the SC helped in the compliance
with the deadlines in the SSQHC Conference manual and contributed to achieve its
objectives. Abstract presentations were classified accordingly to their quality.
The Conference has been consolidated as a multidisciplinary meeting with
participation by doctors, nurses, managers and open to the Latin-American
community.
PMID- 22079515
TI - Dodecafluoropentane emulsion decreases infarct volume in a rabbit ischemic stroke
model.
AB - PURPOSE: To assess the efficacy of dodecafluoropentane emulsion (DDFPe), a
nanodroplet emulsion with significant oxygen transport potential, in decreasing
infarct volume in an insoluble-emboli rabbit stroke model. MATERIALS AND METHODS:
New Zealand White rabbits (N = 64; weight, 5.1 +/- 0.50 kg) underwent angiography
and received embolic spheres in occluded internal carotid artery branches.
Rabbits were randomly assigned to groups in 4-hour and 7-hour studies. Four-hour
groups included control (n = 7, embolized without treatment) and DDFPe treatment
30 minutes before stroke (n = 7), at stroke onset (n = 8), and 30 minutes (n =
5), 1 hour (n = 7), 2 hours (n = 5), or 3 hours after stroke (n = 6). Seven-hour
groups included control (n = 6) and DDFPe at 1 hour (n = 8) and 6 hours after
stroke (n = 5). DDFPe dose was a 2% weight/volume intravenous injection (0.6
mL/kg) repeated every 90 minutes as time allowed. After euthanasia, infarct
volume was determined by vital stains on brain sections. RESULTS: At 4 hours,
median infarct volume decreased for all DDFPe treatment times (pretreatment,
0.30% [P = .004]; onset, 0.20% [P = .004]; 30 min, 0.35% [P = .009]; 1 h, 0.30%
[P = .01]; 2 h, 0.40% [P = .009]; and 3 h, 0.25% [P = .003]) compared with
controls (3.20%). At 7 hours, median infarct volume decreased with treatment at 1
hour (0.25%; P = .007) but not at 6 hours (1.4%; P = .49) compared with controls
(2.2%). CONCLUSIONS: Intravenous DDFPe in an animal model decreases infarct
volumes and protects brain tissue from ischemia, justifying further
investigation.
PMID- 22079516
TI - Radioembolization versus standard care of hepatic metastases: comparative
retrospective cohort study of survival outcomes and adverse events in salvage
patients.
AB - PURPOSE: To retrospectively evaluate the safety and survival of patients with
chemotherapy-refractory liver metastases treated with yttrium-90 ((90)Y) resin
microspheres, and to compare survival in this patient group versus survival after
standard/supportive care to assess whether radioembolization contributes to
survival gains in the salvage setting. MATERIALS AND METHODS: While 339 patients
with chemotherapy-refractory liver metastases underwent (90)Y microspheres
radioembolization at a single institution between 2006 and 2011, 51 patients were
referred back to their treating physician for conservative treatment or best
supportive care. Adverse events were assessed at the time of treatment and at 1
and 3 months after treatment. Overall survival (OS) was calculated by the Kaplan
Meier method for the radioembolization cohort (as a whole and according to two
subcohorts: patients with colorectal primary cancer and patients with all other
primary cancers, eg, breast or neuroendocrine) and the standard-care cohort.
RESULTS: The median OS after (90)Y radioembolization (339 patients) was 12.0
months, versus 6.3 months for the standard-care cohort (51 patients; P < .001).
The median OS times for the two subcohorts were 11.9 months and 12.7 months,
respectively. At the 3-month follow-up, the incidence of more serious adverse
events was low, with 11 cases (3%) of ulceration, 10 cases (2.9%) of radiation
induced liver disease, and six complications (1.8%) involving the gallbladder
(eg, cholecystitis). CONCLUSIONS: The present study suggests that
radioembolization shows promise as an effective and safe treatment for patients
with chemotherapy-refractory hepatic metastases and improves overall survival in
a select population of patients in a salvage setting compared with best
supportive care alone.
PMID- 22079517
TI - On the conjunction fallacy and the meaning of and, yet again: a reply to Hertwig,
Benz, and Krauss (2008).
AB - In this paper we question the theoretical tenability of Hertwig, Benz, and
Krauss's (2008) (HBK) argument that responses commonly taken as manifestations of
the conjunction fallacy should be instead considered as reflecting "reasonable
pragmatic and semantic inferences" because the meaning of and does not always
coincide with that of the logical operator ?. We also question the relevance of
the experimental evidence that HBK provide in support of their argument as well
as their account of the pertinent literature. Finally, we report two novel
experiments in which we employed HBK's procedure to control for the
interpretation of and. The results obtained overtly contradict HBK's data and
claims. We conclude with a discussion on the alleged feebleness of the
conjunction fallacy, and suggest directions that future research on this topic
might pursue.
PMID- 22079518
TI - Visualizing the effect of hypoxia on fluorescence kinetics in living HeLa cells
using the fluorescent ubiquitination-based cell cycle indicator (Fucci).
AB - Fluorescent proteins are widely used for the direct visualization of events such
as gene expression and subcellular localization in mammalian cells. It is well
established that oxygen is required for formation of functional chromophore;
however, the effect of hypoxia on fluorescence emission has rarely been studied.
For this purpose, under hypoxic conditions, we investigated the kinetics of red
and green fluorescence in HeLa cells from two fluorescent proteins, monomeric
Kusabira Orange 2 (mKO2) and monomeric Azami Green (mAG), respectively, using the
fluorescent ubiquitination-based cell cycle indicator (Fucci). In this system,
cells in G1 or other phases emit red or green fluorescence, respectively. We
found that hypoxia abrogated both red and green fluorescence about ~10h after the
treatment, although their protein levels were almost maintained. The treatment
did not significantly affect fluorescence in cells constitutively expressing the
same fluorescent proteins lacking the ubiquitin ligase-binding domains. The
abrogation of fluorescence resulted from a combination of ubiquitination
dependent degradation of pre-existing functional proteins during specific cell
cycle phases, and the expression of newly synthesized non-fluorescent proteins
containing non-oxidized chromophore during hypoxic treatment. Indeed, non
fluorescent cells after hypoxic treatment gradually developed fluorescence after
reoxygenation in the presence of cycloheximide; kinetics of recovery were much
faster for mAG than for mKO2. Using the Fucci system, we could clearly visualize
for the first time the effect of hypoxia on the fluorescence kinetics of proteins
expressed in living mammalian cells.
PMID- 22079519
TI - The interaction of an azo compound with a surfactant and ion pair adsorption to
solid phases.
AB - The adsorption of SPADNS (trisodium salt of 2-(p-sulfophenylazo)-1,8
dihydroxynaphthalene-3,6-disulfonic acid) onto resins XAD 2, XAD 7 and silica gel
was studied in the presence and in the absence of the cationic surfactant CTAB
(cetyl trimethylammonium bromide). At a ratio of 2.5 CTAB to 1 SPADNS, the
surfactant caused a marked increase in SPADNS adsorption. The experimental
results for adsorption versus time were applied on the basis of three kinetic
models (pseudo-first-order Lagergren, pseudo-second-order, and intraparticle
diffusion). The interaction between CTAB and SPADNS was investigated using
spectrophotometric, conductometric, and computational techniques. Theoretical
results point to the formation of an ion pair between CTAB and SPADNS that
influences the solution spectra, in agreement with conductometric and
spectrophotometric data.
PMID- 22079520
TI - Cobalt oxide silica membranes for desalination.
AB - This work shows for the first time the potential of cobalt oxide silica
(CoO(x)Si) membranes for desalination of brackish (1 wt.% NaCl), seawater (3.5
wt.% NaCl) and brine (7.5-15 wt.% NaCl) concentrations at feed temperatures
between 25 and 75 degrees C. CoO(x)Si xerogels were synthesised via a sol-gel
method including TEOS, cobalt nitrate hydrate and peroxide. Initial hydrothermal
exposure (<2 days) of xerogels prepared with various pH (3-6) resulted in
densification of the xerogel via condensation reactions within the silica matrix,
with the xerogel synthesised at pH 5 the most resistant. Subsequent exposure was
not found to significantly alter the pore structure of the xerogels, suggesting
they were hydrostable and that the pore sizes remained at molecular sieving
dimensions. Membranes were then synthesised using identical sol-gel conditions to
the xerogel samples and testing showed that elevated feed temperatures resulted
in increased water fluxes, whilst increasing the saline feed concentration
resulted in decreased water fluxes. The maximum flux observed was 1.8 kg m(-2) h(
1) at 75 degrees C for a 1 wt.% NaCl feed concentration. The salt rejection was
consistently in excess of 99%, independent of either the testing temperature or
salt feed concentration.
PMID- 22079521
TI - Uniform distribution of TiO2 nanocrystals on reduced graphene oxide sheets by the
chelating ligands.
AB - Reduced graphene oxide-TiO(2) hybrids were successfully prepared by the
hydrothermal approach using triethanolamine and acetylacetone as the chelating
agents. Without any additive, large aggregated TiO(2) clusters were randomly
distributed dominantly at the edge and less on the basil plane of coagulated
reduced graphene oxide (RGO) layers. The presence of chelating ligands remarkably
facilitated the selective growth and regular spread of TiO(2) nanocrystals onto
individually exfoliated RGO sheet. Such sandwich-like structure with stronger
coupling and chemical interaction resulted in the surface area increase, the
rearrangement of energy level, the enhanced concentration of oxygen vacancies,
leading to much higher adsorbability and photocatalytic degradation of Rhodamine
B under both UV and visible irradiations. These RGO-TiO(2) hybrid systems are
potentially beneficial for widely practical applications in air/water
purification, electronic devices, batteries, solar cells or supercapacitors.
PMID- 22079522
TI - Dynamic control of gold nanoparticle morphology in a microchannel flow reactor by
glucose reduction in aqueous sodium hydroxide solution.
AB - Continuous flow synthesis of gold nanoparticles was demonstrated using a
microchannel reactor with glucose reduction in aqueous alkaline medium. Particle
size, morphology, and visual/optical properties of the dispersion liquid were
controlled dynamically by tuning of the rate of NaOH addition. Characteristic
star-like nanoparticles formed spontaneously as a quasi-stable state, but they
changed the morphology to round shape and showed spectral change over time.
PMID- 22079524
TI - The effects of gait impairment with and without freezing of gait in Parkinson's
disease.
AB - OBJECTIVE: To compare the effects of gait impairment without freezing of gait
(FOG) versus FOG without gait impairment in Parkinson's disease (PD) on
disability and quality of life. BACKGROUND: FOG is frequently characterized as
the major cause of gait-related disability in PD. However, gait impairment may
also result from other PD symptoms including slowing, motor asymmetry, gait
variability, dystonia or stooped posture. METHODS: The Unified Parkinson's
Disease Rating Scale (UPDRS), Older Americans Resources and Services Disability
Scale (OARS) and the SF-12 Health Status Survey were used to evaluate patients
with PD. Responses to UPDRS Items #14 (Freezing) and # 29 (Gait) were used to
create 4 subgroups: 1) No FOG or gait impairment, 2) FOG, no gait impairment, 3)
Gait impairment, no FOG, and 4) Both FOG and gait impairment. Disease severity,
disability, and quality of life were compared across the subgroups with ANOVAs,
and between subgroups with t-tests. RESULTS: 916 PD patients were divided into 4
subgroups based on their gait and freezing score (#1: n = 213, #2: n = 41, #3: n
= 323 and #4: n = 339). Total UPDRS progressively increased from Group 1 through
Group 4 (1 = 25.2, 2 = 33.7, 3 = 39.2, 4 = 59.2; p < 0.001). Motor UPDRS also
progressively increased (1 = 17.4, 2 = 19.7, 3 = 26.9, 4 = 36.5; p < 0.0001).
Similarly, disability and health-related quality of life progressively increased
from Group 1 through Group 4 (Total OARS: 1 = 15.3, 2 = 17.2, 3 = 18.9, 4 = 28.4;
p < 0.001). Group 3 (Gait impairment, no FOG) showed greater disease severity
than Group 2 (FOG, no gait impairment; Total and Motor UPDRS; p < 0.05), but the
difference did not reach significance for disability or quality of life.
CONCLUSIONS: Gait impairment without FOG was associated with greater disease
severity than FOG without gait impairment. The combination of gait impairment and
FOG was associated with the greatest disease severity and disability. These
results show differential effects of diverse features of gait impairment in PD
and demonstrate the importance of gait features unrelated to freezing.
PMID- 22079523
TI - Rates of brain atrophy and clinical decline over 6 and 12-month intervals in PSP:
determining sample size for treatment trials.
AB - Imaging biomarkers are useful outcome measures in treatment trials. We compared
sample size estimates for future treatment trials performed over 6 or 12-months
in progressive supranuclear palsy using both imaging and clinical measures. We
recruited 16 probable progressive supranuclear palsy patients that underwent
baseline, 6 and 12-month brain scans, and 16 age-matched controls with serial
scans. Disease severity was measured at each time-point using the progressive
supranuclear palsy rating scale. Rates of ventricular expansion and rates of
atrophy of the whole brain, superior frontal lobe, thalamus, caudate and midbrain
were calculated. Rates of atrophy and clinical decline were used to calculate
sample sizes required to power placebo-controlled treatment trials over 6 and 12
months. Rates of whole brain, thalamus and midbrain atrophy, and ventricular
expansion, were increased over 6 and 12-months in progressive supranuclear palsy
compared to controls. The progressive supranuclear palsy rating scale increased
by 9 points over 6-months, and 18 points over 12-months. The smallest sample size
estimates for treatment trials over 6-months were achieved using rate of midbrain
atrophy, followed by rate of whole brain atrophy and ventricular expansion.
Sample size estimates were further reduced over 12-month intervals. Sample size
estimates for the progressive supranuclear palsy rating scale were worse than
imaging measures over 6-months, but comparable over 12-months. Atrophy and
clinical decline can be detected over 6-months in progressive supranuclear palsy.
Sample size estimates suggest that treatment trials could be performed over this
interval, with rate of midbrain atrophy providing the best outcome measure.
PMID- 22079525
TI - Cross-species investigation of the functions of the Rhodobacter PufX polypeptide
and the composition of the RC-LH1 core complex.
AB - In well-characterised species of the Rhodobacter (Rba.) genus of purple
photosynthetic bacteria it is known that the photochemical reaction centre (RC)
is intimately-associated with an encircling LH1 antenna pigment protein, and this
LH1 antenna is prevented from completely surrounding the RC by a single copy of
the PufX protein. In Rba. veldkampii only monomeric RC-LH1 complexes are
assembled in the photosynthetic membrane, whereas in Rba. sphaeroides and Rba.
blasticus a dimeric form is also assembled in which two RCs are surrounded by an
S-shaped LH1 antenna. The present work established that dimeric RC-LH1 complexes
can also be isolated from Rba. azotoformans and Rba. changlensis, but not from
Rba. capsulatus or Rba. vinaykumarii. The compositions of the monomers and dimers
isolated from these four species of Rhodobacter were similar to those of the well
characterised RC-LH1 complexes present in Rba. sphaeroides. Pigment proteins were
also isolated from strains of Rba. sphaeroides expressing chimeric RC-LH1
complexes. Replacement of either the Rba. sphaeroides LH1 antenna or PufX with
its counterpart from Rba. capsulatus led to a loss of the dimeric form of the RC
LH1 complex, but the monomeric form had a largely unaltered composition, even in
strains in which the expression level of LH1 relative to the RC was reduced. The
chimeric RC-LH1 complexes were also functional, supporting bacterial growth under
photosynthetic conditions. The findings help to tease apart the different
functions of PufX in different species of Rhodobacter, and a specific protein
structural arrangement that allows PufX to fulfil these three functions is
proposed.
PMID- 22079526
TI - Elimination of onchocerciasis from Africa: possible?
AB - Human onchocerciasis, a parasitic disease found in 28 African countries, six
Latin American countries and Yemen, causes blindness and severe dermatological
problems. In 1987, efforts to control this infection shifted from vector
approaches to include the mass distribution of ivermectin - a drug donated by
Merck & Co. for disease control in Africa and for disease elimination in the
Americas. Currently, almost 25 years later, with the Americas being highly
successful and now approaching elimination, new evidence points towards the
possibility of successful elimination in Africa. We suggest several major changes
in the programmatic approach that through focused goal-directed effort could
achieve global elimination of onchocerciasis by 2025.
PMID- 22079527
TI - Fear conditioning occludes late-phase long-term potentiation at thalamic input
synapses onto the lateral amygdala in rat brain slices.
AB - Late-phase long-term potentiation (L-LTP) of excitatory synaptic transmission at
thalamic input synapses onto the lateral amygdala (T-LA synapses) has been
proposed as a cellular substrate for long-term fear memory. This notion is
evidenced primarily by previous reports in which the same pharmacological
treatments block both T-LA L-LTP and the consolidation of fear memory. In this
study, we report that fear conditioning occludes L-LTP at T-LA synapses in brain
slices prepared after fear memory consolidation. L-LTP was restored either when
synaptic depotentiation was induced prior to L-LTP induction in brain slices
prepared from conditioned rats or when brain slices were prepared from
conditioned rats that had been exposed to subsequent fear extinction, which is a
behavior paradigm known to induce in vivo synaptic depotentiation at T-LA
synapses. These results suggest that fear conditioning recruits L-LTP-like
mechanisms that are reversible and saturable at T-LA synapses.
PMID- 22079528
TI - Radiotherapy with curative intent for lung cancer: a continuing success story.
PMID- 22079529
TI - Adjuvant radiotherapy after extrapleural pneumonectomy for mesothelioma.
Prospective analysis of a multi-institutional series.
AB - BACKGROUND AND PURPOSE: To evaluate survival, locoregional control and toxicity
in a series of 56 mesothelioma patients treated from May 2005 to May 2010 with
post-operative radiotherapy after extrapleural pneumonectomy (EPP) in three
Italian Institutions (Brescia, Florence, and Modena). MATERIAL AND METHODS: Fifty
six patients treated with adjuvant radiotherapy (RT) after EPP were analyzed.
Four patients were treated with 3DCRT, 50 with IMRT and two with helical
tomotherapy. Forty-five to 50 Gy in 25 fractions were given to the affected
hemithorax and to ipsilateral mediastinum, with a simultaneous integrated boost
to the sites of microscopically involved margins up to 60 Gy in 20/56 cases.
RESULTS: Three year locoregional control (LRC), distant metastasis free (DMF),
disease free (DF), disease specific (DSS) and overall survival (OS) rates are
90%, 66%, 57%, 62%, and 60%, respectively. CONCLUSION: Postoperative RT with
modern techniques is an effective method to obtain excellent local control and
cure rates in mesothelioma patients submitted to EPP.
PMID- 22079530
TI - Soy isoflavones radiosensitize lung cancer while mitigating normal tissue injury.
AB - BACKGROUND: We have demonstrated that soy isoflavones radiosensitize cancer
cells. Prostate cancer patients receiving radiotherapy (RT) and soy tablets had
reduced radiation toxicity to surrounding organs. We have now investigated the
combination of soy with RT in lung cancer (NSCLC), for which RT is limited by
radiation-induced pneumonitis. METHODS: Human A549 NSCLC cells were injected i.v.
in nude mice to generate lung tumor nodules. Lung tumor-bearing mice were treated
with left lung RT at 12 Gy and with oral soy treatments at 1mg/day for 30 days.
Lung tissues were processed for histology. RESULTS: Compared to lung tumor
nodules treated with soy isoflavones or radiation, lung tissues from mice treated
with both modalities showed that soy isoflavones augmented radiation-induced
destruction of A549 lung tumor nodules leading to small residual tumor nodules
containing degenerating tumor cells with large vacuoles. Soy isoflavones
decreased the hemorrhages, inflammation and fibrosis caused by radiation in lung
tissue, suggesting protection of normal lung tissue. CONCLUSIONS: Soy isoflavones
augment destruction of A549 lung tumor nodules by radiation, and also mitigate
vascular damage, inflammation and fibrosis caused by radiation injury to normal
lung tissue. Soy could be used as a non-toxic complementary approach to improve
RT in NSCLC.
PMID- 22079531
TI - The role of CXC chemokines in the transition of chronic inflammation to
esophageal and gastric cancer.
AB - Chronic inflammation may increase the risk to develop cancer, for instance
esophagitis or gastritis may lead to development of esophageal or gastric cancer,
respectively. The key molecules attracting leukocytes to local inflammatory sites
are chemokines. We here provide a systematic review on the impact of CXC
chemokines (binding the receptors CXCR1, CXCR2, CXCR3 and CXCR4) on the
transition of chronic inflammation in the upper gastrointestinal tract to
neoplasia. CXCR2 ligands, including GRO-alpha,beta,gamma/CXCL1,2,3, ENA-78/CXCL5
and IL-8/CXCL8 chemoattract pro-tumoral neutrophils. In addition, angiogenic
CXCR2 ligands stimulate the formation of new blood vessels, facilitating tumor
progression. The CXCR4 ligand SDF-1/CXCL12 also promotes tumor development by
stimulating angiogenesis and by favoring metastasis of CXCR4-positive tumor cells
to distant organs producing SDF-1/CXCL12. Furthermore, these angiogenic
chemokines also directly enhance tumor cell survival and proliferation. In
contrast, the CXCR3 ligands Mig/CXCL9, IP-10/CXCL10 and I-TAC/CXCL11 are
angiostatic and attract anti-tumoral T lymphocytes and may therefore mediate
tumor growth retardation and regression. Thus, chemokines exert diverging,
sometimes dual roles in tumor biology as described for esophageal and gastric
cancer. Therefore extensive research is needed to completely unravel the complex
chemokine code in specific cancers. Possibly, chemokine-targeted cancer therapy
will have to be adapted to the individual's chemokine profile.
PMID- 22079532
TI - Interplay between mutational and horizontally acquired resistance mechanisms and
its association with carbapenem resistance amongst extensively drug-resistant
Pseudomonas aeruginosa (XDR-PA).
AB - Between 2003 and 2009, the prevalence of extensively drug-resistant Pseudomonas
aeruginosa (XDR-PA) increased significantly in northern Taiwan from 1.0% to 2.1%.
Molecular methods were used to investigate the genetic relatedness and carbapenem
resistance mechanisms of a collection of 203 non-repetitive XDR-PA isolates
available for study. Using pulsed-field gel electrophoresis (PFGE), 52 genotypes
were observed; one predominant genotype (pulsotype 1) was found in 57.6% of the
isolates. Polymerase chain reaction (PCR), sequencing and quantitative reverse
transcriptase PCR analyses demonstrated that one horizontally acquired mechanism
[metallo-beta-lactamase (MBL) genes] and two mutational mechanisms (efflux and
porins) accounted for the carbapenem resistance. The most predominant
horizontally acquired mechanism was carriage of bla(VIM-3), which was found in
61.1% of isolates. Decreased expression of oprD was the most prevalent mutational
mechanism and was found in 70.0% of the XDR-PA isolates, whereas overexpression
of mexA was found in 27.6% of the isolates. The highlight of this study was the
discovery of statistically significant relationships between certain horizontally
acquired and mutational resistance mechanisms and their contribution to
carbapenem susceptibility. MBL-producers expressed significantly lower MexAB and
higher OprD than non-MBL-producers. Amongst isolates without an acquired beta
lactamase gene, oprD expression was significantly reduced, whilst expression of
efflux pumps was increased. Reduced OprD expression alone or the production of
VIM-type MBLs showed similar contributions to a low to intermediate MIC(50)
(minimum inhibitory concentration for 50% of the organisms) for carbapenems.
Isolates with reduced OprD expression that simultaneously harboured bla(VIM)
exhibited high levels of resistance to carbapenems, which implied that these two
mechanisms had a synergistic effect on the MICs.
PMID- 22079534
TI - Effect of antibiotic prescribing in primary care on meticillin-resistant
Staphylococcus aureus carriage in community-resident adults: a controlled
observational study.
AB - The objectives of this study were to investigate the relationship between primary
care antibiotics prescribed within 2 months and 12 months and the carriage of
meticillin-resistant Staphylococcus aureus (MRSA) in nasal flora from a large
representative sample of community-resident adults. S. aureus isolates were
obtained from nasal samples submitted by UK resident adults aged >= 16 years
registered with 12 general practices in the former Avon and Gloucestershire
health authority areas. Individual-level antibiotic exposure data during the 12
months prior to providing the samples were collected from the primary care
electronic records. MRSA status was determined by measuring resistance to
cefoxitin. In total, 6937 adults were invited to take part, of whom 5917 returned
a nasal sample. S. aureus was identified in 946 samples and a total of 761
participants consented to primary care record review and had complete data for
the analyses. There was no evidence of an association between any antibiotic in
the previous 2 months and MRSA isolation, with an adjusted odds ratio (aOR) of
1.33 [95% confidence interval (CI) 0.12-15; P=0.8]. There was a suggestion of an
association between any antibiotic use in the previous 12 months and MRSA, with
an aOR of 2.45 (95% CI 0.95-6.3; P=0.06). In conclusion, there is a suggestion
that antibiotics prescribed within 12 months is associated with the carriage of
MRSA, but not within 2 months, although the 2-month analysis had fewer data
subjects and was therefore underpowered to detect this association. A larger
study would be able to clarify these associations further.
PMID- 22079533
TI - An antibacterial from Hypericum acmosepalum inhibits ATP-dependent MurE ligase
from Mycobacterium tuberculosis.
AB - In a project to characterise new antibacterial chemotypes from plants, hyperenone
A and hypercalin B were isolated from the hexane and chloroform extracts of the
aerial parts of Hypericum acmosepalum. The structures of both compounds were
characterised by extensive one- and two-dimensional nuclear magnetic resonance
(NMR) spectroscopy and were confirmed by mass spectrometry. Hyperenone A and
hypercalin B exhibited antibacterial activity against multidrug-resistant strains
of Staphylococcus aureus, with minimum inhibition concentration ranges of 2-128
mg/L and 0.5-128 mg/L, respectively. Hyperenone A also showed growth-inhibitory
activity against Mycobacterium tuberculosis H37Rv and Mycobacterium bovis BCG at
75 mg/L and 100mg/L. Neither hyperenone A nor hypercalin B inhibited the growth
of Escherichia coli and both were non-toxic to cultured mammalian macrophage
cells. Both compounds were tested for their ability to inhibit the ATP-dependent
MurE ligase of M. tuberculosis, a crucial enzyme in the cytoplasmic steps of
peptidoglycan biosynthesis. Hyperenone A inhibited MurE selectively, whereas
hypercalin B did not have any effect on enzyme activity.
PMID- 22079535
TI - Antibiotic resistance and an in vitro biofilm model.
PMID- 22079536
TI - Demonstration of the use of the ICF framework in detailing complex functional
deficits after major burn.
AB - Burns can result in long term impairments, activity limitations and participation
restrictions in a patients' life. The focus of current surgeries and therapy is
to improve body functions and structures. However, often this does not translate
to an improvement in activity and participation for the patient. Improvement in
activity and participation is the ultimate goal of all therapy to enhance
patient's quality of life. The incorporation of assessment measures at all levels
of the International Classification of Functioning, Disability and Health (ICF)
can assist in a holistic, patient centred approach to identify the complex
impairments that impact on activity and participation, with a view to
appropriately targeting future therapeutic interventions. This paper presents an
example case of how implementing measures at all levels of the ICF can improve
our understanding of a patient's body functions and structures, activity and
participation. A number of the outcome measures utilised in this study are novel
in the burns population, such that video footage supplements the methodology
where relevant.
PMID- 22079537
TI - Epidemiology and mortality of burned patients treated in the University Hospital
Center in Tirana, Albania: an analysis of 2337 cases during the period 1998-2008.
AB - BACKGROUND: The basis for qualitative changes concerning everyday clinical
practice are created from epidemiological studies, which not only generalize
situations but at the same time provide specific details of the country's
features; especially during periods of social transition. The aim of this study
was to present demographic and epidemiological features of severe burns treated
in the Service of Burns in UHC (University Hospital Center) in Albania and to
analyze burn mortality as an important outcome measure. METHOD: The data used was
obtained by the analysis of the medical records of 2337 patients hospitalized in
Burns Service ICU near in Tirana, Albania during 1998-2008. Statistical analysis
is done with SPSS 15 software. Descriptive analyses, inferential statistics and
Chi-square test and Kendall's tau_b are calculated. Logistic regression is used
for the prediction of death probability by two risk variables, BSA burned and
age. RESULTS: The severe burn incidence was 7 patients per 100,000 persons/year.
The overall mean estimated BSA (%) is 22.8+/-14.7. The main causes of the burn
were found to be the scalds in 61.8% of the cases followed by flame (23%),
chemicals (10.7%) and electrical injury in 4.5% of the cases. The mean hospital
period is 11.6+/-10. The overall mortality is 10.5%. Based on probability of
death, we noticed that older age and larger burn size were associated with a
higher likelihood of mortality. CONCLUSIONS: The long-term studies and the
comparison of our results with the ones of other burn centers has allowed us to
determine the actual level of care and as well as to build up contemporary
protocols in order to improve the treatment with the objection of decreasing the
mortality.
PMID- 22079538
TI - Characterisation of the cell suspension harvested from the dermal epidermal
junction using a ReCell(r) kit.
AB - BACKGROUND: The use of non-cultured autologous cells to promote wound healing and
in reconstructive procedures is increasing. One common method for preparing these
cells is the use of the ReCell((r)) device. However, despite its current clinical
use, no characterisation of the cell suspension produced using a ReCell((r))
device has been published. OBJECTIVE: To characterise the ReCell suspension that
is applied to wounds for cell type, viability, yield, stability and proliferative
potential. METHODS: The ReCell((r)) device was used to harvest cells from a 2
cm(2) piece of split-thickness skin isolated using a dermatome. The resulting
cell suspension was analysed for cell yield, cell type, viability over time,
proliferative potential and reproducibility. RESULTS: Average viable cell yield
was 1.7*10(6)/cm(2) of tissue, with 75.5% of the total cell isolate viable. Total
viable cell number was not significantly reduced after 4 h storage at 22 degrees
C or 4 degrees C, and was stable for 24 h at 4 degrees C. Proliferative potential
was assessed using a colony forming assay, with 0.3% of viable cells isolated
forming keratinocyte colonies. Predominantly the suspension contained
keratinocytes (64.3+/-28.8%) and fibroblasts (30.3+/-14.0%), with a small
population of melanocytes also identified (3.5+/-0.5%). Finally, the supernatant
contained low total protein (0.92 mg/ml) and the supernatant had no significant
effects on cell viability or growth when applied ex vivo. CONCLUSIONS: These
results suggest the ReCell((r)) device provides a method for the preparation of a
cell suspension with high viability and proliferative potential, containing
viable melanocytes and no apparent toxic cell debris. Further work on the
sustained viability of these cells in vivo, and in particular after application
to the wound, will be important to better understand the potential of the
ReCell((r)) device in the clinic.
PMID- 22079539
TI - Effect of Poloxamer 188 on deepening of deep second-degree burn wounds in the
early stage.
AB - OBJECTIVE: To discuss the effect of Poloxamer 188 (P188) on deepening of deep
second-degree burn wounds in the early stage after burn. METHODS: We divided
Wistar rats with deep second-degree burn wounds on the backs thereof into two
groups, then intravenously injected P188 for the treatment group and
intravenously injecting physiological saline for the control group, detecting the
activity of Na(+)-K(+)-adenosine triphosphatase (Na(+)-K(+)-ATPase),
myeloperoxidase (MPO) and the content of malonaldehyde (MDA) and succinic
dehydrogenase (SDH) in the burn wound, and showing the degree of necrosis in the
wound by haematoxylin-eosin (HE) and proliferating cell nuclear antigen (PCNA)
immunohistochemical staining. RESULTS: In the control group and treatment group,
the activity of SDH and Na(+)-K(+)-ATPase dropped to the lowest point 24 h after
the burn took place, and then increased gradually, but was still far lower than
the normal level at the furthest time point. At 24 h after burn, activity of SDH
and Na(+)-K(+)-ATPase in the treatment group was higher than that of the control
group (P<0.05); the activity of MPO of the control group reached the highest
point at 24 h while that of MPO of the treatment group reached the highest point
after 48 h; later, that of MPO of both groups decreased, but was still higher
than the normal level. Compared with the highest values of the activity of MPO of
both groups, that of the control group was higher than that of the treatment
group (p<0.05); the contents of MDA of both groups kept increasing after the
burn; 72 h later, that of the control group was higher than that of the treatment
group (p<0.05). HE and PCNA staining showed progressive damage of the wound in
the treatment group, which was decreased with treatment, particularly at the
early stages. CONCLUSION: Systemic application of P188 on deep second-degree burn
wounds at the early stage may alleviate wound deepening, whose mechanism may be
related to timely sealing up the damaged cell membrane and inhibiting the
inflammatory reaction.
PMID- 22079540
TI - Analysis of IL-6, IL-10 and IL-17 genetic polymorphisms as risk factors for
sepsis development in burned patients.
AB - Infection risk, sepsis and mortality after severe burn are primarily determined
by patient age, burn size, and depth. Whether genetic differences contribute to
otherwise unexpected variability in outcomes is unknown. We sought to determine
whether there was an association between IL-6, IL-10 and IL-17 polymorphisms with
cytokine production and development of sepsis. We evaluated 71 patients with
burns >=15% TBSA and 109 healthy subjects. The genotypes of IL-6 (-174C/G), IL-10
(-819C/T and -1082A/G) and IL-17 (7488T/C) polymorphisms were identified applying
polymerase chain reaction protocols. The cytokine levels in serum were determined
with enzyme-linked immunoabsorbent assays. Our results demonstrated no
significant differences in the genotype frequencies studied between burn patients
and healthy subjects. No significant associations were found among IL-6 and IL
17F genotypes and the related cytokine serum levels. Only IL-10 promoter -1082GG
genotype was related to an increased IL-10 production in burned patients. In
addition, septic subjects bearing -1082G/G genotype have shown the highest and
non-septic bearing -1082A/* genotypes the lowest IL-10 serum levels. All together
these data seem to indicate that genetically determined individual difference in
IL-10 production might influence the susceptibility to septic complications in
burned patients and suggest that these markers might be useful in burned patient
management.
PMID- 22079541
TI - The protective role of ascorbic acid in burn-induced testicular damage in rats.
AB - OBJECTIVE: To investigate the ability of ascorbic acid to protect the testes from
damage in severe burns. DESIGN: Experimental study. SETTING: University of Lagos
Medical School, Department of Anatomy. ANIMALS: 28 adult male Wistar rats (250
300 g). INTERVENTION: Third degree burn was induced on 40% body surface area of
rats and they were given ascorbic acid at 4 mg/kg over 8 weeks. MAIN OUTCOME
MEASURED: Weight of reproductive organs and epididymal sperm parameters were
measured. Oxidative status was assayed and a semi-quantitative assessment of
histologic changes was also carried out. RESULTS: Burn caused severe seminiferous
tubular damage, especially germ cell loss (p<0.05). This was matched by
significant reduction in sperm density and morphology (p<0.05). Burn also
increased oxidative stress, with elevated malondialdehyde (MDA) levels (p<0.01)
and changes in catalase and superoxide dismutase enzyme levels. Ascorbic acid
prevented the changes in all sperm parameters. It normalized MDA levels (p<0.01)
and attenuated changes in the levels of catalase and superoxide dismutase.
Ascorbic acid treatment also significantly reduced histologic damage to
seminiferous tubules. CONCLUSION: This study shows that severe thermal injury
causes significant testicular damage and impairs spermatogenesis. It also shows
that ascorbic acid protects the testis from such damage and therefore has the
potential to be a useful adjunct therapy during treatment of young males with
severe burns.
PMID- 22079542
TI - Effects of Ligustrazine on pancreatic and renal damage after scald injury.
AB - Organ protection is a routine therapeutic application to severe burn/scald
injuries, and organic damage following early scald injury is not absolutely
elucidated. Our aim is to verify the good effects of Ligustrazine on pancreatic
and renal damage associated with early scald injury. A total of 120 Lewis rats
subjected to 30% total body surface area (TBSA) scald injury, were randomly
divided into simple scald group (S group) and Ligustrazine treated group (L
group). Both pancreatic and renal malondialdehyde (MDA) level and superoxide
dismutase (SOD) were determined. Serum amylase, serum creatinine (Scr) and blood
urea nitrogen (BUN) were identified as well as examining the kidneys
histologically with Immunohistochemistry (IHC) for major histocompatability
complex class I chain-related antigen A (MICA) and Bcl-2 at 0, 1, 6, 12, 18, 24,
48 and 72 h after scald. Ligustrazine decreased MDA levels and ameliorated the
downregulation of SOD activity. MICA was up-regulated after scald, and the up
regulation could be greatly diminished by Ligustrazine. Bcl-2 was up-regulated
after scald, especially in the L group. From 24 to 72 h, in comparison with the L
group, higher levels of BUN, Scr and serum amylase were observered in the S
group, which were also higher than the common upper limits. Therefore, our
results demonstrated potential pancreatic and renal damage associated with
autoimmunity and oxidant attack occurred following early scald injury.
Ligustrazine exhibits significant protective effects.
PMID- 22079543
TI - Burn patients' experience of pain management: a qualitative study.
AB - Pain is a major problem after burns and researchers continue to report that pain
from burns remains undertreated. The inadequate pain control results in adverse
sequalae physically and psychologically in the burn victims. A better
understanding of a burn patient's experience is important in identifying the
factors responsible for undertreated pain and establishing effective pain
management guidelines or recommendation in the practice of pain relief for burn
injuries. This study sought to explore and describe the experience that patients
have about pain related to burn-injury during hospitalization. Semi-structured
interviews were conducted on eight patients with moderate to severe pain from
burn injuries recruited from a Burn Centre in Northwest China. Data was collected
by in-depth interviews and qualitative description after full transcription of
each interview. Analysis involved the identification of themes and the
development of a taxonomy of patients' experience of burn pain and its
management. Three themes were indentified: (1) patients' experience of pain
control, (2) patients' perception on burn pain management, and (3) patients'
expectation of burn pain management. Findings from this study suggested that
patients experience uncontrolled pain both physically and psychologically which
may serve as an alert for awareness of health professionals to recognize and
establish a multidisciplinary pain management team for burn victims, including
surgeons, critical care specialists, anesthesiologists, nurses, psychologists,
and social workers to accomplish safe and effective strategies for pain control
to reach an optimal level of pain management in burn patients. It also provides
insights and suggestions for future research directions to address this
significant clinical problem.
PMID- 22079545
TI - Identification and functional characterization of indole-3-acetamide-mediated IAA
biosynthesis in plant-associated Fusarium species.
AB - The plant hormone indole-3-acetic acid (IAA) can be synthesized from tryptophan
via the intermediate indole-3-acetamide (IAM). The two genes, IaaM (encoding
tryptophan monooxygenase) and IaaH (encoding indole-3-acetamide hydrolase) that
constitute the IAM pathway have been described in plant-associated bacteria. We
have identified putative homologs of the bacterial IaaM and IaaH genes in four
Fusarium species -Fusarium proliferatum, Fusarium verticillioides, Fusarium
fujikuroi, and Fusarium oxysporum. In all four species the two genes are
organized next to each other in a head to head orientation and are separated by a
short non-coding region. However, the pathway is fully functional only in the
orchid endophytic strain F. proliferatum ET1, which produces significant amounts
of IAM and IAA. Minor amounts of IAM are produced by the corn pathogen F.
verticillioides strain 149, while in the two other species, the rice pathogen F.
fujikuroi strain m567 and the tomato pathogen F. oxysporum f. sp. lycopersici
strain 42-87 the IAM pathway is inactive. Deletion of the entire gene locus in F.
proliferatum ET1 resulted in drastic reduction of IAA production. Conversely,
transgenic strains of F. fujikuroi over-expressing the F. proliferatum IAM genes
produced elevated levels of both IAM and IAA. Analysis of the intergenic promoter
region in F. proliferatum showed that transcriptional activation in direction of
the IaaH gene is about 3-fold stronger than in direction of the IaaM gene. The
regulation of the IAM genes and the limiting factors of IAA production via the
IAM pathway are discussed.
PMID- 22079546
TI - Prevalence of specific and phylogenetically closely related genotypes in the
population of Candida albicans associated with genital candidiasis in China.
AB - Genitourinary candidiasis, which is most frequently caused by Candida albicans,
is a common problem worldwide. The pathogenesis of the infection, especially
recurrence of the infection, remains to be elucidated. This study analyzed 199
independent Chinese C. albicans isolates using multilocus sequence typing (MLST)
and microsatellite typing, with the focus on the isolates associated with
vulvovaginal candidiasis (VVC) of Chinese women. MLST data of 221 vaginal
isolates from other countries available from the consensus MLST database of C.
albicans were retrieved for comparison. A total of 124 diploid sequence types
(DSTs) were recognized from the Chinese C. albicans isolates, among which, 98
(79.0%) have not been reported in the MLST database of the species. The majority
of the VVC (71.6%) and balanitis (92.3%) isolates from China were located in
clade 1 of C. albicans; while only 40.6% of the vaginal isolates and 7.8% of the
oral isolates from healthy volunteers were found in the same clade. Furthermore,
69.1% of the VVC and 84.5% of the balanitis isolates concentrated in a cluster of
clade 1 with DST 79 as the primary founder. The isolates in this cluster
possessed microsatellite genotypes CAI 30-45, CAI 32-46 and their close
derivatives. Interestingly, a remarkable difference in genotype distribution
patterns between Chinese and non-Chinese vaginal isolates of C. albicans was
observed. Only 11.3% of the non-Chinese vaginal isolates compared were located in
the cluster concentrated with Chinese VVC isolates. The results suggest
significant association of specific and genetically similar genotypes with
genital infections in China.
PMID- 22079548
TI - Proteins: histones and chromatin.
PMID- 22079544
TI - Update on Wnt signaling in bone cell biology and bone disease.
AB - For more than a decade, Wnt signaling pathways have been the focus of intense
research activity in bone biology laboratories because of their importance in
skeletal development, bone mass maintenance, and therapeutic potential for
regenerative medicine. It is evident that even subtle alterations in the
intensity, amplitude, location, and duration of Wnt signaling pathways affects
skeletal development, as well as bone remodeling, regeneration, and repair during
a lifespan. Here we review recent advances and discrepancies in how Wnt/Lrp5
signaling regulates osteoblasts and osteocytes, introduce new players in Wnt
signaling pathways that have important roles in bone development, discuss
emerging areas such as the role of Wnt signaling in osteoclastogenesis, and
summarize progress made in translating basic studies to clinical therapeutics and
diagnostics centered around inhibiting Wnt pathway antagonists, such as
sclerostin, Dkk1 and Sfrp1. Emphasis is placed on the plethora of genetic studies
in mouse models and genome wide association studies that reveal the requirement
for and crucial roles of Wnt pathway components during skeletal development and
disease.
PMID- 22079549
TI - Age-related changes in endothelial function and blood flow regulation.
AB - Vascular endothelial dysfunction is regarded as a primary phenotypic expression
of normal human aging. This senescence-induced disorder is the likely culprit
underlying the increased cardiovascular and metabolic disease risks associated
with aging. The rate of this age-dependent deterioration is largely influenced by
the poor-quality lifestyle choice, such as smoking, sedentary daily life, chronic
alcohol ingestion, high salt intake, unbalanced diet, and mental stress; and it
is accelerated by cardiovascular and metabolic diseases. Although minimizing
these detrimental factors is the best course of action, nonetheless chronological
age steadily impairs endothelial function through reduced endothelial nitric
oxide synthase (eNOS) expression/action, accelerated nitric oxide (NO)
degradation, increased phosphodiesterase activity, inhibition of NOS activity by
endogenous NOS inhibitors, increased production of reactive oxygen species,
inflammatory reactions, decreased endothelial progenitor cell number and
function, and impaired telomerase activity or telomere shortening. Endothelial
dysfunction in regional vasculatures results in cerebral hypoperfusion triggering
cognitive dysfunction and Alzheimer's disease, coronary artery insufficiency,
penile erectile dysfunction, and circulatory failures in other organs and
tissues. Possible prophylactic measures to minimize age-related endothelial
dysfunction are also summarized in this review.
PMID- 22079550
TI - Species identification in the taxonomically neglected, highly diverse,
neotropical parasitoid wasp genus Notiospathius (Braconidae: Doryctinae) based on
an integrative molecular and morphological approach.
AB - Various DNA sequence-based methods for species delineation have recently been
developed to assess the species-richness of highly diverse, neglected
invertebrate taxa. These methods, however, need to be tested under a variety of
conditions, including the use of different markers and parameters. Here, we
explored the species diversity of a species-rich group of braconid parasitoid
wasps, the Neotropical genus Notiospathius, including 233 specimens from 10
different countries. We examined sequences of two mitochondrial (mt) (COI, cyt b)
and one nuclear (wg) gene fragments. We analysed them separately as well as
concatenating the mt data with the general mixed Yule-coalescent (GMYC) model for
species delineation using different tree-building methods and parameters for
reconstructing ultrametric trees. We evaluated the performance of GMYC analyses
by comparing their species delineations with our morphospecies identifications.
Reconstructing ultrametric trees with a relaxed lognormal clock rate using the
program BEAST gave the most congruent results with morphology for the two mt
markers. A tree obtained with wg using the programs MrBayes+Pathd8 had the fewest
cases of incongruence with morphology, though the performance of this nuclear
marker was considerably lower than that of COI and cyt b. Species delimitation
using the coalescent prior to obtain ultrametric trees was morphologically more
congruent with COI, whereas the Yule prior was more congruent with cyt b. The
analyses concatenating the mt datasets failed to recover some species supported
both by morphology and the separate analyses of the mt markers. The highest
morphological congruence was obtained with the GMYC analysis on an ultrametric
tree reconstructed with cyt b using the relaxed lognormal clock rate and the Yule
prior, thus supporting the importance of using alternative markers when the
information of the barcoding locus (COI) is not concordant with morphological
evidence. Seventy-one species were delimited based on the congruence found among
COI, cyt b and morphology. Both mt markers also revealed the existence of seven
potential cryptic species. This high species richness from a scattered
geographical sampling indicates that there is a remarkable number of
Notiospathius species that remains undiscovered.
PMID- 22079551
TI - Phylogenetic and functional analyses of the cytochrome P450 family 4.
AB - Cytochrome P450 family 4 (CYP4) proteins metabolize fatty acids, eicosanoids, and
vitamin D and are important for chemical defense. The purpose of this study was
to determine the evolutionary relationships between vertebrate CYP4 subfamilies
and raise functional hypotheses regarding CYP4 subfamilies with little empirical
data. 132 CYP4 sequences from 28 species were utilized for phylogenetic
reconstructions by maximum likelihood and Bayesian inference. Monophyly was not
found with the CYP4T and CYP4B subfamilies. CYP4V clustered with invertebrate
subfamilies. Evolutionary rates of functional divergence were high in pairwise
comparison with CYP4X yet, comparisons with mammalian CYP4F22 genes generally had
no statistically significant divergence. Radical biochemical changes were
detected in regions associated with substrate binding and the active site in
comparisons among the CYP4A, CYP4X, and CYP4B subfamilies. Lastly, gene
expression patterns, determined in silico with EST libraries from human, chicken,
frog and fish, for CYP4V was markedly different between human and actinopterygian
species. Further consideration should be given to the nomenclature of the CYP4T
and CYP4B subfamily genes. Strong support was seen for the placement of CYP4A as
a basal subfamily to CYP4X and CYP4Z. The B, B', J', K', K" helices and a region
at the end of C-terminus were suggested as conserved regions in CYP4 genes. The
function of CYP4X was hypothesized to specialize in metabolism of long chain
fatty acids. CYP4F22 genes may share a similar function to other CYP4F genes,
although gene expression sites were different.
PMID- 22079552
TI - Molecular phylogeny of hipposiderid bats from Southeast Asia and evidence of
cryptic diversity.
AB - Old World leaf-nosed bats (Hipposideridae) are among the most widespread and
ecologically diverse groups of insectivorous bats in the Old World tropics.
However, phylogenetic relationships in Hipposideridae are poorly resolved at both
the generic and species levels, and deep genetic divergence within several
Southeast Asian species suggests that current taxonomy underestimates
hipposiderid diversity in this region. We used mitochondrial and nuclear sequence
data to conduct the first extensive molecular phylogenetic analysis of Southeast
Asian hipposiderid bats. Inclusion of multiple samples per taxon allowed testing
for evidence of evolutionarily distinct lineages within taxa currently defined as
single species. In contrast to earlier phylogenies based on morphometrics,
molecular data support monophyly of Hipposideros, but are ambiguous regarding the
monophyly of Hipposideridae. With a few exceptions, molecular data also support
currently recognized species groups classified by qualitative morphological
characters. Widespread paraphyly and polyphyly within many currently recognized
species of Hipposideros indicates that evolutionary diversity in the genus is
underrepresented by current nomenclature. Comparison of available morphological
and echolocation data suggest that both geographic isolation and ecological
selection have contributed to the diversification of Southeast Asian hipposiderid
bats.
PMID- 22079553
TI - Failure to eradicate the lungworm Dictyocaulus viviparus on dairy farms by a
single mass-treatment before turnout.
AB - On two dairy farms it was attempted to eradicate lungworm, Dictyocaulus
viviparus, by means of a single mass-treatment of all cattle that had been grazed
the previous year(s), before turnout in the spring. Both farms experienced two
years of lungworm outbreaks in the adult dairy herd prior to this study.
Following confirmation that both herds contained lungworm carriers, all animals
older than approximately 6 months were treated with eprinomectin in March 2007.
One week after treatment none of the animals were shedding lungworm larvae.
Subsequently, animals were pastured according to normal farm routine. From August
to November all first-calving heifers were coprologically and serologically
monitored for lungworm infection. During 2007 both farms remained lungworm
negative and did not report any clinical sign indicative for a lungworm
infection. The following year, on one of the farms replacements grazing on cow
pastures, started showing signs of parasitic bronchitis which was serologically
confirmed. The other herd remained free of parasitic bronchitis until at least
the fourth year after the mass treatment, although some coughing was noticed in
2008 among first-lactation heifers. It was concluded that a single mass-treatment
before the grazing season may be useful to break a series of annual lungworm
outbreaks. However, it is not a secure method to prevent parasitic bronchitis for
more than one year.
PMID- 22079554
TI - Racial differences in sudden cardiac death among hypertensive patients during
antihypertensive therapy: the LIFE study.
AB - BACKGROUND: In the general population, blacks appear to have a higher risk of
sudden cardiac death (SCD). OBJECTIVES: To determine whether black hypertensive
patients have a higher SCD incidence. METHODS: The incidence of SCD was examined
in 533 black and 8660 nonblack hypertensive patients with electrocardiographic
left ventricular hypertrophy randomly assigned to losartan- or atenolol-based
treatment. RESULTS: During a mean follow-up of 4.8 +/- 0.9 years, SCD occurred in
178 patients (1.9%); 5-year SCD incidence was significantly higher in black than
in nonblack patients (3.9% vs 1.9%; P = .007). In univariate Cox analyses, black
patients had a 97% higher risk of SCD (hazard ratio 1.97; 95% confidence interval
1.19-3.25; P = .015). In multivariate Cox analyses adjusting for randomized
treatment, age, sex, body mass index, diabetes, history of heart failure, atrial
fibrillation, myocardial infarction, ischemic heart disease, stroke, peripheral
vascular disease, smoking, serum total and high-density lipoprotein cholesterol
level, creatinine level, glucose level, and urine albumin/creatinine ratio and
for incident myocardial infarction, in-treatment heart rate, QRS duration,
diastolic and systolic pressure, Cornell voltage-duration product, and Sokolow
Lyon voltage left ventricular hypertrophy treated as time-varying covariates,
black race remained associated with a 98% increased risk of SCD (hazard ratio
1.98; 95% confidence interval 1.12-3.59; P = .020). CONCLUSIONS: Black
hypertensive patients are at increased risk of SCD. The higher risk of SCD in
black patients persists after adjusting for the higher prevalence of risk factors
in black patients, in-treatment blood pressure, and the established predictive
value of in-treatment electrocardiographic left ventricular hypertrophy and heart
rate for SCD in this population.
PMID- 22079555
TI - Antitachycardia pacing or shock therapy-trick or treat?
PMID- 22079556
TI - Shock-induced focal arrhythmias: not driven by calcium?
PMID- 22079557
TI - Prospective evaluation of electrocardiographic parameters in cardiac
resynchronization therapy: detecting nonresponders by left ventricular pacing.
AB - BACKGROUND AND OBJECTIVE: The purpose of this prospective evaluation of
electrocardiographic (ECG) parameters was to identify predictive parameters for
cardiac resynchronization therapy (CRT) response. METHODS: One hundred two
patients undergoing first CRT implantation were evaluated prospectively.
Symptomatic response was defined as improvement in New York Heart Association
functional class of at least 1 class within 3-month follow-up. Twelve-lead ECG of
the intrinsic rhythm during biventricular (BIV), right ventricular (RV), and left
ventricular (LV) pacing was obtained and analyzed in terms of QRS width and QRS
axis (Datinf Measure Software, Datinf GmbH, Tubingen, Germany). In total, 77.5%
(n = 79) of patients fulfilled the predefined clinical criterion for response.
Patients with dilated cardiomyopathy were more likely to respond to CRT than were
patients with ischemic cardiomyopathy (85% vs 71.8%, P = .034). A shorter QRS
duration during LV pacing and, in particular, a shorter LV paced than RV paced
QRS width were strong and independent predictors for response (-20.13 +/- 33.2 ms
in responders vs 6.05 +/- 27.3 ms in nonresponders, P = .001). No statistically
significant differences were found in RV and BIV paced QRS width or in QRS axis
(P >.5). CONCLUSION: This study describes novel and easily obtainable ECG
measurements that can be performed during LV lead positioning to optimize
clinical outcome of CRT in heart failure patients.
PMID- 22079558
TI - Full report from the first annual Heart Rhythm Society Research Forum: a vision
for our research future, "dream, discover, develop, deliver".
PMID- 22079559
TI - Repeated assessment of orthotopic glioma pO(2) by multi-site EPR oximetry: a
technique with the potential to guide therapeutic optimization by repeated
measurements of oxygen.
AB - Tumor hypoxia plays a vital role in therapeutic resistance. Consequently,
measurements of tumor pO(2) could be used to optimize the outcome of oxygen
dependent therapies, such as, chemoradiation. However, the potential
optimizations are restricted by the lack of methods to repeatedly and
quantitatively assess tumor pO(2) during therapies, particularly in gliomas. We
describe the procedures for repeated measurements of orthotopic glioma pO(2) by
multi-site electron paramagnetic resonance (EPR) oximetry. This oximetry approach
provides simultaneous measurements of pO(2) at more than one site in the glioma
and contralateral cerebral tissue. The pO(2) of intracerebral 9L, C6, F98 and
U251 tumors, as well as contralateral brain, were measured repeatedly for five
consecutive days. The 9L glioma was well oxygenated with pO(2) of 27-36 mm Hg,
while C6, F98 and U251 glioma were hypoxic with pO(2) of 7-12mm Hg. The potential
of multi-site EPR oximetry to assess temporal changes in tissue pO(2) was
investigated in rats breathing 100% O(2). A significant increase in F98 tumor and
contralateral brain pO(2) was observed on day 1 and day 2, however, glioma
oxygenation declined on subsequent days. In conclusion, EPR oximetry provides the
capability to repeatedly assess temporal changes in orthotopic glioma pO(2). This
information could be used to test and optimize the methods being developed to
modulate tumor hypoxia. Furthermore, EPR oximetry could be potentially used to
enhance the outcome of chemoradiation by scheduling treatments at times of
increase in glioma pO(2).
PMID- 22079560
TI - [New insights in oncology: epigenetics and cancer stem cells].
AB - Cancer is a multi-etiologic, multistage disease with a prevalent genetic
component, which happens when a large number of genes, critical for cell growth,
death, differentiation, migration, and metabolic plasticity are altered
irreversibly, so as to either "gain" (oncogenes) or "lose" (tumour suppressors)
their function. Recent discoveries have revealed the previously underestimated
etiologic importance of multiple epigenetic, that is to say, reversible factors
(histone modifications, DNA methylation, non-coding RNA) involved in the
transcriptional and post-transcriptional regulation of proteins, indispensable
for the control of cancerous phenotype. Stable alterations of epigenetic
machinery ("epimutations") turn out to play a critical role at different steps of
carcinogenesis. In addition, due to substantial recent progress in stem cell
biology, the new concept of cancer stem cells has emerged. This, along with newly
discovered epigenetic cancer mechanisms, gives rise to a hope to overcome radio-
and chemo-resistance and to eradicate otherwise incurable neoplasms.
PMID- 22079561
TI - tPA in the injured central nervous system: different scenarios starring the same
actor?
AB - When in 1947, Astrup and Permin reported that animal tissues contain
fibrinokinase, a plasminogen activator, and when Pennica and colleagues (Pennica
et al., 1983) cloned and expressed human tissue plasminogen activator (tPA) in
Escherichia coli in 1983, they might did not realize how much their pioneer work
would impact the life of millions of patients suffering from myocardial
infarction or ischemic stroke. Some years after, accumulating evidence shows that
tPA is not just a plasminogen activator of endothelial origin. Indeed, the main
function of tPA released from the endothelium is to convert fibrin-bound
plasminogen into active plasmin, thus dissolving the fibrin meshwork of blood
clots. But this serine protease is also expressed by several cell types, and its
beneficial and deleterious actions stand beyond fibrinolysis or even proteolysis.
We will review here the reported effects and mechanisms of action of tPA in the
course of three different pathologies of the central nervous system (CNS): spinal
cord injury, ischemic stroke and multiple sclerosis. While these three disorders
have distinct aetiologies, they share some pathogenic mechanisms. We will depict
the main "good" and "bad" sides of tPA described to date during each of these
pathological situations, as well as the proposed mechanisms explaining these
effects. We speculate that due to common pathogenic pathways, tPA's actions
described in one particular disease could in fact occur in the others. Finally,
we will evaluate if tPA could be a therapeutic target for these pathologies. This
article is part of a Special Issue entitled 'Post-Traumatic Stress Disorder'.
PMID- 22079562
TI - Determinants of neurologic deterioration and stroke-free survival after
spontaneous cervicocranial dissections: a multicenter study.
AB - Patients with spontaneous cervicocranial dissection (SCCD) may experience new or
recurrent ischemic events despite antiplatelet or anticoagulant therapy.
Treatment with stent placement is an available option; however, the literature on
patient selection is limited. Thus, identifying patients at high risk for
neurologic deterioration after SCCD is of critical importance. The present study
examined the rate of neurologic deterioration in medically treated patients with
SCCD and evaluated demographic, clinical, and radiologic factors affecting this
deterioration. We retrospectively identified consecutive patients with SCCD over
a 7-year period from 3 medical institutions, and evaluated the relationships
between demographic data, clinical characteristics, and angiographical findings
and subsequent neurologic outcomes. Neurologic deterioration was defined as
transient ischemic attack (TIA), ischemic stroke, or death occurring during
hospitalization or within 1 year of diagnosis. Kaplan-Meier curves were used to
determine neurologic event-free survival up to 12 months. A total of 69 patients
(mean age, 47.8 +/- 14 years; 45 males) with SCCD were included in the study.
Eleven patients (16%) experienced in-hospital neurologic deterioration (TIA in 9,
ischemic stroke in 1) or death (1 patient). An additional 8 patients developed
neurologic deterioration within 1 year after discharge (TIA in 5, ischemic stroke
in 2, and death in 1). The overall 1-year event-free survival rate was 72%. Women
(P = .046), patients with involvement of both vertebral arteries (P = .02), and
those with intracranial arterial involvement (P = .018) had significantly higher
rates of neurologic deterioration. Our findings indicate that neurologic
deterioration is relatively common after SCCD despite medical treatment in women,
patients with bilateral vertebral artery involvement, and those with intracranial
vessel involvement.
PMID- 22079563
TI - Inhibition of hypoxia-induced [(3)H]glycine release from chicken retina by the
glycine transporter type-1 (GlyT-1) inhibitors NFPS and Org-24461.
AB - Chicken posterior eyecup lined by the retina were prepared, loaded with
[(3)H]glycine and superfused in order to determine its release in various
experimental conditions. Electrical field stimulation of the retina evoked
[(3)H]glycine release with a voltage- and frequency-dependent manner and this
release may be originated from glycinergic amacrine cell processes of the inner
plexiform layer of the retina. Glycine released from an abundance of different
amacrine cells may modulate retinal circuitry by activation of inhibitory glycine
receptors and by acting as a coagonist on N-methyl-d-aspartate receptors on AII
amacrine cells and retinal ganglion cells. The latter effect of glycine may be
modulated by glycine transporter type-1. Cells with glycine transporter type-1
immunopositive staining were visualized in the inner nuclear layer and dens
immunolabeling was also detected throughout the inner plexiform layer of chicken
retina. Glycine and the substrate-type glycine transporter type-1 inhibitor
sarcosine increased [(3)H]glycine release from glycinergic amacrine cells and/or
glial cells by extrusion of glycine from cytoplasmic pools by homo- and
heteroexchange mechanisms. Deprivation of oxygen and glucose from the buffer used
for superfusion evoked a marked increase in [(3)H]glycine efflux, an effect
probably due to reverse mode operation of glycine transporter type-1. The non
transportable glycine transporter type-1 inhibitors NFPS and Org-24461, which did
not alter [(3)H]glycine efflux from isolated chicken retina by themselves in
normoxic condition, inhibited oxygen and glucose deprivation-induced
[(3)H]glycine release. It is concluded that reduction of the N-methyl-d-aspartate
receptor coagonist glycine concentrations in hypoxic conditions by glycine
transporter type-1 inhibitors may decrease N-methyl-d-aspartate receptor-mediated
neuronal toxicity and cell death in retinal tissue.
PMID- 22079564
TI - Characterization of daptomycin oligomerization with perylene excimer
fluorescence: stoichiometric binding of phosphatidylglycerol triggers oligomer
formation.
AB - Daptomycin is a lipopeptide antibiotic that binds to and depolarizes bacterial
cell membranes. Its antibacterial activity requires calcium and correlates with
the content of phosphatidylglycerol in the target membrane. Daptomycin has been
shown to form oligomers on liposome membranes. We here use perylene excimer
fluorescence to further characterize the membrane-associated oligomer. To this
end, the N-terminal fatty acyl chain was replaced with perylene-butanoic acid.
The perylene derivative retains one third of the antibacterial activity of native
daptomycin. On liposomes containing phosphatidylcholine and phosphatidylglycerol,
as well as on Bacillus subtilis cells, the perylene-labeled daptomycin forms
excimers, which shows that the N-terminal acyl chains of neighboring oligomer
subunits are in immediate contact with one another. In a lipid bicelle system,
oligomer formation can be titrated with stoichiometric amounts of
phosphatidylglycerol. Therefore, the interaction of daptomycin with a single
molecule of phosphatidylglycerol is sufficient to trigger daptomycin
oligomerization.
PMID- 22079565
TI - Comparison of sensory recovery at the subfascial and suprafascial donor sites of
the free radial flap.
AB - The radial flap may be raised using a subfascial or suprafascial approach. The
latter donor site is associated with fewer healing complications. We
retrospectively evaluated the quality of sensory recovery within two comparable
groups of 30 patients with subfascial and suprafascial donor sites. When
considering the two groups, two-point discrimination was the modality most
commonly reduced, with 97% of patients in both groups having reduced sensation in
at least one anatomical zone. Sensation of sharp touch was most often lost; 90%
in the subfascial and 83% in the suprafascial groups lost sensation in at least
one anatomical zone. Roughly half the patients had reduced perception of light
touch (43% and 50%), whilst perception of heat (27% and 17%) and cold (33% and
27%) were lost least often. At least one modality in at least one anatomical zone
was lost or reduced in all patients, and roughly two-thirds (73% and 63%) had a
reduction in 3 or more. The only significant difference between the donor and non
donor arms was reduced perception of sharp touch in the anterior forearm in both
groups (p<0.001). Perception at the two sites (including the anatomical snuff
box) was similar except for superior thenar palmar light touch (p=0.015) in the
suprafascial group, which may indicate injury to the thenar cutaneous sensory
branches during subfascial dissection.
PMID- 22079566
TI - Distraction osteogenesis after irradiation in rabbit mandibles.
AB - The aim of this study was to investigate the influence of irradiation on the
formation of bone after distraction osteogenesis in rabbit mandibles. Sixteen
rabbits were randomly divided into 3 groups: one was given 50Gy (n=6), one was
given 60Gy (n=6), and one acted as a control group (n=4). One month after
irradiation, the distractors were inserted. The control group was not irradiated.
After a latency period of 8 days, distraction was activated at a rate of 0.4mm
twice a day. The mandibles were harvested 6 weeks after consolidation. The
specimens and histological examination showed good formation of bone.
Histological slides stained with haematoxylin and eosin confirmed that the
regeneration was bone. The bony trabeculae of the control group were much better
than those of the irradiated groups. However, the nuclei of osteocytes were round
and the osteoblasts around the trabeculae were columnar or cubic in shape in the
irradiated groups. Osteoid was present in the dense fibrous connective tissue.
There were significant differences in the surface:volume ratio of areas of bony
trabeculae between the control and both experimental groups (p=0.010 and
p=0.001), but there was no significant difference between the 50Gy and 60Gy
groups. The results suggested that preoperative radiation prevented optimal
regeneration of bone. However, the microscopic appearance of osteocytes and
osteoblasts and the osteoid in the dense fibrous connective tissue in both
irradiated groups showed that osteogenesis was still active and in progress.
These findings may indicate that bone formation had only been delayed. The
evidence was similar for both 50Gy and 60Gy.
PMID- 22079567
TI - Evaluation of cyclooxygenase-2 expression in canine mast cell tumours.
AB - Mast cell tumours (MCTs) are among the most common cutaneous neoplasms in dogs
and have a highly variable clinical behaviour. Cyclooxygenase (Cox) catalyzes the
rate-limiting step in prostanoid biosynthesis and has recently gained attention
as a prognostic factor and therapeutic target in human and animal oncology. In
order to evaluate the potential value of non-steroidal anti-inflammatory drugs
(NSAIDs) in the treatment of canine MCTs, expression of Cox-2 was determined in
49 such tumours (14 of grade I, nine of grade II and 22 of grade III). Cox-2 was
expressed by 86% of the tumours studied. The percentage of labelled cells ranged
from isolated positive cells throughout the tumour (n=8) to localized foci of
labelled cells (n=3) or diffuse labelling of >50% of the cells (n=31). The
intensity of Cox-2 labelling ranged from weak (n=4) to moderate (n=16) and strong
(n=22) and was greatest at the advancing margin of the tumour. The intensity of
Cox-2 labelling was significantly different between the three histological groups
(P=0.018). However, no significant differences were noted for the percentage of
Cox-2 positive cells (P=0.122) and for the immunoreactivity score (P=0.348)
between the histological grades. The results of this study suggest that NSAIDs,
particularly Cox-2 inhibitors, may be of value in the treatment of canine MCTs.
PMID- 22079568
TI - StackTIS: a stacked generalization approach for effective prediction of
translation initiation sites.
AB - The prediction of the translation initiation site in an mRNA or cDNA sequence is
an essential step in gene prediction and an open research problem in
bioinformatics. Although recent approaches perform well, more effective and
reliable methodologies are solicited. We developed an adaptable data mining
method, called StackTIS, which is modular and consists of three prediction
components that are combined into a meta-classification system, using stacked
generalization, in a highly effective framework. We performed extensive
experiments on sequences of two diverse eukaryotic organisms (Homo sapiens and
Oryza sativa), indicating that StackTIS achieves statistically significant
improvement in performance.
PMID- 22079569
TI - Cyclin-dependent kinases 5 template: useful for virtual screening.
AB - The present study reports the development of a template for the active binding
site of Cdk5 for structure-based drug design. The developed template of Cdk5 was
validated by redocking with ligands I (PBD code 1UNG), II (PBD code 1UNL) and III
(PBD code 1UNH). The results demonstrate a good match of the docked and the
crystallographic binding orientations with RMSD less than 2.0A. The validation
results show that the constructed Cdk5 template is a good model system for
predicting ligand binding orientations and binding affinities. Furthermore, the
developed template was applied to predict binding mode and binding affinity of
thirty-six known Cdk5 inhibitors. The results showed that the binding energy of
almost Cdk5 inhibitors related to their biological evaluation.
PMID- 22079570
TI - Clinical expectations: what facilitators expect from ESL students on clinical
placement.
AB - Many nursing students for whom English is a second language (ESL) face challenges
related to communication on clinical placement and although clinical facilitators
are not usually trained language assessors, they are often in a position of
needing to assess ESL students' clinical language performance. Little is known,
however, about the particular areas of clinical performance facilitators focus on
when they are assessing ESL students. This paper discusses the results of a study
of facilitators' written assessment comments about the clinical performance of a
small group of ESL nursing students over a two and a half year period. These
comments were documented on students' clinical assessment forms at the end of
each placement. The results provide a more detailed insight into facilitators'
expectations of students' language performance and the particular challenges
faced by ESL students and indicate that facilitators have clear expectations of
ESL students regarding communication, learning styles and professional demeanour.
These findings may help both ESL students and their facilitators better prepare
for clinical placement.
PMID- 22079571
TI - Ultrastructural characterization of rat neurons in primary culture.
AB - Few studies have addressed the ultrastructure and morphology of neurons in
primary pure culture. We therefore use immunohistochemistry and electron
microscopy to investigate the ultrastructure of cultured neurons during extended
incubation in vitro. Rat cerebral cortex neurons were cultured in NeurobasalTM
medium. Adherent cells developed as networks of single neurons or clusters
depending on the plating density. Almost all surviving cells were neurons as
demonstrated by neurofilament immunolabeling. The number of cultured neurons
increased substantially to 14-21 days in vitro (DIV) and then plateaued and
subsequently declined. From DIV 1-10 neurons extended large neurites, followed by
the development of fine and dense neurites, and neurones survived until DIV 30
50. Notably, numerous mitochondria were observed along fibrous elements within
neurites, suggestive of active intracellular trafficking. Electron microscopy
also revealed that multiple types of synapses were formed between neurons. These
ultrastructural results confirm previous reports of electrophysiological activity
in cultured neurons. However many neurons contained distorted mitochondria and
abnormal organelles including multilamellar vesicles and multivesicular myeloid
bodies. The proportion of neurons containing abnormal organelles increased
significantly in culture medium supplemented with antibiotics. On long-term
culture neuronal death and apoptotic nuclei were observed. Despite the presence
of abnormal organelles, the ultrastructure of cultured neurons was very similar
to that of in vivo neurons; in vitro culture therefore provides a useful tool for
studies on neuronal development, aging, and neurotransmission.
PMID- 22079572
TI - Meta-analysis of microarray gene expression studies on intracranial aneurysms.
AB - The rupture of intracranial aneurysms (IAs) is one of the most devastating
neurological conditions known to date. Although treatment has changed
dramatically throughout the last decades, the outcome of patients still has a
poor prognosis. Besides environmental factors, genomics seem to be a very
important factor in the genesis of this disease. Different approaches to decrypt
genomic causes were pursued throughout the last years. Microarray gene expression
studies comparing aneurysmal and healthy tissue seem to be one of the most
promising approaches. However, large amounts of data created with each study,
make a comparison or interpretation of results difficult. We analyzed microarray
gene expression studies on IAs (vs. control tissue) and compared lists of genes
with altered expression provided by the authors. Additionally functional pathway
analysis was performed. We identified five microarray gene expression studies
analyzing a total of 60 samples of IA tissue (30 ruptured IA, 30 unruptured IA).
A total of 507 genes with altered expression were listed, of which 57 showed
differences in more than two studies and seven in more than three studies (BCL2,
COL1A2, COL3A1, COL5A2, CXCL12, TIMP4, TNC). The meta-analysis of five microarray
gene expression studies on IAs revealed seven genes that are very likely to be
involved in the genesis of IAs. Further analysis of these genes might provide
valuable information on mechanisms causing this disease.
PMID- 22079573
TI - Identification of neuroglobin-interacting proteins using yeast two-hybrid
screening.
AB - Neuroglobin (Ngb) is a globin protein that is highly and specifically expressed
in brain neurons. A large volume of evidence has proven that Ngb is a
neuroprotective molecule against hypoxic/ischemic brain injury and other related
neurological disorder; however, the underlying mechanisms remain poorly
understood. Aiming to provide more clues in understanding the molecular
mechanisms of Ngb's neuroprotection, we performed yeast two-hybrid screening to
search for proteins that interact with Ngb. From a mouse brain cDNA library, we
found totally 36 proteins that potentially interact with Ngb, and 10 of them were
each identified in multiple positive clones. The shared sequences within these
multiple clones are more likely to be Ngb-interacting domains. In primary
cultured mouse cortical neurons, immuno-precipitation was performed to confirm
the interactions of selected proteins with Ngb. The discovered Ngb-interacting
proteins in this study include those involved in energy metabolism, mitochondria
function, and signaling pathways for cell survival and proliferation. Our
findings provide molecular targets for investigating protein interaction-based
biological functions and neuroprotective mechanisms of Ngb.
PMID- 22079574
TI - To believe or not to believe: trust choice modulates brain responses in outcome
evaluation.
AB - Making a trust decision in interpersonal relationship involves forming positive
expectation toward the decision outcome. Previous studies have suggested that
trust and distrust are qualitatively distinct and have differential
neurocognitive substrates. In this study, we investigated how trust choice would
modulate brain responses to decision outcome in a modified coin-toss game.
Participants received statements from partners concerning the results of coin
toss and decided whether to believe the truthfulness of the statements. In two
experiments, event-related potentials (ERPs) to the real results revealed after
the trust choice demonstrated differential patterns following trust and distrust
choices. Both the feedback-related negativity (FRN) and the P300 showed effects
of outcome valence following trust choices, but the FRN effect was reduced
following distrust choices. Thus, trust choice creates different contexts in
which aspects of decision outcome can be encoded simultaneously by the FRN. The
FRN may reflect the subjective evaluation of decision outcome in a specific
context rather than a general expectancy towards the outcome.
PMID- 22079575
TI - alpha-synuclein phosphorylation and truncation are normal events in the adult
human brain.
AB - alpha-synuclein is a key protein in Lewy body diseases (LBDs) and a major
component of Lewy bodies and related aberrant cytoplasmic and neuritic
inclusions. Regional differences in alpha-synuclein have been associated with
selective neuronal vulnerability to Lewy pathology. Furthermore, phosphorylation
at serine 129 (Ser129) and alpha-synuclein truncation have been considered
crucial in the pathogenesis of Lewy inclusions. The present study shows
consistent reduction in alpha-synuclein protein expression levels in the human
substantia nigra and nucleus basalis of Meynert compared with other brain regions
independently of age and pathology. Phosphorylated alpha-synuclein at Ser129 is
naturally increased in these same regions, thus inversely related with the total
amount of alpha-synuclein. In contrast, truncated alpha-synuclein is naturally
observed in control and diseased brains and correlating with the total amount of
alpha-synuclein. Several truncated variants have been identified where some of
these variants are truncated at the C-terminal domain, whereas others are
truncated at the N-terminal domain, and all are present in cases with and without
Lewy pathology. Although accumulation of truncated alpha-synuclein variants and
phosphorylated alpha-synuclein occurs in Lewy bodies, alpha-synuclein
phosphorylation and truncation can be considered constitutive in control and
diseased brains.
PMID- 22079576
TI - Nicotinic cholinergic mechanisms causing elevated dopamine release and abnormal
locomotor behavior.
AB - Firing rates of dopamine (DA) neurons in substantia nigra pars compacta (SNc) and
ventral tegmental area (VTA) control DA release in target structures such as
striatum and prefrontal cortex. DA neuron firing in the soma and release
probability at axon terminals are tightly regulated by cholinergic transmission
and nicotinic acetylcholine receptors (nAChRs). To understand the role of alpha6*
nAChRs in DA transmission, we studied several strains of mice expressing
differing levels of mutant, hypersensitive (leucine 9' to serine [L9'S]) alpha6
subunits. alpha6 L9'S mice harboring six or more copies of the hypersensitive
alpha6 gene exhibited spontaneous home-cage hyperactivity and novelty-induced
locomotor activity, whereas mice with an equal number of WT and L9'S alpha6 genes
had locomotor activity resembling that of control mice. alpha6-dependent,
nicotine-stimulated locomotor activation was also more robust in high-copy alpha6
L9'S mice versus low-copy mice. In wheel-running experiments, results were also
bi-modal; high-copy alpha6 L9'S animals exhibited blunted total wheel rotations
during each day of a 9-day experiment, but low-copy alpha6 L9'S mice ran normally
on the wheel. Reduced wheel running in hyperactive strains of alpha6 L9'S mice
was attributable to a reduction in both overall running time and velocity. ACh
and nicotine-stimulated DA release from striatal synaptosomes in alpha6 L9'S mice
was well-correlated with behavioral phenotypes, supporting the hypothesis that
augmented DA release mediates the altered behavior of alpha6 L9'S mice. This
study highlights the precise control that the nicotinic cholinergic system exerts
on DA transmission and provides further insights into the mechanisms and
consequences of enhanced DA release.
PMID- 22079577
TI - The opiate analgesic buprenorphine decreases proliferation of adult hippocampal
neuroblasts and increases survival of their progeny.
AB - Although opiate drugs of abuse have been shown to decrease adult hippocampal
neurogenesis, the impact of opiate analgesics has not been tested. North American
regulatory boards governing the ethical treatment of experimental animals require
the administration of analgesics, such as buprenorphine, following minor surgical
interventions. Here, we show that two commonly used post-operative buprenorphine
dosing regimes significantly inhibit the proliferation of doublecortin-positive
neuroblasts but not other hippocampal stem and progenitor cell populations in
adult mice. Buprenorphine, administered in schedules of three 0.05 mg/kg
subcutaneous injections over a single day or seven 0.05 mg/kg injections over a 3
day period decreased the number of actively proliferating 5-iodo-2'-deoxyuridine
labeled doublecortin-positive cells for up to 6 days after opiate withdrawal. The
minimal (three injection), but not standard (seven injection), analgesic paradigm
also reduced basal indices of hippocampal progenitor cell apoptosis and enhanced
survival of newly born cells for up to 28 days. Taken together, these data
provide the first evidence that the routine administration of opiate analgesics
has transient but long-lasting effects on neurogenesis and further emphasize that
analgesic dosage and schedule should be reported and considered when interpreting
the magnitude of neural stem and progenitor cell activation in response to in
vivo intervention.
PMID- 22079578
TI - Temporal and spatial regulation of interneuron distribution in the developing
cerebral cortex--an in vitro study.
AB - GABAergic interneurons are local circuit cells that control the excitatory
balance in most regions of the nervous system, particularly the cerebral cortex.
Because they are integrated in every cortical module, we posed the question
whether interneuronal precursors would display some topographic specificity
between their origin at the ventral telencephalon and their cortical location
after migration. If this was true, GABAergic cells would have to be provided with
intrinsic features that would make them able to perform specific functional roles
in each specific module. On the other hand, if no topography was found, one would
conclude that inhibitory precursors would be functionally naive, being able to
integrate anywhere in the cortex, with equal capacity of performing their
functions. This issue was approached by use of organotypic cultures of wild mice
embryonic slices, into which fragments of the ganglionic eminence taken from
enhanced green fluorescent protein (eGFP) mice were implanted, observing the
topographic location of both the implant and its destination. Despite the
existence of different genetic domains in the ventricular zone of the medial
ganglionic eminences (MGE), we found that cells originating in different regions
spread in vitro all over the mediolateral axis of the developing cortical wall,
independently of their sites of origin. Results favor the hypothesis that
GABAergic precursors are functionally naive, integrating into modules
irrespective of which cortical area they belong to.
PMID- 22079579
TI - Inducing pluripotency in somatic cells from the snow leopard (Panthera uncia), an
endangered felid.
AB - Induced pluripotency is a new approach to produce embryonic stem-like cells from
somatic cells that provides a unique means to understand both pluripotency and
lineage assignment. To investigate whether this technology could be applied to
endangered species, where the limited availability of gametes makes production
and research on embryonic stem cells difficult, we attempted generation of
induced pluripotent stem (iPS) cells from snow leopard (Panthera uncia)
fibroblasts by retroviral transfection with Moloney-based retroviral vectors
(pMXs) encoding four factors (OCT4, SOX2, KLF4 and cMYC). This resulted in the
formation of small colonies of cells, which could not be maintained beyond four
passages (P4). However, addition of NANOG, to the transfection cocktail produced
stable iPS cell colonies, which formed as early as D3. Colonies of cells were
selected at D5 and expanded in vitro. The resulting cell line was positive for
alkaline phosphatase (AP), OCT4, NANOG, and Stage-Specific embryonic Antigen-4
(SSEA-4) at P14. RT-PCR also confirmed that endogenous OCT4 and NANOG were
expressed by snow leopard iPS cells from P4. All five human transgenes were
transcribed at P4, but OCT4, SOX2 and NANOG transgenes were silenced as early as
P14; therefore, reprogramming of the endogenous pluripotent genes had occurred.
When injected into immune-deficient mice, snow leopard iPS cells formed teratomas
containing tissues representative of the three germ layers. In conclusion, this
was apparently the first derivation of iPS cells from the endangered snow leopard
and the first report on induced pluripotency in felid species. Addition of NANOG
to the reprogramming cocktail was essential for derivation of iPS lines in this
felid. The iPS cells provided a unique source of pluripotent cells with utility
in conservation through cryopreservation of genetics, as a source of reprogrammed
donor cells for nuclear transfer or for directed differentiation to gametes in
the future.
PMID- 22079580
TI - Spices and energy balance.
AB - The sensory properties of foods and beverages are primary determinants of food
choice. Some flavor components have an inherent hedonic valence that influences
ingestive behavior. However, these hedonic impressions may be modified and others
newly formed through their association with the post-ingestive consequences of
food and beverage consumption. Flavor-active compounds, including spices, also
modify digestive, absorptive and metabolic processes through direct activation of
signaling pathways or via neurally-mediated cephalic phase responses. These may
modify energy balance through effects on food digestion, energy absorption and
metabolism. Thus, collectively, flavor has the potential to modify energy
balance. Attempts to purposefully augment energy and nutrient intake have largely
focused on the aging population where flavor fortification is posited to correct
for diminishing sensory function. Evidence of efficacy is not strong, possibly
due to methodological issues such as low statistical power and failure to match
documented sensory limitations with the nature of the intervention. More rigorous
testing should determine the viability of this therapeutic application of food
flavors. The use of flavor compounds for weight reduction has yielded mixed
results. Most trials have delivered the compounds via capsule precluding
assessment of flavor to outcomes. Work with red pepper suggests there is an
independent, albeit subtle, sensory effect on substrate oxidation coupled with a
more general reduction of appetite and enhancement of energy expenditure. Flavor
active compounds hold some promise for being more a part of the solution than the
problem of disordered eating and unhealthy weight.
PMID- 22079581
TI - Sensitization of depressive-like behavior during repeated maternal separation is
associated with more-rapid increase in core body temperature and reduced plasma
cortisol levels.
AB - Infant guinea pigs exhibit a 2-stage response to maternal separation: an initial
active stage, characterized by vocalizing, and a second passive stage marked by
depressive-like behavior (hunched posture, prolonged eye-closure, extensive
piloerection) that appears to be mediated by proinflammatory activity. Recently
we found that pups showed an enhanced (i.e., sensitized) depressive-like
behavioral response during repeated separation. Further, core body temperature
was higher during the beginning of a second separation compared to the first,
suggesting a more-rapid stress-induced febrile response to separation the second
day, though the possibility that temperature was already elevated prior to the
second separation could not be ruled out. Therefore, the present study examined
temperature prior to, and during, 2 daily separations. We also examined the
temperature response to a third separation conducted 3 days after the second, and
assessed the effect of repeated separation on plasma cortisol levels. Core
temperature did not differ just prior to the separations, but showed a more-rapid
increase and then decline during both a second and third separation than during a
first. Temperature responses were not associated with changes in motor activity.
Depressive-like behavior was greater during the second and third separations.
Pups separated a first time showed a larger plasma cortisol response at the
conclusion of separation than did animals of the same age separated a third time.
In all, the results indicate that the sensitization of depressive-like behavior
during repeated separations over several days is accompanied by a more-rapid
febrile response that may be related to a reduction of glucocorticoid
suppression.
PMID- 22079583
TI - The lifespan consequences of early life stress.
AB - Early life stress (ELS), an experience of severe stress due for example to
parental loss, abuse or neglect during the childhood years, may have profound
long-term effects on the individual's physiology and psychology. Here we review
the findings of the Helsinki Birth Cohort Study 1934-44 (n=13,345), of whom
approximately 14% were temporarily separated from both their parents due to child
evacuations in World War II. The children were evacuated from Finland,
unaccompanied by their parents, to other countries in Scandinavia (Sweden and
Denmark) at the average age of 4.7 years (SD=2.4 years) and for an average period
of 1.7 years (SD=1.0 years). Information on these separations for each member of
the HBCS was derived from the Finnish National Archives. We found significant
effects of ELS on psychiatric morbidity and mortality, intellectual ability,
stress reactivity, reproductive history, and inter-generational social mobility.
PMID- 22079584
TI - Mediators of allostasis and systemic toxicity in bipolar disorder.
AB - Bipolar disorder is associated with a high rate of medical and psychiatric
comorbidities. This burden of illness, along with cognitive impairment, is seen
particularly in late cases, after multiple episodes. These changes in clinical
presentation that take place over time have been recently conceptualized as
"neuroprogression". The concept of allostatic load is instrumental in
understanding how the cumulative stress associated with psychiatric disorders
translates into bodily wear and tear, thus providing an underlying explanation
for illness progression. Allostatic load is engendered by several factors which
interact in a nonlinear manner. Glucocorticoids are fundamental mediators; when
chronically in excess, glucocorticoids initiate a series of bodily dysfunctions
that may include cortisol-related mitochondrial dysfunction, oxidative stress,
inflammation and decrease in the expression of neuroprotective factors. In the
present review we examine the role of allostatic load in the illness progression
that takes place in bipolar disorder.
PMID- 22079582
TI - Oxytocin, vasopressin and estrogen receptor gene expression in relation to social
recognition in female mice.
AB - Inter- and intra-species differences in social behavior and recognition-related
hormones and receptors suggest that different distribution and/or expression
patterns may relate to social recognition. We used qRT-PCR to investigate
naturally occurring differences in expression of estrogen receptor-alpha
(ERalpha), ER-beta (ERbeta), progesterone receptor (PR), oxytocin (OT) and
receptor, and vasopressin (AVP) and receptors in proestrous female mice.
Following four 5 min exposures to the same two conspecifics, one was replaced
with a novel mouse in the final trial (T5). Gene expression was examined in mice
showing high (85-100%) and low (40-60%) social recognition scores (i.e.,
preferential novel mouse investigation in T5) in eight socially-relevant brain
regions. Results supported OT and AVP involvement in social recognition, and
suggest that in the medial preoptic area, increased OT and AVP mRNA, together
with ERalpha and ERbeta gene activation, relate to improved social recognition.
Initial social investigation correlated with ERs, PR and OTR in the dorsolateral
septum, suggesting that these receptors may modulate social interest without
affecting social recognition. Finally, increased lateral amygdala gene activation
in the LR mice may be associated with general learning impairments, while
decreased lateral amygdala activity may indicate more efficient cognitive
mechanisms in the HR mice.
PMID- 22079585
TI - Adh1 and Adh1/4 knockout mice as possible rodent models for presymptomatic
Parkinson's disease.
AB - Alcohol dehydrogenases (ADH) catalyze the reversible metabolism of many types of
alcohols and aldehydes to prevent the possible toxic accumulation of these
compounds. ADHs are of interest in Parkinson's disease (PD) since these compounds
can be harmful to dopamine (DA) neurons. Genetic variants in ADH1C and ADH4 have
been found to associate with PD and lack of Adh4 gene activity in a mouse model
has recently been reported to induce changes in the DA system. Adh1 knockout
(Adh1-/-) and Adh1/4 double knockout (Adh1/4-/-) mice were investigated for
possible changes in DA system related activity, biochemical parameters and
olfactory function compared to wild-type (WT) mice. Locomotor activity was tested
at ~7 (adult) and >15 months of age to mimic the late onset of PD. Adh1-/- and
Adh1/4-/- mice displayed a significantly higher spontaneous locomotor activity
than WT littermates. Both apomorphine and d-amphetamine increased total distance
activity in Adh1-/- mice at both age intervals and in Adh1/4-/- mice at 7 months
of age compared to WT mice. No significant changes were found regarding olfactory
function, however biochemical data showed decreased 3,4-dihydroxyphenylacetic
acid (DOPAC)/DA ratios in the olfactory bulb and decreased homovanillic acid
(HVA)/DA ratios in the olfactory bulb, frontal cortex and striatum of Adh1/4-/-
mice compared to WT mice. Our results suggest that lack of Adh1 alone or Adh1 and
Adh4 together lead to changes in DA system related behavior, and that these
knockout mice might be possible rodent models to study presymptomatic PD.
PMID- 22079586
TI - Targeted gene delivery to the mouse brain by MRI-guided focused ultrasound
induced blood-brain barrier disruption.
AB - This study aimed to investigate the feasibility of targeted gene transfer into
central nervous system (CNS) by MRI-guided focused ultrasound-induced blood-brain
barrier (BBB) disruption. Before each sonication, T2-weighted images were
obtained to select the target region. Followed by injecting DNA-loaded
microbubbles into the tail vein, sonication was performed. The state of local
BBB, distribution of plasmid DNA through the opened BBB, the ultrastructural
changes of neurons and BDNF expression were detected. The results showed that MRI
guided focused ultrasound (FUS) could accomplish noninvasive, transient, and
local BBB disruption, at 1h after sonication, plasmid DNA across the opened BBB
had been internalized into the neurons presenting heterogeneous distribution and
numerous transparent vesicles were observed in the cytoplasm of the neurons at
the sonicated region, suggesting vesicle-mediated endocytosis. At 48 h after
sonication, the expressions of exogenous gene pBDNF-EGFP were observed in the
cytoplasm of some neurons, and BDNF expressions were markedly enhanced by the
combination of ultrasound and pBDNF-EGFP-loaded microbubbles about 20-fold than
that of the control group (P<0.01). The method by using MRI-guided FUS to induce
the local BBB disruption could accomplish effective targeted exogenous gene
transfer in CNS. This technique may provide a new option for the treatment of
various CNS diseases.
PMID- 22079588
TI - The central versus peripheral antinociceptive effects of MU-opioid receptor
agonists in the new model of rat visceral pain.
AB - This study describes the antinociceptive effects of MU-opioid agonists, d
Ala(2),N-Me-Phe(4),Gly(5)-ol-enkephalin (DAMGO) and morphine in a model of rat
visceral pain in which nociceptive responses were triggered by 2% acetic acid
intraperitoneal (i.p.) injections. DAMGO and morphine were administered i.p., to
the same site where acetic acid was delivered or intracerebroventricularly
(i.c.v.). The antinociceptive actions of i.p. versus i.c.v. administered DAMGO or
morphine were evaluated in the late phase of permanent visceral nociceptive
responses. Both compounds inhibited the nociceptive responses in a dose-dependent
manner and exhibited more potent agonist activity after i.c.v. than i.p.
administration. DAMGO and morphine showed comparable ED(50) values after i.p.
injections. However, DAMGO was much stronger than morphine after central
administration. Co-administration of the peripherally restricted opioid
antagonist, naloxone methiodide (NAL-M), significantly attenuated the
antinociceptive effects of i.p. DAMGO or morphine. On the other hand, i.c.v.
injections of NAL-M partially antagonized the antinociceptive effect of i.p.
morphine and failed to affect the antinociceptive action of i.p. DAMGO indicating
the partial and pure peripheral antinociceptive effects of morphine and DAMGO,
respectively. These results suggest the role of either central or peripheral MU
opioid receptors (MOR) in mediating antinociceptive effects of i.p. MU-opioid
agonists in the rat late permanent visceral pain model which closely resembles
the clinical situation.
PMID- 22079587
TI - System x(c)(-) regulates microglia and macrophage glutamate excitotoxicity in
vivo.
AB - It is widely believed that microglia and monocyte-derived macrophages
(collectively referred to as central nervous system (CNS) macrophages) cause
excitotoxicity in the diseased or injured CNS. This view has evolved mostly from
in vitro studies showing that neurotoxic concentrations of glutamate are released
from CNS macrophages stimulated with lipopolysaccharide (LPS), a potent
inflammogen. We hypothesized that excitotoxic killing by CNS macrophages is more
rigorously controlled in vivo, requiring both the activation of the
glutamate/cystine antiporter (system x(c)(-)) and an increase in extracellular
cystine, the substrate that drives glutamate release. Here, we show that non
traumatic microinjection of low-dose LPS into spinal cord gray matter activates
CNS macrophages but without causing overt neuropathology. In contrast, neurotoxic
inflammation occurs when LPS and cystine are co-injected. Simultaneous injection
of NBQX, an antagonist of AMPA glutamate receptors, reduces the neurotoxic
effects of LPS+cystine, implicating glutamate as a mediator of neuronal cell
death in this model. Surprisingly, neither LPS nor LPS+cystine adversely affects
survival of oligodendrocytes or oligodendrocyte progenitor cells. Ex vivo
analyses show that redox balance in microglia and macrophages is controlled by
induction of system x(c)(-) and that high GSH:GSSG ratios predict the neurotoxic
potential of these cells. Together, these data indicate that modulation of redox
balance in CNS macrophages, perhaps through regulating system x(c)(-), could be a
novel approach for attenuating injurious neuroinflammatory cascades.
PMID- 22079589
TI - A current review of infection control for childhood tuberculosis.
AB - Tuberculosis (TB) infection control recommendations in healthcare settings were
developed to decrease nosocomial transmission from adults. In the absence of
pediatric-specific guidelines, these infection control recommendations have been
incorporated, in almost unmodified format, for childhood TB. We will review the
evidence concerning the contagiousness of TB in children, scenarios in which
transmission is more likely, review United States national recommendations, and
consider the family unit, as opposed to the patient, to be the transmission unit
for childhood TB.
PMID- 22079590
TI - Toward a low-cost compact array microscopy platform for detection of
tuberculosis.
AB - This paper describes the development of a microscope array capable of imaging
separate fields of view without the need for opto-mechanical scanning components.
This microscope array can be integrated with array illuminating optics, a full
frame digital single lens reflex (DSLR) camera, and automated algorithms for the
detection of Mycobacterium tuberculosis (MTB). The entire array will fit within
the area of a typical sputum smear. A custom miniature objective has been
designed for this microscope array that has a numerical aperture of 0.5, optical
resolution of 0.63 MUm, and a field of view that is 0.54 mm in diameter. A single
prototype miniature objective of this design has been built, and images are
presented demonstrating its imaging performance. Images are sufficiently high
quality for diagnostic use. When fully integrated, this device has the potential
to significantly improve performance compared to conventional microscopy systems
and to enable more effective diagnosis of tuberculosis at the point of care.
PMID- 22079591
TI - Ruscogenin inhibits lipopolysaccharide-induced acute lung injury in mice:
involvement of tissue factor, inducible NO synthase and nuclear factor (NF)
kappaB.
AB - Acute lung injury is still a significant clinical problem with a high mortality
rate and there are few effective therapies in clinic. Here, we studied the
inhibitory effect of ruscogenin, an anti-inflammatory and anti-thrombotic natural
product, on lipopolysaccharide (LPS)-induced acute lung injury in mice basing on
our previous studies. The results showed that a single oral administration of
ruscogenin significantly decreased lung wet to dry weight (W/D) ratio at doses of
0.3, 1.0 and 3.0 mg/kg 1 h prior to LPS challenge (30 mg/kg, intravenous
injection). Histopathological changes such as pulmonary edema, coagulation and
infiltration of inflammatory cells were also attenuated by ruscogenin. In
addition, ruscogenin markedly decreased LPS-induced myeloperoxidase (MPO)
activity and nitrate/nitrite content, and also downregulated expression of tissue
factor (TF), inducible NO synthase (iNOS) and nuclear factor (NF)-kappaB p-p65
(Ser 536) in the lung tissue at three doses. Furthermore, ruscogenin reduced
plasma TF procoagulant activity and nitrate/nitrite content in LPS-induced ALI
mice. These findings confirmed that ruscogenin significantly attenuate LPS
induced acute lung injury via inhibiting expressions of TF and iNOS and NF-kappaB
p65 activation, indicating it as a potential therapeutic agent for ALI or sepsis.
PMID- 22079592
TI - Deficiency of claudin-18 causes paracellular H+ leakage, up-regulation of
interleukin-1beta, and atrophic gastritis in mice.
AB - BACKGROUND & AIMS: Although defects in tight junction (TJ) epithelial
paracellular barrier function are believed to be a primary cause of inflammation,
the mechanisms responsible remain largely unknown. METHODS: We generated knockout
mice of stomach-type claudin-18, a major component of TJs in the stomach.
RESULTS: Cldn18(-/-) mice were afflicted with atrophic gastritis that started on
postnatal day 3. This coincided with a decrease in intragastric pH due to H(+)
secretion from parietal cells and concomitant up-regulation of the cytokines,
interleukin-1beta, cyclooxygenase-2, and KC, resulting in spasmolytic polypeptide
expressing metaplasia (SPEM). Oral administration of hydrochloric acid on
postnatal day 1 induced the expression of these cytokines in Cldn18(-/-) infant
stomach, but not in Cldn18(+/+) mice. A paracellular H(+) leak in Cldn18(-/-)
stomach was detected by electrophysiology and H(+) titration, and freeze-fracture
electron microscopy showed structural defects in the TJs, in which the tightly
packed claudin-18 (stomach-type)-based TJ strands were lost, leaving a loose
meshwork of strands consisting of other claudin species. CONCLUSIONS: These
findings provide evidence that claudin-18 normally forms a paracellular barrier
against H(+) in the stomach and that its deficiency causes paracellular H(+)
leak, a persistent up-regulation of proinflammatory cytokines, chronic
recruitment of neutrophils, and the subsequent development of SPEM in atrophic
gastritis.
PMID- 22079593
TI - The copolymer P(HEMA-co-SS) binds gluten and reduces immune response in gluten
sensitized mice and human tissues.
AB - BACKGROUND & AIMS: Copolymers of hydroxyethyl methacrylate and styrene sulfonate
complex with isolated gliadin (the toxic fraction of gluten) and prevent damage
to the intestinal barrier in HLA-HCD4/DQ8 mice. We studied the activity toward
gluten and hordein digestion and biologic effects of poly(hydroxyethyl
methacrylate-co-styrene sulfonate (P(HEMA-co-SS)). We also investigated the
effect of gliadin complex formation in intestinal biopsy specimens from patients
with celiac disease. METHODS: We studied the ability of P(HEMA-co-SS) to reduce
digestion of wheat gluten and barley hordein into immunotoxic peptides using
liquid chromatography-mass spectrometry. The biodistribution and pharmacokinetic
profile of orally administered P(HEMA-co-SS) was established in rodents using
tritium-labeled polymer. We assessed the capacity of P(HEMA-co-SS) to prevent the
immunologic and intestinal effects induced by a gluten-food mixture in gluten
sensitized HLA-HCD4/DQ8 mice after short-term and long-term administration. We
measured the effects of gliadin complex formation on cytokine release ex vivo
using intestinal biopsy specimens from patients with celiac disease. RESULTS:
P(HEMA-co-SS) reduced digestion of wheat gluten and barley hordein in vitro,
thereby decreasing formation of toxic peptides associated with celiac disease.
After oral administration to rodents, P(HEMA-co-SS) was predominantly excreted in
feces, even in the presence of low-grade mucosal inflammation and increased
intestinal permeability. In gluten-sensitized mice, P(HEMA-co-SS) reduced
paracellular permeability, normalized anti-gliadin immunoglobulin A in intestinal
washes, and modulated the systemic immune response to gluten in a food mixture.
Furthermore, incubation of P(HEMA-co-SS) with mucosal biopsy specimens from
patients with celiac disease showed that secretion of tumor necrosis factor-alpha
was reduced in the presence of partially digested gliadin. CONCLUSIONS: The
copolymer P(HEMA-co-SS) reduced digestion of wheat gluten and barley hordein and
attenuated the immune response to gluten in a food mixture in rodents. It might
be developed to prevent or reduce gluten-induced disorders in humans.
PMID- 22079594
TI - CCR9+ macrophages are required for acute liver inflammation in mouse models of
hepatitis.
AB - BACKGROUND & AIMS: Antigen-presenting cells (APCs) are involved in the induction
of liver inflammation. We investigated the roles of specific APCs in the
pathogenesis of acute liver injury in mice. METHODS: We used concanavalin A (con
A) or carbon tetrachloride to induce acute liver inflammation in mice and studied
the roles of macrophages that express CCR9. RESULTS: After injection of con A, we
detected CCR9(+)CD11b(+)CD11c(-) macrophages that express tumor necrosis factor
(TNF)-alpha in livers of mice, whereas CCR9(+)Siglec-H(+)CD11b(-)CD11c(low)
plasmacytoid DCs (pDCs), which are abundant in normal livers, disappeared. The
CCR9(+) macrophages were also detected in the livers of RAG-2(-/-) mice, which
lack lymphocytes and natural killer T cells, after injection of con A. Under
inflammatory conditions, CCR9(+) macrophages induced naive CD4(+) T cells to
become interferon gamma-producing Th1 cells in vivo and in vitro. CCR9(-/-) mice
injected with con A did not develop hepatitis unless they also received CCR9(+)
macrophages from mice that received con A; more CCR9(+) macrophages accumulated
in their inflamed livers than CCR9(+) pDCs, CCR9(-) pDCs, or CCR9(-) macrophages
isolated from mice that had received injections of con A. Levels of CCL25
messenger RNA increased in livers after injection of con A; neutralizing
antibodies against CCL25 reduced the induction of hepatitis by con A by blocking
the migration of CCR9(+) macrophages and their production of TNF-alpha.
Peripheral blood samples from patients with acute hepatitis had greater numbers
of TNF-alpha-producing CCR9(+)CD14(+)CD16(high) monocytes than controls.
CONCLUSIONS: CCR9(+) macrophages contribute to the induction of acute liver
inflammation in mouse models of hepatitis.
PMID- 22079595
TI - Severe defects in absorptive ion transport in distal colons of mice that lack ClC
2 channels.
AB - BACKGROUND & AIMS: The fluid secretion model predicts that intestinal obstruction
disorders can be alleviated by promoting epithelial Cl(-) secretion. The
adenosine 3',5'-cyclic monophosphate (cAMP)-activated anion channel CFTR mediates
Cl(-)-dependent fluid secretion in the intestine. Although the role of the ClC-2
channel has not been determined in the intestine, this voltage-gated Cl(-)
channel might compensate for the secretory defects observed in patients with
cystic fibrosis and other chronic constipation disorders. We investigated whether
mice that lack ClC-2 channels (Clcn2(-/-)) have defects in intestinal ion
transport. METHODS: Immunolocalization and immunoblot analyses were used to
determine the cellular localization and the amount of ClC-2 expressed in mouse
early distal colon (EDC) and late distal colon (LDC). Colon sheets from wild-type
and Clcn2(-/-) littermates were mounted in Ussing chambers to determine
transepithelial bioelectrical parameters and Na(+), K(+), and Cl(-) fluxes.
RESULTS: Expression of ClC-2 was higher in the basolateral membrane of surface
cells in the EDC compared with the LDC, with little expression in crypts. Neither
cAMP nor Ca(2+)-induced secretion of Cl(-) was affected in the EDC or LDC of
Clcn2(-/-) mice, whereas the amiloride-sensitive short-circuit current was
increased approximately 3-fold in Clcn2(-/-) EDC compared with control
littermates. Conversely, electroneutral Na(+), K(+), and Cl(-) absorption was
dramatically reduced in colons of Clcn2(-/-) mice. CONCLUSIONS: Basolateral ClC-2
channels are required for colonic electroneutral absorption of NaCl and KCl. The
increase in the amiloride-sensitive short-circuit current in Clcn2(-/-) mice
revealed a compensatory mechanism that is activated in the colons of mice that
lack the ClC-2 channel.
PMID- 22079596
TI - Restricted heterochromatin formation links NFATc2 repressor activity with growth
promotion in pancreatic cancer.
AB - BACKGROUND & AIMS: Transcriptional silencing of the p15(INK4b) tumor suppressor
pathway overcomes cellular protection against unrestrained proliferation in
cancer. Here we show a novel pathway involving the oncogenic transcription factor
nuclear factor of activated T cells (NFAT) c2 targeting a p15(INK4b)-mediated
failsafe mechanism to promote pancreatic cancer tumor growth. METHODS:
Immunohistochemistry, real-time polymerase chain reaction, immunoblotting, and
immunofluorescence microscopy were used for expression studies. Cancer growth was
assessed in vitro by [(3)H]thymidine incorporation, colony formation assays, and
in vivo using xenograft tumor models. Protein-protein interactions, promoter
regulation, and local histone modifications were analyzed by immunoprecipitation,
DNA pull-down, reporter, and chromatin immunoprecipitation assays. RESULTS: Our
study uncovered induction of NFATc2 in late-stage pancreatic intraepithelial
neoplasia lesions with increased expression in tumor cell nuclei of advanced
cancers. In the nucleus, NFATc2 targets the p15(INK4b) promoter for inducible
heterochromatin formation and silencing. NFATc2 binding to its cognate promoter
site induces stepwise recruitment of the histone methyltransferase Suv39H1,
causes local H3K9 trimethylation, and allows docking of heterochromatin protein
HP1gamma to the repressor complex. Conversely, inactivation of NFATc2 disrupts
this repressor complex assembly and local heterochromatin formation, resulting in
restoration of p15(INK4b) expression and inhibition of pancreatic cancer growth
in vitro and in vivo. CONCLUSIONS: Here we describe a novel mechanism for NFATc2
mediated gene regulation and identify a functional link among its repressor
activity, the silencing of the suppressor pathway p15(INK4b), and its pancreatic
cancer growth regulatory functions. Thus, we provide evidence that inactivation
of oncogenic NFATc2 might be an attractive strategy in treatment of pancreatic
cancer.
PMID- 22079597
TI - A coupled experimental and computational approach to quantify deleterious
hemodynamics, vascular alterations, and mechanisms of long-term morbidity in
response to aortic coarctation.
AB - INTRODUCTION: Coarctation of the aorta (CoA) is associated with morbidity despite
treatment. Although mechanisms remain elusive, abnormal hemodynamics and vascular
biomechanics are implicated. We present a novel approach that facilitates
quantification of coarctation-induced mechanical alterations and their impact on
vascular structure and function, without genetic or confounding factors. METHODS:
Rabbits underwent thoracic CoA at 10weeks of age (~9 human years) to induce a
20mmHg blood pressure (BP) gradient using permanent or dissolvable suture thereby
replicating untreated and corrected CoA. Computational fluid dynamics (CFD) was
performed using imaging and BP data at 32weeks to quantify velocity, strain and
wall shear stress (WSS) for comparison to vascular structure and function as
revealed by histology and myograph results. RESULTS: Systolic and mean BP was
elevated in CoA compared to corrected and control rabbits leading to vascular
thickening, disorganization and endothelial dysfunction proximally and distally.
Corrected rabbits had less severe medial thickening, endothelial dysfunction, and
stiffening limited to the proximal region despite 12weeks of normal BP (~4 human
years) after the suture dissolved. WSS was elevated distally for CoA rabbits, but
reduced for corrected rabbits. DISCUSSION: These findings are consistent with
alterations in humans. We are now poised to investigate mechanical contributions
to mechanisms of morbidity in CoA using these methods.
PMID- 22079598
TI - Common interlimb asymmetries and neurogenic responses during upper limb
neurodynamic testing: implications for test interpretation.
AB - STUDY DESIGN: Clinical measurement pilot study. INTRODUCTION: Upper limb
neurodynamic testing (ULNT1) uses interlimb comparisons to investigate nerve
sensitivity to movement. PURPOSE OF THE STUDY: To establish the magnitude of
range of motion asymmetries between limbs and the frequency of neurogenic sensory
responses during ULNT1. METHODS: Elbow extension range of motion (EE-ROM) and
sensory responses were measured during ULNT1 in dominant and nondominant limbs
for 40 asymptomatic participants. Structural differentiation was performed to
examine if sensory responses were associated with neurogenic sources. RESULTS:
The average intraindividual EE-ROM asymmetry was 7.28 deg (6.68 standard
deviation) (95% confidence interval: 5.18, 9.28). Sensory responses in the limbs
during ULNT1 were altered by structural differentiation in 95% of participants.
An intraindividual, interlimb difference of >=10 deg exceeds the range of common
asymmetry during ULNT1. CONCLUSIONS: Neurogenic sensory responses are common
findings in healthy individuals and should be acknowledged when interpreting
ULNT1 findings. LEVEL OF EVIDENCE: 3a.
PMID- 22079599
TI - [Computed tomography of the normal and pathologic temporal bone].
AB - High-resolution computed tomography scanning (CT) allows depiction of
microanatomic structures of the temporal bone. CT is useful for detecting several
pathologic conditions of the temporal bone such as congenital malformations,
particularly in young children with sensorineural hearing loss. Some external,
middle and inner ear structures are difficult to evaluate. The objective of this
study has been to provide the key planes in coronal and axial planes (five
coronal planes and three axial planes) but also with oblique planes
reconstruction (two planes) for normal temporal bones evaluation. These
standardized planes help to improve visualization of the main congenital
malformations. Identification of obvious morphogenetic malformations (Michel
aplasia, Mondini deformity....) is not difficult. However, less severe dysplasia
may be missed or normal micro anatomic structures in newborn misreaded.
PMID- 22079600
TI - [Absence of the musculocutaneous nerve and its distribution from median nerve:
About two cases and literature review].
AB - Musculocutaneous nerve arises mostly from the lateral cord of brachial plexus.
Nevertheless, variations have been reported and, among them: the total absence of
musculocutaneous nerve (from 1.4 to 15%), the absence of its passage through the
coracobrachial muscle, its variable level of penetration as measured from the tip
of the coracoid process, and its communicating branches with the median nerve. We
report two cases of unilateral musculocutaneous nerve absence in a 66-year-old
male and a 95-year-old female cadavers, on the right and the left side,
respectively. The nerve fibers normally coming from musculocutaneous nerve
emerged from the median nerve. The knowledge of this anatomical variation is
important specially when performing plexus bloc or Latarjet's procedure.
PMID- 22079601
TI - Are all cases of low-grade mosaic trisomy 13 in amniotic fluid with no fetal
malformation in fact confined placental mosaicism? A case report.
AB - We report on a case of true prenatal mosaic trisomy 13 on amniotic fluid
associated with a normal phenotype at the age of 6 years. The amniocentesis was
performed because of advanced maternal age and was controlled by a second sample.
Morphological and cardiac ultrasonography did not reveal any fetal malformations.
No trisomic cells were found in the fetal blood and a nuclear magnetic resonance
imaging (IRM) of the brain was performed during the third trimester found no
abnormality of the brain. Finally, at birth cytogenetic analysis was performed on
two placental samples for chromosomal analysis: one in an area where the placenta
seemed normal, and the other one in an area with infarcted and hemorrhagic
aspect. We found a high rate of trisomic cells in the sample with abnormal
aspect. Furthermore, no trisomic cell was observed by fluorescent in situ
hybridation (FISH) on the buccal smears of the baby. We concluded to a confined
placental mosaicism. The good outcome of the child aged 6 years confirms this
diagnosis. So in the aim to predict a good development for the child in case of
low rate mosaic trisomy 13 in amniotic fluid, we propose at birth: i) to take
several samples from the placenta to confirm placental mosaicism; ii) to label by
FISH buccal smears with a LSI 13 probe to prove that the baby is not a carrier of
the trisomy.
PMID- 22079602
TI - Pilot study of home self-administration of subcutaneous depo-medroxyprogesterone
acetate for contraception.
AB - BACKGROUND: Subcutaneous depo-medroxyprogesterone acetate (DMPA-SC) offers the
possibility of self-administration. STUDY DESIGN: This is a pilot study of self
administration of DMPA-SC. Existing users of the intramuscular preparation (DMPA
IM) who wished to self-inject (n=64) were taught self-administration using DMPA
SC. The main outcome was the continuation rate of the method at 12 months
compared to a control group of existing users of DMPA-IM (n=64) who continued to
attend a clinic to receive the method. Women's satisfaction with the method and
the proportion of self-injections given at correct time were also determined.
RESULTS: The 12-month discontinuation rate of the DMPA-SC group (12%) did not
differ significantly from that of the DMPA-IM group (22%) (95% confidence
intervals of 13%-33% and 6%-23% for DMPA-SC and DMPA-IM, respectively; p=.23).
All self-injections were given within the appropriate interval. There was no
significant difference in the proportion of women in either group who were
satisfied with the method. CONCLUSION: Self-administration of DMPA-SC for
contraception is feasible and is associated with similar continuation rates and
satisfaction to clinician-administered DMPA-IM.
PMID- 22079603
TI - Predictors of noncompliance in an oral contraceptive clinical trial.
AB - BACKGROUND: This analysis was conducted to identify the participant
characteristics associated with noncompliance in an oral contraceptive (OC)
clinical trial. STUDY DESIGN: We studied ovarian suppression among normal-weight
and obese women during the use of levonorgestrel (LNG)-containing combination
OCs. Participants underwent twice weekly phlebotomy during the study cycle and
received up to $360 for participation. Along with other study assays, we analyzed
903 specimens from 181 women to measure LNG to assess OC compliance. Consistently
undetectable LNG levels indicated noncompliance. To evaluate predictors of OC
noncompliance during this study, we compared the characteristics of compliant and
noncompliant participants using multivariable logistic regression. We assigned
each participant to a relative poverty level based on US census data; all other
individual characteristics came directly from participant responses during the
baseline interview. RESULTS: One hundred eighty-one women completed the study; 31
were noncompliant (17%). In multivariable analyses, poverty level was the
strongest predictor of noncompliance. Compared with those women in the quartile
with the lowest level of residential poverty, other women were far more likely to
be noncompliant, especially women in the quartile with the greatest prevalence of
poverty (adjusted odds ratio, 8.4; 95% confidence interval, 1.5-46.1). Additional
factors associated with noncompliance were education level less than a bachelor's
degree and Hispanic ethnicity. Other demographic and psychometric measures were
not associated with compliance. CONCLUSIONS: We found that noncompliance was
strongly associated with residential poverty level, an indirect measure of
individual income. In the United States, poverty is associated with female
obesity, Hispanic ethnicity and low education, which were also associated here
with noncompliance. Study compensation may motivate poor individuals to
participate in clinical trials for income. Noncompliance in clinical trials,
particularly differential noncompliance, jeopardizes study validity.
PMID- 22079604
TI - Music for surgical abortion care study: a randomized controlled pilot study.
AB - BACKGROUND: The study objective was to explore the effect of music as an adjunct
to local anesthesia on pain and anxiety during first-trimester surgical abortion.
Secondary outcomes included patient satisfaction and coping. STUDY DESIGN: We
conducted a randomized controlled pilot study of 26 women comparing music and
local anesthesia to local anesthesia alone. We assessed pain, anxiety and coping
with 11-point verbal numerical scales. Patient satisfaction was measured via a 4
point Likert scale. RESULTS: In the music group, we noted a trend toward a faster
decline in anxiety postprocedure (p=.065). The music group reported better coping
than the control group (mean+/-S.D., 8.5+/-2.3 and 6.2+/-2.8, respectively;
p<.05). Both groups reported similarly high satisfaction scores. There were no
group differences in pain. CONCLUSIONS: Music as an adjunct to local anesthesia
during surgical abortion is associated with a trend toward less anxiety
postprocedure and better coping while maintaining high patient satisfaction.
Music does not appear to affect abortion pain.
PMID- 22079605
TI - Self-administration of subcutaneous depot medroxyprogesterone acetate for
contraception: feasibility and acceptability.
AB - BACKGROUND: The objectives of the study were to assess feasibility, continuation
rates and patient satisfaction with self-administration of subcutaneous depot
medroxyprogesterone acetate (DMPA-SC). MATERIALS AND METHODS: The study included
50 DMPA-seeking women between the ages of 18 and 49 years enrolled at two Florida
Planned Parenthood health centers. Participants were taught self-injection during
their initial study visit and, upon proficiency, self-injected one dose in
clinic. Participants then injected a series of three more doses outside the
health center over 9 months. Continuation rates, feasibility and acceptability
were determined by analysis of four postinjection surveys. RESULTS: Continuation
of DMPA-SC at injection 4 was 74% (95% confidence interval 62%-86%). Overall,
survey responses from the three at-home injections indicated the method to be
convenient (95%), easy (87%) and recommendable to others (94%). Twenty percent of
injections were met with difficulty, most commonly cited as plunger resistance.
No pregnancies occurred in study. CONCLUSIONS: Continuation was high with DMPA-SC
self-injection. Participants reported injection to be easy and convenient and are
likely to recommend self-administration to other women. Device issues are one
potential deterrent.
PMID- 22079606
TI - In vitro cytotoxicity of Cu2+, Zn2+, Ag+ and their mixtures on primary human
endometrial epithelial cells.
AB - BACKGROUND: To avoid the inherent disadvantages of copper-containing intrauterine
device (Cu-IUD) induced by free Cu2+, two other well-performing metal ions,
namely, Ag+, with long-effective antimicrobial properties, and Zn2+, as an
essential trace element, are being considered for use in the future as
multifunctional IUDs. The purpose of this study was to assess the cytotoxicity of
these metal ions and their mixtures on primary human endometrial epithelial cells
(HEECs) cultured in vitro and to provide several choices of alternative potential
materials for creating excellent IUDs in the future. STUDY DESIGN: With the use
of 3-(4,5-dimethylthiazol-2-yl)-2,5-diphenyltetrazolium bromide-formazan (MTT-f)
production, the cytotoxic effects of single metal ions (Cu2+, Zn2+, Ag+) on HEECs
after exposure for 24, 48 or 72 h were investigated, and the synergistic and
antagonistic effects of two ions applied simultaneously were also assessed.
RESULTS: The cytotoxicity of the metal ions on HEECs ranked as follows:
Ag+>Cu2+>Zn2+. All combinations of those tested indicated that the Cu2++Zn2+
system exhibited an antagonistic effect absolutely, the Zn2++Ag+ system showed
both antagonism and slight synergism, and asynergistic effect was observed in the
Cu2++Ag+ system. CONCLUSION: From a perspective of favorable biocompatibility,
Zn2+ and the Cu2++Zn2+ mixture showed evidence of potential components for use in
future IUDs. Although having strong cytotoxicity, Ag+ with its low release rate
and broad-spectrum antibiotic activity may also be considered. The study also
demonstrated the relative stability of Cu2+ as a classic material of IUD.
PMID- 22079607
TI - Second- and third-trimester termination of pregnancy in women with uterine scar -
a retrospective analysis of 111 gemeprost-induced terminations of pregnancy after
previous cesarean delivery.
AB - BACKGROUND: This study was conducted to evaluate and analyze the efficacy and
safety of using gemeprost for second- and third-trimester termination of
pregnancy (TOP) in women with uterine scar due to previous cesarean section.
STUDY DESIGN: Retrospective analysis of 111 medical TOPs for fetal anomaly or
death at 14 to 34 weeks of gestation in women with a history of cesarean section
was performed at a German tertiary care center from 2005 to 2009. Abortion was
induced via intravaginal application of the prostaglandin analogue gemeprost (1
mg) every 6 h. RESULTS: One hundred eleven women with one (89.2%) or two (10.8%)
previous cesarean sections underwent medical TOP with gemeprost. The median
induction-to-expulsion interval was 18 h 24 min (range, 2 h 20 min-168 h 28 min),
and in 34 (30.6%) cases, the induction interval was longer than 24 h. The overall
incidence of severe complications was 9/111 (8.1%), including one case of silent
uterine rupture (with the need for blood transfusion), four cases of atonic and
three secondary hemorrhages and one case of peritonitis due to uterine
perforation during curettage. Failure of induction (induction-to-expulsion >48 h)
occurred in 11 cases (9.9%). CONCLUSION: Gemeprost-induced TOP in the second and
third trimester in women with uterine scar due to previous cesarean section is
effective and has a low complication rate.
PMID- 22079608
TI - Inhibition of proprotein convertase 5/6 activity: potential for nonhormonal women
centered contraception.
AB - BACKGROUND: Proprotein convertase 5/6 (PC6) is critical for endometrial
epithelial receptivity and stromal cell decidualization for embryo implantation
in women. We hypothesized that inhibiting PC6 could block implantation for
contraception. The aim of this study was to prove this concept using human cell
models and rabbits. STUDY DESIGN: A potential PC6 inhibitor, C1239-PEG-Poly R,
was biochemically confirmed to be a potent PC6 inhibitor. The potential
contraceptive action of the inhibitor was then tested in decidualization of
primary human endometrial stromal cells in a human trophoblast spheroid
attachment model and in vivo in rabbits. RESULTS: The PC6 inhibitor C1239-PEG
Poly R inhibited in a dose-dependent manner both decidualization and spheroid
attachment. Vaginal delivery of 200 MUL of the inhibitor at a final concentration
of 5 mM to rabbits over a 3-day period starting 6 days after mating resulted in a
60% decrease in implantation and, hence, pregnancy. CONCLUSIONS: This study
presents proof of concept that PC6 inhibition has the potential to block embryo
implantation, providing nonhormonal contraception for women.
PMID- 22079609
TI - The catalytic phosphoinositol 3-kinase isoform p110delta is required for glioma
cell migration and invasion.
AB - Glioblastoma multiforme (GBM) is a highly invasive and aggressive primary brain
tumour in which loss of phosphatase and tensin homologue deleted on chromosome 10
(PTEN), a negative regulator of PI3K signalling, is a common feature.
PTEN/PI3K/Akt signalling is involved in the regulation of proliferation,
apoptosis and cell migration. Deregulation of PI3K signalling is considered an
essential driver in gliomagenesis. However, the role of different PI3K isoforms
in glioma is still largely unclear. Here we show that the catalytic PI3K isoform
p110delta is consistently expressed at a high level in various glioma cell lines.
We used small interfering RNA to selectively deplete p110delta and to determine
its tumourigenic roles in PTEN-deficient cells. Interestingly, knockdown of
p110delta decreased the cell migration and invasion ability of all GBM cell lines
tested. Mechanistically, p110delta knockdown reduced the protein levels of focal
adhesion kinase and cell division cycle 42, key regulators of cellular migration.
In contrast, pharmacologic inhibition of p110delta by IC87114 or CAL-101 also
clearly impaired glioma cell migration but had no obvious effect on the invasion
capacity thus pinpointing to possible kinase-dependent and -independent roles of
p110delta in glioma pathology. In summary, our data provide novel evidence that
in glioma cells p110delta is a key regulator of cell movement and thus may
contribute to the highly invasive phenotype of GBM. Isoform specific targeting of
PI3Kdelta may be beneficial in the treatment of glioblastoma multiforme by
specifically inhibiting tumour cell migration capacity.
PMID- 22079610
TI - Mutagenicity and genotoxicity of suspended particulate matter in the Seine river
estuary.
AB - Highly mutagenic compounds such as some PAHs have been identified in surface
waters and sediments of the Seine river estuary. Suspended particulate matter
(SPM) represents a dynamic medium that may contribute to the exposure of aquatic
organisms to toxic compounds in the water column of the estuary. In order to
investigate major sources of mutagenic contaminants along the estuary, water
samples were taken at 25 m downstream of the outlet of an industrial wastewater
treatment plant (WWTP). SPM samples were analyzed for their genotoxicity with two
short-term tests, the Salmonella typhimurium mutagenicity assay (TA98+S9 mix) and
the comet assay in the human HepG2 cell line. Sampling sites receiving effluents
from a chemical dye industry and WWTP showed the highest mutagenic potencies,
followed by petrochemical industries, petroleum refinery and pulp and paper
mills. These data indicate that frame-shift mutagens are present in the Seine
river estuary. Furthermore, the comet assay revealed the presence of compounds
that were genotoxic for human hepatocytes (HepG2 cells). We also observed a high
level of mutagenic potency in the sediment of the lower estuary (3 * 104
revertants/g). The source of mutagenic and genotoxic compounds seems to be
associated with various types of effluents discharged in the Seine river estuary.
Both test systems resulted in the same assessment of the genotoxicity of
particulate matter, except for three of the 14 samples, underlying the
complementarity of bioassays.
PMID- 22079611
TI - Profile of the distal femur anterior cortex--a computer-assisted cadaveric study.
AB - INTRODUCTION: Accurate positioning and sizing of the femoral component in total
knee arthroplasty is important for stability and functional outcome. The purpose
of the study was to evaluate the bony profiles of the distal anterior femoral
cortex (AFC). MATERIALS AND METHODS: Anatomical bony landmarks on 50 adult
cadaveric femora were collected. Critical points were used to identify the distal
AFC surface. RESULTS: There were four anterior cortex profiles: (1) lateral side
highest and medial side lowest (56%); (2) lowest height in median area (26%); (3)
highest height in median area (14%); (4) medial side highest and lateral side
lowest (4%). DISCUSSION: Anterior referencing in TKA needs to represent the
anterior shape of the distal femoral cortex to prevent notching, femoro-patellar
overstuffing or flexion gap mismanagement. Due to the variability of the AFC,
surgeons have to carefully select the AFC landmark to be sure of avoiding
complications.
PMID- 22079612
TI - Is there a link between osteofibrous dysplasia and adamantinoma?
AB - Because of the relative frequency of osteofibrous dysplasia (OFD) and the gravity
of adamantinoma, it is important to know whether there is a link between these
two entities. A young boy had been followed from the age of 5 years for OFD of
the right tibia. At the age of 10, biopsy performed because of pain, revealed OFD
like adamantinoma. Surgery was undertaken, with en bloc proximal tibial resection
of 14 cm and reconstruction by free vascularized fibula and internal fixation.
This observation illustrates the risk of evolution of OFD-like adamantinoma,
showing the same unfavorable evolution as classic adamantinoma. Strict
surveillance is mandatory in OFD, with systematic biopsy in case of onset of pain
or increased tumor volume.
PMID- 22079613
TI - Risk factors and impact of orthopaedic monitoring on the outcome of avascular
necrosis of the femoral head in adults with sickle cell disease: 215 patients
case study with control group.
AB - INTRODUCTION: Sickle cell disease is a public health problem. The WHO has
recommended that global management be implemented to reduce mortality and
morbidity. Since no comprehensive care programme for bone and joint complications
exists, the Caribbean Sickle Cell Disease Center added orthopaedic consultation
to screen for and monitor these complications in 1992. HYPOTHESIS: Comprehensive
medical and surgical care of patients with sickle cell disease will reduce the
complications and disability associated with this disease. POPULATIONS AND
METHODS: Two populations were compared to evaluate the impact of comprehensive
disease management on the occurrence of avascular necrosis (AVN) of the femoral
head (femoral head AVN). The case-control series, [E-1994], included 115 patients
(58 SS and 57 S) without orthopaedic monitoring and was evaluated
retrospectively. The other patient series, [E-2008], included 215 patients (94 SS
and 121 SC) with systematic orthopaedic care and was followed prospectively. Age,
gender, duration of follow-up, haemoglobin levels, genotype, pain before
treatment, associated humerus AVN and leg ulcers were analysed. RESULTS: Femoral
head AVN occurred in young adult patients (35.3 +/- 4 years for [E-1994] and 29
+/- 3.4 years for [E-2008]). Only elevated haemoglobin levels were associated
with the occurrence of femoral head AVN, which suggests that increased blood
viscosity contributes to the condition ([E-1994], P<0.0001; [E-2008], P=0.001).
Treatment in [E-2008] patients reduced the number of femoral head AVN cases from
36.5% in [E-1994] to 14.4% in [E-2008] (P<0.0001). DISCUSSION: The prevention and
management of femoral head AVN must include medical treatment of the disease to
reduce the occurrence of painful vaso-occlusive crises, which are known to
trigger femoral head AVN. The effectiveness of this programme hinged on
identifying risk factors and using simple approaches (hydration, pain medication,
rest and crutches) to manage painful joint crises before femoral head AVN
appeared. These approaches could be implemented in disadvantaged countries where
sickle cell disease is prevalent. CONCLUSION: By knowing the risk factors,
symptomatic patients who are at risk for femoral head AVN can be identified and
additional evaluations can be performed early on in cases of hip pain.
PMID- 22079614
TI - Mechanistic profiling of the cAMP-dependent steroidogenic pathway in the H295R
endocrine disrupter screening system: new endpoints for toxicity testing.
AB - The need for implementation of effects on steroid synthesis and hormone
processing in screening batteries of endocrine disruptive compounds is widely
acknowledged. In this perspective, hormone profiling in the H295R adrenocortical
cell system is extensively examined and recently OECD validated (TG 456) as a
replacement of the minced testis assay. To further elucidate the complete
mechanisms and endocrine responsiveness of this cell system, microarray-based
gene expression profiling of the cAMP response pathway, one of the major pathways
in steroidogenesis regulation, was examined in H295R cells. Next to the steroid
synthesis pathway, a broader lipid metabolic pathway, including cholesterol
uptake/biosynthesis, hormone metabolization and many hormone and nuclear
receptors, are sensitive towards cAMP stimulation in this cell system. Moreover,
these pathways were clearly dose and time responsive, indicating early regulation
(10 h) of cholesterol uptake and mobilization genes and later expression (24-48
h) of cholesterol biosynthesis and steroid synthesis. Transcription network
analysis suggested several important transcription factors that could be involved
in regulation of the steroid hormone pathway, of which HNF4alpha, a broader lipid
metabolism related transcription factor, might indicate some new transcription
regulation patterns in this cell line. Overall we can conclude that the time
dependent gene expression patterns of the strongly coordinated cholesterol supply
and steroidogenesis pathways in the H295R cell system seem to reflect well the in
vivo ACTH/cAMP signalling cascade in adrenal cells. Moreover, the completeness of
the steroidogenic related pathways in terms of gene expression sensitivity,
indicates the H295R cell line as a promising cell line in omics-based endocrine
disruption screening.
PMID- 22079615
TI - Protective effect of methylprednisolone on paraquat-induced A549 cell
cytotoxicity via induction of efflux transporter, P-glycoprotein expression.
AB - Paraquat (PQ) is the third most extensively used herbicide in the world, causing
thousands of deaths due to accidental or intentional self-poisoning in developing
countries. Although many therapeutic treatments for PQ-induced poisonings have
been proposed and developed, the efficacy of these treatments is still poor and
requires further investigation. Methylprednisolone (trade name Solumedrol,
hereinafter MP) is a widely used steroid for the treatment of various diseases
but the function of MP has not yet been studied in the context of PQ-induced
intoxication. The aim of this study was to determine if MP can ameliorate PQ
induced toxicity in an alveolar A549 cell line by inducing ATP-dependent
transporter P-glycoprotein (P-gp) expression. P-gp expression and activity in the
PQ-treated A549 cell line were enhanced by MP treatment and cytotoxicity by PQ
was dramatically decreased. We also found that MP per se or together with PQ
induced P-gp expression by both Western blot and qRT-PCR analyses. In addition,
induced P-gp transporter was shown to improve the efflux effect on PQ-treated
A549 cell lines as was demonstrated using the Calcein-AM fluorescence
accumulation assay. In summary, MP induces the transmembrane ATP-dependent
transporter P-gp expression, which greatly improves PQ-treated A549 cell
viability, reduces accumulation of intracellular PQ and prevents PQ induced
cytotoxicity but it should be further evaluated in in vivo studies.
PMID- 22079616
TI - Hair analysis for biomonitoring of environmental and occupational exposure to
organic pollutants: state of the art, critical review and future needs.
AB - This paper presents the current state of the art in human hair analysis for the
detection of organic pollutants associated with environmental and occupational
exposure. The different chemical classes are reviewed with a special focus set on
compounds that were only recently investigated. The importance of methods
sensitivity and particularly the influence of this parameter on the results
presented in previous publications is highlighted. This report also investigates
the relevance of hair analysis as an indicator of subjects' level of exposure and
underlines limitations that are still associated with this matrix. This study
also presents a critical assessment of some specific aspects presented in the
literature as well as future needs to strengthen the position of hair as a
relevant biomarker of exposure to be used in epidemiological studies.
PMID- 22079617
TI - Use of PCR-based assays for the detection of the adventitious agent porcine
circovirus type 1 (PCV1) in vaccines, and for confirming the identity of cell
substrates and viruses used in vaccine production.
AB - Safety and quality are important issues for vaccines. Whereas reversion to
virulence poses a safety risk with live attenuated vaccines, the potential for
the presence of adventitious agents is also an issue of vaccine quality. The
recent detection or porcine circovirus type 1 (PCV1) in human vaccines has
further highlighted the importance of quality control in vaccine production. The
purpose of this study was to use a novel conventional PCR to detect PCV1, and
subsequently screen materials used in the manufacture of vaccines at Bharat
Biotech International Limited, India. The genome or gene fragments of PCV1 were
not detected in any of the vaccines and materials tested, including the live
attenuated rotavirus vaccine candidate ROTAVAC((r)). Further, the identity of the
cells and the viruses used as starting materials in the manufacture of these
vaccines was confirmed by species-specific PCR or virus-specific RT-PCR, and no
cross-contamination was detected in any case. The methods can be applied for
regular in-house quality control screening of raw materials and seeds/banks, as
well as formulated vaccines.
PMID- 22079618
TI - Expression of the influenza M2 protein in three different eukaryotic cell lines.
AB - Current influenza virus vaccines provide protection in part by antibodies induced
to the two surface glycoproteins, the hemagglutinin and the neuraminidase. As a
result of the continuous antigenic drift of these glycoproteins, a frequent
update of the composition of influenza vaccines is required. The search for more
conserved viral epitopes which would induce protective immunity against seasonal
influenza viruses and eventually also to novel pandemic influenza viruses has a
long history. The ectodomain of the Influenza A Virus M2 Protein has been
identified as a possible candidate immunization against influenza. The present
study describes the expression of cloned M2 gene in MDCK, HeLa, and COS-7 cells,
i.e., in three established eukaryotic cell lines. The expression efficiency was
demonstrated by immunofluorescent staining of transfected cells by ELISA, by SDS
PAGE-, and by Western blot-analysis. High level of expression was observed in COS
7 cells. Expression in HeLa and MDCK cells was less efficient. The plasmids
constructed in this study may, after modifications, be used for the production of
a DNA vaccine. Alternatively the expression product could be refined and used as
a purified antigen for the vaccine. Thus, the M2 recombinant protein provides an
ideal product for further antigenic, biochemical, structural and functional
characterization of the protein and for evaluating its potential for
immunodiagnosis and in vaccine studies.
PMID- 22079619
TI - Standardization of denaturing gradient gel electrophoresis (DGGE) for
discrimination of Victoria and Yamagata lineages of influenza B.
AB - In this study, a PCR-DGGE protocol was standardized in order to distinguish
Victoria and Yamagata influenza B lineages directly from clinical samples. After
routine multiplex PCR characterization, amplicons of the haemagglutinin gene
bearing a 40bp-length GC clamp were generated by nested-PCR and analyzed by
electrophoresis in 6% polyacrylamide gel with a 25-45% urea-formamide gradient.
The results showed a perfect correlation between DGGE and phylogenetic analyses
for all compared samples, besides some distinct profiles in Victoria and Yamagata
groups that could be used to infer variability inside these groups. In summary,
this DGGE protocol for the haemagglutinin gene is rapid, useful and efficient,
being an alternative for discrimination between the influenza B lineages.
PMID- 22079620
TI - Rapid detection of sacbrood virus in honeybee using ultra-rapid real-time
polymerase chain reaction.
AB - A real-time reverse transcription-polymerase chain reaction (qRT-PCR) assay was
developed for the fast and highly sensitive detection of the sacbrood virus (SBV)
genome and applied to honeybee samples. Using plasmid DNA containing a partial
SBV genome and diluted serially, as few as 1*10(2)copies/MUl (correlation co
efficiency >0.99) were detected by the qRT-PCR assay, whereas 1*10(3)copies/MUl
were detected by the conventional RT-PCR assay. As a rapid detection method,
ultra-rapid real-time PCR (URRT-PCR) was carried out with a GenSpector TMC-1000
silicon-glass chip-based thermal cycler, which has a 6MUl micro-chamber volume
and a fast outstandingly heating/cooling rate. Using this method, 10(3)copies of
pBX-SBV3.8 clone were detected within 17 min after 40 PCR cycles, including
melting point analysis. To reduce the detection time for SBV, synthesis of the
cDNA of the SBV genome from a honeybee sample was attempted for different
reaction times and the cDNA was used as the template for URRT-PCR assays. The
results indicated that a 5 min reaction time was sufficient to synthesize cDNA as
the template for the SBV URRT-PCR assay. This study described a novel PCR-based
method that is able to detect an RNA virus in environmental samples within 22
min, including reverse transcription, PCR detection and melting point analysis in
real-time.
PMID- 22079621
TI - Development and evaluation of a real-time RT-PCR assay for Sindbis virus
detection.
AB - Sindbis virus (SINV) is an arthropod-borne alphavirus found widely in Eurasia,
Africa and Oceania. Clinical SINV infection, characterized by rash and arthritis,
is reported primarily in Northern Europe. The laboratory diagnosis of SINV
infection is based currently on serology. A one-step TaqMan((r)) real-time RT-PCR
assay was developed for the detection of SINV and evaluated its clinical
performance with acute-phase serum samples. The specificity and sensitivity of
the real-time PCR assay were assessed using cell cultured Finnish SINV strains.
The applicability of the assay for diagnostic use was evaluated using 58 serum
samples from patients infected with SINV. The real-time RT-PCR assay was specific
and sensitive for the detection of SINV in cell culture supernatants with a 95%
detection limit of 9 genome copies/reaction determined by probit analysis.
However, in the assay only 7/58 (12%) of serum samples were positive of which two
were also positive by conventional nested PCR assay and none by virus isolation.
This novel assay is specific and sensitive for detection of SINV and can be used
for example for screening SINV in wildlife. However, molecular diagnostic
techniques using serum samples seem to be of limited value for the diagnosis of
human SINV infection due to the short and low viraemia of infection with SINV.
PMID- 22079622
TI - Ethnic differences in burn mechanism and severity in a UK paediatric population.
AB - BACKGROUND: Burns in children are a major public health problem with long-lasting
physical and psychological sequelae. Previous studies have identified that
children from ethnic minorities have higher rates of burns. OBJECTIVE: The
purpose of this study was to analyse the differences in paediatric burn mechanism
and severity within different ethnic groups. METHODS: Demographic and burn data
from all paediatric patients presenting with burn at the Burns Service, Alder Hey
Children's NHS Foundation Trust, Liverpool, UK were collected over a 5 year
period. RESULTS: 766 paediatric patients (age range: 7 days to 16 years old,
mean: 4.5 years) were included in the study. Ethnic minority children had higher
total body surface area of burn (p<0.001) and length of stay (p<0.001) compared
with non-ethnic minority children. Chinese children had most burns from hot food
(60%), whereas non-ethnic minority children had most burns from hot beverages
(35.8%). Ethnic minority children were more deprived compared with non-ethnic
minority children (Index of Multiple Deprivation 48.7 vs. 40.9; p=0.02).
CONCLUSION: These results show that there are significant differences in the
patterns of burns in ethnic minority groups. This data should guide targeted
public health prevention and educational strategies.
PMID- 22079623
TI - Developing the first Bi-National clinical quality registry for burns--lessons
learned so far.
AB - BACKGROUND: Prior to 2004, Australia and New Zealand lacked a systematic method
to measure burn incidence, aetiology and quality of care or outcomes for burn
patients. The Australian and New Zealand Burn Association (ANZBA) commenced the
Bi-National Burns Registry (Bi-NBR) at that time. As a result of the limitations
identified with the registry, ANZBA collaborated with Monash University to
develop the registry as a clinical quality registry [1]. METHOD: A Steering
Committee was formed to oversee the conduct and development of the registry. A
Reference Committee revised the minimum dataset and working parties developed
clinical quality indicators, and an outcome pilot project. RESULTS: Institutional
ethics approval has been obtained for 16 out of 17 sites and a formalised
governance process developed. The minimum dataset was improved and includes
clinical quality indicators. The Bi-NBR clinical quality registry was launched on
July 1st 2009. A long-term outcome pilot project has been developed with five
burn units participating (recruitment commenced October 2009). CONCLUSION:
Through a rigorous development process, a clinical quality registry for burns has
been established which allows benchmarking of processes and outcomes between
units. The intention is that all burns units across Australia and New Zealand
will contribute to the registry.
PMID- 22079624
TI - Exploring the mother's perception of latching difficulty in the first days after
birth: an interview study in an Italian hospital.
AB - OBJECTIVES: to explore Italian mothers' perception of latching difficulty in the
first days postpartum. Latching difficulty is the only qualitative item included
in the Breastfeeding Assessment Score (BAS) that has proven to be a valid
instrument to identify women at risk of early breast-feeding cessation. DESIGN
AND SETTING: a phenomenologic-hermeneutic study was conducted at the Mangiagalli
Clinic, Milan, Italy. PARTICIPANTS: fifteen women who received a BAS <8
(indicating an early breast-feeding cessation risk) were interviewed before
discharge, and later by phone, for member checking. FINDINGS: six main themes
emerged from the interviews: (1) Breast feeding can be difficult due to both the
mother and newborn, and encountered problems are mainly physical. (2) Women have
developed different strategies to overcome latching difficulties. (3) Early
breast feeding, even if difficult, is mostly related to positive feelings. (4)
Breast-feeding sustains the child-mother relationship. (5) Mothers have already
developed constructive theories about human colostrum, breast milk and artificial
milk. (6) Receiving integrated health-care support and education is fundamental
to overcome early breast-feeding problems. CONCLUSIONS: the interviews suggest
that although mothers experience difficulties during early breast feeding,
positive feelings seem to prevail over the negative ones, and mothers have
already developed strategies to overcome their latching problems. Receiving
support is fundamental, but this must be consistent among health-care
professionals. IMPLICATIONS FOR PRACTICE: when evaluating the BAS item 'latching
difficulty', midwives should consider that difficulties are primarily related to
physical problems. Furthermore, to sustain lactation, mothers should be helped to
elicit their experience of early breast feeding, as it can be extremely
satisfying, even when difficulties in latching the babies occur.
PMID- 22079625
TI - Reproductive health services in Malawi: an evaluation of a quality improvement
intervention.
AB - OBJECTIVE: this study was to evaluate the impact of a quality improvement
initiative in Malawi on reproductive health service quality and related outcomes.
DESIGN: (1) post-only quasi-experimental design comparing observed service
quality at intervention and comparison health facilities, and (2) a time-series
analysis of service statistics. SETTING: sixteen of Malawi's 23 district
hospitals, half of which had implemented the Performance and Quality Improvement
(PQI) intervention for reproductive health at the time of the study.
PARTICIPANTS: a total of 98 reproductive health-care providers (mostly nurse
midwives) and 139 patients seeking family planning (FP), antenatal care (ANC),
labour and delivery (L&D), or postnatal care (PNC) services. INTERVENTION: health
facility teams implemented a performance and quality improvement (PQI)
intervention over a 3-year period. Following an external observational assessment
of service quality at baseline, facility teams analysed performance gaps,
designed and implemented interventions to address weaknesses, and conducted
quarterly internal assessments to assess progress. Facilities qualified for
national recognition by complying with at least 80% of reproductive health
clinical standards during an external verification assessment. MEASUREMENTS: key
measures include facility readiness to provide quality care, observed health-care
provider adherence to clinical performance standards during service delivery, and
trends in service utilisation. FINDINGS: intervention facilities were more likely
than comparison facilities to have the needed infrastructure, equipment,
supplies, and systems in place to offer reproductive health services. Observed
quality of care was significantly higher at intervention than comparison
facilities for PNC and FP. Compared with other providers, those at intervention
facilities scored significantly higher on client assessment and diagnosis in
three service areas, on clinical management and procedures in two service areas,
and on counselling in one service area. Service statistics suggest that the PQI
intervention increased the number of Caesarean sections, but showed no impact on
other indicators of service utilisation and skilled care. CONCLUSIONS: the PQI
intervention showed a positive impact on the quality of reproductive health
services. The effects of the intervention on service utilisation had likely not
yet been fully realized, since none of the facilities had achieved national
recognition before the evaluation. Staff turnover needs to be reduced to maximise
the effectiveness of the intervention. IMPLICATIONS FOR PRACTICE: the PQI
intervention evaluated here offers an effective way to improve the quality of
health services in low-resource settings and should continue to be scaled up in
Malawi.
PMID- 22079626
TI - A hermeneutic phenomenological study of Belgian midwives' views on ideal and
actual maternity care.
AB - OBJECTIVE: to explore midwives' views on ideal and actual maternity care. DESIGN:
a qualitative hermeneutic phenomenological study based on the method of van Manen
(1997) using individual in-depth interviews to gather data. SETTING: Flanders,
Belgium. PARTICIPANTS: 12 purposively sampled midwives, of whom nine from three
different non-university hospitals and three independent midwives conducting home
births. FINDINGS: five major themes were identified: 'woman-centred care',
'cultural change', 'support', 'midwife and obstetrician as equal partners' and
'inter-collegial harmony'. In this paper 'woman-centred care', 'cultural change'
and 'support' are discussed along with their subthemes. Midwives thought ideal
maternity care should be woman-centred in which there were no unnecessary
interventions, women were able to make an informed choice and there was
continuity of care. Furthermore, ideal maternity care should be supported by
midwifery education and an adequate staffing level. Also, a cultural change was
wanted as actual maternity care was perceived to be highly medicalised. Barriers
to achieving woman-centred care and possible strategies to overcome these were
described. CONCLUSIONS: findings from this study were consistent with those of
other studies on midwives' experience with obstetric-led care. Despite the
medicalised care, midwives still held a woman-centred ideology. In order to be
able to work according to their ideology, different barriers need to be
addressed. Although midwives suggested strategies to overcome these barriers,
some were considered to be very difficult to overcome.
PMID- 22079627
TI - Identification of alternatively spliced multiple transcripts of 5
hydroxytryptamine receptor in mouse.
AB - 5-Hydroxytryptamine receptors (HTRs) are coded by seventeen different genes in
mouse. One of them is htr4 that codes for the HTR4 receptor, a G-protein coupled
receptor containing seven transmembrane domains. In mouse, the gene is reported
to contain 6 exons and 5 introns. Our present study reports the presence of four
transcript variants of this gene encoding different N-termini. These transcripts
are expressed in neuronal as well as non-neuronal tissues of mouse. We have
identified five novel coding exons present at the 5' end of the gene which splice
with the published internal exon in an alternative manner making a total of five
transcripts, four new transcript variants (T1, T2l, T2s and T3) and one published
earlier. All five transcripts encoding different N-termini were expressed in
mouse brain. It was interesting to note the expression of only T3 transcript that
was also detected in heart muscle and is the only htr4 transcript expressed in
heart. For the first time a transcript of htr4 gene was detected in the heart of
the mouse which might help us to make use of small laboratory animals to study
HTR4 in heart. As this transcript is unique to the heart it can serve as
potential therapeutic target for various cardiovascular disorders and
dysregulation of heart rate, atrial contraction and atrial relaxation. These
variants display heterogeneous properties in terms of the presence of signal
peptide, acetylation, phosphorylation and glycosylation. Thus alternative
splicing of htr4 producing heterogeneous N-termini increases the diversity of the
receptor.
PMID- 22079628
TI - Sigma-1Rs are upregulated via PERK/eIF2alpha/ATF4 pathway and execute protective
function in ER stress.
AB - Sigma-1 receptors (Sig-1Rs) are the ER resident proteins. Sig-1Rs in the brain
have been reported to be significantly reduced in patients with schizophrenia.
The impediment of regulating Sig-1Rs expression levels increases the risk for
schizophrenia. Thus elucidating the mechanism regulating Sig-1Rs expression might
provide the strategy to prevent mental disorders. In this study, we have
demonstrated that Sig-1Rs were transcriptionally upregulated by ATF4 in ER
stress. Moreover, ATF4 directly bounds to the 5' flanking region of Sig-1R gene.
The reporter activities using this region were enhanced in ER stress, or by ATF4
alone. The reporter activities with the pathogenic polymorphisms (GC-241-240TT, T
485A) were reduced. In addition, the processing of Caspase-4 was inhibited by Sig
1Rs. These results indicate that Sig-1Rs are transcriptionally upregulated via
the PERK/eIF2alpha/ATF4 pathway and ameliolate cell death signaling. This study
is the first report identifying the transcription factor regulating Sig-1Rs
expression.
PMID- 22079629
TI - Tetraspanins regulate the protrusive activities of cell membrane.
AB - Tetraspanins have gained increased attention due to their functional versatility.
But the universal cellular mechanism that governs such versatility remains
unknown. Herein we present the evidence that tetraspanins CD81 and CD82 regulate
the formation and/or development of cell membrane protrusions. We analyzed the
ultrastructure of the cells in which a tetraspanin is either overexpressed or
ablated using transmission electron microscopy. The numbers of microvilli on the
cell surface were counted, and the radii of microvillar tips and the lengths of
microvilli were measured. We found that tetraspanin CD81 promotes the microvillus
formation and/or extension while tetraspanin CD82 inhibits these events. In
addition, CD81 enhances the outward bending of the plasma membrane while CD82
inhibits it. We also found that CD81 and CD82 proteins are localized at
microvilli using immunofluorescence. CD82 regulates microvillus morphogenesis
likely by altering the plasma membrane curvature and/or the cortical actin
cytoskeletal organization. We predict that membrane protrusions embody a common
morphological phenotype and cellular mechanism for, at least some if not all,
tetraspanins. The differential effects of tetraspanins on microvilli likely lead
to the functional diversification of tetraspanins and appear to correlate with
their functional propensity.
PMID- 22079631
TI - Myostatin induces autophagy in skeletal muscle in vitro.
AB - Myostatin is an important regulator of muscle mass that contributes to the loss
of muscle mass in a number of chronic diseases. Myostatin is known to activate
the expression of components of the ubiquitin-proteosomal pathway but its effect
on the autophagic pathway is not known. We therefore analysed the effect of
myostatin and TGF-beta on autophagy in C2C12 cells by determining the effect of
these proteins on LC3 processing, autophagosome formation and autophagy gene
expression. Both myostatin and TGF-beta increased LC3II expression and turnover
as well as autophagosome formation (marked by the formation of puncta in LC3-GFP
transfected cells). Myostatin also significantly increased the expression of ATG
4B and ULK-2 mRNA while TGF-beta caused a trend towards an increase in these
genes. We conclude that myostatin and TGF-beta increase autophagy in skeletal
muscle cells.
PMID- 22079630
TI - Reduction in number of sarcolemmal KATP channels slows cardiac action potential
duration shortening under hypoxia.
AB - The cardiovascular system operates under demands ranging from conditions of rest
to extreme stress. One mechanism of cardiac stress tolerance is action potential
duration shortening driven by ATP-sensitive potassium (K(ATP)) channels. K(ATP)
channel expression has a significant physiologic impact on action potential
duration shortening and myocardial energy consumption in response to physiologic
heart rate acceleration. However, the effect of reduced channel expression on
action potential duration shortening in response to severe metabolic stress is
yet to be established. Here, transgenic mice with myocardium-specific expression
of a dominant negative K(ATP) channel subunit were compared with littermate
controls. Evaluation of K(ATP) channel whole cell current and channel
number/patch was assessed by patch clamp in isolated ventricular cardiomyocytes.
Monophasic action potentials were monitored in retrogradely perfused, isolated
hearts during the transition to hypoxic perfusate. An 80-85% reduction in cardiac
K(ATP) channel current density results in a similar magnitude, but significantly
slower rate, of shortening of the ventricular action potential duration in
response to severe hypoxia, despite no significant difference in coronary flow.
Therefore, the number of functional cardiac sarcolemmal K(ATP) channels is a
critical determinant of the rate of adaptation of myocardial membrane
excitability, with implications for optimization of cardiac energy consumption
and consequent cardioprotection under conditions of severe metabolic stress.
PMID- 22079632
TI - Characterization of residues in the cytoplasmic domain of the LDL receptor
required for exit from the endoplasmic reticulum.
AB - Newly synthesized low density lipoprotein receptors (LDLRs) exit the endoplasmic
reticulum (ER) as the first step in the secretory pathway. In this study we have
generated truncating deletions and substitutions within the 50 amino acid
cytoplasmic domain of the LDLR in order to identify residues required for the
exit from the ER. Western blot analysis was used to determine the relative
amounts of the 120 kDa precursor form of the LDLR located in the ER and the 160
kDa mature form that has exited the ER. These studies have shown that the exit of
an LDLR lacking the cytoplasmic domain, is markedly reduced. Moreover, the longer
the cytoplasmic domain, the more efficient is the exit from the ER. At least 30
residues were required for the LDLR to efficiently exit the ER. Mutations in the
two di-acidic motifs ExE(814) and/or ExD(837) had only a small effect on the exit
from the ER. The requirement for a certain length of the cytoplasmic domain for
efficient exit from the ER, could reflect the distance needed to interact with
the COPII complex of the ER membrane or the requirement for the LDLR to undergo
dimerization.
PMID- 22079633
TI - The intriguing enhancement of chloroperoxidase mediated one-electron oxidations
by azide, a known active-site ligand.
AB - Azide is a well-known inhibitor of heme-enzymes. Herein, we report the counter
intuitive observation that at some concentration regimes, incorporation of azide
in the reaction medium enhances chloroperoxidase (CPO, a heme-enzyme) mediated
one-electron abstractions from several substrates. A diffusible azidyl radical
based mechanism is proposed for explaining the phenomenon. Further, it is
projected that the finding could have significant impact on routine in situ or in
vitro biochemistry studies involving heme-enzyme systems and azide.
PMID- 22079634
TI - Characterization of neuritin as a novel angiogenic factor.
AB - Neuritin (NRN1), a neurotrophic factor, plays an important role in neurite growth
and neuronal survival. In this study, we identify a new function of neuritin as a
novel angiogenic factor in vitro and in vivo. Recombinant neuritin protein had no
effect on the proliferation and adhesion of human umbilical vein endothelial
cells (HUVEC), but it dose-dependently increased endothelial cell migration.
Furthermore, overexpression of neuritin significantly promoted tumor
angiogenesis, and surprisingly, it inhibited tumor growth in a xenograft tumor
model. Thus, our results indicate that neuritin may act as an important
angiogenic factor and serve as a potential target for cancer therapy.
PMID- 22079635
TI - Regulation of MMP10 expression by the transcription factor CHF1/Hey2 is mediated
by multiple E boxes.
AB - The cardiovascular restricted bHLH transcription factor CHF1/Hey2 has been
reported to play an important role in regulation of vascular smooth muscle
phenotype and gene expression, but the downstream target genes that mediate these
effects have not been completely elucidated. We have previously found that loss
of CHF1/Hey2 in vascular smooth muscle cells leads to dysregulated expression of
the matrix metalloproteinase gene MMP10 after treatment with PDGF. Here we report
that loss or knockdown of CHF1/Hey2 in vascular smooth muscle cells leads to
increased expression and activity of MMP10 at baseline, suggesting a direct
effect of CHF1/Hey2 on MMP10 promoter regulation. To test this hypothesis, we
assessed the effects of CHF1/Hey2 on a 2.5 kb MMP10 promoter region upstream of
the transcriptional start site. We found that this region contains multiple
elements including 12 E-boxes that mediate constitutive activity and repression
by CHF1/Hey2 in 293T cells and A7r5 smooth muscle cells. Surprisingly, mutation
of these E-boxes not only abolished CHF1/Hey2 repression, but also diminished
constitutive expression. In addition, we observed that some of these mutations
unmasked an activator function for CHF1/Hey2, which has not been previously
described. These findings support the hypothesis that CHF1/Hey2 is an important
regulator of MMP10 expression.
PMID- 22079636
TI - Barrier characteristics of epithelial cultures modelling the airway and
intestinal mucosa: a comparison.
AB - The barrier characteristics of polarized layers of Calu-3 and Caco-2 cell lines,
as commonly used in vitro models of intestinal and airway mucosa, respectively,
were investigated by assessing the translocation of model macromolecules and
nanoparticles. The barrier capacity of the cell layers towards the movement of
macromolecules and nanoparticulates differed considerably between the cell lines.
Permeability studies revealed the existence of a notably larger solute molecular
weight limit for paracellular diffusion in Caco-2 monolayers compared to Calu-3
cells. Removal of mucus in Calu-3 cells resulted in cell layers exhibiting a
larger macromolecular permeability, in addition to improved nanoparticle
translocation. Microscopic examination of the tight junctions, as cellular
features that play a major role in preventing transepithelial movement of
macromolecules, revealed that the appearance of cell-cell boundaries was notably
different in the two cell lines, which could explain the differences in
macromolecular permeability. The data overall showed that epithelial layers of
airway Calu-3 and intestinal Caco-2 cell cultures in vitro exhibit a different
level of restrictiveness and this is due to the cell morphology and the presence
of mucus.
PMID- 22079637
TI - Structure-activity relationship of T-cell receptors based on alanine scanning.
AB - T-cell receptors (TCR) recognize complexes between human leukocyte antigens (HLA)
and peptides derived from intracellular proteins. Their therapeutic use for
antigen targeting, however, has been hindered by the very low binding affinity of
TCRs, typically in the 1- to 100-MUM range. Therefore, to construct mutant TCRs
with high binding affinity, we need to understand the relationship between the
structure and activity of these molecules. Here, we attempted to identify the
amino acids of the TCR that are important for binding to the peptide/HLA complex.
We used a TCR that recognizes complexes between HLA-A(*)0201 and the peptide from
tyrosinase, antigen overexpressed in melanoma. We changed 16 amino acids in the
third complementarity-determining region within the TCR to alanine and examined
the effect on binding affinity. Five alanine substitutions decreased the binding
affinity to below 10% compared with that of wild-type TCR. In contrast, one
alanine substitution caused a faster on-rate and slower off-rate, and increased
the binding affinity to three times that of the wild-type TCR. Our results
provide fundamental information for constructing mutant TCRs with high binding
affinity.
PMID- 22079638
TI - MicroRNA-34a regulates migration of chondroblast and IL-1beta-induced
degeneration of chondrocytes by targeting EphA5.
AB - MicroRNAs function as an endogenous mode of fine gene regulation and have been
implicated in multiple differentiation and developmental processes. In the
present study, we investigated the role of miRNA-34 during chondrogenic
differentiation of chick limb mesenchymal cells. We found that the expression of
miR-34a increased upon chondrogenic inhibition. Blockade of miR-34a via PNA-based
antisense oligonucleotides (ASOs) recovered the chondro-inhibitory actions of JNK
inhibitor on migration of chondrogenic progenitors and the formation of
precartilage condensation. Furthermore, we determined that EphA5 is a relevant
target of miR-34a during chondrogenesis. MiR-34a was necessary and sufficient to
down-regulate EphA5 expression, and up-modulation of EphA5 is sufficient to
overcome inhibitory actions of miR-34 inhibition on cell migration and
condensation of chick limb mesenchymal cells on collagen substrate. Taken
together, our data suggest that miR-34a is a negative modulator of
chondrogenesis, particularly in migration of chondroblasts, by targeting EphA5
and resulting inhibition of cellular condensation during chondrogenesis of chick
limb mesenchymal cells.
PMID- 22079639
TI - Differential expression of nanog1 and nanogp8 in colon cancer cells.
AB - Nanog, a homeodomain transcription factor, is an essential regulator for
promotion of self-renewal of embryonic stem cells and inhibition of their
differentiation. It has been demonstrated that nanog1 as well as nanogp8, a
retrogene of nanog1, is preferentially expressed in advanced stages of several
types of cancer, suggesting their involvement during cancer progression. Here, we
investigated the expression of Nanog in well-characterized colon cancer cell
lines. Expression of Nanog was detectable in 5 (HCT116, HT29, RKO, SW48, SW620)
out of seven cell lines examined. RNA expression analyses of nanog1 and nanogp8
indicated that, while nanog1 was a major form in SW620 as well as in teratoma
cells Tera-2, nanogp8 was preferentially expressed in HT29 and HCT116. In
accordance with this, shRNA-mediated knockdown of nanog1 caused the reduction of
Nanog in SW620 but not in HT29. Inhibition of Nanog in SW620 cells negatively
affected cell proliferation and tumor formation in mouse xenograft. Biochemical
subcellular fractionation and immunostaining analyses revealed predominant
localization of Nanog in cytoplasm in SW620 and HT29, while it was mainly
localized in nucleus in Tera-2. Our data indicate that nanog1 and nanogp8 are
differentially expressed in colon cancer cells, and suggest that their expression
contributes to proliferation of colon cancer cells.
PMID- 22079640
TI - [Diagnostic value of clinical signs and clinical scoring for deep vein thrombosis
after hip and knee arthroplasty].
AB - AIM: To assess the most accurate clinical signs and clinical scoring for
selecting patients who could benefit from duplex sonography screening of deep
venous thrombosis (DVT) after total hip replacement (THR) and total knee
replacement (TKR). METHODS: Four hundred and eighty consecutive patients with THR
or TKR were included. Post-operative physical examination and venous duplex
sonography (day 6-10) were performed in all of them. DVT was diagnosed in
presence of at least 3mm thick and 3 cm long incomplete venous compressibility.
Clinical prediction scores were built from clinical parameters, which were
significantly associated with DVT in univariate analysis, one of them weighted
from logistic multivariate regression coefficients. RESULTS: Recent DVT was
diagnosed in 74 patients (15.7%) (29.6% after TKR and 8.4% after THR). There was
no isolated proximal DVT and extensive DVTs were very rare (TKR 1.2% vs. THR 1%).
Two TKR patients developed pulmonary embolism (1.2%). One died (0.6%). Univariate
analysis showed significant association between provoked localized limb pain,
pitting edema and difference in calf circumference (DCC), and DVT (P<0.0001).
DCC> 3 cm was significantly associated with DVT (32.4% vs. 16.6%, OR 2.4 [1.3
4.2] ; P=0.001). In multivariate analysis the only two clinical manifestations
independently associated with DVT were provoked localized limb pain (adjusted OR
2.3 [1.3-4.1] ; P<0.01) and DCC> 3 cm (adjusted OR 2.0 [1.1-3.8] ; P=0.04). A
clinical score value greater or equal to 4 was associated with a risk of DVT of
34%, and a value<4 with a risk of 9%. CONCLUSIONS: After THR or TKR, DCC> 3 cm or
a clinical risk score greater or equal to 4 could be used as an accurate and easy
clinical test for assessing the need for further DVT screening by sonography.
PMID- 22079641
TI - A 48-year-old woman with amnesia.
PMID- 22079642
TI - Experience talks.
PMID- 22079643
TI - What factors increase the accuracy and inter-rater reliability of the Emergency
Severity Index among emergency nurses in triaging adult patients?
PMID- 22079644
TI - Have fun, be safe: the start of an ED community outreach program.
PMID- 22079645
TI - Assessment of stroke: a review for ED nurses.
PMID- 22079646
TI - Serotonin syndrome-muscle rigidity and confusion in the older adult.
PMID- 22079647
TI - Do nurses eat their young? Truth and consequences.
PMID- 22079648
TI - Early detection and treatment of severe sepsis in the emergency department:
identifying barriers to implementation of a protocol-based approach.
AB - INTRODUCTION: Despite evidence to support efficacy of early goal-directed therapy
for resuscitation of patients with severe sepsis and septic shock in the
emergency department, implementation remains incomplete. To identify and address
specific barriers at our institution and maximize benefits of a planned sepsis
treatment initiative, a baseline assessment of knowledge, attitudes, and
behaviors regarding detection and treatment of severe sepsis was performed.
METHODS: An online survey was offered to nurses and physicians in the emergency
department of a major urban academic medical center. The questionnaire was
designed to assess (1) baseline knowledge and self-reported confidence in
identification of systemic inflammatory response syndrome and sepsis; (2) current
practices in treatment; (3) difficulties encountered in managing sepsis cases;
(4) perceived barriers to implementation of a clinical pathway based on early
quantitative resuscitation goals; and (5) to elicit suggestions for improvement
of sepsis treatment within the department. RESULTS: Respondents (n = 101)
identified barriers to a quantitative resuscitation protocol for sepsis. These
barriers included the inability to perform central venous pressure/central venous
oxygen saturation monitoring, limited physical space in the emergency department,
and lack of sufficient nursing staff. Among nurses, the greatest perceived
contributor to delays in treatment was a delay in diagnosis by physicians; among
physicians, a delay in availability of ICU beds and nursing delays were the
greatest barriers. Despite these issues, respondents indicated that a written
protocol would be helpful to them. DISCUSSION: Knowledge gaps and procedural
hurdles identified by the survey will inform both educational and process
components of an initiative to improve sepsis care in the emergency department.
PMID- 22079649
TI - The war against warfarin: evaluating current treatment guidelines for patients
who have had an acute ischemic stroke and are taking warfarin.
PMID- 22079650
TI - Lessons learned in developing and implementing the nurse practitioner role in an
urban Canadian emergency department.
PMID- 22079651
TI - Preventing downstream Clostridium difficile infections with upstream antibiotic
management.
AB - Clostridium difficile infection (CDI) remains a devastating cause of hospital
acquired diarrhea. Treatment modalities have centered traditionally on two
antibiotics, metronidazole and oral vancomycin. Both drugs, however, have been
associated with variable relapse rates up to 20%. Fidaxomicin, a new oral agent
with targeted C. difficile activity, may reduce the chance of relapse, but has
not yet entered mainstream clinical practice. CDI is associated with significant
morbidity and mortality. In the past decade, the emergence of hypervirulent
strains has led to medical management failures and the increased need for
surgical intervention. Control of the disease requires excellent infection
prevention practices, yet can remain a difficult operational challenge. Selective
pressure of antibiotic therapy can increase or lessen the risk depending on the
agent used. We believe that antibiotic selection for the treatment of patients
with any infectious disease must account for the possibility of subsequent severe
CDI. We posit 'upstream' antibiotic selection will prevent 'downstream' CDI and
potentially ameliorate deficiencies in infection prevention practices. Formal
studies evaluating such an endpoint would be useful in this era of dangerous CDI.
PMID- 22079652
TI - Leprosy and the natural selection for psoriasis.
AB - Psoriasis is a genetically determined, almost worldwide-distributed inflammatory
skin disease with overall higher prevalence among people of northern European
ancestry. Since enhanced innate immunity is an important feature of the
pathophysiology of this disease, it has been proposed that differences in the
prevalence of psoriasis in different populations mainly result from differences
in natural selection for gene polymorphisms associated with more vigorous
immunity against infectious agents. However the infectious agent(s) that could
have acted upon human population as selection pressure for psoriasis is still
obscure. Based on the remarkable clinical observation that psoriasis and leprosy
are almost mutually exclusive, a fact that is further supported by divergent HLA
patterns in patients with psoriasis and leprosy we propose that "resisting
leprosy" may have been the evolutionary advantage that favoured the expansion of
some psoriasis-associated genotypes especially in the progenitors of modern
Europeans. Moreover, we suggest that the spreading out of a certain genetic
resistance trait may offer a supplementary explanation for the better
understanding of the relatively rapid decline of leprosy in the late medieval
epoch in Europe. Both genetic and paleoepidemiologic methods could be employed in
order to challenge the present hypothesis.
PMID- 22079653
TI - Extensive abnormality of brain white matter integrity in pathological gambling.
AB - Several magnetic resonance imaging (MRI) studies in substance use disorders have
shown brain white matter integrity abnormalities, but there are no studies in
pathological gambling, a form of behavioral addiction. Our objective was to
investigate possible changes in regional brain gray and white matter volumes, and
axonal white matter integrity in pathological gamblers compared to healthy
controls. Twenty-four subjects (12 clinically diagnosed male pathological
gamblers and 12 age-matched healthy male volunteers) underwent structural and
diffusion weighted brain MRI scans, which were analyzed with voxel-based
morphometry and tract based spatial statistics. In pathological gamblers,
widespread lower white matter integrity (lower fractional anisotropy, higher mean
diffusivity) was seen in multiple brain regions including the corpus callosum,
the cingulum, the superior longitudinal fascicle, the inferior fronto-occipital
fascicle, the anterior limb of internal capsule, the anterior thalamic radiation,
the inferior longitudinal fascicle and the uncinate/inferior fronto-occipital
fascicle. There were no volumetric differences in gray or white matter between
pathological gamblers and controls. The results suggest that pathological
gambling is associated with extensive lower integrity of several brain white
matter tracts. The diffusion abnormality closely resembles previous findings in
individuals with substance addictions.
PMID- 22079654
TI - Use of inverse probability weighting to adjust for non-participation in
estimating brain volumes in schizophrenia patients.
AB - Low participation is a potential source of bias in population-based studies. This
article presents use of inverse probability weighting (IPW) in adjusting for non
participation in estimation of brain volumes among subjects with schizophrenia.
Altogether 101 schizophrenia subjects and 187 non-psychotic comparison subjects
belonging to the Northern Finland 1966 Birth Cohort were invited to participate
in a field study during 1999-2001. Volumes of grey matter (GM), white matter (WM)
and cerebrospinal fluid (CSF) were compared between the 54 participating
schizophrenia subjects and 100 comparison subjects. IPW by illness-related
auxiliary variables did not affect the estimated GM and WM mean volumes, but
increased the estimated CSF mean volume in schizophrenia subjects. When adjusted
for intracranial volume and family history of psychosis, IPW led to smaller
estimated GM and WM mean volumes. Especially IPW by a disability pension and a
higher amount of hospitalisation due to psychosis had effect on estimated mean
brain volumes. The IPW method can be used to improve estimates affected by non
participation by reflecting the true differences in the target population.
PMID- 22079655
TI - Short term vs. long term test-retest reproducibility of 123I-ADAM for the binding
of serotonin transporters in the human brain.
AB - Previous brain imaging studies have demonstrated a seasonal difference of
serotonin transporter (SERT) binding in the human brain. However, the results
were somewhat contradictory. We conducted test-retest study with single photon
emission computed tomography (SPECT) with 123I-ADAM as ligand in 28 healthy
subjects. Ten of the subjects were studied within 1 month, whereas 18 were
randomly assigned to be studied over a period of up to 1 year. The primary
measure was the specific uptake ratio (SUR). Regions of interest included the
midbrain, thalamus, putamen and caudate. The intra-class correlation coefficient
(ICC) was 0.52-0.94 across different brain regions over 1 month, whereas the ICC
was -0.24-0.63 over a 1-year period. The 1-month variability ranged from 6.5 +/-
5.1% to 12.5 +/- 10.6% across different brain regions, and the 1-year variability
ranged from 16.5 +/- 9.6% to 41.9 +/- 35.5%. The Kruskal-Wallis test revealed a
significant difference of variability across months. The Wilcoxon Signed Ranks
Test showed the SUR between test-retest scans was of borderline significance.
Curve fitting, using a 4th degree polynomial model, revealed a significant
circadian correlation between the variability and interval of test-retest
measurements. Our findings demonstrate the test-retest reproducibility of 123I
ADAM in different time periods and suggest that circadian variation of SERT
levels in the human brain might exist.
PMID- 22079656
TI - "I won, but I'm not getting my hopes up": depression moderates the relationship
of outcomes and reward anticipation.
AB - Major Depressive Disorder (MDD) in adolescents is characterized by alterations in
positive emotions and reward processing. Recent investigations using functional
magnetic resonance imaging (fMRI) find depression-related differences in reward
anticipation. However, it is unknown whether feedback influences subsequent
reward anticipation, which may highlight the context of reward processing. Ten
youth with MDD and 16 youth with no history of MDD completed an fMRI assessment
using a reward task. Reward anticipation was indexed by blood oxygen level
dependent signal change in the striatum following winning, losing, non-winning,
and non-losing outcomes. A significant interaction between diagnostic status and
outcome condition predicted reward anticipation in the caudate. Decomposition of
the interaction indicated that following winning outcomes, depressed youth
demonstrated reduced reward anticipation relative to healthy youth. However, no
significant differences between depressed and healthy youth were found after
other outcomes. Reward anticipation is altered following winning outcomes. This
finding has implications for understanding the developmental pathophysiology of
MDD and suggests specific contexts where altered motivational system functioning
may play a role in maintaining depression.
PMID- 22079657
TI - Reduced prefrontal oxygenation during object and spatial visual working memory in
unpolar and bipolar depression.
AB - Altered prefrontal brain activity (e.g. hypofrontality) during cognitive tasks
such as working memory is a core neuroimaging marker in unipolar (UNI) and
bipolar (BI) depression. The present study investigated for the first time UNI
(n=16) and BI patients (n=14) in a working memory task including different
processes (storage and matching) and components (object and spatial visual) with
functional near-infrared spectroscopy (fNIRS) over the prefrontal cortex. In
healthy controls (n=15) comparable to both patient groups, changes of oxygenated
and deoxygenated haemoglobin indicated increased ventro-lateral, dorso-lateral
prefrontal and superior frontal cortex activity for object and spatial visual
working memory storage as compared to the control condition. In contrast, both
patient groups showed diminished brain activity in all working memory conditions.
Results revealed unspecific deficits that did not allow the differentiation
between unipolar and bipolar depression in dependence of working memory processes
or components. However, fNIRS can be considered as a valid, easy manageable, low
cost and rapid tool for measuring (diminished) prefrontal cortex functions.
PMID- 22079658
TI - Major depressive disorder is characterized by greater reward network activation
to monetary than pleasant image rewards.
AB - Anhedonia, the loss of interest or pleasure in normally rewarding activities, is
a hallmark feature of unipolar Major Depressive Disorder (MDD). A growing body of
literature has identified frontostriatal dysfunction during reward anticipation
and outcomes in MDD. However, no study to date has directly compared responses to
different types of rewards such as pleasant images and monetary rewards in MDD.
To investigate the neural responses to monetary and pleasant image rewards in
MDD, a modified Monetary Incentive Delay task was used during functional magnetic
resonance imaging to assess neural responses during anticipation and receipt of
monetary and pleasant image rewards. Participants included nine adults with MDD
and 13 affectively healthy controls. The MDD group showed lower activation than
controls when anticipating monetary rewards in right orbitofrontal cortex and
subcallosal cortex, and when anticipating pleasant image rewards in paracingulate
and supplementary motor cortex. The MDD group had relatively greater activation
in right putamen when anticipating monetary versus pleasant image rewards,
relative to the control group. Results suggest reduced reward network activation
in MDD when anticipating rewards, as well as relatively greater hypoactivation to
pleasant image than monetary rewards.
PMID- 22079660
TI - Neural correlates of emotional recognition memory in schizophrenia: effects of
valence and arousal.
AB - Schizophrenia patients are often impaired in their memory for emotional events
compared with healthy subjects. Investigations of the neural correlates of
emotional memory in schizophrenia patients are scarce in the literature. The
present study aimed to compare cerebral activations in schizophrenia patients and
healthy controls during memory retrieval of emotional images that varied in both
valence and arousal. In a study with functional magnetic resonance imaging, 37
schizophrenia patients were compared with 37 healthy participants while
performing a yes/no recognition paradigm with positive, negative (differing in
arousal intensity) and neutral images. Schizophrenia patients performed worse
than healthy controls in all experimental conditions. They showed less cerebral
activation in limbic and prefrontal regions than controls during retrieval of
negatively valenced stimuli, but had a similar pattern of brain activation
compared with controls during retrieval of positively valenced stimuli
(particularly in the high arousal condition) in the cerebellum, temporal lobe and
prefrontal cortex. Both groups demonstrated increased brain activations in the
high relative to low arousing conditions. Our results suggest atypical brain
function during retrieval of negative pictures, but intact functional circuitry
of positive affect during episodic memory retrieval in schizophrenia patients.
The arousal data revealed that schizophrenia patients closely resemble the
control group at both the behavioral and neurofunctional level.
PMID- 22079659
TI - Default mode network dysfunction in adults with prenatal alcohol exposure.
AB - Prenatal alcohol exposure (PAE) is known to cause significant cognitive and
attentional dysfunction. Given the relationship between default mode network
(DMN) activity and task-related attentional modulation, it is possible that PAE
affects activity of this network. In the present study, task-related deactivation
as well as structural and resting state functional connectivity of the DMN were
examined using diffusional tensor imaging and functional magnetic resonance
imaging in non-dysmorphic and dysmorphic PAE populations and compared to healthy
controls. The dysmorphic PAE group was found to have reduced DMN deactivation as
compared to controls, indicating poorer attentional modulation during the
cognitive task. Additionally, structural connectivity and baseline functional
connectivity were lower in both PAE groups as compared to controls. Primarily the
findings suggest that learning problems seen with PAE may be a combination of
general attentional and specific cognitive deficits. A secondary implication is
that DMN activity is affected to varying extents depending on the degree of PAE.
PMID- 22079661
TI - Prefrontal hyperactivation during a working memory task in early-onset
schizophrenia spectrum disorders: an fMRI study.
AB - Working memory (WM) dysfunction is increasingly recognized as a core feature of
schizophrenia, but few studies have investigated prefrontal activation during WM
tasks in early-onset schizophrenia spectrum disorder (EOS). Our aim was to
explore prefrontal activation during a WM-task in EOS patients compared to
healthy controls using functional magnetic resonance imaging (fMRI). Fifteen
patients with EOS and 15 matched healthy controls performed a 0-back and a 2-back
task while fMRI data were acquired. Results indicated that even though
performance between patients and controls was comparable on both tasks, there was
a hyperactivation in patients' ventrolateral prefrontal cortex (VLPFC) during the
2-back task compared to healthy controls. This pattern of activation suggests
that, in patients with EOS, the VLPFC compensated in order to match performance
of the controls. The activations in the EOS group may reflect the use of a
compensatory, cognitive strategy while solving WM-tasks.
PMID- 22079662
TI - Assessment of white matter abnormalities in paranoid schizophrenia and bipolar
mania patients.
AB - White matter abnormalities have been repeatedly reported in both schizophrenia
and bipolar disorder (BD) in diffusion tensor imaging (DTI) studies, but the
empirical evidence about the diagnostic specificity of white matter abnormalities
in these disorders is still limited. This study sought to investigate the
alterations in fractional anisotropy (FA) in white matter throughout the entire
brain of patients from Chengdu, China with paranoid schizophrenia and bipolar
mania. For this purpose, DTI was used to assess white matter integrity in
patients with paranoid schizophrenia (n=25) and psychotic bipolar mania (n=18)
who had been treated with standard pharmacotherapy for fewer than 5 days at the
time of study, as well as in normal controls (n=30). The differences in FA were
measured by use of voxel-based analysis. The results show that reduced FA was
found in the left posterior corona radiata (PCR) in patients with psychotic
bipolar mania and paranoid schizophrenia compared to the controls. Patients with
psychotic bipolar mania also showed a significant reduction in FA in right
posterior corona radiata and in right anterior thalamic radiation (ATR). A direct
comparison between the two patient groups found no significant differences in any
regions, and none of the findings were associated with illness duration.
Correlation analysis indicated that FA values showed a significant negative
correlation with positive symptom scores on the Positive and Negative Syndrome
Scale in the left frontal-parietal lobe in the paranoid schizophrenia. It was
concluded that common abnormalities in the left PCR might imply an overlap in
white matter pathology in the two disorders and might be related to shared risk
factors for the two disorders.
PMID- 22079663
TI - Switchable fluorophores for protein labeling in living cells.
AB - Numerous synthetic fluorophores have been developed that can switch their
spectroscopic properties upon interaction with other molecules or by irradiation
with light. In recent years, protein-labeling techniques have been introduced
that permit the specific attachment of such molecules to proteins of interest in
living cells. We review here how the attachment of switchable fluorophores to
selected proteins of interest via self-labeling protein tags enables new
applications in different areas of biology and discuss how these molecules could
be further improved.
PMID- 22079664
TI - Assembly of different length of polyubiquitins on the catalytic cysteine of E2
enzymes without E3 ligase; a novel application of non-reduced/reduced 2
dimensional electrophoresis.
AB - In this study using non-reduced/reduced 2-dimensional electrophoresis (NR/R-2DE),
we clearly demonstrated that E3-independent ubiquitination by Ube2K produced not
only unanchored but also Ube2K-linked polyubiquitins through thioester and
isopeptide bonds. E3-independent assembly of polyubiquitins on the catalytic
cysteine of Ube2K strongly supports the possibility of 'en bloc transfer' for
polyubiquitination. From the same analyses of E3-independent ubiquitination
products by other E2s, we also found that different lengths of polyubiquitins
were linked to different E2s through thioester bond; longer chains by Cdc34 like
Ube2K, short chains by Ube2g2, and mono-ubiquitin by UbcH10. Our results suggest
that E2s possess the different intrinsic catalytic activities for
polyubiquitination.
PMID- 22079665
TI - Following G-quadruplex formation by its intrinsic fluorescence.
AB - We characterized and compared the fluorescence properties of various well-defined
G-quadruplex structures. The increase of intrinsic fluorescence of G-rich DNA
sequences when they form G-quadruplexes can be used to monitor the folding and
unfolding of G-quadruplexes as a function of cations and temperature. The
temperature-dependent fluorescence spectra of different G-quadruplexes also
exhibit characteristic patterns. Thus, the stability and possibly also the
structure of G-quadruplexes can be characterized and distinguished by their
intrinsic fluorescence spectra.
PMID- 22079666
TI - Hydrophobic residues of terminal protein domain of hepatitis B virus polymerase
contribute to distinct steps in viral genome replication.
AB - Hepatitis B virus (HBV) replicates its DNA genome via reverse transcription.
Precise roles of the terminal protein domain of HBV polymerase remain unknown. To
gain insight, we created alanine substitution mutations at hydrophobic residues
(i.e., tyrosine, tryptophan, and isoleucine), and then examined the extent by
which these mutants carry out viral genome replication. Evidence indicated that
three hydrophobic residues of the terminal protein domain (i.e., W74, Y147, and
Y173) contribute to distinct steps of viral genome replication: the former two
residues are important for viral DNA synthesis, while the latter is important for
viral RNA encapsidation.
PMID- 22079667
TI - Functional interaction between purinergic receptors: effect of ligands for A2A
and P2Y12 receptors on P2Y1 receptor function.
AB - A(2A) adenosine receptor (A(2A)R), P2Y(1) receptor (P2Y(1)R) and P2Y(12) receptor
(P2Y(12)R) are predominantly expressed on human platelets. The individual role of
each of these receptors in platelet aggregation has been actively reported.
Previously, hetero-oligomerization between these three receptors has been shown
to occur. Here, we show that Ca(2+) signaling evoked by the P2Y(1)R agonist, 2
methylthioladenosine 5' diphosphate (2MeSADP) was significantly inhibited by the
A(2A)R antagonist (ZM241385 (4-(2-[7-amino-2-(2-furyl)[1,2,4]-triazolo[2,3
alpha][1,3,5]triazin-5-yl amino]ethyl) phenol) and SCH442416) and the P2Y(12)R
antagonist (ARC69931MX) (N6-(2-methyl-thioethyl)-2-(3,3,3-trifluoropropylthio)
beta,gamma-dichloromethylene-ATP)) using HEK293T cells expressing the three
receptors. It was confirmed that inhibition of P2Y(1)R signaling by A(2A)R and
P2Y(12)R antagonists was indeed mediated through A(2A)R and P2Y(12)R using 1321N1
human astrocytoma cells which do not express P2Y receptors. We expect that
intermolecular signal transduction and specific conformational changes occur
among components of hetero-oligomers formed by these three receptors.
PMID- 22079669
TI - Top-down control in a patchy environment: revisiting the stabilizing role of food
dependent predator dispersal.
AB - In this paper, we revisit the stabilizing role that predator dispersal and
aggregation have in the top-down regulation of predator-prey systems in a
heterogeneous environment. We consider an environment consisting of sites
interconnected by dispersal, and propose a novel mechanism of stabilization for
the case with a non-sigmoid functional response of predators. We assume that the
carrying capacity of the prey is infinitely large in each site, and show that
successful top-down regulation of this otherwise globally unstable system is made
possible through an interplay between the unevenness of prey fitness across the
sites and the rapid food-dependent migration of predators. We argue that this
mechanism of stabilization is different from those previously reported in the
literature: in particular, it requires a high degree of synchronicity in local
oscillations of species densities across the sites. Prey outbreaks take place
synchronously, but the unevenness of prey growth rates across the sites results
in a pronounced difference in the species densities, and so the predator quickly
disperses to the sites with the highest prey abundances. For this reason, the
consumption of prey mostly takes place in the sites with high densities of prey,
which assures an efficient suppression of outbreaks. Furthermore, when the total
size of prey population is low, the distribution of both species among the sites
becomes more even, and this prevents overconsumption of the prey by the predator.
Finally, we put forward the hypothesis that this mechanism, when considered in a
tri-trophic plankton community in the water column, can explain the stability of
the nutrient-rich low-chlorophyll open ocean regions.
PMID- 22079668
TI - Superoxide dismutases: ancient enzymes and new insights.
AB - Superoxide dismutases (SODs) catalyze the de toxification of superoxide. SODs
therefore acquired great importance as O(2) became prevalent following the
evolution of oxygenic photosynthesis. Thus the three forms of SOD provide
intriguing insights into the evolution of the organisms and organelles that carry
them today. Although ancient organisms employed Fe-dependent SODs, oxidation of
the environment made Fe less bio-available, and more dangerous. Indeed, modern
lineages make greater use of homologous Mn-dependent SODs. Our studies on the Fe
substituted MnSOD of Escherichia coli, as well as redox tuning in the FeSOD of E.
coli shed light on how evolution accommodated differences between Fe and Mn that
would affect SOD performance, in SOD proteins whose activity is specific to one
or other metal ion.
PMID- 22079670
TI - Evolutionary jumping and breakthrough in tree masting evolution.
AB - Many long-lived plants such as trees show masting or intermittent and
synchronized reproduction. In a coupled chaos system describing the dynamics of
individual-plant resource budgets, masting occurs when the resource depletion
coefficient k (ratio of the reproductive expenditure to the excess resource
reserve) is large. Here, we mathematically studied the condition for masting
evolution. In an infinitely large population, we obtained a deterministic
dynamical system, to which we applied the pairwise invasibility plot and
convergence stability of evolutionary singularity analyses. We prove that plants
reproducing at the same rate every year are not evolutionarily stable. The
resource depletion coefficient k increases, and the system oscillates with a
period of 2 years (high and low reproduction) if k<1. Alternatively, k may evolve
further and jump to a value >1, resulting in the sudden start of intermittent
reproduction. We confirm that a high survivorship of young plants (seedlings) in
the light-limited understory favors masting evolution, as previously suggested by
computer simulations and field observations. The stochasticity caused by the
finiteness of population size also promotes masting evolution.
PMID- 22079672
TI - Increased Y-chromosome resolution of haplogroup O suggests genetic ties between
the Ami aborigines of Taiwan and the Polynesian Islands of Samoa and Tonga.
AB - The Austronesian expansion has left its fingerprint throughout two thirds of the
circumference of the globe reaching the island of Madagascar in East Africa to
the west and Easter Island, off the coast of Chile, to the east. To date, several
theories exist to explain the current genetic distribution of Austronesian
populations, with the "slow boat" model being the most widely accepted, though
other conjectures (i.e., the "express train" and "entangled bank" hypotheses)
have also been widely discussed. In the current study, 158 Y chromosomes from the
Polynesian archipelagos of Samoa and Tonga were typed using high resolution
binary markers and compared to populations across Mainland East Asia, Taiwan,
Island Southeast Asia, Melanesia and Polynesia in order to establish their
patrilineal genetic relationships. Y-STR haplotypes on the C2 (M38), C2a (M208),
O1a (M119), O3 (M122) and O3a2 (P201) backgrounds were utilized in an attempt to
identify the differing sources of the current Y-chromosomal haplogroups present
throughout Polynesia (of Melanesian and/or Asian descent). We find that, while
haplogroups C2a, S and K3-P79 suggest a Melanesian component in 23%-42% of the
Samoan and Tongan Y chromosomes, the majority of the paternal Polynesian gene
pool exhibits ties to East Asia. In particular, the prominence of sub-haplogroup
O3a2c* (P164), which has previously been observed at only minimal levels in
Mainland East Asians (2.0-4.5%), in both Polynesians (ranging from 19% in Manua
to 54% in Tonga) and Ami aborigines from Taiwan (37%) provides, for the first
time, evidence for a genetic connection between the Polynesian populations and
the Ami.
PMID- 22079671
TI - GRP94: An HSP90-like protein specialized for protein folding and quality control
in the endoplasmic reticulum.
AB - Glucose-regulated protein 94 is the HSP90-like protein in the lumen of the
endoplasmic reticulum and therefore it chaperones secreted and membrane proteins.
It has essential functions in development and physiology of multicellular
organisms, at least in part because of this unique clientele. GRP94 shares many
biochemical features with other HSP90 proteins, in particular its domain
structure and ATPase activity, but also displays distinct activities, such as
calcium binding, necessitated by the conditions in the endoplasmic reticulum.
GRP94's mode of action varies from the general HSP90 theme in the conformational
changes induced by nucleotide binding, and in its interactions with co
chaperones, which are very different from known cytosolic co-chaperones. GRP94 is
more selective than many of the ER chaperones and the basis for this selectivity
remains obscure. Recent development of molecular tools and functional assays has
expanded the spectrum of clients that rely on GRP94 activity, but it is still not
clear how the chaperone binds them, or what aspect of folding it impacts. These
mechanistic questions and the regulation of GRP94 activity by other proteins and
by post-translational modification differences pose new questions and present
future research avenues. This article is part of a Special Issue entitled: Heat
Shock Protein 90 (HSP90).
PMID- 22079673
TI - The forms and functions of peer social support for people living with HIV.
AB - Peers may be important sources of coping assistance, but their impact can be
better understood if we examine their influence across various contexts. Although
social support studies focused on people living with HIV have examined peer
support in various contexts, they do not comprehensively account for situations
in which peer support might be provided. The specific aims of this study were to
(a) describe the various forms and functions of peer support for people living
with HIV and (b) validate the Dennis (2003) concept analysis of peer support
within health contexts. Results indicate that peer support is a potentially
important adjunct to clinical care for enhancing coping skills, thereby improving
the psychosocial functioning of people living with HIV. It is important to (a)
assess patient access to peer support, (b) provide opportunities for peer support
in the clinical setting, and (c) enhance disclosure and support-seeking skills to
facilitate this benefit.
PMID- 22079674
TI - A qualitative description of women's HIV self-management techniques: context,
strategies, and considerations.
AB - Women living with HIV face unique challenges managing their disease. The purpose
of this descriptive qualitative study was to describe self-management techniques
reported by 48 women living with HIV in the United States. Participants were
involved in one 90-minute, digitally recorded focus group exploring aspects of
HIV self-management strategies. Descriptive statistics, qualitative description,
and content analysis were used to analyze the data. Participants had been living
with HIV for an average of 12 years, and most (69%) were engaged in routine HIV
care (85%) and were currently receiving antiretroviral therapy. Participants
reported using self-management techniques: taking personal time (n = 23; 48%),
advocacy (n = 12; 25%), sleeping (n = 17, 35%), attending support groups (n = 10;
21%), and attending medical appointments (n = 8; 17%). Nurses can add strategies
to enhance HIV self-management to routine clinical care, which may have a
positive impact on the health of women living with HIV.
PMID- 22079675
TI - Parental support and condom use among transgender female youth.
AB - Evidence suggests that transgender female youth (TFY), much like their adult
transgender female peers, are at high risk for HIV. Yet little attention has been
given to important developmental experiences of TFY that may impact HIV risk for
this youth population. The overall purpose of this study was to explore HIV risk
in TFY. A reoccurring theme from the qualitative data was the importance of
parents. To better understand the impact of parents on HIV risk among TFY, in
depth individual interview data from 21 TFY in Los Angeles and Chicago were
analyzed, suggesting a potential link between HIV-related risk behavior and
parental support. Youth with parental support in this sample reported regular
condom use, while those without such support reported inconsistent condom use.
Implications for the unique research and interventions needs of TFY related to
parental support and sexual risk behaviors are discussed.
PMID- 22079676
TI - Response to commentary by P.H. Noh.
PMID- 22079677
TI - Hematological malignancy associated with polymyositis and dermatomyositis.
AB - The aims of this present study were to: 1) assess the characteristics of
hematological malignancies in polymyositis/polymyositis (PM/DM) patients; and 2)
determine predictive variables of hematological malignancies in PM/DM patients.
We retrospectively reviewed the medical records of 32 patients (14 PM, 18 DM)
associated with hematological malignancies. In our 32 PM/DM patients,
hematological malignancy was concurrently identified (18.8%) or occurred during
the course of PM/DM (31.2%); although, PM/DM more often preceded hematological
malignancy onset (50%). We observed that the types of hematological malignancies
varied, consisting of: B-cell lymphoma (n=20), T-cell lymphoma (n=4), Hodgkin's
disease (n=2), multiple myeloma (n=1), myelodysplastic syndrome without excess of
blasts (n=3), hairy cell (n=1) and acute lymphocytic leukemia (n=1). In 21
patients of our 32 patients with PM/DM-associated hematological malignancy (65.6%
of cases), PM/DM paralleled the course of hematological malignancy. Finally, we
observed that patients with PM/DM-associated hematological malignancies had a
poor prognosis, the survival status ranging from 96.9%, 78.1% and 51.4% at 1, 3
and 5years, respectively. Interestingly, we found that patients with
hematological malignancies, compared with those without were older and more
frequently had DM; on the other hand, these patients less commonly exhibited:
joint involvement (p=0.017), interstitial lung disease (p=0.06) and anti-Jo1
antibody (p=0.001). Taken together, our study underscores that the association
between PM/DM and hematological malignancy, especially lymphoma, should not be
ignored. Our findings also suggest that antisynthetase syndrome may be a
protective factor of hematological malignancy in PM/DM patients.
PMID- 22079678
TI - Predictors of resolution of complex atypical hyperplasia or grade 1 endometrial
adenocarcinoma in premenopausal women treated with progestin therapy.
AB - OBJECTIVE: To identify clinical and pathologic predictors of response to
progestin treatment in premenopausal women with complex atypical hyperplasia
(CAH) and Grade 1 endometrial adenocarcinoma (Grade 1 EA). METHODS: Forty
premenopausal patients with Grade 1 EA or CAH who underwent progestin therapy for
a minimum of 8 weeks were retrospectively identified. Patient characteristics and
histopathologic features of pretreatment and first follow-up endometrial
specimens were evaluated as predictors of resolution, defined as absence of
hyperplasia or carcinoma. RESULTS: Kaplan-Meier analysis indicated 63% resolution
at 18 months of follow-up. Multivariate classification analysis showed that
resolution rates were higher in individuals with a low pre-treatment qualitative
abnormal architecture score and a BMI <35 (Standardized Resolution Ratio
(SRR)=1.48, p=0.03). The diagnosis of benign endometrium or simple hyperplasia on
the first follow-up specimen was highly predictive of resolution (SRR=2.25,
p=0.002). Resolution rates were lower among subjects with a high pre-treatment
qualitative abnormal architecture score (SRR=0.37, p<0.03) and lowest in subjects
whose first follow-up specimen showed persistent complexity, atypia, or carcinoma
with adjacent stromal decidualization (SRR=0.24, p=0.002). CONCLUSIONS: Clinical
and pathologic parameters can predict response to progestin therapy in
premenopausal women with CAH and Grade 1 EA. A low likelihood of resolution is
predicted by an unfavorable pre-treatment architectural score and lack of
pathological response in the first specimen, despite adjacent stromal
decidualization.
PMID- 22079679
TI - Robotic-assisted surgery in gynecologic oncology: a Society of Gynecologic
Oncology consensus statement. Developed by the Society of Gynecologic Oncology's
Clinical Practice Robotics Task Force.
PMID- 22079680
TI - Autoimmune disease and gender: plausible mechanisms for the female predominance
of autoimmunity.
AB - A large number of autoimmune diseases (ADs) are more prevalent in women. The more
frequent the AD and the later it appears, the more women are affected. Many ideas
mainly based on hormonal and genetic factors that influence the autoimmune
systems of females and males differently, have been proposed to explain this
predominance. These hypotheses have gained credence mostly because many of these
diseases appear or fluctuate when there are hormonal changes such as in late
adolescence and pregnancy. Differences in X chromosome characteristics between
men and women with an AD have led researchers to think that the genetic
background of this group of diseases also relates to the genetic determinants of
gender. These hormonal changes as well as the genetic factors that could explain
why women are more prone to develop ADs are herein reviewed.
PMID- 22079681
TI - Sequential osteoporosis treatments.
AB - Osteoporosis is a major public health concern due both to its high prevalence and
to its association with potentially serious fractures. The chronic nature of
osteoporosis, together with the aging of the population, may result in a need for
prolonged treatment consisting in the sequential use of several osteoporosis
drugs. Situations in which switching from one osteoporosis drug to another may be
considered include the occurrence of a fracture despite treatment, poor treatment
adherence, side effects, and completion of a first-line treatment course. The
available recommendations for postmenopausal women deal only with the indications
for first-line osteoporosis treatment. Studies on drug sequences used an open
label design and failed to collect data on fractures. Thus, there is no
scientific evidence supporting a specific treatment sequence, the only exception
being teriparatide followed by a bone resorption inhibitor. Consequently,
selection of the second drug in an osteoporotic woman is a matter of clinical
judgment, which can be guided by several factors such as health insurance
reimbursement restrictions, characteristics of the osteoporosis (e.g., severity
and whether there is a predominant risk of peripheral fractures), co-morbidities,
contraindications to specific drugs, and patient adherence to prescriptions.
PMID- 22079682
TI - Bird schistosomes in planorbid snails in the Czech Republic.
AB - Bird schistosomes have been in focus as causative agents of cercarial dermatitis
of humans in the last years; however, our knowledge of their species spectrum and
intermediate host specificity is still insufficient. Our study focused on bird
schistosomes developing in planorbid snails that have been less studied so far.
From 2001 to 2010, cercariae of bird schistosomes were found in four snail
species (Gyraulus albus, Segmentina nitida, Anisus vortex and Planorbis
planorbis) from seven localities in the Czech Republic. Based on morphology and
results of molecular analysis, the isolates found belong to at least six species.
Five of them are probably undescribed species, and one species appears to be
identical with Gigantobilharzia vittensis Reimer, 1963 (syn. G. suebica Donges,
1964). The finding from S. nitida represents the first report of a bird
schistosome from this snail.
PMID- 22079683
TI - IDF diabetes atlas: global estimates of the prevalence of diabetes for 2011 and
2030.
AB - INTRODUCTION: Diabetes is an increasingly important condition globally and robust
estimates of its prevalence are required for allocating resources. METHODS: Data
sources from 1980 to April 2011 were sought and characterised. The Analytic
Hierarchy Process (AHP) was used to select the most appropriate study or studies
for each country, and estimates for countries without data were modelled. A
logistic regression model was used to generate smoothed age-specific estimates
which were applied to UN population estimates for 2011. RESULTS: A total of 565
data sources were reviewed, of which 170 sources from 110 countries were
selected. In 2011 there are 366 million people with diabetes, and this is
expected to rise to 552 million by 2030. Most people with diabetes live in low-
and middle-income countries, and these countries will also see the greatest
increase over the next 19 years. DISCUSSION: This paper builds on previous IDF
estimates and shows that the global diabetes epidemic continues to grow. Recent
studies show that previous estimates have been very conservative. The new IDF
estimates use a simple and transparent approach and are consistent with recent
estimates from the Global Burden of Disease study. IDF estimates will be updated
annually.
PMID- 22079684
TI - Asyntactic comprehension, working memory, and acute ischemia in Broca's area
versus angular gyrus.
AB - We evaluated sentence comprehension of variety of sentence constructions and
components of short-term memory (STM) in 53 individuals with acute ischemic
stroke, to test some current hypotheses about the role of Broca's area in these
tasks. We found that some patients show structure-specific, task-independent
deficits in sentence comprehension, with chance level of accuracy on passive
reversible sentences, more impaired comprehension of object-cleft than subject
cleft sentences, and more impaired comprehension of reversible than irreversible
sentences in both sentence-picture matching and enactment tasks. In a dichotomous
analysis, this pattern of "asyntactic comprehension" was associated with
dysfunctional tissue in left angular gyrus, rather than dysfunctional tissue in
Broca's area as previously proposed. Tissue dysfunction in left Brodmann area
(BA) 44, part of Broca's area, was associated with phonological STM impairment
defined by forward digit span<=4. Verbal working memory (VWM) defined by backward
digit span<=2 was associated with tissue dysfunction left premotor cortex (BA 6).
In a continuous analysis, patients with acute ischemia in left BA 44 were
impaired in phonological STM. Patients with ischemia in left BA 45 and BA 6 were
impaired in passive, reversible sentences, STM, and VWM. Patients with ischemia
in left BA 39 were impaired in passive reversible sentences, object-cleft
sentences, STM, and VWM. Therefore, various components of working memory seem to
depend on a network of brain regions that include left angular gyrus and
posterior frontal cortex (BA 6, 44, 45); left BA 45 and angular gyrus (BA 39) may
have additional roles in comprehension of syntax such as thematic role checking.
PMID- 22079685
TI - The role of oxidized phospholipids, lipoprotein (a) and biomarkers of oxidized
lipoproteins in chronically occluded coronary arteries in sudden cardiac death
and following successful percutaneous revascularization.
AB - AIMS: OxPL are pro-inflammatory and may mediate atherogenesis, thrombosis and
endothelial dysfunction. We studied the histological presence and temporal
increases in oxidized phospholipids on apolipoprotein B-100 particles
(OxPL/apoB), lipoprotein (a) [Lp(a)] and biomarkers of oxidized lipoproteins in
subjects with chronic total coronary occlusions (CTO) with sudden cardiac death
(SCD) and following percutaneous coronary intervention (PCI). METHODS: Eight
subjects with SCD and CTO and 33 patients with successful PCI of CTO were
included. Blood samples were drawn before PCI, immediately post-PCI, at 6 and 24
h, at 3 days and at 1 week. Plasma levels of OxPL/apoB, Lp(a), IgG and IgM
autoantibodies to malondialdehyde (MDA) low-density lipoprotein and apoB-immune
complexes were measured in all samples and compared with previous data from 141
patients undergoing PCI of non-CTO vessels. RESULTS: Immunohistochemistry of
coronary CTOs revealed OxPL and MDA-like epitopes, particularly in areas of
recanalized and organized thrombus and neovascularization. Following PCI,
OxPL/apoB and Lp(a) levels, expressed as percent change from baseline levels
before PCI, rose gradually and progressively over the next 7 days. In contrast,
levels of OxPL/apoB and Lp(a) in non-CTO vessels rose immediately post PCI and
then dropped rapidly to baseline within 24 h. CONCLUSIONS: CTOs contain
immunohistological evidence of OxPL and MDA-like epitopes. Successful PCI of CTOs
results in a slower increase in OxPL/apoB and Lp(a) but higher increase in IgM
immune complexes compared to non-CTO vessels. Pro-inflammatory oxidation-specific
epitopes may impact development of CTOs and affect outcomes following PCI that
can be evaluated in larger clinical trials.
PMID- 22079686
TI - Growth of the microalgae Neochloris oleoabundans at high partial oxygen pressures
and sub-saturating light intensity.
AB - The effect of partial oxygen pressure on growth of Neochloris oleoabundans was
studied at sub-saturating light intensity in a fully-controlled stirred tank
photobioreactor. At the three partial oxygen pressures tested (P(O)2= 0.24; 0.63;
0.84 bar), the specific growth rate was 1.38; 1.36 and 1.06 day(-1),
respectively. An increase of the P(CO)2from 0.007 to 0.02 bar at P(O2) of 0.84
bar resulted in an increase in the growth rate from 1.06 to 1.36 day(-1). These
results confirm that the reduction of algal growth at high oxygen concentrations
at sub-saturating light conditions is mainly caused by competitive inhibition of
Rubisco. This negative effect on growth can be overcome by restoring the
O(2)/CO(2) ratio by an increase in the partial carbon dioxide pressure. In
comparison to general practice (P(O(2)) = 0.42 bar), working at partial O(2)
pressure of 0.84 bar could reduce the energy requirement for degassing by a
factor of 3-4.
PMID- 22079687
TI - Assessing the environmental impact of biobleaching: effects of the operational
conditions.
AB - The environmental impact of enzyme bleaching stages applied to oxygen-delignified
eucalypt kraft pulp was assessed via the chemical oxygen demand (COD), color,
absorbance spectrum, residual enzyme activity and Microtox toxicity of the
effluents from a laccase-HBT (1-hydoxybenzotriazole) treatment. The influence of
the laccase and HBT doses, and reaction time, on these effluent properties was
also examined. The laccase dose was found to be the individual variable most
strongly affecting COD, whereas the oxidized form of HBT was the main source of
increased color and toxicity in the effluents. Moreover, it inactivated the
enzyme. Oxidation of the mediator was very fast and essentially dependent on the
laccase dose. Using the laccase-mediator treatment after a xylanase stage
improved pulp properties without affecting effluent properties. This result holds
great promise with a view to the industrial implementation of biobleaching
sequences involving the two enzymes in the future.
PMID- 22079688
TI - Activation of lignocellulosic biomass by ionic liquid for biorefinery
fractionation.
AB - Fractionation of lignocellulosic biomass is an attractive solution to develop an
economically viable biorefinery by providing a saccharide fraction to produce
fuels and a lignin stream that can be converted into high value products such as
carbon fibers. In this study, the analysis of ionic liquid-activated biomass
demonstrates that in addition of decreasing crystallinity, the selected ILs (1
butyl-3-methylimidazolium acetate, 1-butyl-3-methylimidazolium chloride and 1
ethyl-3-methylimidazolium acetate) deacetylate Yellow poplar under mild
conditions (dissolution at 60-80 degrees C), and lower the degradation
temperature of each biomass polymeric component, thereby reducing the
recalcitrance of biomass. Among the three tested ILs, 1-ethyl-3-methylimidazolium
acetate performed the best, providing a strong linear relationship between the
level of deacetylation and the rate of enzymatic saccharification for Yellow
poplar.
PMID- 22079689
TI - Acetate and propionate impact on the methanogenesis of landfill leachate and the
reduction of clogging components.
AB - Synthetic leachate with different initial concentrations of acetate (500-2500 mg
HAc/L) and propionate (500-3500 mg HPr/L) was treated with active biomass
acclimated to landfill leachate under anaerobic mesophilic conditions for 72 h.
Methanogenesis was observed within all samples during the first 48 h. The
greatest removal of acetate (80-100%) and propionate (15-35%) was achieved in
tests with initial concentrations ranging from 1500 to 190 0mg HAc/L and from
1000 to 1800mgHPr/L. Concurrent with the removal of acids, pH increased between
0.3 and 0.45 units, to above the threshold pH for precipitation of CaCO(3).
Therefore, some 50-70% of dissolved Ca(2+) was removed from solution. This study
suggests that by using an equalization tank (prior to the anaerobic digester) to
maintain the acetate and propionate concentrations to within their optimum range
would help to alleviate clogging through the removal of dissolved Ca(2+) and
maximizing CH(4) production.
PMID- 22079690
TI - Efficient transposition of IS204-derived plasmids in Streptomyces coelicolor.
AB - In order to study functional gene expression in Streptomyces coelicolor, a mini
transposon encoding the apramycin resistance gene aac(3)IV within its inverted
repeat (IR) boundaries was constructed based on IS204, which was previously
identified in the genome of Nocardia asteroides YP21. The mini-transposon and
IS204 transposase gene were then put on a kanamycin-resistant conjugative plasmid
pDZY101 that can only replicate in Escherichia coli. After mating with S.
coelicolor A3(2) M145, resistant colonies arose efficiently on both apramycin and
kanamycin plates. Plasmid rescue indicated that entire plasmids were inserted
into the M145 genome with cleavage at an inverted repeat junction formed by the
right inverted repeat (IRR) and the last 18bp of the transposase gene, while the
left inverted repeat (IRL) was untouched. Southern blot analysis of the mutants
using an aac(3)IV gene probe showed that transposition of plasmid pDZY101 was
genetically stable, with a single-copy insertion within the S. coelicolor M145
genome. Several mutagenesis libraries of S. coelicolor M145 were constructed
using plasmid pDZY101 derivatives and the transposon insertion site was
determined. The correlation between novel mutant phenotypes and previously
uncharacterized genes was established and these transposon locations were widely
scattered around the genome.
PMID- 22079691
TI - Full and surface tibial cementation in total knee arthroplasty: a biomechanical
investigation of stress distribution and remodeling in the tibia.
AB - BACKGROUND: Aseptic tibial component loosening remains a major cause of total
knee arthroplasty failure. The cementation technique used to achieve fixation may
play a major role in loosening. Despite this, the optimum technique remains
unanswered. This study aims to investigate stress and strain distributions in the
proximal tibia for full cementation and surface cementation of the Genesis II
tibial component. METHODS: Principal cortical bone strains were measured
experimentally in intact, surface cemented and fully cemented synthetic tibiae
using strain gauges. Both axial and 15 degrees flexion loading were considered.
Finite element models were used to assess both cortical and cancellous bone
stresses and strains. Using a bone remodeling algorithm potential sites of bone
formation and resorption were identified post-implantation. FINDINGS: Principal
cortical bone strain results demonstrate strong correlations between the
experimental and finite element analyses (R(2)>=0.81, RMSE(%)<=17.5%). Higher
cortical strains are measured for surface cementation, as full cementation
creates a stiffer proximal tibial structure. Simulations reveal that both
cementation techniques result in lower cancellous stresses under the baseplate
compared to the intact tibia, with greater reductions being computed for full
cementation. The surface cementation model displays the closest cancellous stress
distribution to the intact model. In addition, bone remodeling simulations
predict more extensive bone resorption under the baseplate for full cementation
(43%) than for surface cementation (29%). INTERPRETATION: Full cementation
results in greater stress reduction under the tibial baseplate than surface
cementation, suggesting that surface cementation will result in less proximal
bone resorption, thus reducing the possibility of aseptic loosening.
PMID- 22079692
TI - Plasmodium falciparum MLH is schizont stage specific endonuclease.
AB - Malaria is one of the most important infectious diseases in many regions around
the world including India. Plasmodium falciparum is the cause of most lethal form
of malaria while Plasmodium vivax is the major cause outside Africa. Regardless
of considerable efforts over the last many years there is still no commercial
vaccine against malaria and the disease is mainly treated using a range of
established drugs. With time, the malaria parasite is developing drug resistance
to most of the commonly used drugs. This drug resistance might be due to
defective mismatch repair in the parasite. Previously we have reported that the
P. falciparum genome contains homologues to most of the components of mismatch
repair (MMR) complex. In the present study we report the detailed biochemical
characterization of one of the main component of MMR complex, MLH, from P.
falciparum. Our results show that MLH is an ATPase and it can incise covalently
closed circular DNA in the presence of Mn(2+) or Mg(2+) ions. Using the truncated
derivatives we show that full length protein MLH is required for all the
enzymatic activities. Using immunodepletion assays we further show that the
ATPase and endomuclease activities are attributable to PfMLH protein. Using
immunofluorescence assay we report that the peak expression of MLH in both 3D7
and Dd2 strains of P. falciparum is mainly in the schizont stages of the
intraerythrocytic development, where DNA replication is active. MMR also
contributes to the overall fidelity of DNA replication and the peak expression of
MLH in the schizont stages suggests that MLH is most likely involved in
correcting the mismatches occurring during replication. This study should make a
significant contribution in our better understanding of DNA metabolic processes
in the parasite.
PMID- 22079693
TI - EB-virus associated primary CD30-positive lymphoproliferative disease of the
maxillary gingival in an immunocompetent woman.
PMID- 22079694
TI - Progressive tarsal patterning in the Drosophila by temporally dynamic regulation
of transcription factor genes.
AB - The morphology of insect appendages, such as the number and proportion of leg
tarsal segments, is immensely diverse. In Drosophila melanogaster, adult legs
have five tarsal segments. Accumulating evidence indicates that tarsal segments
are formed progressively through dynamic changes in the expression of
transcription factor genes, such as Bar genes, during development. In this study,
to examine further the basis of progressive tarsal patterning, the precise
expression pattern and function of several transcription factor genes were
investigated in relation to the temporal regulation of Bar expression. The
results indicate that nubbin is expressed over a broad region at early stages but
gradually disappears from the middle of the tarsal region. This causes the
progressive expansion of rotund expression, which in turn progressively represses
Bar expression, leading to the formation of the tarsal segment 3. The region
corresponding to the tarsal segment 4 is formed when apterous expression is
initiated, which renders Bar expression refractory to rotund. In addition, the
tarsal segment 2 appears to be derived from the region that expresses Bar at a
very early stage. Cessation of Bar expression in this region requires the
function of spineless, which also regulates rotund expression. These findings
indicate that the temporally dynamic regulatory interaction of these
transcription factor genes is the fundamental basis of the progressive patterning
of the tarsal region.
PMID- 22079695
TI - Human hypoblast formation is not dependent on FGF signalling.
AB - Mouse embryos segregate three different lineages during preimplantation
development: trophoblast, epiblast and hypoblast. These differentiation processes
are associated with restricted expression of key transcription factors (Cdx2,
Oct4, Nanog and Gata6). The mechanisms of segregation have been extensively
studied in the mouse, but are not as well characterised in other species. In the
human embryo, hypoblast differentiation has not previously been characterised.
Here we demonstrate co-exclusive immunolocalisation of Nanog and Gata4 in human
blastocysts, implying segregation of epiblast and hypoblast, as in rodent
embryos. However, the formation of hypoblast in the human is apparently not
dependent upon FGF signalling, in contrast to rodent embryos. Nonetheless, the
persistence of Nanog-positive cells in embryos following treatment with FGF
inhibitors is suggestive of a transient naive pluripotent population in the human
blastocyst, which may be similar to rodent epiblast and ES cells but is not
sustained during conventional human ES cell derivation protocols.
PMID- 22079696
TI - Evaluation of impact of Herba Erigerontis injection, a Chinese herbal
prescription, on rat hepatic cytochrome P450 enzymes by cocktail probe drugs.
AB - ETHNOPHARMACOLOGICAL RELEVANCE: Herba Erigerontis injection (HEI), one of the
most popular herbal prescription in China, is made from the aqueous extracts of
Erigeron breviscapus whole plant. Now HEI is widely used for the treatment of
cardiovascular diseases and cerebrovascular diseases such as coronary heart
disease, anginapectoris and paralysis. AIM OF THE STUDY: The purpose of this
study was to investigate the in vivo effect of HEI on rat cytochrome P450 enzymes
(CYP1A2, CYP2C11, CYP2D4, CYP2E1 and CYP3A2) to assess its safety through its
potential to interact with co-administered drugs. MATERIALS AND METHODS: Rats
were randomly divided into five groups. Rats were intravenous administrated with
HEI via the caudal vein at the dosage of 1.8ml/kg or 7.2ml/kg once daily for
consecutive 3 days or 14 days. On the fourth or the fifteenth day, a cocktail
solution at a dose of 5ml/kg, which contained caffeine (2.5mg/kg), tolbutamide
(2.5mg/kg), chlorzoxazone (5mg/kg), midazolam (5mg/kg) and metoprolol (10mg/kg),
was injected via the lingual vein to all rats. Then 0.8ml blood samples were
collected at a set of time-points. The plasma concentrations of probe drugs were
simultaneously determined by HPLC. Pharmacokinetic parameters simulated by DAS
software were used for the evaluation of HEI on the activities of rat CYP1A2,
CYP2C11, CYP2D4, CYP2E1 and CYP3A2 enzymes. ANOVA and Dunnett's test was used for
data analysis. RESULTS: There were no significant influence of pharmacokinetic
parameters of caffeine, tolbutamide and chlorzoxazone in HEI pretreated rats. But
many pharmacokinetic parameters of metoprolol and midazolam in HEI pretreated
rats were affected significantly (P<0.05), which indicated that metabolism of
metoprolol and midazolam in these treatment groups was evidently slowed down.
CONCLUSIONS: The results from the present in vivo study suggested that HEI showed
no effects on rat CYP1A2, CYP2C11 and CYP2E1, however, it demonstrated potential
inhibitory effects on rat CYP2D4 and CYP3A2. Therefore, caution is needed when
HEI is co-administered with drugs metabolized by human CYP2D6 or CYP3A4 in
clinic, which may result in increased concentrations of these drugs and relevant
herb-drug interactions.
PMID- 22079697
TI - Wet or dry bandages for plaster back-slabs?
AB - Cotton crepe and stretch bandages are commonly used in back-slabs and casts in
orthopaedic practice. In theory they allow swelling to occur after injury while
splinting the fracture. The application of a wet bandage prevents the Plaster-of
Paris (POP) setting too rapidly, giving time to apply a mould or attain correct
limb position. However, we hypothesised that a wet bandage contracts upon drying
and may cause constriction of the splint. This study aimed at determining whether
there was any significant change in length of commonly used bandages when wet as
well as any further change when left to dry again. Two types of bandage were
evaluated. 250 mm strips of bandage were dipped into water, gently squeezed and
laid flat on a bench. The bandage was then immediately measured in length. The
strips were then left to dry and re-measured. This experimental study shows that
both cotton crepe and cling significantly shrink by around 7% when wet. This
phenomenon has the potential to significantly increase the pressure exerted on
the limb by a back-slab. We speculate that the application of wet bandages is why
some back-slabs may need released. It is therefore recommended that bandages
should be applied only in the dry form.
PMID- 22079698
TI - Peptide derived from Pvfp-1 as bioadhesive on bio-inert surface.
AB - Surface property is one important characteristic of materials, especially for
ones that are bio-inert but designed for bio-medical application. In this study,
we designed a series of peptides and compared their capacities as bioadhesive to
improve the surface bioactivity of bio-inert material. The peptides were designed
according to the sequence of Perna viridis foot protein 1 (Pvfp-1), one of the
Mfp-1s (mussel foot protein 1) which play key roles in wet adhesion of mussel
byssus. And the Teflon (PTFE) was chosen as a model of bio-inert material. With
adsorption, adhesion and coating analysis, it was found that peptide C2 (M)
(derived from the non-repeating region of Pvfp-1, contains modified DOPA) has
superior coating and adhesion abilities especially on the bio-inert surface of
PTFE. After coating with peptide C2 (M), the cell adhesion and spreading of
osteoblast MC3T3-E1 cells on PTFE were significantly improved compared with those
on non-coated surface, and the peptide-coating did not show any cell toxicity.
Therefore, peptide C2 (M) is effective for improving the bioactivity of bio-inert
PTFE, and could be potentially used as a bioadhesive on other bio-inert materials
for biomedical application. Moreover, this study also provided new insights in
designing other peptide-based bioadhesive materials.
PMID- 22079700
TI - Physical and rehabilitation medicine (PRM) care pathways: "patients after knee
ligament surgery".
AB - This document is part of a series of documents designed by the French Physical
and Rehabilitation Medicine Society (Sofmer) and the French Federation of PRM
(Fedmer). These documents describe the needs for or a specific type of patients;
PRM care objectives, human and material resources to be implemented, chronology
as well as expected outcomes. "Care pathways in PRM" is a short document designed
to enable the reader (physicians, decision-maker, administrator, lawyer or
finance manager) to quickly apprehend the needs of these patients and the
available therapeutic care structures for proper organization and pricing of
these activities. Patients after knee ligament surgery are classified into four
care sequences and two clinical categories, taking into account personal and
environmental factors that could influence patients' needs, in accordance with
the International Classification of Functioning (WHO).
PMID- 22079701
TI - Age-dependent competition of porcine enterotoxigenic E. coli (ETEC) with
different fimbria genes - short communication.
AB - To investigate the association of pathogenic Escherichia coli fimbrial adhesins
with the development of diarrhoea in piglets of different age groups and to test
their relative competitiveness, piglets were orally inoculated with a mixture of
E. coli strains harbouring F4, F5, F6, F18 and F41 fimbrial genes. A total of 537
E. coli strains with haemolytic activity were isolated from 36 diarrhoeic
piglets. The F4 fimbrial gene was observed in 98.5%, 97.6% and 80.6% strains
carrying fimbrial genes isolated from diarrhoeic piglets that were infected at 1,
3 and 5 weeks of age, respectively. These data demonstrate that F4 fimbriae are
highly associated with diarrhoea in piglets of all age groups. Interestingly, the
F18 fimbrial gene was observed in 2.4% and 25.4% strains carrying fimbrial genes
isolated from the 3- and 5-week-old groups, respectively, which confirms that F18
fimbriae are associated with diarrhoea in piglets from late stages of suckling to
post-weaning, and are more related to diarrhoea in weaned than in unweaned
piglets.
PMID- 22079699
TI - Hyaluronic acid-based nanocarriers for intracellular targeting: interfacial
interactions with proteins in cancer.
AB - The therapeutic efficacy of most drugs is greatly depends on their ability to
cross the cellular barrier and reach their intracellular target sites. To
transport the drugs effectively through the cellular membrane and to deliver them
into the intracellular environment, several interesting smart carrier systems
based on both synthetic or natural polymers have been designed and developed. In
recent years, hyaluronic acid (HA) has emerged as a promising candidate for
intracellular delivery of various therapeutic and imaging agents because of its
innate ability to recognize specific cellular receptors that overexpressed on
diseased cells. The aim of this review is to highlight the significance of HA in
cancer, and to explore the recent advances of HA-based drug carriers towards
cancer imaging and therapeutics.
PMID- 22079702
TI - The presence of methicillin-resistant Staphylococcus aureus on large pig breeding
farms in Croatia.
AB - Methicillin-resistant Staphylococcus aureus (MRSA) have emerged worldwide and
have become resistant to a variety of antibiotics. MRSA colonisation in pigs was
first reported from the Netherlands in 2005, where pigs were implicated as a
source of human MRSA infections (Voss et al., 2005). This paper presents the
first report on the presence of MRSA on large pig breeding farms in Croatia,
together with the determination of the mecA gene, the results of spa typing and
susceptibility to commonly used antimicrobials. Dust samples (7-11 per farm) were
collected from eight large pig farms in Croatia. Of the total 68 swabs, the mecA
gene was detected in 24 isolates growing on the MRSA agar. All isolates were
resistant to oxacillin, tetracycline and streptomycin, and susceptible only to
vancomycin, while 92% of the strains were susceptible to ciprofloxacin.
Genotyping of the MRSA strains was performed by spa typing, and revealed t011 (n
= 17), t034 (n = 5) and t1451 (n = 2). The results presented here predict that
MRSA is present on a large number of pig farms in Croatia.
PMID- 22079703
TI - Postmortem small babesia-like morphology of Babesia canis - short communication.
AB - Here we report a case of canine babesiosis with unusual morphology of the
causative agent. A male, seven-week-old Labrador retriever puppy, exhibiting
severe anaemia and haemoglobinuria, was presented at the Clinic of Internal
Medicine in February 2011. The puppy was euthanised. The most relevant
pathological changes were icterus, severe splenomegaly, generalised
lymphadenopathy and haemoglobin nephrosis. Samples were collected from various
organs for histology within one hour post mortem. Impression smears were also
prepared from the spleen after overnight storage at 4 degrees C. Tissue sections
and smears showed the presence of multiple, coccoid intraerythrocytic bodies that
measured 1-2 MUm and resembled small babesiae. No large piroplasms were seen. DNA
was extracted from the spleen, and a conventional PCR was performed for the
amplification of a 450-bp region of the 18S rRNA gene of piroplasms. The
causative agent was identified as Babesia canis canis, with 99% sequence identity
to other European isolates. Sequence identity to B. gibsoni was only 91%. This is
the first account to verify that the morphology of the large canine piroplasm, B.
canis, can be uniformly small babesia-like post mortem or following the storage
of tissue samples.
PMID- 22079704
TI - Osteoblastic osteosarcoma in a Grey Mouse Lemur (Microcebus murinus) - short
communication.
AB - The Grey Mouse Lemur (Microcebus murinus) is a nocturnal lemur species that lives
only in Madagascar. It is one of the most abundant lemur species and its native
populations are not endangered, but animals belonging to this species are rarely
exhibited in zoos. While tumours are quite frequently described in other
primates, there are very few publications about neoplasia in lemurs. In this case
report we describe a mandibular osteoblastic osteosarcoma in a Grey Mouse Lemur
(Microcebus murinus). To the best of the authors' knowledge, this is the first
scientific article describing osteosarcoma in a prosimian and also reporting a
tumour in the mandible in this taxon.
PMID- 22079705
TI - Solitary adenoma in the proventriculus of a budgerigar (Melopsittacus undulatus)
diagnosed by immunochemistry - short communication.
AB - The authors describe a solitary adenoma in a 5.5-year-old female Budgerigar
(Melopsittacus undulatus). The tumour was partially blocking the lumen of the
proventriculus and filled it almost completely. Decreased passage of food towards
the gizzard and the intestines developed in the bird as result of the
obstruction, which periodically hindered the passage, leading to slow emaciation.
An epithelial tumour composed of irregular glandular acini was diagnosed by
histological examination. Immunohistochemical reaction with pancytokeratin showed
a positive cytoplasmic reaction both in the neoplastic and the normal glandular
structures.
PMID- 22079706
TI - Effect of the periparturient period on serum lipid and cholesterol lipoprotein
concentrations in goats (Capra hircus).
AB - Blood samples were taken from 12 goats during the periparturient period (4 and 1
weeks before and 2, 10 and 30 days after delivery), and from 10 nonpregnant
goats. The following variables were determined: total lipids (TL),
triacylglycerol (TG), total cholesterol (TCH) and high-density lipoprotein (HDL)
cholesterol and low-density lipoprotein (LDL) cholesterol fractions. One week
before delivery TL (2.32 +/- 0.12 g/l, P <= 0.05), TG (0.32 +/- 0.16 mmol/l, P <=
0.001) and TCH concentrations (1.65 +/- 0.42 mmol/l, P <= 0.05) were
significantly increased as compared to non-pregnant goats (2.08 +/- 0.28 g/l,
0.15 +/- 0.05 mmol/l, 1.38 +/- 0.19 mmol/l, respectively). After delivery, the
concentrations of TL, TG, TCH and HDL decreased significantly. The lowest TG
concentration was observed 2 days after delivery (0.18 +/- 0.02 mmol/l), while TL
(1.73 +/- 0.21 g/l), TCH (0.95 +/- 0.21 mmol/l) and HDL (0.74 +/- 0.16 mmol/l)
reached the lowest level 10 days after delivery. Two days after delivery a
significant increase of LDL concentration was observed (0.38 +/- 0.04 mmol/l);
however, ten days after delivery a threefold decrease was shown in the LDL
concentration (0.12 +/- 0.04 mmol/l). A month after delivery all the variables
studied reached levels similar to those measured in non-pregnant goats.
PMID- 22079707
TI - Tetrodotoxin- and resiniferatoxin-induced changes in paracervical ganglion ChAT-
and nNOS-IR neurons supplying the urinary bladder in female pigs.
AB - The aim of the present study was to establish the effect of intravesical
administration of resiniferatoxin (RTX) and tetrodotoxin (TTX) on the chemical
coding of paracervical ganglion (PCG) neurons supplying the urinary bladder in
the pig. In order to identify the PCG neurons innervating the bladder, retrograde
tracer Fast Blue was injected into the bladder wall prior to intravesical RTX or
TTX administration. Consequent application of immunocytochemical methods revealed
that in the control group 76.82% of Fast Blue positive PCG neurons contain nitric
oxide synthetase (nNOS), and 66.92% contain acetylcholine transferase (ChAT).
Intravesical infusion of RTX resulted in a reduction of the nNOS-IR neurons to
57.74% and ChAT-IR to 57.05%. Alternative administration of TTX induced an
increase of nNOS-IR neurons up to 79.29% and a reduction of the ChAT-IR
population down to 3.73% of the Fast Blue positive PCG cells. Our data show that
both neurotoxins affect the chemical coding of PCG cells supplying the porcine
urinary bladder, but the effects of their action are different. Moreover, these
results shed light on the possible involvement of NO-ergic and cholinergic
neurons in the mechanisms of therapeutic action exerted by RTX and TTX in curing
the overactive bladder disorder.
PMID- 22079708
TI - Impact of oxidative stress on male fertility - a review.
AB - Oxidative stress is a state related to increased cellular damage caused by oxygen
and oxygen-derived free radicals known as reactive oxygen species (ROS). It is a
serious condition, as ROS and their metabolites attack DNA, lipids and proteins,
alter enzymatic systems and cell signalling pathways, producing irreparable
alterations, cell death and necrosis. While small amounts of ROS have been shown
to be required for several functions of spermatozoa, their excessive levels can
negatively impact the quality of spermatozoa and impair their overall fertilising
capacity. These questions have recently attracted the attention of the scientific
community; however, research aimed at exploring the role of oxidative stress and
antioxidants associated with male fertility is still at its initial stages. This
review summarises the current facts available in this field and intends to
stimulate interest in basic and clinical research, especially in the development
of effective methods for the diagnosis and therapy of semen damage caused by
oxidative stress.
PMID- 22079709
TI - Plasma progesterone, metabolic hormones and beta-hydroxybutyrate in Holstein
Friesian cows after superovulation.
AB - Metabolic hormones [insulin, leptin, insulin-like growth factor-I (IGF-I),
thyroxine (T4) and triiodothyronine (T3)], progesterone (P4) and beta
hydroxybutyrate (BHB) serum concentrations were evaluated and their effect on the
superovulation results of donor cows was investigated in a semi-arid environment.
Body weight, body condition score (BCS) and lactation stage were also included in
the analysis. Twenty-three Holstein-Friesian cows were superovulated with 600 IU
FSHp following the routine procedure and flushed on day 7 in a Multiple Ovulation
and Embryo Transfer Centre in the semi-arid area of Brazil. The corpora lutea
(CL) were counted and blood samples were collected for assays. All of the
hormones investigated and BHB serum concentrations were within the physiological
ranges. There was a positive correlation between hormones, except between BHB and
all the others. The leptin level was influenced by feeding status, as indicated
by the BCS. Insulin, T4, T3 and BHB levels were affected by milking status. Dry
cows had higher levels of all hormones except BHB. An optimum level of leptin
resulted in the highest number of CL, while the linear increase of P4, T4 and IGF
significantly increased the number of CL.
PMID- 22079710
TI - Effect of season and photoperiod on the time of first postpartum ovulation in
Awassi ewes.
AB - Seasonal differences in the resumption of postpartum ovarian activity, milk
production and periparturient metabolic status were investigated in lactating non
suckling dairy Awassi sheep in two consecutive experiments. In Experiment 1,
autumn-lambing (AL, n = 27) and spring-lambing (SL, n = 37) ewes were
investigated. Ovarian activity was monitored by means of individual progesterone
(P4) profiles from day 5 to day 100 post partum. Most of the AL dams (89%)
ovulated till day 35 after parturition and became cyclic thereafter. Incidence of
persistent corpus luteum (CLP) and short luteal phases (sCL) was frequent (18%
and 29%, respectively) among non-conceiving dams. In contrast, only 24% of the SL
ewes ovulated before day 35. P4 levels during the luteal phase were lower in
cyclic animals, and the cycle was longer in SL than in AL animals. No CLP or sCL
was detected in the spring-lambing group, and 61% of SL ewes remained acyclic
till the end of the trial. Lactation length was significantly longer in SL dams
than in AL ewes (P = 0.008). According to the plasma metabolites (BHB, NEFA) and
metabolic hormones (insulin, IGF-I, thyroxine) examined, negative energy balance
did not appear in any of the animals. However, seasonal differences were seen in
IGF-I and thyroxine levels, which were higher in the SL dams. In Experiment 2,
influence of additional lighting was studied in autumn-lambing ewes. The long-day
photoperiod (LD, n = 23) group was exposed to artificial light from sunset till
midnight (approx. 16 h light/8 h dark) from some weeks before the expected date
of delivery in mid-September until the end of December. The control group (n =
25) experienced only natural daylength. The first postpartum ovulation tended to
occur later in the LD animals than in the controls (P = 0.047). The lactation of
the LD group tended to be longer (P = 0.061). NEFA, BHB, insulin, IGF-I and
thyroxine levels did not differ between the groups. CONCLUSIONS: (i) The ovarian
function of the Awassi population is seasonal under temperate continental climate
conditions. (ii) The first postpartum ovulation of non-suckling, autumn-lambing
dams may occur very early, even before the completion of uterine involution.
(iii) Additional artificial lighting may delay the time of first postpartum
ovulation in AL ewes. (iv) Postpartum negative energy balance is unlikely to
occur in dairy Awassi ewes even in high-producing intensive systems.
PMID- 22079711
TI - Profiles of seroconversion to porcine circovirus type 2 in herds affected and not
affected by postweaning multisystemic wasting syndrome.
AB - The aim of the present study was to explore the usefulness of serological methods
in the diagnosis of postweaning multisystemic wasting syndrome (PMWS). The study
was carried out in 4 PMWS-affected and 6 control farms. Based on the serological
profiles, infection with porcine circovirus type 2 (PCV2) was determined to take
place at 3-7 weeks of age in the PMWS-affected and at 3-11 weeks of age in the
control farms. To compare the dynamics of seroconversion to PCV2 among farms,
cross-sectional serological profiles were normalised in relation to the inferred
age of infection. The results indicated that the proportion of seropositive pigs
increased significantly slower in the affected herds. The most pronounced
difference was observed about 4 weeks after infection, when the proportion of
seropositive pigs ranged from 0 to 53.3% and from 70 to 100% in PMWS-affected and
control herds, respectively. Mean antibody titres at that age were also
significantly lower in the affected farms. These observations suggest a delay in
the production of PCV2-specific antibodies and indicate that serological methods
may be helpful in identifying herds with a high risk of PMWS.
PMID- 22079712
TI - Chitosan nanoconstructs for improved oral delivery of low molecular weight
heparin: In vitro and in vivo evaluation.
AB - The aim of present study was to investigate the potential of mucoadhesive polymer
chitosan (CS) and N-trimethyl chitosan (TMC) based nanoparticulate systems for
oral bioavailability enhancement of low molecular weight heparin (LMWH). The TMC
was synthesized by methylation of chitosan followed by characterization using
infrared spectroscopy and (1)H-NMR spectroscopy. The IR and NMR spectra of TMC
confirmed the presence of trimethyl groups and estimated the degree of
quaternization for TMC about 46%. TMC nanoparticles were then prepared by ionic
gelation method. The developed CS-NPs and TMC-NPs were characterized for various
parameters including morphology, particle size, zeta potential, entrapment
efficiency, in vitro release behavior and storage stability at different
temperature and simulated gastrointestinal tract conditions. The fluorescent
microscopy study confirmed the higher particle uptake of TMC-NPs by
gastrointestinal epithelium in comparison to the CS-NPs. The concentration of
LMWH in the systemic circulation followed by oral administration of formulations
was estimated using FXa chromogenic assay. A significant increase (p<0.05) in the
oral bioavailability of LMWH was observed with TMC-NPs than both CS-NPs as well
as plain LMWH solution. These findings suggested that TMC nanoparicles hold
promise for oral delivery of LMWH and clinical applicability for the treatment of
vascular disorders like deep vein thrombosis and pulmonary embolism, etc.
PMID- 22079713
TI - Evaluation of the influence of ionization states and spacers in the thermotropic
phase behaviour of amino acid-based cationic lipids and the transfection
efficiency of their assemblies.
AB - The influence of both the ionization states and the hydrocarbon chain spacer of a
series of amino acid-based cationic lipids was evaluated in terms of gene
delivery efficiency and cytotoxicity to the COS-7 cell line and compared with
that of Lipofectamine 2000. We synthesized a series of amino acid-based cationic
lipids with different ionization states (i.e., -NH(2), -NH(3)(+)Cl(-) or
NH(3)(+)TFA(-)) in the lysine head group and different hydrocarbon chain spacers
(i.e., 0, 3, 5 or 7 carbon atoms) between the hydrophilic head group and
hydrophobic moieties. In the 3-carbon series, the cationic assemblies formed a
micellar structure in the presence of -NH(3)(+)Cl(-) and a vesicular structure
both in the presence of -NH(2) and -NH(3)(+)TFA(-). Differential scanning
calorimetry (DSC) data revealed a significantly lower (8.1 degrees C) gel-to
liquid crystalline phase transition temperature for cationic assemblies bearing
NH(3)(+)TFA(-) when compared to their -NH(2) counterparts. Furthermore, the zeta
potential of cationic assemblies having -NH(3)(+)TFA(-) in the hydrophilic head
group was maximum followed by -NH(3)(+)Cl(-) and -NH(2) irrespective of their
hydrocarbon chain spacer length. The gene delivery efficiency in relation to the
ionization states of the hydrophilic head group was as follows: -NH(3)(+)TFA(-)>
NH(3)(+)Cl(-)>-NH(2).
PMID- 22079714
TI - Cocrystallization and amorphization induced by drug-excipient interaction
improves the physical properties of acyclovir.
AB - Although acyclovir is one of the most important antiviral drugs used today, there
are several problems with its physical properties. The aim of this study is to
prepare cocrystals or amorphous complex of acyclovir using drug-excipient
interactions to improve the physical properties of the drug, especially its
dissolution rate and transdermal absorption. Screening for formation of
cocrystals and the presence of amorphous acyclovir was conducted with various
pharmaceutical excipinents, with the use of the solution-crystallization method
and liquid-assisted cogrinding. The potential cocrystalline phase and the
amorphized complex were characterized by PXRD, TG/DTA, IR, DSC and HPLC
techniques. The screening indicated that acyclovir formed novel cocrystals with
tartaric acid and was amorphized with citric acid. The acyclovir-tartaric acid
cocrystal (ACV-TA cocrystal) structure was determined from synchrotron X-ray
powder diffraction data. T(g) of the amorphous acyclovir-citric acid compound
(ACV-CA amorphous) was determined by DSC. The initial dissolution rate of the ACV
TA cocrystals was considerably faster than that of anhydrous acyclovir. In vitro
skin permeation of ACV-CA amorphous from polyethylene glycol (PEG) ointment was
remarkably higher than that of the crystalline acyclovir. We successfully
improved the physical properties of acyclovir by the cocrystallization and
amorphization techniques, using pharmaceutical excipients.
PMID- 22079715
TI - Investigation of preparation methods on surface/bulk structural relaxation and
glass fragility of amorphous solid dispersions.
AB - The objective of this study was to investigate the effect of preparation methods
on the surface/bulk molecular mobility and glass fragility of solid dispersions.
Solid dispersions containing indomethacin and PVP K30 were chosen as the model
system. An inverse gas chromatography method was used to determine the surface
structural relaxation of the solid dispersions and these data were compared to
those for bulk relaxation obtained by DSC. The values of tau(beta) for the
surface relaxation were 4.6, 7.1 and 1.8h for melt quenched, ball milled and
spray dried solid dispersions respectively, compared to 15.6, 7.9 and 9.8h of the
bulk. In all systems, the surface had higher molecular mobility than the bulk.
The glass fragility of the solid dispersions was also influenced by the
preparation methods with the most fragile system showing the best stability. The
zero mobility temperature (T(0)) was used to correlate with the physical
stability of the solid dispersions. Despite having similar T(g) (65 degrees C),
the T(0) of the melt quenched, ball milled and spray dried samples were 21.6,
4.2 and 16.7 degrees C respectively which correlated well with their physical
stability results. Therefore, T(0) appears to be a better indicator than T(g) for
predicting stability of amorphous materials.
PMID- 22079716
TI - Novel diphenyl dimethyl bicarboxylate provesicular powders with enhanced
hepatocurative activity: preparation, optimization, in vitro/in vivo evaluation.
AB - Diphenyl dimethyl bicarboxylate (DDB) is a hepatocurative agent used for
treatment of various liver diseases. However, DDB therapeutic effectiveness is
restricted by its low oral bioavailability that arises from its poor solubility
and dissolution. Aiming at surmounting the aforementioned restrictions, DDB
provesicular dry powders exemplified by proniosomes and proliposomes were
prepared using film-deposition technique employing sorbitol as a carrier. Upon
dilution with water, the provesicular powders rapidly transformed into vesicular
dispersions, either liposomes or niosomes, which were characterized regarding
their percent encapsulation efficiency (EE%), vesicle size and distribution,
morphology and in vitro drug release. The revealed optimal provesicular powder
was exposed to solid state characterization, stability testing and in vivo
performance evaluation. Results showed that provesicular powders with acceptable
flowability can be prepared using a weight ratio of lipids mixture to sorbitol of
1:20. Proniosomal powder composed of Tween 80:cholesterol:stearylamine in molar
ratio 7:3:0.5 loaded on sorbitol was selected as the optimal formulation as it
showed the highest EE% and dissolution enhancement for DDB. The elevated levels
of liver enzymes in hepatically injured Albino Wister rats were significantly
reduced (P<0.05) after oral administration of the optimal proniosomal powder in
comparison to free DDB. This improvement was confirmed histopathologically by
minimizing the associated hepatic injury. Accordingly, proniosomes can be
assertively considered as a promising stable precursor for immediate preparation
of niosomal carrier for DDB with enhanced dissolution and hepatocurative
activity.
PMID- 22079717
TI - Coated chitosan pellets containing rutin intended for the treatment of
inflammatory bowel disease: in vitro characteristics and in vivo evaluation.
AB - Preparation of coated pellets intended for rutin colon delivery, their evaluation
in vitro and in vivo in experimental colitis in rats was the purpose of this
study. Pellets were obtained using extrusion/spheronization and coated with three
types of coatings (caffeic acid/hypromellose/alginic acid; sodium
alginate/hypromellose/zinc acetate; sodium alginate/chitosan). Dissolution using
buffers of pH values, beta-glucosidase and times corresponding to
gastrointestinal tract (GIT) was provided. Pellets coated with alginate/chitosan
showed low rutin dissolution (12-14%) in upper GIT conditions and fast release
(87-89%) under colon conditions; that is a good presumption of intended rutin
release. After colitis induction and development, the rats were treated with
pellets and rutin solution administered orally, solution also rectally.
Colon/body weight ratio, myeloperoxidase activity and histological evaluation
were performed. Rutin was able to promote colonic healing at the dose of 10mg/kg:
colon/body weight ratio decreased and myeloperoxidase activity was significantly
suppressed. Pellets coated with alginate/chitosan applied orally and rutin
solution administered rectally showed the best efficacy. The combination of rutin
as natural product, mucoadhesive chitosan degraded in the colon and sodium
alginate as the main coating substance in the form of pellets create a promising
preparation for therapy of this severe illness.
PMID- 22079718
TI - Stable and efficient delivery of docetaxel by micelle-encapsulation using a
tripodal cyclotriphosphazene amphiphile.
AB - Docetaxel micelle-encapsulated by a tripodal cyclotriphosphazene amphiphilile
[NP(PEG750)(GlyPheLeu)(2)Et](3) (CP750) exhibited outstanding drug-loaded micelle
stability in aqueous solution compared with the polymeric micelles assembled from
linear block copolymers. Furthermore, docetaxel micelle-encapsulated by CP750 is
obtainable in solvent free powder form, which is immediately soluble in any
aqueous media including saline and PBS and very stable to photo-degradation even
in the room light at room temperature. Although docetaxel micelle-encapsulated by
CP750 did not display highly improved pharmacokinetic profile compared with
Taxotere currently in clinical use, its in vivo xenograft trials exhibited
excellent antitumor efficacy by showing complete tumor regression against the
breast cancer cells (MDA-MB-231) at a lower dose of 5mg/kg and better efficacy
against gastric cancer cells (MKN-28) compared with Taxotere. Furthermore,
according to the comparative acute toxicity study, toxicities associated with
Taxotere may be remarkably reduced by micelle-encapsulation of docetaxel using
CP750, which afforded a much higher LD(50) value of 75 mg/kg compared with 28
mg/kg of docetaxel in Taxotere. Thus docetaxel micelle-encapsulated by CP750 has
entered the stage of preclinical studies.
PMID- 22079719
TI - Polymorphic and kinetic investigation of adefovir dipivoxil during phase
transformation.
AB - To search polymorphs of adefovir dipivoxil (AD), the polymorphic transformation
approach in solution was developed. Also, the kinetics of polymorphic
transformation was investigated to effectively control polymorphs. The AD
crystals were obtained by crystallization at -10 degrees C, and then the
polymorphic transformation was induced by raising temperature. The polymorphs of
AD were confirmed using DSC, XRD and solubility analyses. The polymorphic
fraction during transformation was monitored for kinetic investigation. Via
polymorphic transformation in solution, four polymorphs of AD were found and two
of them were new (NF-I, NF-II). The DSC analysis revealed that solvate form (NF
I) was changed to form-V in solid state, and then re-crystallized to NF-II at 93
degrees C, and finally became form-I at 97 degrees C. This serial change of
polymorphs in DSC was identical to polymorphic transformation sequence in
solution. The kinetic rates of polymorphic transformation described by nucleation
and mass transfer theories were well matched with experimental measurement. The
polymorphic transformation approach was effective to search polymorphs of which
the structure was changed to the other one in the solution. The kinetic
information of polymorphic transformation predicted by Volmer's nucleation model
and Stokes-Einstein diffusion equation was valuable for exact control of
polymorphic purity.
PMID- 22079720
TI - Aerosolized semifluorinated alkanes as excipients are suitable for inhalative
drug delivery--a pilot study.
AB - Semifluorinated alkanes (SFAs) have been described as potential excipients for
pulmonary drug delivery, but proof of their efficacy is still lacking. We tested
whether SFA formulations with the test drug ibuprofen can be nebulised and
evaluated their pharmacokinetics. Physico-chemical properties of five different
ibuprofen formulations were evaluated: an aqueous solution (H2O), two different
SFAs (perfluorohexyloctane (F6H8), perfluorobutylpentane (F4H5)) with and without
ethanol (SFA/EtOH). Nebulisation was performed with a jet catheter system.
Inhalative characteristics were evaluated by laser diffraction. A confirmative
animal study with an inhalative single-dose (6 mg/kg) of ibuprofen with each
formulation was performed in anaesthetised healthy rabbits. Plasma samples at
defined time points and lung tissue harvested after the 6-h study period were
analyzed by HPLC-MS/MS. Pharmacokinetics were calculated using a non-compartment
model. All formulations were nebulisable. No differences in aerodynamic diameters
(MMAD) were detected between SFA and SFA/EtOH. The ibuprofen plasma concentration
time curve (AUC) was highest with F4H5/EtOH. In contrast, F6H8/EtOH had the
highest deposition of ibuprofen into lung tissue but the lowest AUC. All tested
SFA and SFA/EtOH formulations are suitable for inhalation. F4H5/EtOH formulations
might be used for rapid systemic availability of drugs. F6H8/EtOH showed
intrapulmonary deposition of the test drug.
PMID- 22079721
TI - Variation in use of androgen suppression with external-beam radiotherapy for
nonmetastatic prostate cancer.
AB - PURPOSE: To describe practice patterns associated with androgen suppression (AS)
stratified by disease risk group in patients undergoing external-beam
radiotherapy (EBRT) for localized prostate cancer. METHODS AND MATERIALS: We
identified 2,184 low-risk, 2,339 intermediate-risk, and 2,897 high-risk patients
undergoing EBRT for nonmetastatic prostate cancer diagnosed between January 1,
2004, and December 31, 2005, in the linked Surveillance, Epidemiology, and End
Results-Medicare database. We examined the association of patient, clinical, and
demographic characteristics with AS use by multivariate logistic regression.
RESULTS: The proportions of patients receiving AS for low-risk, intermediate
risk, and high-risk prostate cancer were 32.2%, 56.3%, and 81.5%, respectively.
AS use among men in the low-risk disease category varied widely, ranging from
13.6% in Detroit to 47.8% in Kentucky. We observed a significant decline in AS
use between 2004 and 2005 within all three disease risk categories. Men aged >=75
years or with elevated comorbidity levels were more likely to receive AS.
CONCLUSION: Our results identified apparent overuse and underuse of AS among men
within the low-risk and high-risk disease categories, respectively. These results
highlight the need for clinician and patient education regarding the appropriate
use of AS. Practice patterns among intermediate-risk patients reflect the
clinical heterogeneity of this population and underscore the need for better
evidence to guide the treatment of these patients.
PMID- 22079722
TI - Risk factors of developing long-lasting breast pain after breast cancer
radiotherapy.
AB - PURPOSE: Postoperative radiotherapy decreases breast cancer mortality. However,
studies have revealed a long-lasting breast pain among some women after
radiotherapy. The purpose of this study was to identify risk factors that
contribute to breast pain after breast cancer radiotherapy. METHODS AND
MATERIALS: We identified 1,027 recurrence-free women in two cohorts of Swedish
women treated for breast cancer. The women had breast-conserving surgery and
postoperative radiotherapy, the breast was treated to 48 Gy in 2.4-Gy fractions
or to 50 Gy in 2.0-Gy fractions. Young women received a boost of up to 16 Gy.
Women with more than three lymph node metastases had locoregional radiotherapy.
Systemic treatments were given according to health-care guidelines. Three to 17
years after radiotherapy, we collected data using a study-specific questionnaire.
We investigated the relation between breast pain and potential risk modifiers:
age at treatment, time since treatment, chemotherapy, photon energy,
fractionation size, boost, loco-regional radiotherapy, axillary surgery,
overweight, and smoking. RESULTS: Eight hundred seventy-seven women (85%)
returned the questionnaires. Among women up to 39 years of age at treatment,
23.1% had breast pain, compared with 8.7% among women older than 60 years (RR
2.66; 95% CI 1.33-5.36). Higher age at treatment (RR 0.96; 95% CI 0.94-0.98,
annual decrease) and longer time since treatment (RR 0.93; 95% CI 0.88-0.98,
annual decrease) were related to a lower occurrence of breast pain. Chemotherapy
increased the occurrence of breast pain (RR 1.72; 95% CI 1.19-2.47). In the
multivariable model only age and time since treatment were statistically
significantly related to the occurrence of breast pain. We found no statistically
significant relation between breast pain and the other potential risk modifiers.
CONCLUSIONS: Younger women having undergone breast-conserving surgery with
postoperative radiotherapy report a higher occurrence of long-lasting breast pain
compared to older women. Time since treatment may decrease the occurrence of
pain.
PMID- 22079723
TI - Prognostic factors for survival in patients treated with stereotactic
radiosurgery for recurrent brain metastases after prior whole brain radiotherapy.
AB - PURPOSE: To evaluate prognostic factors for survival after stereotactic
radiosurgery (SRS) for new, progressive, or recurrent brain metastases (BM) after
prior whole brain radiotherapy (WBRT). METHODS AND MATERIALS: Patients treated
between 1991 and 2007 with Gamma Knife SRS for BM after prior WBRT were
retrospectively reviewed. Potential prognostic factors were analyzed overall and
by primary site using univariate and stepwise multivariate analyses and recursive
partitioning analysis, including age, Karnofsky performance status (KPS), primary
tumor control, extracranial metastases, number of BM treated, total SRS target
volume, and interval from WBRT to SRS. RESULTS: A total of 310 patients were
analyzed, including 90 breast, 113 non-small-cell lung, 31 small-cell lung, 42
melanoma, and 34 miscellaneous patients. The median age was 56, KPS 80, number of
BM treated 3, and interval from WBRT to SRS 8.1 months; 76% had controlled
primary tumor and 60% had extracranial metastases. The median survival was 8.4
months overall and 12.0 vs. 7.9 months for single vs. multiple BM treated (p =
0.001). There was no relationship between number of BM and survival after
excluding single-BM patients. On multivariate analysis, favorable prognostic
factors included age <50, smaller total target volume, and longer interval from
WBRT to SRS in breast cancer patients; smaller number of BM, KPS >60, and
controlled primary in non-small-cell lung cancer patients; and smaller total
target volume in melanoma patients. CONCLUSIONS: Among patients treated with
salvage SRS for BM after prior WBRT, prognostic factors appeared to vary by
primary site. Although survival time was significantly longer for patients with a
single BM, the median survival time of 7.9 months for patients with multiple BM
seems sufficiently long for salvage SRS to appear to be worthwhile, and no
evidence was found to support the use of a cutoff for number of BM appropriate
for salvage SRS.
PMID- 22079724
TI - Biochemical control with radiotherapy improves overall survival in intermediate
and high-risk prostate cancer patients who have an estimated 10-year overall
survival of >90%.
AB - PURPOSE: To identify subgroups of patients with carcinoma of the prostate treated
with radical radiotherapy that have improved overall survival when disease is
biochemically controlled. METHODS AND MATERIALS: A cohort of 1,060 prostate
cancer patients treated with radical radiotherapy was divided into nine subgroups
based on National Comprehensive Cancer Network risk category and estimated 10
year overall survival (eOS 10y) derived from the age adjusted Charlson
Comorbidity Index. Patients with and without biochemical control were compared
with respect to overall survival. Actuarial estimates of overall survival were
calculated using the Kaplan-Meier method. Univariate and multivariate Cox
proportional hazards models were used for analysis of overall survival. RESULTS:
Median follow-up was 125 months (range, 51-176 months). Only the subgroups with
high or intermediate risk disease and an eOS 10y of >90% had a statistically
significantly improved overall survival when prostate cancer was biochemically
controlled. In all other groups, biochemical control made no significant
difference to overall survival. In the subgroup with high-risk disease and eOS
10y >90%, actuarial overall survival was 86.3% (95% confidence interval [CI]
78.5%-94.1%) and 62.1% (95% CI 52.9%-71.3%) for patients with biochemical control
and biochemical relapse respectively (p = 0.002). In the intermediate risk group
with eOS >90%, actuarial overall survival was 95.3% (95% CI 89.0%-100%) and 79.8%
(95% CI 68.0%-91.6%) for biochemically controlled and biochemically relapsed
patients (p = 0.033). On multivariate analysis, National Comprehensive Cancer
Network risk group (p = 0.005), biochemical control (p = 0.033) and eOS 10y (p <
0.001) were statistically significant. CONCLUSION: Biochemical control translates
into improved overall survival in patients with high or intermediate risk disease
and an estimated 10-year overall survival of >90%.
PMID- 22079725
TI - Phase II study of short-course radiotherapy plus concomitant and adjuvant
temozolomide in elderly patients with glioblastoma.
AB - PURPOSE: Radiotherapy (RT) and chemotherapy may prolong survival in older
patients (age >=70 years) with glioblastoma multiforme (GBM), although the
survival benefits remain poor. This Phase II multicenter study was designed to
evaluate the efficacy and safety of an abbreviated course of RT plus concomitant
and adjuvant temozolomide (TMZ) in older patients with GBM. PATIENTS AND METHODS:
Seventy-one eligible patients 70 years of age or older with newly diagnosed GBM
and a Karnofsky performance status >=60 were treated with a short course of RT
(40 Gy in 15 fractions over 3 weeks) plus TMZ at the dosage of 75 mg/m(2) per day
followed by 12 cycles of adjuvant TMZ (150-200 mg/m(2) for 5 days during each 28
day cycle). The primary endpoint was overall survival (OS). Secondary endpoints
included progression-free survival and toxicity. RESULTS: The Median OS was 12.4
months, and the 1-year and 2-year OS rates were 58% and 20%, respectively. The
median and 1-year rates of progression-free survival were 6 months and 20%,
respectively. All patients completed the planned programme of RT. Grade 3 or 4
adverse events occurred in 16 patients (22%). Grade 3 and 4 neutropenia and/or
thrombocytopenia occurred in 10 patients (15%), leading to the interruption of
treatment in 6 patients (8%). Nonhematologic Grade 3 toxicity was rare, and
included fatigue in 4 patients and cognitive disability in 1 patient.
CONCLUSIONS: A combination of an abbreviated course of RT plus concomitant and
adjuvant TMZ is well tolerated and may prolong survival in elderly patients with
GBM. Future randomized studies need to evaluate the efficacy and toxicity of
different schedules of RT in association with chemotherapy.
PMID- 22079726
TI - A Phase I study of chemoradiotherapy with use of involved-field conformal
radiotherapy and accelerated hyperfractionation for Stage III non-small cell lung
cancer: WJTOG 3305.
AB - PURPOSE: A Phase I study to determine a recommended dose of thoracic radiotherapy
using accelerated hyperfractionation for unresectable non-small-cell lung cancer
was conducted. METHODS AND MATERIALS: Patients with unresectable Stage III non
small-cell lung cancer were treated intravenously with carboplatin (area under
the concentration curve 2) and paclitaxel (40 mg/m(2)) on Days 1, 8, 15, and 22
with concurrent twice-daily thoracic radiotherapy (1.5 Gy per fraction) beginning
on Day 1 followed by two cycles of consolidation chemotherapy using carboplatin
(area under the concentration curve 5) and paclitaxel (200 mg/m(2)). Total doses
were 54 Gy in 36 fractions, 60 Gy in 40 fractions, 66 Gy in 44 fractions, and 72
Gy in 48 fractions at Levels 1 to 4. The dose-limiting toxicity, defined as Grade
>=4 esophagitis and neutropenic fever and Grade >=3 other nonhematologic
toxicities, was monitored for 90 days. RESULTS: Of 26 patients enrolled, 22
patients were assessable for response and toxicity. When 4 patients entered Level
4, enrollment was closed to avoid severe late toxicities. Dose-limiting
toxicities occurred in 3 patients. They were Grade 3 neuropathy at Level 1 and
Level 3 and Grade 3 infection at Level 1. However, the maximum tolerated dose was
not reached. The median survival time was 28.6 months for all patients.
CONCLUSIONS: The maximum tolerated dose was not reached, although the dose of
radiation was escalated to 72 Gy in 48 fractions. However, a dose of 66 Gy in 44
fractions was adopted for this study because late toxicity data were
insufficient.
PMID- 22079727
TI - Long-term results of radiochemotherapy for solitary lymph node metastasis after
curative resection of esophageal cancer.
AB - PURPOSE: To evaluate the long-term efficacy and toxicity of definitive
radiochemotherapy for solitary lymph node metastasis after curative surgery of
esophageal cancer. METHODS AND MATERIALS: We performed a retrospective review of
35 patients who underwent definitive radiochemotherapy at Tohoku University
Hospital between 2000 and 2009 for solitary lymph node metastasis after curative
esophagectomy with lymph node dissection for esophageal cancer. Radiotherapy
doses ranged from 60 to 66 Gy (median, 60 Gy). Concurrent chemotherapy was
platinum based in all patients. The endpoints of the present study were overall
survival, cause-specific survival, progression-free survival, irradiated-field
control, overall tumor response, and prognostic factors. RESULTS: The median
observation period for survivors was 70.0 months. The 5-year overall survival was
39.2% (median survival, 39.0 months). The 5-year cause-specific survival,
progression-free survival, and irradiated-field control were 43.3%, 31.0% and
59.9%, respectively. Metastatic lesion, size of the metastatic lymph node, and
performance status before radiochemotherapy were significantly correlated with
prognosis. Complete response and partial response were observed in 22.9% and
57.1% of the patients, respectively. There was no Grade 3 or higher adverse
effect based on the Common Terminology Criteria for Adverse Events (CTCAE v3.0)
in the late phase. CONCLUSIONS: Based on our study findings, approximately 40% of
patients with solitary lymph node metastasis after curative resection for
esophageal cancer have a chance of long-term survival with definitive
radiochemotherapy.
PMID- 22079728
TI - Early-stage primary bone lymphoma: a retrospective, multicenter Rare Cancer
Network (RCN) Study.
AB - PURPOSE: Primary bone lymphoma (PBL) represents less than 1% of all malignant
lymphomas. In this study, we assessed the disease profile, outcome, and
prognostic factors in patients with Stages I and II PBL. PATIENTS AND METHODS:
Thirteen Rare Cancer Network (RCN) institutions enrolled 116 consecutive patients
with PBL treated between 1987 and 2008 in this study. Eighty-seven patients
underwent chemoradiotherapy (CXRT) without (78) or with (9) surgery, 15
radiotherapy (RT) without (13) or with (2) surgery, and 14 chemotherapy (CXT)
without (9) or with (5) surgery. Median RT dose was 40 Gy (range, 4-60). The
median number of CXT cycles was six (range, 2-8). Median follow-up was 41 months
(range, 6-242). RESULTS: The overall response rate at the end of treatment was
91% (complete response [CR] 74%, partial response [PR] 17%). Local recurrence or
progression was observed in 12 (10%) patients and systemic recurrence in 17
(15%). The 5-year overall survival (OS), lymphoma-specific survival (LSS), and
local control (LC) were 76%, 78%, and 92%, respectively. In univariate analyses
(log-rank test), favorable prognostic factors for OS and LSS were International
Prognostic Index (IPI) score <=1 (p = 0.009), high-grade histology (p = 0.04),
CXRT (p = 0.05), CXT (p = 0.0004), CR (p < 0.0001), and RT dose >40 Gy (p =
0.005). For LC, only CR and Stage I were favorable factors. In multivariate
analysis, IPI score, RT dose, CR, and CXT were independently influencing the
outcome (OS and LSS). CR was the only predicting factor for LC. CONCLUSION: This
large multicenter retrospective study confirms the good prognosis of early-stage
PBL treated with combined CXRT. An adequate dose of RT and complete CXT regime
were associated with better outcome.
PMID- 22079729
TI - Expansion/de-expansion tool to quantify the accuracy of prostate contours.
AB - PURPOSE: Accurate delineation of the prostate gland on computed tomography (CT)
remains a persistent challenge and continues to introduce geometric uncertainty
into the planning and delivery of external beam radiotherapy. We, therefore,
developed an expansion/de-expansion tool to quantify the contour errors and
determine the location of the deviations. METHODS AND MATERIALS: A planning CT
scan and magnetic resonance imaging scan were prospectively acquired for 10
patients with prostate cancer. The prostate glands were contoured by 3
independent observers using the CT data sets with instructions to contour the
prostate without underestimation but to minimize overestimation. The standard
prostate for each patient was defined using magnetic resonance imaging and CT on
multiple planes. After registration of the CT and magnetic resonance imaging data
sets, the CT-defined prostates were scored for accuracy. The contours were
defined as ideal if they were within a 2.5-mm expansion of the standard without
underestimation, acceptable if they were within a 5.0-mm expansion and a 2.5-mm
de-expansion, and unacceptable if they extended >5.0 mm or underestimated the
prostate by >2.5 mm. RESULTS: A total of 636 CT slices were individually
analyzed, with the vast majority scored as ideal or acceptable. However, none of
the 30 prostate contour sets had all the contours scored as ideal or acceptable.
For all 3 observers, the unacceptable contours were more likely from
underestimation than overestimation of the prostate. The errors were more common
at the base and apex than the mid-gland. CONCLUSIONS: The expansion/de-expansion
tool allows for directed feedback on the location of contour deviations, as well
as the determination of over- or underestimation of the prostate. This metric
might help improve the accuracy of prostate contours.
PMID- 22079730
TI - Factors associated with optimal long-term cosmetic results in patients treated
with accelerated partial breast irradiation using balloon-based brachytherapy.
AB - PURPOSE: To evaluate factors associated with optimal cosmetic results at 72
months for early-stage breast cancer patients treated with Mammosite balloon
based accelerated partial breast irradiation (APBI). METHODS AND MATERIALS: A
total of 1,440 patients (1,449 cases) with early-stage breast cancer undergoing
breast-conserving therapy were treated with balloon-based brachytherapy to
deliver APBI (34 Gy in 3.4-Gy fractions). Cosmetic outcome was evaluated at each
follow-up visit and dichotomized as excellent/good (E/G) or fair/poor (F/P).
Follow-up was evaluated at 36 and 72 months to establish long-term cosmesis,
stability of cosmesis, and factors associated with optimal results. RESULTS: The
percentage of evaluable patients with excellent/good (E/G) cosmetic results at 36
months and more than 72 months were 93.3% (n = 708/759) and 90.4% (n = 235/260).
Factors associated with optimal cosmetic results at 72 months included: larger
skin spacing (p = 0.04) and T1 tumors (p = 0.02). Using multiple regression
analysis, the only factors predictive of worse cosmetic outcome at 72 months were
smaller skin spacing (odds ratio [OR], 0.89; confidence interval [CI], 0.80-0.99)
and tumors greater than 2 cm (OR, 4.96, CI, 1.53-16.07). In all, 227 patients had
both a 36-month and a 72-month cosmetic evaluation. The number of patients with
E/G cosmetic results decreased only slightly from 93.4% at 3 years to 90.8% (p =
0.13) at 6 years, respectively. CONCLUSIONS: APBI delivered with balloon-based
brachytherapy produced E/G cosmetic results in 90.4% of cases at 6 years. Larger
tumors (T2) and smaller skin spacing were found to be the two most important
independent predictors of cosmesis.
PMID- 22079731
TI - Four-week neoadjuvant intensity-modulated radiation therapy with concurrent
capecitabine and oxaliplatin in locally advanced rectal cancer patients: a
validation phase II trial.
AB - PURPOSE: To validate tolerance and pathological complete response rate (pCR) of a
4-week preoperative course of intensity-modulated radiation therapy (IMRT) with
concurrent capecitabine and oxaliplatin (CAPOX) in patients with locally advanced
rectal cancer. METHODS AND MATERIALS: Patients with T3 to T4 and/or N+ rectal
cancer received preoperative IMRT (47.5 Gy in 19 fractions) with concurrent
capecitabine (825 mg/m(2) b.i.d., Monday to Friday) and oxaliplatin (60 mg/m(2)
on Days 1, 8, and 15). Surgery was scheduled 4 to 6 weeks after the completion of
chemoradiation. Primary end points were toxicity and pathological response rate.
Local control (LC), disease-free survival (DFS), and overall survival (OS) were
also analyzed. RESULTS: A total of 100 patients were evaluated. Grade 1 to 2
proctitis was observed in 73 patients (73%). Grade 3 diarrhea occurred in 9% of
the patients. Grade 3 proctitis in 18% of the first 50 patients led to reduction
of the dose per fraction to 47.5 Gy in 20 treatments. The rate of Grade 3
proctitis decreased to 4% thereafter (odds ratio, 0.27). A total of 99 patients
underwent surgery. A pCR was observed in 13% of the patients, major response (96
100% of histological response) in 48%, and pN downstaging in 78%. An R0 resection
was performed in 97% of the patients. After a median follow-up of 55 months, the
LC, DFS, and OS rates were 100%, 84%, and 87%, respectively. CONCLUSIONS:
Preoperative CAPOX-IMRT therapy (47.5 Gy in 20 fractions) is feasible and safe,
and produces major pathological responses in approximately 50% of patients.
PMID- 22079732
TI - Beta-carotene antioxidant use during radiation therapy and prostate cancer
outcome in the Physicians' Health Study.
AB - PURPOSE: The safety of antioxidant supplementation during radiation therapy (RT)
for cancer is controversial. Antioxidants could potentially counteract the pro
oxidant effects of RT and compromise therapeutic efficacy. We performed a
prospective study nested within the Physicians' Health Study (PHS) randomized
trial to determine if supplemental antioxidant use during RT for prostate cancer
is associated with an increased risk of prostate cancer death or metastases.
METHODS AND MATERIALS: PHS participants (383) received RT for prostate cancer
while randomized to receive beta-carotene (50 mg on alternate days) or placebo.
The primary endpoint was time from RT to lethal prostate cancer, defined as
prostate cancer death or bone metastases. The Kaplan-Meier method was used to
estimate survival probabilities and the log-rank test to compare groups. Cox
proportional hazards regression was used to estimate the effect of beta-carotene
compared with that of placebo during RT. RESULTS: With a median follow-up of 10.5
years, there was no significant difference between risk of lethal prostate cancer
with the use of beta-carotene during RT compared with that of placebo (hazard
ratio = 0.72; 95% confidence interval [CI], 0.42-1.24; p = 0.24). After we
adjusted for age at RT, prostate-specific antigen serum level, Gleason score, and
clinical stage, the difference remained nonsignificant. The 10-year freedom from
lethal prostate cancer was 92% (95% CI, 87-95%) in the beta-carotene group and
89% (95% CI, 84-93%) in the placebo group. CONCLUSION: The use of supplemental
antioxidant beta-carotene during RT was not associated with an increased risk of
prostate cancer death or metastases. This study suggests a lack of harm from
supplemental beta-carotene during RT for prostate cancer.
PMID- 22079733
TI - Radiotherapy for early mediastinal Hodgkin lymphoma according to the German
Hodgkin Study Group (GHSG): the roles of intensity-modulated radiotherapy and
involved-node radiotherapy.
AB - PURPOSE: Cure rates of early Hodgkin lymphoma (HL) are high, and avoidance of
late complications and second malignancies have become increasingly important.
This comparative treatment planning study analyzes to what extent target volume
reduction to involved-node (IN) and intensity-modulated (IM) radiotherapy (RT),
compared with involved-field (IF) and three-dimensional (3D) RT, can reduce doses
to organs at risk (OAR). METHODS AND MATERIALS: Based on 20 computed tomography
(CT) datasets of patients with early unfavorable mediastinal HL, we created
treatment plans for 3D-RT and IMRT for both the IF and IN according to the
guidelines of the German Hodgkin Study Group (GHSG). As OAR, we defined heart,
lung, breasts, and spinal cord. Dose-volume histograms (DVHs) were evaluated for
planning target volumes (PTVs) and OAR. RESULTS: Average IF-PTV and IN-PTV were
1705 cm(3) and 1015 cm(3), respectively. Mean doses to the PTVs were almost
identical for all plans. For IF-PTV/IN-PTV, conformity was better with IMRT and
homogeneity was better with 3D-RT. Mean doses to the heart (17.94/9.19 Gy for 3D
RT and 13.76/7.42 Gy for IMRT) and spinal cord (23.93/13.78 Gy for 3D-RT and
19.16/11.55 Gy for IMRT) were reduced by IMRT, whereas mean doses to lung
(10.62/8.57 Gy for 3D-RT and 12.77/9.64 Gy for IMRT) and breasts (left 4.37/3.42
Gy for 3D-RT and 6.04/4.59 Gy for IMRT, and right 2.30/1.63 Gy for 3D-RT and
5.37/3.53 Gy for IMRT) were increased. Volume exposed to high doses was smaller
for IMRT, whereas volume exposed to low doses was smaller for 3D-RT. Pronounced
benefits of IMRT were observed for patients with lymph nodes anterior to the
heart. IN-RT achieved substantially better values than IF-RT for almost all OAR
parameters, i.e., dose reduction of 20% to 50%, regardless of radiation
technique. CONCLUSIONS: Reduction of target volume to IN most effectively
improves OAR sparing, but is still considered investigational. For the time
being, IMRT should be considered for large PTVs especially when the anterior
mediastinum is involved.
PMID- 22079734
TI - Common variants of GSTP1, GSTA1, and TGFbeta1 are associated with the risk of
radiation-induced fibrosis in breast cancer patients.
AB - PURPOSE: To provide new insights into the genetic basis of normal tissue
radiosensitivity, we evaluated the association between eight polymorphic variants
located in six genes related to DNA repair mechanisms, oxidative stress, and
fibroblast proliferation (XRCC1 Arg399Gln, XRCC1 Arg194Trp, TP53 Arg72Pro, GSTP1
Ile105Val, GSTA1 C-69T, eNOS G894T, TGFbeta1 C-509T, and TGFbeta1 T869C) and the
risk of subcutaneous fibrosis in a retrospective series of patients who received
radiotherapy after breast-conserving surgery. METHODS AND MATERIALS: Subcutaneous
fibrosis was scored according to the Late Effects of Normal Tissue--Subjective
Objective Management Analytical scale in 257 breast cancer patients who underwent
surgery plus adjuvant radiotherapy. Genotyping was conducted by polymerase chain
reaction--restriction fragment length polymorphism analysis on genomic DNA
extracted from peripheral blood. The association between genetic variants and the
risk of moderate to severe fibrosis was evaluated by binary logistic regression
analysis. RESULTS: Two hundred thirty-seven patients were available for the
analysis. Among them, 41 patients (17.3%) developed moderate to severe fibrosis
(Grade 2-3), and 196 (82.7%) patients displayed no or minimal fibrotic reactions
(Grade 0-1). After adjustment of confounding factors, GSTP1 Ile105Val (odds ratio
[OR] 2.756; 95% CI, 1.188-6.393; p = 0.018), GSTA1 C-69T (OR 3.223; 95% CI, 1.176
8.826; p = 0.022), and TGFbeta1 T869C (OR 0.295; 95% CI, 0.090-0.964; p = 0.043)
polymorphisms were found to be significantly associated with the risk of Grade 2
3 radiation-induced fibrosis. In the combined analysis, carriers of three risk
genotypes were found to be at higher odds for the development of Grade 2-3
fibrosis than were patients with two risk genotypes (OR 4.415; 95% CI, 1.553
12.551, p = 0.005) or with no or one risk genotype (OR 8.563; 95% CI, 2.671
27.447; p = 0.0003). CONCLUSIONS: These results suggest that functional
variations in genes involved in oxidative stress response and fibroblast
proliferation may modulate the development of radiation-induced fibrosis in
breast cancer patients. The results of the combined analysis support the notion
that approaches based on the combination of different genetic markers have the
potential to predict normal tissue responses.
PMID- 22079735
TI - Long-term clinical outcome of intensity-modulated radiotherapy for inoperable non
small cell lung cancer: the MD Anderson experience.
AB - PURPOSE: In 2007, we published our initial experience in treating inoperable non
small-cell lung cancer (NSCLC) with intensity-modulated radiation therapy (IMRT).
The current report is an update of that experience with long-term follow-up.
METHODS AND MATERIALS: Patients in this retrospective review were 165 patients
who began definitive radiotherapy, with or without chemotherapy, for newly
diagnosed, pathologically confirmed NSCLC to a dose of >=60 Gy from 2005 to 2006.
Early and late toxicities assessed included treatment-related pneumonitis (TRP),
pulmonary fibrosis, esophagitis, and esophageal stricture, scored mainly
according to the Common Terminology Criteria for Adverse Events 3.0. Other
variables monitored were radiation-associated dermatitis and changes in body
weight and Karnofsky performance status. The Kaplan-Meier method was used to
compute survival and freedom from radiation-related acute and late toxicities as
a function of time. RESULTS: Most patients (89%) had Stage III to IV disease. The
median radiation dose was 66 Gy given in 33 fractions (range, 60-76 Gy, 1.8-2.3
Gy per fraction). Median overall survival time was 1.8 years; the 2-year and 3
year overall survival rates were 46% and 30%. Rates of Grade >=3 maximum TRP
(TRP(max)) were 11% at 6 months and 14% at 12 months. At 18 months, 86% of
patients had developed Grade >=1 maximum pulmonary fibrosis (pulmonary
fibrosis(max)) and 7% Grade >=2 pulmonary fibrosis(max). The median times to
maximum esophagitis (esophagitis(max)) were 3 weeks (range, 1-13 weeks) for Grade
2 and 6 weeks (range, 3-13 weeks) for Grade 3. A higher percentage of patients
who experienced Grade 3 esophagitis(max) later developed Grade 2 to 3 esophageal
stricture. CONCLUSIONS: In our experience, using IMRT to treat NSCLC leads to low
rates of pulmonary and esophageal toxicity, and favorable clinical outcomes in
terms of survival.
PMID- 22079736
TI - Quality of life after hypofractionated concomitant intensity-modulated
radiotherapy boost for high-risk prostate cancer.
AB - PURPOSE: To evaluate the change in health-related quality of life (QOL) of
patients with high-risk prostate cancer treated using hypofractionated
radiotherapy combined with long-term androgen deprivation therapy. METHODS AND
MATERIALS: A prospective Phase I-II study enrolled patients with any of the
following: clinical Stage T3 disease, prostate-specific antigen level >=20 ng/mL,
or Gleason score 8-10. Radiotherapy consisted of 45 Gy (1.8 Gy per fraction) to
the pelvic lymph nodes with a concomitant 22.5 Gy intensity-modulated
radiotherapy boost to the prostate, for a total of 67.5 Gy (2.7 Gy per fraction)
in 25 fractions over 5 weeks. Daily image guidance was performed using three gold
seed fiducials. Quality of life was measured using the Expanded Prostate Cancer
Index Composite (EPIC), a validated tool that assesses four primary domains
(urinary, bowel, sexual, and hormonal). RESULTS: From 2004 to 2007, 97 patients
were treated. Median follow-up was 39 months. Compared with baseline, at 24
months there was no statistically significant change in the mean urinary domain
score (p = 0.99), whereas there were decreases in the bowel (p < 0.01), sexual (p
< 0.01), and hormonal (p < 0.01) domains. The proportion of patients reporting a
clinically significant difference in EPIC urinary, bowel, sexual, and hormonal
scores at 24 months was 27%, 31%, 55%, and 60%, respectively. However, moderate
and severe distress related to these symptoms was minimal, with increases of only
3% and 5% in the urinary and bowel domains, respectively. CONCLUSIONS:
Hypofractionated radiotherapy combined with long-term androgen deprivation
therapy was well tolerated. Although there were modest rates of clinically
significant patient-reported urinary and bowel toxicity, most of this caused only
mild distress, and moderate and severe effects on QOL were limited. Additional
follow-up is ongoing to characterize long-term QOL.
PMID- 22079737
TI - NMR for direct determination of K(m) and V(max) of enzyme reactions based on the
Lambert W function-analysis of progress curves.
AB - (1)H NMR spectroscopy was used to follow the cleavage of sucrose by invertase.
The parameters of the enzyme's kinetics, K(m) and V(max), were directly
determined from progress curves at only one concentration of the substrate. For
comparison with the classical Michaelis-Menten analysis, the reaction progress
was also monitored at various initial concentrations of 3.5 to 41.8mM. Using the
Lambert W function the parameters K(m) and V(max) were fitted to obtain the
experimental progress curve and resulted in K(m)=28mM and V(max)=13MUM/s. The
result is almost identical to an initial rate analysis that, however, costs much
more time and experimental effort. The effect of product inhibition was also
investigated. Furthermore, we analyzed a much more complex reaction, the
conversion of farnesyl diphosphate into (+)-germacrene D by the enzyme germacrene
D synthase, yielding K(m)=379MUM and k(cat)=0.04s(-1). The reaction involves an
amphiphilic substrate forming micelles and a water insoluble product; using
proper controls, the conversion can well be analyzed by the progress curve
approach using the Lambert W function.
PMID- 22079738
TI - Optimization by factorial analysis of caprylic acid precipitation of non
immunoglobulins from hyperimmune equine plasma for antivenom preparation.
AB - Optimization of caprylic acid precipitation of equine plasma non-immunoglobulin
proteins for antivenom preparation was achieved by regression analysis of the
responses of three highly significant factors assayed by factorial design. The
factors studied were caprylic acid concentration, plasma pH and temperature, and
their response was assessed in terms of filtration speed, residual albumin, total
protein content and turbidity. The results evidenced that the three variables are
involved in the precipitation process. Moreover, the factors displayed
significant interactions, indicating that their levels distinctly affect the
optimization procedure. The best combination was 3% caprylic acid, 37 degrees C
and plasma pH 4.9; under these conditions, all immunoglobulins and only 0.1%
albumin remained in the supernatant, in a very fast and simple procedure. After
formulation, the antivenom obtained by this procedure presented full lethality
neutralizing activity and absence of protein aggregates.
PMID- 22079739
TI - Arginase depletes plasma l-arginine and decreases pulmonary vascular reserve
during experimental pulmonary embolism.
AB - The experiments test if experimental PE causes red blood cell hemolysis, arginase
release and depletion of l-arginine and determine if arginase inhibition
preserves l-arginine and improves pulmonary hemodynamics during PE. Experimental
PE was induced in male Sprague-Dawley rats by infusing 25 MUm microspheres (1.8
million/100 g body wt) in the jugular vein, producing moderate pulmonary
hypertension. Pulmonary vascular resistance was estimated from the quotient of
the right ventricular peak systolic pressure/cardiac output. Arterial plasma
hemoglobin (ELISA), arginase activity (colorimetric assay) and l-arginine (high
performance liquid chromatography) were determined. Arginase activity was
inhibited by infusion of N-omega-hydroxy-nor-l-arginine (nor-NOHA, 400 mg/kg body
wt, i.v.). Values are means +/- s.e. Five hours of PE caused red blood cell
hemolysis (15-fold increase in plasma hemoglobin) and release of arginase
activity (2.7-fold increase). Plasma l-arginine concentration decreased
significantly from 250 +/- 20.6 to 118 +/- 6.0 MUmol/L (Control vs. PE) and
estimated pulmonary vascular resistance increased 3-fold. Treatment with nor-NOHA
prevented the depletion of plasma l-arginine (229 +/- 15 MUmol/L) and reduced the
rise in pulmonary vascular resistance by 40%. In conclusion, experimental PE
causes hemolysis, release of arginase activity, depletion of plasma l-arginine
and increased estimated pulmonary vascular resistance. Inhibition of arginase
activity preserves plasma l-arginine levels and improves estimated resistance,
suggesting that the release of arginase during hemolysis contributes to the rise
in estimated pulmonary resistance during experimental PE.
PMID- 22079740
TI - Sleeping beauty--a mouse model for all cancers?
AB - Sleeping Beauty (SB) is a genetically engineered insertional mutagenesis system.
Its ability to rapidly induce cancer in SB-transgenic mice as well as the ease of
identification of the mutated genes suggest important roles for SB in the
discovery of novel cancer genes as well as the generation of models of human
cancers where none currently exist. The range of SB-related tumors extends from
haematopoietic to solid cancers such as hepatocellular carcinoma. This review
follows the refinement of SB for different cancers and assesses its potential as
a model for all cancers and a tool for cancer gene discovery.
PMID- 22079741
TI - Irreversible electroporation shows efficacy against pancreatic carcinoma without
systemic toxicity in mouse models.
AB - Pancreatic ductal adenocarcinoma (PDAC) therapies show limited success.
Irreversible electroporation (IRE) is an innovative loco-regional therapy in
which high-voltage pulses are applied to induce plasma membrane defects leading
to cellular death. In the present study we evaluated the feasibility of IRE
against PDAC. IRE treatment exhibited significant antitumor effects and prolonged
survival in mice with orthotopic xenografts. Extensive tumor necrosis, reduced
tumor cell proliferation and disruption of microvessels were observed at
different days post-IRE. Animals had transient increases in transaminases,
amylase and lipase enzymes that normalized at 24h post-IRE. These results suggest
that IRE could be an effective treatment for locally advanced pancreatic tumors.
PMID- 22079742
TI - [Standardization of the gynecological emergency ultrasound examination].
AB - Standardization of the gynecological emergency ultrasound examination could allow
more accurate evaluation in gynecologic or general emergency unit. A systematic
set of images, "Standardized Acute Female Echography" (SAFE), was defined. In
practice, four pictures are realized whatever presenting complaint (set "A").
These pictures describe the normal anatomy and allow verifying the quality of
ultrasonography realization. The picture A1 is obtained by abdominal probe and
investigates the Morison's pouch. The pictures A2, A3 and A4 are obtained by
transvaginal probe and correspond respectively to the mid-sagittal uterus view,
the right ovary and the left ovary. For each of these pictures, some quality
criteria were defined allowing a control of ultrasound examination. When
abnormality is detected, others pictures (set "B)" are required to describe it.
These pictures are called B1 in case of intra-uterine abnormality and B2 in case
of adnexal abnormality. This standardization of pelvic ultrasonography could
allow a quality control and a delegation of the ultrasound examination by
training physicians or diagnostic medical sonographers without repetition of the
examination. SAFE could be a reference in the field of gynecological emergencies
imaging picture by improving diagnostic and therapeutic management.
PMID- 22079743
TI - [Surgery for the management of ovarian endometriomas: from the physiopathology to
the pre-, peri- and postoperative treatment].
AB - Good knowledge of physiopathology, surgical techniques and of the literature
allows for an adequate treatment of ovarian endometrioma. Preoperative medical
treatment has not shown to be efficient and might be adapted to each patient
(continuation or initiation of hormonal treatment in case of symptomatology, will
for contraception, association with functional cyst). Laparoscopy is the
mandatory surgical approach. Cystectomy is the surgical technique of choice but
may affect normal ovarian tissu. Surgical technique should be meticulous in
particular with respect to the hilum of the ovary. Exclusive coagulation using
bipolar is not recommended. A hybrid technique associating both cystectomy and
vaporization (laser or Plasmajet((c))) at the level of the hilum of the ovary
could be interesting. Hemostasis should be spontaneous or obtained with bipolar
coagulation with parcimony. Ovarian suture is a solution. Extra-ovarian lesions
should be carefully searched for and treated. Anti-adhesion products should be
applied. Postoperative medical treatment decreases recurrence and should be
systematic for patients who do not want to conceive. Multidisciplinary approach
is essential.
PMID- 22079744
TI - [Trisomy 21 by isochromosome: a case report of true false negative of chorionic
villi sampling].
AB - We report a rare case of true false negative of chorionic villi sampling for a
child with Down syndrome. A chorionic villi sampling was performed for a nuchal
translucency at the first trimester. The karyotype was 46,XX for the short and
the long-term culture. Because of facial dysmorphy and cardiopathy to the child,
a karytoype was proposed. This postnatal karyotype showed a trisomy 21, by
isochromosome 46,XX,i(21)(q10). We expose the mechanism of true false negative of
chorionic villi sampling, and particularly the role of isochromosome in this
case.
PMID- 22079745
TI - Health-related quality of life among participants in the SMART weight loss trial.
AB - Obesity has been associated with a decreased health-related quality of life
(HRQoL); however, the association between weight change and HRQoL is unclear.
This secondary analysis of the SMART (Self Monitoring And Recording using
Technology) trial, a clinical trial of behavioral weight loss treatment, provides
evidence that quality of life improves with weight loss.
PMID- 22079746
TI - "Reading between the lines" of flow sheet data: nurses' optional documentation
associated with cardiac arrest outcomes.
PMID- 22079747
TI - Part 2: enhancing heart failure home management: integrated evidence for a new
family caregiver educational plan.
AB - This article describes how the qualitative data integrated from patients, health
care professionals, and family caregivers have been developed into a new heart
failure (HF) educational plan for family caregivers to manage the complexities of
HF self-management at home. In addition, the educational plan describes potential
strategies and teaching materials based on these integrated data.
PMID- 22079748
TI - Cardiac and pulmonary arterial remodeling after sinoaortic denervation in
normotensive rats.
AB - Blood pressure variability (BPV) and baroreflex dysfunction may contribute to end
organ damage process. We investigated the effects of baroreceptor deficit (10
weeks after sinoaortic denervation - SAD) on hemodynamic alterations, cardiac and
pulmonary remodeling. Cardiac function and morphology of male Wistar intact rats
(C) and SAD rats (SAD) (n=8/group) were assessed by echocardiography and collagen
quantification. BP was directly recorded. Ventricular hypertrophy was quantified
by the ratio of left ventricular weight (LVW) and right ventricular weight (RVW)
to body weight (BW). BPV was quantified in the time and frequency domains. The
atrial natriuretic peptide (ANP), alpha-skeletal actin (alpha-skelectal),
collagen type I and type III genes mRNA expression were evaluated by RT-PCR. SAD
did not change BP, but increased BPV (11+/-0.49 vs. 5+/-0.3 mmHg). As expected,
baroreflex was reduced in SAD. Pulmonary artery acceleration time was reduced in
SAD. In addition, SAD impaired diastolic function in both LV (6.8+/-0.26 vs.
5.02+/-0.21 mmHg) and RV (5.1+/-0.21 vs. 4.2+/-0.12 mmHg). SAD increased LVW/BW
in 9% and RVW/BW in 20%, and augmented total collagen (3.8-fold in LV, 2.7-fold
in RV, and 3.35-fold in pulmonary artery). Also, SAD increased type I (~6-fold)
and III (~5-fold) collagen gene expression. Denervation increased ANP expression
in LV (75%), in RV (74%) and increased alpha-skelectal expression in LV (300%)
and in RV (546%). Baroreflex function impairment by SAD, despite not changing BP,
induced important adjustments in cardiac structure and pulmonary hypertension.
These changes may indicate that isolated baroreflex dysfunction can modulate
target tissue damage.
PMID- 22079749
TI - Analytical comparison of the cobas HPV Test with Hybrid Capture 2 for the
detection of high-risk HPV genotypes.
AB - Human papillomavirus (HPV) is a causal agent of cervical cancer, and persistent
HPV16 or HPV18 infection carries a particularly high risk. The cobas HPV Test
(cobas) provides individual HPV16/HPV18 genotyping with a simultaneous result for
12 other high-risk HPV (hrHPV) genotypes. Its analytical performance for hrHPV
genotype detection was retrospectively evaluated against the digene Hybrid
Capture 2 HPV DNA test (HC2), in three European centers, in 1360 cervical
samples. Both HPV tests performed similarly, with no significant difference in
the number of positive and negative samples identified by each test and good
agreement between the tests was observed. Discordant samples were analyzed with
the Linear Array HPV genotyping test. More low-risk HPV (lrHPV) genotypes were
detected in HC2-positive/cobas-negative samples compared with HC2-negative/cobas
positive samples. Conversely, more hrHPV genotypes were detected in HC2
negative/cobas-positive samples compared with HC2-positive/cobas-negative
samples. Eight HC2-negative/cobas-positive samples were positive for HPV16
compared with five HC2-positive/cobas-negative samples; HPV18 was detected in one
HC2-negative/cobas-positive sample and one HC2-positive/cobas-negative sample.
The cobas HPV Test demonstrates comparable analytical performance to the HC2
test, but with a lower rate of cross-reactivity with lrHPV genotypes, and has the
advantage of simultaneously providing HPV16/HPV18 identification.
PMID- 22079750
TI - Maximizing microbial degradation of perchlorate using a genetic algorithm: Media
optimization.
AB - Microbial communities are under constant influence of physical and chemical
components in ecosystems. Shifts in conditions such as pH, temperature or carbon
source concentration can translate into shifts in overall ecosystem functioning.
These conditions can be manipulated in a laboratory setup using evolutionary
computation methods such as genetic algorithms (GAs). In work described here, a
GA methodology was successfully applied to define sets of environmental
conditions for microbial enrichments and pure cultures to achieve maximum rates
of perchlorate degradation. Over the course of 11 generations of optimization
using a GA, we saw a statistically significant 16.45 and 16.76-fold increases in
average perchlorate degradation rates by Dechlorosoma sp. strain KJ and
Dechloromonas sp. strain Miss R, respectively. For two bacterial consortia, Pl6
and Cw3, 5.79 and 5.75-fold increases in average perchlorate degradation were
noted. Comparison of zero-order kinetic rate constants for environmental
conditions in GA-determined first and last generations of all bacterial cultures
additionally showed marked increases.
PMID- 22079751
TI - Evaluation of parallel milliliter-scale stirred-tank bioreactors for the study of
biphasic whole-cell biocatalysis with ionic liquids.
AB - As clear structure-activity relationships are still rare for ionic liquids,
preliminary experiments are necessary for the process development of biphasic
whole-cell processes involving these solvents. To reduce the time investment and
the material costs, the process development of such biphasic reaction systems
would profit from a small-scale high-throughput platform. Exemplarily, the
reduction of 2-octanone to (R)-2-octanol by a recombinant Escherichia coli in a
biphasic ionic liquid/water system was studied in a miniaturized stirred-tank
bioreactor system allowing the parallel operation of up to 48 reactors at the mL
scale. The results were compared to those obtained in a 20-fold larger stirred
tank reactor. The maximum local energy dissipation was evaluated at the larger
scale and compared to the data available for the small-scale reactors, to verify
if similar mass transfer could be obtained at both scales. Thereafter, the
reaction kinetics and final conversions reached in different reactions setups
were analysed. The results were in good agreement between both scales for varying
ionic liquids and for ionic liquid volume fractions up to 40%. The parallel
bioreactor system can thus be used for the process development of the majority of
biphasic reaction systems involving ionic liquids, reducing the time and resource
investment during the process development of this type of applications.
PMID- 22079752
TI - lac operon induction in Escherichia coli: Systematic comparison of IPTG and TMG
induction and influence of the transacetylase LacA.
AB - Most commonly used expression systems in bacteria are based on the Escherichia
coli lac promoter. Furthermore, lac operon elements are used today in systems and
synthetic biology. In the majority of the cases the gratuitous inducers IPTG or
TMG are used. Here we report a systematic comparison of lac promoter induction by
TMG and IPTG which focuses on the aspects inducer uptake, population
heterogeneity and a potential influence of the transacetylase, LacA. We provide
induction curves in E. coli LJ110 and in isogenic lacY and lacA mutant strains
and we show that both inducers are substrates of the lactose permease at low
inducer concentrations but can also enter cells independently of lactose permease
if present at higher concentrations. Using a gfp reporter strain we compared TMG
and IPTG induction at single cell level and showed that bimodal induction with
IPTG occurred at approximately ten-fold lower concentrations than with TMG.
Furthermore, we observed that lac operon induction is influenced by the
transacetylase, LacA. By comparing two Plac-gfp reporter strains with and without
a lacA deletion we could show that in the lacA(+) strain the fluorescence level
decreased after few hours while the fluorescence further increased in the lacA(-)
strain. The results indicate that through the activity of LacA the IPTG
concentration can be reduced below an inducing threshold concentration-an
influence that should be considered if low inducer amounts are used.
PMID- 22079753
TI - Do rheumatoid arthritis patients have equal access to treatment with new
medicines?: tumour necrosis factor-alpha inhibitors use in four European
countries.
AB - PURPOSE: To explore the use of the biological tumour necrosis factor alpha
(TNFalpha) inhibitors used in the treatment of rheumatoid arthritis as a measure
of access to treatment with new medicines. In addition, characteristics both
related to national health systems and spending will be assessed to explore
possible differences in international utilisation. METHODS: Data from four
European countries were included: Ireland, The Netherlands, Norway and Portugal.
Annual utilisation rates of TNFalpha inhibitors (2003-2007) were expressed as
defined daily doses (DDDs)/1000 inhabitants/day. Qualitative data such as country
characteristics, national health policy characteristics, guidelines were obtained
from the literature. In addition, interviews were held with leading
rheumatologists of each country to put obtained results into (cultural) context.
RESULTS: Utilisation of TNFalpha inhibitors varied widely from 0.32 (Portugal) to
1.89 (Norway) DDDs/1000 inhabitants/day (2007). A major driver for the
utilisation of TNFalpha inhibitors seemed to be the country's total health
expenditure (R(2)=0.81). When the use of TNFalpha inhibitors became more
established, the association seemed stronger. Differences in health expenditure
were nevertheless not the only determinant of usage. Cultural aspects such as
difference in recognition of guidelines also come into play when looking at
differences in TNFalpha utilisation between countries. CONCLUSIONS: The prospects
of patients receiving TNFalpha inhibitor treatment depend on the country where
they are living. In case uniformity of management and treatment would be
considered to provide health benefits, the extent and the causes of variation
should feature prominently on future public health agendas.
PMID- 22079754
TI - Design, synthesis and evaluation of non-urea inhibitors of soluble epoxide
hydrolase.
AB - Inhibition of soluble epoxide hydrolase (sEH) has been proposed as a new
pharmaceutical approach for treating hypertension and vascular inflammation. The
most potent sEH inhibitors reported in literature to date are urea derivatives.
However, these compounds have limited pharmacokinetic profiles. We investigated
non-urea amide derivatives as sEH inhibitors and identified a potent human sEH
inhibitor 14-34 having potency comparable to urea-based inhibitors.
PMID- 22079755
TI - Maplexins, new alpha-glucosidase inhibitors from red maple (Acer rubrum) stems.
AB - Thirteen gallic acid derivatives including five new gallotannins, named maplexins
A-E, were isolated from red maple (Acer rubrum) stems. The compounds were
identified by spectral analyses. The maplexins varied in number and location of
galloyl groups attached to 1,5-anhydro-d-glucitol. The isolates were evaluated
for alpha-glucosidase inhibitory and antioxidant activities. Maplexin E, the
first compound identified with three galloyl groups linked to three different
positions of 1,5-anhydro-d-glucitol, was 20 fold more potent than the alpha
glucosidase inhibitory drug, Acarbose (IC(50)=8 vs 160 MUM). Structure-activity
related studies suggested that both number and position of galloyls attached to
1,5-anhydro-d-glucitol were important for alpha-glucosidase inhibition.
PMID- 22079756
TI - From libraries to candidate: the discovery of new ultra long-acting dibasic beta2
adrenoceptor agonists.
AB - Libraries of dibasic compounds designed around the molecular scaffold of the
DA(2)/beta(2) dual agonist sibenadet (ViozanTM) have yielded a number of
promising starting points that have been further optimised into novel potent and
selective target molecules with required pharmacokinetic properties. From a
shortlist, 31 was discovered as a novel, high potency, and highly efficacious
beta(2)-agonist with high selectivity and a duration of action commensurable with
once daily dosing.
PMID- 22079757
TI - Discovery of a novel class of aldol-derived 1,2,3-triazoles: potent and selective
inhibitors of human cytochrome P450 19A1 (aromatase).
AB - The discovery of a novel five-component 1,2,3-triazole-containing pharmacophore
that exhibits potent and selective inhibition of aromatase (CYP 450 19A1) is
described. All compounds are derived from an initial aldol reaction of a
phenylacetate derivative with an aromatic aldehyde. Structure-activity data
generated from both syn- and anti-aldol adducts provides initial insights into
the requirements for both potency and selectivity.
PMID- 22079758
TI - Site of action of a pentapeptide agonist at the glucagon-like peptide-1 receptor.
Insight into a small molecule agonist-binding pocket.
AB - The development of small molecule agonists for class B G protein-coupled
receptors (GPCRs) has been quite challenging. With proof-of-concept that
exenatide, the parenterally administered peptide agonist of the glucagon-like
peptide-1 (GLP1) receptor, is an effective treatment for patients with diabetes
mellitus, the development of small molecule agonists could have substantial
advantages. We previously reported a lead for small molecule GLP1 receptor
agonist development representing the pentapeptide NRTFD. In this work, we have
prepared an NRTFD derivative incorporating a photolabile benzoylphenylalanine and
used it to define its site of action. This peptide probe was a full agonist with
potency similar to NRTFD, which bound specifically and saturably to a single,
distinct site within the GLP1 receptor. Peptide mapping using cyanogen bromide
and endoproteinase Lys-C cleavage of labeled wild type and M397L mutant receptor
constructs identified the site of covalent attachment of NRTFD within the third
extracellular loop above the sixth transmembrane segment (TM6). This region is
the same as that identified using an analogous photolabile probe based on
secretin receptor sequences, and has been shown in mutagenesis studies to be
important for natural agonist action of several members of this family. While
these observations suggest that small molecule ligands can act at a site
bordering the third extracellular loop to activate this class B GPCR, the
relationship of this site to the site of action of the amino-terminal end of the
natural agonist peptide is unclear.
PMID- 22079759
TI - Synthesis and biological evaluations of novel indenoisoquinolines as
topoisomerase I inhibitors.
AB - A series of novel indenoisoquinoline derivatives were synthesized. The anticancer
activities of these molecules were tested in human cancer cell lines A549, HepG2,
and HCT-116. These compounds were also tested for their activity of topoisomerase
I (top1) inhibition. Among them, compound 25 was found to be 10-times more potent
in cell-killing activity for both cell lines HepG2 and HCT-116 than reported
compound 11, with IC(50) of 0.019 and 0.093MUM, respectively. Compound 25 was
also found to have stronger top1 inhibition activity than 11 in our inhibition
assay. Further in vivo evaluations of compound 25 are in progress and will be
reported in due course.
PMID- 22079760
TI - Synthesis and evaluation of near-infrared fluorescent sulfonamide derivatives for
imaging of hypoxia-induced carbonic anhydrase IX expression in tumors.
AB - A series of human carbonic anhydrase (hCA) IX inhibitors conjugated to various
near-infrared fluorescent dyes was synthesized with the aim of imaging hypoxia
induced hCA IX expression in tumor cells in vitro, ex vivo and in vivo. The
resulting compounds were profiled for inhibition of transmembrane hCA IX showing
a range of potencies from 7.5 to 116 nM and up to 50-fold selectivity over the
cytosolic form hCA II. Some of the compounds also showed inhibition selectivity
for other transmembrane forms hCA XII and XIV as well. Compounds incubated in
vitro with HeLa cells cultured under normoxic and hypoxic conditions detected
upregulation of hCA IX under hypoxia by fluorescence microscopy. A pilot in vivo
study in HT-29 tumor bearing mice showed significant accumulation of a
fluorescent acetazolamide derivative in tumor tissue with little accumulation in
other tissues. Approximately 10% of injected dose was non-invasively quantified
in tumors by fluorescence molecular tomography (FMT), demonstrating the promise
of these new compounds for quantitative imaging of hCA IX upregulation in live
animals.
PMID- 22079762
TI - Inhibitory constituents of Nardostachys chinensis on nitric oxide production in
RAW 264.7 macrophages.
AB - The activity-guided fractionation of the MeOH extract of the rhizomes and roots
of Nardostachys chinensis led to the isolation of two new sesquiterpenoids,
narchinol B (8) and narchinol C (9), along with 10 known compounds, ursolic acid
(1), nardosinone (2), pinoresinol (3), desoxo-narchinol A (4), kanshone B (5),
epoxyconiferyl alcohol (6), debilon (7), 4alpha,5-dimethyl-1,3-dioxo
1,2,3,4,4alpha,5,6,7-octahydronaphthalene (10), p-coumaric acid (11), and
isoferulic acid (12). Their structures were determined using spectroscopic
techniques, which included 1D- and 2D-NMR. Among the isolates, compounds 2, 4, 5,
8 and 9 showed inhibitory activity against LPS-induced NO production with IC(50)
values of 4.6-21.6 MUM.
PMID- 22079761
TI - The discovery of non-benzimidazole and brain-penetrant prolylcarboxypeptidase
inhibitors.
AB - Novel prolylcarboxypeptidase (PrCP) inhibitors with nanomolar IC(50) values were
prepared by replacing the previously described dichlorobenzimidazole-substituted
pyrrolidine amides with a variety of substituted benzylamine amides. In contrast
to prior series, the compounds demonstrated minimal inhibition shift in whole
serum and minimal recognition by P-glycoprotein (P-gp) efflux transporters. The
compounds were also cell permeable and demonstrated in vivo brain exposure. The
in vivo effect of compound (S)-6e on weight loss in an established diet-induced
obesity (eDIO) mouse model was studied.
PMID- 22079763
TI - Different effects of multiple health status indicators on breast and colorectal
cancer screening in a nationally representative US sample.
AB - OBJECTIVE: To examine the independent associations between multiple health status
indicators and breast and colorectal cancer screening (CRCS) in a national US
sample. STUDY DESIGN AND SETTING: Analysis of cross-sectional data from the 2005
National Health Interview Survey (NHIS) involved 5115 men and 7100 women aged 50
years and older. MEASURES: Health status indicators included: self-reported
perceived health status, number of chronic conditions, and functional limitation
due to a chronic condition. Individuals were considered adherent to CRCS
guidelines if they reported having a home-based fecal occult blood test in the
past year or endoscopy in the past 10 years. Women were adherent to breast cancer
screening guidelines if they reported having a mammogram in the previous 2 years.
Statistical analyses were conducted using SUDAAN software to account for the
complex sampling of the NHIS survey. Logistic regression was used to examine
associations between each of the health status indicators and screening adherence
for CRCS and mammography and to calculate estimated screening rates. RESULTS: The
three health status indicators were independently and differentially associated
with screening adherence. Poor perceived health was associated with lower
mammography among women, whereas a greater number of chronic conditions were
consistently associated with greater screening. In adjusted analyses, functional
limitation was only significantly associated with greater CRCS among women.
CONCLUSIONS: Our analyses included three common indicators of health status and
provide new evidence of their complex associations with cancer screening. Future
studies must examine the mechanisms by which these indicators influence screening
recommendations and adherence among older adults over time.
PMID- 22079764
TI - New insights into dynamic and functional assembly of the AAA peroxins, Pex1p and
Pex6p, and their membrane receptor Pex26p in shuttling of PTS1-receptor Pex5p
during peroxisome biogenesis.
AB - Peroxisome is a single-membrane organelle in eukaryotes. The functional
importance of peroxisomes in humans is highlighted by peroxisome-deficient
peroxisome biogenesis disorders such as Zellweger syndrome. Two AAA peroxins,
Pex1p and Pex6p, are encoded by PEX1 and PEX6, the causal genes for PBDs of
complementation groups 1 and 4, respectively. PEX26 responsible for peroxisome
biogenesis disorders of complementation group 8 codes for C-tail-anchored type-II
membrane peroxin Pex26p, the recruiter of Pex1p-Pex6p complexes to peroxisomes.
Pex1p is targeted to peroxisomes in a manner dependent on ATP hydrolysis, while
Pex6p targeting requires ATP but not its hydrolysis. Pex1p and Pex6p are most
likely regulated in their peroxisomal localization onto Pex26p via conformational
changes by ATPase cycle. Pex5p is the cytosolic receptor for peroxisome matrix
proteins with peroxisome targeting signal type-1 and shuttles between the cytosol
and peroxisomes. AAA peroxins are involved in the export from peroxisomes of
Pex5p. Pex5p is ubiquitinated at the conserved cysteine11 in a form associated
with peroxisomes. Pex5p with a mutation of the cysteine11 to alanine, termed
Pex5p-C11A, abrogates peroxisomal import of proteins harboring peroxisome
targeting signals 1 and 2 in wild-type cells. Pex5p-C11A is imported into
peroxisomes but not exported, hence suggesting an essential role of the cysteine
residue in the export of Pex5p.
PMID- 22079765
TI - Effects of posterior capsule tightness on subacromial contact behavior during
shoulder motions.
AB - BACKGROUND: Although posterior capsule tightness is believed to cause abnormal
contact in the subacromial space, it is not clear whether this tightness changes
the contact between the acromion and humeral head. MATERIALS AND METHODS: Nine
fresh, frozen cadaveric shoulders were used to measure contact pressure on the
coracoacromial arch during passive flexion, abduction, and internal and external
rotation at 90 degrees of elevation in the scapular plane, as well as horizontal
adduction and abduction. The site where the peak contact pressure occurred was
also observed. The posterior capsule in the region from 8 to 10 o'clock in the
right shoulder was plicated to simulate posterior capsule tightness. RESULTS:
Peak contact pressure significantly increased with the tightened posterior
capsule during flexion. Although peak contact pressure on the coracoacromial
ligament during internal rotation significantly increased after capsule
tightening, there was no significant increase in pressure when considering the
entire coracoacromial arch. The angle where the peak contact pressure occurred
during flexion was not significantly far from the end range. The site of the peak
contact pressure in 7 of 9 shoulders was on the lesser tuberosity during flexion,
regardless of the posterior capsule tightness. CONCLUSIONS: Posterior capsule
tightness increased contact pressure mainly on the lesser tuberosity during
flexion. The peak contact pressure occurred close to the end range of flexion,
mainly on the lesser tuberosity. These findings are useful to understand the
contribution of posterior capsule tightness to subacromial contact.
PMID- 22079766
TI - The relationship between scapular notching and reverse shoulder arthroplasty
prosthesis design.
AB - BACKGROUND: Inferior scapular notching is a common radiographic complication of
reverse shoulder arthroplasty. The purpose of this study is to determine the
impact of prosthesis design on the incidence and severity of notching. MATERIALS
AND METHODS: Eighty-eight patients (mean age, 72 years) who underwent reverse
shoulder arthroplasty with a minimum of 12 months' follow-up (mean, 31 months)
were retrospectively reviewed. Patients were grouped based on prosthesis design:
Tornier (Stafford, TX, USA) (45%), Zimmer (Warsaw, IN, USA) with a nonretentive
liner (35%), and Zimmer with a retentive liner (19%). Notching on final
radiographs was graded by use of the Sirveaux classification system and a novel
classification system. RESULTS: The incidence of notching was significantly
higher with the Tornier prosthesis (92%) compared with the Zimmer prosthesis with
nonretentive liners (58%) and retentive liners (71%) (P < .05). The incidence of
high-grade notching and the median grade were significantly higher with the
Tornier prosthesis compared with the Zimmer prosthesis (P < .05). By use of the
novel grading system, there was a higher incidence of notching involving the
baseplate with the Tornier prosthesis (68%) compared with the Zimmer prosthesis
(33%) (P < .05). The addition of a retentive liner was not associated with
greater notching than a nonretentive liner for the Zimmer implant. Diagnosis had
no significant influence on notching. CONCLUSIONS: A higher incidence and
severity of notching were observed with the Tornier reverse arthroplasty compared
with the Zimmer reverse arthroplasty at short-term follow-up. These findings may
be because of the different morphology of the polyethylene component and/or
differences in glenosphere offset between the prosthetic systems. In addition to
surgical technique, consideration should be given to prosthesis design in
mitigating the risk of scapular notching.
PMID- 22079767
TI - Tensile and shear mechanical properties of rotator cuff repair patches.
AB - BACKGROUND: Augmentation of rotator cuff tears aims to strengthen the repair and
reduce rerupture, yet studies still report high failure rates. This study
determines key mechanical properties of rotator cuff repair patches, including
establishing values for toughness and measuring the shear properties of repair
patches and human rotator cuff tendons. We hypothesized that different repair
grafts would (1) have varying material parameters, and (2) not all have
mechanical properties similar to human rotator cuff tendons. MATERIALS AND
METHODS: Eight specimens each from the Restore, GraftJacket, Zimmer Collagen
Repair, and SportsMesh repair patches were tested to failure in tension and for
suture pullout. We assessed ultimate tensile strength, tensile (Young's) modulus,
and failure strain. This study also established toughness values and shear data.
Storage modulus was calculated using dynamic shear analysis for the patches and
18 samples of normal rotator cuff tendon. RESULTS: We report significant
variability in important mechanical properties of repair patches, with the
mechanical parameters of the patches diverting variously-and often significantly
from values for human rotator cuff tendon. CONCLUSIONS: The repair grafts tested
all displayed significant variation in their mechanical properties and had at
least some reduced parameters compared with human rotator cuff tendons. This
study offers experimentally derived information of value to surgeons when
selecting rotator cuff repair grafts. A better understanding of the mechanical
suitability of repair grafts for supporting human rotator cuffs is needed if
repair patches are to provide a solution for the clinical problem of failure of
rotator cuff repairs.
PMID- 22079768
TI - Regarding: "Operative versus nonoperative treatment after primary traumatic
anterior glenohumeral dislocation: expected-value decision analysis": appropriate
assessment of uncertainty.
PMID- 22079769
TI - Inferior tilt of the glenoid component does not decrease scapular notching in
reverse shoulder arthroplasty: results of a prospective randomized study.
AB - HYPOTHESIS: The purpose of this study was to determine if inferior tilt of the
glenoid component decreased the amount of radiographic scapular notching after
reverse shoulder arthroplasty. A secondary goal was to determine if inferior tilt
had any effect on clinical outcome. MATERIALS AND METHODS: A prospective
randomized trial of 52 consecutive reverse shoulder arthroplasties performed by 1
surgeon for cuff tear arthropathy was performed. The subjects were randomly
assigned to receive a glenoid component with no inferior tilt (control group) or
a glenoid component that was inferiorly tilted 10 degrees to protect the
inferior glenoid (inferior tilt group). All glenoid components were placed in 3
mm of inferior translation. Radiographic notching was graded at a minimum of 1
year after surgery. Clinical outcomes of the groups were recorded. RESULTS:
Follow-up radiographs and data were available for 42 subjects, 20 in the inferior
tilt group and 22 in the control group. The experimental groups did not differ
significantly in the notch ratings or clinical outcomes. Notching occurred in 15
patients (75%) in the inferior tilt group and in 19 (86%) in the control group.
Notching scores were 2 or greater in 10 patients (50%) in the inferior tilt group
and in 11 (50%) in the control group. CONCLUSION: Placing the glenoid component
with inferior tilt does not reduce the incidence or severity of radiographic
scapular notching after reverse shoulder arthroplasty. No clinical differences
were observed between the groups.
PMID- 22079770
TI - Effects of MDMA and related analogs on plasma 5-HT: relevance to 5-HT
transporters in blood and brain.
AB - (+/-)-3,4-Methylenedioxymethamphetamine (MDMA) is an illicit drug that evokes
transporter-mediated release of serotonin (5-HT) in the brain. 5-HT transporter
(SERT) proteins are also expressed in non-neural tissues (e.g., blood), and
evidence suggests that MDMA targets platelet SERT to increase plasma 5-HT. Here
we tested two hypotheses related to the effects of MDMA on circulating 5-HT.
First, to determine if MDMA metabolites might contribute to actions of the drug
in vivo, we used in vitro microdialysis in rat blood specimens to examine the
effects of MDMA and its metabolites on plasma 5-HT. Second, to determine whether
effects of MDMA on plasma 5-HT might be used as an index of central SERT
activity, we carried out in vivo microdialysis in blood and brain after
intravenous MDMA administration. The in vitro results show that test drugs evoke
dose-related increases in plasma 5-HT ranging from two- to sevenfold above
baseline, with MDMA and its metabolite, (+/-)-3,4-methylenedioxyamphetamine
(MDA), producing the largest effects. The ability of MDMA and related analogs to
elevate plasma 5-HT is correlated with their potency as SERT substrates in rat
brain synaptosomes. The in vivo results reveal that MDMA causes concurrent
increases in extracellular 5-HT in blood and brain, but there are substantial
individual differences in responsiveness to the drug. Collectively, our findings
indicate that MDMA and its metabolites increase plasma 5-HT by a SERT-dependent
mechanism, and suggest the possibility that measures of evoked 5-HT release in
blood may reflect central SERT activity.
PMID- 22079771
TI - In vitro estrogenic activity of two major compounds from the stem bark of
Erythrina lysistemon (Fabaceae).
AB - Plant-derived estrogen-like compounds, so called phytoestrogens, are given much
attention due to their potential therapeutic use. In our previous work the
ethylacetate extract of Erythrina lysistemon stem bark showed estrogenic effects
on cell culture systems and ovariectomized Wistar rats. Using classical
chromatographic methods, two constituents of Erythrina lysistemon have been
isolated, referred to here as compounds 1 (alpinumisoflavone) and 2 (abyssinone V
4'-methyl-ether), and their structures successfully determined using
spectroscopic techniques. To test their binding affinity, the ligand binding
assay has been used on estrogen alpha receptor, and estrogen beta receptor.
Furthermore, transactivation assay in stably or transiently transfected human
osteosarcoma (U2OS-estrogen alpha receptor and estrogen beta receptor) cells were
used to examine their estrogenic activity. The regulations of some estrogen
receptor target genes were also investigated. Both compounds bind to estrogen
alpha and beta receptors. They significantly increased luciferase activity in a
dose-dependent manner and induced the endogenous estrogen receptor-estrogen
response element (ERE) interaction in U2OS-estrogen alpha receptor and estrogen
beta receptor cells. In contrast, when co-treated with E2, compound 2 did not
antagonize E2 activity in both systems whereas, 1 significantly suppressed E2
activity despite its low binding affinity to estrogen beta receptor. This result
suggests a non-competitive mechanism. Both compounds also altered the expression
of estrogen receptor target genes such as growth regulation by estrogen in breast
cancer 1 (GREB1) and Cyclin D1 in breast cells. These results suggest that
compounds 1 and 2 endow estrogenic activity and may be the active principles of
Erythrina lysistemon.
PMID- 22079772
TI - Synergistic antinociceptive actions and tolerance development produced by
morphine-fentanyl coadministration: correlation with MU-opioid receptor
internalization.
AB - It has been described that coadministration of opioids with low doses of other
analgesics can reduce adverse effects and increase antinociception, but
combinations of two MU-opioid receptor agonists have been poorly explored. The
objective of this work was threefold: 1) to evaluate the antinociceptive
combination of i.c.v. morphine and fentanyl at different doses; 2) to compare the
antinociception produced by acute or repeated administration of an effective
morphine dose (1 MUg) alone, or combined with a low fentanyl dose (1 ng); and 3)
to correlate these effects with MU-opioid receptor internalization in
periaqueductal gray matter and locus coeruleus. Antinociception was evaluated by
the tail-flick test and receptor internalization was analyzed by confocal
microscopy in Wistar rats. Drug interactions were examined by administering
combinations of opioids in 1:3, 1:1 and 3:1 ratios of their respective ED(50)
fractions. For tolerance and internalization studies, animals were i.c.v.
injected only once (acute treatment) or twice a day until five administrations
were completed. Our results show that morphine and fentanyl have synergistic
effects. The combination of 1 ng fentanyl with 1 MUg morphine increases the
magnitude and duration of antinociception not only after a single injection, but
also after five administrations when tolerance develops to morphine alone.
Increased and long-lasting antinociception correlates positively with increased
beta-arrestin 2 activity and MU-opioid receptor internalization in periaqueductal
gray matter and locus coeruleus. These results suggest that combined
administration of morphine and fentanyl increases long-lasting antinociception
and beta-arrestin 2 signaling contributes to the combination effects.
PMID- 22079773
TI - Opioid challenge evaluation of blockade by extended-release naltrexone in opioid
abusing adults: dose-effects and time-course.
AB - BACKGROUND: Oral naltrexone's effectiveness as an opioid antagonist has been
limited due to poor patient adherence. A long-acting naltrexone formulation may
be beneficial. This study evaluated the effects of extended-release injectable
naltrexone (XR-NTX), targeted for a one-month duration of action, in blocking
opioid agonist challenge effects in humans. METHODS: Outpatient non-dependent
opioid abusers (N=27) were randomly assigned to a single double-blind IM
administration of 75, 150, or 300 mg XR-NTX. To assess the extent of opioid
blockade, hydromorphone challenges (0, 3, 4.5, 6 mg IM in ascending order at 1-h
intervals [up to 13.5 mg total]) were given at pretreatment baseline and on days
7, 14, 21, 28, 42, and 56. Opioid blockade was assessed via (1) tolerability of
the ascending hydromorphone doses; (2) visual analog scale (VAS) ratings of
subjective opioid effects and (3) pupil diameter. Effects on the VAS and pupils
were assessed via the slope of the time-action function over ascending
hydromorphone doses, with zero slope indicating complete blockade. RESULTS:
Blockade of the VAS "any drug effect" response to 3 mg hydromorphone was complete
for 14, 21, and 28 days, respectively, for the XR-NTX doses of 75, 150, and 300
mg. Subjective effects were more readily blocked than was pupil constriction.
Higher hydromorphone doses produced only modest increases in agonist effects.
With the 300 mg XR-NTX dose the slope of VAS responses remained at or near zero
for one month even with maximal cumulative hydromorphone dosing. CONCLUSIONS:
These data quantify the month-long opioid blockade underlying XR-NTX's efficacy
in opioid dependence treatment.
PMID- 22079774
TI - Undirected head movements of listeners with asymmetrical hearing impairment
during a speech-in-noise task.
AB - It has long been understood that the level of a sound at the ear is dependent on
head orientation, but the way in which listeners move their heads during
listening has remained largely unstudied. Given the task of understanding a
speech signal in the presence of a simultaneous noise, listeners could
potentially use head orientation to either maximize the level of the signal in
their better ear, or to maximize the signal-to-noise ratio in their better ear.
To establish what head orientation strategy listeners use in a speech
comprehension task, we used an infrared motion-tracking system to measure the
head movements of 36 listeners with large (>16 dB) differences in hearing
threshold between their left and right ears. We engaged listeners in a difficult
task of understanding sentences presented at the same time as a spatially
separated background noise. We found that they tended to orient their heads so as
to maximize the level of the target sentence in their better ear, irrespective of
the position of the background noise. This is not ideal orientation behavior from
the perspective of maximizing the signal-to-noise ratio (SNR) at the ear, but is
a simple, easily implemented strategy that is often effective in an environment
where the spatial position of multiple noise sources may be difficult or
impossible to determine.
PMID- 22079775
TI - Antiphospohlipid syndrome in obstetrics.
AB - Antiphospholipid syndrome is characterised by a variety of clinical and
immunological manifestations. The clinical hallmarks of this syndrome are
thrombosis and poor obstetric outcomes, including miscarriages, fetal loss and
severe pre-eclampsia. The main antiphospholipid antibodies include lupus
anticoagulant, anticardiolipin and anti-beta2-glycoprotein I. The combination of
aspirin and heparin is considered the standard of care for women with
antiphospholipid syndrome and embryo-fetal losses; however, aspirin in
monotherapy may have a place in women with recurrent early miscarriage. A good
benefit-risk ratio of low-molecular-weight heparin in pregnancy thrombosis
treatment has been reported. Warfarin must be avoided if possible throughout the
first trimester of pregnancy. Adequate pregnancy management of women with
antiphospholipid syndrome should include co-ordinated medical-obstetrical care, a
close follow-up protocol and a good neonatal unit. Close blood pressure control
and early detection of proteinuria, together with Doppler studies of the utero
placental circulation should be included in the management protocol.
PMID- 22079776
TI - Systematic engineering of 3D pluripotent stem cell niches to guide blood
development.
AB - Pluripotent stem cells (PSC) provide insight into development and may underpin
new cell therapies, yet controlling PSC differentiation to generate functional
cells remains a significant challenge. In this study we explored the concept that
mimicking the local in vivo microenvironment during mesoderm specification could
promote the emergence of hematopoietic progenitor cells from embryonic stem cells
(ESCs). First, we assessed the expression of early phenotypic markers of mesoderm
differentiation (E-cadherin, brachyury (T-GFP), PDGFRalpha, and Flk1: +/-ETPF) to
reveal that E-T+P+F+ cells have the highest capacity for hematopoiesis. Second,
we determined how initial aggregate size influences the emergence of mesodermal
phenotypes (E-T+P+F+, E-T-P+/-F+, and E-T-P+F-) and discovered that colony
forming cell (CFC) output was maximal with ~100 cells per PSC aggregate. Finally,
we introduced these 100-cell PSC aggregates into a low oxygen environment (5%; to
upregulate endogenous VEGF secretion) and delivered two potent blood-inductive
molecules, BMP4 and TPO (bone morphogenetic protein-4 and thrombopoietin),
locally from microparticles to obtain a more robust differentiation response than
soluble delivery methods alone. Approximately 1.7-fold more CFCs were generated
with localized delivery in comparison to exogenous delivery, while combined
growth factor use was reduced ~14.2-fold. By systematically engineering the
complex and dynamic environmental signals associated with the in vivo blood
developmental niche we demonstrate a significant role for inductive endogenous
signaling and introduce a tunable platform for enhancing PSC differentiation
efficiency to specific lineages.
PMID- 22079777
TI - Cholesterol-based anionic long-circulating cisplatin liposomes with reduced renal
toxicity.
AB - Cholesterol anchored derivatives of 5-Cholestene-3-beta-ol 3-hemisuccinate (CHO
HS) and 1-cholesteryl-4-omega-methoxy-polyethylene glycol succinate (CHO-PEG)
have been synthesized via esterification and employed at various ratios with di
stearoylphosphatidylcholine (DSPC) in the preparation of anionic long-circulating
nanoliposmes for cisplatin (CDDP) delivery. In the present study, CHO-HS and CHO
PEG were characterized by FTIR and (1)H NMR. The particle size and zeta potential
of liposomes were determined by Dynamic lights scattering (DLS). The obtained
liposomes have concentratedly distributed nanosizes around 100 nm and proper zeta
potentials between -39.7 mV and -3.18 mV and good physical stability in test
period of 28 days. Fine morphology of the liposomal vesicles can be observed via
transmission electron microscopy (TEM). The CDDP encapsulating percentage of
liposomes was 43-94% and loading efficiency was 7.5-29.3%, depending on the
presence or absence of CHO-HS and CHO-PEG. In addition, the in vitro drug release
behaviors, in vitro cytotoxicity against HeLa cells and 293T cells and in vivo
CDDP distribution of CDDP loaded CHO-HS/CHO-PEG liposomes were evaluated. The
results suggest that CHO-HS/CHO-PEG nanoliposomes represent a promising strategy
for the CDDP delivery as an effective long-circulating drug carrier system which
may reduce the acute renal toxicity.
PMID- 22079778
TI - The regulation of social recognition, social communication and aggression:
vasopressin in the social behavior neural network.
AB - Neuropeptides in the arginine vasotocin/arginine vasopressin (AVT/AVP) family
play a major role in the regulation of social behavior by their actions in the
brain. In mammals, AVP is found within a circuit of recriprocally connected
limbic structures that form the social behavior neural network. This review
examines the role played by AVP within this network in controlling social
processes that are critical for the formation and maintenance of social
relationships: social recognition, social communication and aggression. Studies
in a number of mammalian species indicate that AVP and AVP V1a receptors are
ideally suited to regulate the expression of social processes because of their
plasticity in response to factors that influence social behavior. The pattern of
AVP innervation and V1a receptors across the social behavior neural network may
determine the potential range and intensity of social responses that individuals
display in different social situations. Although fundamental information on how
social behavior is wired in the brain is still lacking, it is clear that
different social behaviors can be influenced by the actions of AVP in the same
region of the network and that AVP can act within multiple regions of this
network to regulate the expression of individual social behaviors. The existing
data suggest that AVP can influence social behavior by modulating the
interpretation of sensory information, by influencing decision making and by
triggering complex motor outputs. This article is part of a Special Issue
entitled Oxytocin, Vasopressin, and Social Behavior.
PMID- 22079779
TI - Functional states of mandibular movements and synovial pumps of the
temporomandibular joint. Is it possible to provide a biomechanically correct
replacement for the TMJ?
AB - Due to its complexity, there is currently an incomplete understanding of
temporomandibular joint (TMJ) function, especially in relation to the
morphological interplay of the condyle and the disc as well as the disc, the Os
temporale and the lateral pterygoid muscle. This also holds true for synovial
flow and synovial pumps, the existence of which we postulate and for which we
present a theory of their mechanism. In view of the complexity of mandibular
movements and the morphology and function of the TMJ, we need to know how
precisely a reconstruction of the TMJ, if necessary, must be adapted to nature.
An analysis of the morphology of the functional states of the mandible, as well
as the synovial pump system, should at least provide a basis for moulding
reconstructions.
PMID- 22079781
TI - Delivery of demineralized bone matrix powder using a thermogelling chitosan
carrier.
AB - Demineralized bone matrix (DBM) powder is widely used for bone regeneration due
to its osteoinductivity and osteoconductivity. However, difficulties with
handling, its tendency to migrate from graft sites, and lack of stability after
surgery can sometimes limit the clinical utility of this material. In this work,
the possibility of using a thermogelling chitosan carrier to deliver DBM powder
was assessed. The DBM-thermogelling putty improved handling and formed a gel-like
composite in situ at body temperature within a clinically relevant time period.
The properties of the formed composite, including morphology, porosity,
mechanical properties, equilibrium swelling as well as degradability, are
significantly influenced by the ratio of DBM to thermogelling chitosan. The in
vitro study showed that the alkaline phosphatase activity of C2C12 cells
encapsulated in the composite was steadily increased with culture time. The in
vivo study showed that increased DBM content in the DBM-thermogelling chitosan
induced ectopic bone formation in a nude rat model. The diffusion of growth
factor from the DBM-thermogelling chitosan as well as the host-implant
interactions are discussed.
PMID- 22079782
TI - Preparation of gelatin hydrogels incorporating low-molecular-weight heparin for
anti-fibrotic therapy.
AB - The objective of this study is to design biodegradable hydrogels for the
controlled release of low-molecular-weight heparin (LMWH) and evaluate the
biological activity. Gelatin was cationized by chemically introducing ethylene
diamine into the carboxyl groups in different conditions to obtain cationized
gelatins. The cationized gelatin was mixed with the LMWH in aqueous solution to
form the complex. Gelatin, together with the complex of LMWH and cationized
gelatin, was dehydrothermally cross-linked for different time periods to prepare
the gelatin hydrogel-incorporating complex. The hydrogel-incorporating complex
was neither degraded in phosphate-buffered saline solution (PBS) at 37 degrees C
nor did it release the LMWH complex. When placed in PBS containing collagenase,
the hydrogel was enzymatically degraded to release the LMWH complex. The time
profile of hydrogel degradation and the LMWH release depended on the condition of
hydrogel cross-linking. The longer the cross-linking time period, the slower the
hydrogel degradation and the subsequent LMWH release. The half-life period of
LMWH release was in good correspondence with that of hydrogel degradation. It is
possible that the LMWH was released as the result of hydrogel degradation. When
applied to the mouse model of abdominal membrane fibrosis, the hydrogel system of
LMWH release showed a promising anti-fibrotic effect.
PMID- 22079783
TI - Growth and development of the root apical meristem.
AB - A key question in plant developmental biology is how cell division and cell
differentiation are balanced to modulate organ growth and shape organ size. In
recent years, several advances have been made in understanding how this balance
is achieved during root development. In the Arabidopsis root meristem, stem cells
in the apical region of the meristem self-renew and produce daughter cells that
differentiate in the distal meristem transition zone. Several factors have been
implicated in controlling the different functional zones of the root meristem to
modulate root growth; among these, plant hormones have been shown to play a main
role. In this review, we summarize recent findings regarding the role of hormone
signaling and transcriptional networks in regulating root development.
PMID- 22079784
TI - Making leaves.
AB - Leaves are determinate organs that develop from the flanks of the shoot apical
meristem through founder cell recruitment, establishment of proximodistal,
dorsoventral and mediolateral axes, and subsequent growth, expansion and
differentiation along these axes. Maintenance of the shoot apical meristem and
production of leaves requires balanced partitioning of cells between pluripotent
and differentiation fates. Hormones have a significant role in this balance but
it is becoming apparent that additional intrinsic and extrinsic inputs influence
hormone signalling to control meristem function and leaf initiation. As leaves
develop, temporal and spatial regulation of growth and maturation determines leaf
shape and complexity. Remarkably genes involved in leaf development in the
context of the shoot apical meristem are also involved in elaboration of the leaf
shape to generate subtle marginal serrations, more prominent lobes or a dissected
compound leaf. Potentially these common regulatory modules represent a
fundamental means of setting up boundaries separating discrete zones of growth.
Defining gene networks involved in leaf shape variation and exploring
interspecies differences between such networks is enabling exciting insight into
changes that contribute to natural variation of leaf form.
PMID- 22079780
TI - Estrogen neuroprotection and the critical period hypothesis.
AB - 17beta-Estradiol (estradiol or E2) is implicated as a neuroprotective factor in a
variety of neurodegenerative disorders. This review focuses on the mechanisms
underlying E2 neuroprotection in cerebral ischemia, as well as emerging evidence
from basic science and clinical studies, which suggests that there is a "critical
period" for estradiol's beneficial effect in the brain. Potential mechanisms
underlying the critical period are discussed, as are the neurological
consequences of long-term E2 deprivation (LTED) in animals and in humans after
natural menopause or surgical menopause. We also summarize the major clinical
trials concerning postmenopausal hormone therapy (HT), comparing their outcomes
with respect to cardiovascular and neurological disease and discussing their
relevance to the critical period hypothesis. Finally, potential caveats,
controversies and future directions for the field are highlighted and discussed
throughout the review.
PMID- 22079785
TI - Axis formation in Arabidopsis - transcription factors tell their side of the
story.
AB - Apical-to-basal auxin flux is a defining feature of land plants and determines
their main body axis. How is the axis first set up in the embryo? Recent studies
reveal that the establishment of embryonic polarity with the asymmetric first
division as well as the separation of shoot and root fates within the proembryo
depend on transcriptional regulation in the zygote and early embryo. Although the
functional connections need to be better defined, this transcriptional network
likely provides the positional information required for initiating the machinery
capable of processing the systemic signal auxin in a context-dependent manner.
PMID- 22079786
TI - The patterning of epidermal hairs in Arabidopsis--updated.
AB - Epidermal hairs of Arabidopsis thaliana emerge in regular spacing patterns
providing excellent model systems for studies of biological pattern formation. A
number of root-hair and leaf-trichome patterning mutants and tools for cell
specific and tissue-specific manipulation of patterning protein activities have
been combined in cycles of experimentation and mathematical modelling. These
approaches have provided insight into molecular mechanisms of epidermal
patterning. During the last two years, endoreplication has, unexpectedly, been
found to control cell-fate maintenance during trichome patterning. New genetic
interactions between a downstream, positive transcriptional regulator and lateral
inhibitors of trichome or non-root-hair fate specification have been uncovered. A
lateral inhibitor and a new positive regulator have been identified as major loci
affecting trichome patterning in natural Arabidopsis populations. Finally,
factors that modify root-hair patterning from the underlying cell layer have been
discovered.
PMID- 22079787
TI - Stem cell maintenance in shoot apical meristems.
AB - Stem cell homeostasis in shoot apical meristems of higher plants is regulated
through a dynamic balance between spatial regulation of gene expression, cell
growth patterns and patterns of differentiation. Cell-cell communication mediated
by both the local factors and long-range signals have been implicated in stem
cell homeostasis. Here we have reviewed recent developments on spatio-temporal
regulation of cell-cell communication processes with an emphasis on how
ubiquitously utilized signals such as plant hormones function with local factors
in mediating stem cell homeostasis. We also provide a brief overview of how the
activity of ubiquitously utilized epigenetic regulators are modulated locally to
orchestrate gene expression.
PMID- 22079788
TI - A difference-in-differences analysis of health, safety, and greening vacant urban
space.
AB - Greening of vacant urban land may affect health and safety. The authors conducted
a decade-long difference-in-differences analysis of the impact of a vacant lot
greening program in Philadelphia, Pennsylvania, on health and safety outcomes.
"Before" and "after" outcome differences among treated vacant lots were compared
with matched groups of control vacant lots that were eligible but did not receive
treatment. Control lots from 2 eligibility pools were randomly selected and
matched to treated lots at a 3:1 ratio by city section. Random-effects regression
models were fitted, along with alternative models and robustness checks. Across 4
sections of Philadelphia, 4,436 vacant lots totaling over 7.8 million square feet
(about 725,000 m(2)) were greened from 1999 to 2008. Regression-adjusted
estimates showed that vacant lot greening was associated with consistent
reductions in gun assaults across all 4 sections of the city (P < 0.001) and
consistent reductions in vandalism in 1 section of the city (P < 0.001).
Regression-adjusted estimates also showed that vacant lot greening was associated
with residents' reporting less stress and more exercise in select sections of the
city (P < 0.01). Once greened, vacant lots may reduce certain crimes and promote
some aspects of health. Limitations of the current study are discussed. Community
based trials are warranted to further test these findings.
PMID- 22079789
TI - Allogeneic hematopoietic stem cell transplantation for pediatric patients with
treatment-related myelodysplastic syndrome or acute myelogenous leukemia.
AB - The development of treatment-related myelodysplastic syndrome (tMDS) or treatment
related acute myelogenous leukemia (tAML) is a complication that can occur after
chemotherapy or radiation therapy. Eighteen patients with a previous malignancy
treated at our institution and three patients with a nonmalignant primary tumor
received an allogeneic hematopoietic stem cell transplant (HSCT) on the pediatric
bone marrow (BM) transplantation service for the treatment of tMDS/tAML over a 15
year period. Five patients proceeded to HSCT without induction chemotherapy.
Fourteen patients received high-dose cytarabine according to the Capizzi II
regimen as first-line induction therapy with 13 of them achieving complete
remission (CR) or refractory anemia (RA) with persistent cytogenetic
abnormalities after this treatment. Two patients received an anthracycline-based
induction therapy. Conditioning regimens were selected according to previous
therapies: 11 patients received busulfan-melphalan-fludarabine (BU-MEL-FLU),
which consisted of busulfan (0.8 mg/kg/dose every 6 hours *10 doses), melphalan
(70 mg/m(2)/dose * two doses), and fludarabine (25 mg/m(2)/dose * five doses) for
cytoreduction; three patients received a total body irradiation (TBI)-containing
regimen; seven patients received myeloablative regimens containing busulfan
and/or melphalan and/or thiotepa with doses modified for organ toxicity. Sixteen
patients received T cell-depleted (TCD) grafts; four patients received unmodified
grafts; one patient received a double-unit cord blood transplantation (DUCBT).
Donors included HLA-matched (n = 9), or mismatched (n = 3) related donors, or HLA
matched (n = 4), or mismatched (n = 4) unrelated donors, or DUCBT (n = 1).
Disease status at the time of HSCT was: morphologic and cytogenetic CR (n = 12);
RA with positive cytogenetics (n = 6); and refractory disease (n = 3). With a
median follow-up of 5.9 years (2.2-15.7 years), the 5-year overall survival (OS)
and disease-free survival (DFS) rates for the entire group were 61.1% with 12
patients alive without evidence of either primary disease or tMDS/tAML. The OS
and DFS rate for the 11 patients who received the BU-MEL-FLU cytoreduction with
TCD grafts was 54.5%. DFS was 65.7% for patients in RA or CR at HSCT compared
with 0% for patients with >5% residual marrow blasts (P = .015). Nine patients
died; the cause of death was relapse of MDS/AML (n = 4) or primary disease (n =
2), graft-versus-host disease (GVHD; n = 2), and infection (n = 1). Four patients
developed grade II to IV acute GVHD. One patient developed localized chronic
GVHD. Our results suggest that the strategy of induction with high-dose
cytarabine therapy followed by allogeneic stem cell transplantation improves the
overall outcome for patients with tMDS/tAML. In addition, the use of a TCD
transplantation with BU-MEL-FLU as cytoreduction may decrease the toxicity of
transplantation in heavily pretreated patients without an increase in relapse
rate.
PMID- 22079790
TI - Challenges of treating MRSA in long-term care.
AB - Methicillin-resistant Staphylococcus aureus (MRSA) infections are an ongoing
problem in long-term care facilities. Frail elderly patients in long-term care
settings are at increased risk for developing MRSA infections, and treatment of
these infections often involves use of costly antibiotics with significant side
effects and drug interactions. Pharmacists need to be knowledgeable in the use
and monitoring of these antibiotics so they can assist the facility in managing
patients with MRSA infections.
PMID- 22079791
TI - Telemedicine: sorting out the benefits and obstacles.
AB - Telemedicine (TM)-providing health services and information via a
telecommunications device to patients or colleagues separated by distance-holds
the promise of improving access to care, diagnosis and assessment, patient
monitoring, treatment adherence, and positive health outcomes. TM is still
evolving, and numerous obstacles must be overcome before it reaches its full
potential. Major obstacles include licensure and reimbursement issues along with
the development of TM care standards. While many studies have addressed TM's
effectiveness, findings, although positive, are tempered because of small sample
size and other methodological problems. Successful TM is clinically driven where
a need is first identified and then a TM solution fulfills that clinical need.
PMID- 22079792
TI - Development of an antibiogram in a long-term care facility.
AB - OBJECTIVE: To create an antibiogram-a profile of an organism's
susceptibility/resistance to a panel of antibiotics- for a long-term care
facility to assess the prevalence of resistance of bacteria present at the
facility. DESIGN: Retrospective analysis of culture and sensitivity data from
July 1, 2009, through June 30, 2010. SETTING: A long-term care facility in
Huntsville, Alabama. PATIENTS AND PARTICIPANTS: Residents of the long-term care
facility that had one or more culture and sensitivity test performed. MAIN
OUTCOME MEASURE: Susceptibility of bacteria to each antimicrobial tested.
RESULTS: Results were compiled and reported according to the Clinical and
Laboratory Standards Institute Analysis and Presentation of Cumulative
Antimicrobial Susceptibility Test Data. The most commonly seen bacteria in our
long-term care facility were Escherichia coli, Staphylococcus aureus,
Enterococcus faecalis, Klebsiella pneumoniae, Pseudomonas aeruginosa, and Proteus
mirabilis. Resistance rates for these bacteria were high and included the
presence of methicillinresistant S. aureus and extended-spectrum beta-lactamase
producing bacteria. CONCLUSION: Resistance rates were high among all organisms
reported. This poses a serious threat to the health care team's ability to
effectively treat residents of this facility. Development of an antibiogram to
assist physicians in antimicrobial selection will be beneficial in helping
evaluate trends in drug resistance to current available treatments. Implementing
clinical pathways for empiric treatment of infections could improve the ability
to provide consistent treatment for all residents in the facility.
PMID- 22079793
TI - Alcohol, medications, and the older adult.
AB - Late-onset alcoholism is a common occurrence in older adults and may be a
consequence of life-changing events such as retirement, declining health, loss of
independence, or loss of a loved one. Alcohol use and misuse often go
unrecognized. Alcohol use can cause significant drug interactions and exacerbate
underlying disease. Because of physiologic changes in older adults, alcohol
pharmacokinetics and pharmacodynamics may be altered and contribute to adverse
alcohol-induced clinical consequences. We report on an elderly man who may have
had unrecognized alcohol-induced drug interactions, falling, and exacerbation of
heart failure. Following a fall, he was admitted to the hospital. After
discharge, the man and his wife relocated to an assisted living facility and he
abstained from alcohol. Four months later the man received a prescription for a
glass of wine or two with dinner; one week later after two glasses of wine, a
dose of lorazepam was given. Later that evening the patient fell and suffered a
subdural hematoma. The effects of alcohol in older adults are discussed.
PMID- 22079794
TI - Discontinuation of unnecessary medications in older adults.
AB - OBJECTIVE: Identifying and managing the use of medications that may be
discontinued is a daunting task for the health care team, especially for the
consultant pharmacist. This article discusses a framework to evaluate the risks
and benefits of medications. A case-based approach will be employed to
demonstrate the application of evidence-based medicine and the challenges that
pharmacists face in attempting to discontinue medications in older adults. DATA
SOURCES: Medline and Micromedex were used as resources for primary literature as
well as drug information. STUDY SELECTION: Studies were identified based on their
relevance to the case to demonstrate the importance of applying emerging
literature and evidence-based medicine. DATA EXTRACTION: Guidelines on managing
osteoporosis as well as diabetes in older adults were used for this case. DATA
SYNTHESIS: A structured framework was applied to demonstrate considerations when
tackling challenging medication regimens. CONCLUSION: Patient-centered,
individualized medication regimens need to be developed and updated based on the
needs of the patient as well as the wishes of the family and caregivers. This is
a dynamic process that benefits from the consultant pharmacist, who understands
the complexity of the medications and is essential to addressing the use of
unnecessary medications.
PMID- 22079795
TI - Plants used as antidiabetics in popular medicine in Rio Grande do Sul, southern
Brazil.
AB - ETHNOPHARMACOLOGICAL RELEVANCE: Plants are widely as antidiabetics. The study of
these plants is essential because many of them may have undesirable effects, such
as acute or chronic toxicity; or their use may even delay or discourage the
adoption of the proper and effective treatment. MATERIALS AND METHODS: The
present study surveyed the plant species that are popularly used to treat
diabetes mellitus in the state of Rio Grande do Sul in southern Brazil. Sixteen
ethnobotanical surveys performed in the state were consulted, and the species
used to treat diabetes were listed. For species cited in at least two of the
studies, scientific data related to antidiabetic activity were searched in the
ISI Knowledge database. The scientific binomial of each species was used as
keywords, and data found in review papers were also included. RESULTS: A total of
81 species in 42 families were mentioned; the most important families were
Asteraceae and Myrtaceae. Twenty eight species were cited at least twice as being
used to treat diabetes in the state. For 11 of these, no scientific data
regarding antidiabetic activity could be located. The species most frequently
mentioned for use with diabetes were Syzygium cumini (Myrtaceae) and Bauhinia
forficata (Fabaceae), in 12 studies each, followed by Sphagneticola trilobata
(Asteraceae), in six studies; and Baccharis trimera (Asteraceae), Bidens pilosa
(Asteraceae), Cynara scolymus (Asteraceae), and Leandra australis
(Melastomataceae) in four studies each. Bauhinia forficata and Syzygium cumini
have been studied in more detail for antidiabetic activity. CONCLUSIONS: A
considerable number of plant species are traditionally used for the treatment of
diabetes melitus in the Rio Grande do Sul State. The majority of those plants
that have been studied for antidiabetic activity showed promising results, mainly
for Bauhinia forficata and Syzygium cumini. However, for most of the plants
mentioned, the studies are not sufficient to guarantee the efficacy and safety in
the use of these plants in the treatment against diabetes.
PMID- 22079796
TI - The level of arabinitol in autistic children after probiotic therapy.
AB - OBJECTIVE: The level of D-arabinitol (DA) and the ratio of D-/L-arabinitol
(DA/LA) in the urine of children with autism were investigated. The changes in
DA/LA after probiotic treatment in urine samples of children with autism were
studied. METHODS: DA and LA and the DA/LA ratio were identified by capillary gas
chromatography/mass spectrometry in urine before and after the probiotic therapy.
RESULTS: The level of DA is significantly higher (P < 0.05) in the urine of
autistic children before (A) and after probiotic supplementation (A1) (160.04 +/-
22.88 MUmol/mmol creatinine and 89.53 +/- 37.41 MUmol/mmol creatinine,
respectively). Nonetheless, the probiotic supplementation let to a significant
decrease in DA and DA/LA and to a significant improvement in ability of
concentration and carrying out orders. CONCLUSION: The use of probiotics seems to
be helpful in reducing the level of DA and the ratio of DA/LA in the urine of
children with autism.
PMID- 22079797
TI - Oral administration of docosahexaenoic acid attenuates interleukin-1beta response
and clinical course of septic neonates.
AB - OBJECTIVE: To analyze cytokine responses and the clinical course of septic
neonates orally supplemented with docosahexaenoic acid as well as to evaluate
fatty acid incorporation into leukocytes. METHODS: A quasiexperimental study was
conducted in neonates who developed sepsis following a surgical procedure.
Selected neonates were randomly assigned to receive 100 mg docosahexaenoic acid
(G-DHA) daily or olive oil (G-OO) as placebo for 14 d throughout a sepsis
episode. At selection (baseline), blood samples were obtained to determine
interleukin-1 (IL-1)beta, interleukin-6 (IL-6), and tumor necrosis factor-alpha
as well as the leucocyte fatty acid profile. Measurements were repeated at 7 (D7)
and 14 d (D14) of follow-up. Within- and between-group comparisons were conducted
with parametric statistics after logarithmic transformation. Repeated measurement
analyses with a general linear model procedure were used, adjusting according to
human milk intake, use of anti-inflammatory drugs, and nutritional status.
RESULTS: Sixty-three neonates were included: 29 in G-DHA group and 34 in G-OO
group. Although decreases of cytokines during hospitalization were similar in
both groups, there was a greater decrease of IL-1beta in the G-DHA group than in
the G-OO group after adjusting by confounders (P = 0.028). Leukocyte
docosahexaenoic acid increased from 4.96 +/- 2.96 at baseline to 5.52 +/- 3.05
and 5.92 +/- 2.8 at D7 and D14, respectively, in the G-DHA group (P = 0.044).
Illness severity was inversely associated with the proportion of docosahexaenoic
acid in leukocytes throughout follow-up (P = 0.034). CONCLUSIONS: Oral
supplementation with docosahexaenoic acid to neonates attenuates IL-1beta
response and the clinical course of sepsis. This may be an additional strategy to
further benefit ill neonates even if they are not candidates for parenteral
nutrition.
PMID- 22079798
TI - Biocatalytic ketone reduction: a green and efficient access to enantiopure
alcohols.
AB - Chiral secondary alcohols play an important role in pharmaceutical, agrochemical,
and chemical industries. In recent years, impressive steps forward have been
achieved towards biocatalytic ketone reduction as a green and useful access to
enantiopure alcohols. An increasing number of novel and robust enzymes are now
accessible as a result of the ongoing progress in genomics, screening and
evolution technologies, while process engineering provides further success in
areas of biocatalytic reduction in meeting synthetic challenges. The versatile
platform of these techniques and strategies offers the possibility to apply high
substrate loading and thus to overcome the limitation of low volumetric
productivity of usual enzymatic processes which is the bottleneck for their
practical application. In addition, the integration of bioreduction with other
enzymatic or chemical steps allows the efficient synthesis of more complex chiral
products.
PMID- 22079799
TI - Development and applications of destruxins: a review.
AB - The insecticidal and phytotoxic activities of destruxins (dtxs) have been well
studied. The cyclodepsipeptides, which are dtxs mainly isolated from the fungus
Metarhizium anisopliae and other fungi, have been well characterized in vitro and
in vivo. A succession of important function, such as antitumoral, antiviral,
insecticidal, cytotoxic, immunosuppressant, phytotoxic, and antiproliferative
effects have been observed. To date, 39 dtxs derivatives have been identified.
Dtxs possess a variety of biological activities, including acting as virulence
factors for specific insects, a V-ATPase inhibitor that provides a basis for the
development of new drug to against osteoporosis, cancer, or biological control
agents, etc. Here, we focus on some of the research progress made on
understanding dtxs during the last decade, introduce some of the newly identified
dtx members, especially from M. anisopliae, and give an overview of the
applications of dtxs. Using the dtxs to learn about and moderate biological
events has advanced significantly during the past year. We believe that several
ongoing dtx application fields may benefit from the reviewed information herein.
PMID- 22079800
TI - Novel and potential application of cryopreservation to plant genetic
transformation.
AB - The world population now is 6.7 billion and is predicted to reach 9 billion by
2050. Such a rapid growing population has tremendously increased the challenge
for food security. Obviously, it is impossible for traditional agriculture to
ensure the food security, while plant biotechnology offers considerable potential
to realize this goal. Over the last 15 years, great benefits have been brought to
sustainable agriculture by commercial cultivation of genetically modified (GM)
crops. Further development of new GM crops will with no doubt contribute to
meeting the requirements for food by the increasing population. The present
article provides updated comprehensive information on novel and potential
application of cryopreservation to genetic transformation. The major progresses
that have been achieved in this subject include (1), long-term storage of a large
number of valuable plant genes, which offers a good potential for further
development of novel cultivars by genetic transformation; (2), retention of
regenerative capacity of embryogenic tissues and protoplasts, which ensures
efficient plant regeneration system for genetic transformation; (3), improvement
of transformation efficiency and plant regeneration of transformed cells; (4),
long-term preservation of transgenic materials with stable expression of
transgenes and productive ability of recombinant proteins, which allows
transgenic materials to be stored in a safe manner before being analyzed and
evaluated, and allows establishment of stable seed stocks for commercial
production of homologous proteins. Data provided in this article clearly
demonstrate that cryo-technique has an important role to play in the whole chain
of genetic transformation. Further studies coupling cryotechnique and genetic
transformation are expected to significantly improve development of new GM crops.
PMID- 22079801
TI - Glenoid morphology rather than version predicts humeral subluxation: a different
perspective on the glenoid in total shoulder arthroplasty.
AB - BACKGROUND: Glenoid retroversion is thought be important in shoulder stability
before and after shoulder arthroplasty; thus, many authors recommend glenoid
reaming to correct retroversion and improve stability. Genetic analysis has
revealed that glenoid vault and scapular development are controlled by different
genes and environmental factors, resulting in diverse glenoid morphologies. We
therefore analyzed the relative contribution of glenoid morphology and version to
humeral head position. MATERIALS AND METHODS: We obtained 121 shoulder computed
tomography scans preoperatively for shoulder arthroplasty. Humeral subluxation
and glenoid version were measured on the axial image at the middle of each
glenoid. Glenoid morphology was characterized as biconcave, worn, displaced,
dysplastic, angled, or neutral. The strength of the correlation between humeral
subluxation, glenoid version, and glenoid morphology was analyzed. RESULTS:
Glenoid version did not correlate with humeral subluxation. The highest frequency
of posterior subluxation was noted in biconcave glenoids. Shoulders with other
glenoid morphologies were more likely to have anterior or central positioning of
the humerus. The mean subluxation ratio for biconcave glenoids was 0.56 and was
significantly different from all other morphologies (P < .02).
DISCUSSION/CONCLUSION: Even in the arthritic shoulder, glenoid orientation does
not appear to explain the complex biomechanics of shoulder stability. The causes
of humeral head subluxation before and after total shoulder arthroplasty are
likely multifactorial and may include static and dynamic soft-tissue forces. The
biconcave glenoid deserves more attention at surgery because of the high
association with posterior subluxation.
PMID- 22079802
TI - Operative versus nonoperative treatment after primary traumatic anterior
glenohumeral dislocation.
PMID- 22079803
TI - A bootstrapping algorithm to improve cohort identification using structured data.
AB - Cohort identification is an important step in conducting clinical research
studies. Use of ICD-9 codes to identify disease cohorts is a common approach that
can yield satisfactory results in certain conditions; however, for many use-cases
more accurate methods are required. In this study, we propose a bootstrapping
method that supplements ICD-9 codes with lab results, medications, etc. to build
classification models that can be used to identify cohorts more accurately. The
proposed method does not require prior information about the true class of the
patients. We used the method to identify Diabetes Mellitus (DM) and
Hyperlipidemia (HL) patient cohorts from a database of 800 thousand patients.
Evaluation results show that the method identified 11,000 patients who did not
have DM related ICD-9 codes as positive for DM and 52,000 patients without HL
codes as positive for HL. A review of 400 patient charts (200 patients for each
condition) by two clinicians shows that in both the conditions studied, the
labeling assigned by the proposed approach is more consistent with that of the
clinicians compared to labeling through ICD-9 codes. The method is reasonably
automated and, we believe, holds potential for inexpensive, more accurate cohort
identification.
PMID- 22079805
TI - Improved sonolytic hydrolysis of peptides in aqueous solution with addition of
1,4-benzenedithiol.
AB - Described here is the sonolytic hydrolysis of peptides achieved by treatment of
aqueous solution to which the radical scavenger 1,4-benzenedithiol (1,4-BDT),
which has hydrogen donating ability, has been added. Mass spectrometric analysis
of the products of sonolytic hydrolysis gave information about amino acid
sequence of the peptides without any byproducts. The additive 1,4-BDT improves
the sonolytic hydrolysis of peptides in terms of the rate of hydrolysis reaction
and the amount of additive required when compared to catechol, a previously
reported additive. The sonolytic hydrolysis of peptides differs from both acid
hydrolysis and hydrogen atom-induced dissociation named matrix-assisted laser
desorption/ionization in-source decay (MALDI-ISD), in characteristics. We propose
a mechanistic reaction for the sonolytic hydrolysis of peptides, based on the
mechanisms of both acid hydrolysis and MALDI-ISD processes. The sonolytic
hydrolysis of peptides upon addition of hydrogen donating radical scavengers can
be rationalized via the attachment of a hydrogen atom to the carbonyl oxygen with
subsequent hydrolysis.
PMID- 22079804
TI - Gravity and the evolution of cardiopulmonary morphology in snakes.
AB - Physiological investigations of snakes have established the importance of heart
position and pulmonary structure in contexts of gravity effects on blood
circulation. Here we investigate morphological correlates of cardiopulmonary
physiology in contexts related to ecology, behavior and evolution. We analyze
data for heart position and length of vascular lung in 154 species of snakes that
exhibit a broad range of characteristic behaviors and habitat associations. We
construct a composite phylogeny for these species, and we codify gravitational
stress according to species habitat and behavior. We use conventional regression
and phylogenetically independent contrasts to evaluate whether trait diversity is
correlated with gravitational habitat related to evolutionary transitions within
the composite tree topology. We demonstrate that snake species living in arboreal
habitats, or which express strongly climbing behaviors, possess relatively short
blood columns between the heart and the head, as well as relatively short
vascular lungs, compared to terrestrial species. Aquatic species, which
experience little or no gravity stress in water, show the reverse - significantly
longer heart-head distance and longer vascular lungs. These phylogenetic
differences complement the results of physiological studies and are reflected in
multiple habitat transitions during the evolutionary histories of these snake
lineages, providing strong evidence that heart-to-head distance and length of
vascular lung are co-adaptive cardiopulmonary features of snakes.
PMID- 22079806
TI - Effect of ultrasound on the kinetics of cation exchange in NaX zeolite.
AB - In this study, we focused on the effect of ultrasound on ion exchange kinetics to
obtain the Li-, Ca- and Ce-rich NaX zeolite. The results were compared to those
obtained from the traditional batch exchange method under similar conditions.
Contact time and initial cation concentration (fold equivalent excess) were
studied. Ultrasound enhanced the replacement of Na(+) ion with Li(+), Ca(2+) and
Ce(3+) ions in the extra-framework of zeolite up to 76%, 72% and 66%,
respectively. The intraparticle diffusion is the rate limiting step in the ion
exchange for both exchange methods. As compared to the traditional exchange
method, the ultrasonic method applied in this study was found to be very
effective on the exchange amount at equilibrium.
PMID- 22079807
TI - Degradation of amoxicillin in aqueous solution using sulphate radicals under
ultrasound irradiation.
AB - Degradation of the antibiotics amoxicillin in aqueous solution using sulphate
radicals under ultrasound irradiation was investigated. The preliminary studies
of optimal degradation methodology were conducted with only oxone (2KHSO(5) .
KHSO(4) . K(2)SO(4)), cobalt activated oxone (oxone/Co(2+)),
oxone+ultrasonication (oxone/US) and cobalt activated oxone+ultrasonication
(oxone/Co(2+)/US). The chemical oxygen demand (COD) removal efficiency were in
the order of oxone= 10%. We compared the mortality predictions made by
surgeons and the risk model by using the signed-rank test and investigated cases
in which there was a significant discrepancy (at least 2-fold) between the two
predictions. RESULTS: The observed 30-d/in-hospital and 180-d mortality rates
were 6.1% (11/181) and 11.0% (20/181), respectively. The mean operative mortality
prediction made by surgeons (12.0% +/- 5.3%) was higher than that made by the
risk model (7.5% +/- 8.5%) (P < 0.001). There was significant discrepancy between
the surgeon and risk model estimates in 62% (113/181) of cases. In 53% (60/113)
of these cases, the surgeon reported having considered risk factors not included
in the CICSP model, including (most commonly) possible need for an additional
procedure (n = 15), compromised mobility (n = 11), liver disease (n = 9),
hematologic or immunologic disease (n = 6), and quality of targets (n = 5).
CONCLUSIONS: In high-risk CABG cases, surgeon and CICSP risk estimates often
disagreed markedly, partly because some disease entities of concern to surgeons
are not included in the risk model. The higher mortality risk estimated by the
surgeons is a better reflection of the considerable mortality risk that extends
up to 180 days after surgery.
PMID- 22079839
TI - Barriers to accessing surgical care in Pakistan: healthcare barrier model and
quantitative systematic review.
AB - Inadequate access to surgical services results in increased morbidity and
mortality from a spectrum of conditions in Pakistan. We employed a modification
of Andersen's model of health services utilization and developed a 'Healthcare
Barrier Model,' to characterize the barriers to accessing health care in
developing countries, using surgical care in Pakistan as a case study. We
performed a literature search from MEDLINE, EMBASE, CINAHL, SCOPUS, Global Health
Database, and Cochrane Central Register of Controlled Trials, and selected 64 of
3113 references for analysis. Patient-related variables included age (elderly),
gender (female), preferential use of alternative health providers (Hakeem,
traditional healers, others), personal perceptions regarding disease and
potential for treatment, poverty, personal expenses for healthcare, lack of
social support, geographic constraints to accessing a health facility, and
compromised general health status as it relates to the development of surgical
disease. Environmental barriers include deficiencies in governance, the burden of
displaced or refugee populations, and aspects of the medicolegal system, which
impact treatment and referral. Barriers relating to the health system include
deficiencies in capacity (infrastructure, physical resources, human resources)
and organization, and inadequate monitoring. Provider-related barriers include
deficiencies in knowledge and skills (and ongoing educational opportunities),
delays in referral, deficient communication, and deficient numbers of female
health providers for female patients. The Healthcare Barrier model addresses this
broad spectrum of barriers and is designed to help formulate a framework of
healthcare barriers. To overcome these barriers will require a multidisciplinary,
multisectoral effort aimed at strengthening the health system.
PMID- 22079840
TI - Function of poly (lactic-co-glycolic acid) nanofiber in reduction of adhesion
bands.
AB - BACKGROUND: In this study, we investigated the anti-adhesive and anti
inflammatory effects of electrospun nanofibrous membranes made of
polycaprolactone (PCL), poly-L-lactide (PLLA), poly (lactic-co-glycolic acid)
(PLGA), and polyethersulfune (PES) in comparison with the oxidized-regenerated
cellulose (Interceed). MATERIALS AND METHODS: Using an adhesion induction model
in mice, the membranes were sutured between the abdominal wall and peritoneum
after surgical operation to reveal the best membrane for prevention of
postoperative adhesion bands using two scoring adhesion systems. RESULTS:
Compared with other membranes, PLGA, PCL, and Interceed membranes showed a
greater ability to reduce adhesions. The lowest level of inflammation in adhesive
tissues as well as cell attachment in vitro was detected for PLGA nanofibrous
membranes. CONCLUSIONS: These results suggested that in considering the FDA
approved polymers, nanofibrous membranes prepared from PLGA exhibited the highest
efficacy for the prevention of postoperative adhesion bands and hold promising
potential for application as a new anti-adhesive agent.
PMID- 22079841
TI - Curcumin protects against ischemia/reperfusion injury in rat skeletal muscle.
AB - BACKGROUND: Curcumin has been shown to decrease ischemia-reperfusion (I/R) injury
in kidney or brain tissues. In this study, the effects of curcumin were evaluated
in skeletal muscle during I/R injury. MATERIALS AND METHODS: Hind limb ischemia
was induced by clamping the common femoral artery and vein. After 4 h ischemia,
the clamp of the femoral vessels of animals was taken off and the animal
underwent 2 h reperfusion. We measured plasma concentrations of interleukin-1beta
(IL-1beta) and tumor necrosis factor-alpha (TNF-alpha) using enzyme-linked
immunosorbent assay (ELISA). The right gastrocnemius muscle was harvested and
immediately stored at -30 degrees C for the assessment of superoxide dismutase
(SOD), catalase (CAT) activities, and measurement of glutathione (GSH),
malondialdehyde (MDA), and protein oxidation (PO) levels. Curcumin (100 mg/kg),
alpha-tocopherol, and normal saline (10 mL /kg1) were administered
intraperitoneally 1 h prior reperfusion. RESULTS: Plasma TNF-alpha or IL-1beta
levels increased significantly in I/R group. The plasma levels of these
proinflammatory cytokines were reduced in curcumin group. Muscle tissues of I/R
groups revealed significantly higher antioxidant enzyme (superoxide dismutase,
glutathione peroxidase, catalase) activities, and increased levels of
malondialdehyde, nitric oxide, and protein carbonyl content compared with the
SHAM group. Levels of these parameters in muscle revealed significant reductions
in the I/R + curcumin group compared witho the I/R group. Curcumin has more
potent antioxidant activity than vitamin E in the skeletal muscle I/R.
CONCLUSION: In this study, protective effects of curcumin against skeletal muscle
ischemia-reperfusion injury have been revealed. We underscore the necessity of
human studies with curcumin that would be hypothetically beneficial preventing
skeletal muscle I/R injury.
PMID- 22079842
TI - Direct measurement of blood flow in microvessels grown in Matrigel in vivo.
AB - BACKGROUND: The Matrigel assay provides a versatile platform to examine vessel
growth. Similarly, the microsphere method is used extensively in laboratory
animals to measure tissue-specific blood flow. However, microsphere models have
not been used with Matrigel to study angiogenesis in live animals. The goal of
this study was to develop a novel technique to directly measure blood flow with
microspheres in vessels grown in Matrigel in vivo. METHODS: In calves (n = 10,
110 +/- 5 kg), 5 mL of Matrigel was injected subcutaneously. After 10 d, a
percutaneous cardiac catheterization was performed. Fluorescent-labeled 15 MUm
microspheres were injected into the left ventricular chamber to distribute
throughout the body based on systemic blood flow patterns. Afterwards, Matrigel
plugs were removed, and animals were recovered. Flow cytometry was used to count
microspheres and quantify blood flow within the plug. FITC-conjugated isolectin
B4 staining was performed to quantify Matrigel capillary density. Flow cytometry
was performed to quantify circulating plasma CD34(+) cells. Linear regressions
were used to determine relationships between Matrigel blood flow, Matrigel
capillary density, and plasma CD34(+) cells. RESULTS: Over 10 d, small-caliber
vessels grew into subcutaneous Matrigel plugs. Microspheres lodged throughout the
plug and indicated that newly grown vessels in the Matrigel were functional and
able to accommodate blood flow. Modest associations between Matrigel blood flow,
Matrigel capillary density, and circulating plasma CD34(+) cells were noted.
CONCLUSION: This method provides a novel and cost-effective technique to measure
blood flow within vessels grown in Matrigel in vivo.
PMID- 22079843
TI - Protective effects of lycopene on cerulein-induced experimental acute
pancreatitis in rats.
AB - BACKGROUND: The purpose of our study was to evaluate the protective effect of the
strong antioxidant and anti-inflammatory agent, lycopene, on oxidative stress in
a rat model of cerulein-induced acute edematous pancreatitis. METHODS: Sprague
Dawley rats were pretreated with lycopene (50 mg/kg, i.p.) or saline 15 min
before cerulein was given 20 MUg/kg (i.p.) at 1-h intervals within 4 h. Twelve
hours after cerulein or saline injections, the animals were killed by
decapitation. Blood samples were collected to analyze amylase, lipase, and
proinflammatory cytokines (TNF-alpha and IL-1beta). Pancreatic tissues were taken
for the determination of tissue glutathione (GSH) and malondialdehyde (MDA)
levels, Na(+)/K(+)-ATPase, and myeloperoxidase (MPO) activities. Tissue samples
were also examined histologically. RESULTS: Acute pancreatitis caused significant
decrease in tissue GSH levels and Na(+)/K(+)-ATPase activity, while pancreatic
MDA levels and MPO activity were increased. Furthermore, TNF-alpha, IL-1beta, and
amylase lipase levels were also significantly increased. On the other hand,
lycopene pretreatment reserved all these biochemical indices as well as
histopathologic alterations that were induced by cerulein. CONCLUSIONS: According
to the results, lycopene protects the pancreatic tissues from oxidative damage
induced by cerulein, and this effect possibly involves the inhibition of
neutrophil infiltration and lipid peroxidation. These results suggest that high
dietary intake of tomatoes may have protective effects against acute
pancreatitis.
PMID- 22079844
TI - The ultrastructure of the fascia lata in hernia patients and healthy controls.
AB - BACKGROUND: Current research data indicate that a hernia is a manifestation of a
generalized polyethiological connective tissue pathology. The goal of this study
was to demonstrate ultrastructural differences in tissues distant from the
hernial defect. MATERIALS AND METHODS: Biopsy specimens harvested upon thigh
surgery from 12 males aged 25-65 y were compared. Seven of these men had an
inguinal hernia or a history thereof. Scanning electron microphotograms taken at
a magnification of 50* were analyzed with the use of Image J software. For every
patient, 100 thickness measurements were performed of the fibrous elements (cross
sections) visible in five consecutive photograms. The person performing the
measurements had no means of identifying the patient from whom the specimen had
been harvested. RESULTS: The authors have found the thickness of the fibers to
fall in the range from 23.441 u (ImageJ intrinsic units) to 94.878 u in the
hernia group and 22.067 u to 303.681 u for the control group. A statistically
significant difference was found between the mean values of thickness
measurements of the fibrous elements in the study and control groups.
CONCLUSIONS: The study has shown that in patients with an inguinal hernia, the
mean diameter of fibers within the fascia lata is significantly smaller. This
appears to indicate that the process is generalized and that one can expect the
structural alterations to occur within the connective tissue of the entire
organism. The authors speculate that they may result from a combination of
external and internal factors.
PMID- 22079845
TI - A decision model of therapy for potentially resectable pancreatic cancer.
AB - BACKGROUND: Optimal treatment for potentially resectable pancreatic cancer is
controversial. Resection is considered the only curative treatment, but
neoadjuvant chemoradiotherapy may offer significant advantages. MATERIALS AND
METHODS: We developed a decision model for potentially resectable pancreatic
cancer. Initial therapeutic choices were surgery, neoadjuvant chemoradiotherapy,
or no treatment; subsequent decisions offered a second intervention if not
prohibited by complications or death. Payoffs were calculated as the median
expected survival. We gathered evidence for this model through a comprehensive
MEDLINE search. One-way sensitivity analyses were performed. RESULTS: Neoadjuvant
chemoradiation is favored over initial surgery, with expected values of 18.6 and
17.7 mo, respectively. The decision is sensitive to the probabilities of
treatment mortality and tumor resectability. Threshold probabilities are 7.0%
mortality of neoadjuvant chemoradiotherapy, 69.2% resectability on imaging after
neoadjuvant therapy, and 73.7% resectability at exploration after neoadjuvant
therapy, 92.2% resectability at initial resection, and 9.9% surgical mortality
following chemoradiotherapy. The decision is sensitive to the utility of time
spent in chemoradiotherapy, with surgery favored for utilities less than 0.3 and
0.8, for uncomplicated and complicated chemoradiotherapy, respectively.
CONCLUSIONS: The ideal treatment for potentially resectable pancreatic cancer
remains controversial, but recent evidence supports a slight benefit for
neoadjuvant therapy. Our model shows that the decision is sensitive to the
probability of tumor resectability and chemoradiation mortality, but not to rates
of other treatment complications. With minimal benefit of one treatment over
another based on survival alone, patient preferences will likely play an
important role in determining best treatment.
PMID- 22079846
TI - MG132 alleviates liver injury induced by intestinal ischemia/reperfusion in rats:
involvement of the AhR and NFkappaB pathways.
AB - BACKGROUND: MG132 is a potent antioxidant and has been reported to play a
protective role in ischemia/reperfusion (I/R) of many organs. Recent studies have
shown that the Aryl hydrocarbon receptor (AhR) may play a beneficial role in I/R
of many organs and an AhR agonist has been implicated in an anti-inflammatory
role. MG132 might function as an AhR agonist through proteasome inhibition,
possibly through the inhibition of NFkappaB. Herein, we hypothesized that MG132
may play a protective role in liver injury induced by intestinal I/R and we
analyzed the expression behavior of AhR and NFkappaB to determine whether the two
factors play a role in intestinal I/R. MATERIALS AND METHODS: Thirty-two Sprague
Dawley rats were divided into four groups: control, I/R, MG132 control, and MG132
pretreatment. The I/R and MG132 pretreatment groups were subjected to mesenteric
arterial ischemia for 1 h and reperfusion for 3 h. The control and MG132 control
groups underwent surgical preparation including isolation of the superior
mesenteric artery (SMA) without occlusion. The MG132 control and MG132
pretreatment groups were subjected to intraperitoneal administration of 0.5 mg/kg
MG132 30 min before surgery. We collected serum specimens to measure TNF-alpha,
IL-6, liver tissue levels of malondialdehyde (MDA), AhR, and cyp1a2; NFkappaB,
IkappaBalpha, and ICAM-1 were also tested. Histologic changes of liver and
intestine were subsequently evaluated. RESULTS: Compared with the control group,
significant increases in MDA, NFkappaB, and ICAM-1 levels were accompanied by
decreases in AhR, cyp1a2, and IkappaBalpha expression in the liver in the I/R
group, which is consistent with liver and intestinal tissue injury. MG132 blocked
the alterations of the indicators above. There were no changes in the MG132
control group compared with the control group in the indicators above.
CONCLUSIONS: This study demonstrated that MG132 has a significant effect in
protection against liver injury induced by intestinal I/R, which may be due to
modulation of the AhR and NFkappaB pathways.
PMID- 22079847
TI - The feasibility and safety of laparoscopic splenectomy for massive splenomegaly:
a comparative study.
PMID- 22079848
TI - Pancreatic stump-closed pancreaticojejunostomy can be performed safely in normal
soft pancreas cases.
AB - BACKGROUND: Pancreatic anastomotic failure remains the main reason for morbidity
and mortality after pancreaticoduodenectomy, and there is no existing flawless
pancreaticojejunal reconstruction approach to this date, especially for the
normal soft pancreas cases. METHODS: We compared a pancreatic stump-closed
pancreaticojejunostomy technique (group B; n = 33) with conventional duct-to
mucosa fashion (group A; n = 30) retrospectively in 63 normal soft pancreatic
texture cases. Some operative related data including postoperative complications,
anastomosis time, hospital stay days, mortality rate, and relaparotomy rate were
analyzed. RESULTS: There was no difference concerning the incidences of
postoperative morbidity, including pancreatic fistula, postpancreatectomy
hemorrhage, delayed gastric emptying, intra-abdominal abscess, and remnant
pancreatitis between two groups. The differences of anastomosis time,
hospitalization days, relaparotomy rate, and mortality rate were also not
significant. However, group B patients had a shorter duration (d) for healing of
postoperative pancreatic fistula than group A (15.3 +/- 8.5 versus 33.0 +/- 14.1
d, P < 0.05). CONCLUSION: For the normal soft pancreas cases, pancreatic stump
closed pancreaticojejunostomy technique is quite safe and convenient according to
our experience; ideal clinical results could be achieved with its application in
the future.
PMID- 22079849
TI - Treatment of primary isolated extramedullary plasmacytoma of esophagus with
endoscopic submucosal dissection.
PMID- 22079850
TI - Muscle and mortality in cirrhosis.
PMID- 22079851
TI - Hospital-based nurses' perceptions of the adoption of Web 2.0 tools for knowledge
sharing, learning, social interaction and the production of collective
intelligence.
AB - BACKGROUND: Web 2.0 provides a platform or a set of tools such as blogs, wikis,
really simple syndication (RSS), podcasts, tags, social bookmarks, and social
networking software for knowledge sharing, learning, social interaction, and the
production of collective intelligence in a virtual environment. Web 2.0 is also
becoming increasingly popular in e-learning and e-social communities. OBJECTIVES:
The objectives were to investigate how Web 2.0 tools can be applied for knowledge
sharing, learning, social interaction, and the production of collective
intelligence in the nursing domain and to investigate what behavioral perceptions
are involved in the adoption of Web 2.0 tools by nurses. METHODS: The decomposed
technology acceptance model was applied to construct the research model on which
the hypotheses were based. A questionnaire was developed based on the model and
data from nurses (n = 388) were collected from late January 2009 until April 30,
2009. Pearson's correlation analysis and t tests were used for data analysis.
RESULTS: Intention toward using Web 2.0 tools was positively correlated with
usage behavior (r = .60, P < .05). Behavioral intention was positively correlated
with attitude (r = .72, P < .05), perceived behavioral control (r = .58, P <
.05), and subjective norm (r = .45, P < .05). In their decomposed constructs,
perceived usefulness (r = .7, P < .05), relative advantage (r = .64, P < .05),
and compatibility (r = .60,P < .05) were positively correlated with attitude, but
perceived ease of use was not significantly correlated (r = .004, P < .05) with
it. Peer (r = .47, P < .05), senior management (r = .24,P < .05), and hospital (r
= .45, P < .05) influences had positive correlations with subjective norm.
Resource (r = .41,P < .05) and technological (r = .69,P < .05) conditions were
positively correlated with perceived behavioral control. CONCLUSIONS: The
identified behavioral perceptions may further health policy makers' understanding
of nurses' concerns regarding and barriers to the adoption of Web 2.0 tools and
enable them to better plan the strategy of implementation of Web 2.0 tools for
knowledge sharing, learning, social interaction, and the production of collective
intelligence.
PMID- 22079852
TI - The age-related slowing of voluntary shortening velocity exacerbates power loss
during repeated fast knee extensions.
AB - Older adults are less fatigable than young during isometric tasks, but this
apparent ability to resist fatigue is often abolished when dynamic actions are
performed. These findings could indicate that the velocity component of dynamic
contractions or the task performed is an important factor in explaining
fatigability of older adults. However, it has not been evaluated systematically.
The purpose was to investigate the differences in age-related fatigue of the knee
extensors in 8 older (73.6+/-3.5 years) and 8 younger (25.1+/-2.6 years) men.
Neuromuscular measures were collected at baseline, during and immediately
following task termination of three different maximal effort knee extension
tasks. On three separate days, participants performed either 30 slow (1.05 rad.s(
1), 60 degrees .s(-1)) or 30 moderate (3.14 rad.s(-1), 180 degrees .s(-1))
isovelocity contractions, or 30 fast unconstrained velocity contractions with a
fixed resistance (i.e., 20% maximal voluntary isometric contraction). At
baseline, the older men were 25% and 35% less powerful than the younger men for
the slow and moderate isovelocity tasks, respectively, but 42% less for the fast
unconstrained velocity protocol. At task termination for the slow (old: 53%,
young: 53%) and moderate (old: 45%, young: 38%) isovelocity fatigue tasks, power
was reduced similarly in both age groups. However, for the fast unconstrained
velocity task, power was reduced by a greater extent in older (35%) than the
younger men (23%) at task termination. These results highlight that age-related
impairments in voluntary shortening velocity exacerbate reductions in power
production during repetitive dynamic tasks. Furthermore, the importance of this
factor is masked when velocity is constrained (isovelocity) and fatigue is
dependent primarily upon slow torque generation.
PMID- 22079853
TI - Influence of age and fall type on head injuries in infants and toddlers.
AB - Age-based differences in fall type and neuroanatomy in infants and toddlers may
affect clinical presentations and injury patterns. OBJECTIVE: Our goal is to
understand the influence of fall type and age on injuries to help guide clinical
evaluation. DESIGN/SETTING/PARTICIPANTS: Retrospectively, 285 children 0-48
months with accidental head injury from a fall and brain imaging between 2000 and
2006 were categorized by age (infant <=1 year and toddler=1-4 years) and fall
type: low (<=3 ft), intermediate (>3 and <10 ft), high height falls (>=10 ft) and
stair falls. OUTCOME MEASURES: Clinical manifestations were noted and head
injuries separated into primary (bleeding) and secondary (hypoxia, edema). The
influence of age and fall type on head injuries sustained was evaluated. RESULTS:
Injury patterns in children <4 years varied with age. Despite similar injury
severity scores, infants sustained more skull fractures than toddlers (71% vs.
39%). Of children with skull fractures, 11% had no evidence of scalp/facial soft
tissue swelling. Of the patients with primary intracranial injury, 30% had no
skull fracture and 8% had neither skull fracture nor cranial soft tissue injury.
Low height falls resulted in primary intracranial injury without soft tissue or
skull injury in infants (6%) and toddlers (16%). CONCLUSIONS: Within a given fall
type, age-related differences in injuries exist between infants and toddlers.
When interpreting a fall history, clinicians must consider the fall type and
influence of age on resulting injury. For young children, intracranial injury is
not always accompanied by external manifestations of their injury.
PMID- 22079854
TI - Evaluation of pulse wave velocity in systemic lupus erythematosus, rheumatoid
arthritis and Behcet's disease.
AB - OBJECTIVES: Connective tissue diseases involve characteristic inflammatory
lesions in the cardiovascular system, in addition to other systems. The
involvement of the cardiovascular system in the course of connective tissue
diseases may result in serious morbidity and mortality. Pulse wave velocity which
is an indicator of arterial dilatation capacity may predict cardiovascular risk
of patients. Pulse wave velocity is inversely proportional to arterial dilatation
capacity. Decreased dilatation capacity leads to a reduction in arterial blood
pressure and flow dynamics and impairment in coronary perfusion. METHODS: In our
study, we examined pulse wave velocity in frequent chronic inflammatory
rheumatologic diseases: rheumatoid arthritis, systemic lupus erythematosus, and
Behcet's disease. A total of 98 subjects participated in our study including 24
patients with newly diagnosed rheumatoid arthritis (4 males, 20 females; mean age
42.5 +/- 11.5 years), 22 patients with newly diagnosed systemic lupus
erythematosus (1 male, 21 females; mean age 35.8 +/- 11.1 years), 33 patients
with newly diagnosed Behcet's disease (26 males, 7 females; mean age 32.7 +/- 8.0
years), and 19 healthy subjects in the control group (10 males, 9 females; mean
age 36.2 +/- 15.0 years). Aorta pulse wave velocity was determined by Complior
Colson (Createch Industrie, Garges les Gonesses, France) device which allowed for
pulse wave recording and automated measurement. RESULTS: Pulse wave velocity was
higher in rheumatoid arthritis, systemic lupus erythematosus, and Behcet's
disease groups compared to the control group. When all variables were included in
the regression analysis only age was found to affect pulse wave velocity
independently. CONCLUSION: Pulse wave velocity was found to be high in chronic
inflammatory connective tissue diseases compared to the control group. However,
no difference was found between groups. Age was determined as the most important
independent variable in the regression analysis.
PMID- 22079855
TI - Low molecular weight heparin versus unfractionated heparin in patients with acute
non-ST-segment elevation myocardial infarction undergoing percutaneous coronary
intervention with drug-eluting stents.
AB - BACKGROUND: Whether low molecular weight heparin (LMWH) enoxaparin is equivalent
to unfractionated heparin (UFH) in patients with non-ST-segment elevation
myocardial infarction (NSTEMI) undergoing percutaneous coronary intervention
(PCI) with drug-eluting stents (DES) remains unclear. METHODS: A total of 2397
NSTEMI patients who underwent PCI with DES received either LMWH [n=1178,
subcutaneous enoxaparin 1 mg/kg, b.i.d., initiated after the patient's arrival
and continued until 3-5 days after PCI plus reduced dose of UFH (50-70 U/kg)
during PCI] or UFH (n=1219, 24,000U/day infusion, initiated after the patient's
arrival and continued until at least 48 h after PCI). The bleeding events and
clinical outcomes during in-hospital and at 8 months were compared. RESULTS:
Enoxaparin group had similar incidences of cardiac death, total death, and total
major adverse cardiac events (MACE) at 8 months compared with UFH group. The
incidences of major and minor bleeding events were also similar between the two
groups. Multivariable Cox regression analysis showed that enoxaparin group had
similar incidences of cardiac death [adjusted odds ratio (OR) 1.16, 95%
confidence interval (CI) 0.64-2.10, p=0.620], total death (adjusted OR 1.08, 95%
CI 0.66-1.76, p=0.760), and total MACE (adjusted OR 0.94, 95% CI 0.69-1.28,
p=0.692) at 8 months as compared with UFH group. CONCLUSIONS: Enoxaparin with
reduced dose of UFH only during PCI as an adjunctive antithrombotic therapy in
NSTEMI patients undergoing PCI with DES was safe and showed comparable 8-month
clinical outcomes as compared with UFH alone.
PMID- 22079856
TI - Extremely sustained startle-induced clonus: non epileptic motor attacks mimicking
clonic seizures in children with encephalopathy.
AB - Clonus is a pathological motor pattern characterised by involuntary, rhythmic and
brisk muscular contractions in response to peripheral stimuli producing muscle
stretching. It indicates pathological involvement of the corticospinal tract and
can be considered as a functional spastic movement disorder of variable clinical
presentation and duration. We documented severe and prolonged episodes of startle
induced clonic attacks associated with severe apnoea, occurring in three infants
with severe encephalopathy. The clinical characteristics of such episodes are
very similar to those of clonic epileptic seizures. Video-EEG recordings
confirmed the non epileptic origin of the episodes. Previous anti-epileptic drug
treatment was unsuccessful but myorelaxing drugs produced a dramatic improvement.
PMID- 22079857
TI - Functional significance of some particular amino acid residues in Bombyx mori
pyridoxal kinase.
AB - Pyridoxal kinase (PLK; EC 2.7.1.35) is a key enzyme for vitamin B(6) metabolism
in animals. It catalyzes the ATP-dependent phosphorylation of pyridoxal,
generating pyridoxal 5'-phosphate, an important cofactor for many enzymatic
reactions. Bombyx mori PLK (BmPLK) is 10 or more residues shorter than mammalian
PLKs, and some amino acid residues conserved in the PLKs from mammals are not
maintained in the protein. Multiple sequence alignment suggested that amino acid
residues Thr(47), Ile(54), Arg(88), Asn(121) and Glu(230) might play important
roles in BmPLK. In this study, we used a site-directed specific mutagenesis
approach to determine the functional significance of these particular amino acid
residues in BmPLK. Our results demonstrated that the mutation of Asn(121) to Glu
did not affect the catalytic function of BmPLK. The corresponding site-directed
mutants of Thr(47) to Asn, Ile(54) to Phe, and Arg(88) to Ile displayed a
decreased catalytic efficiency and an elevated Km value for substrate relative to
the wild-type value, and no enzyme activity could be detected in mutant of
Trp(230) to Glu. Circular dichroism analysis revealed that the mutation of
Trp(230) to Glu resulted in mis-folding of the protein. Our results provided
direct evidence that residue Trp(230) is crucial to maintain the structural and
functional integrity of BmPLK. This study will add to the existing understanding
of the characteristic of structure and function of BmPLK.
PMID- 22079858
TI - Characterization of periostin isoform pattern in non-small cell lung cancer.
AB - INTRODUCTION: The extracellular matrix N-glycoprotein periostin (OSF-2, POSTN) is
a major constituent of the desmoplastic stroma around solid tumors. It promotes
tumor invasion and metastasis via epithelial-mesenchymal transition (EMT). In
this study we investigated periostin expression at both RNA and protein level as
well as the expression pattern of its splice isoforms in non-small cell lung
cancer (NSCLC). METHODS: Thirty fresh frozen and corresponding formalin-fixed
NSCLC tissues (adeno- and squamous cell carcinoma subtype, each n=15) and their
matched non-neoplastic tissues were investigated. Periostin mRNA levels were
analyzed by quantitative RT-PCR. The EMT-markers periostin and vimentin were
analyzed by immunohistochemistry. Laser capture microdissection allowed for
analysis of periostin expression in tumor epithelia and stroma, separately.
Isoform patterns were investigated by isoform-specific PCR following sequencing
in NSCLC, fetal and adult normal lung tissue. RESULTS: The qRT-PCR analysis
showed periostin mRNA up-regulation in NSCLC tissue in relation to normal lung,
with significantly higher levels in the adeno-compared to the squamous cell
subtype (p<0.05). However, protein levels in both tumor epithelia and stroma
correlated with squamous cell carcinoma (p<0.001) and larger tumor size (p<0.05).
Further, periostin tumor epithelia expression, correlated with higher tumor grade
(p<0.05). Sequence analysis detected eight periostin isoforms in fetal lung, but
only five in both NSCLC and matched normal lung tissue. Among the eight isoforms,
four are new and were labelled 5, 7, 8 and 9. The exclusive presence of isoforms
1 and 9 in fetal tissue suggests splice-specific regulation during lung
embryogenesis. Finally, laser capture microdissection demonstrated that both
tumor epithelia and stromal cells can be a source of periostin production in
NSCLC. CONCLUSIONS: This study represents the first analysis of periostin isoform
expression patterns in NSCLC and a characterization of periostin expression in
cancer versus stromal cells at both RNA and protein level.
PMID- 22079859
TI - Prognostic value of elevated SHIP2 expression in laryngeal squamous cell
carcinoma.
AB - BACKGROUND AND AIMS: SHIP2, an important negative regulator of insulin signaling,
has recently been found to be involved in cancer development and progression.
METHODS: In this study, immunohistochemistry was performed to investigate SHIP2
expression in laryngeal squamous cell carcinoma (LSCC) clinical samples.
Additionally, the relationship of SHIP2 expression to clinicopathological
parameters and prognosis was investigated. RESULTS: SHIP2 expression was detected
in 42 (42/54, 77.8%) primary tumor samples but only in three (3/16, 18.75%)
adjacent normal samples (p <0.001). Moreover, SHIP2 expression was closely
associated with T classification (p = 0.006), clinical stage (I + II/III + IV) (p
= 0.001), metastasis (p = 0.002) and recurrence (p = 0.004). Survival analysis
revealed that high SHIP2 expression was significantly associated with shorter
disease-free and overall survival (both p <0.001). When lymph node status and
SHIP2 expression were combined, lymph node-positive patients with SHIP2
overexpression had both poorer disease-free and overall survival than the others
(both p <0.001). Multivariate analysis further demonstrated that SHIP2 was an
independent prognostic factor for patients with LSCC. CONCLUSIONS: Collectively,
these results support the hypothesis that SHIP2 may play a critical role in the
initiation and progression of LSCC and may serve as both a prognostic marker and
a potential therapeutic target in patients with LSCC.
PMID- 22079860
TI - Prevalence of overweight and obesity among preschool children from six cities of
northeast China.
AB - BACKGROUND AND AIMS: This study was carried out to assess and investigate the
prevalence of overweight and obesity among preschool-age children living in
northeast China using the World Health Organization (WHO), International Obesity
Task Force (IOTF) and Centers for Disease Control and Prevention (CDC) standard
criteria and to explore the differences in estimates by using the three reference
standards. METHODS: We used data from 8,653 preschool children with an average
age of 5.02 years. Weight and height were obtained for each child and body mass
index (BMI) was calculated. The prevalence of overweight and obesity was then
determined using the CDC, IOTF and WHO guidelines. RESULTS: According to the IOTF
reference, 10.98% of the children were overweight and 6.08% were obese. The CDC
reference classified 11.27% as overweight and 11.72% as obese. However, with the
WHO reference, 10.93% were overweight and 13.81% were obese. There was
significant gender difference in the prevalence of overweight and obesity based
on the CDC and WHO references. According to age classification, differences in
the frequencies of obesity in both boys and girls reached statistical
significance using the three references, and the differences were gradually
improved from the WHO to CDC and IOTF cut-off points. In this study, the WHO and
the CDC references demonstrated a high level of agreement (kappa = 0.89).
CONCLUSIONS: The results indicate overweight and obesity prevalence among
preschool children in northeast China is comparable to some Western countries.
The WHO reported a much higher prevalence of obesity compared to other
references. Age, gender and method differences in the prevalence of overweight
and obesity suggested a systematic evaluation of the preschool children in China.
PMID- 22079861
TI - Iron deficiency is associated with increased levels of blood cadmium in the
Korean general population: analysis of 2008-2009 Korean National Health and
Nutrition Examination Survey data.
AB - INTRODUCTION: We present data from the Korean National Health and Nutrition
Examination Survey 2008-2009 on the distribution of blood cadmium levels and
their association with iron deficiency in a representative sample of the adult
Korean population. METHODS: Serum ferritin was categorized into three levels: low
(serum ferritin <15.0 MUg/L), low normal (15.0-30.0 MUg/L for women and 15.0-50.0
for men), and normal (>=30.0 MUg/L for women and >=50.0 for men), and its
association with blood cadmium level was assessed after adjustment for various
demographic and lifestyle factors. RESULTS: Geometric means of blood cadmium in
the low serum ferritin group in women, men, and all participants were
significantly higher than in the normal group. Additionally, multiple regression
analysis after adjusting for various covariates showed that blood cadmium was
significantly higher in the low-ferritin group in women, men, and all
participants compared with the normal group. We also found an association between
serum ferritin and blood cadmium among never-smoking participants. DISCUSSION: We
found, similar to other recent population-based studies, an association between
iron deficiency and increased blood cadmium in men and women, independent of
smoking status. The results of the present study show that iron deficiency is
associated with increased levels of blood cadmium in the general population.
PMID- 22079862
TI - Road traffic crashes and the protective effect of road curvature over small
areas.
AB - Road bends are known to cause traffic crashes, but the hypothesis in this study
was that small geographical areas with many road bends have less, not more, road
casualties than comparable areas with fewer bends. Data on road crashes involving
fatal, serious and slight casualties in 571 wards in Eastern England were
examined against four measures of average road curvature (mean angle per bend,
cumulative angle per km, number of bends per km and ratio of road distance to
straight distance) using regression analysis. Taking account of other risk
factors, measures of average road curvature in wards were negatively associated
with crash numbers, especially for fatal crashes. The strongest associations were
with the cumulative angle turned per km. The results add to evidence suggesting
that road casualty risk effects vary with geographical scale. Although individual
road bends might be hazardous, frequent bends have a protective effect over a few
kilometres of road.
PMID- 22079864
TI - Novel (E)-1-(4-methyl-2-(alkylamino)thiazol-5-yl)-3-arylprop-2-en-1-ones as
potent antimicrobial agents.
AB - New (E)-1-(4-methyl-2-(alkylamino)thiazol-5-yl)-3-arylprop-2-en-1-ones,
unsubstituted or carrying fluoro, bromo, methoxy, nitro, methyl and chloro groups
on the benzene ring, were synthesized and assayed in vitro for their
antimicrobial activity against Gram positive and Gram negative bacteria and
fungi. The compounds were very potent towards all tested microorganisms and in
most cases their activity was better than that of reference drugs.
PMID- 22079863
TI - Photoaffinity labeling of Ras converting enzyme using peptide substrates that
incorporate benzoylphenylalanine (Bpa) residues: improved labeling and structural
implications.
AB - Rce1p catalyzes the proteolytic trimming of C-terminal tripeptides from
isoprenylated proteins containing CAAX-box sequences. Because Rce1p processing is
a necessary component in the Ras pathway of oncogenic signal transduction, Rce1p
holds promise as a potential target for therapeutic intervention. However, its
mechanism of proteolysis and active site have yet to be defined. Here, we
describe synthetic peptide analogues that mimic the natural lipidated Rce1p
substrate and incorporate photolabile groups for photoaffinity-labeling
applications. These photoactive peptides are designed to crosslink to residues in
or near the Rce1p active site. By incorporating the photoactive group via p
benzoyl-l-phenylalanine (Bpa) residues directly into the peptide substrate
sequence, the labeling efficiency was substantially increased relative to a
previously-synthesized compound. Incorporation of biotin on the N-terminus of the
peptides permitted photolabeled Rce1p to be isolated via streptavidin affinity
capture. Our findings further suggest that residues outside the CAAX-box sequence
are in contact with Rce1p, which has implications for future inhibitor design.
PMID- 22079865
TI - Anti-cancer activity of 5-O-alkyl 1,4-imino-1,4-dideoxyribitols.
AB - New derivatives of 1,4-dideoxy-1,4-imino-D-ribitol have been prepared and
evaluated for their cytotoxicity on solid and haematological malignancies. 1,4
Dideoxy-5-O-[(9Z)-octadec-9-en-1-yl]-1,4-imino-D-ribitol (13, IC(50) ~2 MUM) and
its C(18)-analogues (IC(50) <10 MUM) are cytotoxic toward SKBR3 (breast cancer)
cells. 13 also inhibits (IC(50) ~8 MUM) growth of JURKAT cells.
PMID- 22079866
TI - Photoligation of self-assembled DNA constructs containing anthracene
functionalized 2'-amino-LNA monomers.
AB - Efficient synthesis of a novel anthracene-functionalized 2'-amino-LNA
phosphoramidite derivative is described together with its incorporation into
oligodeoxynucleotides. Two DNA strands with the novel 2'-N-anthracenylmethyl-2'
amino-LNA monomers can be effectively cross-linked by photoligation at 366 nm in
various types of DNA constructs. Successful application of three differently
functionalized 2'-amino-LNA monomers in self-assembled higher ordered structures
for simultaneous cross-linking and monitoring of assembly formation is
furthermore demonstrated.
PMID- 22079867
TI - Synthesis and biological effects of some kynurenic acid analogs.
AB - The overactivation of excitatory amino acid receptors plays a key role in the
pathomechanism of several neurodegenerative disorders and in ischemic and post
ischemic events. Kynurenic acid (KYNA) is an endogenous product of the tryptophan
metabolism and, as a broad-spectrum antagonist of excitatory amino acid
receptors, may serve as a protective agent in neurological disorders. The use of
KYNA is excluded, however, because it hardly crosses the blood-brain barrier.
Accordingly, new KYNA analogs which can readily cross this barrier and exert
their complex anti-excitatory activity are generally needed. During the past 6
years, we have developed several KYNA derivatives, among others KYNA amides.
These new analogs included one, N-(2-N,N-dimethylaminoethyl)-4-oxo-1H-quinoline-2
carboxamide hydrochloride (KYNA-1), that has proved to be neuroprotective in
several models. This paper reports on the synthesis of 10 new KYNA amides (KYNA-1
KYNA-10) and on the effectiveness of these molecules as inhibitors of excitatory
synaptic transmission in the CA1 region of the hippocampus. The molecular
structure and functional effects of KYNA-1 are compared with those of other KYNA
amides. Behavioral studies with these KYNA amides demonstrated that they do not
exert significant nonspecific general side-effects. KYNA-1 may therefore be
considered a promising candidate for clinical studies.
PMID- 22079868
TI - A rapid, targeted, neuron-selective, in vivo knockdown following a single
intracerebroventricular injection of a novel chemically modified siRNA in the
adult rat brain.
AB - There has been a dramatic expansion of the literature on RNA interference and
with it, increasing interest in the potential clinical utility of targeted
inhibition of gene expression and associated protein knockdown. However, a
critical factor limiting the experimental and therapeutic application of RNA
interference is the ability to deliver small interfering RNAs (siRNAs),
particularly in the central nervous system, without complications such as
toxicity and inflammation. Here we show that a single intracerebroventricular
injection of Accell siRNA, a new type of naked siRNA that has been modified
chemically to allow for delivery in the absence of transfection reagents, even
into differentiated cells such mature neurons, leads to neuron-specific protein
knockdown in the adult rat brain. Following in vivo delivery, targeted Accell
siRNAs were incorporated successfully into various types of mature neurons, but
not glia, for 1 week in diverse brain regions (cortex, striatum, hippocampus,
midbrain, and cerebellum) with an efficacy of delivery of approximately 97%.
Immunohistochemical and Western blotting analyses revealed widespread, targeted
inhibition of the expression of two well-known reference proteins, cyclophilin-B
(38-68% knockdown) and glyceraldehyde 3-phosphate dehydrogenase (23-34%
knockdown). These findings suggest that this novel procedure is likely to be
useful in experimental investigations of neuropathophysiological mechanisms.
PMID- 22079869
TI - Enrichment of ANME-1 from Eckernforde Bay sediment on thiosulfate, methane and
short-chain fatty acids.
AB - The microorganisms involved in sulfate-dependent anaerobic oxidation of methane
(AOM) have not yet been isolated. In an attempt to stimulate the growth of
anaerobic methanotrophs and associated sulfate reducing bacteria (SRB),
Eckernforde Bay sediment was incubated with different combinations of electron
donors and acceptors. The organisms involved in AOM coupled to sulfate reduction
(ANME-1, ANME-2, and Desulfosarcina/Desulfococcus) were monitored using specific
primers and probes. With thiosulfate as sole electron acceptor and acetate,
pyruvate or butyrate as the sole electron donor, ANME-1 became the dominant
archaeal species. This finding suggests that ANME-1 archaea are not obligate
methanotrophs and that ANME-1 can grow on acetate, pyruvate or butyrate.
PMID- 22079871
TI - Nocturia in older men.
AB - Nocturia is a common and bothersome symptom that impacts on sleep-quality and
quality of life. Nocturia often has a multi-factorial etiology which makes
thorough assessment of the complaint indispensable. This review summarizes the
definition of nocturia, its epidemiology, clinical presentation, pathophysiology,
diagnostics, and treatment options with special reference to older men. Nocturia
is defined as a nocturnal voiding frequency of two or more, based on impact on
quality of life. It is very prevalent in older men. Apart from the negative
effects of sleep-disruption, it may be a risk-factor for hip fractures and
increased mortality. Most common causes are: nocturnal polyuria, 24-h polyuria,
overactive bladder (sometimes due to BPH) and sleep disturbance. A clear
understanding of the etiology in the individual patient is indispensable when
addressing the various possible causes and co-morbidities. Most important tool
for this is the frequency-volume chart, but also patient history, physical
examination and serum analysis. For treatment, lifestyle adjustments are often
helpful. Medical therapy with 5-alpha reductase inhibitors, alpha-blockers, a
combination of the two, or anti-muscarinics, has a limited effect. Most important
medical option is desmopressin (arginine vasopressin analogue); however,
treatment with this drug is limited to men under 65 years mainly due to the risk
of hyponatraemia.
PMID- 22079870
TI - Evaluating the unequal-variance and dual-process explanations of zROC slopes with
response time data and the diffusion model.
AB - We tested two explanations for why the slope of the z-transformed receiver
operating characteristic (zROC) is less than 1 in recognition memory: the unequal
variance account (target evidence is more variable than lure evidence) and the
dual-process account (responding reflects both a continuous familiarity process
and a threshold recollection process). These accounts are typically implemented
in signal detection models that do not make predictions for response time (RT)
data. We tested them using RT data and the diffusion model. Participants
completed multiple study/test blocks of an "old"/"new" recognition task with the
proportion of targets and the test varying from block to block (.21, .32, .50,
.68, or .79 targets). The same participants completed sessions with both speed
emphasis and accuracy-emphasis instructions. zROC slopes were below one for both
speed and accuracy sessions, and they were slightly lower for speed. The
extremely fast pace of the speed sessions (mean RT=526) should have severely
limited the role of the slower recollection process relative to the fast
familiarity process. Thus, the slope results are not consistent with the idea
that recollection is responsible for slopes below 1. The diffusion model was able
to match the empirical zROC slopes and RT distributions when between-trial
variability in memory evidence was greater for targets than for lures, but missed
the zROC slopes when target and lure variability were constrained to be equal.
Therefore, unequal variability in continuous evidence is supported by RT modeling
in addition to signal detection modeling. Finally, we found that a two-choice
version of the RTCON model could not accommodate the RT distributions as
successfully as the diffusion model.
PMID- 22079872
TI - Oophorectomy for whom and at what age? Primum non nocere.
PMID- 22079873
TI - ICDP approach to awareness-raising about children's rights and preventing
violence, child abuse, and neglect.
AB - In April 2011, the Committee on the Rights of the Child issued the General
Comment No. 13 on the right of the child to freedom from all forms of violence.
Its Article 19 declares that "protective measures should, as appropriate, include
effective procedures for the establishment of social programs to provide
necessary support for the child and for those who have the care of the child."
One available social program that focuses on providing support for parents,
caregivers and children is the International Child Development Program (ICDP),
which is presented in this article. The ICDP is designed to influence and improve
the quality of contact and relation between the caregivers, usually parents, and
children, through the practical application of the eight themes or guidelines for
positive interaction. The Convention on the Rights of the Child is a value-based
legal document ratified by most countries in the world. This is a significant
achievement and it gives a new basis and legitimization for a more humane
treatment of children all over the world. Nevertheless, it is important to
understand that there is a big gap between a legal document describing ideal
conditions for children at a macro-governmental level and its implementation at
the microlevel of families and communities. The ICDP is another expression of the
same humanitarian spirit as it is encoded in the convention of children' rights.
ICDP can be put in practice in any community to create positive conditions for
the fulfillment of fundamental children's rights: the right to be protected from
violence and to receive the loving care and guidance from the immediate
environment which is required to ensure healthy human development. Introducing
children's rights is likely to have a major impact on families (and all levels of
authorities) if efforts are also made to activate awareness and deeper bonding to
children as persons. Without a deep activation of a more humanized and caring
relationship to children, provided by social programs such as ICDP, the advocacy
for children's rights may become an empty shell without its basis in human
realities.
PMID- 22079874
TI - Determination of the heterogeneous interactome between Edwardsiella tarda and
fish gills.
AB - Edwardsiellosis caused by Edwardsiella tarda is a frequent occurrence throughout
the world and has resulted in extensive losses in aquaculture. However,
information regarding to protein-protein interaction between the pathogenic cells
and host is not available although the portal of entry of the pathogen is
determined. In this study, fish gill and bacterial pull-down approaches were used
to isolate both bacterial outer membrane proteins that bind to gills and fish
gill proteins that interact with bacterial cells, respectively. Eight interacting
bacterial proteins and twelve interacting fish proteins were obtained. The genes
of seven bacterial proteins were cloned and expressed for preparation of
antibodies. The prepared antibodies were used to investigate protein-protein
interactions between bacterial cells and fish gills. Five heterogeneous protein
protein interactions were determined. Moreover, the protective ability of three
of the bacterial recombinant proteins, selected at random, was investigated in a
mouse model where they showed significant protection. The gill proteins were
highly homologous proteins with from humans and other animals where they are
known to be involved in host immunity. These findings indicate that the
heterogeneous interactome has significantly biological significance. Our results
demonstrate a way to determine and understand the heterogeneous interaction
between of E. tarda and gills.
PMID- 22079875
TI - Femoral tunnel widening after hamstring anterior cruciate ligament reconstruction
with bioabsorbable transfix.
AB - BACKGROUND: Previous reports have shown that bio-Transfix implants (Arthrex,
Naples, Florida) have the possibility of fracture during the early postoperative
period. However, to date, there exists no study reporting the radiological and
clinical significance of broken bio-Transfix implants. HYPOTHESIS: A broken bio
Transfix results in adverse effects, both radiologically and clinically. STUDY
DESIGN: Cohort study; Level of evidence, 3. METHODS: Hamstring anterior cruciate
ligament (ACL) reconstructions using bio-Transfix in 50 patients with a minimum
of 2 years' postoperative follow-up were reviewed. On the anteroposterior (AP)
and lateral radiographs, the diameter of the femoral tunnel was measured at the
widest diameter and compared with the diameter of the reamer used at surgery.
Magnetic resonance imaging (MRI) scans were obtained 6 months postoperatively for
all patients to evaluate the condition of bio-Transfix implants. The patients
were divided into intact and broken bio-Transfix groups. Postoperative stability
evaluations were performed using the Lachman and pivot-shift tests and
instrumented laxity testing using the KT-1000 arthrometer. Functional evaluations
were performed using the Lysholm score and Tegner activity scale. RESULTS: Follow
up MRI scans revealed that the bio-Transfix was broken in 11 and intact in 39
patients. Five implants were broken within the femoral tunnel and 6 were broken
outside the femoral tunnel. Two bio-Transfix in the intact group were bent. On
the AP and lateral radiographs at 24 months postoperatively, the average diameter
of the femoral tunnel in the intact group increased by 13.1% and 17.1%,
respectively. In the broken group at 24 months postoperatively, the average
diameter of the femoral tunnel increased by 33.6% and 26.5%, respectively. There
were significant differences between the 2 groups in the average diameters of the
femoral tunnel on the AP radiographs at 24 months postoperatively (P = .000).
However, on the lateral radiographs, there were no significant differences
between the 2 groups. Postoperative knee stability tests and functional
evaluations showed no significant differences between the 2 groups. CONCLUSION:
Broken bio-Transfix implants resulted in significant femoral tunnel widening in
the coronal plane, although clinical results were not affected. Surgeons should
be aware of this phenomenon when selecting a fixation device for hamstring
grafts.
PMID- 22079876
TI - Therapeutic angiogenesis in patients with severe limb ischemia by transplantation
of a combination stem cell product.
AB - OBJECTIVE: Angiogenesis involves the interplay of endothelial progenitor cells,
pericytes, growth factors, and cellular matrix components. The use of mesenchymal
stem cells, which are closely related to pericytes and produce diverse angiogenic
growth factors and matrix molecules, seems to be a promising therapeutic
modality. We postulate that the use of a combination cell product (mesenchymal
stem cells in conjunction with a source of endothelial progenitor cells) is safe
and efficient and may optimize the clinical results obtained with the use of
endothelial progenitor cells alone. This study assessed whether the intramuscular
infusion of a combination cell product represents a viable, effective, and
lasting therapeutic modality to improve perfusion in severely ischemic limbs.
METHODS: Patients with limb ischemia (n=26) received an intramuscular
(gastrocnemius) infusion of the combination cell product in the most ischemic leg
and a placebo product in the (less ischemic) contralateral leg. Clinical follow
up (months 0.5, 1, 2, and 4 postinfusion) included evaluation of pain-free
walking time, ankle-brachial index, perfusion scintigraphy, and quality of life
survey. RESULTS: No adverse events occurred after infusion. Efficacy assessment
indicated that after cell infusion there was a significant improvement in walking
time and ankle-brachial index. In addition, technetium-99m-tetrofosmin
scintigraphy demonstrated a significant increase of perfusion in the treated
limbs compared with the respective control legs. CONCLUSIONS: This phase II
clinical trial shows that the use of a combination cell therapy is safe and
effective in increasing blood flow in the ischemic legs of patients with limb
ischemia.
PMID- 22079877
TI - The nondepolarizing, normokalemic cardioplegia formulation adenosine-lidocaine
(adenocaine) exerts anti-neutrophil effects by synergistic actions of its
components.
AB - OBJECTIVE: A new strategy of normothermic cardioplegia based on the combination
of adenosine and lidocaine (adenocaine; Hibernation Therapeutics Global Ltd,
Kilquade, Ireland) achieves nondepolarized arrest at normokalemia. Both adenosine
and lidocaine independently inhibit neutrophil (polymorphonuclear neutrophil;
PMN) activity. However, whether adenocaine exerts greater anti-inflammatory
effects is not known. We tested the hypothesis that adenocaine synergistically
attenuates PMN functions. METHODS: Superoxide anion (O(2)(-)) generation:
Isolated porcine PMNs were primed with cytochalasin B (5 MUg/mL) and activated by
N-formylmethionyl-leucyl-phenylalanine (100 nM). O(2)(-) release was quantified
using lucigenin-enhanced chemiluminescence. Data were expressed as percent of
stimulated control. RESULTS: Both adenosine and lidocaine alone inhibited O(2)(-)
production in a dose-dependent manner (adenosine reduced to 67% +/- 8.4% and 21%
+/- 2.2% of maximal stimulation at 0.1 and 10 MUmol/L, respectively, lidocaine
reduced to 57.9% +/- 18.6% and 28% +/- 5% at 10 and 100 MUmol/L, respectively).
Adenocaine further reduced O(2)(-) generation in a synergistic manner. In
addition, adenosine alone (0.1-10 MUmol/L) inhibited O(2)(-) generation in primed
but not activated PMNs, whereas lidocaine alone (1-100 MUmol/L) inhibited O(2)(-)
release in both primed and activated PMNs. Adenocaine further reduced O(2)(-)
generation because of inhibition of both priming and activation stages. Both
adenosine and lidocaine alone and adenocaine comparably inhibited platelet
activating factor-induced CD11 b/c surface expression on PMNs (flow cytometry),
but adenocaine further suppressed both CD18 expression (to 47.4% +/- 9.7%) and
PMN adherence (to 47.2% +/- 4.3%) compared with adenosine and lidocaine alone.
Transmigration of calcein-acetyoxymethyl-labeled PMNs through transwells seeded
with cultured coronary artery endothelial cells was reduced comparably by
adenosine (to 80.1% +/- 6.7%) and adenocaine (67.3% +/- 9.6%). CONCLUSIONS:
Adenocaine suppresses multiple PMN functions including O(2)(-) generation,
adhesion molecule expression, PMN adherence, and transmigration. In addition to
inducing nondepolarized arrest, adenocaine cardioplegia may exert
cardioprotection by inhibiting PMN-mediated inflammatory responses.
PMID- 22079878
TI - Specificities of Ricinus communis agglutinin 120 interaction with sulfated
galactose.
AB - Lectins are used extensively as research tools to detect and target specific
oligosaccharide sequences. Ricinus communis agglutinin I (RCA(120)) recognizes
non-reducing terminal beta-D-galactose (Galbeta) and its specificities of
interactions with neutral and sialylated oligosaccharides have been well
documented. Here we use carbohydrate arrays of sulfated Galbeta-containing
oligosaccharide probes, prepared from marine-derived galactans, to investigate
their interactions with RCA(120). Our results showed that RCA(120) binding to
Galbeta1-4 was enhanced by 2-O- or 6-O-sulfation but abolished by 4-O-sulfation.
The results were corroborated with competition experiments. Erythrina cristagalli
lectin is also a Galbeta-binding protein but it cannot accommodate any sulfation
on Galbeta.
PMID- 22079879
TI - Hyperglycemia is associated with increased risk of morbidity and mortality after
colectomy for cancer.
AB - BACKGROUND: The relationship of hyperglycemia to general surgery outcomes is not
well-understood. We studied the association of operative day and postoperative
day 1 (POD1) blood glucose (BG) with outcomes after open colectomy for cancer.
STUDY DESIGN: We retrospectively analyzed the 2000-2005 Veterans Affairs Surgical
Quality Improvement Program database, linked with Veterans Affairs Decision
Support System BG values. Median BG was categorized as hypoglycemic (<80 mg/dL);
normoglycemic (BG 80-120 mg/dL); or mildly (BG 121-160 mg/dL), moderately (BG 161
200 mg/dL), or severely (BG >200 mg/dL) hyperglycemic. The relationship of BG to
postoperative outcomes was assessed with multivariable logistic regression.
RESULTS: We identified 9,638 colectomies. We excluded 511 procedures for
emergency status or preoperative coma, mechanical ventilation, or sepsis. After
excluding patients without recorded BG, we analyzed operative day and POD1 BG in
7,576 and 5,773 procedures, respectively. On multivariable analysis, operative
day moderate hyperglycemia was associated with surgical site infection (odds
ratio = 1.44; 95% CI, 1.10-1.87). POD1 severe hyperglycemia was associated with
cardiac arrest (odds ratio = 2.31; 95% CI, 1.08-4.98) and death (odds ratio =
1.97; 95% CI, 1.23-3.15). POD1 mild (odds ratio = 2.20; 95% CI, 1.05-4.60),
moderate (odds ratio = 3.44; 95% CI, 1.51-7.84), and severe (odds ratio = 3.94;
95% CI, 1.64-9.58) hyperglycemia and hypoglycemia (odds ratio = 6.74; 95% CI,
1.75-25.97) were associated with myocardial infarction. Associations were similar
in diabetic and nondiabetic patients. CONCLUSIONS: Even mild hyperglycemia was
associated with adverse outcomes after colectomy, suggesting that a perioperative
BG target of 80 to 120 mg/dL, although avoiding hypoglycemia, might be
appropriate. Randomized clinical trials are needed to confirm these findings.
PMID- 22079880
TI - Ultrasound-guided core biopsy: an effective method of detecting axillary nodal
metastases.
AB - BACKGROUND: Axillary nodal status is an important prognostic predictor in
patients with breast cancer. This study evaluated the sensitivity and specificity
of ultrasound-guided core biopsy (Ax US-CB) at detecting axillary nodal
metastases in patients with primary breast cancer, thereby determining how often
sentinel lymph node biopsy could be avoided in node positive patients. STUDY
DESIGN: Records of patients presenting to a breast unit between January 2007 and
June 2010 were reviewed retrospectively. Patients who underwent axillary
ultrasonography with or without preoperative core biopsy were identified.
Sensitivity, specificity, positive predictive value, and negative predictive
value for ultrasonography and percutaneous biopsy were evaluated. RESULTS:
Records of 718 patients were reviewed, with 445 fulfilling inclusion criteria.
Forty-seven percent (n = 210/445) had nodal metastases, with 110 detected by Ax
US-CB (sensitivity 52.4%, specificity 100%, positive predictive value 100%,
negative predictive value 70.1%). Axillary ultrasonography without biopsy had
sensitivity and specificity of 54.3% and 97%, respectively. Lymphovascular
invasion was an independent predictor of nodal metastases (sensitivity 60.8%,
specificity 80%). Ultrasound-guided core biopsy detected more than half of all
nodal metastases, sparing more than one-quarter of all breast cancer patients an
unnecessary sentinel lymph node biopsy. CONCLUSIONS: Axillary ultrasonography,
when combined with core biopsy, is a valuable component of the management of
patients with primary breast cancer. Its ability to definitively identify nodal
metastases before surgical intervention can greatly facilitate a patient's
preoperative integrated treatment plan. In this regard, we believe our study adds
considerably to the increasing data, which indicate the benefit of Ax US-CB in
the preoperative detection of nodal metastases.
PMID- 22079881
TI - Vibrio salmonicida pathogenesis analyzed by experimental challenge of Atlantic
salmon (Salmo salar).
AB - Cold-water vibriosis (CV) is a bacterial septicemia of farmed salmonid fish and
cod caused by the Gram-negative bacterium Vibrio (Aliivibrio) salmonicida. To
study the pathogenesis of this marine pathogen, Atlantic salmon was
experimentally infected by immersion challenge with wild type V. salmonicida and
the bacterial distribution in different organs was investigated at different time
points. V. salmonicida was identified in the blood as early as 2 h after
challenge demonstrating a rapid establishment of bacteremia without an initial
period of colonization of the host. Two days after immersion challenge, only a
few V. salmonicida were identified in the intestines, but the amount increased
with time. In prolonged CV cases, V. salmonicida was the dominating bacterium of
the gut microbiota causing a release of the pathogen to the water. We hypothesize
that V. salmonicida uses the blood volume for proliferation during the infection
of the fish and the salmonid intestine as a reservoir that favors survival and
transmission. In addition, a motility-deficient V. salmonicida strain led us to
investigate the impact of motility in the CV pathogenesis by comparing the
virulence properties of the mutant with the wild type LFI1238 strain in both i.p.
and immersion challenge experiments. V. salmonicida was shown to be highly
dependent on motility to gain access to the fish host. After invasion, motility
was no longer required for virulence, but the absence of normal flagellation
delayed the disease development.
PMID- 22079882
TI - An alternative -1/+2 open reading frame exists within viral N(pro)(1-19) region
of bovine viral diarrhea virus SD-1.
AB - We previously reported the engineering of an N(pro)-disrupted bovine viral
diarrhea virus (BVDV), BSD1-N(pro)/eGFP2A (Fan and Bird, 2008a). Here, we report
that BSD1-N(pro)/eGFP2A survives a single nucleotide missing in its C-terminal
eGFP region. By using our established reverse genetics system for BVDV, we
confirm that the viral mutant is rescued through a -1/+2 ORF initiated in the
N(pro)(1-19)/eGFP region of the mutant viral genome. We furthermore uncover that
this event occurs in the N(pro)(1-19) region of BVDV strain SD-1. The rescued
viral mutant showed dramatic reductions in levels of both viral RNA and viral
protein in host cells. Although the mutant is similar to the native strain in
viral kinetics, the peak yield of the mutant is decreased dramatically. These
findings reveal the existence of an alternative -1/+2 ORF in the N(pro)(1-19)
region during the replication of BVDV and open a new avenue to understand the
life cycle and pathogenesis of pestiviruses.
PMID- 22079883
TI - Effects of amygdala-hippocampal stimulation on interictal epileptic discharges.
AB - Deep brain stimulation (DBS) of different nuclei is being evaluated as a
treatment for epilepsy. While encouraging results have been reported, the effects
of changes in stimulation parameters have been poorly studied. Here the effects
of changes of pulse waveform in high frequency DBS (130 Hz) of the amygdala
hippocampal complex (AH) are presented. These effects were studied on interictal
epileptic discharge rates (IEDRs). AH-DBS was implemented with biphasic versus
pseudo monophasic charge balanced pulses, in two groups of patients: six with
temporal lobe epilepsy (TLE) associated with hippocampal sclerosis (HS) and six
with non lesional (NLES) temporal epilepsy. In patients with HS, IEDRs were
significantly reduced with AH-DBS applied with biphasic pulses in comparison with
monophasic pulse. IEDRs were significantly reduced in only two patients with NLES
independently to stimulus waveform. Comparison to long-term seizure outcome
suggests that IEDRs could be used as a neurophysiological marker of chronic AH
DBS and they suggest that the waveform of the electrical stimuli can play a major
role in DBS. We concluded that biphasic stimuli are more efficient than pseudo
monophasic pulses in AH-DBS in patients with HS. In patients with NLES epilepsy,
other parameters relevant for efficacy of DBS remain to be determined.
PMID- 22079884
TI - The impact of the Bacillus subtilis SPB1 biosurfactant on the midgut histology of
Spodoptera littoralis (Lepidoptera: Noctuidae) and determination of its putative
receptor.
AB - SPB1 is a Bacillus subtilis strain producing a lipopeptide biosurfactant. The
insecticidal activity of this biosurfactant was evaluated against the Egyptian
cotton leaf worm (Spodoptera littoralis). It displayed toxicity with an LC(50) of
251 ng/cm(2). The histopathological changes occurred in the larval midgut of S.
littoralis treated with B. subtilis SPB1 biosurfactant were vesicle formation in
the apical region, cellular vacuolization and destruction of epithelial cells and
their boundaries. Ligand-blotting experiments with S. littoralis brush border
membrane vesicles showed binding of SPB1 biosurfactant to a protein of 45 kDa
corresponding to its putative receptor. The latter differs in molecular size from
those recognized by Bacillus thuringiensis Vip3A and Cry1C toxins, commonly known
by their activity against S. littoralis. This result wires the application of B.
subtilis biosurfactant for effective control of S. littoralis larvae,
particularly in the cases where S. littoralis will develop resistance against B.
thuringiensis toxins.
PMID- 22079885
TI - Long-term effects of catheter ablation for lone atrial fibrillation: progressive
atrial electroanatomic substrate remodeling despite successful ablation.
AB - BACKGROUND: Whether curative ablation can prevent progression of the atrial
electroanatomic remodeling associated with atrial fibrillation (AF) is not known.
OBJECTIVE: The purpose of this study was to determine whether successful
radiofrequency ablation (RFA) of AF can prevent progression of the atrial
substrate associated with AF. METHODS: Detailed right atrial electroanatomic maps
from 11 patients without apparent structural heart disease undergoing RFA of AF
at baseline and >=6 months following successful RFA were compared to 11 control
patients undergoing electrophysiologic evaluation of supraventricular
tachycardia. Bipolar voltage, conduction, effective refractory periods (ERPs),
and signal complexity were assessed. RESULTS: At baseline compared with the
control group, the AF group demonstrated (1) lower voltage (P <.001); (2) slowed
conduction (P = .005); (3) more prevalent complex signals (P <.001); (4)
prolonged regional refractoriness (P <.05), and (5) left atrial dilation (P =
.01). At 10 +/- 13 month follow-up, the AF group demonstrated the following
compared to baseline: (1) lower voltage (P <.05); (2) either no improvement or
further slowing of conduction; (3) further prolongation of regional
refractoriness (P <.05); and (4) reversal of left atrial dilation (P <.05).
CONCLUSION: Patients with lone AF demonstrate evidence of an abnormal atrial
substrate at baseline compared to control patients without AF. This substrate
does not appear to reverse even after successful catheter ablation. These
findings may have implications for long-term outcomes of ablation and for timing
of ablative intervention.
PMID- 22079886
TI - Mild-to-moderate kidney dysfunction and the risk of sudden cardiac death in the
setting of acute myocardial infarction.
AB - BACKGROUND: Although end-stage renal disease is known to elevate the risk of
sudden cardiac death (SCD), the role of less severe renal impairment in SCD is
unclear. OBJECTIVE: The purpose of this study was to examine the association
between mild-to-moderate renal impairment and first ischemic ventricular
fibrillation (VF). METHODS: Renal function in patients included in the Arrhythmia
Genetics in the NEtherlands Study (AGNES) were compared. Cases (n = 337, age 56
+/- 1 year, 80% men) were defined as patients who had survived VF at the time of
their first acute ST elevation myocardial infarction (STEMI), and controls (n =
339, age 58 +/- 1 years, 80% men) were defined as those without VF during their
first acute STEMI. Estimated glomerular filtration rate (eGFR) at the time of
acute STEMI was computed using the 4-variable Modification of Diet in Renal
Disease equation. RESULTS: At eGFR less than 105 mL/min, a decrease in eGFR was
associated with elevated odds of developing VF during STEMI. The association was
essentially flat at eGFR levels >105 mL/min. The lowest eGFR quintile was
associated with a >6-fold increase in odds of developing VF compared to the
fourth quintile. This association between eGFR and VF at the time of STEMI
remained significant after adjusting for potential confounders including
electrolyte levels. CONCLUSION: Mild-to-moderate kidney dysfunction is associated
with a significantly elevated risk of VF in the setting of acute STEMI. Further
studies are needed to investigate the precise mechanisms by which mild kidney
function results in VF.
PMID- 22079887
TI - PAF and its metabolic enzymes in healthy volunteers: interrelations and
correlations with basic characteristics.
AB - PAF (1-O-alkyl-2-acetyl-sn-glycero-3-phosphocholine), a potent inflammatory
mediator, is synthesized via the remodeling and the de novo route, key enzymes of
which are acetyl-CoA:lyso-PAF acetyltransferase (lyso-PAF-AT) and DTT-insensitive
CDP-choline:1-alkyl-2-acetyl-sn-glycerol cholinephosphotransferase (PAF-CPT),
respectively. PAF-acetylhydrolase (PAF-AH) and its extracellular isoform
lipoprotein-associated phospholipase-A(2) (Lp-PLA(2)) catabolize PAF. This study
evaluated PAF levels together with leukocyte PAF-CPT, lyso-PAF-AT, PAF-AH and Lp
PLA(2) activities in 106 healthy volunteers. Men had lower PAF levels and higher
activity of both catabolic enzymes and lyso-PAF-AT than women (P-values <0.05).
Age was inversely correlated with PAF levels in men (r=-0.279, P=0.06) and lyso
PAF-AT in women (r=-0.280, P=0.05). In contrast, Lp-PLA(2) was positively
correlated with age (r=0.201, P=0.04). Moreover, PAF-CPT was positively
correlated with glucose (r=0.430, P=0.002) in women. In addition, Principal
Component Analysis revealed three PAF metabolic patterns: (i) increased
activities of PAF-CPT and PAF-AH, (ii) increased activities of PAF-CPT and lyso
PAF-AT and (iii) increased activity of Lp-PLA(2). The present study underlines
the complexity of PAF's metabolism determinants.
PMID- 22079888
TI - Arrhythmias: introduction.
PMID- 22079889
TI - Synthesis, growth, structural, spectroscopic and optical studies of a semiorganic
NLO crystal: zinc guanidinium phosphate.
AB - The semi-organic nonlinear optical (NLO) crystal, zinc guanidinium phosphate
(ZGuP) has been grown through synthesis between zinc sulphate, guanidine
carbonate and orthophosphoric acid from its aqueous solution by slow solvent
evaporation technique. Solubility of the synthesized material has been determined
for various temperatures using water as solvent. The grown crystal has been
characterized by powder X-ray diffraction to confirm the crystal structure.
Investigation has been carried out to assign the vibrational frequencies of the
grown crystals by Fourier transform infrared spectroscopy technique. (1)H and
(13)C FT-NMR have been recorded to elucidate the molecular structure. The optical
absorption study confirms the suitability of the crystal for device applications.
The second harmonic generation (SHG) efficiency of ZGuP is found to be 1.825
times that of potassium dihydrogen phosphate (KDP). Thermal behavior of the grown
crystals has been studied by thermogravimetric and differential thermal analysis.
The mechanical properties of the grown crystals have been studied using Vickers
microhardness tester.
PMID- 22079890
TI - Raman study of the shockwave effect on collagens.
AB - The Raman spectra (1800-200 cm(-1)) of isolated dried collagen types I and III
were recorded at different times after shockwave (SW) application in aqueous
media. SWs were applied in a single session. One week after the SW application
the vibrational data analysis indicates changes in the conformation of the
collagens; orientational changes are also inferred. During the next three weeks
collagens tended to recover the conformation and orientation existing before SW
application.
PMID- 22079891
TI - Visualizing excipient composition and homogeneity of Compound Liquorice Tablets
by near-infrared chemical imaging.
AB - This study demonstrated that near-infrared chemical imaging (NIR-CI) was a
promising technology for visualizing the spatial distribution and homogeneity of
Compound Liquorice Tablets. The starch distribution (indirectly, plant
extraction) could be spatially determined using basic analysis of correlation
between analytes (BACRA) method. The correlation coefficients between starch
spectrum and spectrum of each sample were greater than 0.95. Depending on the
accurate determination of starch distribution, a method to determine homogeneous
distribution was proposed by histogram graph. The result demonstrated that starch
distribution in sample 3 was relatively heterogeneous according to four
statistical parameters. Furthermore, the agglomerates domain in each tablet was
detected using score image layers of principal component analysis (PCA) method.
Finally, a novel method named Standard Deviation of Macropixel Texture (SDMT) was
introduced to detect agglomerates and heterogeneity based on binary image. Every
binary image was divided into different sizes length of macropixel and the number
of zero values in each macropixel was counted to calculate standard deviation.
Additionally, a curve fitting graph was plotted on the relationship between
standard deviation and the size length of macropixel. The result demonstrated the
inter-tablet heterogeneity of both starch and total compounds distribution,
simultaneously, the similarity of starch distribution and the inconsistency of
total compounds distribution among intra-tablet were signified according to the
value of slope and intercept parameters in the curve.
PMID- 22079892
TI - To eat or not to eat red meat. A closer look at the relationship between
restrained eating and vegetarianism in college females.
AB - Previous research has suggested that vegetarianism may serve as a mask for
restrained eating. The purpose of this study was to compare the dietary habits
and lifestyle behaviors of vegetarians (n=55), pesco-vegetarians (n=28), semi
vegetarians (n=29), and flexitarians (n=37), to omnivores (n=91), who do not
restrict animal products from their diets. A convenience sample of college-age
females completed questionnaires about their eating habits, food choice
motivations, and personality characteristics. Results indicated that while
vegetarians and pesco-vegetarians were more open to new experiences and less food
neophobic, they were not more restrained than omnivores. Rather semi-vegetarians;
those who restricted only red meat from their diet, and flexitarians; those who
occasionally eat red meat, were significantly more restrained than omnivores.
Whereas food choices of semi-vegetarians and flexitarians were motivated by
weight control, vegetarians and pesco-vegetarians' food choices were motivated by
ethical concerns. By focusing specifically on semi-vegetarian and flexitarian
subgroups, more effective approaches can be developed to ensure that their
concerns about weight loss do not lead to unhealthful or disordered eating
patterns.
PMID- 22079894
TI - Drosophila melanogaster S2 cells for expression of heterologous genes: From gene
cloning to bioprocess development.
AB - In the present review we discuss strategies that have been used for heterologous
gene expression in Drosophila melanogaster Schneider 2 (S2) cells using plasmid
vectors. Since the growth of S2 cells is not dependent on anchorage to solid
substrates, these cells can be easily cultured in suspension in large volumes.
The factors that most affect the growth and gene expression of S2 cells, namely
cell line, cell passage, inoculum concentration, culture medium, temperature,
dissolved oxygen concentration, pH, hydrodynamic forces and toxic metabolites,
are discussed by comparison with other insect and mammalian cells. Gene
expression, cell metabolism, culture medium formulation and parameters involved
in cellular respiration are particularly emphasized. The experience of the
authors with the successful expression of a biologically functional protein, the
rabies virus glycoprotein (RVGP), by recombinant S2 cells is presented in the
topics covered.
PMID- 22079893
TI - Genomics in mammalian cell culture bioprocessing.
AB - Explicitly identifying the genome of a host organism including sequencing,
mapping, and annotating its genetic code has become a priority in the field of
biotechnology with aims at improving the efficiency and understanding of cell
culture bioprocessing. Recombinant protein therapeutics, primarily produced in
mammalian cells, constitute a $108 billion global market. The most common
mammalian cell line used in biologic production processes is the Chinese hamster
ovary (CHO) cell line, and although great improvements have been made in titer
production over the past 25 years, the underlying molecular and physiological
factors are not well understood. Confident understanding of CHO bioprocessing
elements (e.g. cell line selection, protein production, and reproducibility of
process performance and product specifications) would significantly improve with
a well understood genome. This review describes mammalian cell culture use in
bioprocessing, the importance of obtaining CHO cell line genetic sequences, and
the current status of sequencing efforts. Furthermore, transcriptomic techniques
and gene expression tools are presented, and case studies exploring genomic
techniques and applications aimed to improve mammalian bioprocess performance are
reviewed. Finally, future implications of genomic advances are surmised.
PMID- 22079895
TI - Biomechanical interpretation of a free-breathing lung motion model.
AB - The purpose of this paper is to develop a biomechanical model for free-breathing
motion and compare it to a published heuristic five-dimensional (5D) free
breathing lung motion model. An ab initio biomechanical model was developed to
describe the motion of lung tissue during free breathing by analyzing the stress
strain relationship inside lung tissue. The first-order approximation of the
biomechanical model was equivalent to a heuristic 5D free-breathing lung motion
model proposed by Low et al in 2005 (Int. J. Radiat. Oncol. Biol. Phys. 63 921
9), in which the motion was broken down to a linear expansion component and a
hysteresis component. To test the biomechanical model, parameters that
characterize expansion, hysteresis and angles between the two motion components
were reported independently and compared between two models. The biomechanical
model agreed well with the heuristic model within 5.5% in the left lungs and 1.5%
in the right lungs for patients without lung cancer. The biomechanical model
predicted that a histogram of angles between the two motion components should
have two peaks at 39.8 degrees and 140.2 degrees in the left lungs and 37.1
degrees and 142.9 degrees in the right lungs. The data from the 5D model
verified the existence of those peaks at 41.2 degrees and 148.2 degrees in the
left lungs and 40.1 degrees and 140 degrees in the right lungs for patients
without lung cancer. Similar results were also observed for the patients with
lung cancer, but with greater discrepancies. The maximum-likelihood estimation of
hysteresis magnitude was reported to be 2.6 mm for the lung cancer patients. The
first-order approximation of the biomechanical model fit the heuristic 5D model
very well. The biomechanical model provided new insights into breathing motion
with specific focus on motion trajectory hysteresis.
PMID- 22079896
TI - Sugar inhibits the production of the toxins that trigger clostridial gas
gangrene.
AB - Histotoxic strains of Clostridium perfringens cause human gas gangrene, a
devastating infection during which potent tissue-degrading toxins are produced
and secreted. Although this pathogen only grows in anaerobic-nutrient-rich
habitats such as deep wounds, very little is known regarding how nutritional
signals influence gas gangrene-related toxin production. We hypothesize that
sugars, which have been used throughout history to prevent wound infection, may
represent a nutritional signal against gas gangrene development. Here we
demonstrate, for the first time, that sugars (sucrose, glucose) inhibited the
production of the main protein toxins, PLC (alpha-toxin) and PFO (theta-toxin),
responsible for the onset and progression of gas gangrene. Transcription analysis
experiments using plc-gusA and pfoA-gusA reporter fusions as well as RT-PCR
analysis of mRNA transcripts confirmed that sugar represses plc and pfoA
expression. In contrast an isogenic C. perfringens strain that is defective in
CcpA, the master transcription factor involved in carbon catabolite response, was
completely resistant to the sugar-mediated inhibition of PLC and PFO toxin
production. Furthermore, the production of PLC and PFO toxins in the ccpA mutant
strain was several-fold higher than the toxin production found in the wild type
strain. Therefore, CcpA is the primary or unique regulatory protein responsible
for the carbon catabolite (sugar) repression of toxin production of this
pathogen. The present results are analyzed in the context of the role of CcpA for
the development and aggressiveness of clostridial gas gangrene and the well
known, although poorly understood, anti-infective and wound healing effects of
sugars and related substances.
PMID- 22079897
TI - The role of proliferation in the regulation of interferon gamma (IFNgamma)
expression in foals.
AB - Interferon-gamma (IFNgamma) plays an important role against viral and
intracellular bacterial infections and its production is deficient in foals.
Cellular proliferation provides an opportunity for de novo gene expression,
though little is known about its role in regulating IFNgamma expression in foals.
While stimulation of foal peripheral blood mononuclear cells (PBMCs) with
concanavalin A (ConA) increased the frequency of IFNgamma(+) cells, the overall
percentage of IFNgamma(+) cells remained below that of adults. By contrast, the
proliferative response of foal PBMC was significantly greater than that of the
adults. In foals, IFNgamma production was predominantly associated with those T
cells that underwent proliferation, whereas in adults non-dividing cells also
produced IFNgamma. While treatment with hydroxyurea inhibited cellular division,
it failed to completely block IFNgamma production. This residual IFNgamma
production likely represented memory cells as the proportion of these
proliferation-independent IFNgamma(+) cells increased with foal age. However,
memory cells may not account for all of the IFNgamma production as ConA
stimulation likely provided additional signals that can control IFNgamma
expression.
PMID- 22079899
TI - Ultrastructural study on dynamics of plastids and mitochondria during
microgametogenesis in watermelon.
AB - Dynamics of plastids and mitochondria during microgametogenesis in watermelon
were examined by means of transmission electron microscopy. Plastids are present
as proplastids in the microspore and as amyloplasts in the vegetative cell of the
bicellular pollen grain, whereas the generative cell is completely devoid of
plastids, suggesting that microspore plastids are excluded from the generative
cell during the microspore mitotic division. Therefore, watermelon is classified
as Lycopersicon type, where plastids exclusion from the generative cell leads to
purely maternal plastid inheritance. Mitochondria in the generative cell show
noticeable alterations in size and cristae during microgametogenesis. The
diameter of mitochondria is about 0.5 MUm in the newly born generative cell,
while only about 0.16 MUm in the spindle-shaped generative cell. Numerous cristae
are present in mitochondria in the spherical generative cell, but, in contrast,
mere two or three cristae retain in the spindle-shaped generative cell in the
mature pollen grain. In conclusion, the size and cristae number of mitochondria
in the generative cell are reduced significantly during microgametogenesis in
watermelon.
PMID- 22079898
TI - Megadiverse developing countries face huge risks from invasives.
PMID- 22079900
TI - Perception of a self-fitting hearing aid among urban-dwelling hearing-impaired
adults in a developed country.
AB - A self-fitting hearing aid is a personal amplification device that is designed to
be assembled, programmed, and fine-tuned by the user, without the need for
additional equipment or professional support. A written description of the device
was presented to 80 older adults with a hearing impairment, all of whom were
residents of an urban area in a developed country. In response to a structured
questionnaire, the majority of participants reported that the self-fitting
hearing aid concept was a good idea (83%), would be of personal benefit (60%),
and could be managed independently by the user (90%). Overall, half of the
participant group agreed with all three statements. Two were uncertain about the
concept, but none of the participants rejected it outright. There were no
significant differences between the opinions of participants with previous
hearing aid experience and those without. Participant responses to open-ended
questions revealed that the main benefits of a self-fitting hearing aid were
thought to be the ability to self-adjust the device's settings (reported by 33%
of participants) and increased convenience (20% of participants). The main
drawback, mentioned by 25% of participants, was a preference for professional
guidance through the fitting process. These results suggest that the self-fitting
hearing aid may present as an alternative product in developed countries for
those users who prefer to be in control of the fitting process.
PMID- 22079901
TI - Unilateral cervical burn scar deformity elimination with contralateral
cervicothoracic flap--a new approach.
AB - Unilateral postburn cervical deformity is a severe cosmetic and functional defect
and a challenging reconstructive problem. Many flaps have been suggested;
however, after surgery, operational scars, located along the anterior neck's
middle line, and the flap's skin differ from cervical healthy skin. A small flap
resembles a patch. A more effective and safer technique is neck resurfacing with
cervicothoracic adipocutaneous flap which had been used by the author in 32
burned patients. The flap's peculiarities are as follows: axis blood circulation
via superficial cervical artery perforator; exclusion of platysma (flap is thin
and elastic); and undamaged donor site. The mobilized healthy neck's skin with
thin fat layer and adipocutaneous layer of the chest wall are elevated as a whole
large flap. After scar excision, the cervicothoracic flap is advanced on the
wound with tension. As a result of flap tension, the skin of neck's back,
thoracic wall, and axilla are displaced to the anterior neck surface covering the
donor wound. On an average, 6 cm of the deformed neck anterior surface was
restored. No flap loss but only local superficial scar necrosis along the flap's
border occurred. The cervical contracture and scar deformity (25 patients) were
eliminated in all cases by a single procedure. For complete neck skin
restoration, seven patients underwent staged reconstruction using the same
technique. Excellent functional and good cosmetic follow-up results were
achieved. The proposed technique is easy to plan and perform and yields good
results; therefore, the author believes that the contralateral cervicothoracic
flap's use is an exclusive option for unilateral cervical contracture and
deformity elimination in adults and pediatric patients.
PMID- 22079902
TI - Prior thermal injury accelerates endotoxin-induced inflammatory cytokine
production and intestinal nuclear factor-kappaB activation in mice.
AB - The objective of this study was to increase the understanding of the "second-hit"
response in thermal injury. The authors hypothesized that prior thermal injury
increases the endotoxin-induced inflammatory response of intestinal mucosa. Mice
underwent sham or 25% TBSA scald injury. Seven days after injury, mice were
injected with lipopolysaccharide. Blood, jejunum, and colon specimens were
obtained at intervals. Serum, jejunal, and colon inflammatory cytokine levels
were measured by enzyme-linked immunosorbent assay. Jejunal and colon nuclear
factor (NF)-kappaB activation was measured by electrophoretic mobility shift
assay. After remote thermal injury, lipopolysaccharide exposure led to an acute
increase in serum interleukin (IL)-6, IL-10, and chemokine keratinocyte-derived
chemokine (KC) levels. This correlated with lipopolysaccharide-induced increased
IL-6 in colon and chemokine KC in the jejunum and colon in burned mice when
compared with sham-injured mice. Lipopolysaccharide-induced NF-kappaB activation
occurred more rapidly in jejunum and colon from burned mice compared with sham
injured mice. Prior thermal injury accelerates lipopolysaccharide-induced
inflammatory cytokine production systemically in jejunum and colon. The "second
hit" of lipopolysaccharide led to earlier intestinal NF-kappaB activation in
burned mice compared with sham-injured mice. These results indicate that there is
a heightened inflammatory response by jejunum and colon in response to a "second
hit" of lipopolysaccharide after burn injury.
PMID- 22079903
TI - Long-term postoperative outcomes after axillary contracture release in children
with burns.
AB - Children with upper extremity burns frequently develop axillary contractures that
can restrict movement. Surgical axillary contracture release is performed to
restore function. The purpose of this study is to determine the long-term effects
(up to 7 years) of surgical axillary contracture release on upper extremity
motion during simulated activities of daily living using three-dimensional motion
analysis. Motion analysis was conducted on 10 subjects (9 males and 1 female; 16
axillary contractures; mean age 10 +/- 3 years at baseline; mean TBSA burn 40 +/-
15%) before, 1 year after, and 2 to 7 years (mean 3 +/- 2 years) after axillary
contracture release with split-thickness skin graft surgery. Movements were
analyzed during three functional tasks including high reach (reaching overhead
for an object), hand to head (combing hair), and hand to back pocket (toileting).
Two-tailed paired t-tests were used to compare presurgical and postsurgical
scores. Surgical release of the axillary contracture increased shoulder mobility
and decreased compensatory movements. Improvements were maintained at long-term
follow-up. All shoulder movements with the exception of shoulder flexion during
the high reach task and shoulder abduction during the hand to back task were not
significantly different than normal values at long-term follow-up. Axillary
contracture release surgery improves shoulder function in the short and long
term. Motion analysis is a modality that may prove valuable in objectively
quantifying changes in movement patterns immediately and in subsequent years
after burn injury.
PMID- 22079904
TI - Computerized insulin infusion programs are safe and effective in the burn
intensive care unit.
AB - Glucose control has repeatedly been shown to influence favorable outcomes in the
surgical intensive care unit (ICU). Intensive insulin therapy has recently been
associated with reduced infections complications in burn patients. However,
traditional protocols are associated with rates of severe hypoglycemia as high as
19%. Two commercial computer glucose control programs have reported rates of
severe hypoglycemia (glucose <50 mg/dl) of 0.6 and 0.4%. Recently, the authors'
burn ICU adopted an intensive insulin computer-based protocol created at their
institution and already successfully in use in their surgical ICU. The authors
hypothesized that their protocol can be used effectively in the burn patient
population without an increase risk of severe hypoglycemia. All patients admitted
to the burn ICU have blood glucose (BG) values checked routinely. With two
consecutive hyperglycemic values >200 mg/dl, patients are placed on a computer
based protocol intravenous insulin drip. Once initiated, BGs are tested hourly
with adjustments made according to the computer protocol. Values recorded from
January to December 2008 were abstracted from the database and analyzed. Thirty
one patients were treated using the computer glucose control protocol and 12,699
measurements were performed. There were eight measurements <50 mg/dl (0.07%).
Seventy-six percent of values were within the target range of 90 to 150 mg/dl.
Few patients had severe hyperglycemia with BG >300 mg/dl (0.2%). There were no
adverse events associated with the hypoglycemic episode. The computer-based
protocol is more effective than those previously used at the institution and
provides safe, reliable results in the burn patients.
PMID- 22079905
TI - Inflammatory and protein metabolism signaling responses in human skeletal muscle
after burn injury.
AB - Severe burn injuries lead to a prolonged hypercatabolic state resulting in
dramatic loss of skeletal muscle mass. Postburn muscle loss is well documented
but the molecular signaling cascade preceding atrophy is not. The purpose of this
study is to determine the response to burn injury of signaling pathways driving
muscle inflammation and protein metabolism. Muscle biopsies were collected in the
early flow phase after burn injury from the vastus lateralis of a noninjured leg
in patients with 20 to 60% TBSA burns and compared with uninjured, matched
controls. Circulating levels of proinflammatory cytokines were also compared.
Immunoblotting was performed to determine the protein levels of key signaling
components for translation initiation, proteolysis, and tumor necrosis
factor/nuclear factor kappa B (NFkappaB)and interleukin (IL)-6/STAT3 signaling.
Burn subjects had significantly higher levels of circulating proinflammatory
cytokines, with no difference in muscle STAT3 activity and lower NFkappaB
activity. No differences were found in any translational signaling components.
Regarding proteolytic signaling in burn, calpain-2 was 47% higher, calpastatin
tended to be lower, and total ubiquitination was substantially higher.
Surprisingly, a systemic proinflammatory response 3 to 10 days postburn did not
lead to elevated muscle STAT3 or NFkappaB signaling. Signaling molecules
governing translation initiation were unaffected, whereas indices of calcium
mediated proteolysis and ubiquitin-proteasome activity were upregulated. These
novel findings are the first in humans to suggest that the net catabolic effect
of burn injury in skeletal muscle (ie, atrophy) may be mediated, at least during
the early flow phase, almost entirely by an increased proteolytic activity in the
absence of suppressed protein synthesis signaling.
PMID- 22079906
TI - Optimal lubricant for split-thickness skin graft harvest.
PMID- 22079907
TI - The correlation between time to skin grafting and hypertrophic scarring following
an acute contact burn in a porcine model.
AB - One sequelae of burn injury remains the development of hypertrophic scarring.
This appears more likely when the healing has been prolonged. Early excision of
deep dermal burns and subsequent split skin grafting (SSG) may provide a more
favorable result. The optimal timing of grafting for deeper dermal burns remains
controversial. This study sought to establish evidence for the optimal grafting
time using a porcine model. Five Large White female pigs were exposed to four
contact burn injuries for duration of 20 seconds at 92 degrees C. Each site was
randomized to a treatment arm: dressing only as the control, SSG day 3, SSG day
14, and SSG day 21. Burn wound biopsies were obtained at days 0, 3, 14, 21, and
99 after the burn injury, together with microbiological swabs. Digital
photographs were taken to assess scarring using the Vancouver scar scale. All
biopsies were subject to histological and immunohistochemical analysis. Vancouver
scar scale scores and histopathological analysis indicated that areas grafted on
day 3 had the least fibrosis and scarring (P = 0.031). There was a strong
correlation between the histological evaluation of the degree of fibrosis and
alpha-smooth muscle actin levels (r = .60, P = .014). A greater degree of
fibrosis was observed in the presence of infection (P = .028). Sites grafted on
day 3 consistently exhibited the best clinical and histological scar outcome. The
increased fibrosis observed in delayed grafting may have been be related to
progression of burn depth and infection. These results suggest that early
grafting of deep dermal burns may be preferential.
PMID- 22079908
TI - Pilot testing of a burn prevention teaching tool for Amish children.
AB - Burn prevention education for Amish children is warranted as there are unique
risks associated with the Amish lifestyle. Specific educational opportunities are
related to scalds, ignition of clothing, and ignition of highly flammable
materials. A culturally sensitive burn prevention teaching tool, consisting of a
magnetic storyboard, burn safety curriculum, and tests, was developed with the
cooperation of one Old Order Amish community. The purpose of this study was to
test the effectiveness of the tool in an Amish school. The teacher obtained
parental permission and informed assent for the participation of the children.
Pretesting was completed before the lessons began. The teacher told stories and
arranged the magnets on the storyboard to show burn hazards involving lighters,
stoves, kerosene heaters, gasoline-powered engines, and hot liquids used for
canning, butchering, mopping, washing clothes, and making lye soap. The children
were challenged to rearrange the pieces for a safer situation. Posttesting was
performed 2 months after the pretest. Twenty-seven students (grades 1-8)
participated. Tests were scored as a percentage of the 33 items answered
correctly. The mean pretest score was 62 and the mean posttest score was 83.
Statistical analysis using paired t-test demonstrated a highly significant
improvement in test scores (P < .0001), with a power of more than 99%. This pilot
study demonstrated that the burn prevention teaching tool was effective for
improving knowledge in one classroom of Amish children. These results support
expanded use and testing of this tool in other Amish schools.
PMID- 22079909
TI - Comparison of reimbursements: thermal vs mechanical trauma.
PMID- 22079910
TI - Management of severe rheumatological disease in the burn center.
AB - In recent years, Burn Center has evolved to become a "wound intensive care unit"
treating disease processes other than those due to thermal injury. Recent data
have shown that more than 16% of admissions to Burn Centers are for nonburn
injuries, particularly severe dermatologic diseases. The role of the Burn Center
has been expanded to include treatment of patients with severe cutaneous
manifestations of rheumatologic diseases. This approach has not been described
before. All collagen vascular disease admissions to the Burn Center from 2005 to
2010 have been reviewed. There were 16 admissions where intensive wound
management was a major component of the disease management. Disease processes
included systemic lupus erythematosus, progressive systemic sclerosis, Raynaud's
phenomenon, antiphospholipid syndrome, and dermatomyositis, among others. The
authors describe five of these cases in detail. Comanagement of these patients by
the Rheumatology and Burn services led to outstanding, successful outcomes.
Collagen vascular diseases represent another area where the Burn Center may be
the appropriate site for therapy.
PMID- 22079911
TI - Risk factors for nosocomial infection and mortality in burn patients: 10 years
of experience at a university hospital.
AB - To evaluate the risk factors for nosocomial infection (NI) and mortality in a
university hospital, 10-year data of burn patients were assessed retrospectively.
The study was conducted at Erciyes University's Burn Center during 2000 and 2009.
The records of 1190 patients were obtained. Overall, 131 (11%) patients had 206
NIs with an incidence density of 14.7 infections/1000 patient days. Burn wound
infection (n = 109, 53%) was the most common NI. High (%TBSA burned) and late
excision were found to be the most significant risk factors for the development
of NI. Pseudomonas aeruginosa was the most frequent causative microorganism.
However, the prevalence of multidrug-resistant Acinetobacter baumannii has
increased in recent years with a prevalence of 47% in 2009. The carbapenem
resistance of P. aeruginosa has decreased in recent years, whereas that of A.
baumannii increased and it had a prevalence of 94% in the last year. Conversely,
the most important risk factors for mortality were advanced age, high %TBSA and
having an underlying disease. Prevention of NI is an important issue in burn
units to reduce mortality rates. Early excision and wound closure are important
therapeutic approaches for the prevention of burn wound infection.
PMID- 22079912
TI - Application of split-thickness dermal grafts in deep partial- and full-thickness
burns: a new source of auto-skin grafting.
AB - Early tangential excision of nonviable burn tissue, followed by immediate skin
grafting with autograft or allograft, has resulted in the improvement of burn
patient survival. The aim of this study was to add split-thickness dermal grafts
(STDGs) as a new source of auto-skin grafting tool to our reconstructive
armamentarium in deep partial- and full-thickness burns and soft tissue defects.
The authors successfully applied STDGs along with split-thickness skin grafts as
a new source of auto-skin grafting in 11 deep partial- and full-thickness burns
over a period of 1 year without any significant donor site morbidity. Dermal
graft take was complete in all but one patient. There was no donor site healing
problem, and donor site epithelization was completed generally 1 week later than
split-thickness skin graft by semi-open technique. Autologous split-thickness
skin grafting still remains the standard therapy for burn wound closure but may
be in limited availability in severe burns. The authors conclude that STDGs may
be a new source of auto-skin grafting tool in extensive deep partial- and full
thickness burns.
PMID- 22079913
TI - A novel technique for split-thickness skin donor site pain control: subcutaneous
catheters for continuous local anesthetic infusion.
PMID- 22079914
TI - Exploration of nonsurgical scar modification options: can the irregular surface
of matured mesh graft scars be smoothed with microdermabrasion?
AB - The purpose of this study was to determine, in principle, whether
microdermabrasion can alter waffle-pattern (meshed split-thickness skin graft)
burn scars after scar maturation. Matured waffle-pattern mesh-graft scars were
treated with multiple microdermabrasion sessions over the course of a year
(maximum 20). Before and after treatment, the treated scars and the control scar
on the same patient were assessed with subjective and objective scar assessment
tools (scar scales, cutometer [elasticity], laser Doppler flowmeter
[vascularity], Semmes-Weinstein filaments [sensation], and high-resolution
ultrasound [thickness]). The treatment resulted in continuous improvement of some
physiologic skin functions like perfusion response (feedback), thickness, and
elasticity when compared with nontreated scar, although no statistical
significance was reached. Both Vancouver scar scale and patient assessment scales
showed significant improvement. The study showed that even mature waffle pattern
scars can be modified by minimally invasive interventions. Larger study groups
and more economic treatment modalities need to be studied in the future.
PMID- 22079915
TI - Herpes simplex activation prolongs recovery from severe burn injury and increases
bacterial infection risk.
AB - Recovery from severe burn injury is complicated by infections that are result of
immune suppression created by massive inflammatory states. One indication of
immune suppression may be activation of viruses like herpes simplex virus (HSV),
which may negatively impact recovery. A 5-year retrospective review was performed
of adult patients with >=30% TBSA burn injury. TBSA burn injury, length of
hospital stay, ventilator days, mortality, and cultures were reviewed. Twenty-one
patients had cutaneous HSV activation (HSV+) and 50 did not (HSV-). Age (44.2 vs
39.8 years) and TBSA burn injury (45.9 vs 45.5%) did not differ between the
groups. Length of hospital stay (60.9 vs 39.8 days, P < .05) and ventilator days
(34.9 vs 22.2 days, P < .05) were greater in HSV+ patients. HSV activation
increased hospital stay (odds ratio [OR] 1.6, confidence interval [CI] 1.08-2.39,
P < .05) and mechanical ventilation (OR 1.02, CI 1.00-1.05, P < .05). HSV
activation increased the risk of developing positive Acinetobacter baumannii
pulmonary cultures (OR 6.4, CI 1.8-22.3, P < .05) and methicillin-resistant
Staphylococcus aureus wound cultures (OR 3.3, CI 1.2-9.6, P < .05). HSV
activation also increased the risk of developing any positive A. baumannii
cultures (OR 9.1, CI 1.9-44, P < .05). HSV activation during severe burn injury
is associated with increased length of mechanical ventilation and hospital stay.
In addition, these patients have an increased risk of infections from
opportunistic bacteria.
PMID- 22079916
TI - Reduced decorin, fibromodulin, and transforming growth factor-beta3 in deep
dermis leads to hypertrophic scarring.
AB - Hypertrophic scar (HTS) occurs after injuries involving the deep dermis, while
superficial wounds (SWs) to the skin heal with minimal or no scarring. The levels
of transforming growth factor (TGF)-beta1 and small leucine-rich proteoglycans
(SLRPs) with fibroblast subtype and function may influence the development of
HTS. The aim of this study was to characterize the expression and localization of
factors that regulate wound healing including SLRPs, TGF-beta1, and TGF-beta3 in
an experimental human SW and deep wound (DW) scar model including fibroblasts
from superficial and deep layers of normal dermis. A 6-cm horizontal dermal
scratch experimental wound was created, which consisted of progressively deeper
wounds that were superficial at one end (0-0.75 mm deep) and deep (0.75-3 mm
deep) at the other end, located on the anterior thigh of an adult male.
Immunofluorescence staining, immunoblotting, reverse transcription polymerase
chain reaction, and flow cytometry were performed to analyze the cellular and
molecular differences between the SW scar and DW scar as well as fibroblasts
isolated from superficial layer (L1) and deep layer (L5) of normal dermis.
Comparing SWs and L1 fibroblasts, the expression of decorin, fibromodulin, and
TGF-beta3 was considerably lower than in DWs and L5 fibroblasts; however, TGF
beta1 was higher in the deeper dermal wounds. When compared with L1 fibroblasts,
L5 fibroblasts had lower Thy-1 immunoreactivity and significantly higher
expression of TGF-beta receptor type II. Decreased antifibrotic molecules in
matrix of deep dermis of the skin and the unique features of the associated
fibroblasts including an increased sensitivity to TGF-beta1 stimulation
contribute to the development of HTS after injuries involving the deep dermis.
PMID- 22079917
TI - Split skin graft application over an integrating, biodegradable temporizing
polymer matrix: immediate and delayed.
AB - The objective of this study is to further investigate the NovoSorbTM
biodegradable polyurethane in generating dermal scaffolds; to perform a pilot
study comparing the previously used spun mat against a recently developed
NovoSorbTM foam, ascertaining the optimum structure of the matrix; and to
evaluate the successful matrix as an immediate adjunct to split skin grafting and
as a temporizing matrix in a prospective six-pig study. A pilot study comparing a
previously investigated form of the polymer (spun mat) against a new structural
form, a foam, was performed. This was followed by a six-pig study of the foam
matrix with three treatment arms-autologous split skin graft alone, polymer foam
with immediate engraftment, and polymer foam with delayed engraftment. The foams
allowed less wound contraction than the spun mats. The foam structure is less
dense (cheaper to produce and having less degradation products). The material
remained in situ despite clinical wound infection. Proof of concept was achieved
in both treatment modalities in the main study. Split skin graft applied
immediately over the polymer foam was able to engraft successfully. The result
was "thicker" to pinch and "flush" with the skin surrounding the wound. There was
no significant difference in the degree of wound contraction between the graft
alone and the polymer plus immediate graft groups. Split skin graft also "took"
when applied to the surface of a polymer that had been applied to a wound 11 days
earlier, again with a thicker result, flush with the surrounding skin. Split skin
grafts alone left a persisting depression. However, a significant degree of wound
contraction (compared with the other two groups) was observed in the polymer plus
delayed graft group. This has prompted further investigation into "sealing" the
polymer foam with a membrane, to prevent evaporative water loss, when the foam is
to be used as a biodegradable temporizing matrix. The studies indicate that the
NovoSorbTM platform will allow the creation of two inexpensive dermal matrix
products; an immediate scaffold to allow a thicker grafting result and a
biodegradable temporizing matrix (BTM) for wound integration after burn
debridement while donor sites become reharvestable. However, further modification
on the BTM structure is necessary to further reduce wound contraction
pregrafting.
PMID- 22079918
TI - Examination of local and systemic in vivo responses to electrical injury using an
electrical burn delivery system.
AB - Electrical injuries are devastating and are difficult to manage due to the
complexity of the tissue damage and physiological impacts. A paucity of
literature exists which describes models for electrical injury. To date, those
models have been used primarily to demonstrate thermal and morphological effects
at the points of contact. Creating a more representative model for human injury
and further elucidating the physics and pathophysiology of this unique form of
tissue injury could be helpful in designing stage-appropriate therapy and
improving limb salvage. An electrical burn delivery system was developed to
accurately and reliably deliver electrical current at varying exposure times. A
series of Sprague-Dawley rats were anesthetized and subjected to injury with 1000
V of direct current at incremental exposure times (2-20 seconds). Whole blood and
plasma were obtained immediately before shock, immediately postinjury, and then
hourly for 3 hours. Laser Doppler images of tissue adjacent to the entrance and
exit wounds were obtained at the outlined time points to provide information on
tissue perfusion. The electrical exposure was nonlethal in all animals. The size
and the depth of contact injury increased in proportion to the exposure times and
were reproducible. Skin adjacent to injury (both entrance and exit sites)
exhibited marked edema within 30 minutes. In adjacent skin of upper extremity
wounds, mean perfusion units increased immediately postinjury and then gradually
decreased in proportion to the severity of the injuries. In the lower extremity,
this phenomenon was only observed for short contact times, while longer contact
times had marked malperfusion throughout. In the plasma, interleukin-10 and
vascular endothelial growth factor levels were found to be augmented by injury.
Systemic transcriptome analysis revealed promising information about signal
networks involved in dermatological, connective tissue, and neurological
pathophysiological processes. A reliable and reproducible in vivo model has been
developed for characterizing the pathophysiology of high-tension electrical
injury. Changes in perfusion were observed near and between entrance and exit
wounds that appear consistent with injury severity. Further studies are underway
to correlate differential mRNA expression with injury severity.
PMID- 22079919
TI - Efficacy and safety of procedural sedation and analgesia for burn wound care.
AB - The study aim was to quantify efficacy and patient safety of registered nurse
administered procedural sedation and analgesia in a regional burn center. The
investigators conducted a review of procedural sedation forms for all pediatric
and adult patients admitted to this burn center from January 1, 2005, through
December 31, 2005, for demographic and clinical data including patient age,
gender, body weight, TBSA, dates of burn injury and wound care procedures, length
of procedure, pre- and postprocedural pain assessment, procedural
sedation/analgesia medications and doses, adverse drug events, and related
interventions. During the 12-month study period, a total of 328 burn patients
received 1293 procedural sedation procedures; child subjects (<= 18 years)
received 356 procedures and adult subjects (19-87 years) received 937 procedures.
The mean (SD) length of the procedure was 60.1 (22.49) minutes with a range of 10
to 170 minutes. The mean subject age was 34.2 years (range: 6 weeks to 87 years),
67% were male, and the mean TBSA was 17% (0.5-68%). Ninety-four percent received
fentanyl for analgesia and 85% received midazolam for anxiolysis and amnesia. The
mean preprocedural pain score was 3.2 and the mean postprocedural pain score was
2 (t = 14.795; df = 1243; P < .001). Ten adverse events, all respiratory related,
were documented in eight patients, two of whom experienced a second adverse event
for an overall adverse event rate of 0.77%. No patient required intubation.
Procedural sedation administered by a registered nurse competent in
administration and monitoring in a burn center provided safe and effective pain
management during wound care.
PMID- 22079920
TI - Effectiveness of environmental-based educative program for disaster preparedness
and burn management.
AB - Preparedness is a necessity for proper handling of emergencies and disaster,
particularly in Suez Canal and Sinai regions. To assure best success rates,
educative programs should be environmentally based. Burn and fire preventive
educative programs were tailored to adapt social and education levels of
audience. In addition, common etiologies and applicability of preventive
measures, according to local resources and logistics, were considered.
Presentations were the main educative tool; they were made as simple as possible
to assure best understanding. To assure continuous education, brochures and
stickers, containing most popular mistakes and questions, were distributed after
the sessions. Audience was classified according to their level of knowledge to
health professional group; students groups; high-risk group; and lay people
group. For course efficacy evaluation, pre- and posttests were used immediately
before and after the sessions. Right answers in both tests were compared for
statistical significance. Results showed significant acquisition of proper
attitude and knowledge in all educated groups. The highest was among students and
the least was in health professionals. Comprehensive simple environmental-based
educative programs are ideal for rapid reform and community mobilization in our
region. Activities should include direct contact, stickers and flyers, and
audiovisual tools if possible.
PMID- 22079921
TI - The potato chip really does look like Elvis! Neural hallmarks of conceptual
processing associated with finding novel shapes subjectively meaningful.
AB - Clouds and inkblots often compellingly resemble something else--faces, animals,
or other identifiable objects. Here, we investigated illusions of meaning
produced by novel visual shapes. Individuals found some shapes meaningful and
others meaningless, with considerable variability among individuals in these
subjective categorizations. Repetition for shapes endorsed as meaningful produced
conceptual priming in a priming test along with concurrent activity reductions in
cortical regions associated with conceptual processing of real objects.
Subjectively meaningless shapes elicited robust activity in the same brain areas,
but activity was not influenced by repetition. Thus, all shapes were conceptually
evaluated, but stable conceptual representations supported neural priming for
meaningful shapes only. During a recognition memory test, performance was
associated with increased frontoparietal activity, regardless of meaningfulness.
In contrast, neural conceptual priming effects for meaningful shapes occurred
during both priming and recognition testing. These different patterns of brain
activation as a function of stimulus repetition, type of memory test, and
subjective meaningfulness underscore the distinctive neural bases of conceptual
fluency versus episodic memory retrieval. Finding meaning in ambiguous stimuli
appears to depend on conceptual evaluation and cortical processing events similar
to those typically observed for known objects. To the brain, the vaguely Elvis
like potato chip truly can provide a substitute for the King himself.
PMID- 22079922
TI - Learning shapes spatiotemporal brain patterns for flexible categorical decisions.
AB - Learning is thought to facilitate our ability to perform complex perceptual tasks
and optimize brain circuits involved in decision making. However, little is known
about the experience-dependent mechanisms in the human brain that support our
ability to make fine categorical judgments. Previous work has focused on
identifying spatial brain patterns (i.e., areas) that change with learning. Here,
we take advantage of the complementary high spatial and temporal resolution of
simultaneous electroencephalography-functional magnetic resonance imaging (EEG
fMRI) to identify the spatiotemporal dynamics between cortical networks involved
in flexible category learning. Observers were trained to use different decision
criteria (i.e., category boundaries) when making fine categorical judgments on
morphed stimuli (i.e., radial vs. concentric patterns). Our findings demonstrate
that learning acts on a feedback-based circuit that supports fine categorical
judgments. Experience-dependent changes in the behavioral decision criterion were
associated with changes in later perceptual processes engaging higher
occipitotemporal and frontoparietal circuits. In contrast, category learning did
not modulate early processes in a medial frontotemporal network that are thought
to support the coarse interpretation of visual scenes. These findings provide
evidence that learning flexible criteria for fine categorical judgments acts on
distinct spatiotemporal brain circuits and shapes the readout of sensory signals
that provide evidence for categorical decisions.
PMID- 22079923
TI - Repeatedly pairing vagus nerve stimulation with a movement reorganizes primary
motor cortex.
AB - Although sensory and motor systems support different functions, both systems
exhibit experience-dependent cortical plasticity under similar conditions. If
mechanisms regulating cortical plasticity are common to sensory and motor
cortices, then methods generating plasticity in sensory cortex should be
effective in motor cortex. Repeatedly pairing a tone with a brief period of vagus
nerve stimulation (VNS) increases the proportion of primary auditory cortex
responding to the paired tone (Engineer ND, Riley JR, Seale JD, Vrana WA, Shetake
J, Sudanagunta SP, Borland MS, Kilgard MP. 2011. Reversing pathological neural
activity using targeted plasticity. Nature. 470:101-104). In this study, we
predicted that repeatedly pairing VNS with a specific movement would result in an
increased representation of that movement in primary motor cortex. To test this
hypothesis, we paired VNS with movements of the distal or proximal forelimb in 2
groups of rats. After 5 days of VNS movement pairing, intracranial
microstimulation was used to quantify the organization of primary motor cortex.
Larger cortical areas were associated with movements paired with VNS. Rats
receiving identical motor training without VNS pairing did not exhibit motor
cortex map plasticity. These results suggest that pairing VNS with specific
events may act as a general method for increasing cortical representations of
those events. VNS movement pairing could provide a new approach for treating
disorders associated with abnormal movement representations.
PMID- 22079924
TI - Conscious access is linked to ongoing brain state: electrophysiological evidence
from the attentional blink.
AB - Neuroscience explanations of conscious access focus on neural events elicited by
stimuli. In contrast, here, we used the attentional blink paradigm in combination
with event-related brain potentials to examine whether the ongoing state of the
brain before a stimulus can determine both conscious access and the poststimulus
neural events associated with consciousness. Participants were required to detect
2 target letters from digit distractors while their brain activity was being
recorded. Trials were classified based on whether the secondcritical target (T2)
was detected. We found that T2-detection was predetermined by brain activity
prior to the onset of the stimulation stream. Specifically, T2-detected trials
were predicated by a frontocentral positive going deflection that started more
than 200 ms before the stream began. Accurate T2 detection was also accompanied
by enhanced poststimulus neural activity, as reflected by a larger P3b component.
Furthermore, prestimulus and poststimulus markers of T2-detection were highly
correlated with one another. We therefore argue that conscious experiences are
shaped by potentially random fluctuations in neural activity. Overall, the
results reveal that conscious access is underpinned by an important relationship
involving predictive prestimulus neural activity and responsive poststimulus
brain activity.
PMID- 22079925
TI - Theta phase modulates multiple layer-specific oscillations in the CA1 region.
AB - It was recently proposed that fast gamma oscillations (60-150 Hz) convey spatial
information from the medial entorhinal cortex (EC) to the CA1 region of the
hippocampus. However, here we describe 2 functionally distinct oscillations
within this frequency range, both coupled to the theta rhythm during active
exploration and rapid eye movement sleep: an oscillation with peak activity at
~80 Hz and a faster oscillation centered at ~140 Hz. The 2 oscillations are
differentially modulated by the phase of theta depending on the CA1 layer; theta
80 Hz coupling is strongest at stratum lacunosum-moleculare, while theta-140 Hz
coupling is strongest at stratum oriens-alveus. This laminar profile suggests
that the ~80 Hz oscillation originates from EC inputs to deeper CA1 layers, while
the ~140 Hz oscillation reflects CA1 activity in superficial layers. We further
show that the ~140 Hz oscillation differs from sharp wave-associated ripple
oscillations in several key characteristics. Our results demonstrate the
existence of novel theta-associated high-frequency oscillations and suggest a
redefinition of fast gamma oscillations.
PMID- 22079926
TI - Abnormal changes of synaptic excitability in migraine with aura.
AB - Migraine patients are characterized by altered cortical excitability and
information processing between attacks. The relationship between these
abnormalities is still poorly understood. In this study, visual evoked potentials
(VEP) and proton magnetic resonance spectroscopy were recorded simultaneously in
migraineurs and healthy subjects. In order to investigate the homeostatic-like
plasticity in the visual cortex, cortical excitability was modified using
transcranial direct current stimulation (tDCS). Before any stimulation,
migraineurs showed significantly higher glutamate/creatine ratios (Glx/Cr) than
healthy subjects. In healthy subjects, excitatory (anodal) tDCS caused an
increase and inhibitory (cathodal) tDCS a decrease in the Glx/Cr ratio.
Subsequent photic stimulation (PS) reversed the changes in Glx/Cr ratios, which
returned back to baseline, demonstrating homeostatic-like metaplasticity in the
control group. In migraine patients, both anodal and cathodal tDCS decreased the
Glx/Cr ratio, which did not return to baseline after PS. While healthy subjects
showed an increase in VEP amplitude under anodal and a reduction under cathodal
tDCS, the modifiability of VEP under tDCS was reduced in migraineurs. The results
demonstrate a reduced responsiveness of the occipital cortex to interventions
that change cortical excitability in migraine. Moreover, altered glutamatergic
neurotransmission seems to mediate the relation between abnormal cortical
information processing and excitability in migraineurs.
PMID- 22079927
TI - Corticosteroid induced decoupling of the amygdala in men.
AB - The amygdala is a key regulator of vigilance and heightens attention toward
threat. Its activity is boosted upon threat exposure and contributes to a
neuroendocrine stress response via the hypothalamic-pituitary-adrenal (HPA) axis.
Corticosteroids are known to control brain activity as well as HPA activity by
providing negative feedback to the brain. However, it is unknown how
corticosteroids affect the neural circuitry connected to the amygdala.
Implementing a randomized, double-blind, placebo-controlled design, we here
investigated the effects of 10-mg hydrocortisone on amygdala-centered functional
connectivity patterns in men using resting state functional magnetic resonance
imaging. Results showed generally decreased functional connectivity of the
amygdala by corticosteroids. Hydrocortisone reduced "positive" functional
coupling of the amygdala to brain regions involved in the initiation and
maintenance of the stress response; the locus coeruleus, hypothalamus, and
hippocampus. Furthermore, hydrocortisone reduced "negative" functional coupling
of the amygdala to the middle frontal and temporal gyrus; brain regions known to
be involved in executive control. A control analysis did not show significant
corticosteroid modulation of visual cortex coupling, indicating that the amygdala
decoupling was not reflecting a general reduction of network connectivity. These
results suggest that corticosteroids may reduce amygdala's impact on brain
processing in the aftermath of stress in men.
PMID- 22079928
TI - Early distal axonopathy of the visual pathway in experimental diabetes.
AB - Diabetic retinopathy is a leading cause of acquired blindness. Visual function
disorders have been observed in diabetic patients with very early retinopathy or
even before the onset of retinopathy. The aim of the present work was to analyze
the visual pathway in an early stage of experimental diabetes. Diabetes was
induced in Wistar rats by an i.p. injection of streptozotocin. A deficit in
anterograde transport from the retina to the superior colliculus was observed 6
weeks after streptozotocin injection. At this time point, morphologic studies did
not reveal retinal ganglion cell loss or substantial alterations in the superior
colliculus. The optic nerve was morphometrically evaluated at intraorbital
(unmyelinated and myelinated) and intracranial sections. In animals that had been
diabetic for 6 weeks, a large increase in astrocyte reactivity occurred in the
distal (but not the intraorbital) portion, which coincided with significant axon
loss. Moreover, profound myelin alterations and altered morphologic features of
oligodendrocyte lineage were observed at the distal (but not the proximal) optic
nerve portion. The present results suggest that axoglial alterations at the
distal portion of the optic nerve could be the first structural change in the
diabetic visual pathway.
PMID- 22079930
TI - Untapping mental health capital.
PMID- 22079929
TI - Pivotal role of apoptosis signal-regulating kinase 1 in monoclonal free light
chain-mediated apoptosis.
AB - Renal failure, a major complication associated with multiple myeloma, is usually
related to deposition of monoclonal immunoglobulin free light chains (FLCs) and
directly contributes to morbidity and mortality in this disease. The present
study focused on the cytotoxic effects of monoclonal FLCs. Human proximal tubular
epithelial cells (HK-2) were examined after incubation with two human monoclonal
FLCs (termed kappa2 and lambda3). Incubation of HK-2 cells for 24 and 48 hours
with either FLCs at 1 mg/mL promoted activation of caspase-9 and caspase-3 and
increased the rate of apoptosis. Because prior studies demonstrated that FLCs
generated intracellular oxidative stress, our studies focused on the redox
sensitive mitogen-activated protein kinase kinase kinase known as apoptosis
signal-regulating kinase 1 (ASK1). A time-dependent increase in phosphorylation
of ASK1 at T845, indicating activation of this enzyme, was observed. Small
interfering RNA designed to reduce ASK1 expression in HK-2 cells successfully
decreased ASK1, which was confirmed by Western blot analysis. Incubation of ASK1
depleted HK-2 cells with the two FLCs prevented the increase in apoptosis while
pretreating HK-2 cell with nontargeting small interfering RNA did not prevent
FLCs-mediated apoptosis. The combined data demonstrate that monoclonal FLCs
activated the intrinsic apoptotic pathway in renal epithelial cells by activation
of ASK1.
PMID- 22079931
TI - Parenting for mental health: what does the evidence say we need to do? Report of
Workpackage 2 of the DataPrev project.
AB - The last decade has witnessed increasing interest in the promotion of mental
health and well-being because of its importance for health and social functioning
at individual level and for the social and economic well-being of societies.
Recent research from a range of disciplines (including neurodevelopment,
developmental psychology and genetics) has highlighted the importance of
childhood, and particularly the first few years of life, for future mental,
social and emotional development. The quality of the parent-child relationship
and parenting more generally is one of the factors in determining outcomes. The
objective of this review was to identify effective interventions to support
parents, parenting and the parent-child relationship from the ante-natal period
to adolescence. A systematic search of key electronic databases was undertaken to
identify systematic reviews evaluating approaches to parenting support; 52
systematic reviews were identified. Results were synthesized qualitatively and
reported under the following headings: (i) perinatal programmes; (ii) parenting
support programmes in infancy and early years focused on enhancing caregiver
sensitivity and attunement; (iii) formal parenting programmes focused on
children's behaviour; (iv) parenting support for highest risk groups. The review
provides a robust international evidence base of programmes which have been
demonstrated to improve parenting and the mental health and well-being of
children. Policies and programmes to support parenting offer much scope for
improving mental health. Effective provision requires a skilled workforce and
careful application of approaches that have been found to work. More research is
needed to develop and identify interventions for some of the highest risk groups.
PMID- 22079933
TI - Supporting decision-making processes for evidence-based mental health promotion.
AB - The use of evidence is critical in guiding decision-making, but evidence from
effect studies will be only one of a number of factors that will need to be taken
into account in the decision-making processes. Equally important for policymakers
will be the use of different types of evidence including implementation
essentials and other decision-making principles such as social justice,
political, ethical, equity issues, reflecting public attitudes and the level of
resources available, rather than be based on health outcomes alone. This paper,
aimed to support decision-makers, highlights the importance of commissioning high
quality evaluations, the key aspects to assess levels of evidence, the importance
of supporting evidence-based implementation and what to look out for before,
during and after implementation of mental health promotion and mental disorder
prevention programmes.
PMID- 22079934
TI - Mental health and global well-being.
AB - A range of evidence-based, cost-effective interventions can be implemented in
parenting, at schools, at the workplace and in older age to promote mental health
and well-being. Such programmes need to be supplemented with actions to build
mental health capital and promote resilience to manage and cope with the global
risks that face humankind over the coming years. Actions need to connect mental
and physical health and individuals need to be connected through health-promoting
social networks; living environments need to be designed to support mental health
and well-being; well-being indicators that include material living conditions,
quality of life and sustainability can help drive healthy public policy. There is
an urgent need to invest in skills training in decision-making, social
interactions, building trust and cooperative behaviour that support the family of
humanity as a whole as it faces the unprecedented stressors resulting from
climate change.
PMID- 22079932
TI - Investing in mental health and well-being: findings from the DataPrev project.
AB - A systematic review was conducted to determine the extent to which an economic
case has been made in high-income countries for investment in interventions to
promote mental health and well-being. We focused on areas of interest to the
DataPrev project: early years and parenting interventions, actions set in schools
and workplaces and measures targeted at older people. Economic evaluations had to
have some focus on promotion of mental health and well-being and/or primary
prevention of poor mental health through health-related means. Studies preventing
exacerbations in existing mental health problems were excluded, with the
exception of support for parents with mental health problems, which might
indirectly affect the mental health of their children. Overall 47 studies were
identified. There was considerable variability in their quality, with a variety
of outcome measures and different perspectives: societal, public purse, employer
or health system used, making policy comparisons difficult. Caution must
therefore be exercised in interpreting results, but the case for investment in
parenting and health visitor-related programmes appears most strong, especially
when impacts beyond the health sector are taken into account. In the workplace an
economic return on investment in a number of comprehensive workplace health
promotion programmes and stress management projects (largely in the USA) was
reported, while group-based exercise and psychosocial interventions are of
potential benefit to older people. Many gaps remain; a key first step would be to
make more use of the existence evidence base on effectiveness and model mid- to
long-term costs and benefits of action in different contexts and settings.
PMID- 22079935
TI - Mental health promotion and problem prevention in schools: what does the evidence
say?
AB - The European Union Dataprev project reviewed work on mental health in four areas,
parenting, schools, the workplace and older people. The schools workpackage
carried out a systematic review of reviews of work on mental health in schools
from which it identified evidence-based interventions and programmes and
extracted the general principles from evidence-based work. A systematic search of
the literature uncovered 52 systematic reviews and meta-analyses of mental health
in schools. The interventions identified by the reviews had a wide range of
beneficial effects on children, families and communities and on a range of mental
health, social, emotional and educational outcomes. The effect sizes associated
with most interventions were generally small to moderate in statistical terms,
but large in terms of real-world impacts. The effects associated with
interventions were variable and their effectiveness could not always be relied
on. The characteristics of more effective interventions included: teaching
skills, focusing on positive mental health; balancing universal and targeted
approaches; starting early with the youngest children and continuing with older
ones; operating for a lengthy period of time and embedding work within a multi
modal/whole-school approach which included such features as changes to the
curriculum including teaching skills and linking with academic learning,
improving school ethos, teacher education, liaison with parents, parenting
education, community involvement and coordinated work with outside agencies.
Interventions were only effective if they were completely and accurately
implemented: this applied particularly to whole-school interventions which could
be ineffective if not implemented with clarity, intensity and fidelity. The
implications for policy and practice around mental health in schools are
discussed, including the suggestion of some rebalancing of priorities and
emphases.
PMID- 22079936
TI - Reducing the silent burden of impaired mental health.
AB - Mental and behavioural disorders account for about one-third of the world's
disability due to all ill health amongst adults, with unipolar depressive
disorders set to be the world's number one cause of ill health and premature
death in 2030, affecting high- and low-income countries alike. There is a range
of evidence-based cost-effective interventions that can be implemented in
parenting, at schools, at the workplace and in older age that can promote health
and well-being, reduce mental disorders, lead to improved productivity and
increase resilience to cope with many of the stressors that are facing the world.
These facts need to be better communicated to policy makers to ensure that the
silent burden of impaired mental health is adequately heard and reduced.
PMID- 22079937
TI - Psychosocial interventions in workplace mental health promotion: an overview.
AB - A review based on the DataPrev final report concerning workplace mental health
promotion is presented. Out of 4865 studies identified in a comprehensive
bibliographical data search, 315 were selected for abstract screening and 79 were
included in the final review. The studies were categorized in terms of their
aims/expected outcomes and evaluated for quality on the grounds of their design
and type of analysis. The most frequent aims were stress reduction and better
coping, followed by increased job satisfaction and effectiveness, mental health
enhancement and reduction in mental health-related absenteeism. In the 79
intervention studies, 99 outcome variables were measured using 163 instruments,
mostly developed for the study purposes. Different intervention categories turned
out to be used to attain the same aim, with skills training being the most
popular (other approaches included improvement of occupational qualifications and
working conditions, physical exercise, relaxation and multicomponent
interventions). Among the few intervention programs that were implemented and
evaluated in two or more studies, the Stress Inoculation Training (Cecil and
Forman, in Effects of stress inoculation training and coworker support groups on
teachers' stress. Journal of School Psychology, 28, 105, 1990) based on the model
by Meichenbaum (Meichenbaum, in Stress Inoculation Training. Pergamon Press, New
York, 1985) seemed to be the most promising. Its effectiveness, evidenced in a
majority of the measures, was evaluated in studies using the randomized
controlled design. This paper is illustrated by high-quality intervention
studies. In high and moderate quality studies, positive effects were reported in
about a half of the examined outcome variables. However, conclusive evidence of
intervention programs effectiveness would require further research-repetition of
studies using treatments equivalent to the experimental ones, and outcome
evaluation taking into account other criteria, e.g. behavioural.
PMID- 22079938
TI - Psychosocial interventions for the promotion of mental health and the prevention
of depression among older adults.
AB - The aim of this review was to assess the effectiveness of psychosocial
interventions for the promotion of mental health and prevention of depression
among older people. A systematic review of prospective controlled trials was
conducted including 69 studies. The studies were divided into physical exercise,
skill training, reminiscence, social activities, group support and multicomponent
interventions. Data from 44 trials contributed to a meta-analysis of
effectiveness. Overall, psychosocial interventions had a positive effect on
quality of life and positive mental health. The pooled interventions also had a
statistically significant effect on reduction in depressive symptoms. Social
activities significantly improved positive mental health, life satisfaction and
quality of life and reduced depressive symptoms. Based on the results of this
study, duration of interventions is of importance, since interventions lasting
for >3 months exhibited more positive effects compared with shorter
interventions. Meaningful social activities, tailored to the older individual's
abilities and preferences should be considered in aiming to improve mental health
among older people.
PMID- 22079939
TI - Long-term recovery of beta-cell function after partial pancreatectomy in humans.
AB - Glucose homeostasis is significantly altered immediately after partial
pancreatectomy. The present study examined the long-term consequences of a
hemipancreatectomy in 10 patients with chronic pancreatitis and 10 patients with
benign pancreatic and extrapancreatic tumors. A 240-minute oral glucose challenge
was performed before and shortly after pancreatic surgery, as well as after a
follow-up of 3.1 +/- 0.5 years. Plasma concentrations of glucose, insulin, and C
peptide were determined; and indices of insulin sensitivity and insulin secretion
were calculated. In both groups of patients, fasting and postchallenge glucose
concentrations were significantly altered immediately after surgery, but returned
to preoperative levels at the time of follow-up (P < .0001). Postchallenge
insulin and C-peptide concentrations were reduced immediately after surgery (P <
.0001), but were partly normalized at the time of follow-up (P < .0001). These
changes were not accompanied by improvements in insulin sensitivity (Matsuda
index). However, the oral disposition index revealed a significant recovery of
beta-cell function at the time of follow-up (P < .05). These findings demonstrate
a capacity for recovery of glucose control after partial pancreatectomy and
suggest that beta-cell function can improve significantly over time even in adult
humans.
PMID- 22079940
TI - Applying narrow-band imaging in complement with white-light imaging cystoscopy in
the detection of urothelial carcinoma of the bladder.
AB - OBJECTIVES: To investigate the value of narrow-band imaging (NBI) flexible
cystoscopy in the detection of urothelial carcinoma (UC) of the bladder.
MATERIALS AND METHODS: Clinical data of 179 patients with suspected UC, who
presented with gross hematuria, were collected at China PLA General Hospital from
January 2009 to August 2010. These patients underwent white-light imaging (WLI)
cystoscopy followed by NBI. The tumors were visualized, imaged, and recorded.
Suspected UCs were biopsied or treated by transurethral resection, and then sent
for pathologic examination. Detection results for NBI and WLI were compared.
RESULTS: WLI and NBI confirmed UC in 143 patients; a total of 285 tumors were
detected. The patient-level detection rates for NBI and WLI were 97.9% (140/143)
and 88.8% (127/143), respectively (P = 0.002). The patient-level false-positive
detection rates for NBI and WLI were 21.8% (39/179) and 29.1% (52/179),
respectively (P = 0.12). NBI detected a total of 59 additional tumors (17.2%;
34pTa, 17pT1, 3pT2, and 5pTis) in 44 of 143 patients (30.8%). NBI found 1
additional tumor in 34 cases, 2 additional tumors in 6 cases, 3 additional tumors
in 3 cases, and 4 additional tumors in 1 case. The mean +/- SD (range) number of
identified UCs per patient was 1.97 +/- 0.67 (1-5) for NBI and 1.78 +/- 0.53 (1
4) for WLI (P = 0.01). The tumor-level detection rates for NBI and WLI were 96.8%
and 79.3%, respectively (P < 0.001). CONCLUSIONS: Compared with WLI, NBI improves
UC detection. It has a higher rate of detection and a comparative rate of false
positive detection. NBI is simple and requires no dyeing. It can be conveniently
applied to complement WLI.
PMID- 22079942
TI - Regulation of task differentiation in wasp societies: a bottom-up model of the
"common stomach".
AB - Metapolybia wasps live in small societies (around one hundred adults) and rear
their young in nests they construct on flat surfaces from plant materials. For
processing nest paper, they must gather plant materials and process it into pulp
with water. The water is collected by water foragers and is transferred to pulp
foragers indirectly via a "common stomach." The common stomach, or social crop,
is formed by generalist wasps called laborers. These wasps can engage in water
exchange, store water in their crops, and may become specialist foragers or
builders. We provide an alternative model for regulating task partitioning in
construction behavior by using an agent based modeling framework parameterized by
our field observations. Our model predicts that assessing colony needs via
individual interactions with the common stomach leads to a robust regulation of
task partitioning in construction behavior. By using perturbation experiments in
our simulations, we show that this emergent task allocation is able to
dynamically adapt to perturbations of the environment and to changes in colony
level demands or population structure. The robustness of our model stems from the
fact that the common stomach is both a strong buffer and a source of several
feedback mechanisms that affect the individual wasps. We show that both the
efficiency and the task fidelity of these colonies are dependent upon colony
size. We also demonstrate that the emergence of specialist wasps (individuals
with high task fidelity) does not require any special initial conditions or
reinforcement at the individual level, but it is rather a consequence of colony
level workflow stability. Our model closely mimics the behavior of Metapolybia
wasps, demonstrating that a regulation mechanism based on simple pair-wise
interactions through a common stomach is a plausible hypothesis for the
organization of collective behavior.
PMID- 22079941
TI - Maternal gametic transmission of translocations or inversions of human chromosome
11p15.5 results in regional DNA hypermethylation and downregulation of CDKN1C
expression.
AB - Beckwith-Wiedemann syndrome (BWS) is an overgrowth syndrome associated with
genetic or epigenetic alterations in one of two imprinted domains on chromosome
11p15.5. Rarely, chromosomal translocations or inversions of chromosome 11p15.5
are associated with BWS but the molecular pathophysiology in such cases is not
understood. In our series of 3 translocation and 2 inversion patients with BWS,
the chromosome 11p15.5 breakpoints map within the centromeric imprinted domain,
2. We hypothesized that either microdeletions/microduplications adjacent to the
breakpoints could disrupt genomic sequences important for imprinted gene
regulation. An alternate hypothesis was that epigenetic alterations of as yet
unknown regulatory DNA sequences, result in the BWS phenotype. A high resolution
Nimblegen custom microarray was designed representing all non-repetitive
sequences in the telomeric 33 Mb of the short arm of human chromosome 11. For the
BWS-associated chromosome 11p15.5 translocations and inversions, we found no
evidence of microdeletions/microduplications. DNA methylation was also tested on
this microarray using the HpaII tiny fragment enrichment by ligation-mediated PCR
(HELP) assay. This high-resolution DNA methylation microarray analysis revealed a
gain of DNA methylation in the translocation/inversion patients affecting the p
ter segment of chromosome 11p15, including both imprinted domains. BWS patients
that inherited a maternal translocation or inversion also demonstrated reduced
expression of the growth suppressing imprinted gene, CDKN1C in Domain 2. In
summary, our data demonstrate that translocations and inversions involving
imprinted domain 2 on chromosome 11p15.5, alter regional DNA methylation patterns
and imprinted gene expression in cis, suggesting that these epigenetic
alterations are generated by an alteration in "chromatin context".
PMID- 22079943
TI - Campus quarantine (Fengxiao) for curbing emergent infectious diseases: lessons
from mitigating A/H1N1 in Xi'an, China.
AB - During the 2009 A/H1N1 influenza pandemic, very strict interventions including
campus quarantine (Fengxiao) (restrictions on the movements of university
personnel) were taken in mainland China to slow down the initial spread of the
disease from the university network to a wider community. The decision for
implementation and/or relaxation of Fengxiao depends on the assessment of the
level of infection within the university network compared with that in the wider
community and on the degree of interruption of normal academic activities and the
associated social/economic costs. However, the most important consideration
influencing the decision is whether the initiation and termination of Fengxiao
can alter the pattern of disease spread in the entire community for effective
prevention and control of the emerging disease. Here we formulate and analyze a
dynamic model to evaluate the effectiveness of Fengxiao as a social distance
measure for curbing the outbreak in major cities of China. Using data from the
initial laboratory-confirmed cases admitted to the 8th Hospital of Xi'an (the
capital city of the Shaanxi Province), we estimated the reproduction number for
the period under consideration in the range 1.273-1.784 and concluded that the
population's mobility, combined with the suspension of the Fengxiao strategy, was
a key factor contributing to a subsequent epidemic wave. Fengxiao in China is a
reversal of the usual strategy of school closures adopted in many other
countries, but the lessons learnt from it may be useful for disease management in
other countries where restrictions on the movements across a facility boundary
and close monitoring of the infection within the facility are feasible in the
long term.
PMID- 22079945
TI - ERP investigation of study-test background mismatch during face recognition in
schizophrenia.
AB - Old/new effects on event-related potentials (ERP) were explored in 20 patients
with schizophrenia and 20 paired comparison subjects during unfamiliar face
recognition. Extrinsic perceptual changes - which influence the overall
familiarity of an item while retaining face-intrinsic features for use in
structural face encoding - were manipulated between the study phase and the test.
The question raised here concerns whether these perceptual incongruities would
have a different effect on the sense of familiarity and the corresponding
behavioral and ERP measures in the two groups. The results showed that
schizophrenia patients were more inclined to consider old faces shown against a
new background as distractors. This drop in face familiarity was accompanied by
the disappearance of ERP old/new effects in this condition, i.e., FN400 and
parietal old/new effects. Indeed, while ERP old/new recognition effects were
found in both groups when the picture of the face was physically identical to the
one presented for study, the ERP correlates of recognition disappeared among
patients when the background behind the face was different. This difficulty in
disregarding a background change suggests that recognition among patients with
schizophrenia is based on a global perceptual matching strategy rather than on
the extraction of configural information from the face. The correlations observed
between FN400 amplitude, the rejection of faces with a different background, and
the reality-distortion scores support the idea that the recognition deficit found
in schizophrenia results from early anomalies that are carried over onto the
parietal ERP old/new effect. Face-extrinsic perceptual variations provide an
opportune situation for gaining insight into the social difficulties that
patients encounter throughout their lives.
PMID- 22079944
TI - Control of working memory content in schizophrenia.
AB - People with schizophrenia (PSZ) exhibit signs of reduced working memory (WM)
capacity. However, this may reflect an impairment in managing its content, e.g.
preventing irrelevant information from taking up available storage space, rather
than a true capacity reduction. We tested the ability to eliminate and update WM
content in 38 PSZ and 30 healthy control subjects (HCS). Images of real-world
objects were presented consecutively, and a tone cued the item most likely to be
tested for memory. On half the trials, randomly intermixed, a second tone
occurred. Participants were informed that the item cued by the second tone was
now the most likely to be tested, and the item cued by the first tone now the
least likely, providing incentive to eliminate the first cued item from WM. Both
HCS and PSZ displayed a robust performance advantage for cued items.
Unexpectedly, PSZ more efficiently removed the no-longer-essential item from WM
than HCS. The magnitude of the WM clearance of this first cued item correlated
with memory performance for the newly prioritized second cued item in PSZ,
indicating that it was adaptive. However, WM clearance was not associated with WM
capacity, ruling out the need to budget limited resources as an explanation for
greater clearance in PSZ. A robust correlation between WM clearance and poverty
of speech in PSZ instead suggests that the propensity to rapidly clear non
essential information and minimize the number of items in WM may be the
reflection of a negative symptom trait. This finding may reflect a more general
tendency of PSZ to focus processing more narrowly than HCS.
PMID- 22079946
TI - Neuronal deficit in medial pulvinar from right but not left hemisphere in
schizophrenia.
PMID- 22079947
TI - Body temperature regulation and outcome after cardiac arrest and therapeutic
hypothermia.
AB - OBJECTIVE: Therapeutic temperature modulation is recommended after cardiac arrest
(CA). However, body temperature (BT) regulation has not been extensively studied
in this setting. We investigated BT variation in CA patients treated with
therapeutic hypothermia (TH) and analyzed its impact on outcome. METHODS: A
prospective cohort of comatose CA patients treated with TH (32-34 degrees C, 24h)
at the medical/surgical intensive care unit of the Lausanne University Hospital
was studied. Spontaneous BT was recorded on hospital admission. The following
variables were measured during and after TH: time to target temperature (TTT=time
from hospital admission to induced BT target <34 degrees C), cooling rate
(spontaneous BT-induced BT target/TTT) and time of passive rewarming to
normothermia. Associations of spontaneous and induced BT with in-hospital
mortality were examined. RESULTS: A total of 177 patients (median age 61 years;
median time to ROSC 25 min) were studied. Non-survivors (N=90, 51%) had lower
spontaneous admission BT than survivors (median 34.5 [interquartile range 33.7
35.9] degrees C vs. 35.1 [34.4-35.8] degrees C, p=0.04). Accordingly, time to
target temperature was shorter among non-survivors (200 [25-363]min vs. 270 [158
375]min, p=0.03); however, when adjusting for admission BT, cooling rates were
comparable between the two outcome groups (0.4 [0.2-0.5] degrees C/h vs. 0.3 [0.2
0.4] degrees C/h, p=0.65). Longer duration of passive rewarming (600 [464-744]min
vs. 479 [360-600]min, p<0.001) was associated with mortality. CONCLUSIONS: Lower
spontaneous admission BT and longer time of passive rewarming were associated
with in-hospital mortality after CA and TH. Impaired thermoregulation may be an
important physiologic determinant of post-resuscitation disease and CA prognosis.
When assessing the benefit of early cooling on outcome, future trials should
adjust for patient admission temperature and use the cooling rate rather than the
time to target temperature.
PMID- 22079948
TI - Total epinephrine dose during asystole and pulseless electrical activity cardiac
arrests is associated with unfavourable functional outcome and increased in
hospital mortality.
AB - AIM: Epinephrine is the drug of choice during advanced cardiac life support. The
cumulative dose of epinephrine applied during resuscitation was shown to be
independently associated with unfavourable outcome after ventricular fibrillation
cardiac arrest in humans. Our objective was to investigate the association
between the cumulative dose of epinephrine applied during resuscitation and
unfavourable functional outcome and in-hospital mortality, in patients with
asystole and pulseless electric activity. METHODS: Data on 946 patients admitted
to the emergency department after resuscitation of witnessed in-hospital and out
of hospital cardiac arrest with asystole or pulseless electric activity were
retrieved from the cardiac arrest registry of the emergency department at the
Vienna General Hospital/Medical University of Vienna. Data were documented
according to Utstein Style. The risk factor was cumulative epinephrine
categorized into quartiles. The endpoints were unfavourable functional outcome
and in-hospital mortality. RESULTS: The median cumulative amount of epinephrine
administered was 2mg (IQR 0-5), ranging from 1 to 50mg. Of all patients 643/946
(68%) had an unfavourable functional outcome, 649/946 (69%) died during hospital
stay. The multivariable analysis showed a statistically significant increasing
risk for unfavourable functional outcome and in-hospital mortality outcome with
increasing cumulative doses of epinephrine (unfavourable functional outcome: OR 1
1.45-2.25-2.95 over quartiles of epinephrine; in hospital mortality: OR 1-1.35
2.15-2.82 over quartiles of epinephrine). CONCLUSION: Our results show that an
increasing cumulative dose of epinephrine during resuscitation of patients with
asystole and pulseless electric activity is an independent risk factor for
unfavourable functional outcome and in-hospital mortality.
PMID- 22079949
TI - A new liposome-based gene delivery system targeting lung epithelial cells using
endothelin antagonist.
AB - We formulated a new gene delivery system based on targeted liposomes. The
efficacy of the delivery system was demonstrated in in vitro and in vivo models.
The targeting moiety consists of a high-affinity 7-amino-acid peptide, covalently
and evenly conjugated to the liposome surface. The targeting peptide acts as an
endothelin antagonist, and accelerates liposome binding and internalization. It
is devoid of other biological activity. Liposomes with high phosphatidyl serine
(PS) were specially formulated to help their fusion with the endosomal membrane
at low pH and enable release of the liposome payload into the cytoplasm. A DNA
payload, pre-compressed by protamine, was encapsulated into the liposomes, which
directed the plasmid into the cell's nucleus. Upon exposure to epithelial cells,
binding of the liposomes occurred within 5-10 min, followed by facilitated
internalization of the complex. Endosomal escape was complete within 30 min,
followed by DNA accumulation in the nucleus 2h post-transfection. A549 lung
epithelial cells transfected with plasmid encoding for GFP encapsulated in
targeted liposomes expressed significantly more protein than those transfected
with plasmid complexed with Lipofectamine. The intra-tracheal instillation of
plasmid encoding for GFP encapsulated in targeted liposomes into rat lungs
resulted in the expression of GFP in bronchioles and alveoli within 5 days. These
results suggest that this delivery system has great potential in targeting genes
to lungs.
PMID- 22079950
TI - Expression of Wnt9, TCTP, and Bmp1/Tll in sea cucumber visceral regeneration.
AB - We employ non-radioactive in situ hybridization techniques, which combine good
tissue morphology preservation with high sensitivity of transcript detection, to
map gene expression in the regenerating digestive tube of the sea cucumber
Holothuriaglaberrima. We investigated localization of transcripts of Wnt9, TCTP,
and Bmp1/Tll, the genes that have been previously known to be implicated in
embryogenesis and cancer. The choice was determined by our long-term goal of
trying to understand how the developmental regulatory pathways known to be
involved in tumor development can be activated in post-traumatic regeneration
without leading to malignant growth. The gene expression data combined with the
available morphological information highlight the gut mesothelium (the outer
layer of the digestive tube) as a highly dynamic tissue, whose cells undergo
remarkable changes in their phenotype and gene expression in response to injury.
This reversible transition of the gut mesothelium from a complex specialized
tissue to a simple epithelium composed of rapidly proliferating multipotent cells
seems to depend on the expression of genes from multiple developmental/cancer
related pathways.
PMID- 22079951
TI - Use of propofol in pediatric intensive care units: a national survey in Germany.
AB - OBJECTIVE: Propofol is not licensed for sedation in pediatric intensive care
medicine mainly due to the risk of propofol infusion syndrome. Nevertheless, it
is applied by many pediatric intensive care units. The aim of this national
survey was to asses the current use of propofol in pediatric intensive care units
in Germany. DESIGN: We performed a nationwide survey. The questionnaire assessed
the intensive care unit type, patient numbers, dosing, duration, age and time
limits, indications, side effects, and institutional protocols for propofol
usage. SETTING: Pediatric intensive care units in Germany. SUBJECTS:
Questionnaire about routine use of propofol sent to 214 pediatric departments.
INTERVENTIONS: None. MEASUREMENTS AND MAIN RESULTS: One hundred ninety-four
questionnaires (90.7%) were returned, ten had to be censored. The final analysis
comprised 184 questionnaires (134 pediatric/neonatal intensive care units, 28
pediatric intensive care units, 22 neonatal intensive care units). Seventy-nine
percent of intensive care units (n = 145 of 184) used propofol in children under
the age of 16 yrs. Of these, 98% were for bolus application (n = 142 of 145), 78%
for infusion >=3 hrs (n = 113 of 145), and 33% for infusion >3 hrs (n = 48 of
145). A lower age limit was applied by 52% (n = 75 of 145) and a dose limit by
51% (n = 74 of 145). The median dose limit was 4 mg/kg/hr; 48% (n = 70 of 145)
used 3 mg/kg/hr or less. A time limit was applied by 98% (n = 46 of 47), 70% (n =
33 of 47) used it for <=24 hrs, and 30% (n = 15 of 47) for >24 hrs. MAIN
INDICATIONS FOR PROPOFOL APPLICATION WERE: difficult sedation (44%),
postoperative ventilation (43%), and difficult extubation (30%). Seven cases of
propofol infusion syndrome were reported by seven centers. CONCLUSIONS: This
study shows that propofol is used off-license by many pediatric intensive care
units in Ge. The majority of users has adopted tightly controlled regimens for
propofol sedation, and limits the dose to <=3-4 mg/kg/hr and the maximum
application time to 24-48 hrs.
PMID- 22079952
TI - The role of fecal calprotectin and lactoferrin in the diagnosis of necrotizing
enterocolitis.
AB - BACKGROUND: Early detection of necrotizing enterocolitis can improve the
prognosis, however, there is not a reliable laboratory test to detect either
newborns at risk for necrotizing enterocolitis development or those at early
stages of the disease. Since fecal lactoferrin and fecal calprotectin are
inflammatory markers of gastrointestinal diseases, it was hypothesized that both
these biomarkers could be successfully used in the diagnosis of necrotizing
enterocolitis. METHODS: In a prospective study, fecal lactoferrin and fecal
calprotectin concentrations of 14 newborns with necrotizing enterocolitis and
consecutively admitted 40 healthy preterm, and 23 healthy full-term newborns were
measured with enzyme-linked immunosorbent assay technique. RESULTS: Mean fecal
lactoferrin and fecal calprotectin were not different between preterm and full
term newborns (p = .235 and p = .845, respectively), or those who were diagnosed
with necrotizing enterocolitis or not (p = .545 and p = .968, respectively).
Prevalence of necrotizing enterocolitis was 1.51% (14 of 2734). Stage of the
disease did not have a statistical effect on mean levels (p = .694 and p = .267,
respectively). Mean fecal lactoferrin and fecal calprotectin levels were not
different in the case of breastfeeding (p = .623 and p = .792, respectively).
CONCLUSION: Neither fecal lactoferrin nor fecal calprotectin has a role in the
identification of necrotizing enterocolitis, especially in early stages of the
disease. Further studies on wider necrotizing enterocolitis series are needed for
a more definite conclusion.
PMID- 22079953
TI - Evaluation and comparison of parental needs, stressors, and coping strategies in
a pediatric intensive care unit.
AB - OBJECTIVE: To evaluate and compare the needs, stressors, and coping strategies of
mothers and fathers in a pediatric intensive care unit, and to advance the
development of the COMPASS questionnaire for examining parent experiences.
DESIGN: Prospective cohort study using a modified version of a pilot
questionnaire, incorporating a series of 58 questions based on a visual analog
scale in three categories of needs, stressors, and coping strategies. SETTING:
Tertiary pediatric intensive care unit, Southampton University Hospitals,
Southampton, UK. SUBJECTS: A total of 182 parents (91 mothers and 91 fathers) of
children admitted to the pediatric intensive care unit. INTERVENTIONS: Collection
and analysis of needs, stressors, and coping strategies scores. MEASUREMENTS AND
MAIN RESULTS: Both parents identified the need for honest, open, timely, and
understandable information, with access to their child as paramount. Parents
found feelings of uncertainty and helplessness to be particularly stressful. The
main coping strategies employed by parents were related to trust, assurance, and
believing in positive outcomes. The particular needs and stressors of mothers and
fathers were found to be similar. There were, however, some statistically
significant differences in stressors: mothers had higher stress scores regarding
how their child looked, not being able to care for them, witnessing procedures,
and on leaving their child as compared with fathers. There were no statistically
significant differences in coping mechanisms between the sexes. CONCLUSIONS:
Acute parental experiences can be documented using the COMPASS questionnaire.
This study highlights the principal needs, stressors, and coping strategies of
parents of children in the pediatric intensive care unit. The experiences of
mothers and fathers are similar, but we identify some differences in stressors
between the sexes.
PMID- 22079955
TI - Comparative effects of bronchoalveolar lavage with saline, surfactant, or
perfluorocarbon in experimental meconium aspiration syndrome.
AB - OBJECTIVE: Today, in meconium aspiration syndrome, treatment focuses on
bronchoalveolar lavage, because it removes meconium and proinflammatory factors
from airways. This technique might be more effective if different solutions were
used such as saline solution, a protein-free surfactant, or a perfluorocarbon,
because these would be less inhibited by meconium proteins. SETTING: Pulmonary
physiology research unit, Cruces Hospital. DESIGN: Prospective, randomized study.
SUBJECTS: We studied 24 lambs (<6 days) on mechanical ventilation for 180 mins.
Catheters were placed and femoral and pulmonary arteries pressures registered
(systemic and pulmonary arterial pressures). INTERVENTIONS: Lambs were instilled
with 20% meconium (3-5 mL/Kg) and were randomly assigned to one of the following
groups (n = 6): control: only continuous mechanical ventilation; saline
bronchoalveolar lavage: bronchoalveolar lavage with 30 mL/kg of saline solution;
dilute surfactant bronchoalveolar lavage: bronchoalveolar lavage with 32 mL/kg of
diluted surfactant (lucinactant, 10 mg/mL); or perfluorocarbon bronchoalveolar
lavage: bronchoalveolar lavage with 30 mL/kg of perfluorocarbon. MEASUREMENTS AND
MAIN RESULTS: Blood gases, cardiovascular parameters, and pulmonary mechanics
were assessed. Meconium instillation produced severe hypoxia, hypercapnia,
acidosis, and pulmonary hypertension with impairment of pulmonary mechanics (p <
.05). Lung lavage with dilute surfactant resulted in the resolution of pulmonary
hypertension as well as better gas exchange and pulmonary mechanics than the
control group (p < .05). Bronchoalveolar lavage with perfluorocarbon produced a
transient improvement in gas exchange and ventilatory indices in comparison with
control and saline bronchoalveolar lavage groups. CONCLUSIONS: In lambs with
meconium aspiration syndrome, bronchoalveolar lavage with diluted lucinactant is
an effective therapy producing significant improvements in gas exchange,
pulmonary hypertension, and pulmonary mechanics. In addition, bronchoalveolar
lavage with perfluorocarbon appears to confer some advantages over lavage with
equal volumes of saline or no lavage.
PMID- 22079956
TI - Personnel and unit factors impacting outcome after cardiac arrest in a dedicated
pediatric cardiac intensive care unit.
AB - OBJECTIVE: To assess the impact of personnel and unit factors on outcome from
cardiac arrest in a dedicated pediatric cardiac intensive care unit. DESIGN:
Retrospective medical record review. SETTING: Dedicated cardiac intensive care
unit at a quaternary academic children's hospital. PATIENTS: Children and young
adults who had cardiac arrest while cared for in the pediatric cardiac intensive
care unit from January 1, 2006, to December 31, 2008. INTERVENTIONS: None.
MEASUREMENTS AND MAIN RESULTS: One hundred two index cardiac arrests over a 3-yr
period in our pediatric cardiac intensive care unit were reviewed. We defined
successful resuscitation as either return of spontaneous circulation or
successful cannulation to extracorporeal membrane oxygenation. Differences in
resuscitation rates were assessed across categorical systems variables using
logistic regression. The rate of successful resuscitation was 84% (return of
spontaneous circulation 74%, extracorporeal membrane oxygenation 10%). Survival
to hospital discharge was 48% for patients who had a cardiac arrest. 11% of
arrests during the week and 31% during weekends (odds ratio 3.8; 95% confidence
interval 1.2-11.5) were not successfully resuscitated. Unsuccessful resuscitation
was significantly more likely when the primary nurse had <1 yr of experience in
the pediatric cardiac intensive care unit (50% <1 yr vs. 13% >1 yr; odds ratio
6.8; confidence interval 1.5-31.0). Cardiac arrest on a weekend day and <1-yr
pediatric cardiac intensive care unit nursing experience were also associated
with unsuccessful resuscitation in a multivariable model. Resuscitation outcomes
were similar when senior intensive care unit attending physicians were on-call at
the time of arrest compared with other intensive care unit staff (17%
unsuccessful vs. 15%; odds ratio 1.2; confidence interval 0.4-3.7). Arrests where
the attending physician was present at the onset resulted in unsuccessful
resuscitation 18% of the time vs. 14% for events where the attending was not
present (odds ratio 1.3; confidence interval 0.5-3.9). CONCLUSIONS: Our data
suggest that personnel and unit factors may impact outcome after cardiac arrest
in a pediatric cardiac intensive care unit. Weekend arrests and less experience
of the primary nurse were risk factors for unsuccessful resuscitation. Neither
presence at arrest onset nor experience of the attending cardiac intensivist was
associated with outcome.
PMID- 22079957
TI - Neurally triggered breaths have reduced response time, work of breathing, and
asynchrony compared with pneumatically triggered breaths in a recovering animal
model of lung injury.
AB - OBJECTIVE: Our objective was to compare response time, pressure time product as a
reflection of work of breathing, and incidence and type of asynchrony in neurally
vs. pneumatically triggered breaths in a spontaneously breathing animal model
with resolving lung injury. DESIGN: Prospective animal study. SETTING:
Experimental laboratory. SUBJECTS: Male Yorkshire pigs. INTERVENTIONS: Intubated,
sedated pigs were ventilated using neurally adjusted ventilatory assist and
pressure support ventilation with healthy and sick/recruited lungs. After injury,
the lung was recruited using a computer-driven protocol. Respiratory mechanics
were determined using a forced oscillation technique, and airway flow and
pressure waveforms were acquired using a pneumotachograph. MEASUREMENTS AND MAIN
RESULTS: Waveforms were analyzed for trigger delay, pressure time product, and
asynchrony. Trigger delay was defined as the time interval (ms) from initiation
of a breath to the beginning of ventilator pressurization. Pressure time product
was measured as the area of the pressure curve for animal effort (area A) and
ventilator response (area B). Asynchrony was classified according to triggering
problems, adequacy of flow delivery, and adequate breath termination. Mean values
were compared using the Wilcoxon signed-ranks test (p < .05). Trigger delay (ms)
was less in neurally triggered breaths (pressure support ventilation healthy 104
+/- 27 vs. neurally adjusted ventilatory assist healthy 72 +/- 30, pressure
support ventilation sick/recruited 77 +/- 18 vs. neurally adjusted ventilatory
assist sick/recruited 38 +/- 18, p < .01). Pressure time product areas A and B
were decreased for neurally triggered breaths compared with pressure support
ventilation in both healthy and recruited animals (p <= .02). Overall, the
percentage of asynchrony was less for neurally adjusted ventilatory assist
breaths in the recruited animals (pressure support ventilation 27% and neurally
adjusted ventilatory assist 6%). CONCLUSIONS: Neurally triggered breaths have
reduced asynchrony, trigger delay, and pressure time product, which may indicate
reduced work of breathing associated with less effort to trigger the ventilator
and faster response to effort. Further study is required to demonstrate if these
differences will lead to decreased days of ventilation and less use of sedation
in patients.
PMID- 22079954
TI - The randomized comparative pediatric critical illness stress-induced immune
suppression (CRISIS) prevention trial.
AB - OBJECTIVES: Nosocomial infection/sepsis occurs in up to 40% of children requiring
long-term intensive care. Zinc, selenium, glutamine, metoclopramide (a prolactin
secretalogue), and/or whey protein supplementation have been effective in
reducing infection and sepsis in other populations. We evaluated whether daily
nutriceutical supplementation with zinc, selenium, glutamine, and metoclopramide,
compared to whey protein, would reduce the occurrence of nosocomial
infection/sepsis in this at-risk population. DESIGN: Randomized, double-blinded,
comparative effectiveness trial. SETTING: Eight pediatric intensive care units in
the National Institutes of Child Health and Human Development Collaborative
Pediatric Critical Care Research Network. PATIENTS: Two hundred ninety-three long
term intensive care patients (age 1-17 yrs) expected to require >72 hrs of
invasive care. INTERVENTIONS: Patients were stratified according to
immunocompromised status and center and then were randomly assigned to receive
daily enteral zinc, selenium, glutamine, and intravenous metoclopramide (n =
149), or daily enteral whey protein (n = 144) and intravenous saline for up to 28
days of intensive care unit stay. The primary end point was time to development
of nosocomial sepsis/infection. The analysis was intention to treat. MEASUREMENTS
AND MAIN RESULTS: There were no differences by assigned treatment in the overall
population with respect to time until the first episode of nosocomial
infection/sepsis (median whey protein 13.2 days vs. zinc, selenium, glutamine,
and intravenous metoclopramide 12.1 days; p = .29 by log-rank test) or the rate
of nosocomial infection/sepsis (4.83/100 days whey protein vs. 4.99/100 days
zinc, selenium, glutamine, and intravenous metoclopramide; p = .81). Only 9% of
the 293 subjects were immunocompromised and there was a reduction in rate of
nosocomial infection/sepsis with zinc, selenium, glutamine, and intravenous
metoclopramide in this immunocompromised group (6.09/100 days whey protein vs.
1.57/100 days zinc, selenium, glutamine, and intravenous metoclopramide; p =
.011). CONCLUSION: Compared with whey protein supplementation, zinc, selenium,
glutamine, and intravenous metoclopramide conferred no advantage in the immune
competent population. Further evaluation of zinc, selenium, glutamine, and
intravenous metoclopramide supplementation is warranted in the immunocompromised
long-term pediatric intensive care unit patient.
PMID- 22079959
TI - A feasibility study on gamma-ray tomography by Monte Carlo simulation for
development of portable tomographic system.
AB - The electron beam X-ray tomographic scanner has been used in industrial and
medical field since it was developed two decades ago. However, X-ray electron
beam tomography has remained as indoor equipment because of its bulky hardware of
X-ray generation devices. By replacing X-ray devices of electron beam CT with a
gamma-ray source, a tomographic system can be a portable device. This paper
introduces analysis and simulation results on industrial gamma-ray tomographic
system with scanning geometry similar to electron beam CT. The gamma-ray
tomographic system is introduced through the geometrical layout and analysis on
non-uniformly distributed problem. The proposed system adopts clamp-on type
device to actualize portable industrial system. MCNPx is used to generate virtual
experimental data. Pulse height spectra from F8 tally of MCNPx are obtained for
single channel counting data of photo-peak and gross counting. Photo-peak and
gross counting data are reconstructed for the cross-sectional image of simulation
phantoms by ART, Total Variation algorithm and ML-EM. Image reconstruction
results from Monte Carlo simulation show that the proposed tomographic system can
provide the image solution for industrial objects. Those results provide the
preliminary data for the tomographic scanner, which will be developed in future
work.
PMID- 22079958
TI - Structural insight into the role of the human melanocortin 3 receptor cysteine
residues on receptor function.
AB - Melanocortin-3 receptor (MC3R), expressed in the hypothalamus and limbic systems
of the brain, as well as by peripheral sites, plays an important role in the
regulation of energy homeostasis and other physiological functions. Past work
shows that MC3R-deficiency resulted in fat mass increase, feeding efficiency
increase, hyperleptinemia and mild hyperinsulinemia in mice and human. MC3R
belongs to G-protein coupled receptor (GPCR) family and many studies indicate
that some cysteine residues in GPCR play key roles in maintaining receptor
tertiary structure and function. In this study, we examined the role of cysteine
residues in MC3R on receptor function. Human MC3R (hMC3R) has eighteen cysteine
residues where they are located in the extracellular loops (ELs), the
transmembrane domains (TMs) and the intracellular loops (ILs). We replaced these
cysteines with serine and expressed these receptors in HEK-293 cells which lack
endogenous MC3R. Our results indicate that five cysteines in eighteen of the
hMC3R are important for hMC3R function. Mutations, C305S, C311S, and C313S in
EL3, resulted in significant decrease in receptor expression and receptor
function while two other mutations C115S and C162S in TM3 significantly decreased
NDP-MSH binding affinity and potency. These results suggest that extracellular
cysteine residue 305, 311 and 313 are crucial for receptor expression and the
transmembrane cysteine residue, C115 and 162 are important for ligand binding and
signaling. These findings provide important insights into the importance of
cysteine residues of hMC3R on receptor tertiary structure and function.
PMID- 22079960
TI - Determination of 241Pu in nuclear waste slurries: a comparative study using LSC
and ICP-MS.
AB - (241)Pu was determined in slurry samples from a nuclear reactor decommissioning
project at the Paul Scherrer Institute (Switzerland). To validate the results,
the (241)Pu activities of five samples were determined by LSC (TriCarb and
Quantulus) and ICP-MS, with each instrument at a different laboratory. In lack of
certified reference materials for (241)Pu, the methods were further validated
using the (241)Pu information values of two reference sediments (IAEA-300 and
IAEA-384). Excellent agreement with the results was found between LSC and ICP-MS
in the nuclear waste slurries and the reference sediments.
PMID- 22079961
TI - Subthreshold diode laser micropulse photocoagulation versus intravitreal
injections of bevacizumab in the treatment of central serous chorioretinopathy.
AB - PURPOSE: To evaluate the treatment of central serous chorioretinopathy (CSC) with
either subthreshold diode laser MicroPulse (SDM) or intravitreal bevacizumab
(BCZ). METHODS: This comparative, controlled, prospective study conducted over a
period of 10 months examined 52 eyes of 52 patients with (a) treatment with SDM
at the active leakage site guided by fluorescein angiography (FA) (n=16 eyes),
(b) intravitreal injection of 1.25 mg BCZ (n=10 eyes), or (c) observation (n=26
eyes). Outcome measures included changes in retinal pigment epithelium (RPE)
leakage at FA, central macular thickness (CMT), best-corrected visual acuity
(BCVA), and 10 degrees macular perimetry. RESULTS: At the end of the study,
there was 12.5% persistent leakage in the SDM, compared with 60% in the BCZ and
92% in the control group. Mean CMT decreased by 94 MUm in the SDM, 38 MUm in the
BCZ, and did not change in the control group. Mean BCVA improved more than 6
early treatment of diabetic retinopathy study letters in the SDM, decreased by
one letter in the BCZ, and by two letters in the control group. In the SDM group,
mean perimetric deficit improved by 1.5 decibels and corrected lost variance by
2.6. In the BCZ, it improved by 0.6, and in the control group by 0.5. Retreatment
was required in 7/16 eyes of the SDM group (43.75%), and in 5/10 eyes of the BCZ
group (50%). CONCLUSION: SDM photocoagulation was superior to intravitreal
injections of 1.25 mg BCZ in the treatment of CSC, which resulted in enhanced
visual acuity and macular perimetry.
PMID- 22079962
TI - Eyelid anaesthesia using tetracaine gel in the treatment of paediatric superglue
tarsorrhaphy.
PMID- 22079963
TI - Why we get a blue stain.
PMID- 22079964
TI - Retinal nerve fiber layer and macular inner retina measurements by spectral
domain optical coherence tomograph in Indian eyes with early glaucoma.
AB - PURPOSE: To compare the diagnostic abilities of peripapillary retinal nerve fiber
layer (RNFL) and macular inner retina (MIR) measurements by spectral domain
optical coherence tomography (SD-OCT) in Indian eyes early glaucoma. METHODS: In
an observational, cross-sectional study, 125 eyes of 64 normal subjects and 91
eyes of 59 early glaucoma patients underwent RNFL and MIR imaging with SD-OCT.
Glaucomatous eyes had characteristic optic nerve and RNFL abnormalities and
correlating visual field defects and a mean deviation of better than or equal to
6 dB on standard automated perimetry. Areas under the receiver operating
characteristic curves (AUC), sensitivities at a fixed specificity and likelihood
ratios (LRs) were estimated for all RNFL and MIR parameters. RESULTS: The AUCs
for the RNFL parameters ranged from 0.537 for the temporal quadrant thickness to
0.821 for the inferior quadrant RNFL thickness. AUCs for the MIR parameters
ranged from 0.603 for the superior minus inferior MIR thickness average to 0.908
for ganglion cell complex focal loss volume (GCC-FLV). AUC for the best MIR
parameter (GCC-FLV) was significantly better (P<0.001) than that of the best RNFL
parameter (inferior quadrant thickness). The sensitivities of these parameters at
high specificity of 95%, however, were comparable (52.7% vs58.2%). Evaluation of
the LRs showed that outside normal limits results of most of the RNFL and MIR
parameters were associated with large effects on the post-test probability of
disease. CONCLUSION: MIR parameters with RTVue SD-OCT were as good as the RNFL
parameters to detect early glaucoma.
PMID- 22079965
TI - Carbon monoxide poisoning masquerading as giant cell arteritis.
PMID- 22079966
TI - Increased intraocular pressure on the first post-operative day following
sutureless extracapsular cataract surgery in Africa.
PMID- 22079968
TI - The influence of soft contact lenses on the intraocular pressure measurement.
AB - PURPOSE: To evaluate the influence of silicone hydrogel contact lenses on the
intraocular pressure (IOP) measurement using Goldmann applanation tonometry
(GAT), non-contact tonometry (NCT), and Pascal dynamic contour tonometry (DCT).
METHODS: We included in the study 40 eyes of 40 patients who did not have any
ocular or systemic diseases or contraindications to contact lens use. We measured
and recorded the IOP values of each patient using NCT without and with contact
lenses (groups 1 and 2, respectively), using DCT without and with contact lenses
(groups 3 and 4, respectively), and using GAT without contact lenses (group 5).
RESULTS: The mean IOP value of group 1 was 14.55 +/- 2.95 mm Hg and 13.92 +/-
2.58 mm Hg in group 2. We detected no statistically significant difference
between group 1 and group 2 (P=0.053). The mean IOP values for group 3 and group
4 were 16.26 +/- 2.33 mm Hg and 15.19 +/- 2.40 mm Hg, respectively. We detected a
statistically significant difference between groups 3 and 4 (P=0.005). Group 5's
mean IOP value was 12.97 +/- 2.65 mm Hg. IOP values measured with DCT were
statistically significantly higher compared with IOP values measured with NCT and
GAT (P<0.0001 and P<0.0001, respectively). Additionally, IOP values measured with
NCT were statistically significantly higher compared with IOP values measured
with GAT (P<0.0001). CONCLUSION: According to the results of our study, silicone
hydrogel soft contact lens use does not significantly affect IOP values measured
with NCT, but it affects IOP values measured with DCT.
PMID- 22079969
TI - Corneal nerve alterations in acute Acanthamoeba and fungal keratitis: an in vivo
confocal microscopy study.
AB - PURPOSE: To study sub-basal corneal nerve alterations in patients with acute
Acanthamoeba keratitis (AK) and fungal keratitis (FK), using laser in vivo
confocal microscopy (IVCM). METHODS: A retrospective analysis of IVCM (Heidelberg
Retina Tomograph 3/Rostock Cornea Module) images of 10 AK corneas and 4 FK
corneas was performed, and the results compared with those of 10 normal and 12
acute herpetic keratitis (HK) corneas. Sub-basal corneal nerves were analyzed
with respect to total number of nerves, main nerve trunks, branching pattern and
total length of nerves per image, as well as tortuosity. For each variable,
results for three frames were averaged and analyzed using analysis of variance.
RESULTS: Total corneal nerve length was significantly (P < 0.0001) reduced in
patients with AK (193.4 +/- 124.5 MUm) and FK (268.6 +/- 257.4 MUm) when compared
with normal controls (3811.84 +/- 911.4 MUm). Total nerve counts in patients with
AK (3.9 +/- 1.2) and FK (3.6 +/- 3.2) were significantly (P < 0.0001) decreased
in comparison with normal controls (24.7 +/- 5.5). The number of main nerve
trunks and nerve branching was found to be significantly lower in AK and FK
corneas, when compared with controls. There was a statistically significant
decrease in the above parameters when compared with HK controls. CONCLUSIONS: The
sub-basal corneal nerve plexus is significantly diminished in eyes with AK and
FK, as demonstrated by IVCM. These results are more profound than previously
reported findings of a diminished nerve plexus in HK.
PMID- 22079970
TI - Retinal pigment epithelial tear resembling retinal tear.
PMID- 22079971
TI - Complications due to bovine pericardium used to cover acrylic implants after
enucleation and tubes of aqueous devices.
PMID- 22079972
TI - Differences in uveal melanomas between men and women from the British Isles.
AB - PURPOSE: The purpose of this study is to compare uveal melanomas (UMs) in men and
women. METHODS: The Liverpool Ocular Oncology Centre (LOOC) database was
reviewed. Patients treated for UM at the LOOC between 1993 and 2010 were
selected. Differences between sexes were identified using the chi (2)-test for
categorical variables and the Mann-Whitney test for continuous variables.
RESULTS: The 3380 patients comprised 1685 women and 1695 men. The tumours were
considered clinically to have arisen in choroid in 89.5%, ciliary body in 5.3%,
and iris in 5.2%. Tumours in women were less likely to originate in choroid (87.2
vs 91.7%; P<0.001) and showed more circumferential spread in ciliary body
(P<0.001) and iris (P=0.003). Tumours in men were more likely to extend to within
3 mm of optic disc or fovea (46.3 vs 39.0%, P<0.001), showing more extensive
optic-disc involvement (P<0.001). The median largest basal tumour diameter was
12.2 mm in men and 11.9 mm in women (P=0.001). The tumour thickness had a median
of 4.4 mm and 3.8 mm in men and women, respectively (P=0.015). The 180 ciliary
body tumours occurred in 112 women and 68 men. In these, the prevalence of
extraocular spread was higher in women (19.6 vs 8.8%; P=0.052). The 175 iris
melanomas were more common in women than men (103 vs 72, respectively).
CONCLUSIONS: In men, UMs tend to be larger and more posterior than in women.
PMID- 22079973
TI - Natural presence of NS3 protease R155K hepatitis C virus variants with decreased
sensitivity to protease inhibitors.
PMID- 22079974
TI - HBsAg titers in the different phases of hepatitis B infection in Syrian patients.
AB - BACKGROUND AND OBJECTIVES: Little is known about hepatitis B surface antigen
(HBsAg) level during the natural course of hepatitis B virus (HBV) infection. The
aims of this study were to determine the HBsAg titer in the different phases of
HBV infection and to evaluate for the presence of a correlation between HBsAg
titers and HBV DNA levels. STUDY DESIGN: 272 HBV patients were analyzed in a
cross-sectional study. The patients were classified into 4 categories: immune
tolerant phase (IT, n=9), immune clearance phase (IC, n=26), low-replicative
phase (LR, n=131), and HBeAg-negative hepatitis (ENH, n=106). RESULTS: Median
HBsAg titers were different between each phase of CHB (p<0.001): IT
(4.31log(10)IU/ml), IC (4.42log(10)IU/ml), LR (3.32log(10)IU/ml) and ENH
(3.71log(10)IU/ml). Correlation of HBsAg and HBV DNA was strong in IT patients
(r=0.74) and the whole group (r=0.83), moderate in the ENH phase (r=0.44) and
poor in the IC (r=0.14) and the LR phases (r=0.080). CONCLUSIONS: This large
study demonstrates that in HBV patients, HBsAg levels are significantly different
in the different stages of the disease. A correlation between serum HBV DNA and
HBsAg titers does not exist except in the IT and ENH phases. Three other studies
have addressed the same issue on different genotypes and we notice that there is
no concordance between the 4 studies. This leads to conclude that measurement of
HBsAg level, for the time being, will not replace the serum HBV DNA as a marker
of replication.
PMID- 22079975
TI - J7, a methyl jasmonate derivative, enhances TRAIL-mediated apoptosis through up
regulation of reactive oxygen species generation in human hepatoma HepG2 cells.
AB - The tumor necrosis factor (TNF)-related apoptosis-inducing ligand (TRAIL/APO2L),
a member of the TNF gene superfamily, induces apoptosis upon engagement of
cognate death receptors. While TRAIL is relatively non-toxic to normal cells, it
selectively induces apoptosis in many transformed cells. Nevertheless, some human
hepatoma cells are particularly resistant to the effects of TRAIL. In this study,
we show that J7, a novel methyl jasmonate analogue, sensitizes TRAIL-resistant
HepG2 human hepatocarcinoma cells to TRAIL-mediated apoptosis. Our results
indicate that J7 substantially enhances TRAIL-induced apoptosis, compared with
treatment with either agent alone. Combined treatment with J7 and TRAIL
effectively induced Bid cleavage, down-regulation of XIAP, cIAP-1 and Bcl-xL,
activation of caspases, and cleavage of poly(ADP-ribose) polymerase and
phopholipase gamma-1. In addition, generation of reactive oxygen species (ROS)
showed a significant increase in cells following exposure to J7 in a time
dependent manner. However, the cytotoxic effects induced by co-treatment with J7
and TRAIL were markedly attenuated by caspase inhibitors, indicating an important
role for caspases. Administration of N-acetyl cysteine, a scavenger of ROS, also
resulted in significant inhibition of apoptosis induced by combinatory treatment
with J7 and TRAIL. These results support a mechanism whereby J7 plus TRAIL
induces apoptosis of HepG2 human hepatoma cells through a signaling cascade
involving a ROS-mediated caspase pathway.
PMID- 22079976
TI - Myelin structure is unaltered in chemotherapy-induced peripheral neuropathy.
AB - PURPOSE: Alterations in mRNA for myelin proteins are reported in animal models of
chemotherapy-induced peripheral neuropathies (CIPN); however, ultrastructural
changes in aldehyde-fixed and plastic-embedded myelin are not evident by electron
microscopy. Therefore, we used X-ray diffraction (XRD) to investigate more subtle
changes in myelin sheath structure from unfixed nerves. EXPERIMENTAL DESIGN: We
used in vivo chronic animal models of CIPN in female Wistar rats, administering
cisplatin (CDDP 2mg/kg, i.p. twice/week), paclitaxel (PT 10mg/kg, i.v. once/week)
or bortezomib (0.20mg/kg, i.v. three times/week) over a total period of 4weeks.
Animal weights were monitored, and tail nerve conduction velocity (NCV) was
determined at the end of the treatments to assess the occurrence of peripheral
neuropathy. Sciatic nerves were collected and the myelin structure was analyzed
using electron microscopy (EM) and XRD. RESULTS: All the rats treated with the
chemotherapy agents developed peripheral neuropathy, as indicated by a decrease
in NCV values; however, light and electron microscopy indicated no severe
pathological alterations of the myelin morphology. XRD also did not demonstrate
significant differences between sciatic nerves in treated vs. control rats with
respect to myelin period, relative amount of myelin, membrane structure, and
regularity of membrane packing. CONCLUSIONS: These results indicate that
experimental peripheral neuropathy caused by CDDP, PT, and bortezomib-which are
among the most widely used chemotherapy agents-does not significantly affect the
structure of internodal myelin in peripheral nerve.
PMID- 22079977
TI - Interaction of quinoline antimalarial drugs with ferriprotoporphyrin IX, a solid
state spectroscopy study.
AB - To investigate the nature of binding of quinoline antimalarial drugs to heme and
to extract experimental evidence for this binding, the interaction of
ferriprotoporphyrin IX (FP) with chloroquine and quinacrine (both of which have a
similar side chain) and quinoline methanol antimalarials quinine and mefloquine
has been studied using IR and NIR-Raman spectroscopy in the solid state.
Attenuated total reflectance infrared spectroscopic data clearly show that heme
in chloroquine-FP complex is not MU-oxo dimeric indicating that the hypothesis
that chloroquine binds to FP MU-oxo dimer with a stoichiometry of 1 chloroquine:2
MU-oxo dimers is not valid in the solid state. Moreover, the first vibrational
spectroscopy evidence is presented for the formation of hydrogen bonding between
a propionate group of heme and the tertiary amino nitrogen of chloroquine and
quinacrine. Raman spectroscopy data does not provide any evidence to support the
formation of a similar salt bridge in the complexes of FP with quinine and
mefloquine; however, it suggests that the interaction of these drugs with FP
happens through coordination of the Fe(III) center of the porphyrin to the 9
hydroxy group of the drug.
PMID- 22079978
TI - Fe(III)-complexes of the tripodal trishydroxamate siderophore basidiochrome:
potential biological implications.
AB - One method of mobilization of iron by mycorrhizal organisms is through the
secretion of small organic chelators called siderophores. Hydroxamate donor
chelators are a common type of siderophore that is frequently used by fungal
organisms. The primary siderophore that is produced by fungi from the genera
Ceratobasidium and Rhizoctonia is the tripodal trishydroxamate siderophore
basidiochrome. To gain some insight into the iron uptake mechanisms of these
symbiotic fungi, the iron binding characteristics of basidiochrome were
determined. It was found that basidiochrome exhibits a log beta(110) of 27.8+/
0.1 and a pFe value of 25.0. These values are similar to those of another fungal
trishydroxamate siderophore, ferrichrome. The similarity in iron affinity between
the two siderophores suggests that the structure of the backbone has little
influence in complex formation due to the length of the pendant arms, although
the identity of the terminating groups of the pendant arms is likely related to
complex stability. The role of basidiochrome in the biogeochemical cycling of
iron is also discussed.
PMID- 22079979
TI - MLC tracking for Elekta VMAT: a modelling study.
AB - A model has been developed to simulate volumetric modulated arc therapy (VMAT)
delivery for Elekta control systems. The model was experimentally validated for
static-tumour VMAT delivery and has been applied to the investigation of motion
compensation with dynamic multileaf collimator (dMLC) delivery tracking for a
series of VMAT lung treatment plans at various control point spacings for five
patients. The relative increase in treatment time with dMLC tracking was
calculated for four 1D rigid-body motion trajectories, and the effect of the
control point spacing, the MLC leaf speed and an increased number of dose levels
on the dMLC tracking delivery time evaluated. It has been observed that a faster
leaf speed is advantageous for motion trajectories with shorter time periods and
larger amplitudes. The accuracy of dMLC tracking was found to increase with a
decreased control point spacing and is dependent on the amplitude and time period
of the motion trajectory of the target. dMLC tracking is shown to be a promising
emerging technology which can confer advantage over breath-hold motion
compensation techniques which more drastically reduce the efficiency of VMAT and
are more invasive for the patient.
PMID- 22079980
TI - Elongation factor-2, a Th1 stimulatory protein of Leishmania donovani, generates
strong IFN-gamma and IL-12 response in cured Leishmania-infected
patients/hamsters and protects hamsters against Leishmania challenge.
AB - In visceral leishmaniasis, Th1 types of immune responses correlate with recovery
from and resistance to disease, and resolution of infection results in lifelong
immunity against the disease. Leishmanial Ags that elicit proliferative and
cytokine responses in PBMCs from cured/exposed/Leishmania patients have been
characterized through proteomic approaches, and elongation factor-2 is identified
as one of the potent immunostimulatory proteins. In this study, we report the
cloning and expression of Leishmania donovani elongation factor-2 protein (LelF
2) and its immunogenicity in PBMCs of cured/exposed Leishmania-infected patients
and hamsters (Mesocricetus auratus). Leishmania-infected cured/exposed patients
and hamsters exhibited significantly higher proliferative responses to
recombinant Lelf-2 (rLelF-2) than those with L. donovani-infected hosts. The
soluble L. donovani Ag stimulated PBMCs of cured/exposed and Leishmania patients
to produce a mixed Thl/Th2-type cytokine profile, whereas rLelF-2 stimulated the
production of IFN-gamma, IL-12, and TNF-alpha but not IL-4 or IL-10. Further,
rLelF-2 downregulated LPS-induced IL-10 as well as soluble L. donovani Ag-induced
IL-4 production by Leishmania patient PBMCs. The immunogenicity of rLelF-2 was
also checked in hamsters in which rLelF-2 generates strong IL-12- and IFN-gamma
mediated Th1 immune response. This was further supported by a remarkable increase
in IgG2 Ab level. We further demonstrated that rLelF-2 was able to provide
considerable protection (~65%) to hamsters against L. donovani challenge. The
efficacy was supported by the increased inducible NO synthase mRNA transcript and
Th1-type cytokines IFN-gamma, IL-12, and TNF-alpha and downregulation of IL-4, IL
10, and TGF-beta. Hence, it is inferred that rLelF-2 elicits a Th1 type of immune
response exclusively and confers considerable protection against experimental
visceral leishmaniasis.
PMID- 22079981
TI - Dengue virus-induced autoantibodies bind to plasminogen and enhance its
activation.
AB - Dengue virus infection can lead to life-threatening dengue hemorrhagic fever
(DHF) or dengue shock syndrome (DSS) in patients. Abnormal activation of the
coagulation and fibrinolysis system is one of the hallmarks associated with
DHF/DSS patients. However, the mechanisms that cause pathology in DHF/DSS
patients are still unclear. Because conversion of plasminogen (Plg) to plasmin
(Plm) is the first step in the activation of fibrinolysis, Abs against Plg found
in DHF/DSS patients may be important. Therefore, to investigate the specificity,
function, and possible origin of these Abs, we generated several Plg cross
reactive mAbs from DENV-immunized mice. An IgG mAb, 6H11, which recognizes an
epitope associated with a dengue envelope protein, demonstrated a high level of
cross-reactivity with Plg. The 6H11 Ab was further characterized with regard to
its effect on Plg activation. Using Plm-specific chromogenic substrate S-2251, we
found that mAb 6H11 demonstrated serine protease activity and could convert Plg
directly to Plm. The serine protease activity of mAb 6H11 was further confirmed
using serine protease chromogenic substrate S-2288. In addition, we found several
Plg cross-reactive mAbs that could enhance urokinase-induced Plg activation.
Lastly, mAb 6H11 could induce Plm activity and increase the level of D-dimer (a
fibrin degradation product) in both human and mouse platelet-poor plasma. Taken
together, these data suggest DENV-induced Plg cross-reactive Abs may enhance Plg
conversion to Plm, which would be expected to contribute to hyperfibrinolysis in
DHF/DSS patients.
PMID- 22079982
TI - Activation of NLRC4 by flagellated bacteria triggers caspase-1-dependent and
independent responses to restrict Legionella pneumophila replication in
macrophages and in vivo.
AB - Although NLRC4/IPAF activation by flagellin has been extensively investigated,
the downstream signaling pathways and the mechanisms responsible for infection
clearance remain unclear. In this study, we used mice deficient for the
inflammasome components in addition to wild-type (WT) Legionella pneumophila or
bacteria deficient for flagellin (flaA) or motility (fliI) to assess the pathways
responsible for NLRC4-dependent growth restriction in vivo and ex vivo. By
comparing infections with WT L. pneumophila, fliI, and flaA, we found that
flagellin and motility are important for the colonization of the protozoan host
Acanthamoeba castellanii. However, in macrophages and mammalian lungs, flagellin
expression abrogated bacterial replication. The flagellin-mediated growth
restriction was dependent on NLRC4, and although it was recently demonstrated
that NLRC4 is able to recognize bacteria independent of flagellin, we found that
the NLRC4-dependent restriction of L. pneumophila multiplication was fully
dependent on flagellin. By examining infected caspase-1(-/-) mice and macrophages
with flaA, fliI, and WT L. pneumophila, we could detect greater replication of
flaA, which suggests that caspase-1 only partially accounted for flagellin
dependent growth restriction. Conversely, WT L. pneumophila multiplied better in
macrophages and mice deficient for NLRC4 compared with that in macrophages and
mice deficient for caspase-1, supporting the existence of a novel caspase-1
independent response downstream of NLRC4. This response operated early after
macrophage infection and accounted for the restriction of bacterial replication
within bacteria-containing vacuoles. Collectively, our data indicate that
flagellin is required for NLRC4-dependent responses to L. pneumophila and that
NLRC4 triggers caspase-1-dependent and -independent responses for bacterial
growth restriction in macrophages and in vivo.
PMID- 22079984
TI - Cannabinoid receptor type 1 protects nigrostriatal dopaminergic neurons against
MPTP neurotoxicity by inhibiting microglial activation.
AB - This study examined whether the cannabinoid receptor type 1 (CB(1)) receptor
contributes to the survival of nigrostriatal dopaminergic (DA) neurons in the 1
methyl-4-phenyl-1,2,3,6-tetrahydropyridine (MPTP) mouse model of Parkinson's
disease. MPTP induced significant loss of nigrostriatal DA neurons and microglial
activation in the substantia nigra (SN), visualized with tyrosine hydroxylase or
macrophage Ag complex-1 immunohistochemistry. Real-time PCR, ELISA, Western
blotting, and immunohistochemistry disclosed upregulation of proinflammatory
cytokines, activation of microglial NADPH oxidase, and subsequent reactive oxygen
species production and oxidative damage of DNA and proteins in MPTP-treated SN,
resulting in degeneration of DA neurons. Conversely, treatment with nonselective
cannabinoid receptor agonists (WIN55,212-2 and HU210) led to increased survival
of DA neurons in the SN, their fibers and dopamine levels in the striatum, and
improved motor function. This neuroprotection by cannabinoids was accompanied by
suppression of NADPH oxidase reactive oxygen species production and reduced
expression of proinflammatory cytokines from activated microglia. Interestingly,
cannabinoids protected DA neurons against 1-methyl-4-phenyl-pyridinium
neurotoxicity in cocultures of mesencephalic neurons and microglia, but not in
neuron-enriched mesencephalic cultures devoid of microglia. The observed
neuroprotection and inhibition of microglial activation were reversed upon
treatment with CB(1) receptor selective antagonists AM251 and/or SR14,716A,
confirming the involvement of the CB(1) receptor. The present in vivo and in
vitro findings clearly indicate that the CB(1) receptor possesses anti
inflammatory properties and inhibits microglia-mediated oxidative stress. Our
results collectively suggest that the cannabinoid system is beneficial for the
treatment of Parkinson's disease and other disorders associated with
neuroinflammation and microglia-derived oxidative damage.
PMID- 22079983
TI - Cutting edge: activation of virus-specific CD4 T cells throughout gamma
herpesvirus latency.
AB - CD4 T cells are essential for immune control of gamma-herpesvirus latency. We
previously identified a murine MHC class II-restricted epitope in gamma
herpesvirus-68 gp150 (gp150(67-83)I-A(b)) that elicits CD4 T cells that are
maintained throughout long-term infection. However, it is unknown whether naive
cells can be recruited into the antiviral CD4 T cell pool during latency. In this
study, we generate a mouse transgenic for a gp150-specific TCR and show epitope
specific activation of transgenic CD4 T cells during acute and latent infections.
Furthermore, although only dendritic cells can stimulate virus-specific CD8 T
cells during latency, we show that both dendritic cells and B cells stimulate
transgenic CD4 T cells. These studies demonstrate that naive CD4 T cells specific
for a viral glycoprotein can be stimulated throughout infection, even during
quiescent latency, suggesting that CD4 T cell memory is maintained in part by the
continual recruitment of naive cells.
PMID- 22079985
TI - Resident peritoneal NK cells.
AB - In this study, we describe a new population of NK cells that reside in the
normal, uninflamed peritoneal cavity. Phenotypically, they share some
similarities with the small population of CD49b(-), CD27(+) immature splenic NK
cells, as well as liver NK cells, but they differ in their expression of CD62L,
TRAIL, and EOMES. Functionally, the peritoneal NK cells resemble the immature
splenic NK cells in their production of IFN-gamma, GM-CSF, and TNF-alpha and in
the killing of YAC-1 target cells. We also found that the peritoneum induces
different behavior in mature and immature splenic NK cells. When transferred i.v.
into RAGgammac knockout mice, both populations undergo homeostatic proliferation
in the spleen, but only the immature splenic NK cells are able to reach the
peritoneum. When transferred directly into the peritoneum, the mature NK cells
survive but do not divide, whereas the immature NK cells proliferate profusely.
These data suggest that the peritoneum is not only home to a new subset of tissue
resident NK cells, but that it differentially regulates the migration and
homeostatic proliferation of immature versus mature NK cells.
PMID- 22079986
TI - Regulation of TCRbeta allelic exclusion by gene segment proximity and
accessibility.
AB - Ag receptor loci are regulated to promote allelic exclusion, but the mechanisms
are not well understood. Assembly of a functional TCR beta-chain gene triggers
feedback inhibition of V(beta)-to-DJ(beta) recombination in double-positive (DP)
thymocytes, which correlates with reduced V(beta) chromatin accessibility and a
locus conformational change that separates V(beta) from DJ(beta) gene segments.
We previously generated a Tcrb allele that maintained V(beta) accessibility but
was still subject to feedback inhibition in DP thymocytes. We have now further
analyzed the contributions of chromatin accessibility and locus conformation to
feedback inhibition using two novel TCR alleles. We show that reduced V(beta)
accessibility and increased distance between V(beta) and DJ(beta) gene segments
both enforce feedback inhibition in DP thymocytes.
PMID- 22079988
TI - Antigen targeting to plasmacytoid dendritic cells via Siglec-H inhibits Th cell
dependent autoimmunity.
AB - Plasmacytoid dendritic cells (PDCs) have been shown to present Ags and to
contribute to peripheral immune tolerance and to Ag-specific adaptive immunity.
However, modulation of adaptive immune responses by selective Ag targeting to
PDCs with the aim of preventing autoimmunity has not been investigated. In the
current study, we demonstrate that in vivo Ag delivery to murine PDCs via the
specifically expressed surface molecule sialic acid binding Ig-like lectin H
(Siglec-H) inhibits Th cell and Ab responses in the presence of strong immune
stimulation in an Ag-specific manner. Correlating with sustained low-level MHC
class II-restricted Ag presentation on PDCs, Siglec-H-mediated Ag delivery
induced a hyporesponsive state in CD4(+) T cells leading to reduced expansion and
Th1/Th17 cell polarization without conversion to Foxp3(+) regulatory T cells or
deviation to Th2 or Tr1 cells. Siglec-H-mediated delivery of a T cell epitope
derived from the autoantigen myelin oligodendrocyte glycoprotein to PDCs
effectively delayed onset and reduced disease severity in myelin oligodendrocyte
glycoprotein-induced experimental autoimmune encephalomyelitis by interfering
with the priming phase without promoting the generation or expansion of myelin
oligodendrocyte glycoprotein-specific Foxp3(+) regulatory T cells. We conclude
that Ag delivery to PDCs can be harnessed to inhibit Ag-specific immune responses
and prevent Th cell-dependent autoimmunity.
PMID- 22079987
TI - Inducible CD4+LAP+Foxp3- regulatory T cells suppress allergic inflammation.
AB - Regulatory T cells (Tregs) play a critical role in the maintenance of airway
tolerance. We report that inhaled soluble Ag induces adaptive Foxp3(+) Tregs, as
well as a regulatory population of CD4(+) T cells in the lungs and lung-draining
lymph nodes that express latency-associated peptide (LAP) on their cell surface
but do not express Foxp3. Blocking the cytokine IL-10 or TGF-beta prevented the
generation of LAP(+) Tregs and Foxp3(+) Tregs in vivo, and the LAP(+) Tregs could
also be generated concomitantly with Foxp3(+) Tregs in vitro by culturing naive
CD4(+) T cells with Ag and exogenous TGF-beta. The LAP(+) Tregs strongly
suppressed naive CD4(+) T cell proliferation, and transfer of sorted OVA-specific
LAP(+) Tregs in vivo inhibited allergic eosinophilia and Th2 cytokine expression
in the lung, either when present at the time of Th2 sensitization or when
injected after Th2 cells were formed. Furthermore, inflammatory innate stimuli
from house dust mite extract, nucleotide-binding oligomerization domain
containing 2 ligand, and LPS, which are sufficient for blocking airway tolerance,
strongly decreased the induction of LAP(+) Tregs. Taken together, we concluded
that inducible Ag-specific LAP(+) Tregs can suppress asthmatic lung inflammation
and constitute a mediator of airway tolerance together with Foxp3(+) Tregs.
PMID- 22079989
TI - MIP-T3 is a negative regulator of innate type I IFN response.
AB - TNFR-associated factor (TRAF) 3 is an important adaptor that transmits upstream
activation signals to protein kinases that phosphorylate transcription factors to
induce the production of type I IFNs, the important effectors in innate antiviral
immune response. MIP-T3 interacts specifically with TRAF3, but its function in
innate IFN response remains unclear. In this study, we demonstrated a negative
regulatory role of MIP-T3 in type I IFN production. Overexpression of MIP-T3
inhibited RIG-I-, MDA5-, VISA-, TBK1-, and IKKepsilon-induced transcriptional
activity mediated by IFN-stimulated response elements and IFN-beta promoter. MIP
T3 interacted with TRAF3 and perturbed in a dose-dependent manner the formation
of functional complexes of TRAF3 with VISA, TBK1, IKKepsilon, and IFN regulatory
factor 3. Consistent with this finding, retinoic acid-inducible gene I- and TBK1
induced phosphorylation of IFN regulatory factor 3 was significantly diminished
when MIP-T3 was overexpressed. Depletion of MIP-T3 facilitated Sendai virus
induced activation of IFN production and attenuated the replication of vesicular
stomatitis virus. In addition, MIP-T3 was found to be dissociated from TRAF3
during the course of Sendai virus infection. Our findings suggest that MIP-T3
functions as a negative regulator of innate IFN response by preventing TRAF3 from
forming protein complexes with critical downstream transducers and effectors.
PMID- 22079990
TI - The fractalkine receptor but not CCR2 is present on microglia from embryonic
development throughout adulthood.
AB - Microglial cells are difficult to track during development because of the lack of
specific reagents for myeloid subpopulations. To further understand how myeloid
lineages differentiate during development to create microglial cells, we
investigated CX3CR1 and CCR2 transcription unit activation in
Cx3cr1(+/GFP)CCR2(+/RFP) knockin fluorescent protein reporter mice. The principal
findings include: 1) CX3CR1(+) cells localized to the aorta-gonad-mesonephros
region, and visualized at embryonic day (E)9.0 in the yolk sac and neuroectoderm;
2) at E10.5, CX3CR1 single-positive microglial cells were visualized penetrating
the neuroepithelium; and 3) CX3CR1 and CCR2 distinguished infiltrating
macrophages from resident surveillant or activated microglia within tissue
sections and by flow cytometric analyses. Our results support the contribution of
the yolk sac as a source of microglial precursors. We provide a novel model to
monitor chemokine receptor expression changes in microglia and myeloid cells
early (E8.0-E10.5) in development and during inflammatory conditions, which have
been challenging to visualize in mammalian tissues.
PMID- 22079991
TI - Uterine environment as a regulator of birth weight and body dimensions of newborn
lambs.
AB - Pure-bred embryos were transferred within and reciprocally between large
(Suffolk) and small (Cheviot) breeds of sheep to establish 4 treatment groups:
SinS (Suffolk embryos in Suffolk dams), SinC (Suffolk embryos in Cheviot dams),
CinS (Cheviot embryos in Suffolk dams), and CinC (Cheviot embryos in Cheviot
dams). The recipient ewes carried single fetuses to term. The maternal plasma
concentrations of ovine placental lactogen (oPL), progesterone, IGF-1, FFA, and
glucose were measured on d 50, 90, 120, and 140 of pregnancy. Birth weight, body
dimensions, and placental characteristics of lambs were recorded at birth. There
was a recipient ewe breed * lamb breed * time interaction for the concentration
of oPL (P = 0.03), but no such interaction was observed for progesterone (P =
0.42), IGF-1 (P = 0.57), glucose (P = 0.36), or FFA (P = 0.72). There were no
differences in oPL (P = 0.28) and progesterone (P = 0.34) concentrations between
SinC and SinS ewes. The concentrations of FFA on d 140 (P = 0.008), and those of
glucose on d 50 (P = 0.02) and 120 (P = 0.01), were greater in SinC ewes than in
SinS ewes. The ewes in CinS had less FFA concentration (P = 0.002) at all time
points than CinC ewes. The concentrations of IGF-1 on d 90 were greater (P =
0.004) in CinS ewes than CinC ewes, but did not differ (P = 0.16) on d 50, 120,
and 140. The concentrations of glucose on d 50 (P = 0.001), 90 (P = 0.03), and
140 (P = 0.03) were less in CinS ewes compared with CinC ewes. The birth weight
of SinC lambs (5.04 +/- 0.20 kg) was lighter (P = 0.001) than SinS lambs (5.94 +/
0.19 kg), and body dimensions of SinC lambs were smaller (P = 0.01) than SinS
lambs. Neither birth weight nor the body dimensions of CinS lambs differed (P =
0.24) from CinC lambs. Cotyledon number was reduced (P = 0.04) in the CinS (57.5
+/- 6.3) compared with the SinS group (74.2 +/- 5.9), whereas mean cotyledon
weight in CinS (2.42 +/- 0.20 g) was greater (P = 0.02) than SinS (1.74 +/- 0.21
g). It was concluded that the large genotype lambs were lighter and smaller when
born to small genotype dams; however, the birth weight or body dimensions of
small genotype lambs did not differ when born to large genotype dams. This study
suggests that plasma oPL, progesterone, IGF-1, FFA, and glucose concentrations at
different times throughout pregnancy reflect the regulatory effect of the uterine
environment on the development of the fetus.
PMID- 22079992
TI - Effect of dietary conjugated linoleic acid on marbling and intramuscular
adipocytes in pork.
AB - Dietary CLA has been reported to decrease backfat and increase marbling in pigs.
Our objective was to determine whether the increase in marbling involved changes
in intramuscular adipocyte number or size or both. Twenty barrows (53 kg) were
penned in pairs and pens were randomly assigned to receive diets containing
either 1% soybean oil (SBO) or CLA (60% CLA isomers) for 6 wk. Body weight and
feed intake were determined weekly. At slaughter, loin samples were obtained and
flash frozen for RNA extraction and real-time reverse-transcription PCR analysis
of gene expression. After a 24-h chill, loin eye area and backfat depth were
measured and subjective marbling and color scores were assigned. Loin, backfat,
and belly fat samples were obtained for fatty acid analysis by gas
chromatography. Loin samples were also frozen in ice-cold isopentane for
histological analysis of intramuscular adipocytes. Dietary CLA did not affect BW
or feed intake at any point (P > 0.10), nor did treatment groups differ in HCW (P
= 0.417) or loin color (P = 0.500). The CLA-fed pigs did have less (P = 0.018)
backfat and smaller (P = 0.047) loin eye area than SBO-fed pigs and had a trend
for an increase (P = 0.069) in marbling score. Relative gene expression for
markers of preadipocytes (preadipocyte factor 1; Pref-1), differentiating
adipocytes (PPARgamma), and mature adipocytes [fatty acid binding protein 4
(FABP4) and perilipin (PLIN)] were determined and normalized to the expression of
acidic ribosomal phosphoprotein. No significant differences were detected, but
the expression of PPARgamma (P = 0.265), PLIN (P = 0.265), and FABP4 (P = 0.148)
was numerically greater in CLA-fed pigs than in SBO-fed pigs. Loin samples were
stained with Oil Red O to identify intramuscular adipocytes. The average cell
area was increased (P = 0.030) in CLA-fed pigs. The cis-9,trans-11 and trans
10,cis-12 CLA isomers were incorporated (P = 0.006) into backfat and belly fat,
but only trans-10,cis-12 CLA was increased in the loin (P = 0.004) of CLA-fed
pigs. The proportion of SFA was increased (P = 0.006) by CLA in all tissues.
These results indicate that the increase in marbling in pigs fed CLA may be
related to increased intramuscular adipocyte size, and the combination of
increased marbling and degree of saturation could improve the eating quality of
CLA-fed pork.
PMID- 22079993
TI - Energy use in pig production: an examination of current Iowa systems.
AB - This paper compares energy use for different pig production systems in Iowa, a
leader in US swine production. Pig production systems include not only the growth
and performance of the pigs, but also the supporting infrastructure of pig
production. This supporting infrastructure includes swine housing, facility
management, feedstuff provision, swine diets, and manure management. Six
different facility type * diet formulation * cropping sequence scenarios were
modeled and compared. The baseline system examined produces 15,600 pigs annually
using confinement facilities and a corn-soybean cropping sequence. Diet
formulations for the baseline system were corn-soybean meal diets that included
the synthetic AA l-lysine and exogenous phytase. The baseline system represents
the majority of current US pork production in the Upper Midwest, where most US
swine are produced. This system was found to require 744.6 MJ per 136-kg market
pig. An alternative system that uses bedded hoop barns for grow-finish pigs and
gestating sows would require 3% less (720.8 MJ) energy per 136-kg market pig.
When swine production systems were assessed, diet type and feed ingredient
processing were the major influences on energy use, accounting for 61 and 79% of
total energy in conventional and hoop barn-based systems, respectively. Improving
feed efficiency and better matching the diet formulation with the thermal
environment and genetic potential are thus key aspects of reducing energy use by
pig production, particularly in a hoop barn-based system. The most energy
intensive aspect of provisioning pig feed is the production of synthetic N for
crop production; thus, effectively recycling manure nutrients to cropland is
another important avenue for future research. Almost 25% of energy use by a
conventional farrow-to-finish pig production system is attributable to operation
of the swine buildings. Developing strategies to minimize energy use for heating
and ventilation of swine buildings while maintaining pig comfort and performance
is a third critical area for future research. The hoop barn-based alternative
uses 64% less energy to operate buildings but requires bedding and 2.4% more
feed. Current Iowa pig production systems use energy differently but result in
similar total energy use. Compared with 1975, current farrow-to-finish systems in
Iowa require 80% less energy to produce live market pigs.
PMID- 22079994
TI - Standardized ileal digestible tryptophan-to-lysine ratios in growing pigs fed
corn-based and non-corn-based diets.
AB - Two 21-d experiments were conducted to determine the optimum standardized ileal
digestible (SID) Trp:Lys in growing pigs fed corn-based diets compared with non
corn-based diets. The primary response variables in both experiments were ADG and
plasma urea N (PUN) concentrations with the optimum SID Trp:Lys determined using
broken-line analysis. Experiment 1 evaluated the optimum SID Trp:Lys in growing
pigs fed corn-based diets consisting primarily of corn with minor inclusion of
Canadian field peas and corn gluten meal to keep the SID Trp:Lys low. This
experiment used 120 crossbred pigs (initial BW: 25.73 +/- 2.46 kg) that were
blocked by sex and initial BW and allotted to 5 SID Trp:Lys with 5 pens each for
the first 4 treatments and 4 pens for the last treatment and 5 pigs/pen. Diets
were formulated by the addition of supplemental Trp to create various SID Trp:Lys
(12.77, 14.07, 15.50, 16.91, and 17.94%) with a constant SID Lys of 0.66%, which
was determined to be 83% of the Lys requirement for pigs at this location. As the
SID Trp:Lys increased from 12.77 to 17.94%, ADG increased (0.562, 0.648, 0.788,
0.787, and 0.815 kg/d) linearly (P < 0.001) and quadratically (P = 0.009),
resulting in an optimum SID Trp:Lys of 15.73% (P < 0.001). Plasma urea N
decreased (10.43, 9.30, 8.21, 8.55, and 9.25 mg/dL) linearly (P = 0.069) and
quadratically (P = 0.015), resulting in an optimum SID Trp:Lys of 15.83% (P =
0.007). Experiment 2 evaluated the optimum SID Trp:Lys in growing pigs fed non
corn-based diets consisting primarily of barley and Canadian field peas, with
smaller proportions of corn and wheat. Experiment 2 used 120 crossbred pigs
(initial BW: 28.49 +/- 2.92 kg) that were allotted to 5 increasing SID Trp:Lys
(13.05, 14.32, 15.59, 16.85, and 18.11%; 0.66% SID Lys) in the same manner as
Exp. 1. As SID Trp:Lys increased in Exp. 2, ADG increased linearly (P = 0.007)
with the optimum SID Trp:Lys of 15.99% (P = 0.048). Plasma urea N concentrations
decreased linearly (P = 0.056) and quadratically (P = 0.067) as SID Trp:Lys
increased, resulting in an optimum SID Trp:Lys of 15.29% (P = 0.009). Averaging
the break point values for ADG and PUN obtained from broken-line analysis for
Exp. 1 and 2 produced optimum SID Trp:Lys of 15.78 and 15.64%, respectively.
Based on the results from these 2 experiments, it seems that the optimum SID
Trp:Lys is virtually unaffected by the dietary feedstuffs used as long as the
diets are formulated on an SID AA basis.
PMID- 22079995
TI - Improvement of growth performance and sanitary status of weaned piglets fed a
bovine colostrum-supplemented diet.
AB - The present study investigated the effect of 3 different durations of feeding a
diet supplemented with defatted bovine colostrum (Col) on growth performance and
sanitary status of the weaned piglet. At 28 d of age, piglets were weaned and fed
1 of the 2 following diets: a control (Ctrl) starter diet or a starter diet
supplemented with Col. Two experiments were conducted. In Exp. 1, 310 piglets (12
pens consisting of 10 piglets/pen and 10 pens consisting of 19 piglets/pen) were
allocated to 1 of the 2 dietary treatments for 12 d. In Exp. 2, 522 piglets (18
pens consisting of 10 piglets/pen and 18 pens consisting of 19 piglets/pen) were
allocated to 1 of the following 3 dietary treatments: fed the Ctrl diet from d 1
to 12 (Ctrl), Col diet from d 1 to 4 and then the Ctrl diet up to d 12 (Col-4d),
or the Col diet from d 1 to 6 and then the Ctrl diet up to d 12 (Col-6d). For
both experiments, a commercial second-phase diet was fed to piglets from d 12 to
46. Feed intake, growth performance, and cleanliness of floor and hindquarters of
animals were investigated during the first 7 wk postweaning. In Exp. 1, from d 0
to 12, ADFI, ADG, and G:F were 16 (P = 0.004), 23 (P < 0.001), and 5% (P = 0.069)
greater, respectively, in Col piglets compared with Ctrl piglets. Thereafter,
ADFI and ADG were 7 (P < 0.001) and 9% (P < 0.001) greater, respectively, in Col
piglets than Ctrl piglets (d 12 to 46). On d 12 after weaning, piglets fed the
Col diet had more normal feces (+13%) and less soft or liquid feces (-9 and -4%,
respectively) than piglets fed the Ctrl diet (P = 0.06). Compared with Ctrl
piglets, feeding the Col diet led to more days with normal feces for the floor
cleanliness (+22%; P < 0.001) from d 7 to 11. In Exp. 2, compared with Ctrl
piglets, ADFI, ADG, and G:F were 8, 23, and 13% greater (P < 0.05) in Col-6d
piglets from d 0 to 9, whereas values for Col-4d piglets were intermediate and
did not differ from the values of the other dietary treatments. On d 9 after
weaning, piglets fed the Col-4d or the Col-6d diet had more normal feces (+6 and
+4%, respectively) and less liquid feces (-4 and -3%, respectively) than piglets
fed the Ctrl diet (P = 0.08). No long lasting effects were observed thereafter.
In conclusion, there was a reduction of weaning-induced growth check and
diarrheal episodes in weaned piglets fed the Col diet. The beneficial effects of
the bovine colostrum were observed beyond the period of treatment when the
supplementation covered the first 6 d postweaning, which corresponded to the
acute phase of postweaning digestive disturbances.
PMID- 22079996
TI - Growth and Development Symposium: Inflammation: Role in the etiology and
pathophysiology of clinical mastitis in dairy cows.
AB - Genetic selection for increased milk production in dairy cattle was not
associated with an attenuated inflammatory response. The systemic and local
inflammatory responses contribute to altered metabolism, reduced production
performance, and increased cull rate of lactating dairy cows with clinical
mastitis. More aggressive inflammatory responses were observed during the
peripartum period when compared with cows in late lactation after an intramammary
challenge with purified lipopolysaccharide. The epidemiology of clinical mastitis
indicates that the greatest incidence is observed during the peripartum period;
therefore, an enhanced inflammatory response with concomitant suppression in
other immune responses may be involved in the etiology and severity of the
clinical mastitis observed in peripartum cows. Milk production losses and
compositional changes are observed among all mammary quarters from a cow with
clinical mastitis, but the responses are more severe and sustained among infected
quarters. The infected mammary quarters reflect both the systemic and local
reactions, whereas uninfected quarters represent only the systemic response. The
systemic effects of the inflammatory response include reduced DMI, hyperthermia,
and changes in whole-body nutrient partitioning affecting mammary epithelial
substrate availability, whereas local inflammatory effects include energetic
requirements of the increased inflammatory leukocyte pool, decreased synthetic
capacity of mammary epithelium independent of substrate availability, and
paracellular leakage of milk components from the alveolar lumen into the
extracellular fluid. Research has focused on improving host immunological
defenses, attenuating the inflammatory response, or improving the resolution of
the disease state to limit the deleterious effects during clinical mastitis. This
paper highlights the role inflammation plays in the etiology and pathophysiology
of clinical mastitis as well as potential management strategies to reduce or
prevent those losses.
PMID- 22079997
TI - Zilpaterol hydrochloride alters abundance of beta-adrenergic receptors in bovine
muscle cells but has little effect on de novo fatty acid biosynthesis in bovine
subcutaneous adipose tissue explants.
AB - We predicted that zilpaterol hydrochloride (ZH), a beta-adrenergic receptor (AR)
agonist, would depress mRNA and protein abundance of beta-AR in bovine satellite
cells. We also predicted that ZH would decrease total lipid synthesis in bovine
adipose tissue. Bovine satellite cells isolated from the semimembranosus muscle
were plated on tissue culture plates coated with reduced growth factor matrigel
or collagen. Real-time quantitative PCR was used to measure specific gene
expression after 48 h of ZH exposure in proliferating satellite cells and fused
myoblasts. There was no effect of ZH dose on [(3)H]thymidine incorporation into
DNA in proliferating myoblasts. Zilpaterol hydrochloride at 1 uM decreased (P <
0.05) beta1-AR mRNA, and 0.01 and 1 uM ZH decreased (P < 0.05) beta2-AR and beta3
AR mRNA in myoblasts. The expression of IGF-I mRNA tended to increase (P = 0.07)
with 1 uM ZH. There was no effect (P > 0.10) of ZH on the beta-AR or IGF-I gene
expression in fused myotube cultures at 192 h or on fusion percentage. The beta2
AR antagonist ICI-118, 551 at 0.1 uM attenuated (P < 0.05) the effect of 0.1 uM
ZH to reduce expression of beta1- and beta2-AR mRNA. The combination of 0.01 uM
ZH and 0.1 uM ICI-118, 551 caused an increase (P < 0.05) in beta1-AR gene
expression. There was no effect (P > 0.10) of ICI-118, 551 or ZH on beta3-AR or
IGF-I. Western blot analysis revealed that the protein content of beta2-AR in ZH
treated myotube cultures decreased (P < 0.05) relative to control. Total lipid
synthesis from acetate was increased by ZH in bovine subcutaneous adipose tissue
explants in the absence of theophylline but was decreased by ZH when theophylline
was included in the incubation medium. These data indicate that ZH alters mRNA
and protein concentrations of beta-AR in satellite cell cultures, which in turn
could affect responsiveness of cells to prolonged ZH exposure in vivo. Similar to
other beta-adrenergic agonists, ZH had only modest effects on lipid metabolism in
adipose tissue explants.
PMID- 22079998
TI - Alpharma Beef Cattle Nutrition Symposium: nutrition and the genome.
AB - It has long been appreciated that animals fed the same diet may perform
differently. This is due to the ability of nutrients to interact with and affect
molecular pathways that result in differences in BW gain, production performance,
or disease resistance. To understand these effects, studies are being undertaken
to discover how the differential expression and function of genes occur with
different diets. These studies are using new technologies, genomic resources, and
analysis techniques that have recently become available for domestic animals.
Nutrigenomics and nutrigenetics are new research approaches that strive to
optimize health by looking beyond the diet to understand the effects of food at
the genetic and epigenetic levels. Nutrigenomics is focused on the effects of
diet on health through an understanding of how bioactive chemicals in foods and
supplements alter gene expression or the structure of the genome of an animal.
Nutrigenetics focuses on how the genetic composition (i.e., genetic variation) of
an animal influences their response to a given diet. Results from these studies
will aid in formulating nutritionally appropriate diets that may be optimized for
animals based on their genomic underpinnings. Nutrigenomics and nutrigenetics
unite many fields: nutrition, bioinformatics, molecular biology, genomics,
functional genomics, epidemiology, and epigenomics. The use of multi-disciplinary
tools promises new opportunities to investigate the complex interactions of the
genome and the diet of an animal. Through these new approaches, the partnerships
of the genome and nutrition will be revealed resulting in improved efficiency of
diets, enhanced sustainability of animals as a protein source, and improved
methods for preventing illnesses.
PMID- 22079999
TI - Sudden death and lipomatous infiltration of the heart involved by fat necrosis
resulting from acute pancreatitis.
AB - The possible causal link between damage to the heart and acute pancreatitis and
other pancreatic diseases has been considered in both adults and children,
particularly in cases of sudden, unexpected death. However, the cardiac
pathological findings so far reported in the literature are neither specific
enough, nor of a kind to prove a direct pancreatic pathogenesis. We describe the
occurrence of steatonecrosis developed in areas of lipomatous infiltration of the
heart following acute exacerbation of latent chronic pancreatitis. The presence
of mature adipocytes in the myocardium is an adequate substrate for the
pancreatic lipase to give rise to the steatonecrosis, which is a well-known
marker of acute pancreatitis. As far as we are aware, this is the first reported
case of heart steatonecrosis in the literature.
PMID- 22080000
TI - Tearing of knicker fabrics.
AB - In Dunedin (South Island, New Zealand), a sexual assault is reported to police
approximately once every two to three weeks, with some reports fictitious.
Identifying a fictitious claim is difficult, and damage to apparel, especially
knickers, may be the only form of evidence. In this paper, the tear behaviour of
three knit fabrics, typical of those used to manufacture knickers is reported:
the effect of laundering prior to tearing was considered. Tearing behaviour was
determined using an Instron universal testing machine (Model 4464) operating in
tensile mode to eliminate variability which is inevitable with human
participants. Cotton and cotton-rich fabrics were more difficult to tear than
modal-rich fabrics: the addition of elastane increased the time for the tear
initiation as elastane fibres allowed the fabric to extend more before breaking.
Specimens behaved differently depending on which direction they were torn (course
direction specimens down the length of the specimen, wale-direction specimens 50%
down the length, 50% across the specimen). Laundered fabrics required less force
to tear than new fabrics, therefore, when examining torn apparel, the fibre
content and age of the garment need to be considered. Torn fibre ends appeared
similar to those damaged by other means (e.g. knife, screwdriver) and no features
visible under FESEM could be attributed solely to tearing damage in the fabrics
studied.
PMID- 22080003
TI - Ketamine: a familiar drug we trust.
PMID- 22080004
TI - Effects of in ovo injection of carbohydrates on somatic characteristics and liver
nutrient profiles of broiler embryos and hatchlings.
AB - Effects of the in ovo injection of commercial diluent supplemented with dextrin
or with dextrin in combination with various other carbohydrates on the somatic
characteristics and liver nutrient profiles of Ross * Ross 708 broiler embryos
and chicks were investigated. Results include information concerning the
gluconeogenic energy status of the liver before and after hatch. Eggs containing
live embryos were injected in the amnion on d 18 of incubation using an automated
multiple-egg injector for the delivery of the following carbohydrates dissolved
in 0.4 mL of commercial diluent: 1) 6.25% glucose and 18.75% dextrin; 2) 6.25%
sucrose and 18.75% dextrin; 3) 6.25% maltose and 18.75% dextrin; and 4) 25%
dextrin. Also, a noninjected control and a 0.4-mL diluent-injected control were
included. Body weight relative to set egg weight on d 19 of incubation (E19) was
increased by the injection of all carbohydrate solutions, and on the day of hatch
was increased by the injection of diluent, sucrose and dextrin, and maltose and
dextrin solutions. Hatchability of the fertilized eggs, residual yolk sac weight,
and liver weight were not affected by any injection treatment; however, as
compared with the 0.4 mL diluent-injected group, all of the supplementary
carbohydrates, except for the glucose and dextrin combination group, increased
liver glycogen and glucose concentrations on E19. Furthermore, all carbohydrates,
except for the 25% dextrin treatment, decreased liver fat concentration on E19.
From E19 to the day of hatch, liver glycogen concentrations dropped dramatically
from an average of 3.2 to 0.6%. Despite treatment differences observed on E19 for
liver glycogen, glucose, and fat concentrations, these differences were lost by
the day of hatch. Nevertheless, liver glycogen and glucose concentrations were
positively correlated on the day of hatch. In conclusion, the in ovo injection of
various supplemental carbohydrates dissolved in 0.4 mL of commercial diluent
altered the liver nutrient profile of Ross * Ross 708 broiler embryos before
hatch. However, the subsequent pattern of energy utilization during the hatching
process modified these effects.
PMID- 22080005
TI - Broiler breeder manure phosphorus forms are affected by diet, location, and
period of accumulation.
AB - Phosphorus (P) modifications of poultry diets have successfully decreased the
total P (TP) in manures, but the effects on manure water-soluble P (WSP(M))
remain unclear. Our objectives were to characterize P forms in broiler breeder
manures as affected by dietary P modification, location within the pen, and
manure accumulation period. Two diets were formulated with and without phytase to
attain 0.40% available P (AvP) during the breeder laying phase (22-64 wk of age).
Manure was collected after accumulation periods of 48 h, 3 wk, and 39 wk in
locations under the feeder and drinker and under the common area (between the
feeder and drinker) of the pen. The TP, WSP(M), orthophosphate, and phytate in
manure were measured. Broiler breeders that were fed phytase with a simultaneous
reduction in nonphytate P (NPP) produced manures with 15% lower TP than those fed
a traditional diet, but did not change WSP(M) when averaged over manure
accumulation periods and locations within the pen. Regardless of diet, location
within the pen, or accumulation period (r(2) = 0.76), the WSP(M) increased
linearly as the manure moisture increased. As manure accumulation periods
increased (48 h, 3 wk, and 39 wk), TP manure concentrations increased (11.9,
13.2, and 17.3 g/kg, respectively), orthophosphate proportions increased (73.2,
80.1, and 91.0%, respectively), and phytate proportions decreased (23.1, 17.0,
and 6.7%, respectively). The mineralization of phytate and other organic
complexes, which drive off carbon dioxide, presumably contributed to the
increased orthophosphate and TP concentrations. Keeping breeder manures dry helps
to avoid the mineralization of phytate to orthophosphate; this mineralization
increased WSP(M) in our study, and thus increased the potential for elevated P
loss in runoff when surface applied.
PMID- 22080006
TI - Effects of monochromatic light on mucosal mechanical and immunological barriers
in the small intestine of broilers.
AB - Our previous studies demonstrated that green and blue monochromatic lights were
effective to stimulate immune response of the spleen in broilers. This study was
designed to investigate the effects of monochromatic light on both gut mucosal
mechanical and immunological barriers. A total of 120 Arbor Acre male broilers on
post-hatching day (P) 0 were exposed to red light, green light (GL), blue light
(BL), and white light (WL) for 49 d, respectively. As compared with broilers
exposed to WL, the broilers exposed to GL showed that the villus height of small
intestine was increased by 19.5% (P = 0.0205) and 38.8% (P = 0.0149), the crypt
depth of small intestine was decreased by 15.1% (P = 0.0049) and 10.1% (P =
0.0005), and the ratios of villus height to crypt depth were increased by 39.3%
(P < 0.0001) and 52.5% (P < 0.0001) at P7 and P21, respectively. Until P49, an
increased villus height (33.6%, P = 0.0076), a decreased crypt depth (15.4%, P =
0.0201), and an increased villus height-to-crypt depth ratio (58.5%, P < 0.0001)
were observed in the BL group as compared with the WL group. On the other hand,
the numbers of intestinal intraepithelial lymphocytes (27.9%, P < 0.0001 and
37.0%, P < 0.0001), goblet cells (GC, 22.1%, P < 0.0001 and 18.1%, P < 0.0001),
and IgA(+) cells (14.8%, P = 0.0543 and 47.9%, P = 0.0377) in the small intestine
were significantly increased in the GL group as compared with the WL group at P7
and P21, respectively. The numbers of intestinal intraepithelial lymphocytes
(36.2%, P < 0.0001), GC (26.5%, P < 0.0001), and IgA(+) cells (68.0%, P = 0.0177)
in the BL group were also higher than those in the WL group at P49. These results
suggest that both mucosal mechanical and immunological barriers of the small
intestine may be improved by rearing broilers under GL at an early age and under
BL at an older age.
PMID- 22080007
TI - Two maternal origins of Chinese domestic goose.
AB - China is particularly rich in goose genetic resources. Systematic study of the
genetic diversity and origin of Chinese domestic geese will provide an important
scientific basis for the conservation and utilization of these resources and for
human history. The 521-bp control region (D-loop) of mitochondrial DNA from 26
goose breeds and 6 Landaise geese were sequenced. The results showed that the
average haplotype diversity and nucleotide diversity of Chinese domestic geese
were 0.1384 and 0.00029, respectively. Shared haplotype analysis and systematic
evolution analysis revealed that Chinese domestic geese had 2 maternal origins.
The Yili goose breed originated from the Greylag goose (Anser anser), and the
other 25 domestic goose breeds originated from the swan goose (Anser cygnoides).
An interesting finding was that 1 Linxian white goose and 1 Wanxi white goose
shared the same H4 haplotype with the Rhine goose and the Landaise goose, which
originated from the Greylag goose (A. anser). Further research on this finding is
planned.
PMID- 22080008
TI - Genetic diversity of the major histocompatibility complex region in commercial
and noncommercial chicken flocks using the LEI0258 microsatellite marker.
AB - Microsatellite marker LEI0258 was used as an indicator to examine the variability
of the major histocompatibility complex (MHC) region in 2 commercial layer
flocks, 1 experimental layer cross, and 5 noncommercial flocks (used for free-run
and free-range meat and egg production). We hypothesized that the populations
from noncommercial sources may have more diversity in MHC genes than that in the
commercial-source populations. Two related parameters, heterozygosity and the
number of alleles harbored by a population, were used to assess the genetic
variability. The different combinations of the 22 alleles created 66 genotypes in
the 8 chicken populations that were studied. The noncommercial populations,
except for the Silkies (SK), harbored more alleles than those in the 2 commercial
populations, Lohmann Brown and Lohmann White. The observed heterozygosity of the
MHC region was high in all of the populations, except for SK. Considering the 2
parameters we have examined, we can generalize that the intensively selected
commercial egg-layer varieties seem to have less genetic variability in their MHC
regions compared with that of the noncommercial flocks, which are less
intensively selected. The LEI0258 variants can be used as markers to detect most
of the MHC haplotypes, but in the different populations the same allele size may
not always be associated with the same serologically defined haplotype. The
information obtained from this study will be useful for genetic resource
conservation and the development of breeding stocks that are suitable for free
range production.
PMID- 22080009
TI - Low-density lipoprotein receptor-related protein 2 gene is associated with egg
quality traits in dwarf layers.
AB - Some members of the low-density lipoprotein receptor (LDLR) family play important
roles in the regulation of lipoprotein metabolism and egg quality traits. Low
density lipoprotein receptor-related protein 2 (LRP2) gene belongs to the LDLR
super family, and widely expresses in many tissues. This work identified and
genotyped 1 single-nucleotide polymorphism (SNP), T14347C, at 3'-UTR of the LRP2
using matrix-assisted laser desorption-ionization time-of-flight mass
spectrometry (MALDI-TOF MS), and analyzed the effects of the SNP (T14347C) on egg
quality traits in 544 dwarf hens from 44 sire families. Frequencies of this SNP
in the studied population did not agree with the Hardy-Weinberg equilibrium (P <
0.0001). Egg weight, albumen weight, albumen height, and albumen ratio of the TT
genotype were significantly higher than those of the CC genotype (P < 0.05),
whereas eggshell ratio of the TT genotype was significantly lower than that of
the CC genotype (P < 0.05). The relative expression level of the LRP2 gene in the
magnum was determined by real-time quantitative PCR. The gene expression of
genotype CC individuals was significantly higher than that of TT and CT birds (P
< 0.05). By combining both genetic effects and expression analyses results, we
propose that the LRP2 gene is a good candidate gene, exhibiting a key role in
albumen formation processes.
PMID- 22080010
TI - The influence of antibiotics on B-cell number, percentage, and distribution in
the bursa of Fabricius of newly hatched chicks.
AB - Antibiotics are commonly used to prevent and treat poultry microbial infections,
but certain antibiotic families depress humoral immunity, such as antibody
production. Poultry humoral immunity depends on the normal functioning of the
bursa of Fabricius and the B lymphocytes that mature in that gland. In this
study, recommended therapeutic doses of enrofloxacin, florfenicol, or ceftiofur
were administered to 2-d-old chicks. On d 7 post-hatch, bursae were sampled for
histological, immunohistochemical, and flow cytometric determination of Bu-1
positive (Bu-1+) cell number, percentage, and distribution. The bursa of
Fabricius from all treatment and control groups had normal morphology. The
administration of antibiotics significantly decreased the number of Bu-1+ cells
in the bursal medulla, with a simultaneous increase of these cells in the cortex.
Flow cytometry revealed a significant decrease in the percentage of bursal Bu-1+
cells from all of the studied antibiotics: enrofloxacin (93.91 +/- 3.27),
florfenicol (87.84 +/- 7.14), and ceftiofur (89.16 +/- 5.68) compared with that
of the control (96.48 +/- 2.60). The combination of reduced percentages of Bu-1+
cells and a decrease in these cells in the medullary region suggests lower B cell
maturation.
PMID- 22080011
TI - Maternal antibody transfer to broiler progeny varies among strains and is
affected by grain source and cage density.
AB - Two experiments were conducted to examine the effects of broiler breeder dietary
grain source and cage density on maternal antibody (MatAb) transfer to progeny in
2 genetic strains (A and B). Broiler breeders were assigned to 16 litter floor
pens and fed either corn- or wheat-based diets. Breeders were administered 4 live
vaccines against Newcastle disease virus (NDV). At 23 wk of age, pullets and
cocks, which reflected the full BW distribution from each treatment, were moved
to a cage breeder house and placed at 1 or 2 hens/cage. Breeders were
artificially inseminated at 44 wk (experiment 1) and 52 wk of age (experiment 2).
Eggs were collected for 8 d, incubated, and placed in individual pedigree bags at
d 19 of incubation. Blood samples from 5 chicks per treatment combination were
collected at hatch in both experiments. Spleen and bursa were collected from the
same chicks for histomorphometry analyses in experiment 2. In the second
experiment, 12 chicks per treatment were placed in cages. Progeny were provided
diets based on the same grain (corn or wheat) as their parents. Serum samples
were collected at 5, 9, and 13 d of age and analyzed for anti-NDV MatAb. Data
were analyzed as a 2 * 2 * 2 factorial design considering strain, dietary grain
source, and cage density as main factors. Interaction effects were observed in
breeders and progeny. Experiment 1 showed that strain A chicks had lower levels
of MatAb when hens were housed at 2 hens/cage rather than 1 hen/cage. The MatAb
levels of strain B chickens were not affected by cage density in either
experiment. Experiment 2 demonstrated similar effects of cage density on MatAb
levels and the area of bursa follicles for both strains. Progeny of breeders fed
corn-based diets had smaller spleen white pulp only when hens were housed at 2
hens/cage compared with 1 hen/cage. The results of these experiments suggest that
breeder strain and cage-density conditions affected MatAb transfer to progeny and
embryo development of spleen and bursa.
PMID- 22080012
TI - Effects of immune stress on growth performance, immunity, and cecal microflora in
chickens.
AB - Immune stress is the loss of immune homeostasis by external forces. This study
investigated the effects of different types of immune stress on growth
performance, immunity, and the distribution of cecal microflora in broiler
chickens. In total, 540 one-day-old Cobb 500 broilers were randomly assigned to
receive 1 of 5 (n = 108 birds/group) treatments: 1) no vaccination; 2) simplified
vaccination, which included the infectious bronchitis vaccine (H120), the
inactivated avian influenza vaccine (AI), the live vaccine strain Clone-30 of the
Newcastle disease virus (NDV), and the combined inactive vaccine for infectious
bursal diseases and the Newcastle disease vaccine (ND-IB); 3) normal vaccination
(simplified vaccination + second dose of ND-IB, H120, and AI); 4)
lipopolysaccharide (LPS) stress (normal vaccination+LPS); or 5) cyclophosphamide
(CPM) stress (normal vaccination+CPM).The results showed that the average BW and
average feed intake decreased significantly after treatment with LPS or CPM (P <
0.05). Chickens that were challenged by LPS or CPM had a lower ileal CP
digestibility than that of the control group (P < 0.01). Compared with the
control group, the levels of secreted IgA decreased significantly at 42 d of age
in the chickens that were treated with LPS or CPM (P < 0.01). The proliferation
of the peripheral blood mononuclear cell and the levels of serum IgG in the LPS
challenged chickens were higher than those in the control group chickens at 21
and 42 d of age, respectively (P < 0.05). Six clusters were identified at 21 d of
age, but cluster 6 was a single sample. Only 5 clusters were identified at 42 d
of age. The enterobacterial repetitive intergenic consensus (ERIC)-PCR
fingerprints of the cecal samples from the no vaccination and the simplified
vaccination groups clustered together with high coefficients. The ERIC-PCR
fingerprints of the 3 cecal samples from the CPM and LPS treatment groups
clustered together with high coefficients among them. The ERIC-PCR fingerprints
of the microbial flora of the cecal contents revealed the potential effects of
immune stress on the microbial populations of treated birds. These data suggest
that broilers with simplified vaccinations or without vaccinations can achieve
the same growth performance as broilers with general vaccinations, but immune
stress can break the homeostasis of cecal microflora and impair intestinal
mucosal immune function.
PMID- 22080013
TI - The role of type-2 turkey astrovirus in poult enteritis syndrome.
AB - An experimental study was conducted to determine the comparative pathogenicity of
type-2 turkey astrovirus (TAstV-2) obtained from turkey flocks afflicted with
poult enteritis syndrome (PES) and from turkey flocks displaying no apparent
signs of infection. In total, ninety 7-d-old poults, which tested negative for
the presence of astrovirus, rotavirus, coronavirus, and reovirus by reverse
transcriptase (RT) PCR , were divided evenly into 3 groups: A, B, and C. Birds in
group A were inoculated orally with turkey astrovirus-positive intestinal
contents from birds affected with PES. Group B received turkey astrovirus
containing intestinal contents from apparently healthy flocks. Group C served as
a negative control and was given PBS. Clinical signs of diarrhea, depression, and
dullness were observed in group A. Birds in group B also showed clinical signs
similar to those in group A, although the signs were milder in nature. Birds in
group C did not show any clinical signs. At 16 d postinoculation, the BW of birds
in group A was significantly lower than that of birds in groups B or C. In
addition, the bursa size was reduced in group A, but not in groups B or C. Birds
in groups A and B, but not in group C, were found to shed turkey astrovirus in
their feces, as detected by RT-PCR. These results provide a preliminary
indication that TAstV-2 from PES birds may be more pathogenic than TAstV-2 from
apparently healthy poults. Further studies are needed to determine if pathogenic
and nonpathogenic strains of TAstV-2 exist in the environment. These results also
reinforce our previous observations that astrovirus is involved in PES, causing
significant retardation in growth and weight gain.
PMID- 22080014
TI - The effect of including Lactobacillus reuteri KUB-AC5 during post-hatch feeding
on the growth and ileum microbiota of broiler chickens.
AB - The probiotic strain Lactobacillus reuteri KUB-AC5, which was originally isolated
from chicken intestine, was fed to newborn broiler chicks for the first week post
hatch. The growth and ileum microbiota of the chickens were carefully monitored
for 6 wk. The inclusion of 5 log cfu/g of feed statistically increased the BW
gain in the first week compared with that of the control group, but this effect
did not continue thereafter. Significant effects on host feed consumption and the
feed-to-growth conversion ratio were not detected. The total amount and
composition of ileum bacteria were investigated by quantitative PCR and
pyrosequencing of the 16S rRNA gene (rDNA), respectively, and were compared
between the control and the probiotic-treated groups. The amount of total
bacterial 16S rDNA in ileum samples at d 42 was 5 times higher in the probiotic
group than in the control, whereas no significant difference was observed at d
21. A composition analysis revealed the establishment of lactobacilli-enriched
microbiota in the probiotic-treated chickens at d 42. At this point, the
population level and species diversity of lactobacilli were significantly
enhanced compared with those of the control group. In addition, Actinobacteria,
mainly genera Corynebacterium and Dietzia, were also statistically higher in the
probiotic group. However, Proteobacteria, including those of the family
Campylobacterales and some other nonbeneficial bacterial groups, were decreased
in the probiotic group at the growing stage. Therefore, with probiotic
supplementation, it was demonstrated that Lactobacillus reuteri KUB-AC5 in the
early post-hatching period had a delayed effect on ileum microbiota, which
resulted in the enrichment of potentially beneficial lactobacilli and the
suppression of Proteobacteria, including nonbeneficial bacterial groups.
PMID- 22080015
TI - Effects of increasing dietary concentrations of corn naturally contaminated with
deoxynivalenol on broiler and turkey poult performance and response to
lipopolysaccharide.
AB - In this study, 2 experiments determined the effects of increasing dietary
concentrations of deoxynivalenol (DON) on performance, intestinal morphology, and
measures of innate immunity in broilers and turkeys. For experiment 1, the 3-wk
study used 5 concentrations of DON (up to 18 or 10 mg of DON/kg of feed in
broilers or turkeys, respectively) from naturally contaminated corn. The BW gains
were cubically or quadratically affected by the increasing dietary concentrations
of DON for broilers and turkeys, respectively; however, feed consumption was not
affected. For experiment 2, the birds were subsequently injected or not injected
with lipopolysaccharide (LPS) 24 h before tissue and blood sample collection.
Dietary DON had no effect on intestinal crypt depth, but linearly increased the
mid-ileal villus height in broilers (P = 0.04). An interaction was observed
between the LPS challenge and the dietary DON with regards to heterophil to
lymphocyte ratio (P < 0.05) in broilers, but not in turkeys. The cecal tonsil
cell phagocytosis of microbeads was not affected by the dietary concentration of
DON either with or without the subsequent LPS challenge for both broilers and
turkeys. Conversely, the phagocytic capacity of cecal tonsil cells to engulf
killed Staphylococcus aureus was significantly reduced (over 2.5-fold) when
broilers were fed the highest concentration of dietary DON (non-LPS-challenged; P
< 0.05). However, diets containing DON showed no effects on broilers when they
were challenged with LPS. Antibody-dependent phagocytosis (S. aureus) was not
affected in turkeys fed DON. Overall, corn naturally contaminated with up to 18
or 10 mg/kg of DON (broiler or turkey, respectively) reduced bird BW gain at 21 d
of age, reduced antibody-dependent phagocytosis of previously killed S. aureus by
cecal tonsil cells in non-LPS-challenged broilers, and greatly decreased
heterophil to lymphocyte ratios in LPS-challenged broilers.
PMID- 22080016
TI - Comparative metabolism of warfarin in rats and chickens.
AB - Warfarin, a coumarin rodenticide, is commonly used worldwide for rodent control,
and is often reported as the cause for poisoning accidents in nontarget animals,
in particular bird species. However, the metabolism of warfarin in birds is still
unclear. In a previous study, we found an unknown warfarin metabolite in chicken
cytosolic fractions. In the present study, we aimed to clarify the cytosolic
warfarin metabolites in chickens compared with those in rats. The cytosol
fractions of both chicken and rat livers showed the metabolic activity of 2
diastereomers and 2 enantiomers of warfarin alcohol. In chicken cytosol, we found
that the production level of (S)-warfarin-(S)-alcohol was markedly higher (32
fold) than that in rat cytosol. From the results of the inhibition assay, we
finally suggest that aldehyde oxidase may mainly contribute to the warfarin
alcohol products in chicken cytosol.
PMID- 22080017
TI - A study of nutrient digestibility and growth performance of broiler chicks fed
hairy and hairless canary seed (Phalaris canariensis L.) products.
AB - A nutrient retention study and a growth study were conducted with broiler
chickens to evaluate the nutritive value and potential toxicity of 2 hairless
canary seed products-hulled seed and groats (cultivar CDC Maria), and one hairy
hulled canary seed (cultivar Keet). Each treatment was replicated 6 times (6
groups of 4 birds each). The hairless canary seed groat, hairless hulled canary
seed, and the hairy hulled canary seed contained 24.5, 21.8, and 16.3% CP; 7.1,
5.8, and 6.6% ether extract; 1.5, 14.2, and 12.3% acid detergent fiber, and
3,867, 3,205 and 3,292 kcal/kg of AME(n), on a DM basis, respectively. The
hairless canary seed groat, hairless hulled canary seed, and the hairy hulled
canary seed protein comprised, respectively, 0.49, 0.33, and 0.33% lysine (DM
basis), which was 79, 78, and 67% digestible (apparent ileal); 0.65, 0.53, and
0.60% cysteine (DM basis), which was 86, 87, and 85% apparent ileal digestible;
and 0.40, 0.30, and 0.25% methionine (DM basis), which was 89, 90, and 86%
apparent ileal digestible. In the second study, a 35-d feeding study with male
broiler chickens was conducted. The canary seed products were compared with a
Canadian Western Red Spring wheat control. Each treatment was replicated 6 times
(6 groups of 4 birds each). The test ingredients comprised 50% of the
corn/soybean diets. The birds fed the hulled canary seed (hairy or hairless) had
similar weight gain, feed intake, and G:F to those fed wheat. There were no
statistically significant (P = 0.05) differences in the weights of the bursa,
heart, kidneys, liver, spleen, or the pancreas, nor was there any effect on serum
lactate dehydrogenase or creatine kinase. The data indicated that feeding hulled
canary seed increased the number of gizzard ulcers (P < 0.01). It was concluded
that canary seed does not contain anti-nutritional components that negatively
affect broiler performance or bird health. However, the canary seed hulls may
damage the gizzard lining.
PMID- 22080018
TI - Early feeding and dietary lipids affect broiler tissue fatty acids, vitamin E
status, and cyclooxygenase-2 protein expression upon lipopolysaccharide
challenge.
AB - Newly hatched chicks are often subjected to delayed access to feed and water
because of shipment distances and hatchery practices, which may reduce growth and
development of the immune system. The current study investigated the effects of
early vs. late access to feed and dietary lipids (n-3 vs. n-6) on
lipopolysaccharide (LPS)-induced alterations in tissue fatty acids, vitamin E
status, and cyclooxygenase-2 (COX-2) protein expression. The chicks (n =
16/group) were fed a high or low n-3 diet within 5 to 5 h 30 min (early) or after
48 h (late) of hatching. Feeding high n-3 diets increased eicosapentaenoic acid
(EPA, 20:5 n-3), docosapentaenoic acid (22:5 n-3), and docosahexaenoic acid (DHA,
22:6 n-3) in the liver, spleen, and plasma (P < 0.05). Feeding low n-3 diets
increased arachidonic acid in the liver and plasma (P < 0.05). Early access to
feed led to increases in liver oleic acid and reduction in arachidonic acid as
compared with late-fed birds (P < 0.05). No effect of time of feeding on fatty
acids in the spleen was observed. Early feeding led to significant increases in
linoleic and arachidonic acids in the plasma (P < 0.05). Stearic acid was higher
in the plasma of low n-3 early-fed as opposed to low n-3 late-fed birds (P <
0.05). The LPS challenge led to an increase in liver total fat content (P <
0.05). The total fat content in the spleen and plasma were not affected by LPS
injection (P > 0.05). The LPS-injected birds had decreases in oleic acid in the
liver and plasma as compared with saline-injected birds (P < 0.05). Stearic acid
increased upon LPS injection in the spleen and plasma (P < 0.05). Liver vitamin E
content was significantly higher in saline-injected birds from the early high n-3
group compared with all treatment groups, except for the late low n-3 saline
injected birds (P < 0.05). Plasma vitamin E was highest in the early low n-3 LPS
injected birds compared with all other treatment groups (P < 0.05). The
COX2:actin ratio in the early high n-3 LPS-injected birds was higher than that of
the saline-injected birds of the same treatment (P < 0.05). However, no
difference in COX-2 expression was observed between LPS- or saline-injected fed
early low n-3, late high n-3, or late low n-3 diets (P > 0.05). No effect of
diet, time of feeding, or LPS challenge on plasma isoprostanes was observed (P >
0.05). These results suggest that dietary and management strategies directed at
modulating tissue polyunsaturated fatty acid status may offer the promise of
modulating lipid metabolism and COX-2 expression in commercial poultry.
PMID- 22080019
TI - Effects of the main cereal and type of fat of the diet on productive performance
and egg quality of brown-egg laying hens from 22 to 54 weeks of age.
AB - The influence of the main cereal and type of supplemental fat in the diet on
productive performance and egg quality of the eggs was studied in 756 brown-egg
laying hens from 22 to 54 wk of age. The experiment was conducted as a completely
randomized design with 9 treatments arranged factorially, with 3 cereals (dented
corn, soft wheat, and barley) and 3 types of fat (soy oil, acidulated vegetable
soapstocks, and lard). Each treatment was replicated 4 times (21 hens/replicate).
All diets were formulated to have similar nutrient content, except for linoleic
acid, which ranged from 0.8 to 3.4% depending on the combination of cereal and
fat source used. This approach allows for the estimation of the minimum level of
linoleic acid in the diets that maximizes egg weight. Productive performance and
egg-quality traits were recorded every 28 d, and the BW of the hens was measured
individually at the beginning and at the end of the experiment. No significant
interactions between main factors were detected for any of the variables studied.
Egg production, egg weight, and egg mass were not affected by dietary treatment.
Body weight gain was higher (P < 0.05) for hens fed corn or wheat than for hens
fed barley, and also higher for hens fed lard than for hens fed soy oil or
acidulated vegetable soapstocks. Egg quality was not influenced by dietary
treatment, except for yolk color, which was greater (P < 0.001) for hens fed corn
than for hens fed wheat or barley, and greater for hens fed lard than for hens
fed soy oil or acidulated vegetable soapstocks. We concluded that brown-egg
laying hens do not need more than 1.0% of linoleic acid in their diet (1.16 g/hen
per d) to maximize egg production and egg size. The 3 cereals and the 3 fat
sources tested can replace each other in the diet provided that the linoleic acid
requirements to maximize egg size are met.
PMID- 22080020
TI - Effects of a phytogenic feed additive on growth performance and ileal nutrient
digestibility in broiler chickens.
AB - A study was undertaken to examine the effects of a phytogenic feed additive (PFA)
containing essential oils of thyme and star anise as lead active components on
the growth performance and apparent ileal nutrient digestibility in broiler
chickens. In total, 528 one-day-old Cobb male broilers were randomly divided into
4 dietary treatment groups with 6 replicate pens per treatment group (22 birds
each). The dietary treatments were a control starter and grower basal diet
without PFA or 150, 750, or 1,500 mg/kg of PFA. Body weight, weight gain, and
feed intake were not significantly influenced by the feed additive, but the feed
conversion ratio during the grower (22-42 d) and overall (1-42 d) periods
improved linearly (P < 0.05) by the administration of PFA compared with that of
the control diet. The average weights of the liver, heart, kidneys, and spleen
were not significantly affected by the PFA. The results of the apparent ileal
digestibility of crude ash, CP, crude fat, calcium, and phosphorus showed a
linear increase (P < 0.05) related to the increase of PFA dose in the diet.
Therefore, the means of digestibility of these nutrients were significantly
higher in birds fed the PFA for all categories of age compared with the
digestibility of these nutrients in the controls. In conclusion, the mode of
action of the tested PFA can be explained by an improvement in the nutrient
digestibility in the small intestine. The underlying physiological mechanisms,
however, need to be characterized further.
PMID- 22080021
TI - Replacement of corn in the diet of broiler chickens using foxtail millet produced
by 2 different cultivation strategies.
AB - Foxtail millet is well-suited to climatic conditions in semi-arid tropic regions
where it is cultivated using both agro-ecologic and conventional cultivation
practices. This study evaluated the nutritional value, digestibility, and
physiological effects of agro-ecologic and conventionally cultivated foxtail
millet in comparison with corn. Chemical and TME(n) analysis of foxtail millet
cultivated conventionally and agro-ecologically indicated similar nutritional
value. In total, 432 eight-day-old Ross 308 broiler chicks, using a 2 * 3
factorial arrangement, were randomly assigned to 4 replicate pens for each of 6
isonitrogenous and isoenergetic diets. Experimental diets were formulated by
replacing corn with conventional or agro-ecologic millet at 3 levels (33, 66, or
100% of corn replacement). Body weight at 21 and 42 d of age was higher (P <
0.05) at 100% millet inclusion versus the lower inclusion levels. At 42 d of age,
feed intake and feed conversion ratios were also improved (P < 0.05) at the 100%
millet inclusion level. Similarly, the apparent ileal digestibility of CP
increased (P < 0.05) for 100% millet diets. There were no differences in ileal
digestibility of nutrients between millet growth conditions. Millet inclusion
level significantly affected small intestinal morphology such that crypt depth
was lowest (P < 0.05) in the 100% inclusion group for duodenum, jejunum, and
ileum at 28 d of age, and for duodenum and ileum at 42 d of age. The villus crypt
ratio was also highest (P < 0.05) in the 100% millet inclusion group for jejunum
and ileum at 28 d of age, and duodenum, jejunum, and ileum at 42 d of age. Millet
growth condition did not markedly affect small intestinal morphology. Serum
antibody responses to Gumboro and Newcastle diseases were not affected by millet
inclusion level or growth condition. In conclusion, foxtail millet could be
considered as an alternate cereal for inclusion in the diet of broiler chickens.
Broiler chicken performance and physiological responses to foxtail millet were
similar whether grown conventionally or using agro-ecologic practices.
PMID- 22080022
TI - Multivariate evaluation of 1-dimensional sarcoplasmic protein profile patterns of
turkey breast muscle during early post-hatch development.
AB - Proteins are the main participants in metabolic pathways. However, the analysis
of protein abundance patterns associated with those pathways is complicated by
the large number of proteins involved. In this study, the objective was to
present the application of principal component analysis (PCA) to permit the
visualization of developmental proteomic patterns of sarcoplasmic proteins found
in breast muscle. Different turkey genotypes and nutritional regimens were used
to potentially increase the variability within the sarcoplasmic protein profile.
Sarcoplasmic protein fractions from turkey breast muscle samples were collected
at 6 ages between 7 to 24 d. Breast muscle samples were collected from 2
distinctly different turkey lines. The poults within each line were either ad
libitum or restrict fed. Proteomic PCA plots showed a visual developmental
pattern from 7 until 17 d. Multivariate ANOVA highlighted the effect of time
point and feeding regimen among profile patterns. The use of different genotypes
and feeding regimens influenced variability, which was measured by mean Euclidean
distances and ellipses of the PCA plots. These treatment effects, however, did
not mask the developmental patterns. After 17 d, the proteomic patterns
converged, suggesting that a level of biological stability was achieved
regardless of the genotype or treatment. The developmental pattern obtained by
the PCA methodology can aid in the planning of more efficient experimental
designs so the developmental stage of individuals can be more accurately
assessed.
PMID- 22080023
TI - Dietary effects of chelated zinc supplementation and lysine levels in ISA Brown
laying hens on early and late performance, and egg quality.
AB - It has been hypothesized that zinc (Zn) levels beyond those that are
nutritionally required may favor the utilization of dietary lysine, and
consequently reduce the level of its inclusion into the diet. Therefore, the
possible effects of interaction between chelated Zn and the level of lysine (Lys)
on egg production and egg quality of laying hens were evaluated. In total, 720
ISA Brown layer hens aged 24 to 36 wk (early phase) and 48 to 60 wk (late phase)
were allotted in a completely randomized factorial design that used 3 Zn and 5
Lys levels (6 replications, 8 birds/replication). All birds aged 37 to 47 wk
(between early and late phases) were fed a standard diet and maintained under the
same experimental design. The Zn levels used were 137, 309, and 655 mg/kg; and
the Lys levels were 0.560, 0.612, 0.677, 0.749, and 0.851%. The optimal levels of
Lys digestibility were based on laboratory analyses with regard to the weighted
average relationship between 83.5% digestibility and the total Lys from principal
ingredients. There was no effect of interaction found between the dietary levels
of Zn and Lys for most of the variables studied; however, each had an independent
effect on the variables. An increase in Zn from 137 to 655 mg/kg had no
significant effect (P > 0.05) on the performance of hens in both phases; however,
it showed a significant effect on egg quality (P < 0.01), principally on mineral
composition. Increased Zn resulted in decreased shell weight, percentage of ash,
yolk ash deposition, and total ash deposition. On the other hand, an increase in
Lys from 0.560 to 0.851% significantly affected (P < 0.002) several performance
parameters and the chemical composition of the eggs, including feed intake, feed
conversion efficiency, BW gain, egg weight, and production. In conclusion, there
was no interaction found between Zn and Lys, but higher dietary levels of
chelated Zn reduced bird performance and egg quality parameters, whereas higher
Lys levels could be beneficial to bird performance and egg quality.
PMID- 22080024
TI - Relationship among fluctuating asymmetry, morphological traits, and sperm quality
in layers.
AB - The aim of the present study was to analyze the relationship between fluctuating
asymmetry and the mean value of several bilateral traits (toe, leg, wing, and
wattle lengths, and leg width) and sperm quality variables (volume, appearance,
concentration, motility, morphological abnormalities, and acrosome and membrane
integrities) in different breeds of layers. In total, 165 roosters were sampled
at 36 wk of age. There was no significant correlation between fluctuating
asymmetry and sperm quality variables. There was a positive association (P <
0.01) between sperm motility and concentration, and between sperm concentration
and volume. Sperm motility was positively correlated (P < 0.001) with
morphologically normal sperm. The membrane integrity did not correlate with
motility. This study shows different results for the association between sperm
quality variables and morphological traits. There was a negative association (P <
0.05) between toe length and membrane integrity and between leg length and sperm
motility. In disagreement with the expected results, wattle length did not
correlate with any sperm quality parameter. In general, roosters with longer legs
had longer toes and wings, whereas those with longer wattles had longer toes,
legs, and wings. Breed effect was significant for the sperm motility index (P <
0.001), concentration and membrane integrity (P < 0.05), and morphologically
normal sperm (P < 0.01). Spanish breeds had similar sperm quality variables to
the White Leghorn population, although the Blue Andaluza (a Spanish breed) showed
smaller values for sperm motility index and concentration and membrane integrity.
Breed effect was not significant for any fluctuating asymmetry, with values
ranging from 1 to 6% of the trait value; however, breed effect was significant
for all of the morphological traits (P < 0.001). The CV was higher for ejaculate
volume and concentration, intermediate for semen appearance, motilities, and
morphologically normal sperm, and smaller for membrane and acrosome integrity. In
conclusion, the results suggest that fluctuating asymmetry is of limited use for
assessment of rooster sperm quality. Some morphological traits (toe length, leg
length, and leg width) might be associated with some sperm quality variables
(motility and membrane integrity).
PMID- 22080025
TI - Influence of red light on reproductive performance, eggshell ultrastructure, and
eye morphology in Thai-native hens.
AB - In total, 120 Thai-native pullets (Gallus domesticus) aged 18 wk were housed in
floor pens, located in a conventional open-sided shed under natural daylight
(12L:12D) and randomly divided into 3 groups; Groups 1 (DF) and 2 (DR) were
reared under natural daylight and supplemented with fluorescent or red light,
respectively, whereas group 3 (R) was maintained in light-controlled pens and
exposed only to red light. The red light was produced by light-emitting diodes.
All treatments were provided with 16 h of light per day (16L:8D) during a 26-wk
egg-laying period, and there were 4 replicate pens of 10 hens for each treatment.
Photostimulation of these light sources was initiated at 18 wk of age and
subsequent effects on reproductive performance were observed during the
experimental period. Morphological characteristics of the eyes and eggshell
microstructure were examined at the end of the study. Feed and water were
provided ad libitum. There were no significant differences in BW, feed intake,
egg weight, egg quality, or mortality rate due to the treatment. Pullets in the R
and DR treatment groups commenced egg production significantly earlier than those
in the DF treatment group. In early-season egg production (0-8 wk), cumulative
egg number was significantly (P < 0.05) higher for the R treatment (25.9
eggs/hen) than for the DR (20.9) and DF (19.5) treatment groups. No significant
differences in total egg production per hen occurred among the treatment groups.
At 2 wk following photostimulation, hens in the R treatment group had
significantly (P < 0.05) higher serum estradiol concentrations compared with hens
in the other treatment groups. Neither eggshell structure nor eye morphology was
affected by the treatments. It was concluded that the spectrum of red light did
not affect live performance, egg production, egg quality, eggshell
microstructure, or eye morphology of Thai-native hens, except for in accelerating
sexual development. The light-emitting diode lighting system would be beneficial
for energy savings and the reduction of rearing costs.
PMID- 22080026
TI - Chicken feet bacteriological quality at 4 steps of technological processing.
AB - The production of chicken feet is primarily intended for foreign markets, and
there is still no specific legislation in Brazil that determines the quality
standard of these products. The bacteriological quality of chicken feet was
evaluated as a product for human consumption at different steps of the
technological processes. Eighty broiler feet from 20 lots at 4 steps of
processing were collected for quantitative analysis, total count of aerobic
mesophilic bacteria, and determining the most probable number of coliforms and
fecal coliforms. Thirty-eight pools of 15 broiler feet each from 19 lots were
used for qualitative analysis and the isolation of Salmonella enterica spp. and
Escherichia coli O157:H7. Escherichia coli O157:H7 was not found in any of the
samples. Salmonella spp. were isolated in 68% (13/19) of the lots. The Salmonella
Schwarzengrund serotype was found in 12 of the 13 lots of positive samples and
the Salmonella Anatum and Salmonella Corvallis serotypes were identified in the
remaining lot. Processing is effective in reducing contamination by mesophilic
bacteria, coliforms, and Salmonella spp. in these products. This work constitutes
the first study in Brazil on microbiological quality of chicken feet.
PMID- 22080027
TI - Effect of alternative salt use on broiler breast meat yields, tenderness, flavor,
and sodium concentration.
AB - Fresh chicken breast fillets were marinated with gourmet-style salts: Himalayan
pink salt, Sonoma gourmet salt, sel gus de Guerande, and Bolivian rose salt to
evaluate their effects on marination and cook loss yields, tenderness, sensory
attributes, and sodium concentration. Fresh chicken breast fillets (48-h
postmortem) were vacuum tumbled (137 kPa at 20 rpm for 17 min) in a solution of
water, salt, and sodium tripolyphosphate at a level of 20% of the meat weights.
Instrumental analyses showed no significant difference (P > 0.05) in meat quality
with respect to marination yield, cook yield, or shear-force value. There were
also no significant differences (P > 0.05) in sensory descriptors between salt
treatments. However, Sonoma gourmet salt showed a tendency (P = 0.0693) to score
increased savory note values from panelists, whereas Bolivian rose salt received
the lowest score. There were no significant differences (P > 0.05) in sodium
concentrations between salt treatments, but numerically, sel gus de Guerande had
the lowest sodium concentration, which could be important in producing reduced
sodium products. Understanding different salts and sodium concentrations allows
the poultry industry to use gourmet salts in products and maintain overall meat
quality and flavor.
PMID- 22080028
TI - Survival of artificially inoculated Escherichia coli and Salmonella Typhimurium
on the surface of raw poultry products subjected to crust freezing.
AB - Escherichia coli and Salmonella spp. are ubiquitous in the poultry production
environment, and hence, their transmission to poultry products is of concern.
Industry has widely used freezing as a strategy to halt pathogen growth, and more
recently, crust freezing has been suggested as a means to improve mechanical
operations, quality, and safety of poultry products. The purpose of this study
was to evaluate the effect of crust freezing on the survival of Escherichia coli
and Salmonella Typhimurium that were artificially inoculated on the surface of
raw poultry products with or without adhering skin. Ampicillin-resistant (AR) E.
coli JM 109 and nalidixic acid-resistant (NAR) Salmonella Typhimurium were used
in the experiments. A set of cultures was subjected to cold-shock stress by
storage at 4 degrees C for 10 d. After being either cold-shocked or non-cold
shocked, commercial chicken breasts without skin and chicken thighs with skin
were inoculated in separate experiments with each bacterium. Samples were crust
frozen at -85 degrees C for 20 min or completely frozen at -85 degrees C for 60
min. The E. coli and Salmonella Typhimurium were recovered on appropriate
selective and nonselective media containing the corresponding antibiotic. Log
reductions and extent of injury were calculated and treatments were compared
using ANOVA. No significant differences were observed in the reduction of cold
shocked or non-cold-shocked bacteria on products with or without skin that were
crust or completely frozen. The average reduction for E. coli was 0.15 log(10)
cfu/mL of rinse, and for Salmonella Typhimurium 0.10 log(10) cfu/mL of rinse;
therefore, none of the final reductions were greater than the desired target (1
log). Bacterial cell injury was not significantly different (P > 0.05) among any
of the treatments. Data showed no practical significance for initial reduction of
these pathogens from crust freezing and thus, this technology should not be
considered as a strategy for the reduction of E. coli and Salmonella Typhimurium
on poultry.
PMID- 22080029
TI - Modeling the growth pattern of in-season and off-season Ross 308 broiler breeder
flocks.
AB - Growing the Ross broiler parent according to the target growth curve ensures that
males and females achieve optimum lifetime performance and well-being. Accurate
control of growth will lead to uniformity and sexual maturity, which are of
crucial importance for the production of hygienic, healthy, and fertile eggs of
high quality. This study examined the growth of Ross 308 broiler breeder flocks
from hatch to 35 wk of age to identify which growth model would describe the
growth of these animals most accurately. Growth was measured and modeled using
linear and nonlinear functions, and the experimental growth curves were compared
with target curves from the Parent Stock Management Manual for Ross 308
(Aviagen). Broiler breeder flock R6 (in-season from February until October) and
flock R7 (off-season from August until April) were kept in an environmentally
controlled breeder house from hatch until 35 wk of age. Three nonlinear growth
functions (logistic, Gompertz, and Richards) and 3 polynomial functions (linear,
second-order, and third-order) were applied. Parameters of the models were
estimated by the least squares procedure. The fit of growth curves to
experimental data was assessed using R(2). A t-test was used to identify
significant differences in the goodness of fit of the model to the different data
sets (breeder manual, R6, and R7). The third-order polynomial gave the best fit
to the Ross 308 parent broiler BW data, with R(2) ranging from 0.992 to 0.998.
Among the nonlinear growth functions, the Richards model gave the best fit to the
data, with R(2) ranging from 0.992 to 0.995. The advantage of second- and higher
order polynomial models is that they can be linearized and their parameters
estimated by linear regression.
PMID- 22080030
TI - A description of the growth of the major body components of 2 broiler chicken
strains.
AB - The objective of this work was to compare the growth and chemical composition of
the main body parts of males and females of the Ross and Cobb broiler strains
available in Brazil. In total, 1,920 chicks were raised in 16 floor pens, from
which 4 birds of each strain and sex were sampled weekly for the required
measurements. The strains and sexes were reared in separate pens, using 4
replications of 120 birds each. Four feeds, based on corn and soybeans, were used
during the trial: feed 1 from 1 to 7 d, feed 2 from 8 to 28 d, feed 3 from 29 to
49 d, and feed 4 from 50 to 56 d of age (all of the birds were given the same
feed for each time interval). All of the birds were weighed weekly and the 4
birds sampled from each strain and sex were weighed, fasted for 24 h, reweighed,
killed, eviscerated, and dissected. The breast, drums, thighs, and wings were
weighed, packed into identified plastic bags, and stored in a freezer to later be
thawed and minced. They were then freeze-dried to obtain the water content, after
which they were again milled before analyzing for protein, lipid, and ash using
AOAC procedures. The Gompertz equation was fitted to the weights of the body
parts as well as to the protein weights of the components. Using data from each
individual chicken that was sampled, allometric regressions were fitted to the
weights of the physical and chemical components, with ln body protein weight
being the independent variable and ln component weight being the dependent
variable. Although some of the allometric relationships between the various body
parts and body protein weight differed statistically between strains and sexes,
these differences were of little commercial significance, suggesting that the
relative growth rates of the different body components of the genotypes tested in
this trial have not been changed substantially by genetic selection.
PMID- 22080031
TI - Use of neural network models to estimate early egg production in broiler breeder
hens through dietary nutrient intake.
AB - In this study, neural network (NN) models were constructed to predict early egg
production in broiler breeder hens. By breaking down the early egg production
data collected from 98 breeder houses into weekly intervals, 5 NN-based models
were developed for 25 to 29 wk of age. Starting with 98 data lines for each week,
the NN models were trained by 69 data lines and the remainder (n = 29) were
considered as the testing set. The variables of interest for developing the
models were ME (kcal/bird per day) and CP, TSAA, Lys, Ca, and available P (g/bird
per day). The constructed models were subjected to an optimization algorithm.
Therefore, the optimal values for the input variables to maximize early egg
production in broiler breeder hens were obtained. Based on the considered
criteria to evaluate the goodness of fit, the efficiency of NN-based models to
estimate early egg production was confirmed. The optimization results revealed
that the breeder hens consuming 407, 457, 470, 486, and 487 kcal of ME/bird per
day showed the highest egg production during 25, 26, 27, 28, and 29 wk of age,
respectively. Moreover, optimal performance of hens required the intake (g/bird
per day) of the following during 25, 26, 27, 28, and 29 wk of age, respectively:
CP: 20.3, 22.6, 25, 25.8, and 26; TSAA: 0.88, 1.02, 1.06, 1.07, and 1.07; Lys:
0.98, 1.0, 1.2, 1.3, and 1.32; Ca: 4.5, 4.6, 5.3, 5.0, and 5.4; and available P:
0.48, 0.55, 0.6, 0.61, and 0.62. Although the results showed that the energy and
other nutrient requirements of broiler breeder hens during early egg production
do not change in parallel with age, it seems that the company recommendations
underestimated the nutrient requirements of hens during these weeks.
PMID- 22080032
TI - Effects of maternal energy efficiency on broiler chicken growth, feed conversion,
residual feed intake, and residual maintenance metabolizable energy requirements.
AB - This study investigated the effect of maternal energy efficiency on broiler
chicken growth and energy efficiency from 7 to 40 d of age. Residual feed intake
(RFI) and residual maintenance ME requirement (RME) were used to measure
energetic efficiency. Residual feed intake was defined as the difference between
observed and predicted ME intake, and RME(m) as the difference between observed
and predicted maintenance ME requirements. A total of 144 Ross-708 broiler
breeder pullets were placed in individual laying cages at 16 wk of age. Hens with
the greatest RFI (n = 32) and lowest RFI (n = 32) values from 20 to 56 wk of age
were selected (maternal RFI; RFI(mat)). Selected hens were retrospectively
assigned to a high- or low-RME(m) category (maternal RME(m); RME(mmat)). At 59
wk, eggs were collected for 8 d and pedigree hatched. A total of 338 broilers
grouped by dam and sex were raised in 128 cages where feed intake, BW, and
temperature were recorded from 7 to 40 d to calculate broiler feed conversion
ratios, RFI, and RME(m). The design was a 2 * 2 * 2 factorial with 2 levels of
RFI(mat), 2 levels of RME(mmat), and 2 sexes. Neither the RFI(mat) nor RME(mmat)
category affected broiler offpring BW or total conversion ratio. The high
RFI(mat) * low-RME(mmat) broilers had decreased growth to 40 d. Low-RFI(mat) *
low-RME(mmat) broilers had a lower RME(m) (-5.93 kcal of ME/kg(0.60) per day) and
RFI (-0.86 kcal of ME/d) than high-RFI(mat) * low-RME(mmat) broilers (RME(m) =
1.70 kcal of ME/kg(0.60) per day; RFI = 0.38 kcal of ME/d). Overall, hens with
low maintenance requirements (low RME(m)) produced more efficient broilers when
other efficiency related traits, represented in a lower RFI, were present.
Exclusion of high-RFI * low-RME(m) hens from selection programs may improve
energy efficiency at the broiler level. The RME(m) methodology is a viable
alternative to evaluate energy efficiency in broilers because it avoids
confounding environmental effects and allows measurement standardization.
PMID- 22080033
TI - Comparison of the effect of different methods of molt: production and welfare
evaluation.
AB - The purpose of this study was to evaluate alternative molting protocols assessing
hen welfare and performance during and after molt. Hyline W-36 pullets were
housed at 15 wk of age, and their egg production was obtained during this first
cycle. When birds were 80 wk, the following molting treatments were applied: a
conventional molt consisting of 10 d of fasting followed by cracked corn for 8 d
and a pullet developer diet for 10 d; and 4 alternative molting programs: a soy
hulls-based diet (12% CP, 1,455 kcal/kg of ME, and 1.38% Ca) offered for 14 d
followed by cracked corn for 4 d and a pullet developer diet for 10 d; and the
other 3 molt regimens consisted of feeding soy hulls for 4, 8, or 12 d followed
by 10, 6, or 2 d, respectively, of a soy hulls-based diet and 4 d of cracked corn
plus 10 d of a pullet developer diet. A nonmolted group of birds was fed a laying
hen diet during the experimental period. Hen-day egg number was recorded daily
for 56 wk (through 80-136 wk of age). The nonmolted hens showed lower hen-day
production and fewer intact eggs and a higher number of cracked and shell-less
eggs compared with those of the molted hens (P < 0.0001). A significant treatment
by age effect (P < 0.0001) was observed for the variables of high-density
lipoproteins and triglycerides. Control hens showed the lowest high-density
lipoprotein concentration and the highest triglyceride levels at 84 wk of age
when compared with all treatments. The lack of difference in heterophil-to
lymphocyte ratio during molt suggests little influence of molting protocols on
this variable. Regardless of the treatments, molting was deleterious to bone
quality. A high mobilization of Ca through bone resorption for eggshell formation
could explain the results obtained. Behavioral patterns coincided with a decline
in frustration activities and an increase in alertness as molt proceeded until 83
wk of age. Alternative molting diets consisting of soybean hulls were successful
in providing acceptable postmolt egg production performance.
PMID- 22080034
TI - Nanosilver effects on growth parameters in experimental aflatoxicosis in broiler
chickens.
AB - Aflatoxicosis is a cause of economic losses in broiler production. In this study,
the effect of one commercial nanocompound, Nanocid (Nano Nasb Pars Co., Iran) was
evaluated in reduction of aflatoxin effects on the growth and performance indices
in broiler chickens suffering from experimental aflatoxicosis. For this, a total
of 300 one-day-old broiler chicks (Ross strain) were randomly divided into 4
groups with 3 replicates of 15 chicks in each separated pen during the 28-day
experiment. Treatment groups including group A: chickens fed basal diet, group B:
chickens fed 3 ppm productive aflatoxin in basal diet, group C: chickens fed
basal diet plus 2500 ppm Nanocid, and group D: chickens fed 3 ppm productive
aflatoxin and 2500 ppm Nanocid, in basal diet. Data on body weight, body weight
gain (BWG), feed intake, and feed conversion ratio (FCR) were recorded at weekly
intervals. Also cumulative data were assessed. Results showed, although
supplement of Nanocid to conventional diet had no effect on performance but
addition of Nanocid to diet containing 3 ppm aflatoxin increased significantly
the cumulative BWG, cumulative feed consumption and decreased FCR in the last 2
weeks of experimental period. The improvement in these performance indices by
supplement of Nanocid to diet containing aflatoxin showed the ability of Nanocid
to diminish the inhibitory effects of aflatoxin.
PMID- 22080035
TI - The influence of the intensity of smoking and years of work in the metallurgy on
pro-oxidant/antioxidant balance in the blood of smelters.
AB - The aim of this study was to investigate the effect of cigarette smoking and
occupational exposure to heavy metals on the degree of pro-oxidant/antioxidant
imbalance in smelters. The investigations were performed on the blood and urine
of 400 subjects: 300 male copper smelters and 100 nonexposed male subjects.
Biological material was divided into three groups: nonsmokers, those who smoked
less than 20 cigarettes a day and those who smoked more than 20 cigarettes a day.
The results showed a significant increase in the concentration of lead, cadmium
and arsenic in the blood and urine of smelters, while smoking more than 20
cigarettes a day caused a further increase in the concentration of these metals.
The level of malondialdehyde was approximately twofold higher in the plasma of
the smelters compared to the control group. We have observed a disturbance in the
level of antioxidants in erythrocyte lysate manifested by an increase in
metallothionein and glutathione concentrations as well as superoxide dismutase
and glutathione peroxidase activities and the decrease in glutathione S
transferase activity. Cigarette smoking, years of work in metallurgy and age of
smelters were additional factors significantly affecting the pro
oxidant/antioxidant balance.
PMID- 22080036
TI - Effect of combined occupational exposure to noise and organic solvents on
hearing.
AB - Noise exposure has been commonly regarded as the main hazard of occupational
hearing loss. Recent studies indicate that several chemicals, including organic
solvents have ototoxic effects. This study aimed at evaluating the hearing of
workers exposed to both noise and a mixture of organic solvents at concentrations
anticipated as safe. The study comprised three groups. The first one included 70
workers exposed to noise only, the second group consisted of 93 workers exposed
to organic solvents and noise, and the control group included 59 individuals
exposed to neither noise nor organic solvents. The three groups were matched for
age, socioeconomic status, and smoking habit. The results of this study revealed
that there was no statistically significant difference between the two exposed
groups as regards the duration of exposure. There was a highly statistically
significant difference between the two exposed groups as regards the different
types of hearing loss (conductive deafness, sensory neural hearing loss, and
mixed type) compared with the control one. Our study reported that sensory neural
hearing loss occurred earlier in subjects with combined exposure to noise and
solvents at a mean duration of exposure (16.38 +/- 9.44 years) compared to (24.53
+/- 9.59 years) the subjects with sole exposure to noise. The difference between
the two groups was statistically significant regarding this type of hearing
impairment (p < 0.05). There was a positive significant correlation between
hearing impairment and duration of exposure in the two exposed groups. As regards
the results of the environmental monitoring, both noise exposure levels (dB) and
levels of different organic solvents measured (mg/m(3)) in different work
departments were less than the levels recommended by Egyptian Environmental Law
No. 4 for 1994. It is recommended that in the case of combined exposure, noise
and solvent levels should be lowered than the permissible limits recommended for
either alone.
PMID- 22080037
TI - Mercury induces the expression of cyclooxygenase-2 and inducible nitric oxide
synthase.
AB - Nuclear factor-kappaB (NF-kappaB) is a transcription factor that mediates the
inducible expression of a variety of genes involved in immune and inflammatory
responses. NF-kappaB activation induces numerous proinflammatory gene products
including cytokines, cyclooxygenase-2 (COX-2), and inducible nitric oxide
synthase (iNOS). The divalent heavy metal mercury has been used for thousands of
years. Although mercury is clearly toxic to most mammalian organ systems,
especially the immune system, exposure has still increased in some areas of the
world. However, the underlying toxic mechanism is not clearly identified. Here,
we report biochemical evidence that mercury alone induces NF-kappaB activation,
resulting in the induced expression of COX-2 and iNOS. The results suggest that
mercury can induce inflammatory diseases by lowering host defense.
PMID- 22080038
TI - Species identification of the Northern shrimp (Pandalus borealis) by polymerase
chain reaction-restriction fragment length polymorphism and proteomic analysis.
AB - Genomic and proteomic techniques for species identification of meat and seafood
products are being widely used. In this study, a genomic approach was used to
differentiate Pandalus borealis (the Northern shrimp), which belongs to the
superfamily Pandaloidea, from 30 crustaceans consisting of 19 commercially
relevant prawns/shrimps species that belong to the superfamily Penaeoidea, which
include the families Penaeidae and Solenoceridae, and 11 other crustacean
species, including prawns, shrimps, lobsters, and crabs. For this purpose, a
polymerase chain reaction-restriction fragment length polymorphism (PCR-RFLP)
method was designed based on the amplification of the 16S rRNA/tRNA(Val)/12S rRNA
mitochondrial regions using the primers 16S-CruF and 16S-CruR. The 966-bp PCR
products were produced and cleaved with the restriction enzymes AluI, TaqI, and
HinfI, which provided species-specific restriction patterns. In addition, a
proteomic approach, based on matrix-assisted laser desorption/ionization time-of
flight (MALDI-TOF) and electrospray ionization-ion trap (ESI-IT) mass
spectrometry, was used to identify and characterize new P. borealis-specific
peptides that could be useful as potential markers of this species in protein
based detection methods. To our knowledge, this is the first time a molecular
method has been successfully applied to identify a wide range of prawn and shrimp
species, including P. borealis, for either whole individuals or processed
products. However, validation of the methods proposed here is required by
applying them to a larger sample of individuals from different populations and
geographic origins in order to avoid mainly false-negative results.
PMID- 22080039
TI - Selective determination of inosine in the presence of uric acid and hypoxanthine
using modified electrode.
AB - This article describes the selective determination of inosine (INO) in the
presence of important physiological interferents, uric acid (UA) and hypoxanthine
(HXN), by differential pulse voltammetry at physiological pH (7.2) using the
electropolymerized film of 3-amino-5-mercapto-1,2,4-triazole (p-AMTa) modified
glassy carbon (GC) electrode. The electropolymerization of AMTa was carried out
by the potentiodynamic method in 0.1M H(2)SO(4). An atomic force microscopy image
shows that the p-AMTa film contains a spherical-like structure. Bare GC electrode
fails to resolve the voltammetric signal of INO in the presence of UA and HXN due
to the surface fouling caused by the oxidized products of UA and HXN. However, p
AMTa film modified GC electrode (p-AMTa electrode) not only separates the
voltammetric signals of UA, HXN, and INO, with potential differences of 730 mV
between UA and HXN and 310 mV between HXN and INO, but also shows enhanced
oxidation current for them. The selective determination of INO in the presence of
UA and HXN at physiological pH was achieved for the first time. Using the
amperometric method, we achieved the lowest detection of 50 nM for INO. The
practical application of the current modified electrode was demonstrated by
determining the concentration of INO in human blood serum and urine samples.
PMID- 22080040
TI - Development and application of an in vitro apoptin kinase assay.
AB - Apoptin, a protein derived from chicken anemia virus (CAV), induces apoptosis
selectively in human tumor cells as compared with normal cells. This activity
depends on phosphorylation and relocation of apoptin to the nucleus of cancer
cells. Here, we describe an in vitro kinase assay that allows the biochemical
characterization of apoptin kinase activity in tumor cells. The kinase
phosphorylates apoptin in a strictly ATP-dependent fashion and in a broad salt
range. The kinase activity is present constitutively in both cytoplasm and
nucleus of various human tumor cells. Q-column chromatography showed that both
cytoplasmic and nuclear fractions have identical fractionation characteristics,
suggesting that the same kinase is present in both cellular compartments. Kinase
activity derived from positive Q-column fractions bound to amylose-maltose
binding protein (MBP)-apoptin and could be eluted with ATP only in the presence
of the cofactor Mg(2+). Apparently, unphosphorylated apoptin interacts with the
kinase and is released only after phosphorylation has occurred, proving that our
assay recognizes the genuine apoptin kinase. This is further corroborated by the
finding that apoptin is phosphorylated in vitro at positions Thr108 and Thr107,
in concert with earlier in vivo observations. Our assay excludes cyclin-dependent
kinase 2 (CDK2) and protein kinase C beta (PKC-beta), previously nominated by two
separate studies as being the genuine apoptin kinase.
PMID- 22080041
TI - A thin-layer chromatography plate prepared from BODIPY-based receptor immobilized
SiO2 nanoparticles as a portable chemosensor for Pb2+.
AB - A new fluorescence receptor based on BODIPY-immobilized silica nanoparticles
(BODIPY-SiO(2)) exhibits a high affinity and selectivity for Pb(2+) over
competing metal ions in water. An overall emission change of ca. 100-fold at the
emission maximum was observed for Pb(2+). The fluorescence receptor BODIPY-SiO(2)
can remove 97% and 95% of the initial 100 ppb Pb(2+) from human blood and waste
solution, respectively. Experiments show the fluorescence receptor BODIPY-SiO(2)
can be a potentially useful and effective agent for the selective separation and
rapid removal of Pb(2+)in vivo. We also prepared a portable chemosensor kit by
coating a 4 MUm thick film of BODIPY-SiO(2) onto a glass substrate. We found that
this BODIPY-SiO(2) film detects Pb(2+) ions at pH 7.4 with a sensitivity of 3.2
nM. Finally, we tested the effect of pH on BODIPY-SiO(2) with Pb(2+) ions between
pH 3.0 and 11.0. The fluorescence changes of BODIPY-SiO(2) were almost constant
between pH 3 and 11. The results imply that the BODIPY-SiO(2) film is applicable
as a portable chemosensor for detection of Pb(2+) ions in the environmental
field.
PMID- 22080042
TI - Partial removal of brown adipose tissue enhances humoral immunity in warm
acclimated Mongolian gerbils (Meriones unguiculatus).
AB - Temperate rodent species experience marked seasonal fluctuations in environmental
temperatures. High thermoregulatory demands during winter usually weaken immune
function. Brown adipose tissue (BAT) plays a crucial role in adaptive
thermoregulatory process. Thus, we proposed the hypothesis that BAT might
participate in the regulation of seasonal changes in immune function. The present
study examined the trade-off between thermoregulation and immune function and the
potential role of BAT in regulating seasonal changes in immune function in
Mongolian gerbils. Specifically, surgical removal of interscapular BAT (34% of
total BAT) was performed in male gerbils, and subsequently acclimated to either
warm (23 +/- 1 degrees C) or cold (4 +/- 1 degrees C) conditions. Gerbils were
then challenged with innocuous antigens and the immune responses were measured.
Resting metabolic rate (RMR) and nonshivering thermogenesis (NST) were increased
under cold conditions. However, the cost of thermoregulation during cold
acclimation did not suppress T-cell mediated immunity and humoral immunity or
decrease spleen mass, thymus mass and white blood cells. Partial removal of BAT
significantly enhanced humoral immunity in warm-acclimated, but not in cold
acclimated gerbils. T-cell mediated immunity, white blood cells and immune organs
were not affected by BAT removal under both warm and cold conditions.
Collectively, our results imply that BAT has a suppressive effect on humoral
immunity in warm-acclimated gerbils and differential effects of BAT on humoral
immunity under different temperatures (e.g., summer and winter) might be benefit
to their survival.
PMID- 22080043
TI - The developmental stage of chicken embryos modulates the impact of in ovo
olfactory stimulation on food preferences.
AB - Like mammals, bird embryos are capable of chemosensory learning, but the ontogeny
of their feeding preferences has not been examined. We tested if the timing of
stimulation in chicken embryos modulates the impact of in ovo olfactory
stimulation on later food preferences. We exposed chicken embryos to an olfactory
stimulus for a 4-day period in the middle or toward the end of the incubation
period. The chicks were tested for their preference between foods with and
without the olfactory stimulus in 3-min choice tests and on a 24-h time scale.
Regardless of the type of food (familiar or novel) or the duration of the test,
the control chicks not exposed to the olfactory stimulus consistently showed
significant preferences for non-odorized foods. Chicks that were exposed in ovo
to the olfactory stimulus did not show a preference for odorized or non-odorized
foods. Only those chicks that were exposed to the olfactory stimulus toward the
end of the incubation period differed from the controls and incorporated a higher
proportion of odorized food into their diets on a 24-h time scale. This result
indicates that olfactory stimulation at the end of embryonic development has a
stronger impact on later feeding preferences. Our findings contribute to the
growing pool of recent data appreciating the impact of olfactory signals on
behavior regulation in avian species.
PMID- 22080044
TI - Isolation and purification of seven lignans from Magnolia sprengeri by high-speed
counter-current chromatography.
AB - Seven lignans including (-)-maglifloenone, futoenone, magnoline, cylohexadienone,
fargesone C, fargesone A and fargesone B were isolated and purified from Magnolia
sprengeri Pamp. using high-speed counter-current chromatography (HSCCC) with two
step separation. In the first step, a stepwise elution mode with the two-phase
solvent system composed of petroleum ether-ethyl acetate-methanol-water
(1:0.8:0.6:1.2, 1:0.8:0.8:1, v/v) was used and 15.6 mg of (-)-maglifloenone, 19.2
mg of futoenone, 10.8 mg of magnoline, 14.7 mg of cylohexadienone and 217 mg
residues were obtained from 370 mg crude extract. In the second step, the
residues were successfully separated by HSCCC with the solvent system composed of
petroleum ether-ethyl acetate-methanol-water (1:0.8:1.2:0.6, v/v), yielding 33.2
mg of fargesone C, 47.5 mg of fargesone A and 17.7 mg of fargesone B. The
purities of the separated compounds were all over 95% determined by HPLC. The
chemical structures of these compounds were confirmed by (1)H NMR, (13)C NMR and
ESI-MS.
PMID- 22080045
TI - The relationship between Candida species charge density and chitosan activity
evaluated by ion-exchange chromatography.
AB - Chitosan, a natural biopolymer presents antifungal activity that seems to be
dependent on the interaction of its cationic amino groups and yeast cell surface.
In this work we used ion-exchange chromatography to assess the surface charge
density of Candida species and subsequently to relate this with their sensitivity
profile to chitosan. The ability of several strains from distinct Candida species
to interact with strong anionic and cationic exchangers was tested and the yeasts
charge surface was assessed by measuring the zeta potential. Our results showed
that all the yeast cells tested presented no interaction with the cationic resin
and a species-related pattern of interaction was observed with the anionic resin.
Specifically, regarding the Q-Sepharose support, Candida glabrata showed the
lower retention affinity, followed by Candida albicans, presenting Candida
tropicalis an intermediate profile; Candida parapsilosis and Candida
guilliermondii revealed a stronger ionic interaction. The yeasts retention
synergy in the anionic resin corroborates with the zeta potential outcomes. The
behavior observed fit with sensitivity patterns to chitosan as the most
susceptible species to chitosan presented higher affinity to the anionic resin in
contrast to the less sensitive ones (C. albicans and C. glabrata). This data
confirms and reinforces that chitosan activity is probably mediated by an ionic
reaction between its amino free groups and ionic charges at the cell surface.
PMID- 22080046
TI - Hearts and minds.
AB - The American Heart Association liberalised guidelines for carotid stenting (CAS)
into average risk patients based on the following interpretations and
assumptions; (i) CAS doubles the risk of procedural stroke; (ii) CEA doubles the
risk of procedural myocardial infarction (MI); (iii) peri-operative MI
significantly reduces long-term survival; (iv) poorer long-term survival is
attributable to a greater proportion of CEA patients dying after their peri
operative MI. (v) reduced survival in CEA patients suffering a peri-operative MI
offsets any benefit conferred by the lower procedural stroke risk so that; (vi)
CAS is considered equivalent to CEA and may even be safer in those considered
high risk for procedural MI. However, this much publicised rationale is flawed by
the simple fact that the poorer survival rates observed in CREST were not
attributable to a greater proportion of CEA patients dying following their
procedural MI. In fact, a relatively higher proportion of CAS patients suffering
a peri-operative MI died during follow-up. This observation changes how the
literature should be interpreted. The clinical reality is that up to 10% of
patients will suffer a stroke within seven days of their index TIA and the
benefits of intervening in the hyperacute period after onset of symptoms (ie
offering greater stroke prevention) will far outweigh any potential consequences
of peri-operative MI and reduced life expectancy. Peri-operative MI should
inform, but not drive the current debate. More importantly, it should not deflect
attention away from the most important management priority; the prevention of
stroke. This is one situation where the heart should not rule the head!
PMID- 22080047
TI - Distinct microhemodynamic efficacy of arteriogenesis and angiogenesis in
critically ischemic skin flaps.
AB - Angiogenesis and arteriogenesis are regenerative vascular mechanisms dedicated to
cope with critical ischemia after the interruption of the anatomical axial blood
supply. The aim of the present study was to visualize, quantify and monitor the
orchestration of these mechanisms and their microhemodynamic efficacy. A murine
skin flap model was used that allowed for repetitive investigation of identical
vascular structures by intravital microscopy. In the conduit arterioles, diameter
and relative length increased to 133 +/- 20% and 260 +/- 80% over 7 days,
respectively (both P<0.01), which reduced vascular resistance in this segment to
82 +/- 35%. After 1 week, a peak in accumulation of activated leukocytes could be
observed in the postcapillary venules (P<0.01) without relevant hemodynamic
changes. Thereafter, the arteriolar remodeling was replaced by angiogenesis.
Functional capillary density was increased to 141 +/- 10% (P<0.01) and capillary
diameter to 123 +/- 6% (P<0.01) after 14 days. Both mechanisms of vascular
regeneration were associated with increases in the capillary perfusion index, to
194 +/- 42% (P<0.05) after 7 days and 366 +/- 21% after 14 days (P>0.01).
Immunohistochemical analysis revealed a correlation of arteriogenesis with eNOS
upregulation and of angiogenesis with VEGF upregulation in the corresponding
vessels. In conclusion, arteriogenesis was the initial regenerative mechanism
leading to arteriolar remodeling, reduction in vascular resistance, and increase
in capillary perfusion over the first 7 days. Thereafter, capillary perfusion was
improved by angiogenesis in terms of an increase in functional capillary density.
PMID- 22080048
TI - Noninvasive assessment of localized inflammatory responses.
AB - Inflammatory diseases are associated with the accumulation of activated
inflammatory cells, particularly polymorphonuclear neutrophils (PMNs), which
release reactive oxygen species (ROS) to eradicate foreign bodies and
microorganisms. To assess the location and extent of localized inflammatory
responses, L-012, a highly sensitive chemiluminescent probe, was employed to
noninvasively monitor the production of ROS. We found that L-012-associated
chemiluminescence imaging can be used to identify and to quantify the extent of
inflammatory responses. Furthermore, regardless of differences among animal
models, there is a good linear relationship between chemiluminescence intensity
and PMN numbers surrounding inflamed tissue. Depletion of PMNs substantially
diminished L-012-associated chemiluminescence in vivo. Finally, L-012-associated
chemiluminescence imaging was found to be a powerful tool for assessing implant
mediated inflammatory responses by measuring chemiluminescence intensity at the
implantation sites. These results support the use of L-012 for monitoring the
kinetics of inflammatory responses in vivo via the detection and quantification
of ROS production.
PMID- 22080049
TI - Elevated labile Cu is associated with oxidative pathology in Alzheimer disease.
AB - Oxidative stress is implicated in Alzheimer disease (AD) pathogenesis, for which
evidence indicates that radical species are generated by the redox-active
biometal Cu. The contribution of labile Cu to the oxidative stress observed in AD
has not been evaluated. The Cu content of postmortem cortical tissue from
nondemented elderly controls and AD cases was measured using inductively coupled
plasma mass spectroscopy, and the proportion of labile Cu was assessed using the
Cu-phenanthroline assay. Further, the capacity of the tissue to stabilize Cu(2+)
was evaluated using immobilized metal-affinity chromatography, and the level of
tissue oxidative damage was determined by the presence of thiobarbituric acid
reactive compounds. We identified elevated levels of exchangeable Cu(2+), which
were correlated with tissue oxidative damage; additionally, we noted an increased
capacity of AD cortical tissue samples to bind Cu(2+). This deranged Cu
homeostasis reflects the homeostatic breakdown of Cu observed in AD and supports
biometal metabolism as a therapeutic target.
PMID- 22080050
TI - Impact of a conservative red blood cell transfusion strategy in children
undergoing hematopoietic stem cell transplantation.
AB - A 2008 randomized trial of critically ill, but stable, children reported the
safety of transfusing red blood cells at a hemoglobin threshold of 7 g/dL. In
2009, we adopted the same transfusion criteria in our hematopoietic stem cell
transplantation patients. Regression modeling was used to compare data obtained
during primary admission for hematopoietic stem cell transplantation in calendar
years before and after our practice change. Sixty-six patients admitted in the
preintervention year were compared with 75 postintervention. Pre- and
postpatients were similar in diagnoses and type of transplantations.
Postintervention, median hemoglobin pretransfusion significantly decreased from
8.8 g/dL to 6.8 g/dL (P < .0001). In addition, transfused red blood cell units
received by patients dropped from 4 (interquartile range [IQR] 3, 8) to 3 (IQR,
2, 5), (P = .002), and number of transfusion days per patients decreased from 4
(IQR, 2,5) to 3 (IQR, 2, 5), (P = .01). There were no differences in length of
stay, time to engraftment, or 100-day mortality. Median blood product charges per
patient significantly decreased ($3,624 [IQR, $2,265, $6,040] to $2,185 [IQR,
$1,812, $3,997], P = .004). Our initial experience suggests that implementation
of a conservative transfusion strategy in otherwise stable children undergoing
hematopoietic stem cell transplantation appears safe and lowers transfusion
exposures.
PMID- 22080052
TI - Conjugation of two complementary anti-cancer drugs confers molecular hydrogels as
a co-delivery system.
AB - We reported in this communication on the first example of a molecular hydrogel
system based on two complementary anti-cancer drugs for chemotherapy.
PMID- 22080051
TI - The effect of orally administered glycogen on anti-tumor activity and natural
killer cell activity in mice.
AB - Natural killer (NK) cells, innate immune effectors that mediate rapid responses
to various antigens, play an important role in potentiating host defenses through
the clearance of tumor cells and virally infected cells. By using enzymatically
synthesized glycogen (ESG) with the same characteristics as natural glycogen, we
examined whether orally administered glycogen enhances the innate defense of
tumor-implanted mice and the cytotoxicity of NK cells. Oral administration of ESG
led to the suppression of tumor proliferation and the prolongation of survival
times of tumor-bearing mice. Splenic NK activities of BALB/c mice treated orally
with ESG were significantly higher than those of water-treated mice, which were
used as a negative control. In addition, intraduodenal injections of ESG
gradually and markedly lowered splenic sympathetic nerve activity, which has an
inverse correlation with NK activity. Furthermore, ESG activated Peyer's patch
cells to induce the production of macrophage inflammatory protein-2 (MIP-2),
interleukin-6 (IL-6), and immunoglobulin A (IgA) from these cells. These results
demonstrated that orally administrated glycogen significantly enhanced the
cytotoxicity of NK cells by acting on Peyer's patch cells and autonomic nerves,
and eventually induced the potentiation of host defenses. We propose that
glycogen functions not only as an energy source for life support but also as an
oral adjuvant for immunopotentiation.
PMID- 22080053
TI - Influence of the coordination mode in [Ni{RC(S)NP(S)(OiPr)2}2] for the formation
of nickel-containing nanoparticles.
AB - The complex [Ni{2-PyNHC(S)NP(S)(OiPr)(2)-1,5,7-N,N',S}(2)] ([NiL(I)(2)])
dissolved in tri-n-octylphosphine (TOP) is decomposed in hot hexadecylamine (HDA)
to give TOP-capped Ni nanoparticles. The same procedure using [Ni{2
MeC(6)H(4)NHC(S)NP(S)(OiPr)(2)}(2)-1,3-N,S] ([NiL(II)(2)]) and
[Ni{PhC(S)NP(S)(OiPr)(2)-1,5-S,S'}(2)] ([NiL(III)(2)]) leads to the formation of
NiS nanoparticles with the rhombohedral and hexagonal structures, respectively.
NiH(x) nanoparticles were also produced from a mixture of [NiL(I)(2)] and
N(2)H(4). The obtained Ni nanoparticles can be used for the catalytic addition of
Ph(2)S(2) to 1-, 2- and 3-hexynes.
PMID- 22080054
TI - Human erythema and matrix metalloproteinase-1 mRNA induction, in vivo, share an
action spectrum which suggests common chromophores.
AB - Matrix metalloproteinase 1 (MMP-1) is widely regarded as a biomarker of
photoageing. We tested the hypothesis that MMP-1 mRNA expression and erythema
share a common action spectrum by comparing the effects of erythemally equivalent
doses of UVB, UVA1 and solar simulated radiation (SSR) on acute MMP-1 mRNA
expression in whole human skin in vivo. Our results show comparable MMP-1
expression with all three spectra, which supports our hypothesis. The sharing of
an action spectrum implies common chromophores, one of which is likely to be DNA.
We have previously shown that all spectra that we used readily induce cyclobutane
thymine dimers (T<>T) in human epidermis in vivo but we lack quantitative data on
damage to dermal DNA. This is important because we do not know if dermal MMP-1
induction occurs via direct damage to the dermis, or indirectly via damage to the
epidermis. Our results show that UVB induces about 3 times more T<>T compared
with erythemally equivalent doses of UVA1, which is similar to our published
epidermal data. This supports previously published work that also implicates an
unknown UVA1 chromophore for erythema and MMP-1 induction. However, the
distribution of the dermal DNA damage varies considerably with spectrum. In the
case of UVB it is primarily in the upper dermis, but with UVA1 it is evenly
distributed. Thus, irrespective of chromophores, MMP-1 induction by direct dermal
damage by both spectra is possible. The practical conclusions of our data are
that the small (<5%) UVB content of solar UVR is likely to be the main cause of
photoageing, at least in terms of MMP-1 expression. Furthermore, prevention of
erythema by sunscreen use is likely to result in reduced MMP-1 expression.
PMID- 22080055
TI - ERG immunohistochemistry is not predictive for PSA recurrence, local recurrence
or overall survival after radical prostatectomy for prostate cancer.
AB - In prostate cancer genomic rearrangements involving genes encoding ETS
transcription factors are commonly present, with androgen-regulated transmembrane
protease, serine 2 (TMPRSS2)-v-ets erythroblastosis virus E26 oncogen homologue
(ERG) gene fusion occurring in 40-70%. Studies on the predictive value of ERG
rearrangement as detected by in-situ hybridization or polymerase chain reaction
have resulted in varying outcomes. The objective of this study was to correlate
immunohistochemical ERG protein expression with clinico-pathological parameters
at radical prostatectomy specimens, and to determine its predictive value for
postoperative disease recurrence and progression in a prostate cancer screening
cohort. Since androgen receptor is downregulated by ERG in cell lines, we also
compared the expression of respective proteins. We selected 481 participants from
the European Randomized Study of Screening for Prostate Cancer treated by radical
prostatectomy for prostate adenocarcinoma. A tissue microarray was constructed
containing representative cores of all prostate cancer specimens as well as 22
xenografts and seven cell lines. Immunohistochemical expression of ERG and
androgen receptor was correlated with prostate-specific antigen (PSA), Gleason
sum, pT-stage, surgical margins, biochemical recurrence, local recurrence,
overall death and disease-specific death. ERG expression was detected in 284
patients (65%). Expression occurred significantly more frequent in patients with
PSA <=10 ng/ml (P=0.024). There was no significant association between ERG and
Gleason sum, pT-stage or surgical margin status. PSA (P=0.011), Gleason sum
(P=0.003), pT-stage (P=0.001) and surgical margin status (P<0.001) all had
independent value for postoperative biochemical recurrence, while positive
surgical margin (P=0.021) was the only independent predictor for local
recurrence. ERG protein expression did not have prognostic value for the clinical
end points in uni- and multivariate analyses. A positive correlation existed
between ERG and androgen receptor expression in single tissue cores (P<0.001). In
conclusion, immunohistochemical ERG expression has no predictive value for
prostate cancer recurrence or progression after radical prostatectomy. Increasing
ERG levels are associated with the upregulation of androgen receptor expression
in clinical specimens.
PMID- 22080056
TI - CD133 expression associated with poor prognosis in ovarian cancer.
AB - As a putative marker for cancer stem cells in human malignant tumors, including
ovarian cancer, CD133 expression may define a tumor-initiating subpopulation of
cells and is associated with the clinical outcome of patients. However, at this
time its clinical significance in ovarian cancer remains uncertain. The aim of
this study was to clarify the clinical role of CD133 expression in human ovarian
cancer. Immunohistochemical staining of CD133 expression was performed in 400
ovarian carcinoma samples using tissue microarray. The associations among CD133
expression and clinical factors (diagnosis, tumor grade, cancer stage, and
clinical response to chemotherapy), overall survival and disease-free survival
time were analyzed. CD133 expression was found in 31% of ovarian carcinoma
samples. Fisher's exact test and one-way analysis of variance suggested that
CD133 expression was associated with high-grade serous carcinoma (P=0.035), late
stage disease (P<0.001), ascites level (P=0.010), and non-response to
chemotherapy (P=0.023). CD133 expression was also associated with shorter overall
survival time (P=0.007) and shorter disease-free survival time (P<0.001) by log
rank test. Moreover, CD133 expression was an independent predictor of shorter
disease-free survival time in an unconditional logistic regression analysis with
multiple covariates (P=0.024). Our results thus show that CD133 expression is a
predictor of poor clinical outcome for patients with ovarian cancer, supporting
the proposed link between CD133 and cancer stem cells.
PMID- 22080057
TI - Metaplastic breast carcinomas are enriched in markers of tumor-initiating cells
and epithelial to mesenchymal transition.
AB - Metaplastic breast carcinomas constitute a distinct aggressive form of invasive
breast cancer with histological evidence of epithelial to mesenchymal transition
toward spindle, chondroid, or osseous cell types. During tumorigenesis,
epithelial to mesenchymal transition promotes invasion and metastasis and has
been linked to the presence of stem cells. We hypothesized that metaplastic
carcinomas may express epithelial to mesenchymal transition markers and may be
enriched in tumor-initiating cells specifically in the non-glandular metaplastic
elements. In 27 primary metaplastic carcinomas of the breast we tested the
expression of epithelial to mesenchymal transition inducers ZEB1 and E-cadherin
and the presence of tumor-initiating cells by using aldehyde dehydrogenase-1
(ALDH-1) and CD44(+)/CD24(-/low) immunohistochemistry. Of the 27 metaplastic
carcinomas, 20 (74%) had squamous and/or spindle areas and 7 (26%) had
heterologous elements (6 chondroid and 1 osseous). ALDH-1-positive and
CD44(+)/CD24(-/low)-expressing cells were detected in the non-glandular
metaplastic components (Fisher's exact, P=0.0017). E-cadherin expression was
reduced or absent (aberrant) in all metaplastic components whereas it was normal
in the glandular areas. On the contrary, overexpression of ZEB1 was detected in
41% (11 of 27) of the non-glandular, metaplastic components, and in none of the
glandular areas. The presence of tumor-initiating cells, aberrant E-cadherin, and
ZEB1 upregulation was associated in over 90% of the spindle areas and
heterologous elements (chi(2) test, P<0.05). We provide first in situ evidence
that epithelial to mesenchymal transition inducers and tumor-initiating cells are
present specifically in the non-glandular components of metaplastic carcinomas.
PMID- 22080058
TI - Extrathoracic metastases of thymic origin: a review of 35 cases.
AB - Thymic tumors are categorized as types A, AB, B1, B2, B3, and thymic carcinoma
under the World Health Organization (WHO) classification. Thymomas are typically
slow growing tumors that predominantly involve the surrounding structures through
direct invasion, while thymic carcinomas tend to be more aggressive. A
significant number of patients are asymptomatic and can present with metastases
as the first presentation. The exact incidence of extrathoracic metastases from
thymoma is not known. This study describes a series of 35 cases of histologically
documented metastatic thymomas and thymic carcinomas at extrathoracic sites.
These cases were classified according to the current World Health Organization
(WHO) classification criteria, and we present their clinical data as well as
discuss the differential diagnoses of these lesions. Our study shows that all
types of thymic tumors, regardless of histologic type, can be associated with
invasion and metastases to thoracic and extrathoracic sites.
PMID- 22080059
TI - PAX2-null secretory cell outgrowths in the oviduct and their relationship to
pelvic serous cancer.
AB - With the exception of germ-line mutations in ovarian cancer susceptibility genes,
genetic predictors for women destined for ovarian serous cancer cannot be
identified in advance of malignancy. We recently showed that benign secretory
cell outgrowths (SCOUTs) in the oviduct are increased in frequency with
concurrent serous cancer and typically lack PAX2 expression (PAX2-null). The
present study examined the relationship of PAX2-null SCOUTs to high-grade serous
cancers by comparing oviducts from women with benign gynecologic conditions and
high-grade serous cancers. PAX2-null SCOUTs were identified by immunostaining and
computed as a function of location, frequency (F) per number of cross-sections
examined, and age. Six hundred thirty-nine cross-sections from 35 serous cancers
(364) and 35 controls (275) were examined. PAX2-null SCOUTs consisted of discrete
linear stretches of altered epithelium ranging from cuboidal/columnar, to
pseudostratified, the latter including ciliated differentiation. They were evenly
distributed among proximal and fimbrial tubal sections. One hundred fourteen
(F=0.31) and 45 (F=0.16) PAX2-null SCOUTs were identified in cases and controls,
respectively. Mean individual case-specific frequencies for cases and controls
were 0.39 and 0.14, respectively. SCOUT frequency increased significantly with
age in both groups (P=0.01). However, when adjusted for age and the number of
sections examined, the differences in frequency between cases and controls
remained significant at P=0.006. This study supports a relationship between
discrete PAX2 gene dysregulation in the oviduct and both increasing age and, more
significantly, the presence of co-existing serous cancer. We propose a unique co
variable in benign oviductal epithelium-the PAX2-null SCOUT-that reflects
underlying dysregulation in genes linked to serous neoplasia.
PMID- 22080060
TI - p16INK4a and p14ARF mRNA expression in Pap smears is age-related.
AB - Expression of high-risk HPV oncogenes results in a strong overexpression of
cellular protein p16(INK4a). Immunohistochemical staining for p16(INK4a) is
widely used as diagnostic marker. However, p16(INK4a) upregulation was also
described as a biomarker of age. Here we analyzed p16(INK4a) expression in
cervical smears to investigate if patient age may influence p16(INK4a)-based
cervical cancer diagnosis. p14(ARF) was analyzed as a related supportive
biomarker. Cervical scrapes were taken and stored in RNAlater. Total RNA was
extracted, and cDNA was analyzed for expression of p16(INK4a) and p14(ARF)
relative to beta-actin, by real-time reverse transcriptase PCR SYBR-Green I
assays. Patient-derived smears referred as HSIL (n=45) had 6.27-fold higher
p16(INK4a) mRNA expression than smears of cytologically normal and HPV-negative
persons (n=48). Expression of p14(ARF) was 4.87-fold higher. When women with
normal diagnoses were stratified for age, a significantly enhanced p16(INK4a)
(2.88-fold) and p14(ARF) (1.9-fold) expression was observed as a consequence of
ageing. A significant age-dependent upregulation was also observed in older HSIL
patients (2.54-fold). Our study revealed significantly enhanced expression of
p16(INK4a)/p14(ARF) mRNA in cervical scrapes referred to as HSIL compared with
normal women. An age-dependent bias has to be considered when quantifying these
tumor suppressor genes, with respect to cervical cancer development.
PMID- 22080061
TI - Therapy-related myeloid neoplasms following fludarabine, cyclophosphamide, and
rituximab (FCR) treatment in patients with chronic lymphocytic leukemia/small
lymphocytic lymphoma.
AB - This study is focused on therapy-related myeloid neoplasms after the most
promising frontline FCR (fludarabine, cyclophosphamide, and rituximab) therapy in
previously untreated chronic lymphocytic leukemia patients. A total of 28 therapy
related myeloid neoplasm patients were identified, including 19 patients from 3
well-controlled FCR frontline trials (n=426 patients), giving an estimated
frequency of 4.5% (1.9-8.3%) in a follow-up period of 44 months (range 5-122
months). Clinically, therapy-related myeloid neoplasms could emerge directly from
'prolonged myelosuppression' after FCR (10 patients), or after achieving complete
hematological recovery (n=18). The overall latency was 35 months (range 3-118
months), with the former group of 23 months and the latter 42 months (P<0.001).
In all, 10 cases presented as therapy-related acute myeloid leukemia and 18 as
therapy-related myelodysplastic syndromes. Abnormal cytogenetics was present in
26 of 27 (96%) patients, with frequent chromosomes 5 and 7 abnormalities. The
median survival was 7 months after therapy-related myeloid neoplasms. Our results
indicate that the risk of therapy-related myeloid neoplasms secondary to
frontline FCR therapy may not be as high as previously reported after removing
the confounding factor of previous cytotoxic exposure, but this risk increased
with older age and likely growth factor co-administration. Therapy-related
myeloid neoplasms after FCR therapy shares clinicopathological features with
therapy-related myeloid neoplasms secondary to other alkylating agents, but has a
shorter latency interval indicating possible synergetic effects of the nucleotide
analog fludarabine. The fact that therapy-related myeloid neoplasms can directly
emerge from 'prolonged myelosuppression' warrants a bone marrow examination to
rule out therapy-related myeloid neoplasms in this clinical setting.
PMID- 22080062
TI - Aldehyde dehydrogenase 1A1 expression in breast cancer is associated with stage,
triple negativity, and outcome to neoadjuvant chemotherapy.
AB - Studies have shown that ALDH1A1 expression in the breast is associated with worse
clinical outcome. ALDH1A1 inactivates cyclophosphamide, which is an integral
agent in breast cancer chemotherapy regimens. The purposes of this study were to
verify these results, to correlate ALDH1A1 expression with clinical outcome in
patients treated with cyclophosphamide as part of the chemotherapy (adjuvant or
neoadjuvant), and to evaluate ALDH1A1 as a useful marker to predict the clinical
outcome of breast cancer subsets. A total of 513 primary breast cancers were
studied. Tissue microarrays of the studied cases were stained with ALDH1A1. Key
clinicopathological information was obtained. Disease-free survival and overall
survival were calculated. Patients with neoadjuvant therapy who had substantial
residual cancer burden (RCB) were included in the study. Fisher's exact test and
Kaplan-Meier methods were used for statistical analysis. ALDH1A1 was expressed in
53 (10%) patients, with a higher frequency in triple negative, followed by HER2+,
and finally hormonal receptor+/HER2- (P<0.0001). Tumors with advanced stage, node
positive, or larger tumor size were correlated with ALDH1A1 expression (P=0.006,
P<0.0001, and P=0.05, respectively). ALDH1A1 expression was also correlated with
worse disease-free survival (P<0.006) and overall survival (P<0.01) in patients
who were treated with neoadjuvant chemotherapy. In all, 8 of 22 (36%) received
neoadjuvant chemotherapy and died of disease-expressed ALDH1A1 (P=0.008).
Similarly, 8 of 23 (35%) who received neoadjuvant chemotherapy and had tumor
recurrence expressed this marker (P=0.002). The risk of recurrence was fivefold
greater than negative ALDH1A1 tumors. The risk of recurrence became 11-fold
greater when cyclophosphamide but not trastuzumab was part of the regimen. Our
results are consistent with previous studies. Moreover, we found that ALDH1A1
could be a useful marker to predict worse clinical outcome after chemotherapy in
the neoadjuvant setting with substantial RCB. However, a larger cohort is
required to verify our results.
PMID- 22080063
TI - From PTEN loss of expression to RICTOR role in smooth muscle differentiation:
complex involvement of the mTOR pathway in leiomyosarcomas and pleomorphic
sarcomas.
AB - Over the past decade, comprehensive genomic studies demonstrated that
leiomyosarcomas and most of the tumors previously labeled as 'malignant fibrous
histiocytomas' share complex karyotypes and genomic profiles, and can be referred
to as 'sarcomas with complex genomics'. We recently reported a series of 160
sarcomas with complex genomics such as leiomyosarcomas, myxofibrosarcomas,
pleomorphic liposarcomas/rhabdomyosarcomas and undifferentiated pleomorphic
sarcomas. These tumors present with a frequent loss of chromosome 10 region
encompassing the tumor suppressor gene PTEN. In the present study, we assessed
PTEN genomic level and protein expression in this large series of sarcomas with
complex genomics, as well as activation of downstream pathways. PTEN partial
genomic loss was observed in only 46% of tumors, especially in well
differentiated leiomyosarcomas, whereas up to 68% of these tumors demonstrate a
loss of protein expression on western blot analysis. Specific discrepancies in
PTEN immunohistochemical results suggested bias in this latter technique. PTEN
mutations were rare, with only 4 point mutations in the 65 samples studied.
Subsequent activation of AKT and mTOR pathways was only observed in 2 out of 3 of
PTEN-deleted tumors. On the other hand, RICTOR, a major component of the mTOR
complex 2, was significantly overexpressed in well-differentiated
leiomyosarcomas. These results, confirmed on tissue micro-array
immunohistochemical analysis of 459 sarcomas, could suggest a link between RICTOR
overexpression and leiomyosarcomas oncogenesis. As therapeutics directed against
the mTOR pathway are assessed in sarcomas, RICTOR overexpression in sarcomas and
its links to therapeutic response need to be assessed.
PMID- 22080064
TI - Histopathological findings in 29 lymph node biopsies with increased IgG4 plasma
cells.
AB - IgG4-related sclerosing disease encompasses a family of disorders associated with
increased numbers of IgG4 plasma cells and mass forming lesions in various
tissues. Lymphadenopathy is a common finding, seen in up to 80% of cases. In the
largest series of cases to date, we describe histologic, immunohistochemical,
special stain and flow cytometric findings in 29 cases of enlarged lymph nodes
with increased IgG4 plasma cells. Lymph node biopsies showed all resection
specimens; no needle core biopsies of tissue were evaluated. Cases were
considered to have increased numbers of IgG4 plasma cells using the histological
criteria outlined by Cheuk and Chan (2010): IgG4 plasma cells >50 cells in a high
power field and >40% of IgG-positive plasma cells positive for IgG4.
Additionally, increased intrafollicular plasma cells were a common finding. The
lymph nodes showed a variety of reactive histological features including
follicular hyperplasia, progressive transformation of germinal centers,
interfollicular expansions, variable degrees of fibrosis, increased histiocytes
and occasionally an appearance similar to that of plasma cell Castleman disease.
PMID- 22080066
TI - The boulder in the stream.
PMID- 22080065
TI - Objective assessment of blood and lymphatic vessel invasion and association with
macrophage infiltration in cutaneous melanoma.
AB - The aims of this study were to investigate the role of vascular invasion (blood
and lymphatic), vessel density and the presence of tumour-associated macrophages
as prognostic markers in 202 cutaneous melanoma patients. Sections of primary
melanoma were stained with lymphatic-specific antibody D2-40 to assess lymphatic
vessel invasion and density in intratumoural and peritumoural areas; an antibody
against endothelial marker CD34 was used to determine blood vessel invasion and
density, and an antibody against CD68 was used to determine macrophage counts.
Immunohistochemically determined vascular invasion (combined blood and lymphatic)
was compared with that determined using haematoxylin and eosin (H&E) staining.
The use of immunohistochemistry increased detection of vascular invasion from 8
30% of patients, and histological exam of H&E-stained tissue was associated with
a false positive rate of 64%. Lymphatic vessel invasion occurred at a much higher
frequency than blood vessel invasion (27 and 4% of patients, respectively).
Although immunohistochemically detected vessel invasion was significantly
associated with histological markers of adverse prognosis, such as increased
Breslow thickness, ulceration and mitotic rate (all P<0.001), no associations
with relapse-free or overall survival were observed. High macrophage counts were
significantly associated with markers of aggressive disease, such as Breslow
thickness, ulceration and mitotic rate (P<0.001, P<0.001, P=0.005, respectively),
and lymphatic vessel invasion and high microvessel density (P=0.002 and P=0.003,
respectively). These results suggest that vascular invasion is more accurately
detected using immunohistochemistry and occurs predominantly via lymphatic
vessels. The association of vessel characteristics with histological
characteristics of the primary melanoma provides evidence for their biological
importance in melanoma, but that they were not associated with clinical outcome
attests to the value of existing histological prognostic biomarkers. We note that
a high macrophage count may be associated with neovascularisation and primary
tumour growth, and may also promote invasion through lymphatic vessels.
PMID- 22080067
TI - Enduring principles in a fast-changing world.
PMID- 22080068
TI - Ottawa 1986: back to the future.
PMID- 22080069
TI - It's the environment, stupid! Declining ecosystem health is THE threat to health
in the 21st century.
PMID- 22080070
TI - Some bitter-sweet reflections on the Ottawa Charter commemoration cake: a
personal discourse from an Ottawa rocker.
AB - The Ottawa Charter both gave health promotion a solid framework and health
promoters an identity. Yet, health promotion has far from reached its potential
in being internalized in public health politics. Advocacy for health is one of
the core missions for health promotion and the 25-year celebration of the Ottawa
Charter offers a free ride, instead of being a missed opportunity. WHO has not
met the expectations in taking advantage of the momentum and outcomes from the
long series of global health promotion conferences. The series represents a
lifeline for health promotion. Concepts like healthy public policy, supportive
environments, social determinants, health and human rights, whole of government,
globalization and others have been elaborated and framed in a health promoting
context. The downside is that the footprints have not been bold, in particular
not internationally. An upside is the development of research and science,
underscored by a rapid development of scientific journals, textbooks, academic
institutions and posts. A question arising is whether practise and policy making
are left behind, since implementation on a grand scale still is lacking? Further
and future efforts must be devoted to explore the processes and art of policy
making. There is a need for more narratives and more health promoters involving
themselves in policy making and politics. Health promotion is as relevant for the
twenty-first century as ever. The challenges and opportunities are evident; the
increasing global burden of non-communicable diseases, ageing populations,
harmful use of alcohol, social determinants and fair societies improved
governance and more. Health promotion can add value and WHO can step up its
engagement.
PMID- 22080071
TI - Ottawa revisited: 'enable, mediate and advocate'.
AB - The Ottawa Charter for Health Promotion represents a turning point in public
health thinking. The key messages and approaches, such as 'enabling, mediating
and advocating', of this historical paper have not lost their timeliness and they
are still considered to be the lessons for those health policy-makers who are
willing to move beyond the health sector and to push health higher on the
political agenda.
PMID- 22080073
TI - Enable, mediate, advocate.
AB - The authors of the Ottawa Charter selected the words enable, mediate and advocate
to describe the core activities in what was, in 1986, the new Public Health. This
article considers these concepts and the values and ideas upon which they were
based. We discuss their relevance in the current context within which health
promotion is being conducted, and discuss the implications of changes in the
health agenda, media and globalization for practice. We consider developments
within health promotion since 1986: its central role in policy rhetoric, the
increasing understanding of complexities and the interlinkage with many other
societal processes. So the three core activities are reviewed: they still fit
well with the main health promotion challenges, but should be refreshed by new
ideas and values. As the role of health promotion in the political arena has
grown we have become part of the policy establishment and that is a mixed
blessing. Making way for community advocates is now our challenge. Enabling
requires greater sensitivity to power relations involved and an understanding of
the role of health literacy. Mediating keeps its central role as it bridges vital
interests of parties. We conclude that these core concepts in the Ottawa Charter
need no serious revision. There are, however, lessons from the last 25 years that
point to ways to address present and future challenges with greater sensitivity
and effectiveness. We invite the next generation to avoid canonizing this text:
as is true of every heritage, the heirs must decide on its use.
PMID- 22080072
TI - Toward a post-Charter health promotion.
AB - The past 25 years have seen enormous shifts in the environmental, political,
economic and social landscapes that condition people's abilities to be healthy.
Climate change is now a reality. China, India, Brazil and other 'developing'
countries are emerging as new axes of political and economic power. Global
capitalism has become increasingly predatory and crisis ridden, a result of
unregulated and irresponsible greed of unimaginable scale. The elite response has
been the increased erosion of the health and other social protection policies of
redistribution that characterized the first-world run-up to the Ottawa Charter.
These new realities challenge health promoters in ways unforeseen a quarter
century ago. It is imperative that local determinants of health, to which health
promoters give their attention, be traced to broader, even global levels of
determinants. Support for groups acting at these levels should become a
fundamental practice tenet. So, too, should advocacy for the social state, in
which progressive taxation and hefty social investment blunt the health
inequalities created by unfettered markets. As environmental and economic
insecurities and inequalities increase in many of the world's countries, so does
the risk of xenophobia and conflict. The roots of racism are complex; but weeding
them out becomes another health promotion practice of the new millennium. There
are some hopeful signs of health promoting political change, much of it emanating
now from countries in the global South; but the threat of a return to health
behaviourism in the face of the new global pandemic of chronic disease is real
and must be confronted.
PMID- 22080074
TI - Health promotion, the Ottawa Charter and 'developing personal skills': a compact
history of 25 years.
AB - The challenge of understanding what has happened in the 25 years since the Ottawa
Charter would be difficult enough if there had been no Charter. However, our task
is to interpret to what extent the Charter has influenced the world of health
promotion as it is today. The task here is to consider what has happened
regarding one action component of the Charter, notably developing personal
skills. In taking only one of the five components, we are deconstructing the
holistic approach that was implied in the Ottawa Charter and it is somewhat
strange to isolate this action area from the others, and perhaps outside the
'spirit' of the Charter. Nonetheless, the approach will be to interpret this area
broadly while still being restrictive and not venturing into discussions of the
other action areas except where the connection is so strong that to isolate
personal skills from the other area would be unproductive. The Ottawa Charter
brought to the table, for health promotion and education, a growing recognition
that health was a broad concept in its own right. It made explicit that ties to
disease approaches were highly related to health education and promotion, but
that health promotion had to go well beyond a narrow interpretation of the field.
It recognized that active participation by people, to directly affect their
health and the broader determinants of it, was paramount.
PMID- 22080075
TI - Securing 'supportive environments' for health in the face of ecosystem collapse:
meeting the triple threat with a sociology of creative transformation.
AB - In this paper, we reflect on and explore what remains to be done to make the
concept of supportive environments--one of the Ottawa Charter's five core action
areas--a reality in the context of growing uncertainty about the future and
accelerated pace of change. We pay particular attention to the physical
environment, while underscoring the inextricable links between physical and
social environments, and particularly the need to link social and environmental
justice. The paper begins with a brief orientation to three emerging threats to
health equity, namely ecological degradation, climate change, and peak oil, and
their connection to economic instability, food security, energy security and
other key determinants of health. We then present three contrasting perspectives
on the nature of social change and how change is catalyzed, arguing for an
examination of the conditions under which cultural change on the scale required
to realize the vision of 'supportive environments for all' might be catalyzed,
and the contribution that health promotion as a field could play in this process.
Drawing on sociological theory, and specifically practice theory and the work of
Pierre Bourdieu, we advocate rethinking education for social change by attending
more adequately to the social conditions of transformative learning and cultural
change. We conclude with an explication of three key implications for health
promotion practice: a more explicit alignment with those seeking to curtail
environmental destruction and promote environmental justice, strengthening
engagement with local or settings-focused 'communities of practice' (such as the
Transition Town movement), and finding new ways to creatively 'engage emergence',
a significant departure from the current dominant focus on 'risk management'.
PMID- 22080076
TI - Health promotion and health systems: some unfinished business.
AB - One of the five action domains in the Ottawa Charter was Reorienting Health
Services. In this paper, we reflect on why progress in this domain has been
somewhat lethargic, particularly compared with some of the other action domains,
and why now it is important to renew our commitment to this domain. Reorienting
health services has been largely overlooked and opportunities missed, although
good exceptions do exist. The occasion of the 25th anniversary of the Ottawa
Charter represents an important opportunity for health promotion to: (i) renew
its active voice in current policy debate and action and (ii) enhance
achievements made to date by improving our efforts to advocate, enable and
mediate for the reorientation of health services and systems. We outline six
steps to reactivate and invest more in this action domain so as to be in a better
position to promote health equitably and sustainably in today's fast changing
world. Though our experience is mainly based in the European context, we hope
that our reflections will be of some value to countries outside of this region.
PMID- 22080077
TI - Reclaiming the social in community movements: perspectives from the USA and
Brazil/South America: 25 years after Ottawa.
AB - Since the Ottawa Charter 25 years ago, community participation has been adopted
worldwide by nation states and communities as a core health promotion strategy.
Rising inequities since that time, however, have been largely unchecked in the
Americas and globally, and have presented us with an acutely paradoxical time for
community participation and action. On the one hand, transnational globalized
markets and accompanying economic and environmental devastation have challenged
the effectiveness of community action to create health. On the other hand,
hopeful signs of local through national and international activism and of new
mechanisms for community engagement continue to surface as meaningful and
effective democratic acts. This article presents a dialogue on these issues
between colleagues in the United States and Brazil, and considers the broader
applicability to Latin America and worldwide. We begin by discussing how
community participation and community organizing grew out of our respective
histories. We consider the catalytic role of the Ottawa Charter in spurring a
reorientation of health promotion and the genesis of healthy city and community
initiatives, as well as other current community organizing strategies and the
growth of participatory research/CBPR. We unpack the potential for co-optation of
both community and social participation and end with recommendations for what we
can do to maintain our integrity of belief in democratic social participation to
promote improved health and health equity.
PMID- 22080078
TI - Healthy public in all policies.
AB - The introduction of the notion of 'Healthy Public Policy' in the Ottawa Charter
is considered a relevant response to the emerging social-political context of the
1970s and 1980s. It also remains an important, yet volatile, argument for the
consideration of policy impact on health. In our analysis, however, those that
continued to argue for Healthy Public Policies and those who should develop them
have remained naive about the profound political dimensions of this exercise.
Applying insights from the political sciences, we argue that greater levels of
connectedness and commitment across civil society, and governance integration
between sectors and levels of politicking and action are required for the further
success of health integrated policies. The role of communities and the key
communicative drivers of the Ottawa Charter (enable, mediate and advocate) need
to be strengthened in more astute strategies.
PMID- 22080079
TI - Ottawa 25+--'All aboard the Dazzling Bandwagon'--developing personal skills: what
remains for the future?
AB - The remit of this paper is to identify what might be the future direction of one
of the Ottawa Charter's five main action areas; developing personal skills (DPS).
It is contested that this was never going to be an easy task. This opinion
article argues that, while a watchful eye should be kept on evolving and
innovative DPS strategy and programmes that Ottawa principles generally espouse,
that type of activity is not representative of the current location and practice
of many health practitioners--particularly clinically located health
professionals. Targeting such professionals who, otherwise, might remain unaware
of or unengaged with health promotion practice is the main reform required for
future DPS activity. It is predicted that, where universal progress can be made,
the potential for DPS development would be significant.
PMID- 22080080
TI - Ottawa 25 years on: a more radical agenda for health equity is still required.
AB - This article revisits our 1995 assessment of the international health promotion
agenda. Then we concluded that a more radical agenda for change was required in
which responses were both technically sound and infused with an appreciation of
the imperative for a change in politics and power. We conclude that this message
is even more relevant in 2011 in an era when the continuing rise of transnational
corporations (TNCs) poses a major threat to achieving improved and more equitable
health. We support and illustrate this claim through the example of food and
agriculture TNCs where the combination of producer subsidies, global trade
liberalization and strengthened property rights has given increasing power to the
corporate food industry and undermined national food security in many countries.
We argue that a Health in All Policies approach should be used to monitor and
enforce TNC accountability for health. Part of this process should include the
use of a form of health impact assessment and health equity impact assessment on
their activities. Civil society groups such as the People's Health Movement have
a central role to play in monitoring the impacts of TNCs.
PMID- 22080081
TI - What remains for the future: strengthening community actions to become an
integral part of health promotion practice.
AB - To mark the 25th anniversary of the Ottawa Charter, this paper will discuss what
remains to be achieved in strengthening community actions as an integral part of
health promotion practice. To do this, the paper discusses four key elements for
the future of health promotion programmes: (1) engage communities to share
priorities; (2) build community capacity; (3) mechanisms for flexible and
transparent funding; and (4) being creative in order to expand or replicate
successful local initiatives. The paper uses a number of international case study
examples of how these key elements can be achieved in health promotion
programmes. A major challenge for the future is how health promotion agencies can
develop and maintain the trust of communities, especially socially marginalized
communities in society. The paper concludes by identifying a number of short and
longer term challenges to achieve these goals and offers a way forward for a
brighter future direction of health promotion practice.
PMID- 22080082
TI - Health promotion in Canada: 25 years of unfulfilled promise.
PMID- 22080083
TI - Healthy public policies: looking ahead.
AB - Health has moved up on the political agendas of most governments around the
globe. The interdependence of economic, environmental and social conditions and
health is increasingly understood. In turn, the experiences in health promotion
with building healthy public policies become more important. Future "health in
all policies" efforts, however, need to consider changing political contexts.
There is some scope to review the focus on GDP when measuring economic
development, and how health promotion considers both the opportunities and
responsibilities of industry as part of healthy public policies.
PMID- 22080084
TI - Physiological effects of oxidized phospholipids and their cellular signaling
mechanisms in inflammation.
AB - Oxidized phospholipids, such as the products of the oxidation of 1-palmitoyl-2
arachidonoyl-sn-glycero-3-phosphocholine by nonenzymatic radical attack, are
known to be formed in a number of inflammatory diseases. Interest in the
bioactivity and signaling functions of these compounds has increased enormously,
with many studies using cultured immortalized and primary cells, tissues, and
animals to understand their roles in disease pathology. Initially, oxidized
phospholipids were viewed largely as culprits, in line with observations that
they have proinflammatory effects, enhancing inflammatory cytokine production,
cell adhesion and migration, proliferation, apoptosis, and necrosis, especially
in vascular endothelial cells, macrophages, and smooth muscle cells. However,
evidence has emerged that these compounds also have protective effects in some
situations and cell types; a notable example is their ability to interfere with
signaling by certain Toll-like receptors (TLRs) induced by microbial products
that normally leads to inflammation. They also have protective effects via the
stimulation of small GTPases and induce up-regulation of antioxidant enzymes and
cytoskeletal rearrangements that improve endothelial barrier function. Oxidized
phospholipids interact with several cellular receptors, including scavenger
receptors, platelet-activating factor receptors, peroxisome proliferator
activated receptors, and TLRs. The various and sometimes contradictory effects
that have been observed for oxidized phospholipids depend on their concentration,
their specific structure, and the cell type investigated. Nevertheless, the
underlying molecular mechanisms by which oxidized phospholipids exert their
effects in various pathologies are similar. Although our understanding of the
actions and mechanisms of these mediators has advanced substantially, many
questions do remain about their precise interactions with components of cell
signaling pathways.
PMID- 22080085
TI - NADPH oxidase-dependent oxidative stress in the failing heart: From pathogenic
roles to therapeutic approach.
AB - Heart failure (HF) occurs when the adaptation mechanisms of the heart fail to
compensate for stress factors, such as pressure overload, myocardial infarction,
inflammation, diabetes, and cardiotoxic drugs, with subsequent ventricular
hypertrophy, fibrosis, myocardial dysfunction, and chamber dilatation. Oxidative
stress, defined as an imbalance between reactive oxygen species (ROS) generation
and the capacity of antioxidant defense systems, has been authenticated as a
pivotal player in the cardiopathogenesis of the various HF subtypes. The family
of NADPH oxidases has been investigated as a key enzymatic source of ROS in the
pathogenesis of HF. In this review, we discuss the importance of NADPH oxidase
dependent ROS generation in the various subtypes of HF and its implications. A
better understanding of the pathogenic roles of NADPH oxidases in the failing
heart is likely to provide novel therapeutic strategies for the prevention and
treatment of HF.
PMID- 22080086
TI - Simvastatin impairs ADP-stimulated respiration and increases mitochondrial
oxidative stress in primary human skeletal myotubes.
AB - Statins, the widely prescribed cholesterol-lowering drugs for the treatment of
cardiovascular disease, cause adverse skeletal muscle side effects ranging from
fatigue to fatal rhabdomyolysis. The purpose of this study was to determine the
effects of simvastatin on mitochondrial respiration, oxidative stress, and cell
death in differentiated primary human skeletal muscle cells (i.e., myotubes).
Simvastatin induced a dose-dependent decrease in viability of proliferating and
differentiating primary human muscle precursor cells, and a similar dose
dependent effect was noted in differentiated myoblasts and myotubes.
Additionally, there were decreases in myotube number and size following 48 h of
simvastatin treatment (5 MUM). In permeabilized myotubes, maximal ADP-stimulated
oxygen consumption, supported by palmitoylcarnitine+malate (PCM, complex I and II
substrates) and glutamate+malate (GM, complex I substrates), was 32-37% lower
(P<0.05) in simvastatin-treated (5 MUM) vs control myotubes, providing evidence
of impaired respiration at complex I. Mitochondrial superoxide and hydrogen
peroxide generation were significantly greater in the simvastatin-treated human
skeletal myotube cultures compared to control. In addition, simvastatin markedly
increased protein levels of Bax (proapoptotic, +53%) and Bcl-2 (antiapoptotic,
+100%, P<0.05), mitochondrial PTP opening (+44%, P<0.05), and TUNEL-positive
nuclei in human skeletal myotubes, demonstrating up-regulation of mitochondrial
mediated myonuclear apoptotic mechanisms. These data demonstrate that simvastatin
induces myotube atrophy and cell loss associated with impaired ADP-stimulated
maximal mitochondrial respiratory capacity, mitochondrial oxidative stress, and
apoptosis in primary human skeletal myotubes, suggesting that mitochondrial
dysfunction may underlie human statin-induced myopathy.
PMID- 22080089
TI - Molecular characterization of Staphylococcus aureus carrying the panton-valentine
leucocidin genes in northern Spain.
AB - OBJECTIVES: To study the prevalence of the Panton-Valentine leucocidin (PVL) gene
in methicillin-susceptible (MSSA) and methicillin-resistant (MRSA) Staphylococcus
aureus obtained in Gipuzkoa, northeastern area of the Basque Country, north
central Spain, and perform the molecular characterization of PVL-positive
isolates. METHODS: Molecular studies comprised: PVL gene detection by PCR,
staphylococcal chromosome cassette mec (SCCmec) typing, spa sequencing,
multilocus sequence typing (MLST), pulsed-field gel electrophoresis (PFGE), and
detection of the arginine catabolic mobile element (ACME). RESULTS: Between 1978
and 2006, only two (0.3%) of the 686 MRSA isolates studied were positive for the
PVL gene. This percentage increased between 2007 and 2009, when the PVL gene was
detected in 30 of the 679 MRSA (4.4%) and in nine of the 1227 MSSA (0.7%)
isolates. The 41 PVL-positive isolates characterized had eight different sequence
types (STs). Twenty-three MRSA PVL-positive isolates were ST8, spa type t008,
seven of which were ACME positive, erythromycin-resistant and showed the PFGE
pattern (90-100% similarity) of the USA300 clone. ST8 was also the most prevalent
ST among the nine MSSA PVL-positive isolates. CONCLUSION: The current
epidemiology of PVL-positive MRSA in our region more closely resembles that of
the USA rather than that of other European countries, being USA300 or USA300-like
isolates the most prevalent ones.
PMID- 22080088
TI - Down-regulation of Homer1b/c attenuates glutamate-mediated excitotoxicity through
endoplasmic reticulum and mitochondria pathways in rat cortical neurons.
AB - Glutamate-mediated excitotoxicity is involved in many acute and chronic brain
diseases. Homer proteins, a new member of the postsynaptic scaffolding proteins,
regulate glutamatergic signaling and intracellular calcium mobilization in the
central nervous system. Here we investigated the effects of down-regulating
Homer1b/c, a constitutively expressed long form of Homer proteins, on glutamate
excitotoxicity-induced neuronal injury. In our in vitro excitotoxic models, we
demonstrated that glutamate insults led to a dose-dependent neuronal injury,
which was mediated by the intracellular calcium-dependent reactive oxygen species
(ROS) production. We found that down-regulation of Homer1b/c with specific small
interfering RNA (siRNA) improved neuronal survival, inhibited intracellular ROS
production, and reduced apoptotic cell death after neurotoxicity. Homer1b/c
knockdown decreased the intracellular calcium overload through inhibition of the
group I metabotropic glutamate receptor (mGluR)/inositol 1,4,5-trisphosphate
receptor (IP3R)-mediated Ca2+ release from the endoplasmic reticulum (ER) in
injured neurons. In addition, Homer1b/c siRNA transfection attenuated the
activation of eukaryotic initiation factor 2alpha (eIF2alpha), RNA-dependent
protein kinase-like ER kinase (PERK) and caspase-12, and inhibited the up
regulation of glucose-regulated protein 78 (GRP78) and C/EBP homologous protein
(CHOP) after glutamate treatment. Homer1b/c knockdown also preserved the
mitochondrial membrane potential (MMP), reduced cytochrome c (Cyt. c) release,
and partly blocked the increase of capase-9 activity and Bax/Bcl-2 ratio. Taken
together, these results suggest that down-regulation of Homer1b/c protects
cortical neurons against glutamate-induced excitatory damage, and this
neuroprotection may be dependent at least in part on the inhibition of calcium
dependent ROS production and the preservation of the ER and mitochondrial
function.
PMID- 22080090
TI - Genotoxic and cytotoxic evaluation of the herbicide flurochloridone on Chinese
hamster ovary (CHO-K1) cells.
AB - The in vitro effects of flurochloridone (FLC) and its formulations Twin Gold
Pack(r) (25% a.i.) and Rainbow(r) (25% a.i.) were evaluated on Chinese hamster
ovary (CHO-K1) cells by genotoxicity [sister chromatid exchange (SCE)] and
cytotoxicity [cell-cycle progression, proliferative rate index (PRI), mitotic
index (MI), MTT, and neutral red] end points. Cells were treated for 24h within
the 0.25-15MUg/ml concentration range. FLC and Twin Pack Gold(r) induced a
significant and equivalent increase in SCEs regardless of the concentration.
Rainbow(r)-induced SCEs at concentrations higher than 2.5MUg/ml; however, the
increases were always lower than those induced by FLC and Twin Pack Gold(r). For
all compounds, the PRI decreased as a function of the concentration titrated into
cultures. Whereas only the highest FLC and Twin Pack Gold(r) concentrations
induced a significant reduction of the MI, all tested Rainbow(r) concentrations
induced MI inhibition. Overall, the results demonstrated that although all
compounds were not able to reduce the lysosomal activity, the mitochondrial
activity was diminished when the highest concentrations were employed. These
observations represent the first study analyzing the genotoxic and cytotoxic
effects exerted by FLC and two formulated products on mammalian cells in vitro,
at least on CHO-K1 cells.
PMID- 22080087
TI - The redox stress hypothesis of aging.
AB - The main objective of this review is to examine the role of endogenous reactive
oxygen/nitrogen species (ROS) in the aging process. Until relatively recently,
ROS were considered to be potentially toxic by-products of aerobic metabolism,
which, if not eliminated, may inflict structural damage on various
macromolecules. Accrual of such damage over time was postulated to be responsible
for the physiological deterioration in the postreproductive phase of life and
eventually the death of the organism. This "structural damage-based oxidative
stress" hypothesis has received support from the age-associated increases in the
rate of ROS production and the steady-state amounts of oxidized macromolecules;
however, there are increasing indications that structural damage alone is
insufficient to satisfactorily explain the age-associated functional losses. The
level of oxidative damage accrued during aging often does not match the magnitude
of functional losses. Although experimental augmentation of antioxidant defenses
tends to enhance resistance to induced oxidative stress, such manipulations are
generally ineffective in the extension of life span of long-lived strains of
animals. More recently, in a major conceptual shift, ROS have been found to be
physiologically vital for signal transduction, gene regulation, and redox
regulation, among others, implying that their complete elimination would be
harmful. An alternative notion, advocated here, termed the "redox stress
hypothesis," proposes that aging-associated functional losses are primarily
caused by a progressive pro-oxidizing shift in the redox state of the cells,
which leads to the overoxidation of redox-sensitive protein thiols and the
consequent disruption of the redox-regulated signaling mechanisms.
PMID- 22080091
TI - Development of a high-resolution Si-PM-based gamma camera system.
AB - A silicon photomultiplier (Si-PM) is a promising photodetector for PET,
especially for PET/MRI combined systems, due to its high gain, small size, and
lower sensitivity to static magnetic fields. However, these properties are also
promising for gamma camera systems for single-photon imaging. We developed an
ultra-high-resolution Si-PM-based compact gamma camera system for small animals.
Y(2)SiO(5):Ce (YSO) was selected as scintillators because of its high light
output and no natural radioactivity. The gamma camera consists of 0.6 mm * 0.6 mm
* 6 mm YSO pixels combined with a 0.1 mm thick reflector to form a 17 * 17 matrix
that was optically coupled to a Si-PM array (Hamamatsu multi-pixel photon counter
S11064-050P) with a 2 mm thick light guide. The YSO block size was 12 mm * 12 mm.
The YSO gamma camera was encased in a 5 mm thick gamma shield, and a parallel
hole collimator was mounted in front of the camera (0.5 mm hole, 0.7 mm
separation, 5 mm thick). The two-dimensional distribution for the Co-57 gamma
photons (122 keV) was almost resolved. The energy resolution was 24.4% full-width
at half-maximum (FWHM) for the Co-57 gamma photons. The spatial resolution at 1.5
mm from the collimator surface was 1.25 mm FWHM measured using a 1 mm diameter Co
57 point source. Phantom and small animal images were successfully obtained. We
conclude that a Si-PM-based gamma camera is promising for molecular imaging
research.
PMID- 22080092
TI - Time course of the recovery of three-dimensional eye position in patients with
acute cerebellitis.
AB - Listing's plane is a construction derived from eye position and reflects
gravitational orientation. The cerebellum plays a key role in orienting and
integrating sensory input concerning gravity from visual, vestibular and
proprioceptive apparatuses. This suggests that the thickness of Listing's plane
could serve as a novel parameter for evaluating the accuracy of the constructed
gravity-oriented internal model. We report a case with acute cerebellitis along
with data on Listing's plane, calculated from consecutive infrared video
oculogram recordings. We found thickening of Listing's plane at the early stage
of the disease, and a gradual reduction of the thickness into normal range in
parallel with the recovery of the patient's posture and gate. Notably, clinical
improvement of the patient's posture was delayed relative to the normalization of
the thickness of Listing's plane. The thickness of Listing's plane reflects the
stability of the cerebellar-mediated cognitive gravitational reference frame.
This thickness value could serve as a parameter to quantitatively evaluate the
function of the constructed internal model. Recovery from cerebellar ataxia
(manifested as normalization of the thickness of Listing's plane) was followed by
recovery of muscular strength lost during the period the patient was by his
disease forced to assume a lying position.
PMID- 22080093
TI - Genome size and base composition variation in natural and experimental Narcissus
(Amaryllidaceae) hybrids.
AB - BACKGROUND AND AIMS: Although there is evidence that both allopolyploid and
homoploid hybridization lead to rapid genomic changes, much less is known about
hybrids from parents with different basic numbers without further chromosome
doubling. Two natural hybrids, Narcissus * alentejanus (2n = 19) and N. *
perezlarae (2n = 29), originated by one progenitor (N. cavanillesii, 2n = 28) and
two others (N. serotinus, 2n = 10 and N. miniatus, 2n = 30, respectively) allow
us to study how DNA content and composition varies in such hybrids. METHODS: Flow
cytometry measurements with two staining techniques, PI and DAPI, were used to
estimate 2C values and base composition (AT/GC ratio) in 390 samples from 54 wild
populations of the two natural hybrids and their parental species. In addition,
20 synthetic F(1) hybrid individuals were also studied for comparison. KEY
RESULTS: Natural hybrids presented 2C values intermediate between those found in
their parental species, although intra-population variance was very high in both
hybrids, particularly for PI. Genome size estimated from DAPI was higher in
synthetic hybrids than in hybrids from natural populations. In addition,
differences for PI 2C values were detected between synthetic reciprocal crosses,
attributable to maternal effects, as well as between natural hybrids and those
synthetic F(1) hybrids in which N. cavanillesii acted as a mother. CONCLUSIONS:
Our results suggest that natural hybrid populations are composed of a mixture of
markedly different hybrid genotypes produced either by structural chromosome
changes, consistent with classic cytogenetic studies in Narcissus, or by
transposon-mediated events.
PMID- 22080094
TI - Identification of H5N1-specific T-cell responses in a high-risk cohort in vietnam
indicates the existence of potential asymptomatic infections.
AB - BACKGROUND: Most reported human H5N1 viral infections have been severe and were
detected after hospital admission. A case ascertainment bias may therefore exist,
with mild cases or asymptomatic infections going undetected. We sought evidence
of mild or asymptomatic H5N1 infection by examining H5N1-specific T-cell and
antibody responses in a high-risk cohort in Vietnam. METHODS: Peripheral blood
mononuclear cells were tested using interferon-gamma enzyme-linked immunospot T
assays measuring the response to peptides of influenza H5, H3, and H1
hemagglutinin (HA), N1 and N2 neuraminidase, and the internal proteins of H3N2.
Horse erythrocyte hemagglutination inhibition assay was performed to detect
antibodies against H5N1. RESULTS: Twenty-four of 747 individuals demonstrated H5
specific T-cell responses but little or no cross-reactivity with H3 or H1 HA
peptides. H5N1 peptide-specific T-cell lines that did not cross-react with H1 or
H3 influenza virus HA peptides were generated. Four individuals also had
antibodies against H5N1. CONCLUSIONS: This is the first report of ex vivo H5 HA
specific T-cell responses in a healthy but H5N1-exposed population. Our results
indicate that the presence of H5N1-specific T cells could be an additional
diagnostic tool for asymptomatic H5N1 infection.
PMID- 22080095
TI - Mannose-binding lectin contributes to deleterious inflammatory response in
pandemic H1N1 and avian H9N2 infection.
AB - BACKGROUND: Mannose-binding lectin (MBL) is a pattern-recognition molecule, which
functions as a first line of host defense. Pandemic H1N1 (pdmH1N1) influenza A
virus caused massive infection in 2009 and currently circulates worldwide. Avian
influenza A H9N2 (H9N2/G1) virus has infected humans and has the potential to be
the next pandemic virus. Antiviral function and immunomodulatory role of MBL in
pdmH1N1 and H9N2/G1 virus infection have not been investigated. METHODS: In this
study, MBL wild-type (WT) and MBL knockout (KO) murine models were used to
examine the role of MBL in pdmH1N1 and H9N2/G1 virus infection. RESULTS: Our
study demonstrated that in vitro, MBL binds to pdmH1N1 and H9N2/G1 viruses,
likely via the carbohydrate recognition domain of MBL. Wild-type mice developed
more severe disease, as evidenced by a greater weight loss than MBL KO mice
during influenza virus infection. Furthermore, MBL WT mice had enhanced
production of proinflammatory cytokines and chemokines compared with MBL KO mice,
suggesting that MBL could upregulate inflammatory responses that may potentially
worsen pdmH1N1 and H9N2/G1 virus infections. CONCLUSIONS: Our study provided the
first in vivo evidence that MBL may be a risk factor during pdmH1N1 and H9N2/G1
infection by upregulating proinflammatory response.
PMID- 22080096
TI - Intrinsic and environmental mutagenesis drive diversification and persistence of
Pseudomonas aeruginosa in chronic lung infections.
AB - Pseudomonas aeruginosa is a versatile opportunistic pathogen causing a wide
variety of hospital-acquired acute infections in immunocompromised patients as
well as chronic respiratory infections in patients suffering from cystic fibrosis
or other chronic respiratory diseases. Several traits contribute to its ability
to colonize and persist in the lungs of chronically infected patients, including
development of high resistance to antimicrobials and hypermutability, biofilm
growth, and alginate hyperproduction, or a customized pathogenicity, which may
include the loss of classical virulence factors and metabolic changes. Here we
argue that a combination of both intrinsic and environmental mutagenesis leads to
a high number of mutant variants in the population. The conducive environment
then triggers a positive feedback loop leading to adaptation and persistence of
P. aeruginosa, rendering these chronic infections almost impossible to eradicate.
PMID- 22080097
TI - T-cell immune responses and asymptomatic H5N1 influenza infection.
PMID- 22080098
TI - Portomesenteric venous gas and pneumatosis cystoides intestinalis in systemic
sclerosis.
PMID- 22080099
TI - Management of febrile neutropenia in an acute oncology service.
AB - BACKGROUND: Neutropenic fever in patients receiving chemotherapy is a medical
emergency and should be treated promptly within 1 h with antibiotics as specified
within the 2009 NCAG report on chemotherapy services. AIM: To determine door-to
assessment, door-to-treatment and door-to-investigation intervals for patients
with febrile neutropenia who presented to the inpatient Oncology Ward, the
outpatient Oncology Day Unit and the Emergency Department in Addenbrooke's
Hospital, Cambridge. DESIGN: Retrospective observational audit. METHODS: Thirty
two patients on treatment for solid cancers who were admitted with febrile
neutropenia between January and December 2010 were identified, and paper and
electronic medical records were analysed to determine door to: assessment,
treatment and investigation intervals. RESULTS AND CONCLUSIONS: Patients in this
series were assessed quicker and received the first dose of antibiotics faster
when they presented to an oncology ward rather than the emergency department.
However, imaging was performed faster and blood results issued quicker if
performed in the emergency department due to a better infrastructure that has
been tailored to comply with national targets. Nonetheless, compliance with
optimum standards of care was poor, with only 9% of sampled patients getting
antibiotics within 1 h of presenting to hospital, and 53% within 1 h of being
assessed by a clinician.
PMID- 22080100
TI - Right thoracic stomach mimicking pleural empyema in an elderly man.
PMID- 22080101
TI - Radiofrequency ablation of cardiac arrhythmias: past, present and future.
AB - The treatment of cardiac arrhythmias has been revolutionized by the ability to
definitively treat many patients with radiofrequency catheter ablation, rather
than requiring lifelong medication. This review covers the history of how this
has developed and the methods used currently and explores what the future holds
for this rapidly evolving branch of Cardiology.
PMID- 22080102
TI - Current and selectivity in a model sodium channel under physiological conditions:
Dynamic Monte Carlo simulations.
AB - A reduced model of a sodium channel is analyzed using Dynamic Monte Carlo
simulations. These include the first simulations of ionic current under
approximately physiological ionic conditions through a model sodium channel and
an analysis of how mutations of the sodium channel's DEKA selectivity filter
motif transform the channel from being Na(+) selective to being Ca(2+) selective.
Even though the model of the pore, amino acids, and permeant ions is simplified,
the model reproduces the fundamental properties of a sodium channel (e.g., 10 to
1 Na(+) over K(+) selectivity, Ca(2+) exclusion, and Ca(2+) selectivity after
several point mutations). In this model pore, ions move through the pore one at a
time by simple diffusion and Na(+) versus K(+) selectivity is due to both the
larger K(+) not fitting well into the selectivity filter that contains amino acid
terminal groups and K(+) moving more slowly (compared to Na(+)) when it is in the
selectivity filter.
PMID- 22080103
TI - The transcriptional coactivators, PGC-1alpha and beta, cooperate to maintain
cardiac mitochondrial function during the early stages of insulin resistance.
AB - We previously demonstrated a cardiac mitochondrial biogenic response in insulin
resistant mice that requires the nuclear receptor transcription factor PPARalpha.
We hypothesized that the PPARalpha coactivator peroxisome proliferator-activated
receptor gamma coactivator-1 alpha (PGC-1alpha) is necessary for mitochondrial
biogenesis in insulin resistant hearts and that this response was adaptive.
Mitochondrial phenotype was assessed in insulin resistant mouse models in wild
type (WT) versus PGC-1alpha deficient (PGC-1alpha(-/-)) backgrounds. Both high
fat-fed (HFD) WT and 6 week-old Ob/Ob animals exhibited a significant increase in
myocardial mitochondrial volume density compared to standard chow fed or WT
controls. In contrast, HFD PGC-1alpha(-/-) and Ob/Ob-PGC-1alpha(-/-) hearts
lacked a mitochondrial biogenic response. PGC-1alpha gene expression was
increased in 6 week-old Ob/Ob animals, followed by a decline in 8 week-old Ob/Ob
animals with more severe glucose intolerance. Mitochondrial respiratory function
was increased in 6 week-old Ob/Ob animals, but not in Ob/Ob-PGC-1alpha(-/-) mice
and not in 8 week-old Ob/Ob animals, suggesting a loss of the early adaptive
response, consistent with the loss of PGC-1alpha upregulation. Animals that were
deficient for PGC-1alpha and heterozygous for the related coactivator PGC-1beta
(PGC-1alpha(-/-)beta(+/-)) were bred to the Ob/Ob mice. Ob/Ob-PGC-1alpha(-/
)beta(+/-) hearts exhibited dramatically reduced mitochondrial respiratory
capacity. Finally, the mitochondrial biogenic response was triggered in H9C2
myotubes by exposure to oleate, an effect that was blunted with shRNA-mediated
PGC-1 "knockdown". We conclude that PGC-1 signaling is important for the adaptive
cardiac mitochondrial biogenic response that occurs during the early stages of
insulin resistance. This response occurs in a cell autonomous manner and likely
involves exposure to high levels of free fatty acids.
PMID- 22080104
TI - Touch perception throughout working life: effects of age and expertise.
AB - Fine motor skills including precise tactile and haptic perception are essential
to the manipulation of objects. With increasing age, one's perception decreases;
however, little is known about the state of touch perception in middle-aged
adults. This study investigated the extent to which the decline in touch
perception affects adults throughout their working life. In addition, the
influence of work-related expertise on tactile and haptic perception was examined
in an attempt to determine whether expertise, in the form of the frequent use of
the fingers, affects perception and counters age-related losses. The study was
conducted with subjects from three age groups (18-25, 34-46, and 54-65 years)
with two levels of expertise. Expertise was classified by the subjects'
occupations. Five sensory tasks of touch perception were conducted. The results
confirmed age-related changes in tactile perception over the span of one's
working life. Older workers were proven to have lower tactile performance than
younger adults. However, middle-aged workers were hardly affected by the
perception losses and did not differ significantly from younger adults. Work
related expertise was not proven to either affect tactile and haptic perception
or counteract age-related declines. We conclude that the age-related decline gets
steeper in the late working life and that specific work-related expertise does
not lead to generally improved touch perception that would result in lower
thresholds and improved performance in non-expertise specific tasks.
PMID- 22080105
TI - Postural effects of imagined leg pain as a function of hypnotizability.
AB - It has been shown that, in subjects with high hypnotizability (Highs), imagined
somatosensory stimulation can involuntarily activate the neural circuits involved
in the modulation of reflex action. In this vein, aim of the study was to
investigate whether the imagery of nociceptive stimulation in one leg may produce
both subjective experience of pain and congruent postural adjustments during
normal upright stance. The displacement of the centre of pressure (CoP) was
studied during imagery of leg pain (LP) and during the control conditions of
imagery of tactile stimulation of the same leg and of throat pain (TP) in 12
Highs and 12 low hypnotizable subjects (Lows). The results showed that the
vividness of imagery was higher in Highs than in Lows for all tasks and that only
Highs reported actually feeling pain during LP and TP. Congruently, during LP
only Highs displaced their CoP towards the leg opposite to the one that was the
object of painful imagery and increased their CoP mean velocity and area of
excursion. Since the Highs' postural changes were not accounted for only by
vividness of imagery and perceived pain intensity, high hypnotizability is
apparently responsible for part of the postural effects of pain imagery.
PMID- 22080107
TI - Study on electrochemical oxidation behaviors and the diffusion mechanism of
hydroquinone at pre-anodized carbon paste electrode by cyclic voltammetry.
AB - A functional pre-anodized carbon paste electrode (PACPE) was constructed by using
successive cyclic voltammetry. The electrochemical oxidation behaviors of
hydroquinone (HQ) were carefully investigated by various electrochemical
techniques. The diffusion mechanism of HQ has been put forward for the first
time. The driving force for the HQ transport towards anode not only related to
the concentration diffusion but also depended on the transport of H(+) in the
feed phase along a concentration gradient towards the cathode. The results
indicated that the PACPE exhibited excellent electrocatalytic activity towards
the oxidation of HQ. Compared with the bare carbon paste electrode, the oxidation
and reduction peak separation (DeltaE(p)) of HQ at the PACPE has been decreased
from 578 to 83 mV. Under the optimum conditions, the oxidation peak current was
linear with HQ concentration in the range of 4 * 10(-7) to 1.0 * 10(-4) M with
the linear correlation coefficient of 0.9986. The detection limit was 1.05 * 10(
7) M. This method can be successfully applied to the determination of HQ in
wastewater.
PMID- 22080106
TI - Genome-wide analysis of mutagenesis bias and context sensitivity of N-methyl-N'
nitro-N-nitrosoguanidine (NTG).
AB - We have analyzed the mutation spectrum of N-methyl-N'-nitro-N-nitrosoguanidine
(NTG) from a set of 4099 mutations identified from whole-genome sequencing of 32
E. coli strains mutagenized with NTG. These data permit precise measurement of
NTG's bias for G/C to A/T transitions (96.6% of all mutations) and also show that
NTG mutagenesis is strongly sensitive to context, favoring guanine residues
preceded by purines by five-fold over those preceded by pyrimidines. These data
give confident estimates for the GC bias and transition/transversion ratios of
NTG mutagenesis, which could not be estimated confidently from previous, much
smaller datasets.
PMID- 22080108
TI - Preduodenal portal vein, intestinal malrotation, polysplenia, and interruption of
the inferior vena cava: a review of anatomical anomalies associated with gastric
cancer.
AB - PURPOSE: Anatomical anomalies of visceral organs associated with gastric cancer
are extremely rare. Here, we report a case of preduodenal portal vein (PDPV),
intestinal malrotation, interruption of the inferior vena cava (IVC), and
polysplenia associated with gastric cancer in an adult patient, together with a
review of the literature on the anomalies of visceral organs associated with
gastric cancer. METHODS: We describe the diagnosis and surgical treatment in a 63
year-old man who had a preoperative diagnosis of PDPV, intestinal malrotation,
interruption of the IVC with azygos continuation, and polysplenia associated with
gastric cancer. Fifteen reports, in the English literature up to 2011, on
visceral organ anomalies detected in gastric cancer patients were identified by
searching Medline. RESULTS: All of the 15 cases of anomalies associated with
gastric cancer, including the present case, were correctly diagnosed by
preoperative imaging. Situs anomaly was the most frequent anatomical anomaly
detected, and PDPV was observed in only four cases. In 12 cases, gastrectomy was
performed, and gastrojejunostomy was done in 1 case. CONCLUSIONS: Although
embryological anomalies such as PDPV, intestinal malrotation, interruption of the
IVC, and polysplenia are rarely encountered in abdominal surgery, surgeons must
be aware of their possible existence and be able to recognize them to avoid major
intraoperative injuries.
PMID- 22080109
TI - Functional aspects of the coracoclavicular space.
AB - BACKGROUND: The coracoclavicular joint has been described as an articulation
found inconstantly between the coracoid process and clavicle. We often observe a
small space bordered by the fascia which covers the anterior surface of the
subclavius muscle and the coracoclavicular ligament. The aim of this study was to
observe the space in detail and to discuss the functional role of the
coracoclavicular joint. MATERIALS AND METHODS: Sixteen shoulder girdles from
eight Japanese cadavers were used in this study. The scapula, clavicle, and
anterior half of the first rib were extracted en bloc together with the
subclavius muscle and the surrounding fascia. After observing the motion of the
scapula and clavicle, we investigated macroscopically the attachments of the
coracoclavicular ligaments and the subclavius muscle, and the extension of the
fascia. RESULTS: The fascia divided laterally into two sheets: the anterior sheet
attached to the trapezoid ligament and the posterior to the conoid ligament.
Among the two sheets, the coracoclavicular ligaments, coracoid process, and
clavicle, a small space was observed. This small space can be recognized as a
part of the coracoclavicular joint. When manually moving the inferior angle of
the scapula with the sternal end of the clavicle fixed, we observed that the
clavicle collided with the trapezoid ligament on the superior surface of the
coracoid process within the space and that the scapular motion was restricted by
this collision. CONCLUSION: The coracoclavicular joint could be much more
recognizable than in previous papers and play an important role in the normal
function of the shoulder joint. LEVEL OF EVIDENCE: Basic science study.
PMID- 22080110
TI - What is the best anesthetic for ICD implants?
PMID- 22080111
TI - Components of safe propofol sedation: defining the formula.
PMID- 22080112
TI - Shrimp invertebrate lysozyme i-lyz: gene structure, molecular model and response
of c and i lysozymes to lipopolysaccharide (LPS).
AB - The invertebrate lysozyme (i-lyz or destabilase) is present in shrimp. This
protein may have a function as a peptidoglycan-breaking enzyme and as a
peptidase. Shrimp is commonly infected with Vibrio sp., a Gram-negative bacteria,
and it is known that the c-lyz (similar to chicken lysozyme) is active against
these bacteria. To further understand the regulation of lysozymes, we determined
the gene sequence and modeled the protein structure of i-lyz. In addition, the
expression of i-lyz and c-lyz in response to lipopolysaccharide (LPS) was
studied. The shrimp i-lyz gene is interrupted by two introns with canonical
splice junctions. The expression of the shrimp i-lyz was transiently down
regulated after LPS injection followed by induction after 6 h in hepatopancreas.
In contrast, c-lyz was up-regulated in hepatopancreas 4 h post-injection and
slightly down-regulated in gills. The L. vannamei i-lyz does not contain the
catalytic residues for muramidase (glycohydrolase) neither isopeptidase
activities; however, it is known that the antibacterial activity does not solely
rely on the enzymatic activity of the protein. The study of invertebrate lysozyme
will increase our understanding of the regulatory process of the defense
mechanisms.
PMID- 22080113
TI - Homozygous deletion of a gene-free region of 4p15 in a child with multiple
anomalies: could biallelic loss of conserved, non-coding elements lead to a
phenotype?
AB - We report a male patient, offspring of a consanguineous marriage between first
cousins, with cognitive impairment, autistic-like behavior, deafness, postaxial
polydactyly, and mild dysmorphic features. aCGH revealed a 600 kb homozygous
deletion of 4p15.1 (from 33.553 to 34.159 Mb in NCBI36 hg18) encoding several
transcripts of unknown function. Both parents are heterozygous for the deletion
and the non-affected brother is homozygous for the normal alleles. We hypothesize
that this deletion is likely to contribute to the phenotype of the patient. This
case underlines the contribution of aCGH in discovering potentially pathogenic
CNVs in consanguineous matings.
PMID- 22080114
TI - Light-driven molecular shuttles modified on silicon nanowires.
AB - Immobilization of light-driven molecular shuttles onto the surface of the silicon
nanowires (SiNWs) was realized. The alpha-cyclodextrins as the shuttles could be
reversibly translocated along the thread by the optical stimuli. Such SiNWs-based
molecular shuttles also exhibited sequential logic with optical stimuli as the
input and fluorescence as the output.
PMID- 22080115
TI - Atmospheric deposition and storm induced runoff of heavy metals from different
impermeable urban surfaces.
AB - Contaminants deposited on impermeable surfaces migrate to stormwater following
rainfall events, but accurately quantifying their spatial and temporal yields
useful for mitigation purposes is challenging. To overcome limitations in current
sampling methods, a system was developed for rapid quantification of contaminant
build-up and wash-off dynamics from different impervious surfaces. Thin boards
constructed of concrete and two types of asphalt were deployed at different
locations of a large carpark to capture spatially distributed contaminants from
dry atmospheric deposition over specified periods of time. Following experimental
exposure time, the boards were then placed under a rainfall simulator in the
laboratory to generate contaminant runoff under controlled conditions. Single
parameter effects including surface roughness and material composition, number of
antecedent dry days, rain intensity, and water quality on contaminant build-up
and wash-off yields could be investigated. The method was applied to quantify
spatial differences in deposition rates of contaminants (TSS, zinc, copper and
lead) at two locations varying in their distance to vehicle traffic. Results
showed that boards exposed at an unused part of the carpark >50 m from vehicular
traffic captured similar amounts of contaminants compared with boards that were
exposed directly adjacent to the access route, indicating substantial atmospheric
contaminant transport. Furthermore, differences in contaminant accumulation as a
function of surface composition were observed. Runoff from asphalt boards yielded
higher zinc loads compared with concrete surfaces, whereas runoff from concrete
surfaces resulted in higher TSS concentrations attributed to its smoother
surfaces. The application of this method enables relationships between individual
contaminant behaviour and specific catchment characteristics to be investigated
and provides a technique to derive site-specific build-up and wash-off functions
required for modelling contaminant loads from impermeable surfaces.
PMID- 22080116
TI - The host-seeking inhibitory peptide, Aea-HP-1, is made in the male accessory
gland and transferred to the female during copulation.
AB - Male accessory glands (MAGs) of insects are responsible for the production of
many of the seminal fluid proteins and peptides that elicit physiological and
behavioral responses in the post-mated female. In the yellow fever mosquito,
Aedes aegypti, seminal fluid components are responsible for stimulating egg
production, changing female behavior away from host-seeking toward egg-laying and
mating refractoriness, but hitherto no behavior-modifying molecule from the MAGs
has been structurally characterized. We now show using mass spectrometry and
HPLC/ELISA that the MAG is a major site of synthesis of the biologically active
decapeptide, Aea-HP-1 (pERPhPSLKTRFamide) that was first characterized by
Matsumoto and colleagues in 1989 from mosquito head extracts and shown to have
host-seeking inhibitory properties. The peptide is localized to the anterior
portion of the MAG, occurs at high concentrations in the gland and is transferred
to the female reproductive tract on copulation. Aea-HP-1 has a pyroglutamic acid
at the N-terminus, an amidated carboxyl at the C-terminus and an unusual 4
hydroxyproline in position 4 of the peptide. The structure of the peptide with
its blocked N- and C-termini confers resistance to metabolic inactivation by MAG
peptidases; however the peptide persists for less than 2h in the female
reproductive tract after copulation. Aea-HP-1 is not a ligand for the mosquito
sex peptide/myoinhibitory peptide receptor. A. aegypti often mate close to the
host and therefore it is possible that male-derived Aea-HP-1 induces short-term
changes to female host-seeking behavior to reduce potentially lethal encounters
with hosts soon after insemination.
PMID- 22080117
TI - Microautophagy: lesser-known self-eating.
AB - Microautophagy, the non-selective lysosomal degradative process, involves direct
engulfment of cytoplasmic cargo at a boundary membrane by autophagic tubes, which
mediate both invagination and vesicle scission into the lumen. With its
constitutive characteristics, microautophagy of soluble substrates can be induced
by nitrogen starvation or rapamycin via regulatory signaling complex pathways.
The maintenance of organellar size, membrane homeostasis, and cell survival under
nitrogen restriction are the main functions of microautophagy. In addition,
microautophagy is coordinated with and complements macroautophagy, chaperone
mediated autophagy, and other self-eating pathways. Three forms of selective
microautophagy, including micropexophagy, piecemeal microautophagy of the
nucleus, and micromitophagy, share common ground with microautophagy to some
degree. As the accumulation of experimental data, the precise mechanisms that
govern microautophagy are becoming more appreciated. Here, we review the
microautophagic molecular machinery, its physiological functions, and relevance
to human diseases, especially in diseases involving multivesicular bodies and
multivesicular lysosomes.
PMID- 22080118
TI - Wounds, functional disability, and indwelling devices are associated with
cocolonization by methicillin-resistant Staphylococcus aureus and vancomycin
resistant enterococci in southeast Michigan.
AB - BACKGROUND: Methicillin-resistant Staphylococcus aureus (MRSA) remains sensitive
to vancomycin; when vancomycin-resistant S. aureus (VRSA) emerges, treatment
becomes more complex. VRSA emergence is attributed to conjugative transfer of the
vancomycin-resistance gene cluster from vancomycin-resistant enterococci (VRE) to
MRSA. Because cocolonization with MRSA and VRE precedes VRSA development, this
study investigates the epidemiology of cocolonization in skilled nursing facility
(SNF) residents at high risk for MRSA or VRE colonization. METHODS: A prospective
observational study conducted at 15 SNFs in southeast Michigan. Overall, 178
residents (90 with indwelling urinary catheters and/or feeding tubes and 88
device-free) were cultured monthly for MRSA and VRE, and clinical data were
recorded. RESULTS: The incidence of MRSA/VRE cocolonization among residents with
indwelling devices was 6.5 per 100 resident-months; 5.2 (95% confidence interval
[CI]: 1.49-18.1) times that among those without devices. MRSA/VRE cocolonization
in the device group occurred most frequently in wounds (4.1 per 100 resident
months). In a logistic regression analysis limited to residents with devices,
functional disability (rate ratio [RR], 1.3; 95% CI: 1.1-1.4) and wound presence
(RR, 3.4; 95% CI: 1.4-8.6) were independent risk factors of cocolonization.
CONCLUSIONS: In a population of SNF residents, individuals with indwelling
devices who also had functional disability or wounds were at greatest risk of
MRSA/VRE cocolonization. These individuals should be routinely monitored for the
presence of VRSA colonization.
PMID- 22080119
TI - Current epidemiology and trends in invasive Haemophilus influenzae disease-
United States, 1989-2008.
AB - BACKGROUND: With the introduction of Haemophilus influenzae serotype b (Hib)
conjugate vaccines, there has been a dramatic reduction of Hib disease in young
children and the epidemiological trends of invasive H. influenzae have shifted.
METHODS: Data were collected from active surveillance for invasive H. influenzae
disease conducted through Active Bacterial Core surveillance sites during 1989
2008. RESULTS: During 1999-2008, the estimated mean annual incidence of H.
influenzae infection was 1.62 cases per 100 000 population; 15.3% of cases were
fatal. Incidence was higher among adults aged >=65 years, compared with other age
groups. The largest burden of disease among children aged <5 years was in infants
aged <1 year; many of these cases occurred during the first month of life in
preterm or low-birth weight infants. An estimated 10% of the total burden of
disease among children aged <5 years occurred in American Indian and Alaska
Native children. During 1989-2008, 7559 cases of H. influenzae disease were
reported from Active Bacterial Core surveillance sites. Small increases in the
incidence of serotypes a, e, and f were observed during 1989-2008. The largest of
these increases was in serotype f and was primarily among adults aged >=18 years.
CONCLUSIONS: Since the introduction of Hib conjugate vaccines, the incidence of
invasive disease caused by H. influenzae in the United States has decreased
dramatically; however, a considerable burden of non-Hib disease is still present
in the oldest and youngest age groups. There is no evidence of substantial
replacement disease with non-b serotypes in young children in the United States.
PMID- 22080120
TI - Pneumothorax in a young man in Brooklyn, New York.
PMID- 22080123
TI - Variant angina in the setting of food-borne botulism.
PMID- 22080121
TI - Immunologic criteria are poor predictors of virologic outcome: implications for
HIV treatment monitoring in resource-limited settings.
AB - BACKGROUND: Viral load (VL) quantification is considered essential for
determining antiretroviral treatment (ART) success in resource-rich countries.
However, it is not widely available in resource-limited settings where the burden
of human immunodeficiency virus infection is greatest. In the absence of VL
monitoring, switches to second-line ART are based on World Health Organization
(WHO) clinical or immunologic failure criteria. METHODS: We assessed the
performance of CD4 cell criteria to predict virologic outcomes in a large ART
program in Nigeria. Laboratory monitoring consists of CD4 cell count and VL at
baseline, then every 6 months. Failure was defined as 2 consecutive VLs >1000
copies/mL after at least 6 months of ART. Virologic outcomes were compared with
the 3 WHO-defined immunologic failure criteria. RESULTS: A total of 9690 patients
were included in the analysis (median follow-up, 33.2 months). A total of 1225
patients experienced failure by both immunologic and virologic criteria, 872 by
virologic criteria only, and 1897 by immunologic criteria only. The sensitivity
of CD4 cell criteria to detect viral failure was 58%, specificity was 75%, and
the positive-predictive value was 39%. For patients with both virologic and
immunologic failure, VL criteria identified failure significantly earlier than
CD4 cell criteria (median, 10.4 vs 15.6 months; P < .0001). CONCLUSIONS: Because
of the low sensitivity of immunologic criteria, a substantial number of failures
are missed, potentially resulting in accumulation of resistance mutations. In
addition, specificity and predictive values are low, which may result in large
numbers of unnecessary ART switches. Monitoring solely by immunologic criteria
may result in increased costs because of excess switches to more expensive ART
and development of drug-resistant virus.
PMID- 22080124
TI - Does oseltamivir really reduce complications of influenza?
PMID- 22080126
TI - Chronic bacterial prostatitis: enterococcal disease?
PMID- 22080130
TI - Invasive mold infections in chronic granulomatous disease: a 25-year
retrospective survey.
AB - BACKGROUND: Invasive fungal infection (IFI) represents a life-threatening
condition for patients with chronic granulomatous disease (CGD) and causes one
third of deaths in this population. This study offers a descriptive review of
invasive mold infection (mIFI) in children with CGD over an extended period of
time. METHODS: In a cohort of patients with CGD registered in the French National
database for Primary Immunodeficiency, we performed a retrospective review of
proven mIFI episodes (European Organization for Research and Treatment of
Cancer/Invasive Fungal Infections Cooperative Group and the National Institute of
Allergy and Infectious Diseases Mycoses Study Group 2008 criteria) occurring from
1984 through 2009. RESULTS: Twenty-nine proven mIFIs were identified in 24
patients. Thirteen (54%) of 24 children were receiving itraconazole prophylaxis.
Seven episodes were caused by Aspergillus fumigatus, 10 by Aspergillus nidulans,
2 by Aspergillus species, and 6 by other opportunistic molds (4 patients only had
positive pathological examination findings). First proven mIFI occurred later in
the group that received itraconazole than in the group without (median time to
mIFI, 10 vs 4 years; P < .01), with a higher proportion of infections due to A.
nidulans and other opportunistic molds (P < .05). Course of IFI was complex, with
the median duration of therapy and hospitalization reaching 446 and 153 days,
respectively. Combined antifungal therapy was commonly used. Four patients
received geno-identical hematopoietic stem cell transplantation as salvage
therapy. Global cure rate among the cohort reached 75%, but sequelae were
frequent. Prognosis has improved over time (43% mortality during 1985-1990 vs 6%
thereafter; P = .06). Mortality tended to be lower in the group that recieved
itraconazole prophylaxis but at the cost of a longer duration of therapy among
cured patients. CONCLUSIONS: Management of mIFI remains challenging in patients
with CGD, but significant improvements have been made over the past decade.
PMID- 22080131
TI - Measles among US-bound refugees from Malaysia to California, Maryland, North
Carolina, and Wisconsin, August-September 2011.
PMID- 22080139
TI - The transition of breast cancer treatment and Japan Clinical Oncology Group
research over two decades.
AB - The Japanese Breast Cancer Study Group (JABCSG) was established before the Japan
Clinical Oncology Group (JCOG). The JABCSG became the JCOG Breast Cancer Group 20
years ago. The first chairman of the Breast Cancer Group was Dr Kaoru Abe
(National Cancer Center Hospital). Since 1978, five doctors have chaired the
Breast Cancer Group. Sixteen clinical trials (eight phase III and eight phase
I/II) have been conducted by the Breast Cancer Group since 1985. The Breast
Cancer Group was restructured in 2010, and in June 2011 a new clinical trial
(JCOG 1017) was initiated. Standard treatment for breast cancer (surgery,
radiotherapy and systemic therapy) has changed dramatically over the last two
decades. This review describes the transition of breast cancer treatment along
with the history of JCOG research in this setting.
PMID- 22080138
TI - Somatic mutations contribute to genotypic diversity in sterile and fertile
populations of the threatened shrub, Grevillea rhizomatosa (Proteaceae).
AB - BACKGROUND AND AIMS: Grevillea rhizomatosa is a spreading shrub which exhibits
multiple breeding strategies within a narrow area in the fire-prone heathlands of
eastern Australia. Reproductive strategies include self-compatibility, self
incompatibility and clonality (with and without sterility). The close proximity
of contrasting breeding systems provides an opportunity to explore the evolution
of sterility and to compare and contrast the origins of genotypic diversity
(recombinant or somatic) against degrees of sexual expression. METHODS: ISSR
markers for 120 band positions (putative loci) were used to compare genetic
diversity among five populations at a macro-scale of 5 m between samples (n = 244
shrubs), and at a micro-scale of nearest neighbours for all plants in five 25
m(2) quadrats with contrasting fertilities (n = 162 shrubs). Nearest-neighbour
sampling included several clusters of connected ramets. Matrix incompatibility
(MIC) analyses were used to evaluate the relative contribution of recombination
and somatic mutation to genotype diversity. KEY RESULTS: High levels of genotypic
diversity were found in all populations regardless of fertilities (fertile
populations, G/N >= 0.94; sterile populations, G/N >= 0.97) and most sterile
populations had a unique genetic profile. Somatic mutations were detected along
connected ramets in ten out of 42 ramet clusters. MIC analyses showed that
somatic mutations have contributed to diversity in all populations and
particularly so in sterile populations. CONCLUSIONS: Somatic mutations contribute
significantly to gene diversity in sterile populations of Grevillea rhizomatosa,
the accumulation of which is the likely cause of male and female sterility. High
levels of genetic diversity therefore may not always be synonymous with sexual
fitness and genetic health. We hypothesize that frequent fires drive selection
for clonal reproduction, at the cost of flowering such that sexual functions are
not maintained through selection, and the build-up of somatic mutations in
meristems results in high genotype diversity at the cost of pollen and ovule
fertilities.
PMID- 22080140
TI - Involvement of the oestrogenic receptors in superior mesenteric ganglion on the
ovarian steroidogenesis in rat.
AB - Oestradiol (E(2)) is a key hormone in the regulation of reproductive processes.
The aims of this work were a) to examine the distributions of oestrogen receptor
alpha (ERalpha) and ERbeta in the neurons of the superior mesenteric ganglion
(SMG) in the oestrus stage by immunohistochemistry, b) to demonstrate whether
E(2) in the SMG modifies progesterone (P(4)), androstenedione (A(2)) and nitrite
release in the ovarian compartment on oestrus day and c) to demonstrate whether
E(2) in the ganglion modifies the activity and gene expression in the ovary of
the steroidogenic enzymes 3beta-hydroxysteroid dehydrogenase (3beta-HSD) and
20alpha-hydroxysteroid dehydrogenase (20alpha-HSD). The ex vivo SMG-ovarian
nervous plexus-ovary system was used. E(2), tamoxifen (Txf) and E(2) plus Txf
were added in the ganglion to measure ovarian P(4) release, while E(2) alone was
added to measure ovarian A(2) and nitrites release. Immunohistochemistry revealed
cytoplasmic ERalpha immunoreactivity only in the neural somas in the SMG. E(2)
increased ovarian P(4) and A(2) release at 15, 30 and 60 min but decreased
nitrites. The activity and gene expression of 3beta-HSD increased, while the
activity and gene expression of 20alpha-HSD did not show changes with respect to
the control. Txf in the ganglion diminished P(4) release only at 60 min. E(2)
plus Txf in the ganglion reverted the effect of E(2) alone and the inhibitory
effect of Txf. The results of this study demonstrate that ERalpha activation in
the SMG has an impact on ovarian steroidogenesis in rats, thus providing evidence
for the critical role of peripheral system neurons in the control of ovarian
functions under normal and pathological conditions.
PMID- 22080141
TI - FSH withdrawal improves developmental competence of oocytes in the bovine model.
AB - Combinations of genetic, environmental, and management factors are suspected to
explain the loss in fertility observed for over 20 years in dairy cows. In some
cases, IVF is used. When compared with in vivo embryo production, IVF resulted in
low success rates until the FSH coasting process (FSH starvation after
superstimulation) was introduced in 2002. Increased competence associated with
FSH withdrawal of aspirated oocyte for in vitro maturation and IVF has not been
optimized nor explained yet. The goal here was to determine and characterize the
optimal oocyte competence acquisition window during the coasting period by
determining blastocyst rates and follicular cohort development. Commercial
milking cycling cows (n=6) were stimulated with 3 days of FSH (6*40 mg NIH
Folltropin-V given at 12 h intervals) followed by a coasting period of 20, 44,
68, or 92 h. Each animal was exposed to the four conditions and served as its own
control. At the scheduled time, transvaginal aspirations of immature oocytes were
performed followed by IVF of half the oocytes. The outcomes were as follows: i)
FSH coasting was optimal at a defined period: between 44 and 68 h of coasting;
ii) The best estimated coasting duration was ~54+/-7 h; iii) Under these
conditions, the best statistical blastocyst rate estimation was ~70%; iv) Between
44 and 68 h of coasting, follicle size group proportions were similar; v)
Follicle diameter was not linearly associated with competence. In conclusion,
coasting duration is critical to harvest the oocytes at the right moment of
follicular differentiation.
PMID- 22080142
TI - Associations of hip circumference and height with incidence of type 2 diabetes:
the Isfahan diabetes prevention study.
AB - The aim of this study was to determine the effects of hip circumference (HC) and
height on diabetes incidence in non-diabetic first-degree relatives (FDRs) of
patients with type 2 diabetes. A total of 1,092 (254 men and 838 women) non
diabetics FDRs >= 30 years old in 2003-2005 were followed through 2010 for the
occurrence of type 2 diabetes. At baseline and through follow-ups, participants
were underwent a standard 75 g 2-h oral glucose tolerance test. The incidence of
type 2 diabetes was 17.0 (95% CI: 13.7, 20.2) (13.0 men and 18.1 women) per 1,000
person-year based on 6,015 person-years of follow-up. Height was inversely
associated with diabetes incidence. The age-, gender-, and waist-adjusted
relative risk (95% CI) of diabetes was 0.54 (0.31, 0.93) for highest quartile of
height and 0.59 (0.25, 1.37) for highest quartile of HC compared with lowest
quartile. These data indicate that height was inversely associated with diabetes
incidence, independently of gender among FDRs of patients with type 2 diabetes.
PMID- 22080143
TI - A new ratiometric fluorescence detection of heparin based on the combination of
the aggregation-induced fluorescence quenching and enhancement phenomena.
AB - A new ratiometric fluorescence detection of heparin is reported with the ensemble
of 1 and 2. This method is based on the respective ACQ (aggregation-caused
quenched emission) and AIE (aggregation-induced emission) features of anthracene
and tetraphenylethene. DLS, CLSM and fluorescent spectral investigations suggest
that the variation of the fluorescence intensity ratio I(497)/I(421) is due to
the formation of aggregates of 1 and 2 with heparin. Moreover, this ratiometric
fluorescence method can be used to distinguish heparin from its analogues (HA,
Dex). In order to demonstrate the practical utilization of this ratiometric
fluorescence method, the fluorescence spectra of the ensemble of 1 and 2 were
measured in the presence of serum, and the results indicate that it is possible
to eliminate the interferences from other biomolecules by either subtracting the
background fluorescence intensities or lowering the pH values of the sample
solutions.
PMID- 22080144
TI - Family community integration and maternal mental health.
AB - While the majority of women with mental health problems (MHPs) are mothers,
little is known about the community integration (CI) of these women and their
children. Given that poorer mental health status has been linked with lower CI,
CI has become a long standing goal of mental health policy. Data from a national
survey examined the association of maternal mental health status with the
physical, social, and psychological integration of families. After adjusting for
sociodemographics, mothers with MHPs reported similar physical integration but
less social and psychological integration. Interventions focused on improving
social networks, scarce resources, and neighborhood safety are needed for
families impacted by maternal MHPs.
PMID- 22080145
TI - Algicidal activity of thiazolidinedione derivatives against harmful algal
blooming species.
AB - Thiazolidinedione (TD) derivatives exhibit algicidal activity against harmful
algal blooming species such as Chattonella marina, Heterosigma akashiwo, and
Cochlodinium polykrikoides, as reported previously. In this study, the efficacies
and selectivities of TD derivatives were tested by analyzing the structure
activity relationships of various TD derivatives. To investigate structure
activity relationships for growth inhibition of harmful algae, we added a
methylene group between the cyclohexyl ring and oxygen of 5-(3-chloro-4
hydroxybenzylidene)-TD, which decreased the inhibitory potency of compound 17.
Interestingly, another addition of a methylene group significantly increased the
inhibitory potency against C. polykrikoides. The addition of 1 MUM compound 17
resulted in the cell rupture of harmful algae after less than 10 h incubation at
20 degrees C. Compound 17 was applied to both harmful and non-harmful algae and
showed a drastic reduction in the efficiency of photosystem II, resulting in
reduced photosynthetic oxygen evolution. Compound 17 at a 5 MUM concentration
destroyed all of the harmful algae, while algicidal activity against non-harmful
algae did not exceed 30% of the control within the concentration range tested. In
contrast, a herbicide, 3-(3,4-dichlorophenyl)-1,1-dimethylurea, tested at a 5 MUM
concentration, exhibited 40-70% algicidal activity relative to that of the
control against both harmful and non-harmful algae. Compound 17 is a promising
lead compound for the development of algicides to control harmful algal blooming
species.
PMID- 22080146
TI - Course of serum 25-hydroxyvitamin D(3) status and its influencing factors in
adults undergoing allogeneic hematopoietic cell transplantation.
AB - Hypovitaminosis D (<30 ng/ml) is highly prevalent in allogeneic hematopoietic
cell transplantation (alloHCT), but the relevance of influencing factors for
serum 25-hydroxyvitamin D(3) [25(OH)D(3)] status in adult patients remains
unknown. We are the first to have prospectively assessed 25(OH)D(3) status and
its influencing factors in 102 patients before and at days +30 and +100 after
alloHCT. Among others, we evaluated age, gender, weight, fat mass, season, sun
exposure habits, and dietary and supplemental vitamin D intake as factors
potentially influencing baseline vitamin D status in uni- and multivariate linear
regression analysis. Furthermore, we investigated the impact of changes in fat
mass, duration of parenteral nutrition, and acute graft-versus-host disease
(aGVHD) on the course of serum 25(OH)D(3). Baseline 25(OH)D(3) concentrations
were 16.4 +/- 8.9 ng/ml, revealing that the majority (89%) had concentrations
beneath the normal range. In multivariate linear regression model, only higher
body fat mass remained an independent risk factor for reduced baseline 25(OH)D(3)
concentrations (P = 0.007). In the early post-transplant period, 25(OH)D(3)
status remained low, revealing a tendency to further deterioration, especially in
patients with corticosteroid-treated aGVHD (>=II). Reduced vitamin D status was
very common in these patients before and after alloHCT, whereby the most
important influencing factors, namely season and dietary factors seem to have
little impact. Our findings suggest that monitoring and if necessary, correcting
vitamin D status may be indicated at regular intervals before alloHCT and during
long-term follow-up. Further investigations of these patients' vitamin D
requirements are needed, especially if they are on long-term corticosteroids.
PMID- 22080147
TI - Hemophagocytic syndrome associated with visceral leishmaniasis in an
immunocompetent adult-case report and review of the literature.
PMID- 22080148
TI - Extravascular hemolytic attack after eculizumab therapy for paroxysmal nocturnal
hemoglobinuria.
PMID- 22080149
TI - A large family with MYH9 disorder caused by E1841K mutation suffering from
serious kidney and hearing impairment and cataracts.
PMID- 22080150
TI - Cytoarchitecture of mouse and human subventricular zone in developing cerebral
neocortex.
AB - During cerebral neocortical development, excitatory neurons are generated from
radial glial cells in the ventricular zone (VZ) or from secondary progenitor
cells in the subventricular zone (SVZ); these neurons then migrate toward the
pial surface. We have observed that post-mitotic neurons generated directly in
the VZ accumulated just above the VZ with a multipolar morphology, while
secondary progenitor cells having a long ascending process left the VZ faster
than the post-mitotic neurons. Recent observations of human developing neocortex
have revealed the existence of radial glia-like progenitors (oRG cells) in the
SVZ. This type of progenitor was first thought to be human specific; however,
similar cells have also been found in mouse neocortex, and the morphology of
these cells resembled that of some of the secondary progenitor cells that we had
previously observed, suggesting the existence of a common architecture for the
developing neocortex among mammals. In this review, we discuss the nature of the
SVZ and its similarities and differences between humans and mice.
PMID- 22080151
TI - Directional remapping in tactile inter-finger apparent motion: a motion
aftereffect study.
AB - Tactile motion provides critical information for perception and manipulation of
objects in touch. Perceived directions of tactile motion are primarily defined in
the environmental coordinate, which means they change drastically with body
posture even when the same skin sensors are stimulated. Despite the ecological
importance of this perceptual constancy, the sensory processing underlying
tactile directional remapping remains poorly understood. The present study
psychophysically investigated the mechanisms underlying directional remapping in
human tactile motion processing by examining whether finger posture modulates the
direction of the tactile motion aftereffect (MAE) induced by inter-finger
apparent motions. We introduced conflicts in the adaptation direction between
somatotopic and environmental spaces by having participants change their finger
posture between adaptation and test phases. In a critical condition, they touched
stimulators with crossed index and middle fingers during adaptation but with
uncrossed fingers during tests. Since the adaptation effect was incongruent
between the somatotopic and environmental spaces, the direction of the MAE
reflects the coordinate of tactile motion processing. The results demonstrated
that the tactile MAE was induced in accordance with the motion direction
determined by the environmental rather than the somatotopic space. In addition,
it was found that though the physical adaptation of the test fingers was not
changed, the tactile MAE disappeared when the adaptation stimuli were vertically
aligned or when subjective motion perception was suppressed during adaptation. We
also found that the tactile MAE, measured with our procedure, did not transfer
across different hands, which implies that the observed MAEs mainly reflect
neural adaptations occurring within sensor-specific, tactile-specific processing.
The present findings provide a novel behavioral method to analyze the neural
representation for directional remapping of tactile motion within tactile sensory
processing in the human brain.
PMID- 22080152
TI - Identification of cortical lamination in awake monkeys by high resolution
magnetic resonance imaging.
AB - Brodmann divided the neocortex into 47 different cortical areas based on
histological differences in laminar myeloarchitectonic and cytoarchitectonic
defined structure. The ability to do so in vivo with anatomical magnetic
resonance (MR) methods in awake subjects would be extremely advantageous for many
functional studies. However, due to the limitations of spatial resolution and
contrast, this has been difficult to achieve in awake subjects. Here, we report
that by using a combination of MR microscopy and novel contrast effects, cortical
layers can be delineated in the visual cortex of awake subjects (nonhuman
primates) at 4.7 T. We obtained data from 30-min acquisitions at voxel size of
62.5 * 62.5 * 1000 MUm(3) (4 nl). Both the phase and magnitude components of the
T(2)*-weighted image were used to generate laminar profiles which are believed to
reflect variations in myelin and local cell density content across cortical
depth. Based on this, we were able to identify six layers characteristic of the
striate cortex (V1). These were the stripe of Kaes-Bechterew (in layer II/III),
the stripe of Gennari (in layer IV), the inner band of Baillarger (in layer V),
as well as three sub-layers within layer IV (IVa, IVb, and IVc). Furthermore, we
found that the laminar structure of two extrastriate visual cortex (V2, V4) can
also be detected. Following the tradition of Brodmann, this significant
improvement in cortical laminar visualization should make it possible to
discriminate cortical regions in awake subjects corresponding to differences in
myeloarchitecture and cytoarchitecture.
PMID- 22080154
TI - Highly enantioselective Mukaiyama aldol reaction in aqueous conditions using a
chiral iron(II) bipyridine catalyst.
AB - A highly enantioselective method for the catalytic Mukaiyama aldol reaction of
silyl enol ethers with aldehydes in aqueous conditions was developed. The desired
aldol products were obtained in excellent yields, diastereo- and
enantioselectivities. Structural evidence of the pre-catalyst revealed an
unprecedented heptadentate Fe(II) complex with the chiral bipyridine ligand.
PMID- 22080153
TI - Nucleus-encoded regulators of mitochondrial function: integration of respiratory
chain expression, nutrient sensing and metabolic stress.
AB - Nucleus-encoded regulatory factors are major contributors to mitochondrial
biogenesis and function. Several act within the organelle to regulate
mitochondrial transcription and translation while others direct the expression of
nuclear genes encoding the respiratory chain and other oxidative functions. Loss
of-function studies for many of these factors reveal a wide spectrum of
phenotypes. These range from embryonic lethality and severe respiratory chain
deficiency to relatively mild mitochondrial defects seen only under conditions of
physiological stress. The PGC-1 family of regulated coactivators (PGC-1alpha, PGC
1beta and PRC) plays an important integrative role through their interactions
with transcription factors (NRF-1, NRF-2, ERRalpha, CREB, YY1 and others) that
control respiratory gene expression. In addition, recent evidence suggests that
PGC-1 coactivators may balance the cellular response to oxidant stress by
promoting a pro-oxidant environment or by orchestrating an inflammatory response
to severe metabolic stress. These pathways may serve as essential links between
the energy generating functions of mitochondria and the cellular REDOX
environment associated with longevity, senescence and disease. This article is
part of a Special Issue entitled: Mitochondrial Gene Expression.
PMID- 22080155
TI - Axon cytoskeleton proteins specifically modulate oligodendrocyte growth and
differentiation in vitro.
AB - In multiple sclerosis (MS) remyelination by oligodendrocytes (OL) is incomplete,
and it is associated with a decrease in axonal neurofilaments (NF) and tubulin
(TUB). To determine whether these proteins could participate directly in MS
remyelination failure, or indirectly through proteins that are co-associated, we
have analysed their effects in pure OL cultures. Rat brain NF fractions,
recovered by successive centrifugations increase either OL progenitor (OLP)
proliferation (2nd pellet, P2), or only their maturation (P5), whereas albumin,
liver and skin proteins, as well as recombinant GFAP or purified actin were
ineffective. NF (P2) copurify mainly with TUB, as well as with other proteins,
like MAPs, Tau, spectrin beta2, and synapsin 2. These purified, or recombinant,
proteins increased OLP proliferation without delaying their maturation, and
appeared responsible for the proliferation observed with P2 fractions. Among
putative signaling pathways mediating these effects Fyn kinase was not involved.
Whereas NF did not alter the growth of cultured astrocytes, the NF associated
proteins enhanced their proliferation. This suggests that NF and their associated
proteins exert specific effects on OL development, broadening the field of axon
oligodendrocyte interactions. In case of axon damage in vivo, extracellular
release of such axonal proteins could regulate remyelination and astrocytic
gliosis.
PMID- 22080157
TI - Exposure to novel environment is characterized by an interaction of D1/NMDA
receptors underlined by phosphorylation of the NMDA and AMPA receptor subunits
and activation of ERK1/2 signaling, leading to epigenetic changes and gene
expression in rat hippocampus.
AB - Interactions between dopamine and glutamate receptors are essential for
prefrontal cortical (PFC) and hippocampal cognitive functions. The hippocampus
has been identified as a detector of a novel stimulus, where an association
between incoming information and stored memories takes place. Further to our
previous results which showed a strong synergistic interaction of dopamine D1 and
glutamate NMDA receptors, the present study is going to investigate the
functional status of that interaction in rats, following their exposure to a
novel environment. Our results showed that the "spatial" novelty induced in rat
hippocampus and PFC (a) a significant increase in phosphorylation of NMDA and
AMPA receptor subunits, as well as a robust phosphorylation/activation of ERK1/2
signaling, which are both dependent on the concomitant stimulation of D1/NMDA
receptors and are both abolished by habituation procedure, (b) chromatin
remodeling events (phosphorylation-acetylation of histone H3) and (c) an increase
in the immediate early genes (IEGs) c-Fos and zif-268 expression in the CA1
region of hippocampus, which is dependent on the co-activation of D1/NMDA and
acetylcholine muscarinic receptors. In conclusion, our results clearly show that
a strong synergistic interaction of D1/NMDA receptor is required for the novelty
induced phosphorylation of NMDA and AMPA receptor subunits and for the robust
activation of ERK1/2 signaling, leading to chromatin remodeling events and the
expression of the IEGs c-Fos and zif-268, which are involved in the regulation of
synaptic plasticity and memory consolidation.
PMID- 22080156
TI - Riluzole elevates GLT-1 activity and levels in striatal astrocytes.
AB - Drugs which upregulate astrocyte glutamate transport may be useful
neuroprotective compounds by preventing excitotoxicity. We set up a new system to
identify potential neuroprotective drugs which act through GLT-1. Primary mouse
striatal astrocytes grown in the presence of the growth-factor supplement G5
express high levels of the functional glutamate transporter, GLT-1 (also known as
EAAT2) as assessed by Western blotting and 3H-glutamate uptake assay, and levels
decline following growth factor withdrawal. The GLT-1 transcriptional enhancer
dexamethasone (0.1 or 1 MUM) was able to prevent loss of GLT-1 levels and
activity following growth factor withdrawal. In contrast, ceftriaxone, a compound
previously reported to enhance GLT-1 expression, failed to regulate GLT-1 in this
system. The neuroprotective compound riluzole (100 MUM) upregulated GLT-1 levels
and activity, through a mechanism that was not dependent on blockade of voltage
sensitive ion channels, since zonasimide (1 mM) did not regulate GLT-1. Finally,
CDP-choline (10 MUM-1 mM), a compound which promotes association of GLT-1/EAAT2
with lipid rafts was unable to prevent GLT-1 loss under these conditions. This
observation extends the known pharmacological actions of riluzole, and suggests
that this compound may exert its neuroprotective effects through an astrocyte
dependent mechanism.
PMID- 22080158
TI - An overview of consumer attitudes and beliefs about plant food supplements.
AB - The use of dietary supplements is increasing globally and this includes the use
of plant food supplements (PFS). A variety of factors may be influencing this
increased consumption including the increasing number of older people in society,
mistrust in conventional medicine and the perception that natural is healthy.
Consumer studies in this area are limited, with a focus on dietary supplements in
general, and complicated by the use of certain plant food supplements as herbal
medicines. Research indicates that higher use of dietary supplements has been
associated with being female, being more educated, having a higher income, being
white and being older, however the drivers for consumption of supplements are
complex, being influenced by both demographic and health-related factors. The aim
of this paper is to provide an overview of current knowledge about the users and
the determinants of usage of plant food supplements. With growing consumption of
these products, the need for effective risk-benefit assessment becomes ever more
important and an insight into who uses these types of products and why is an
important starting point for any future science-based decisions made by policy
makers, PFS manufacturers and ultimately by consumers themselves.
PMID- 22080159
TI - 5-aminolevulinic acid (5-ALA)-induced fluorescence in intracerebral metastases: a
retrospective study.
AB - BACKGROUND: Microsurgical, circumferential stripping of intracerebral metastases
often proves to be insufficient to prevent local tumor recurrence. OBJECTIVE: We
were interested in the potential impact of 5-aminolevulinic acid (5-ALA)-induced
fluorescence (5-AIF) as a diagnostic tool for the resection of intracerebral
metastases. METHODS: A retrospective analysis was performed for 52 patients who
underwent 5-AIF-guided resection for intracerebral mass lesions that
histologically corresponded to metastases from tumors outside the central nervous
system. The presence of ALA fluorescence in the tumor was determined in each
patient. In 42 patients, fluorescence of the resection cavity after tumor removal
was additionally recorded. Data were correlated with neuropathological findings
in tissue specimens. RESULTS: A total of 32 of the 52 metastases (62%) exhibited
5-AIF in tumor parts. All 5-AIF-positive metastases exhibited an inhomogeneous
fluorescence pattern. 5-AIF was neither associated with the histological type nor
with the site of origin of the metastases. Residual fluorescence of the resection
cavity was detected after macroscopically complete white light resection in 24
patients with 5-AIF positive metastases. Residual tumor tissue was histologically
confirmed in 6 of 18 patients with available tissue specimens from such 5-AIF
positive areas (33%). CONCLUSIONS: The majority of metastases (62%) were 5-AIF
positive, suggesting a potential impact of 5-AIF for improved visualization of
metastatic tumor tissue within the brain. However, residual 5-AIF after
macroscopically complete resection of a metastasis needs to be interpreted with
caution because of the limited specificity for detection of residual tumor
tissue.
PMID- 22080160
TI - Progress on ART--an exposure modelling tool for REACH.
PMID- 22080162
TI - Advanced REACH Tool: development and application of the substance emission
potential modifying factor.
AB - The Advanced REACH Tool (ART) is an exposure assessment tool that combines
mechanistically modelled inhalation exposure estimates with available exposure
data using a Bayesian approach. The mechanistic model is based on nine
independent principal modifying factors (MF). One of these MF is the substance
emission potential, which addresses the intrinsic substance properties as
determinants of the emission from a source. This paper describes the current
knowledge and evidence on intrinsic characteristics of solids and liquids that
determine the potential for their release into workplace air. The principal
factor determining the release of aerosols from handling or processing powdered,
granular, or pelletized materials is the dustiness of the material, as well as
the weight fraction of the substance of interest in the powder and the moisture
content. The partial vapour pressure is the main intrinsic factor determining the
substance emission potential for emission of vapours. For generation of mist, the
substance emission potential is determined by the viscosity of the liquid as well
as the weight fraction of the substance of interest in the liquid. Within ART
release of vapours is considered for substances with a partial vapour pressure at
the process temperature of 10 Pa or more, while mist formation is considered for
substances with a vapour pressure <= 10 Pa. Relative multipliers are assigned for
most of the intrinsic factors, with the exception of the weight fraction and the
vapour pressure, which is applied as a continuous variable in the estimation of
the substance emission potential. Currently, estimation of substance emission
potential is not available for fumes, fibres, and gases. The substance emission
potential takes account of the latest thinking on emissions of dusts, mists, and
vapours and in our view provides a good balance between theory and pragmatism.
Expanding the knowledge base on substance emission potential will improve the
predictive power of occupational exposure models and thereby the accuracy and
precision of the exposure estimates.
PMID- 22080161
TI - Advanced REACH Tool (ART): overview of version 1.0 and research needs.
AB - This paper provides an outline of the Advanced REACH Tool (ART) version 1.0 and a
discussion of how it could be further developed. ART is a higher tier exposure
assessment tool that combines mechanistically modelled inhalation exposure
predictions with available exposure data using a Bayesian approach. ART assesses
exposure for scenarios across different plants and sites. Estimates are provided
for different percentiles of the exposure distribution and confidence intervals
around the estimate. It also produces exposure estimates in the absence of data,
but uncertainty of the estimates will decrease when results of exposure
measurements are included. The tool has been calibrated using a broad range of
exposure data and provides estimates for exposure to vapours, mists, and dusts.
ART has a robust and stable conceptual basis but will be refined in the future
and should therefore be considered an evolving system. High-priority areas for
future research are identified in this paper and include the integration of
partially analogous measurement series, inclusion of company and site-specific
assessments, user decision strategies linked to ART predictions, evaluation of
validity and reliability of ART, exploring the possibilities for incorporating
the dermal route and integration of ART predictions with tools for modelling
internal dose. ART is initially developed in the scope of REACH but is equally
useful for exposure assessment in other areas.
PMID- 22080163
TI - A fishnet electrochemical Hg2+ sensing strategy based on gold nanoparticle
bioconjugate and thymine-Hg(2+)-thymine coordination chemistry.
AB - A novel electrochemical biosensing strategy based on a three-dimensional fishnet
of DNA-linked nanoparticle supramolecular structure triggered by the analyst for
detection of Hg(2+) has been designed. The detection limit is 7.38 pM and the
sensor's selectivity and facility have been significantly improved.
PMID- 22080164
TI - Inhibition of checkpoint kinase 1 abrogates G2/M checkpoint activation and
promotes apoptosis under heat stress.
AB - Hyperthermia induced by heat stress (HS) inhibits the proliferation of cancer
cells and induces their apoptosis. However, the mechanism underlying HS-induced
apoptosis remains elusive. Here, we demonstrated a novel evidence that checkpoint
kinase 1 (Chk1) plays crucial roles in the apoptosis and regulation of cell cycle
progression in cells under HS. In human leukemia Jurkat cells, interestingly, the
ataxia telangiectasia and Rad-3 related (ATR)-Chk1 pathway was preferentially
activated rather than the ataxia telangiectasia mutated (ATM)-checkpoint kinase 2
(Chk2) pathway under HS. The selective inhibitors of ATR or Chk1 abrogated HS
induced apoptosis in human leukemia Jurkat cells whereas the inhibition of ATM or
Chk2 caused only marginal effects. Inhibition of ATR and Chk1 also abrogated G2/M
checkpoint activation by HS in Jurkat cells. The effects of small interfering RNA
targeting Chk1 were similar to those of the selective inhibitor of Chk1. In
addition, the efficiencies of Chk1 inhibition on G2/M checkpoint abrogation and
apoptosis induction were confirmed in the adherent cancer cell lines HeLa, HSC3,
and PC3, suggesting that the targeting of Chk1 can be effective in solid tumors
cells. In conclusion, these findings indicate a novel molecular basis of G2/M
checkpoint activation and apoptosis in cells exposed to HS.
PMID- 22080165
TI - Prognostic factors of visual field improvement after trans-sphenoidal approach
for pituitary macroadenomas: review of the literature and analysis by
quantitative method.
AB - The objective of the study was to evaluate the preoperative visual field defect,
the postoperative outcome and the possible prognostic factors in patients with
pituitary macroadenoma, using a quantitative method (the mean deviation = MD),
and to review the literature. A total of 73 patients, operated trough trans
sphenoidal approach, were selected, and data in single eyes were analysed by
calculating the frequency and the degree of postoperative improvement (relative
improvement). The visual field defect improved in 95.7% of eyes: The recovery was
complete in 48.9% and partial in 46.8%. Multivariate logistic regression showed
that factors, independently predictive for complete recovery, were as follows:
low preoperative MD absolute value (p = 0.008), low cranio-caudal diameter of
tumour (p = 0.02) and young age (p = 0.0001). The mean relative improvement in
visual field defect (dMD%) was correlated with the preoperative visual acuity (p
= 0.0001) and inversely related with the preoperative MD (p = 0.007) and the age
(p = 0.017). The relative improvement was higher in tumours with a smaller cranio
caudal diameter (p = 0.0185). In conclusion, using a quantitative method, we can
measure the degree of the postoperative visual field defect improvement.
Predictive factors for a complete recovery were good preoperative visual
function, young age and low cranio-caudal tumour.
PMID- 22080166
TI - Vitamin K(2) improves renal function and increases femoral bone strength in rats
with renal insufficiency.
AB - Renal insufficiency induces cortical bone loss in rats. The present study
examined the influence of vitamin K(2) on renal function, cortical bone mass, and
bone strength in rats with renal insufficiency. Thirty male Sprague-Dawley rats
(8 weeks old) were randomized by the stratified weight method to the following
three groups of 10 animals each: sham operation (control), 5/6 nephrectomy, and
5/6 nephrectomy + oral vitamin K(2) (menaquinone-4, menatetrenone, 30 mg/kg, 5
days/week). Treatment was initiated 10 days after surgery. After 6 weeks of
treatment, samples of serum, urine, and bone (femur and tibia) were obtained.
Renal function was evaluated, bone histomorphometric analysis was performed on
the tibial diaphysis, and the bone mineral density (BMD) and mechanical strength
of the femoral diaphysis were determined by peripheral quantitative computed
tomography and a three-point bending test, respectively. Nephrectomy induced
renal dysfunction, as indicated by increased levels of serum creatinine and urea
nitrogen along with a decrease of creatinine clearance; and it also decreased BMD
without significantly affecting bone strength at the femoral diaphysis. Vitamin
K(2) improved renal function parameters but did not significantly influence BMD
at the femoral diaphysis. However, vitamin K(2) decreased the bone marrow area of
the tibial diaphysis and increased the stiffness of the femoral diaphysis. These
findings suggest that administration of vitamin K(2) improves renal function and
increases cortical bone strength without altering BMD in rats with renal
insufficiency.
PMID- 22080167
TI - Biased attention towards negative schematic expression in abstinent heroin
abusers.
AB - BACKGROUND AND OBJECTIVES: Drug dependents exhibit biases when evaluating
emotional facial expressions; however little is known about their emotional
biases appearing at the pre-awareness stage. The present study examined whether
abstinent heroin abusers preferentially attended to facial expressions with
particular emotion type. METHOD: Thirty-seven abstinent heroin abusers (AH
participants), twenty normal healthy controls (NC participants), and nineteen
individuals with moderate anxiety/depression disorders (PC participants) were
included in the study. Participants searched displays containing a varying number
of schematic neutral faces for a unique schematic face expressing either a
positive or a negative emotion. RESULTS: Results revealed that AH participants
had generally shallower search slopes for locating the negative target face than
those for locating the positive one, whereas no such difference was found on NC
participants or PC participants. CONCLUSION: It suggested that abstinent heroin
abusers are biased to attend to negative expression more effectively than attend
to positive expression. We proposed that this may result from abstinent heroin
abusers' repeated exposure to people's negative expressions in their living
environment or a pre-existing emotional processing deficit which could initiate
the development of drug abuse behaviour.
PMID- 22080168
TI - A novel multi-targeted tyrosine kinase inhibitor, linifanib (ABT-869), produces
functional and structural changes in tumor vasculature in an orthotopic rat
glioma model.
AB - Tyrosine kinase inhibitors represent a class of targeted therapy that has proven
to be successful for cancer treatment. Linifanib is a novel, orally active multi
targeted receptor tyrosine kinase (RTK) inhibitor that exhibits potent antitumor
and antiangiogenic activities against a broad spectrum of experimental tumors and
malignancies in patients. The compound is currently being evaluated in phase 2
and 3 clinical trials. To investigate the effectiveness of linifinib against
gliomas and the mechanism of drug action, we characterized treatment-induced
antitumor and antiangiogenic responses to linifanib in an orthotopic rat glioma
model. The effect of linifanib treatment on tumor growth was determined by tumor
volume assessment using anatomical magnetic resonance imaging (MRI). Changes in
tumor microvessel function were evaluated with dynamic contrast-enhanced MRI (DCE
MRI). Immunohistochemistry (IHC) was applied to excised tumor samples to examine
underlying changes in vascular structures and target receptor expression.
Linifanib (10 mg/kg) given twice daily inhibited tumor growth following treatment
for 7 days with tumor volumes being 149 +/- 30 and 66 +/- 7 mm(3) for vehicle-and
linifanib-treated groups, respectively. A significant reduction of 37 +/- 13% in
tumor perfusion and microvessel permeability (measured by K (trans)) was observed
as early as 2 h after administration compared with vehicle treatment. Continuous
linifanib administration further reduced K (trans) at later time points until the
end of the study (7 days post-treatment). At day 7, K (trans) was reduced by 75
+/- 32% for linifanib treatment compared with vehicle treatment. Significant
reduction in total blood vessel density and improved vessel wall integrity were
observed, and staining for target receptor expression confirmed inhibition of
phospho VEGFR-2 and PDGFR-beta by linifanib treatment. These results demonstrate
significant antitumor and antiangiogenic activity against gliomas by linifanib, a
property that may result from the inhibition of VEGFR-2 and PDGFR-beta-mediated
vascular changes. DCE-MRI measured K (trans) changes at early treatment stages
may be a useful pharmacodynamic marker for linifanib activity in clinical trials,
and basal K (trans) may provide predictive value for tumor progression.
PMID- 22080169
TI - Chidamide (CS055/HBI-8000): a new histone deacetylase inhibitor of the benzamide
class with antitumor activity and the ability to enhance immune cell-mediated
tumor cell cytotoxicity.
AB - PURPOSE: Chidamide (CS055/HBI-8000) is a new histone deacetylase (HDAC) inhibitor
of the benzamide class currently under clinical development in cancer
indications. This study reports the in vitro and in vivo antitumor
characteristics of the compound. METHODS: Selectivity and potency of chidamide in
inhibition of HDAC isotypes were analyzed by using a panel of human recombinant
HDAC proteins. Tumor cell lines either in culture or inoculated in nude mice were
used for the evaluation of the compound's antitumor activity. To investigate the
immune cell-mediated antitumor effect, isolated peripheral blood mononuclear
cells from healthy donors were treated with chidamide, and cytotoxicity and
expression of relevant surface proteins were analyzed. Microarray gene expression
studies were performed on peripheral white blood cells from two T-cell lymphoma
patients treated with chidamide. RESULTS: Chidamide was found to be a low
nanomolar inhibitor of HDAC1, 2, 3, and 10, the HDAC isotypes well documented to
be associated with the malignant phenotype. Significant and broad spectrum in
vitro and in vivo antitumor activity, including a wide therapeutic index, was
observed. Chidamide was also shown to enhance the cytotoxic effect of human
peripheral mononuclear cells ex vivo on K562 target cells, accompanied by the
upregulation of proteins involved in NK cell functions. Furthermore, the
expression of a number of genes involved in immune cell-mediated antitumor
activity was observed to be upregulated in peripheral white blood cells from two
T-cell lymphoma patients who responded to chidamide administration. CONCLUSIONS:
The results presented in this study provide evidence that chidamide has potential
applicability for the treatment of a variety of tumor types, either as a single
agent or in combination therapies.
PMID- 22080170
TI - Exposure to antiretroviral agents during pregnancy does not alter bone status in
infants.
AB - The use of combined antiretroviral agents during pregnancy is important to
prevent mother-to-child transmission of human immunodeficiency virus (HIV).
Antiretroviral treatment (ARV) is associated with reduced bone mass and altered
bone metabolism in HIV-infected patients. There are no data regarding the effect
of ARV exposure during pregnancy on newborns and infants. We therefore studied 38
subjects born from HIV-infected mothers, and we measured the speed-of-sound (SOS)
at the tibia by quantitative ultrasonography (QUS) just after birth. QUS
measurements at mid-tibia is easily performed in infants with the appropriate
probe. Nevertheless, at this skeletal site only cortical bone is present, and
therefore QUS measurements reflect the status of only one kind of bone tissue. We
also measured bone alkaline phosphatase (BAP) and C-terminal telopeptide of type
I collagen (CTX) in the cord blood as bone formation and resorption markers,
respectively. SOS measurements were repeated at 4 and 12 months of age. As a
control group we studied 94 subjects born from HIV-negative mothers. At birth the
median (range) SOS of ARV-exposed neonates was 3006 (2870-3168) m/s, while that
of control subjects was 3007 (2757-3311) m/s. The difference was not significant.
BAP concentration of ARV-exposed was 103.6 (31.6-182.8) U/L, not different from
that of control subjects (104.4 [43.2-227.2] U/L). CTX concentrations were 1.07
(0.26-2.8) ng/mL, and 1.38 (0.34-4.2) ng/mL in ARV-exposed and control subjects,
respectively. SOS measurements at 4 months and 12 months of age were available
for 17 ARV-exposed subjects and for 57 control subjects. SOS values changed
significantly over time in both groups (F=6.1; P<0.0001). No differences were
present between ARV-exposed and control subjects at 4 and 12 months. Our study
suggests that ARV exposure during intrauterine life does not affect negatively
bone metabolism and bone development, and that the changes occurring in bone QUS
measurements during the first year of life in ARV-exposed subjects are similar to
those occurring in healthy control infants.
PMID- 22080171
TI - Poisoning effect diminished on a novel PdHoOx/C catalyst for the electrooxidation
of formic acid.
AB - A surprisingly high and stable current was observed after the peak current on the
PdHoOx/C catalyst indicating the diminished poisoning effect. Moreover, the novel
PdHoOx/C catalyst exhibited excellent catalytic activity and stability for formic
acid oxidation due to the large electrochemical surface area and electronic
effect.
PMID- 22080172
TI - Lack of association between childhood immunizations and encephalitis in
California, 1998-2008.
AB - OBJECTIVE: A number of new and combination vaccines have been introduced for
children in the past two decades. Encephalitis cases occurring within defined
time windows following administration of pertussis- or measles-containing
vaccines are eligible for compensation by the Vaccine Injury Compensation
Program. Due to increased parental concerns about vaccine safety and potential
neurologic adverse events following immunization with new and multiple vaccines
administered at the same visit, our aim was to determine whether immunizations
are associated with an increased risk of encephalitis within defined risk
windows. METHODS: We reviewed immunization records from 246 pediatric
encephalitis cases referred to the California Encephalitis Project between July
1998 and December 2008. We included data on 110 cases who had been immunized in
the year prior to the onset of encephalitis (observation period) and had complete
immunization records. We used the case-centered method to test whether cases were
more likely to have developed encephalitis in defined risk windows-42, 30 and 21
days after any vaccination, 3 days after pertussis-containing vaccines and 5-15
days after measles-virus containing vaccines-compared with the rest of the
observation period. RESULTS: All vaccines recommended in the current immunization
schedule were represented in our sample. No increased risk of encephalitis was
seen following administration of pertussis-containing vaccines, measles
containing vaccines or any number of vaccines administered in a single visit
(vaccine episode); the odds ratios and 95% confidence intervals for encephalitis
after a vaccine episode were: 1.0 (0.6-1.8) in a 42-day risk window, 0.9 (0.5
1.6) in a 30-day risk window and 1.2 (0.7-2.2) in a 21-day risk window.
CONCLUSION: No association between receipt of currently recommended immunizations
and subsequent development of encephalitis was observed in this study.
PMID- 22080173
TI - Vaccine-specific antibody secreting cells are a robust early marker of LAIV
induced B-cell response in ferrets.
AB - Currently, a robust set of immune correlates for live attenuated influenza
vaccine (LAIV) efficacy in humans has not been fully elucidated. The serum
hemagglutination inhibition (HAI) assay has been historically used to measure
humoral immune responses to injectable inactivated influenza vaccination.
However, serum antibody titers do not reliably reflect the complete mechanism of
action of LAIV, which is an intranasally delivered vaccine and is expected to
induce local mucosal and cellular immune responses in addition to humoral immune
responses. Therefore, we designed a study to evaluate potential immune correlates
of LAIV vaccination in the ferret animal model of influenza infection. Ferrets
were vaccinated with increasing doses of LAIV and four weeks later challenged
with a homologous wild-type (wt) H1N1 strain. Humoral immune responses measured
following LAIV vaccination included HAI, serum antibodies and antibody secreting
cells (ASC); and the responses were found to correlate with the dose level of
LAIV administered in this model. Protection from wt virus challenge was
determined by measuring inhibition of wt viral replication in nasal washes and in
lung tissue. Results demonstrated that LAIV doses >= 5.0 log(10) Plaque Forming
Units (PFU) elicited vaccine-specific IgG and IgA ASC frequencies and induced
complete protection in the lungs. Further, we developed a novel model utilizing
seropositive older ferrets to demonstrate that in the background of previous wt
influenza infection LAIV induces a robust vaccine-specific B-cell response even
in the absence of serum antibody response, a result that suggests that effector B
cell responses generated by LAIV are not inhibited by prior viral exposure.
Finally, we demonstrated that LAIV elicits strain-specific memory B-cell
responses that are measurable in a background of wt influenza infections. Taken
together, results from these studies identified the antigen-specific ASC
frequency as a useful early biomarker of LAIV-induced B-cell immune response.
PMID- 22080174
TI - Safety and immunogenicity of two different doses of a Vero cell-derived, whole
virus clade 2 H5N1 (A/Indonesia/05/2005) influenza vaccine.
AB - A successful vaccine development strategy for areas with clustered H5N1 events
requires conduct of vaccine trials in potentially non-naive subjects and
evaluation of post-vaccination responsiveness. An open-label, randomized, phase
I/II study therefore assessed the immunogenicity and safety of two different dose
levels of an inactivated, non-adjuvanted, whole virus clade 2.1
(A/Indonesia/05/2005) H5N1 Vero cell-derived influenza vaccine in healthy adults
(21-45 years) from a region where the virus has been circulating (Hong Kong) as
well as Singapore. Subjects (N=110) were randomized 1:1 to receive two
vaccinations with either 3.75 MUg or 7.5 MUg H5N1 haemagglutinin antigen 21 days
apart. Safety, immunogenicity (microneutralization [MN] and single radial
haemolysis [SRH] at baseline and post-vaccination) and cross-reactivity against a
heterologous clade 1 strain (A/Vietnam/1203/2004) of the vaccine were assessed.
Pre-existing immunity to the vaccine strain was 14% which is higher than
previously reported for these regions. Two vaccinations with either vaccine
formulation induced high seroprotection rates (MN titre >= 1:20) against the
vaccine strain A/Indonesia/05/2005: 82.7% and 86.5% in the 3.75 MUg and 7.5 MUg
dose groups. Seroconversion rates and fold increase exceeded the CPMP criterion
of >40% and >2.5 for MN and SRH in both dose groups after the second vaccination,
while the seroprotection rate in the 7.5 MUg dose group determined by SRH was
only marginally lower (69.2%) than the CPMP criterion of >70%. Thus, 11 of 12
CHMP criteria were fulfilled. A cross-reactive antibody response against the
heterologous A/Vietnam/1203/2004 strain was demonstrated after the second
vaccination (>21% by MN and >= 25% by SRH). Persistence of antibodies against the
vaccine strain was also demonstrated 6 months after the first vaccination,
indicating that a booster vaccination would be effective in those who have
received two priming doses. No serious adverse events were reported. The H5N1
influenza vaccine against clade 2.1 strain A/Indonesia/05/2005 was well tolerated
and immunogenic after two vaccinations, and induced a cross-neutralizing antibody
response, with no dose effect.
PMID- 22080175
TI - Pre-vaccination prevalence and distribution of high-risk human papillomavirus
(HPV) types in Slovenian women: a cervical cancer screening based study.
AB - To estimate the pre-vaccination prevalence of cervical infections with 14 high
risk human papillomavirus (hr-HPV) types among 20-64 years old Slovenian women
screened for cervical cancer in 2010, we consecutively enrolled 4431 women in 16
outpatient gynaecology services. All were screened with Digene Hybrid Capture 2
HPV DNA Test and Abbott Real Time High Risk HPV Test and all positive specimens
genotyped. Prevalence of cervical infection with any hr-HPV type examined was
12.9% with HPV16 3.5% and with HPV18 1.0%. Age specific prevalence estimates were
the highest among 20-24 years old women and decreased with age. HPV16 prevalence
was lowest among women without evidence of cervical disease and increased with
the severity to 41.9% in women with high grade squamous intraepithelial lesion.
Our results provide baseline data for monitoring the impact of Slovenian HPV
vaccination program and development of future cervical cancer screening
strategies in cohorts eligible for free HPV vaccination.
PMID- 22080176
TI - A parallel algorithm for reverse engineering of biological networks.
AB - Dynamic biological systems, such as gene regulatory networks (GRNs) and protein
signaling networks, are often represented as systems of ordinary differential
equations. Such equations can be utilized in reverse engineering these biological
networks, specifically since identifying these networks is challenging due to the
cost of the necessary experiments growing with at least the square of the size of
the system. Moreover, the number of possible models, proportional to the number
of directed graphs connecting nodes representing the variables in the system,
suffers from combinatorial explosion as the size of the system grows. Therefore,
exhaustive searches for systems of nontrivial complexity are not feasible. Here
we describe a practical and scalable algorithm for determining candidate network
interactions based on decomposing an N-dimensional system into N one-dimensional
problems. The algorithm was tested on in silico networks based on known
biological GRNs. The computational complexity of the network identification is
shown to increase as N(2) while a parallel implementation achieves essentially
linear speedup with the increasing number of processing cores. For each in silico
network tested, the algorithm successfully predicts a candidate network that
reproduces the network dynamics. This approach dramatically reduces the
computational demand required for reverse engineering GRNs and produces a wealth
of exploitable information in the process. Moreover, the candidate network
topologies returned by the algorithm can be used to design future experiments
aimed at gathering informative data capable of further resolving the true network
topology.
PMID- 22080177
TI - Intra- and inter-cortical motor excitability in Alzheimer's disease.
AB - Transcranial magnetic stimulation (TMS) provides evidence for facilitatory and
inhibitory motor dysfunctions in Alzheimer's disease (AD). The corpus callosum
(CC) is affected in AD already at early stages consistent with the hypothesis
that AD patients exhibit alterations in transcallosally mediated motor inhibition
(ipsilateral silent period, iSP). Therefore, here we aimed at investigating the
integrity not only of intra-, but also of inter-hemispheric mechanisms of
cortical motor excitability in AD. We determined the iSP, the resting motor
threshold (RMT), and the amplitude of motor evoked potentials (MEP) in 19 AD
patients and 19 healthy controls using single-pulse TMS. Furthermore, we used
paired-pulse TMS to study the intra-cortical inhibition (ICI) and intra-cortical
facilitation (ICF). All subjects underwent comprehensive neuropsychologic,
clinical, and laboratory testing, and neuroimaging to exclude significant co
morbidity. In AD patients, the RMT was significantly reduced (Oneway-ANOVA). An
analysis of covariance (ANCOVA) revealed a strong group specific interaction of
the inhibitory interstimulus intervals (p = 0.005) with a reduced ICI in AD.
Furthermore, we found a significantly prolonged iSP-latency (p = 0.003) in AD
compared to controls, whereas the iSP-duration was not different. The iSP-latency
correlated significantly with the ICI (ANCOVA) (p = 0.02). The ICF did not differ
significantly between groups. Our data suggest comprehensive but still
subclinical dysfunctions of motor cortical inhibition in mild to moderate
clinical stages of AD with strong interactions of intra- and inter-hemispheric
inhibitory phenomena. Future studies are needed to show the potential prognostic
relevance of these findings for the further course of the disease.
PMID- 22080181
TI - Mapping local electric fields in proteins at biomimetic interfaces.
AB - We present a novel approach for determining the strength of the electric field
experienced by proteins immobilised on membrane models. It is based on the
vibrational Stark effect of a nitrile label introduced at different positions on
engineered proteins and monitored by surface enhanced infrared absorption
spectroscopy.
PMID- 22080182
TI - Exposed or not exposed? Exploring exposure classification in studies using
administrative data to investigate outcomes following medication use during
pregnancy.
AB - PURPOSE: The aim of this systematic review was to examine and compare differences
in the way medication exposures are classified in studies using linked
administrative data to investigate outcomes following medication use during
pregnancy. This was undertaken with a focus on studies investigating specific
neonatal outcomes following prenatal exposure to selective serotonin reuptake
inhibitors (SSRIs). METHODS: We searched Medline and Embase to identify studies
that used linked administrative data to investigate specific neonatal outcomes
(congenital malformations, birth weight, gestational age) following prenatal
exposure to SSRIs. RESULTS: Key factors such as dose, duration and timing of
exposure were inconsistently addressed in the studies identified. In addition,
there was a great deal of variability in the way medication exposures were
classified and how women who stop taking their medication before or during early
pregnancy are handled in analyses. Furthermore, there are issues in assuming how
and when women who receive a dispensing for a medication actually take it during
pregnancy. This creates a great deal of uncertainty around medication exposure
during pregnancy in studies using linked administrative data, potentially
resulting in biased risk estimates. CONCLUSIONS: There is a need for greater
focus on determining the most effective and accurate way of using linked
administrative data to investigate outcomes following medication use during
pregnancy in an effort to minimise potential biases.
PMID- 22080183
TI - Perforated giant sigmoid diverticulum.
PMID- 22080184
TI - A phase II study of sunitinib in recurrent and/or metastatic adenoid cystic
carcinoma (ACC) of the salivary glands: current progress and challenges in
evaluating molecularly targeted agents in ACC.
AB - BACKGROUND: Vascular endothelial growth factor (VEGF) and c-kit are highly
expressed in adenoid cystic carcinoma (ACC) and associated with biologic
aggressiveness. This study aimed to assess the antitumor activity of sunitinib, a
multi-targeted inhibitor of vascular endothelial growth factor receptor, c-kit,
platelet-derived growth factor receptor, ret proto-oncogene (RET) and FMS-like
tyrosine kinase 3 (FLT3), in ACC of the salivary gland. PATIENTS AND METHODS:
Patients with progressive, recurrent and/or metastatic ACC were treated with
sunitinib 37.5 mg daily in this single-arm, two-stage phase II trial. Response
was assessed every 8 weeks. RESULTS: Fourteen patients were enrolled on to the
study. Among 13 assessable patients, there were no objective responses, 11
patients had stable disease (SD), 8 patients had SD >= 6 months and 2 patients
had progressive disease as best response. Median time to progression was 7.2
months. Median overall survival was 18.7 months. Toxic effects occurring in at
least 50% of patients included fatigue, oral mucositis and hypophosphatemia
usually of mild to moderate severity. CONCLUSIONS: Although no responses were
observed, sunitinib was well tolerated, with prolonged tumor stabilization of >=
6 months in 62% of assessable patients. The lack of responses is comparable with
other trials of molecularly targeted agents in ACC and highlights the need for
novel strategies in phase II clinical trial design.
PMID- 22080186
TI - Perspectives of relativistic quantum chemistry: the negative energy cat smiles.
AB - Given the remarkable advances in relativistic quantum chemistry, some conceptual
aspects still remain to be addressed. Among others, the role of negative energy
states (NES) in electron correlation and other properties requires most
attention. Based on critical assessments of the configuration space (CS), no
photon (and no-time) Fock space (FS) and quantum electrodynamics (QED)
approaches, it is concluded that only QED provides the correct prescription for
the contributions of NES to correlation, while both CS and FS give rise to wrong
results. This essentially means that one should work either with the no-pair
approximation (which has an intrinsic error of order (Zalpha)(3)) or with QED.
Whether a consistent relativistic many-electron theory does exist in between
remains an open question. Even under the no-pair approximation, there still
exists an issue arising from that the no-pair Hamiltonian is incompatible with
explicitly correlated methods. It turns out that this can nicely be resolved by
introducing the concept of extended no-pair projection. Apart from these take
home messages, other immediate prospects of relativistic quantum chemistry are
also highlighted for guiding future developments and applications.
PMID- 22080187
TI - Uncovering toxicological complexity by multi-dimensional screenings in
microsegmented flow: modulation of antibiotic interference by nanoparticles.
AB - The technique of microsegmented flow was applied for the generation of two- and
higher dimensional concentration spaces for the screening of toxic effects of
selected substances on the bacterium Escherichia coli at the nanolitre scale. Up
to about 5000 distinct experiments with different combinations of effector
concentrations could be realized in a single experimental run. This was done with
the help of a computer program controlling the flow rates of effector-containing
syringe pumps and resulted in the formation of multi-dimensional concentration
spaces in segment sequences. Prior to the application of this technique for
toxicological studies on E. coli the accuracy of this method was tested by
simulation experiments with up to five dissolved dyes with different spectral
properties. Photometric microflow-through measurement of dye distribution inside
the concentration spaces allowed the monitoring of microfluid segment
compositions. Finally, we used this technique for the investigation of
interferences of the antibiotics ampicillin and chloramphenicol towards E. coli
cultures and their modulation by silver nanoparticles by measuring bacterial
autofluorescence. Each concentration point in this three-dimensional
concentration space was represented by 4 or 5 single segments. Thus, a high
reliability of the measured dose/response relations was achieved. As a result, a
complex response pattern was discovered including synergistic and compensatory
effects as well as the modulation of the range of stimulation of bacterial growth
by a sublethal dose of chloramphenicol by silver nanoparticles.
PMID- 22080188
TI - Analysis of the tomato mild mottle virus genome indicates that it is the most
divergent member of the genus Ipomovirus (family Potyviridae).
AB - The complete genome of a tomato mild mottle virus (ToMMV) isolate was analysed,
and some biological features were characterized. The ssRNA genome of ToMMV from
Ethiopia encompasses 9283 nucleotides (excluding the 3' poly(A) tail) and encodes
a polyprotein of 3011 amino acids. Phylogenetic and pairwise comparisons with
other members of the family Potyviridae revealed that ToMMV is the most divergent
member of the genus Ipomovirus, with a genome organization similar to that of
members of the species Sweet potato mild mottle virus, the type species of the
genus. In contrast to earlier reports, ToMMV isolates from Yemen and Ethiopia
were not transmitted by the aphid Myzus persicae, but they were transmitted very
erratically by the whitefly Bemisia tabaci. A comparison of the 3'-proximal
sequences of different isolates provided evidence for geographically associated
genetic variation.
PMID- 22080189
TI - Effects of early developmental conditions on innate immunity are only evident
under favourable adult conditions in zebra finches.
AB - Long-term effects of unfavourable conditions during development can be expected
to depend on the quality of the environment experienced by the same individuals
during adulthood. Yet, in the majority of studies, long-term effects of early
developmental conditions have been assessed under favourable adult conditions
only. The immune system might be particularly vulnerable to early environmental
conditions as its development, maintenance and use are thought to be
energetically costly. Here, we studied the interactive effects of favourable and
unfavourable conditions during nestling and adult stages on innate immunity
(lysis and agglutination scores) of captive male and female zebra finches
(Taeniopygia guttata). Nestling environmental conditions were manipulated by a
brood size experiment, while a foraging cost treatment was imposed on the same
individuals during adulthood. This combined treatment showed that innate immunity
of adult zebra finches is affected by their early developmental conditions and
varies between both sexes. Lysis scores, but not agglutination scores, were
higher in individuals raised in small broods and in males. However, these effects
were only present in birds that experienced low foraging costs. This study shows
that the quality of the adult environment may shape the long-term consequences of
early developmental conditions on innate immunity, as long-term effects of
nestling environment were only evident under favourable adult conditions.
PMID- 22080190
TI - Highly enantioselective Friedel-Crafts alkylation reaction catalyzed by rosin
derived tertiary amine-thiourea: synthesis of modified chromanes with anticancer
potency.
AB - We present herein for the first time the synthesis and preliminary biological
evaluation of various modified chromanes via a rosin-derived tertiary amine
thiourea-catalyzed highly enantioselective Friedel-Crafts alkylation reaction.
PMID- 22080185
TI - Neutrophils in innate host defense against Staphylococcus aureus infections.
AB - Staphylococcus aureus has been an important human pathogen throughout history and
is currently a leading cause of bacterial infections worldwide. S. aureus has the
unique ability to cause a continuum of diseases, ranging from minor skin
infections to fatal necrotizing pneumonia. Moreover, the emergence of highly
virulent, drug-resistant strains such as methicillin-resistant S. aureus in both
healthcare and community settings is a major therapeutic concern. Neutrophils are
the most prominent cellular component of the innate immune system and provide an
essential primary defense against bacterial pathogens such as S. aureus.
Neutrophils are rapidly recruited to sites of infection where they bind and
ingest invading S. aureus, and this process triggers potent oxidative and non
oxidative antimicrobial killing mechanisms that serve to limit pathogen survival
and dissemination. S. aureus has evolved numerous mechanisms to evade host
defense strategies employed by neutrophils, including the ability to modulate
normal neutrophil turnover, a process critical to the resolution of acute
inflammation. Here we provide an overview of the role of neutrophils in host
defense against bacterial pathogens and discuss strategies employed by S. aureus
to circumvent neutrophil function.
PMID- 22080191
TI - Transition metal abnormalities in progressive dementias.
AB - Abnormal distributions of transition metals inside the brain are potential
diagnostic markers for several central nervous system diseases, including
Alzheimer's disease (AD), Parkinson's disease, dementia with Lewy bodies (DLB),
bipolar disorders and depression. To further explore this possibility, the total
concentrations of iron, zinc, copper, manganese, aluminum, chromium and cadmium
were measured in post-mortem hippocampus and amygdala tissues taken from AD, DLB
and Control patients. A statistically significant near fifty percent reduction in
the total copper levels of AD patients was observed in both the hippocampus and
amygdala. The statistical power of the hippocampus and amygdala copper analysis
was found to be 86 and 74% respectively. No statistically significant deviations
in the total metal concentrations were found for zinc, manganese, chromium or
aluminum. Iron was found to be increased by 38% in AD amygdala tissues, but was
unchanged in AD hippocampus tissues. Accounting for differences in tissue water
content, as a function of both tissue type and disease state, revealed more
consistencies with previous literature. To aid in the design of future
experiments, the effect sizes for all tissue types and metals studied are also
presented.
PMID- 22080192
TI - Urosepsis caused by Globicatella sanguinis and Corynebacterium riegelii in an
adult: case report and literature review.
AB - We report an extremely rare case of urosepsis caused by Globicatella sanguinis
and Corynebacterium riegelii coinfection in a 94-year-old Japanese man with
nephrolithiasis. Prompt identification of this coinfection is important so that
effective antimicrobial coverage can be initiated.
PMID- 22080193
TI - Complementation of the exoS gene in the pvdE pyoverdine synthesis gene-deficient
mutant of Pseudomonas aeruginosa results in recovery of the pvdE gene-mediated
penetration through the intestinal epithelial cell barrier but not the pvdE
mediated virulence in silkworms.
AB - Translocation of endogenous Pseudomonas aeruginosa from the colonized intestinal
tract is an important pathogenic phenomenon. Comparative genome hybridization
analysis of high virulent and low virulent strains allowed us to identify
bacterial genes that are associated with bacterial translocation from gut in
infected hosts. Here we focused on the pvdE pyoverdine synthesis gene among the
identified bacterial genes, showing that the pvdE gene is required for bacterial
penetration through epithelial cell monolayers and for bacterial translocation
from gut to hemolymph in infected silkworms. We next revealed that mRNA
expression level of the exoS gene in a pvdE-deficient mutant (DeltapvdE) after
incubation with Caco-2 cells was greatly reduced as compared with that in the
wild-type strain. The pvdE- and exoS-complemented DeltapvdE strains
(DeltapvdE/pvdE and DeltapvdE/exoS) showed recovery of the ability of bacterial
penetration through Caco-2 cell monolayers and of the ability of bacterial
translocation from gut to hemolymph in infected silkworms. However, there were
differences between the ability of DeltapvdE/pvdE and DeltapvdE/exoS to kill
silkworms after intestinal infection and to replicate in hemolymph following
direct injection into the hemolymph: DeltapvdE/pvdE could kill silkworms after
intestinal infection and could replicate in hemolymph to levels similar to those
of the wild-type strain, but DeltapvdE/exoS could not. Taken together, our
results suggest that the virulence of the wild-strain mediated by the pvdE gene
is the result of the ability to both penetrate through the intestinal epithelial
cell barrier depending on ExoS and to replicate in hemolymph independently of
ExoS.
PMID- 22080195
TI - Coumarin derivatives for dye sensitized solar cells: a TD-DFT study.
AB - Time dependent density functional theory (TD-DFT) calculations have been carried
out to study the electronic structure and the optical properties of five coumarin
based dyes: C343, NKX-2311, NKX-2586, NKX-2753 and NKX-2593. We have found out
that the position and width of the first band in the electronic absorption
spectra, the absorption threshold and the LUMO energy with respect to the
conduction band edge are key parameters in order to establish some criteria that
allow evaluating the efficiency of coumarin derivatives as sensitizers in Dye
Sensitized Solar Cells (DSSC). Those criteria predict the efficiency ordering for
the coumarin series in good agreement with the experimental evidence. Presumably,
they might be used in the design of new efficient organic based DSSC.
PMID- 22080196
TI - A prospective clinical study in hepatitis B e antigen-negative chronic hepatitis
B patients with stringent cessation criteria for adefovir.
AB - Adefovir is usually applied for therapy of chronic hepatitis B (CHB), but its
effectiveness after cessation is still unknown. This study was to evaluate the
effectiveness of adefovir treatment with strict cessation criteria in hepatitis B
e antigen (HBeAg)-negative patients and to identify potentially important
factors. One hundred forty-five HBeAg-negative CHB patients who had received
adefovir treatment for at least 24 months and for whom serum hepatitis B virus
(HBV) DNA had remained undetectable for at least 18 months before cessation were
included. They were followed up monthly during the first four months and at 3
month or 6-month intervals thereafter. Patients with >=10(4) copies of HBV DNA
per mL were defined as relapsed. In total, 95 patients relapsed within the follow
up time, and more than 93% relapsed within 12 months after adefovir cessation.
Cumulative relapse rates at months 6, 12, 24, 36, 48 and 60 were 53.8%, 61.4%,
65.5%, 65.5%, 65.5% and 65.5%, respectively. Age was the only factor associated
with relapse, with lower relapse rates in younger patients shown by Cox
regression analysis. HBsAg seroconversion occurred in 12 patients, and none of
them relapsed during follow-up. The effectiveness of adefovir therapy does not
persist in HBeAg-negative CHB patients, even when strict cessation criteria are
applied, except for patients aged <= 25 years. HBsAg seroconversion is the ideal
endpoint of adefovir treatment.
PMID- 22080194
TI - Development of a vaccine against Staphylococcus aureus.
AB - A vaccine to prevent infections caused by Staphylococcus aureus would have a
tremendously beneficial impact on public health. In contrast to typical
encapsulated bacterial pathogens, such as Streptococcus pneumoniae, H.
influenzae, and Neisseria meningitides, the capsule of S. aureus is not clearly
linked to strain virulence in vivo. Furthermore, it is not clear that natural
infection caused by S. aureus induces a protective humoral immune response, as
does infection caused by typical encapsulated bacteria. Finally, pure B cell or
antibody deficiency, in either animal models or in patients, does not predispose
to more frequent or more severe S. aureus infections, as it does for infections
caused by typical encapsulated bacteria. Rather, primary immune mechanisms
necessary for protection against S. aureus infections include professional
phagocytes and T lymphocytes (Th17 cells, in particular) which upregulate
phagocytic activity. Thus, it is not clear whether an antibody-mediated
neutralization of S. aureus virulence factors should be the goal of vaccination.
Rather, the selection of antigenic targets which induce potent T cell immune
responses that react to the broadest possible array of S. aureus strains should
be the focus of antigen selection. Of particular promise is the potential to
select antigens which induce both humoral and T cell-mediated immunity in order
to generate immune synergy against S. aureus infections. A single-antigen vaccine
may achieve this immune synergy. However, multivalent antigens may be more likely
to induce both humoral and T cell immunity and to induce protection against a
broader array of S. aureus isolates. A number of candidate vaccines are in
development, raising the promise that effective vaccines against S. aureus will
become available in the not-so-distant future. Possible development programs for
such vaccines are discussed.
PMID- 22080197
TI - [Deep brain stimulation - expectations and doubts. A nationwide questionnaire
study of patients with Parkinson's disease and their family members].
AB - BACKGROUND: The aim of this questionnaire-based study was to determine the
decision-making motives from Parkinson's patients and their family members for
deep brain stimulation (DBS), which are crucial for the attitude towards this
therapy and which should be considered during the clinical interview. MATERIAL
AND METHODS: The questionnaire was sent out nationwide to members of the German
Parkinson Association. Patient and family specific data as well as information
sources, doubts and expectations with respect to DBS were assessed. RESULTS: A
total of 582 patients and 476 family members answered the questionnaire,
revealing that 96% of the patients and 91% of the family members already
possessed information regarding DBS. While a large proportion of interviewees had
specific expectations concerning DBS, more than two thirds expressed concerns
regarding DBS; the most frequent with respect to intraoperative complications and
stimulation-induced worsening of symptoms. The quantity of realistic patients and
family expectations significantly correlated with a positive evaluation of DBS
and doubts as well as unrealistic expectations of family members correlated with
a negative attitude towards the operation. CONCLUSIONS: The findings suggest that
patients and their relatives organized in support groups indeed possess detailed
information regarding DBS. However, for the acceptance of the treatment a timely
elucidation about DBS as well as responding to the individual concerns by the
consulting physician is essential.
PMID- 22080198
TI - [Cannabinoids for symptomatic therapy of multiple sclerosis].
AB - Spasticity represents a common troublesome symptom in patients with multiple
sclerosis (MS). Treatment of spasticity remains difficult, which has prompted
some patients to self-medicate with and perceive benefits from cannabis. Advances
in the understanding of cannabinoid biology support these anecdotal observations.
Various clinical reports as well as randomized, double-blind, placebo-controlled
studies have now demonstrated clinical efficacy of cannabinoids for the treatment
of spasticity in MS patients. Sativex is a 1:1 mix of delta-9-tetrahydocannabinol
and cannabidiol extracted from cloned Cannabis sativa chemovars, which recently
received a label for treating MS-related spasticity in Germany. The present
article reviews the current understanding of cannabinoid biology and the value of
cannabinoids as a symptomatic treatment option in MS.
PMID- 22080200
TI - Hexavalent chromium-induced erythrocyte membrane phospholipid asymmetry.
AB - Hexavalent (VI) chromium is a global contaminant with cytotoxic activity.
Chromium (VI) induces oxidative stress, inflammation, cell proliferation,
malignant transformation and may trigger carcinogenesis and at the same time
apoptosis. The toxic effects of chromium (VI) at least partially result from
mitochondrial injury and DNA damage. Erythrocytes lack mitochondria and nuclei
but may experience an apoptosis-like suicidal cell death, i.e. eryptosis, which
is characterized by cell shrinkage and cell membrane scrambling with
phosphatidylserine exposure at the cell surface. Eryptosis may result from
increase of cytosolic Ca(2+) activity, ATP depletion and/or ceramide formation.
The present study explored, whether chromium (VI) triggers eryptosis. Fluo-3
fluorescence was employed to determine cytosolic Ca(2+)-concentration, forward
scatter to estimate cell volume, binding of fluorescent annexin V to detect
phosphatidylserine exposure, hemoglobin concentration in the supernatant to
quantify hemolysis, luciferin-luciferase to determine cytosolic ATP concentration
and fluorescent anti-ceramide antibodies to uncover ceramide formation. A 48 h
exposure to chromium (VI) (>=10 MUM) significantly increased cytosolic Ca(2+)
concentration, decreased ATP concentration (20 MUM), decreased forward scatter,
increased annexin V-binding and increased (albeit to a much smaller extent)
hemolysis. Chromium (VI) did not significantly modify ceramide formation. The
effect of 20 MUM chromium (VI) on annexin V binding was partially reversed in the
nominal absence of Ca(2+). The present observations disclose a novel effect of
chromium (VI), i.e. Ca(2+) entry and cytosolic ATP depletion in erythrocytes,
effects resulting in eryptosis with cell shrinkage and cell membrane scrambling.
PMID- 22080199
TI - Cell-selective labeling of bacterial proteomes with an orthogonal phenylalanine
amino acid reporter.
AB - Orthogonal amino acid reporters allow the selective labeling of different cell
types in heterogeneous populations through the expression of engineered aminoacyl
tRNA synthetases. Here, we demonstrate that para-ethynylphenylalanine (PEP) can
be used as an orthogonal amino acid reporter for efficient selective labeling of
an intracellular bacterial pathogen during infection.
PMID- 22080201
TI - Lack of ceruloplasmin expression alters aspects of copper transport to the fetus
and newborn, as determined in mice.
AB - Copper transport and accumulation were studied in virgin and lactating C57BL/6
mice, with and without expression of ceruloplasmin (Cp), to assess the importance
of Cp to these processes. One hour after i.p. injection of tracer (64)Cu, liver
and kidney accounted for 80% of the radioactivity, and mammary gland 1%, while in
lactating Cp+/+ mice 2-4 days post partum, uptake by mammary gland was 9-fold
higher and that of liver and other organs was decreased, with (64)Cu rapidly
appearing in milk. Parallel studies in Cp-/- mice (siblings from same colony)
gave virtually identical results. However, their milk contained less (64)Cu, and
actual copper contents determined by furnace atomic absorption were less than
half those for milk from normal dams. Liver copper concentrations of pups born to
Cp-/- dams also were half those of pups from wild type dams. Copper in pup brains
was unaffected; but iron concentrations were reduced. We conclude that absence of
Cp, while not affecting entry of exchangeable copper from the blood into the
mammary gland, does have a significant effect on the availability of this metal
to the newborn through the milk and in the form of stores accumulating in
gestation.
PMID- 22080202
TI - Encephalopathy, disseminated intravascular coagulation, and hemolytic-uremic
syndrome after infection with enterohemorrhagic Escherichia coli O111.
AB - An outbreak of enterohemorrhagic Escherichia coli (EHEC) occurred in Toyama and
other prefectures in Japan during 2011. Some patients, including adults, showed
complications such as encephalopathy, disseminated intravascular coagulation, and
hemolytic-uremic syndrome, and the disease course was extremely aggressive. This
report describes the clinical features of four patients infected with Escherichia
coli (E. coli) O111 who developed very severe to fatal complications. The initial
symptoms in all patients included abdominal pain, diarrhea, and bloody stools,
and neurological abnormalities started to appear from 1 to 3 days after
admission. Vomiting and pyrexia developed in three patients. Leukocyte counts,
lactate dehydrogenase (LDH), and fibrin/fibrinogen degradation products were
elevated, and thrombocytopenia was evident. Extremely elevated LDH and severe
thrombocytopenia were characteristic at the time encephalopathy became apparent.
All patients received oral fosfomycin, intravenous antibiotics, and anticoagulant
therapy, three received gamma globulin, plasma exchange, and blood transfusion,
and two received steroids and dialysis. Three patients required mechanical
ventilation, and two adult patients died. E. coli O111 positive for Shiga toxin 2
was detected in stool culture in two patients, and serological tests for E. coli
O111 were positive in the other two patients. In conclusion, EHEC O111 can cause
severe illness in children and adults, and the prognosis becomes poorer as the
severity of complications increases. Close monitoring including platelet counts
and LDH are useful. Once these clinical parameters change, intensive treatment
should be provided to prevent the development of severe complications.
PMID- 22080203
TI - Iliopsoas abscess caused by Aspergillus fumigatus complicated by pulmonary
aspergillosis.
AB - We report a case of iliopsoas abscess caused by Aspergillus fumigatus with
pulmonary complications. A 60-year-old man was admitted to the Showa University
Hospital Department of Gastroenterology with fulminant hepatitis B on April 14,
2010, and treated with steroids. Although fulminant hepatitis B was improved by
steroid and symptomatic therapy, he developed a fever on hospital day 39. The
chest X-ray film showed a nodular lesion in the right middle-lower lung field,
and both the (1 -> 3)-beta-D: -glucan and Candida mannan antigen tests were
positive. The beta-D: -glucan level increased despite treatment with fluconazole
and other drugs, including low-dose micafungin. Abdominal computed tomography
showed a low-density area in the right iliopsoas muscle. He was then referred to
the Department of Clinical Infectious Diseases. A. fumigatus was isolated from
the iliopsoas lesion and the pulmonary lesion after specimens were obtained by
aspiration and bronchofiberscopy, respectively, leading to a diagnosis of fungal
iliopsoas abscess. Steroid therapy was tapered early, the abscess was drained,
and the micafungin dose was increased. This treatment led to improvement of the
fever, inflammatory reaction, beta-D: -glucan level, and lesions of the lung and
iliopsoas muscle. In preparation for discharge, treatment was changed to
voriconazole (parenteral -> per oral) followed by itraconazole (per oral). His
clinical course was satisfactory, and there was no recurrence after antifungal
therapy was stopped. We conclude that after invasive pulmonary aspergillosis
developed, A. fumigatus spread hematogenously to create an extremely rare
iliopsoas abscess. The beta-D: -glucan level closely reflected the response to
treatment and was useful for follow-up.
PMID- 22080204
TI - Enhanced production of beta-carotene by recombinant industrial wine yeast using
grape juice as substrate.
AB - In this study, both recombinant Saccharomyces cerevisiae T73-63 and FY-09 derived
from the industrial wine yeast T73-4 and laboratory yeast FY1679-01B,
respectively, were constructed and compared for their beta-carotene production in
real grape juice. The results showed that highest beta-carotene content (5.89
mg/g) was found in strain T73-63, which was 2.1 fold higher than that of strain
FY-09. Although the cell growth was inhibited by the metabolic burden induced by
the production of heterogeneous beta-carotene, the pigment yield in T73-63 was
still 1.7 fold higher than that of FY-09. Furthermore, high contents of
ergosterol and fatty acid were also observed in T73-63. These results suggest
that industrial wine yeast has highly active metabolic flux in mevalonate
pathway, which leads to more carbon flux into carotenoid branch compared to that
of laboratory yeast. The results of this study collectively suggest that in the
application of recombinant strains to produce carotenoid using agro-industrial by
products as substrate, the suitable host strains should have active mevalonate
pathway. For this purpose, the industrial wine yeast is a suitable candidate.
PMID- 22080205
TI - 4-Component relativistic magnetically induced current density using London atomic
orbitals.
AB - We present the implementation and application of 4-component relativistic
magnetically induced current density using London atomic orbitals for self
consistent field models. We obtain a magnetically balanced basis by a simple
scheme where orbitals obtained by imposing restricted kinetic balance are
extended by their unrestricted kinetic balance complement. The presented
methodology makes it possible to analyze the concept of aromaticity based on the
ring current criterion for closed-shell molecules across the periodic table and
is independent of the choice of gauge origin. As a first illustration of the
methodology we study plots of the magnetically induced current density and its
divergence in the series C(5)H(5)E (E = CH, N, P, As, Sb, Bi) at the Kohn-Sham
level, as well as integrated ring current susceptibilities, which we compare to
previous results (R. Bast et al., Chem. Phys., 2009, 356, 187) obtained using a
common gauge origin approach. We find that the current strength decreases
monotonically along the series, but that all molecules qualify as aromatic
according to the ring current criterion.
PMID- 22080207
TI - Role of polyamines, their analogs and transglutaminases in biological and
clinical perspectives.
PMID- 22080206
TI - Disease mutations in disordered regions--exception to the rule?
AB - Intrinsically disordered proteins (IDPs) have been implicated in a number of
human diseases, including cancer, diabetes, neurodegenerative and cardiovascular
disorders. Although for some of these conditions molecular mechanisms are now
better understood, the big picture connecting distinct structural properties and
functional repertoire of IDPs to pathogenesis and disease progression is still
incomplete. Recent studies suggest that signaling and regulatory roles carried
out by IDPs require them to be tightly regulated, and that altered IDP abundance
may lead to disease. Here, we propose another link between IDPs and disease that
takes into account disease-associated missense mutations located in the
intrinsically disordered regions. We argue that such mutations are more prevalent
and have larger functional impact than previously thought. In addition, we
demonstrate that deleterious amino acid substitutions that cause disorder-to
order transitions are particularly enriched among disease mutations compared to
neutral polymorphisms. Finally, we discuss potential differences in functional
outcomes between disease mutations in ordered and disordered regions, and
challenge the conventional structure-centric view of missense mutations.
PMID- 22080208
TI - Chemically modified diamond-like carbon (DLC) for protein enrichment and
profiling by MALDI-MS.
AB - The development of new high throughput methods based on different materials with
chemical modifications for protein profiling of complex mixtures leads towards
biomarkers; used particularly for early diagnosis of a disease. In this work,
diamond-like carbon (DLC) is developed and optimized for serum protein profiling
by matrix-assisted laser/desorption ionization mass spectrometry (MALDI-MS). This
study is carried out in connection with a material-based approach, termed as
material-enhanced laser desorption ionization mass spectrometry. DLC is selected
as carrier surface which provides large surface to volume ratio and offers high
sensitivity. DLC has a dual role of working as MALDI target while acting as an
interface for protein profiling by specifically binding peptides and proteins out
of serum samples. Serum constituents are bound through immobilized metal ion
affinity chromatography (IMAC) functionality, created through glycidyl
methacrylate polymerization under ultraviolet light followed by further
derivatization with iminodiacetic acid and copper ion loading. Scanning electron
microscopy highlights the morphological characteristics of DLC surface. It could
be demonstrated that IMAC functionalized DLC coatings represent a powerful
material in trapping biomolecules for their further analysis by MALDI-MS
resulting in improved sensitivity, specificity and capacity in comparison to
other protein-profiling methods.
PMID- 22080209
TI - Identification of human salivary transglutaminases.
AB - Transglutaminases (TGs) expression and enzymatic activities in human saliva were
investigated. Specific antibodies showed the co-existence of TG1, TG2, TG3 and
TG4. TG2 and TG3 were found in native and multiple proteolytic forms. Our data
indicate that TG1 and TG2 isoenzymes are highly active with the major activity
attributed to TG1. These findings pave the way for future studies on the
physiological role of TG in the oral cavity and the potential impact of their
deregulation in TG-associated oral diseases.
PMID- 22080211
TI - Sino-orbital osteoma with osteoblastoma-like features: case reports.
AB - Most of the orbital osteomas arise from the adjacent paranasal sinuses. Some of
them may contain osteoblastoma-like areas and may be misdiagnosed as
osteoblastoma, both radiologically as well as histopathologically. Sino-orbital
osteomas with osteoblastoma-like features show a typical radiological appearance.
They have a distinct zonal pattern, in which less dense osteoblastoma-like areas
are located at the base of the lesion and dense mature bone is located at the
periphery. These broad-based bone lesions also have a tendency for extracavitary
polypoid growths from the paranasal sinus into the adjacent orbit. We report here
the CT and MR imaging findings of three cases with sino-orbital osteoma with
osteoblastoma-like features.
PMID- 22080210
TI - Electrophilic fluorination of cationic Pt-aryl complexes.
AB - The electrophilic fluorination of several (triphos)Pt-aryl(+) establishes the
first example of aryl-F coupling from a Pt center.
PMID- 22080212
TI - Effects of dialysis on the pharmacokinetics of salazosulfapyridine.
AB - There was no standard or report for the treatment of rheumatoid arthritis (RA)
patients on hemodialysis with Salazosulfapyridine (SASP). We examined the
pharmacokinetics of SASP and its metabolites in RA patient on hemodialysis.
Hemodialysis was started 2 h after administration of SASP at a dose of 250 or 500
mg. Blood samples were took 8 times during the observation period. The
concentration of SASP and its metabolites (SP, Ac-SP) in blood sample were
measured. There was no difference for the concentration of SASP before and after
hemodialysis. Results showed SASP was nondialyzable, but SP and AC-SP were
dialyzable. At a dose of 500 mg, AUC0-infinity of SASP and SP were higher than
healthy volunteer. Therapy with SASP for hemodialysis RA should be started at a
lower dose for adverse event risk.
PMID- 22080213
TI - The mathematical origins of the kinetic compensation effect: 1. The effect of
random experimental errors.
AB - The kinetic compensation effect states that there is a linear relationship
between Arrhenius parameters ln A and E for a family of related processes. It is
a widely observed phenomenon in many areas of science, notably heterogeneous
catalysis. This paper explores one of the mathematical, rather than
physicochemical, explanations for the compensation effect and for the isokinetic
relationship. It is demonstrated, both theoretically and by numerical
simulations, that random errors in kinetic data generate an apparent compensation
effect (sometimes termed the statistical compensation effect) when the true
Arrhenius parameters are constant. Expressions for the gradient of data points on
a plot of ln A against E are derived when experimental kinetic data are analysed
by linear regression, by non-linear regression and by weighted linear regression.
It is shown that the most appropriate analysis technique depends critically on
the error structure of the kinetic data. Whenever data points on a plot of ln A
against E are in a straight line with a gradient close to 1/RT, then confidence
ellipses should be calculated for each data point to investigate whether the
apparent compensation effect arises from random errors in the kinetic
measurements or has some other origin.
PMID- 22080214
TI - Dynamic optimization of signal transduction via intrinsic disorder.
AB - It is widely accepted that the inherent flexibility of intrinsically disordered
proteins (IDPs) correlates with essential functions in the cell such as
signaling. However, the mechanisms by which disorder dynamically facilitates and
optimizes signal transduction remain unclear. In this study, we have used a
computational protocol to evaluate the interplay between the intrinsic disorder
of p27(kip1) and the collective motions of its binding partners, cyclin dependent
kinase 2 (CDK2) and cyclin A (CA). We found that the synergy between intrinsic
disorder of p27(kip1) and the essential collective motions of the CDK2-CA complex
introduces a set of sequential steps to dynamically optimize signal transduction.
Our observations indicate that optimized p27(kip1)-mediated signaling originates
from a combination of adaptive folding, and the cooperativity between its
residual disorder and the functional collective motions of the CDK2-CA complex.
PMID- 22080215
TI - Beneficial effect of taurine on hypoxia- and glutamate-induced endoplasmic
reticulum stress pathways in primary neuronal culture.
AB - Stroke (hypoxia) is one of the leading causes of mortality in the developed
countries, and it can induce excessive glutamate release and endoplasmic
reticulum (ER) stress. Taurine, as a free amino acid, present in high
concentrations in a range of organs in mammals, can provide protection against
multiple neurological diseases. Here, we present a study to investigate the
potential protective benefits of taurine against ER stress induced by glutamate
and hypoxia/reoxygenation in primary cortical neuronal cultures. We found that
taurine suppresses the up-regulation of caspase-12 and GADD153/CHOP induced by
hypoxia/reoxygenation, suggesting that taurine may exert a protective function
against hypoxia/reoxygenation by reducing the ER stress. Moreover, taurine can
down-regulate the ratio of cleaved ATF6 and full length ATF6, and p-IRE1
expression, indicating that taurine inhibits the ER stress induced by
hypoxia/reoxygenation and glutamate through suppressing ATF6 and IRE1 pathways.
PMID- 22080216
TI - A simple screening method using ion chromatography for the diagnosis of cerebral
creatine deficiency syndromes.
AB - Cerebral creatine deficiency syndromes (CCDS) are caused by genetic defects in L
arginine:glycine amidinotransferase, guanidinoacetate methyltransferase or
creatine transporter 1. CCDS are characterized by abnormal concentrations of
urinary creatine (CR), guanidinoacetic acid (GA), or creatinine (CN). In this
study, we describe a simple HPLC method to determine the concentrations of CR,
GA, and CN using a weak-acid ion chromatography column with a UV detector without
any derivatization. CR, GA, and CN were separated clearly with the retention
times (mean +/- SD, n = 3) of 5.54 +/- 0.0035 min for CR, 6.41 +/- 0.0079 min for
GA, and 13.53 +/- 0.046 min for CN. This new method should provide a simple
screening test for the diagnosis of CCDS.
PMID- 22080217
TI - cDNA-AFLP-based genetical genomics in cotton fibers.
AB - Genetical genomics, or genetic analysis applied to gene expression data, has not
been widely used in plants. We used quantitative cDNA-AFLP to monitor the
variation in the expression level of cotton fiber transcripts among a population
of inter-specific Gossypium hirsutum * G. barbadense recombinant inbred lines
(RILs). Two key fiber developmental stages, elongation (10 days post anthesis,
dpa), and secondary cell wall thickening (22 dpa), were studied. Normalized
intensity ratios of 3,263 and 1,201 transcript-derived fragments (TDFs)
segregating over 88 RILs were analyzed for quantitative trait loci (QTL) mapping
for the 10 and 22 dpa fibers, respectively. Two-thirds of all TDFs mapped between
1 and 6 eQTLs (LOD > 3.5). Chromosome 21 had a higher density of eQTLs than other
chromosomes in both data sets and, within chromosomes, hotspots of presumably
trans-acting eQTLs were identified. The eQTL hotspots were compared to the
location of phenotypic QTLs for fiber characteristics among the RILs, and several
cases of co-localization were detected. Quantitative RT-PCR for 15 sequenced TDFs
showed that 3 TDFs had at least one eQTL at a similar location to those
identified by cDNA-AFLP, while 3 other TDFs mapped an eQTL at a similar location
but with opposite additive effect. In conclusion, cDNA-AFLP proved to be a cost
effective and highly transferable platform for genome-wide and population-wide
gene expression profiling. Because TDFs are anonymous, further validation and
interpretation (in silico analysis, qPCR gene profiling) of the eQTL and eQTL
hotspots will be facilitated by the increasing availability of cDNA and genomic
sequence resources in cotton.
PMID- 22080218
TI - Self-replication reactions dependent on tertiary interaction motifs in an RNA
ligase ribozyme.
AB - RNA can function both as an informational molecule and as a catalyst in living
organisms. This duality is the premise of the RNA world hypothesis. However, one
flaw in the hypothesis that RNA was the most essential molecule in primitive life
is that no RNA self-replicating system has been found in nature. To verify
whether RNA has the potential for self-replication, we constructed a new RNA self
assembling ribozyme that could have conducted an evolvable RNA self-replication
reaction. The artificially designed, in vitro selected ligase ribozyme was
employed as a prototype for a self-assembling ribozyme. The ribozyme is composed
of two RNA fragments (form R1.Z1) that recognize another R1.Z1 molecule as their
substrate and perform the high turnover ligation reaction via two RNA tertiary
interaction motifs. Furthermore, the substrate recognition of R1.Z1 is tolerant
of mutations, generating diversity in the corresponding RNA self-replicating
network. Thus, we propose that our system implies the significance of RNA
tertiary motifs in the early RNA molecular evolution of the RNA world.
PMID- 22080219
TI - Quantum dot/cyclodextrin supramolecular systems based on efficient molecular
recognition and their use for sensing.
AB - A supramolecular system based on ketoprofen functionalised CdSe/ZnS nanoparticles
and pyrene-modified beta-CD was prepared and successfully used for molecular
sensing of different analytes. In addition, a strategy for the individual
recovery of all the components of the sensing assay is reported.
PMID- 22080221
TI - Discriminating male and female voices: differentiating pitch and gender.
AB - Gender is salient, socially critical information obtained from faces and voices,
yet the brain processes underlying gender discrimination have not been well
studied. We investigated neural correlates of gender processing of voices in two
ERP studies. In the first, ERP differences were seen between female and male
voices starting at 87 ms, in both spatial-temporal and peak analyses,
particularly the fronto-central N1 and P2. As pitch differences may drive gender
differences, the second study used normal, high- and low-pitch voices. The
results of these studies suggested that differences in pitch produced early
effects (27-63 ms). Gender effects were seen on N1 (120 ms) with implicit pitch
processing (study 1), but were not seen with manipulations of pitch (study 2),
demonstrating that N1 was modulated by attention. P2 (between 170 and 230 ms)
discriminated male from female voices, independent of pitch. Thus, these data
show that there are two stages in voice gender processing; a very early pitch or
frequency discrimination and a later more accurate determination of gender at the
P2 latency.
PMID- 22080220
TI - Outcome of closed ipsilateral metacarpal fractures treated with mini fragment
plates and screws: a prospective study.
AB - BACKGROUND: Closed multiple metacarpal fractures are considered highly unstable
and are more prone to poor functional outcome. The authors assess the functional
outcome of mini fragment plate fixation in closed ipsilateral multiple metacarpal
fractures. PATIENTS AND METHODS: In 21 patients with closed ipsilateral multiple
metacarpal fractures treated with open reduction and internal fixation using mini
fragment plate, functional outcome was assessed using the American Society for
Surgery of the Hand (ASSH) Total Active Flexion (TAF) score and the Disabilities
of the Arm, Shoulder, and Hand (DASH) scoring system. RESULTS: Union rate of 100%
was achieved. Functional outcome was excellent in 85.71% (18 of 21) and good in
9% (2 of 21) of patients. Average DASH score was 8.47 (range 1-26). Five cases of
infection (two deep, three superficial) were reported, which subsided with
dressings and antibiotics. CONCLUSIONS: Plate fixation is a good option for
treating closed ipsilateral multiple metacarpal fractures, providing rigid
fixation for early mobilization and good functional outcome.
PMID- 22080222
TI - Sensory handedness is not reflected in cortical responses after basic nerve
stimulation: a MEG study.
AB - Motor dominance is well established, but sensory dominance is much less clear. We
therefore studied the cortical evoked magnetic fields using
magnetoencephalography (MEG) in a group of 20 healthy right handed subjects in
order to examine whether standard electrical stimulation of the median and ulnar
nerve demonstrated sensory lateralization. The global field power (GFP) curves,
as an indication of cortical activation, did not depict sensory lateralization to
the dominant left hemisphere. Comparison of the M20, M30, and M70 peak latencies
and GFP values exhibited no statistical differences between the hemispheres,
indicating no sensory hemispherical dominance at these latencies for each nerve.
Field maps at these latencies presented a first and second polarity reversal for
both median and ulnar stimulation. Spatial dipole position parameters did not
reveal statistical left-right differences at the M20, M30 and M70 peaks for both
nerves. Neither did the dipolar strengths at M20, M30 and M70 show a statistical
left-right difference for both nerves. Finally, the Laterality Indices of the
M20, M30 and M70 strengths did not indicate complete lateralization to one of the
hemispheres. After electrical median and ulnar nerve stimulation no evidence was
found for sensory hand dominance in brain responses of either hand, as measured
by MEG. The results can provide a new assessment of patients with sensory
dysfunctions or perceptual distortion when sensory dominance occurs way beyond
the estimated norm.
PMID- 22080223
TI - Orthostatic hypotension in very old individuals living in nursing homes: the
PARTAGE study.
AB - OBJECTIVE: Orthostatic hypotension has a prognostic role in determining
cardiovascular and all-cause mortality. The aim of this study was to assess the
prevalence of orthostatic hypotension and its association with blood pressure
(BP) levels, arterial stiffness, cardiovascular and metabolic disorders and
medication in individuals aged 80 years and over living in nursing home. METHODS:
In 994 individuals (77% women, mean age 88+/-5 years), the presence of
orthostatic hypotension was tested according to American Autonomic Society and
American Academy of Neurology guidelines. Arterial stiffness was evaluated with
carotid-femoral pulse wave velocity (cf-PWV), peripheral to central pulse
pressure amplification (PPA) and augmentation index. Cardiovascular and metabolic
disorders as well as medications were recorded from patients' medical records.
RESULTS: The prevalence of orthostatic hypotension was 18%. Treated hypertensive
patients with SBP 140 mmHg or less had a lower prevalence of orthostatic
hypotension than patients with SBP more than 140 mmHg (respectively, 13 vs. 23%;
P < 0.001). Individuals with orthostatic hypotension exhibited higher brachial
and central PP than individuals without orthostatic hypotension (respectively,
69+/-18 vs. 65+/-16 mmHg and 57+/-17 vs. 54+/-15 mmHg; P < 0.01). In these same
individuals, a significant increase in augmentation index (31.1+/-14.0 vs. 27.2+/
13.6%; P < 0.01), but not in cf-PWV or in PPA, was observed. Individuals with
orthostatic hypotension were treated more frequently with beta-blockers and less
frequently with angiotensin receptor blockers or nitrates than individuals
without orthostatic hypotension (P < 0.05 for both). CONCLUSION: Contrary to the
general belief, elderly individuals with well controlled BP (SBP < 140 mmHg) show
lower orthostatic hypotension, thus constituting a complementary argument for
efficaciously treating hypertension in these individuals.
PMID- 22080224
TI - Modelling the impact on avoidable cardiovascular disease burden and costs of
interventions to lower SBP in the England population.
AB - BACKGROUND: The burden of disease from cardiovascular disease (CVD) remains
significant in England. Blood pressure remains an important risk factor. Health
gain through public health measures and improving treatment compliance are
potentially likely to be high. We assess the impact of known cost-effective
interventions in terms of the avoidable CVD burden and costs by comparing these
strategies to the current situation. METHODS: We modelled avoidable CVD outcomes
simulating the English population aged over 16 years with Excel spreadsheets for
the current prevention/treatment and following various interventions over a 10
year time frame. The outcome measures were avoidable incident heart disease and
stroke events, deaths and disability-adjusted life years (DALYs). Costs are
reported from the health service perspective. We analysed relative cost
effectiveness, undertook sensitivity analysis and measured relative impacts of
different strategies on avoidable burden of disease. RESULTS: The assessed
interventions have a potential to reduce the current burden of disease between 70
000 and about 1 million DALYs over the 10-year frame. Although all interventions
were cost-effective, some (e.g. Salt reduction in the population and 'Dietary
Approaches to Stop Hypertension-sodium', which modelled the impact of salt
reduction and dietary approaches) were cost-saving. The cost-effectiveness of
treatment strategies was sensitive to drug costs. CONCLUSION: Evidence-based
interventions appropriately scaled up for both prevention and treatment of blood
pressure lead to important additional potential health gains. There was
noticeable variance in cost-effectiveness and impact among the different
interventions at a population level. Taking into account impact, priority should
be given to prevention to reduce blood pressure at a population level through
reduced salt consumption.
PMID- 22080225
TI - Microalbuminuria breakthrough under chronic renin-angiotensin-aldosterone system
suppression.
AB - OBJECTIVES: Microalbuminuria has been shown to be a potent predictor for future
development of cardiovascular and renal events that can be prevented by the use
of angiotensin-converting enzyme inhibitors (ACEis) or angiotensin receptor
blockers (ARBs). Both classes of drugs are now-a-days widely used in the
treatment of arterial hypertension since the very early stages of the cardiorenal
continuum when only cardiovascular risk factors are detected. We describe here
the development of de-novo microalbuminuria in patients chronically treated with
either an ACEi or an ARB at adequate doses. METHODS: We reviewed the evolution of
1433 patients (mean age 60.5 +/- 12.4 years, 50.3% men, 6.6% having type 2
diabetes), arriving in our hospital-based Hypertension Unit previously treated
for a least 2 years either with an ACEi or an ARB, at adequate doses, alone or in
combination with other antihypertensive drugs. RESULTS: A total of 184 (16.1%)
patients developed new-onset microalbuminuria, whereas macroalbuminuria was
detected in 11 (1.0%) patients at the end of follow-up. Albuminuria appeared at
any level of blood pressure (BP) from below 130/80 mmHg, albeit the highest
percentage was seen when SBP was above 160 mmHg. De-novo microalbuminuria was
more frequent in those patients presenting with established cardiovascular
disease and predicts the future development of cardiovascular events but was not
accompanied by a significant worsening of renal function. CONCLUSION: These data
indicate that a reappraisal of renin-angiotensin-aldosterone system (RAAS)
suppression is required when microalbuminuria appears in patients under chronic
RAAS suppression.
PMID- 22080226
TI - In-vivo administration of CLC-K kidney chloride channels inhibitors increases
water diuresis in rats: a new drug target for hypertension?
AB - OBJECTIVE: The human kidney-specific chloride channels ClC-Ka (rodent ClC-K1) and
ClC-Kb (rodent ClC-K2) are important determinants of renal function,
participating to urine concentration and blood pressure regulation mechanisms.
Here we tested the hypothesis that these chloride channels could represent new
drug targets for inducing diuretic and antihypertensive effects. METHODS: To this
purpose, the CLC-K blockers benzofuran derivatives MT-189 and RT-93 (10, 50, 100
mg/kg), were acutely administered by gavage in Wistar rats, and pharmacodynamic
and pharmacokinetic parameters determined by functional, bioanalytical,
biochemical and molecular biology assays. RESULTS: Plasma concentration values
for MT-189 and RT-93 were indicative of good bioavailability. Both MT-189 and RT
93 dose-dependently increased urine volume without affecting electrolyte balance.
A comparable reduction of SBP was observed in rats after MT-189, RT-93 or
furosemide administration. Benzofuran derivatives treatment did not affect kidney
CLC-K mRNA level or inner medulla osmolality, whereas a significant vasopressin
independent down-regulation of aquaporin water channel type 2 was observed at
protein and transcriptional levels. In rats treated with benzofuran derivatives,
the observed polyuria was mainly water diuresis; this finding indirectly supports
a cross-talk between chloride and water transport in nephron. Moreover,
preliminary in-vitro evaluation of the drugs capability to cross the blood-inner
ear barrier suggests that these compounds have a limited ability to induce
potential auditory side effects. CONCLUSION: CLC-K blockers may represent a new
class of drugs for the treatment of conditions associated with expanded
extracellular volume, with a hopeful high therapeutic potential for hypertensive
patients carrying ClC-K gain-of-function polymorphisms.
PMID- 22080227
TI - The mathematical origins of the kinetic compensation effect: 2. The effect of
systematic errors.
AB - The kinetic compensation effect states that there is a linear relationship
between Arrhenius parameters ln A and E for a family of related processes. It is
a widely observed phenomenon in many areas of science, notably heterogeneous
catalysis. This paper explores mathematical, rather than physicochemical,
explanations for the compensation effect in certain situations. Three different
topics are covered theoretically and illustrated by examples. Firstly, the effect
of systematic errors in experimental kinetic data is explored, and it is shown
that these create apparent compensation effects. Secondly, analysis of kinetic
data when the Arrhenius parameters depend on another parameter is examined. In
the case of temperature programmed desorption (TPD) experiments when the
activation energy depends on surface coverage, it is shown that a common analysis
method induces a systematic error, causing an apparent compensation effect.
Thirdly, the effect of analysing the temperature dependence of an overall rate of
reaction, rather than a rate constant, is investigated. It is shown that this can
create an apparent compensation effect, but only under some conditions. This
result is illustrated by a case study for a unimolecular reaction on a catalyst
surface. Overall, the work highlights the fact that, whenever a kinetic
compensation effect is observed experimentally, the possibility of it having a
mathematical origin should be carefully considered before any physicochemical
conclusions are drawn.
PMID- 22080229
TI - Seeding approach to noble metal decorated conducting polymer nanofiber network.
AB - Metal displacement reactions between conducting polymers-"synthetic metals"-and
noble metals (Pt, Au and Ag) have been demonstrated using a seeding
polymerization technique, to produce a synthetic metal nanofiber network
decorated with noble metal nanoparticles, in one-step.
PMID- 22080228
TI - Chlamydomonas reinhardtii as a viable platform for the production of recombinant
proteins: current status and perspectives.
AB - Chlamydomonas reinhardtii has many advantages compared with traditional systems
for the molecular farming of recombinant proteins. These include low production
costs, rapid scalability at pilot level, absence of human pathogens and the
ability to fold and assemble complex proteins accurately. Currently, the
successful expression of several proteins with pharmaceutical relevance has been
reported from the nuclear and the chloroplastic genome of this alga,
demonstrating its usefulness for biotechnological applications. However, several
factors affect the level of recombinant protein expression in Chlamydomonas such
as enhancer elements, codon dependency, sensitivity to proteases and
transformation-associated genotypic modification. The present review outlines a
number of strategies to increase protein yields and summarizes recent
achievements in algal protein production including biopharmaceuticals such as
vaccines, antibodies, hormones and enzymes with implications on health-related
approaches. The current status of bioreactor developments for algal culture and
the challenges of scale-up and optimization processes are also discussed.
PMID- 22080230
TI - Pathophysiology of postprandial hyperglycaemia in women with type 1 diabetes
during pregnancy.
AB - AIMS/HYPOTHESIS: Although maternal hyperglycaemia is associated with increased
risk of adverse pregnancy outcome, the mechanisms of postprandial hyperglycaemia
during pregnancy are poorly understood. We aimed to describe glucose turnover in
pregnant women with type 1 diabetes, according to stage of gestation (early vs
late gestation). METHODS: The rates of systemic glucose appearance (R(a)) and
glucose disposal (R(d)) were measured in ten pregnant women with type 1 diabetes
during early (12-16 weeks) and late (28-32 weeks) gestation. Women ate
standardised meals--a starch-rich 80 g carbohydrate dinner and a sugar-rich 60 g
carbohydrate breakfast--and fasted between meals and overnight. Stable-label
isotope tracers ([6,6-(2)H(2)]glucose and [U-(13)C]glucose) were used to
determine R(a), R(d) and glucose bioavailability. Closed-loop insulin delivery
maintained stable glycaemic conditions. RESULTS: There were no changes in fasting
R(a) (10 +/- 2 vs 11 +/- 2 MUmol kg(-1) min(-1); p = 0.32) or fasting R(d) (11 +/
2 vs 11 +/- 1 MUmol kg(-1) min(-1); p = 0.77) in early vs late gestation. There
was increased hepatic insulin resistance (381 +/- 237 vs 540 +/- 242 MUmol kg(-1)
min(-1) * pmol/l; p = 0.04) and decreased peripheral insulin sensitivity (0.09 +/
0.04 vs 0.05 +/- 0.02 MUmol kg(-1) min(-1) per pmol/l dinner, 0.11 +/- 0.05 vs
0.07 +/- 0.03 MUmol kg(-1) min(-1) per pmol/l breakfast; p = 0.002) in late
gestation. It also took longer for insulin levels to reach maximal concentrations
(49 [37-55] vs 71 [52-108] min; p = 0.004) with significantly delayed glucose
disposal (108 [87-125] vs 135 [110-158] min; p = 0.005) in late gestation.
CONCLUSIONS/INTERPRETATION: Postprandial glucose control is impaired by
significantly slower glucose disposal in late gestation. Early prandial insulin
dosing may help to accelerate glucose disposal and potentially ameliorate
postprandial hyperglycaemia in late pregnancy. TRIAL REGISTRATION: ISRCTN
62568875 FUNDING: Diabetes UK Project Grant BDA 07/003551. H.R. Murphy is funded
by a National Institute for Health Research (NIHR) research fellowship
(PDF/08/01/036). Supported also by the Juvenile Diabetes Research Foundation
(JDRF), Abbott Diabetes Care (Freestyle Navigator CGM and sensors free of
charge), Medical Research Council Centre for Obesity and Related Metabolic
Diseases and NIHR Cambridge Biomedical Research Centre.
PMID- 22080231
TI - Diabetes: impaired damage control.
AB - A coordinated response by the innate immune system, (micro)circulation and
nervous system is needed to limit tissue destruction and to initiate reparative
processes after tissue damage. Alterations in danger signals in diabetes can be
an important cause of the excessive tissue loss and defective tissue repair after
injury and can contribute to the higher rates of cardiac failure after myocardial
infarction, more severe tissue loss in the case of peripheral ischaemia and
impaired wound healing. Here we discuss the mechanisms underlying this impaired
damage control in diabetes, with an emphasis on the proinflammatory cytokine high
mobility group box 1 and the potential role of dipeptidyl peptidase IV inhibition
in improving repair responses.
PMID- 22080232
TI - Detecting scale violations in absence of mismatch requires music-syntactic
analysis: a further look at the early right anterior negativity (ERAN).
AB - The purpose of this study was to determine whether infrequent scale violations in
a sequence of in-key notes are detected when the deviants are matched for
frequency of occurrence and preceding intervals with the control notes. We
further investigated whether the detectability of scale violations is modulated
by the presence of melodic context and by the level of musical training. Event
related potentials were recorded from 14 musicians and 13 non-musicians. In non
musicians, the out-of-key notes elicited an early right anterior negativity
(ERAN), which appeared prominently over right frontal sites only when presented
within structured sequences; no effects were found when the out-of-key notes were
presented within scrambled sequences. In musicians, the out-of-key notes elicited
a similar bilateral ERAN in structured and scrambled sequences. Our findings
suggest that scale information is processed at the level of music-syntactic
analysis, and that the detection of deviants does not require activation of
auditory sensory memory by mismatch effects. Scales are perceived as a broader
context, not just as online interval relations. Additional melodic context
information appears necessary to support the representation of scale deviants in
non-musicians, but not in musically-trained individuals, likely as a consequence
of stronger pre-existing representations.
PMID- 22080233
TI - Increasing visible-light absorption for photocatalysis with black BiOCl.
AB - Black BiOCl with oxygen vacancies was prepared by UV light irradiation with Ar
blowing. The as-prepared black BiOCl sample showed 20 times higher visible light
photocatalytic activity than white BiOCl for RhB degradation. The trapping
experiment showed that the superoxide radical (O(2)(*-)) and holes (h(+)) were
the main active species in aqueous solution under visible light irradiation.
PMID- 22080234
TI - Catechin protects against oxidative stress and inflammatory-mediated
cardiotoxicity in adriamycin-treated rats.
AB - Catechin has anti-inflammatory and antioxidative effects. Cardiotoxicity, which
results from intense cardiac oxidative stress and inflammation, is the main
limiting factor of the adriamycin use in the treatment of malignant tumors. Thus,
the present study aimed to assess the antioxidant and anti-inflammatory effects
of catechin on adriamycin-induced cardiotoxicity in rats. Forty-five rats were
allocated to three groups: control group, adriamycin group and adriamycin +
catechin group. We performed the following measurements: lipid peroxidation
(MDA), catalase (CAT), glutathione peroxidase (GSH-Px) and superoxide dismutase
(SOD) activities as well as, the expression of inflammatory cytokines genes
namely nuclear factor kappa-B, tumor necrosis factor and inducible nitric oxide
synthase. Catechin administration significantly decreased MDA level and
significantly increased CAT, GSH-Px and SOD activities. Also, catechin
significantly decreased the expression levels of inflammatory cytokines. Catechin
provided cardioprotection on adriamycin-induced cardiotoxicity through their
antioxidant and anti-inflammatory properties.
PMID- 22080235
TI - Antiproliferative in vitro effects of BI 2536-mediated PLK1 inhibition on
cervical adenocarcinoma cells.
AB - Cervical adenocarcinoma is one of the most common gynecological malignancies.
Despite the improvements in multimodality treatment, advanced disease is still
associated with a significantly poor prognosis making the search for more
effective therapeutic agents imperative. BI 2536, an unambiguous inhibitor of
Polo-like kinase 1 (PLK1), has shown anticancer activity in a variety of tumor
cell types. Herein, we present more evidence of the antiproliferative effects of
this drug on HeLa cells. Nanomolar concentrations (10-100 nmol/l) of the drug
significantly decreased cell proliferation and clonogenic capacity. Our results
also demonstrate that inhibition of PLK1 promoted G2/M arrest and resulted in a
dramatic increase in the mitotic index after 24 h of treatment. Apoptosis onset
was evinced by the accumulation of a sub-G1 population as well as by a
significant increase in caspase-3 activity at longer periods of exposure. Taken
together, our results reinforce the prospect of directing against PLK1 as a
potential therapeutic target to be evaluated in different preclinical models for
cervical carcinoma.
PMID- 22080236
TI - Tissue effects of intracorporeal lithotripsy techniques during percutaneous
nephrolithotomy: comparison of pneumatic and ultrasonic lithotripters on rat
bladder.
AB - The objectives of this study were to determine the tissue effects of ultrasonic
and pneumatic lithotripsy on the rat urothelium. The rats were divided into three
groups. Groups I and II consisted of ten rats each that underwent intracorporeal
lithotripsy (pneumatic and ultrasonic lithotripsy, respectively). Group III
contained ten control rats and no lithotripsy method was used, they served as
references for absence of injury. The light microscopy findings were evaluated as
follows: squamous metaplasia, papillary projection, inflammation, increased
stratification, and stone formation. In five (71.4%) animals of group II,
bladders were edematous and hemorrhagic, macroscopically. Histologically, the
bladder wall was normal in four rats of group I and in one of group II. There was
a significant increase in inflammation (31.5%), squamous metaplasia (85.7%),
papillary projection (71.4%), increased stratification (71.4%), and microscopic
or macroscopic stone formation (85.7%) in the bladder wall of group II rats in
comparison with group I and control group. In the rat model, we noted that
ultrasonic devices have a potential risk for tissue injury. In turn, this was
associated with a markedly increased deposition of CaOx stones in the kidney.
When confronted with harder stones, pneumatic lithotripsy can be more effective
while also minimizing tissue injury.
PMID- 22080237
TI - Left unilateral electroconvulsive therapy (ECT) after oligodendroglioma
resection.
AB - A 44-year-old man with a previously resected right parietal oligodendroglioma
received left unilateral electroconvulsive therapy (ECT). We present images of
his brain magnetic resonance imaging, ECT electrode placement, and the
electroencephalogram tracing from his ECT.
PMID- 22080238
TI - The effect of repeated etomidate anesthesia on adrenocortical function during a
course of electroconvulsive therapy.
AB - BACKGROUND: Etomidate may affect adrenocortical function. We conducted an
investigation of the comparative effects of etomidate and propofol during
electroconvulsive therapy (ECT) on adrenocortical function and hemodynamics.
METHODS: Patients in group T received etomidate and those in group B received
propofol during intravenous anesthesia in ECT. Patients underwent ECT once every
2 days for 6 times. The serum levels of cortisol (Cor) and adrenocorticotropic
hormone were determined 5 minutes before first anesthesia (baseline level, D0),
and 24 hours (D1) as well as 48 hours after the last ECT (D2). At the same time,
the hemodynamics was measured 2 minutes before anesthetic induction (T0), 30
seconds (T1) and 20 minutes after ECT (T2). Electrographic seizure duration (t),
average seizure energy index, and postictal suppression index were recorded.
RESULTS: Compared with the baseline level, serum Cor levels in group T were
markedly decreased, but in normal ranges, at 24 hours after second and sixth
treatments. No significant difference in serum Cor level was observed between the
baseline and 48 hours posttreatment. In group B, there was no significant
difference in serum Cor level between the baseline and 24 hours as well as 48
hours after each treatment. Furthermore, no significant difference in
adrenocorticotropic hormone level was observed between the baseline and 24 hours
as well as 48 hours posttreatment. However, the hemodynamics markedly changed
during ECT and reached the preanesthetic level at 20 minutes posttreatment. The
ECT-induced seizure duration in group T was longer than that in group B. However,
seizure energy index and postictal suppression index was not significantly
different between groups T and B. CONCLUSIONS: Etomidate and propofol would not
affect the adrenocortical function during ECT, and hemodynamics reached normal
level in a short time after ECT. Etomidate and propofol were both safe
intravenous anesthetics during ECT, although etomidate was associated with
comparatively longer seizure duration.
PMID- 22080239
TI - A conceptual introduction to cognitive remediation for memory deficits associated
with right unilateral electroconvulsive therapy.
AB - OBJECTIVE: Although electroconvulsive therapy (ECT) is a highly effective
treatment for people with severe depression, many patients report that treatment
induced memory problems are the most disturbing and serious adverse effects,
affecting quality of life after treatment and willingness to consent to further
ECT sessions. To date, no intervention to mitigate these cognitive deficits has
been developed. We introduce the methodology of a novel cognitive training
program called Memory Training for ECT (Mem-ECT) that is based on cognitive
training in seizure disorders. Mem-ECT is designed to help memories that are
usually compromised after ECT to remain relatively preserved. METHODS: We
evaluated the feasibility of implementing Mem-ECT in 8 adult patients with a
diagnosis of major depressive disorder who underwent right unilateral ECT. This
open pilot trial assessed recruitment procedures and treatment feasibility such
as patient's burden and compliance, exercise length, and how best to integrate
treatment sessions around the patient's schedule before undergoing ECT. RESULTS:
We found Mem-ECT to be fairly well tolerated by depressed inpatients and easily
implemented within ECT treatment services. CONCLUSION: We discuss issues for
future development, including an ongoing treatment-masked controlled study we are
conducting to test the efficacy of Mem-ECT. Developing a safe and effective
behavioral strategy to minimize ECT's adverse effects on memory may make ECT a
more easily tolerated treatment.
PMID- 22080240
TI - Repetitive transcranial magnetic stimulation versus electroconvulsive therapy for
the treatment of major depressive disorder, a randomized controlled clinical
trial.
AB - INTRODUCTION: Studies comparing the antidepressant effects of electroconvulsive
therapy (ECT) and repetitive transcranial magnetic stimulation (rTMS) have
reported mixed results. This study compared the efficacy of rTMS and ECT in adult
patients with refractory major depressive disorder (MDD). METHODS: This
randomized, ECT-controlled, parallel-group clinical trial analyzed the
antidepressant effects of ECT and rTMS in 73 patients with MDD diagnosed
according to Diagnostic and Statistical Manual of Mental Disorders, Fourth
Edition criteria. The Beck Depression Inventory and Hamilton Depression Rating
Scale were used to measure depression. RESULTS: Both ECT and rTMS significantly
improved depression and suicidal behavior scores. However, ECT reduced depression
and suicidal behavior scores more than rTMS. There were no significant adverse
effects in the rTMS group. DISCUSSION: Both ECT and rTMS improved MDD in the
short term, but the antidepressant efficacy of ECT was greater than rTMS.
Moreover, ECT led to greater reductions in suicidal behavior than rTMS. Until
strong evidence for the safety and efficacy of rTMS is available, further studies
are needed to compare ECT and rTMS in terms of the long-term relapse rate and
quality of life.
PMID- 22080241
TI - The role of psychosocial factors in the course of pain--a 1-year follow-up study
among women living in Sweden.
AB - The understanding of the associations between psychosocial factors and persistent
pain and their impact on the course of pain among women is crucial to identify
risk populations and prevent long-term pain from developing. The aim of the study
was to investigate the course of pain among women and the psychosocial factors
associated with it. The study was a 1-year follow-up (FU) among 2,300 women in
the general population of Sweden. Sociodemographic and psychosocial factors were
analyzed in relation to the course of pain, assessed as the presence of pain
during the last 3 months at baseline (BL) and at FU. Thirty-three percent of the
women with no pain at BL and 77% of those with pain at BL reported pain at FU.
Compared to the pain-free women, those who developed pain at FU reported lower
social support and physical quality of life (QoL) and worse mental health. Women
with sustained pain were older and reported worse mental health, lack of social
support, and lower levels of QoL compared to those who recovered from pain. In
the multiple logistic regression analyses, only post-traumatic stress symptoms
were associated with the development of pain at FU. Number of pain locations and
pain duration at BL and physical QoL were associated with sustained pain.
Moreover, social support was identified as a protective factor against sustained
pain. Pain is persistent or recurrent in a general female population. The results
indicate that psychosocial factors do not work as primary predictors in the
course of pain and might be better understood through indirect processes by
limiting the individual's resources for handling pain in a functional manner.
PMID- 22080242
TI - Contact printing a biomimetic catecholic monolayer on a variety of surfaces and
derivation reaction.
AB - Biomimic catecholic "ink" is employed in surface patterning by using microcontact
printing (MUCP) on a variety of surfaces. The surface chemical patterning can be
proofed by implementing a derivation reaction, such as specific biological
recognition and surface-initiated polymerization for growth of polymer brushes.
PMID- 22080243
TI - Graphene: nanoscale processing and recent applications.
AB - One of the most interesting features of graphene is the rich physics set up by
the various nanostructures it may adopt. The planar structure of graphene makes
this material ideal for patterning at the nanoscale. The breathtakingly fast
evolution of research on graphene growth and preparation methods has made
possible the preparation of samples with arbitrary sizes. Available sample
production techniques, combined with the right patterning tools, can be used to
tailor the graphene sheet into functional nanostructures, even whole electronic
circuits. This paper is a review of the existing graphene patterning techniques
and potential applications of related lithographic methods.
PMID- 22080245
TI - Pathologic features and molecular phenotype by patient age in a large cohort of
young women with breast cancer.
AB - Prior studies have suggested a higher prevalence of high grade, ER-negative, HER2
positive, and basal-like carcinomas in young women with breast cancer. However,
the precise distribution of poor prognostic features in this population remains
unclear. We examined the pathologic features and distribution of molecular
phenotype in relation to patient age in a large group of young women (<=40 years)
with invasive breast cancer. Medical records were reviewed for clinical
characteristics, tumor stage, and receptor status. Pathologic features, including
those features associated with basal-like carcinomas, were examined by central
review. Using tumor grade and biomarker expression, cancers were categorized as
luminal A (ER+ and/or PR+ and HER2-, histologic grade 1 or 2); luminal B (ER+
and/or PR+ and HER2+, or ER and/or PR+, HER2- and grade 3); HER2 (ER and PR- and
HER2+); and triple negative (ER-, PR-, and HER2-). Among 399 women of <=40 years,
33% had luminal A tumors, 35% luminal B, 11% HER2 (ER-negative), and 21% triple
negative. Compared to published results for all breast cancers, a greater
proportion of young women had luminal B tumors, and a lesser proportion had
luminal A. There were no significant differences in molecular phenotype, tumor
stage or grade among the different age groups of young women. However, this
population of young women presented with a different distribution of molecular
phenotypes compared to the general population of women with breast cancer. These
findings may have implications with regard to the etiology and prognosis of
breast cancer in young women.
PMID- 22080244
TI - Cadherin-catenin complex dissociation in lobular neoplasia of the breast.
AB - E-cadherin (E-CD) inactivation with loss of E-CD-mediated cell adhesion is the
hallmark of lesions of the lobular phenotype. E-CD is typically absent by
immunohistochemistry in both lobular carcinoma in situ (LCIS) and invasive
lobular lesions, suggesting it occurs early in the neoplastic process. In
laboratory models, downstream post-transcriptional modifiers such as TWIST and
SNAIL contribute to the dissociation of the intracellular component of the
cadherin-catenin complex (CCC), resulting in tumor progression and invasion. We
hypothesized that complete CCC dissociation may play a role in lobular neoplasia
progression. Here we explore the relationship between loss of E-CD and
dissociation of the CCC in pure LCIS and LCIS associated with invasive cancer.
Fresh-frozen tissues were obtained from 36 patients undergoing mastectomy for
pure LCIS (n = 11), LCIS with ILC (n = 18) or LCIS with IDC (n = 7). Individual
lesions were subject to laser-capture microdissection and gene-expression
analysis (Affymetrix HG-U133A 2.0). Immunohistochemistry for ER,PR,HER2, E-CD,N
CD,alpha-,beta-, and phosphobeta-catenin, TWIST, and SNAIL were evaluated in
normal, in situ, and invasive components from matched formalin-fixed paraffin
embedded samples (n = 36). CCC-dissociation was defined as negative membranous E
CD, alpha- and beta-catenin expression. E-CD was negative in all LCIS and ILC
lesions, and positive in all normal and IDC lesions. Membranous alpha and beta
catenin expressions decreased with the transition from LCIS to ILC (pure LCIS
82%; LCIS w/ILC 28%; ILC 0%), while TWIST expression increased (pure LCIS low;
LCIS w/ILC moderate; ILC high). Gene expression paralleled IHC-staining patterns
with a stepwise downregulation of E-CD, alpha and beta-catenins from normal to
LCIS to invasive lesions, and increasing expression of TWIST from normal to LCIS
to ILC. Loss of E-CD expression is an early event in lobular neoplasia.
Decreasing membranous catenin expression in tandem with increasing levels of
TWIST across the spectrum of lobular lesions suggests that CCC dissociation is a
progressive process.
PMID- 22080246
TI - Endocrine therapy in obese patients with primary breast cancer: another piece of
evidence in an unfinished puzzle.
AB - Obesity, defined as a body mass index (BMI) >=30 is an independent risk factor in
breast cancer and is correlated with shorter survival and enhanced recurrence
rates. The present subgroup analysis of the German BRENDA-cohort aimed to
investigate the correlation between BMI, recurrence-free survival (RFS) and
adjuvant endocrine therapy. In this subgroup analysis, 4,636 patients were
retrospectively examined using multivariate analyses. Overall 3,759 (81.1%)
patients had a BMI <30 (non-obese) and 877 (18.9%) a BMI >=30 (obese). In the
group of all 3,896 (84.0%) patients with hormone-receptor-positive (HR+) breast
carcinomas a significant reduction in RFS was demonstrated for those who were
obese (P = 0.002; HR = 1.45 (95% CI: 1.15-1.83)), also after adjustment for
Nottingham Prognostic Index (NPI) (P = 0.028; HR = 1.30 (95% CI: 1.03-1.65)). In
hormone-receptor-negative (HR-) patients BMI had no influence on RFS (P = 0.380;
HR = 1.20 (95% CI: 0.80-1.81)). Considering menopausal status, a significantly
shorter RFS was seen in postmenopausal obese than in non-obese patients (P <
0.001; HR = 1.61 (95% CI: 1.24-2.09)), whereas the premenopausal patient group
only showed a trend towards a shorter RFS (P = 0.202; HR = 1.44 (95% CI: 0.82
2.53)). The group of HR+ postmenopausal patients with normal or intermediate
weight showed a non-significant statistical trend towards a survival benefit for
aromatase inhibitors (AI) compared to tamoxifen (RFS: P = 0.486; HR = 1.29 (95%
CI: 0.63-2.62), while obese patients tended to benefit more from tamoxifen (RFS:
P = 0.289; HR = 0.65 (95% CI: 0.29-1.45)). In accordance with recently published
results we demonstrated a negative effect of a high BMI on outcome in primary
breast cancer. Furthermore the efficacy of AI seems dependent on BMI in contrast
to tamoxifen. Prospective studies to optimise the therapy of obese breast cancer
patients are urgently needed.
PMID- 22080247
TI - Nanorainforest solar cells based on multi-junction hierarchical p-Si/n-CdS/n-ZnO
nanoheterostructures.
AB - Solar cells based on one-dimensional nanostructures have recently emerged as one
of the most promising candidates to achieve high-efficiency solar energy
conversion due to their reduced optical reflection, enhanced light absorption,
and enhanced carrier collection. In nature, the rainforest, consisting of several
stereo layers of vegetation, is the highest solar-energy-using ecosystem. Herein,
we gave an imitation of the rainforest configuration in nanostructure-based solar
cell design. Novel multi-layer nanorainforest solar cells based on p-Si
nanopillar array/n-CdS nanoparticles/n-ZnO nanowire array heterostructures were
achieved via a highly accessible, reproducible and controllable fabrication
process. By choosing materials with appropriate bandgaps, an efficient light
absorption and enhanced light harvesting were achieved due to the wide range of
the solar spectrum covered. Si nanopillar arrays were introduced as direct
conduction pathways for photon-generated charges' efficient collection and
transport. The unique strategy using PMMA as a void-filling material to obtain a
continuous, uniform and low resistance front electrode has significantly improved
the overall light conversion efficiency by two orders of magnitude. These results
demonstrate that nanorainforest solar cells, along with wafer-scale, low-cost and
easily controlled processing, open up substantial opportunities for nanostructure
photovoltaic devices.
PMID- 22080248
TI - Photogenerated avenues in macromolecules containing Re(I), Ru(II), Os(II), and
Ir(III) metal complexes of pyridine-based ligands.
AB - Pyridine-based ligands, such as 2,2'-bipyridine and 1,10-phenanthroline, have
gained much interest in the fields of supramolecular chemistry as well as
materials science. The appealing optoelectronic properties of their complexes
with heavy d(6) transition metal ions, such as Ru(ii), Os(II), Re(I) and Ir(III),
primarily based on the metal-to-ligand charge-transfer (MLCT) nature featuring
access to charge-separated states, have provided the starting point for many
studies in the field of dye-sensitized solar cells (DSSCs), organic light
emitting diodes (OLEDs), artificial photosynthesis and photogenerated electron as
well as energy transfer processes. This critical review provides a comprehensive
survey over central advances in the field of soluble metal-containing
macromolecules in the last few decades. The synthesis and properties of
functionalized 2,2'-bipyridyine- and 1,10-phenanthroline-based d(6) metal
complexes, in particular, their introduction into different prevailing polymeric
structures are highlighted. In the most part of the review metal complexes which
have been attached as pendant groups on the polymer side chain are covered.
Selected applications of the herein discussed metal-containing macromolecules are
addressed, particularly, with respect to photogenerated electron/energy transfer
processes. In order to enable a deeper understanding of the properties of the
ligands and metal complexes, the fundamentals of selected photophysical processes
will be discussed (223 references).
PMID- 22080249
TI - The phenomenology of autistic regression: subtypes and associated factors.
AB - This study aimed to investigate the association of autistic regression (AR) and
subtypes of AR with medical, developmental and psychiatric factors. Fifty-seven
children with autistic spectrum disorders (ASD) were included in the study. Two
types of AR are defined as regression after a normal social/language development
(type 1) and regression as the worsening of previously reported autistic features
(type 2). The frequency of history of AR was 56.1%. Male gender and sleep
problems were found to be associated with a positive history of AR. The frequency
of gastrointestinal complaints/diseases was higher in children with regression
type 2 when compared to the children with regression type 1. Future studies with
larger sample size and prospective design will contribute to clarifying the
phenomenology and the associated factors of AR.
PMID- 22080250
TI - Effects of microperfusion in hepatic diffusion weighted imaging.
AB - OBJECTIVE: Clinical hepatic diffusion weighted imaging (DWI) generally relies on
mono-exponential diffusion. The aim was to demonstrate that mono-exponential
diffusion in the liver is contaminated by microperfusion and that the bi
exponential model is required. METHODS: Nineteen fasting healthy volunteers were
examined with DWI (seven b-values) using fat suppression and respiratory
triggering (1.5 T). Five different regions in the liver were analysed regarding
the mono-exponentially fitted apparent diffusion coefficient (ADC), and the bi
exponential model: molecular diffusion (D (slow)), microperfusion (D (fast)) and
the respective fractions (f (slow/fast)). Data were compared using ANOVA and
Kruskal-Wallis tests. Simulations were performed by repeating our data analyses,
using just the DWI series acquired with b-values approximating those of previous
studies. RESULTS: Median mono-exponentially fitted ADCs varied significantly (P <
0.001) between 1.107 and 1.423 * 10(-3) mm(2)/s for the five regions. Bi
exponential fitted D(slow) varied between 0.923 and 1.062 * 10(-3) mm(2)/s
without significant differences (P = 0.140). D (fast) varied significantly,
between 17.8 and 46.8 * 10(-3) mm(2)/s (P < 0.001). F-tests showed that the
diffusion data fitted the bi-exponential model significantly better than the mono
exponential model (F > 21.4, P < 0.010). These results were confirmed by the
simulations. CONCLUSION: ADCs of normal liver tissue are significantly dependent
on the measurement location because of substantial microperfusion contamination;
therefore the bi-exponential model should be used. KEY POINTS: Diffusion weighted
MR imaging helps clinicians to differentiate tumours by diffusion properties.
Fast moving water molecules experience microperfusion, slow molecules diffusion.
Hepatic diffusion should be measured by bi-exponential models to avoid
microperfusion contamination. Mono-exponential models are contaminated with
microperfusion, resulting in apparent regional diffusion differences. Bi
exponential models are necessary to measure diffusion and microperfusion in the
liver.
PMID- 22080251
TI - Are contrast media required for (68)Ga-DOTATOC PET/CT in patients with
neuroendocrine tumours of the abdomen?
AB - OBJECTIVES: To determine the value of intravenous contrast medium in (68)Ga-DOTA
Phe(1)-Tyr(3)-octreotide - (68)Ga-DOTATOC - PET/CT for the detection of abdominal
neuroendocrine tumours (NET). METHODS: In fifty-five patients with known or
suspected NETs of the abdomen PET/CT was performed on a 64-row multi-detector
hybrid system. For PET, 150 MBq of (68)Ga-DOTATOC were injected intravenously.
Full-dose unenhanced, and arterial- and venous-phase contrast-enhanced CT images
were obtained. Unenhanced and contrast-enhanced PET/CT images were evaluated
separately for the presence of NETs on a per-region basis, by two separate teams
with different experience levels. RESULTS: On unenhanced PET/CT, sensitivity and
specificity ranged from 89.3% (junior team) to 92% (senior team), and 99.1%
(junior team) to 99.2% (senior team), respectively. On contrast-enhanced PET/CT,
sensitivity and specificity ranged from 92.3% (junior team) to 98.5% (senior
team), and 99.4% (junior team) to 99.5% (senior team), respectively. These
increases in sensitivity and specificity, due to the use of contrast-enhanced
images, were statistically significant (P < 0.05). CONCLUSIONS: Intravenous
contrast medium only moderately, aleit significantly, improves the sensitivity of
(68)Ga-DOTATOC PET/CT for the detection of abdominal NETs, and hardly affects
specificity. Thus, while contrast enhancement is justified to achieve maximum
sensitivity, unenhanced images may be sufficient for routine PET/CT in NET
patients. KEY POINTS: Contrast media moderately improve the sensitivity of (68)Ga
DOTATOC PET/CT for neuroendocrine tumours. Contrast media hardly affect the
specificity of (68)Ga-DOTATOC PET/CT for neuroendocrine tumours. Unenhanced
PET/CT is sufficient for routine imaging of patients with neuroendocrine tumours.
PMID- 22080253
TI - Effects of pollen supply and quality on seed formation and maturation in Pinus
densiflora.
AB - To understand the detailed mechanisms underlying variations in seed productivity
per cone, it is important to examine simultaneously the effects of two
pollination mode components (pollen supply and quality) on two seed production
processes (seed formation and maturation). We conducted artificial pollination
experiments with four pollination treatments (selfing, polycross, no-pollination
and open-pollination treatments) in each of two vertical crown layers (upper and
lower) for 19 Pinus densiflora ramets. We measured formed seeds as a proportion
of ovules (P(Form)), and filled seeds as a proportion of formed seeds (P(Fill))
per cone in each treatment and layer, and inferred the relative influences of
pollination mode and resource availability on seed productivity. In the no
pollination treatment, no seeds were formed in any cones of all five ramets. The
Generalized Linear Model showed that there were no significant differences in
P(Form) both between selfing and polycross treatments and upper and lower layers.
The mean P(Fill) values in the selfing treatment were significantly lower than
those in the polycross treatment in both layers. The mean P(Fill)s of the two
layers did not differ significantly in the selfing treatment, but did in the open
pollination and polycross treatments. The results show that pollen supply affects
mainly seed formation, whereas pollen quality affects mainly seed maturation.
Resource availability also affects mainly seed maturation, if pollen quality is
higher than a certain threshold.
PMID- 22080252
TI - Involvement of the putative Ca2+-permeable mechanosensitive channels, NtMCA1 and
NtMCA2, in Ca2+ uptake, Ca2+-dependent cell proliferation and mechanical stress
induced gene expression in tobacco (Nicotiana tabacum) BY-2 cells.
AB - To gain insight into the cellular functions of the mid1-complementing activity
(MCA) family proteins, encoding putative Ca2+-permeable mechanosensitive
channels, we isolated two MCA homologs of tobacco (Nicotiana tabacum) BY-2 cells,
named NtMCA1 and NtMCA2. NtMCA1 and NtMCA2 partially complemented the lethality
and Ca2+ uptake defects of yeast mutants lacking mechanosensitive Ca2+ channel
components. Furthermore, in yeast cells overexpressing NtMCA1 and NtMCA2, the
hypo-osmotic shock-induced Ca2+ influx was enhanced. Overexpression of NtMCA1 or
NtMCA2 in BY-2 cells enhanced Ca2+ uptake, and significantly alleviated growth
inhibition under Ca2+ limitation. NtMCA1-overexpressing BY-2 cells showed higher
sensitivity to hypo-osmotic shock than control cells, and induced the expression
of the touch-inducible gene, NtERF4. We found that both NtMCA1-GFP and NtMCA2-GFP
were localized at the plasma membrane and its interface with the cell wall,
Hechtian strands, and at the cell plate and perinuclear vesicles of dividing
cells. NtMCA2 transcript levels fluctuated during the cell cycle and were highest
at the G1 phase. These results suggest that NtMCA1 and NtMCA2 play roles in Ca2+
dependent cell proliferation and mechanical stress-induced gene expression in BY
2 cells, by regulating the Ca2+ influx through the plasma membrane.
PMID- 22080254
TI - The joint association of physical activity and glycaemic control in predicting
cardiovascular death and all-cause mortality in the US population.
AB - AIMS/HYPOTHESIS: The aim of this study was to examine the joint association of
physical activity and glycaemic control as measured by HbA(1c) on all-cause and
cardiovascular disease (CVD) mortality risk. METHODS: The sample included 10,352
adults from the Third National Health and Nutrition Examination Survey (NHANES
III) Linked Mortality Public-use File (follow-up 13.4 +/- 3.9 years; 2,463
deaths). Physical activity was assessed by questionnaire and classified into
inactive and active categories based on self-reported frequency of leisure-time
activity. HbA(1c) was categorised to reflect the American Diabetes Association
diagnostic and treatment guidelines. RESULTS: Being physically active was
associated with a decreased risk of all-cause (HR 0.74 [95% CI 0.67, 0.81]) and
CVD (HR 0.71 [95% CI 0.62, 0.82]) mortality, whereas higher levels of HbA(1c)
were associated with an increased mortality risk. HbA(1c) >= 7% (53 mmol/mol) was
associated with the highest risk for all-cause (HR 1.54 [95% CI 1.30, 1.82]) and
CVD (HR 1.93 [95% CI 1.52, 2.45]) mortality. Across all categories of HbA(1c),
active individuals were not at increased risk for all-cause mortality compared
with inactive individuals with normal glycaemic control. Similar findings were
observed for CVD mortality, except that active individuals with HbA(1c) >= 7% (53
mmol/mol) were still at increased risk for CVD mortality. However, their risk for
CVD death was substantially lower than the risk for their inactive counterparts
(HR 1.38 [95% CI 1.03, 1.84] vs HR 1.98 [95% CI 1.34, 2.92]).
CONCLUSIONS/INTERPRETATION: Physical activity is associated with lower all-cause
and CVD mortality risk for individuals across all levels of glycaemic control.
Therefore, engaging in a physically active lifestyle and achieving normal levels
of glycaemic control may both be important for the prevention of early mortality.
PMID- 22080255
TI - Self-assembling peptide scaffolds for regenerative medicine.
AB - Biomaterials made from self-assembling, short peptides and peptide derivatives
have great potential to generate powerful new therapies in regenerative medicine.
The high signaling capacity and therapeutic efficacy of peptidic scaffolds has
been established in several animal models, and the development of more complex,
hierarchical structures based on peptide materials is underway. This highlight
discusses several classes of self-assembling peptide-based materials, including
peptide amphiphiles, Fmoc-peptides, self-complementary ionic peptides, hairpin
peptides, and others. The self-assembly designs, bioactive signalling strategies,
and cell signalling capabilities of these bioactive materials are reported. The
future challenges of the field are also discussed, including short-term goals
such as integration with biopolymers and traditional implants, and long term
goals, such as immune system programming, subcellular targeting, and the
development of highly integrated scaffold systems.
PMID- 22080256
TI - Fungal community composition in neotropical rain forests: the influence of tree
diversity and precipitation.
AB - Plant diversity is considered one factor structuring soil fungal communities
because the diversity of compounds in leaf litter might determine the extent of
resource heterogeneity for decomposer communities. Lowland tropical rain forests
have the highest plant diversity per area of any biome. Since fungi are
responsible for much of the decomposition occurring in forest soils,
understanding the factors that structure fungi in tropical forests may provide
valuable insight for predicting changes in global carbon and nitrogen fluxes. To
test the role of plant diversity in shaping fungal community structure and
function, soil (0-20 cm) and leaf litter (O horizons) were collected from six
established 1-ha forest census plots across a natural plant diversity gradient on
the Isthmus of Panama. We used 454 pyrosequencing and phospholipid fatty acid
analysis to evaluate correlations between microbial community composition,
precipitation, soil nutrients, and plant richness. In soil, the number of fungal
taxa increased significantly with increasing mean annual precipitation, but not
with plant richness. There were no correlations between fungal communities in
leaf litter and plant diversity or precipitation, and fungal communities were
found to be compositionally distinct between soil and leaf litter. To directly
test for effects of plant species richness on fungal diversity and function, we
experimentally re-created litter diversity gradients in litter bags with 1, 25,
and 50 species of litter. After 6 months, we found a significant effect of litter
diversity on decomposition rate between one and 25 species of leaf litter.
However, fungal richness did not track plant species richness. Although studies
in a broader range of sites is required, these results suggest that precipitation
may be a more important factor than plant diversity or soil nutrient status in
structuring tropical forest soil fungal communities.
PMID- 22080257
TI - Flowers as islands: spatial distribution of nectar-inhabiting microfungi among
plants of Mimulus aurantiacus, a hummingbird-pollinated shrub.
AB - Microfungi that inhabit floral nectar offer unique opportunities for the study of
microbial distribution and the role that dispersal limitation may play in
generating distribution patterns. Flowers are well-replicated habitat islands,
among which the microbes disperse via pollinators. This metapopulation system
allows for investigation of microbial distribution at multiple spatial scales. We
examined the distribution of the yeast, Metschnikowia reukaufii, and other fungal
species found in the floral nectar of the sticky monkey flower, Mimulus
aurantiacus, a hummingbird-pollinated shrub, at a California site. We found that
the frequency of nectar-inhabiting microfungi on a given host plant was not
significantly correlated with light availability, nectar volume, or the percent
cover of M. aurantiacus around the plant, but was significantly correlated with
the location of the host plant and loosely correlated with the density of flowers
on the plant. These results suggest that dispersal limitation caused by spatially
nonrandom foraging by pollinators may be a primary factor driving the observed
distribution pattern.
PMID- 22080264
TI - Sexual assault and abuse of children.
AB - Testing for sexually transmitted infections (STIs) in children presents a number
of problems for the practitioner that are not usually faced when testing adults
for the same infections. The identification of an STI in a child can have, in
addition to medical implications, serious legal implications. The presence of an
STI is often used to support the presence or allegations, or, in some cases, may
prompt an investigation of possible abuse. The purpose of this paper is to review
the recent data on the epidemiology of child sexual abuse including the
epidemiology of major STIs (Neisseria gonorrhoeae, Chlamydia trachomatis,
syphilis, herpes simplex virus, Trichomonas vaginalis, and human papillomavirus)
and summarize the current recommendations for diagnostic testing in this
population.
PMID- 22080265
TI - Management of adult syphilis.
AB - There are several important unanswered key questions in the management of adult
syphilis. A systematic literature review was conducted and tables of evidence
were constructed to answer these important questions. A single dose of 2.4
million units of benzathine penicillin G remains the drug of choice for managing
early syphilis. Enhanced antibiotic therapy has not been shown to improve
treatment outcomes, regardless of human immunodeficiency virus (HIV) status.
Although additional data on the efficacy of azithromycin in treating early
syphilis have emerged, reported increases in the prevalence of a mutation
associated with azithromycin resistance precludes a recommendation for its
routine use. Cerebrospinal fluid (CSF) examination should be performed in all
persons with serologic evidence of syphilis infection and neurologic symptoms. In
those persons with early syphilis who do not achieve a >= 4-fold serologic
decline in their rapid plasma reagin (RPR) titers 6-12 months after adequate
therapy and those with late latent infection who do not achieve a similar decline
within 12-24 months, CSF examination should be considered. Among HIV-infected
persons, CSF examination among all those with asymptomatic late latent syphilis
is not recommended owing to lack of evidence that demonstrates clinical benefit.
HIV-infected persons with syphilis of any stages whose RPR titers are >= 1:32
and/or whose CD4 cell counts are <350 cells/mm(3) may be at increased risk for
asymptomatic neurosyphilis. If CSF pleocytosis is evident at initial CSF
examination, these examinations should be repeated every 6 months until the cell
count is normal. Several important questions regarding the management of syphilis
remain unanswered and should be a priority for future research.
PMID- 22080267
TI - Updates on human papillomavirus and genital warts and counseling messages from
the 2010 Sexually Transmitted Diseases Treatment Guidelines.
AB - BACKGROUND: In April 2009, experts on sexually transmitted diseases (STDs) were
convened to review updates on STD prevention and treatment in preparation for the
revision of the Centers for Disease Control and Prevention (CDC) STD Treatment
Guidelines. At this meeting, there was a discussion of important updates on human
papillomavirus (HPV), genital warts, and cervical cancer screening. METHODS: Key
questions were identified with assistance from an expert panel, and systematic
reviews of the literature were conducted searching the English-language
literature of the PubMed computerized database (US National Library of Medicine).
The available evidence was reviewed, and new information was incorporated in the
2010 CDC STD Treatment Guidelines. RESULTS: Two HPV vaccines are now available,
the quadrivalent HPV vaccine and the bivalent HPV vaccine; either vaccine is
recommended routinely for girls aged 11 or 12 years. The quadrivalent HPV vaccine
may be given to boys and men aged 9-26 years. A new patient-applied treatment
option for genital warts, sinecatechins 15% ointment, is available and
recommended for treatment of external genital warts. This product is a mixture of
active ingredients (catechins) from green tea. Finally, updated counseling
guidelines and messages about HPV, genital warts, and cervical cancer are
included. CONCLUSIONS: This manuscript highlights updates to the 2010 CDC STD
Treatment Guidelines for HPV and genital warts. Important additions to the 2010
STD Treatment Guidelines include information on prophylactic HPV vaccine
recommendations, new patient-applied treatment options for genital warts, and
counseling messages for patients on HPV, genital warts, cervical cancer
screening, and HPV tests.
PMID- 22080266
TI - Mycoplasma genitalium: should we treat and how?
AB - Mycoplasma genitalium is associated with acute and chronic urethritis in men.
Existing data on infection in women are limited and inconsistent but suggest that
M. genitalium is associated with urethritis, cervicitis, pelvic inflammatory
disease, and possibly female infertility. Data are inconclusive regarding the
role of M. genitalium in adverse pregnancy outcomes and ectopic pregnancy.
Available data suggest that azithromycin is superior to doxycycline in treating
M. genitalium infection. However, azithromycin-resistant infections have been
reported in 3 continents, and the proportion of azithromycin-resistant M.
genitalium infection is unknown. Moxifloxacin is the only drug that currently
seems to uniformly eradicate M. genitalium. Detection of M. genitalium is
hampered by the absence of a commercially available diagnostic test. Persons with
persistent pelvic inflammatory disease or clinically significant persistent
urethritis or cervicitis should be tested for M. genitalium, if possible.
Infected persons who have not previously received azithromycin should receive
that drug. Persons in whom azithromycin therapy fails should be treated with
moxifloxicin.
PMID- 22080268
TI - Cervical cancer screening among women who attend sexually transmitted diseases
(STD) clinics: background paper for 2010 STD Treatment Guidelines.
AB - BACKGROUND: In April 2008, experts reviewed updates on sexually transmitted
disease (STD) prevention and treatment in preparation for the revision of the
Centers for Disease Control and Prevention (CDC) STD Treatment Guidelines. This
included a review of cervical cancer screening in the STD clinical setting.
METHODS: Key questions were identified with assistance from an expert panel.
Reviews of the literature were conducted using the PubMed computerized database
and shared with the panel. Updated information was incorporated in the 2010 CDC
STD Treatment Guidelines. RESULTS: We recommend that STD clinics offering
cervical screening services screen and treat women according to guidelines by the
American College of Obstetrics and Gynecology, the American Cancer Society, the
US Preventive Services Task Force, and the American Society for Colposcopists and
Cervical Pathologists. New to the 2010 guidelines are higher age for initiating
cervical screening (age >= 21 years) and less frequent intervals of screening (at
least every 3 years). New recommendations include new technologies, such as
liquid-based cytology and high-risk human papillomavirus (HPV) DNA tests. Liquid
based technologies are not recommended over conventional testing. HPV DNA tests
are recommended as adjunct tests and with new indications for use in cervical
screening and management. Stronger recommendations were issued for STD clinics
offering cervical screening services to have protocols in place for follow-up of
test results and referral (eg, colposcopy). CONCLUSIONS: Important additions to
the 2010 STD Treatment Guidelines include information on updated algorithms for
screening and management of women and recommendations for use of liquid-based
cytology and high-risk HPV testing.
PMID- 22080270
TI - Centers for Disease Control and Prevention Sexually Transmitted Disease Treatment
Guidelines.
PMID- 22080269
TI - Trichomonas vaginalis genital infections: progress and challenges.
AB - Trichomonas vaginalis (TV) infection is the most prevalent curable sexually
transmitted infection in the United States and worldwide. Most TV infections are
asymptomatic, and the accurate diagnosis of this infection has been limited by
lack of sufficiently sensitive and specific diagnostic tests, particularly for
men. To provide updates for the 2010 Centers for Disease Control and Prevention's
Sexually Transmitted Diseases Treatment Guidelines, a PubMed search was conducted
of all TV literature published from 9 January 2004 through 24 September 2008.
Approximately 175 pertinent abstracts and articles were reviewed and discussed
with national experts. This article describes advances in TV diagnostics which
have led to an improved understanding of the epidemiology of this pathogen, as
well as potential biologic and epidemiological interactions between TV and human
immunodeficiency virus (HIV). New data on treatment outcomes, metronidazole
resistant TV, management of nitroimidazole-allergic patients, frequency of
recurrent TV infection following treatment, and screening considerations for TV
in certain populations are also presented.
PMID- 22080272
TI - Sexually transmitted diseases in men who have sex with men.
AB - Men who have sex with men (MSM) have increased rates of human immunodeficiency
virus (HIV) infection and sexually transmitted diseases (STDs) compared with
demographically matched controls. The reasons for the disproportionate infection
burden are complex, including biological, behavioral, and sociocultural factors.
HIV and syphilis may often be coprevalent among MSM. The use of nucleic acid
amplification testing has enhanced the ability to detect frequently asymptomatic
gonococcal and chlamydial infections of the rectum and other sites.
Lymphogranuloma proctitis outbreaks among MSM were noted in the developed world
several years ago but have not been common recently. MSM are at increased risk
for viral hepatitis and anal human papillomavirus disease. Preventive
interventions include vaccination for the former and anal cytologic screening for
the latter. Because of the diverse ways in which MSM may be exposed to STDs, it
is essential for clinicians to obtain a thorough sexual history in a culturally
competent manner.
PMID- 22080273
TI - Sexually transmitted infections among women who have sex with women.
AB - Women who have sex with women (WSW) are a diverse group with variations in sexual
identity, sexual behaviors, sexual practices, and risk behaviors. WSW are at risk
of acquiring bacterial, viral, and protozoal sexually transmitted infections
(STIs) from current and prior partners, both male and female. Bacterial vaginosis
is common among women in general and even more so among women with female
partners. WSW should not be presumed to be at low or no risk for STIs based on
sexual orientation, and reporting of same-sex behavior by women should not deter
providers from considering and performing screening for STIs, including
chlamydia, in their clients according to current guidelines. Effective delivery
of sexual health services to WSW requires a comprehensive and open discussion of
sexual and behavioral risks, beyond sexual identity, between care providers and
their female clients.
PMID- 22080274
TI - Diagnosis and management of uncomplicated Chlamydia trachomatis infections in
adolescents and adults: summary of evidence reviewed for the 2010 Centers for
Disease Control and Prevention Sexually Transmitted Diseases Treatment
Guidelines.
AB - In preparation for the 2010 Centers for Disease Control and Prevention (CDC)
Sexually Transmitted Diseases (STD) Treatment Guidelines, the CDC convened an
advisory group in April 2009 to examine recent abstracts and published literature
addressing the diagnosis and management of STDs. This article summarizes the key
questions, evidence, and recommendations for the diagnosis and management of
uncomplicated Chlamydia trachomatis infection in adolescents and adults that were
considered in development of the 2010 CDC STD Treatment Guidelines. The evidence
reviewed primarily focused on specimen types used for nucleic acid amplification
testing for chlamydia diagnosis, considerations in screening men for chlamydia
and repeat testing after infected men receive treatment, and the natural history
of chlamydia.
PMID- 22080271
TI - Interventions to prevent sexually transmitted infections, including HIV
infection.
AB - The Centers for Disease Control and Prevention (CDC) Sexually Transmitted Disease
(STD) Treatment Guidelines were last updated in 2006. To update the "Clinical
Guide to Prevention Services" section of the 2010 CDC STD Treatment Guidelines,
we reviewed the recent science with reference to interventions designed to
prevent acquisition of STDs, including human immunodeficiency virus (HIV)
infection. Major interval developments include (1) licensure and uptake of
immunization against genital human papillomavirus, (2) validation of male
circumcision as a potent prevention tool against acquisition of HIV and some
other sexually transmitted infections (STIs), (3) failure of a promising HIV
vaccine candidate to afford protection against HIV acquisition, (4) encouragement
about the use of antiretroviral agents as preexposure prophylaxis to reduce risk
of HIV and herpes simplex virus acquisition, (5) enhanced emphasis on expedited
partner management and rescreening for persons infected with Chlamydia
trachomatis and Neisseria gonorrhoeae, (6) recognition that behavioral
interventions will be needed to address a new trend of sexually transmitted
hepatitis C among men who have sex with men, and (7) the availability of a
modified female condom. A range of preventive interventions is needed to reduce
the risks of acquiring STI, including HIV infection, among sexually active
people, and a flexible approach targeted to specific populations should integrate
combinations of biomedical, behavioral, and structural interventions. These would
ideally involve an array of prevention contexts, including (1) communications and
practices among sexual partners, (2) transactions between individual clients and
their healthcare providers, and (3) comprehensive population-level strategies for
prioritizing prevention research, ensuring accurate outcome assessment, and
formulating health policy.
PMID- 22080275
TI - Chlamydial and gonococcal infections in infants and children.
AB - The recommendations for the 2010 Centers for Disease Control and Prevention
Sexually Transmitted Diseases Treatment Guidelines in regard to diagnosis and
treatment of gonococcal and Chlamydia trachomatis infections in infants and
children are essentially the same as the 2006 guidelines. There are no new data
on the diagnosis or treatment of neonatal chlamydial or gonococcal infections.
New data on the efficacy of neonatal ocular prophylaxis are limited. Two recent
studies from Iran and Brazil suggest that povidone-iodine may not be effective
for prevention of chlamydial or gonococcal ophthalmia. Prenatal screening and
treatment of pregnant women, which has been demonstrated to be very effective for
the prevention of neonatal gonococcal ophthalmia, is the most effective strategy
for preventing neonatal chlamydial infection.
PMID- 22080276
TI - Factors that influence mammography use and breast cancer detection among Mexican
American and African-American women.
AB - OBJECTIVE: This study examined factors that influence mammography use and breast
cancer detection, including education, health insurance, and acculturation, among
Mexican-American (MA) and African-American (AA) women. METHODS: The study
included 670 breast cancer cases (388 MAs and 282 AAs), aged 40-86 years at
diagnosis. Data on mammography use, detection, and delay in seeking care were
collected via questionnaires and medical records. Using a language-based
bidimensional acculturation measure, MAs were classified as English-dominant (n =
67), bilingual (n = 173), and Spanish-dominant (n = 148). Mammography prior to
diagnosis was assessed by racial/ethnic acculturation subgroup using logistic
regression. RESULTS: In age-adjusted models, mammography use was non
significantly lower among English-dominant (OR = 0.84; 95% CI: 0.45-1.59) and
bilingual (OR = 0.86; 95% CI: 0.55-1.35) MAs and significantly lower among
Spanish-dominant MAs (OR = 0.53; 95% CI: 0.34-0.83) than among AA women. After
adjustment for education or insurance, there was no difference in mammography use
by race/ethnicity and acculturation subgroup. Despite high self-reported
mammography use (75%), a large proportion of cases reported self-detection (59%)
and delay in seeking care >90 days (17%). CONCLUSIONS: These findings favor
promoting culturally appropriate messaging about the benefits and limitations of
mammography, education about breast awareness, and prompt reporting of findings
to a health professional.
PMID- 22080277
TI - Dual effect of short interval between first and second birth on ductal breast
cancer risk in Finland.
AB - OBJECTIVE: A short interval between the first and second birth was associated
with an increased risk of advanced ductal breast cancer among women with 5+
childbirths in our previous study. We now evaluated the significance of this risk
factor and its relation to the age at first birth among mothers with 2-4
children. METHODS: The cohort of 190,949 Finnish women with 2-4 children
comprised 3,834 women with ductal breast cancer diagnosed before 2009.
Conditional logistic regression for case-control design nested within the cohort
was used to estimate proportional hazard ratios (HR) associated with the birth
interval. Controls were matched for age and number of children. Age at the first
birth and the interval from the last birth to cancer were co-variables. RESULTS:
Among women with the first birth <30 years, the HR of advanced ductal breast
cancer at 50+ years for a short (<1.5 years) versus long (>3 years) interval
between the first and second birth was 0.48 (95% Confidence Interval 0.33-0.70).
Among women with the first birth at 30+ years, the HR of this cancer type
diagnosed before the age of 50 years for a short versus long interval between the
first and second birth was 5.83 (95% CI 2.30-14.8). CONCLUSION: The interval
between first and second birth strongly influences the risk of ductal breast
cancer. Because second pregnancy soon after the first one decreased the risk of
ductal breast cancer in young primiparas but increased the risk in older
primiparas, it is likely that in such circumstances second pregnancy continues
the actions initiated by the first pregnancy/breast-feeding.
PMID- 22080278
TI - Associations of birth weight and physical activity with sex steroids in preschool
Japanese children.
AB - PURPOSE: We aimed to assess the association of body size at birth or physical
activity with sex steroid levels, independent of body mass among young children.
METHODS: The cross-sectional study was conducted in 2006. Subjects were 230 boys
and 198 girls, aged 3-6 years. Birth weight was based on parents' reports.
Questions about physical activity focused on outdoor playtime. Urinary estrone,
estradiol, testosterone, and 5-androstene-3beta,17alpha diol (3beta,17alpha-AED)
levels were measured by liquid chromatography-electrospray ionization tandem mass
spectrometry. Urinary dehydroepiandrosterone level was measured with a
radioimmunoassay. RESULTS: After adjustments for age and BMI, girls with lower
birth weight had higher testosterone (trend p = 0.038) and 3beta,17alpha-AED
(trend p = 0.028). Girls with low birth weight and high birth weight had higher
estrone (p = 0.014) and estradiol (p = 0.074) than those who had middle birth
weight. Boys who were physically active had lower testosterone (p = 0.028) and
3beta,17alpha-AED (p = 0.003) than those who were not active. Girls who were
physically active had lower estrone (p = 0.015). CONCLUSIONS: Sex steroid levels
in childhood might be affected by body size at birth or by physical activity
during childhood. These effects might differ by sex.
PMID- 22080279
TI - Anion receptor chemistry: highlights from 2010.
AB - This critical review covers advances in anion complexation in the year 2010. The
review covers both organic and inorganic systems and also highlights the
applications to which anion receptors can be applied such as sensing, anion
transport, control of molecular motion and gelation (179 references).
PMID- 22080281
TI - Multifunctional ferritin cage nanostructures for fluorescence and MR imaging of
tumor cells.
AB - Bionanoparticles and nanostructures have attracted increasing interest as
versatile and promising tools in many applications including biosensing and
bioimaging. In this study, to image and detect tumor cells, ferritin cage-based
multifunctional hybrid nanostructures were constructed that: (i) displayed both
the green fluorescent protein and an Arg-Gly-Asp peptide on the exterior surface
of the ferritin cages; and (ii) incorporated ferrimagnetic iron oxide
nanoparticles into the ferritin interior cavity. The overall architecture of
ferritin cages did not change after being integrated with fusion proteins and
ferrimagnetic iron oxide nanoparticles. These multifunctional nanostructures were
successfully used as a fluorescent imaging probe and an MRI contrast agent for
specifically probing and imaging alpha(v)beta(3) integrin upregulated tumor
cells. The work provides a promising strategy for tumor cell detection by
simultaneous fluorescence and MR imaging.
PMID- 22080280
TI - Acidophilic bacteria and archaea: acid stable biocatalysts and their potential
applications.
AB - Acidophiles are ecologically and economically important group of microorganisms,
which thrive in acidic natural (solfataric fields, sulfuric pools) as well as
artificial man-made (areas associated with human activities such as mining of
coal and metal ores) environments. They possess networked cellular adaptations to
regulate pH inside the cell. Several extracellular enzymes from acidophiles are
known to be functional at much lower pH than the cytoplasmic pH. Enzymes like
amylases, proteases, ligases, cellulases, xylanases, alpha-glucosidases,
endoglucanases, and esterases stable at low pH are known from various acidophilic
microbes. The possibility of improving them by genetic engineering and directed
evolution will further boost their industrial applications. Besides biocatalysts,
other biomolecules such as plasmids, rusticynin, and maltose-binding protein have
also been reported from acidophiles. Some strategies for circumventing the
problems encountered in expressing genes encoding proteins from extreme
acidophiles have been suggested. The investigations on the analysis of crystal
structures of some acidophilic proteins have thrown light on their acid
stability. Attempts are being made to use thermoacidophilic microbes for biofuel
production from lignocellulosic biomass. The enzymes from acidophiles are mainly
used in polymer degradation.
PMID- 22080282
TI - Use of end-cutting needles in ultrasound-guided biopsy of neck lesions.
AB - The management of a neck mass is dictated by its nature, location and extent.
Pathological diagnosis by fine needle aspiration cytology (FNAC) or core biopsy
is often required before proceeding to definitive treatment. It is not uncommon
for the cytology result to come back as inadequate for various reasons. The
unique design of the end-cutting biopsy needle in our experience makes it a good
choice for use in obtaining both transcutaneous and intraoral biopsy under
ultrasound guidance of neck lesions and serves as a useful alternative or adjunct
to FNAC. Although there is, as yet, only a limited evidence base about end
cutting in comparison to side-cutting needles, they carry several potential
advantages. KEY POINTS: End-cutting needles are safe to use for lesions close to
vital structures. End-cutting needles yield sufficient tissue samples in a single
pass. End-cutting biopsy needles are a useful adjunct to FNAC.
PMID- 22080283
TI - Comparison of joint designs for laser welding of cast metal plates and wrought
wires.
AB - The purpose of the present study was to compare joint designs for the laser
welding of cast metal plates and wrought wire, and to evaluate the welded area
internally using X-ray micro-focus computerized tomography (micro-CT). Cast metal
plates (Ti, Co-Cr) and wrought wires (Ti, Co-Cr) were welded using similar
metals. The specimens were welded using four joint designs in which the wrought
wires and the parent metals were welded directly (two designs) or the wrought
wires were welded to the groove of the parent metal from one or both sides (n =
5). The porosity and gap in the welded area were evaluated by micro-CT, and the
maximum tensile load of the welded specimens was measured with a universal
testing machine. An element analysis was conducted using an electron probe X-ray
microanalyzer. The statistical analysis of the results was performed using
Bonferroni's multiple comparisons (alpha = 0.05). The results included that all
the specimens fractured at the wrought wire when subjected to tensile testing,
although there were specimens that exhibited gaps due to the joint design. The
wrought wires were affected by laser irradiation and observed to melt together
and onto the filler metal. Both Mo and Sn elements found in the wrought wire were
detected in the filler metal of the Ti specimens, and Ni was detected in the
filler metal of the Co-Cr specimens. The four joint designs simulating the
designs used clinically were confirmed to have adequate joint strength provided
by laser welding.
PMID- 22080285
TI - One-step synthesis of amino-functionalized fluorescent carbon nanoparticles by
hydrothermal carbonization of chitosan.
AB - Highly amino-functionalized fluorescent carbon nanoparticles (CNPs) were
fabricated by hydrothermal carbonization of chitosan at a mild temperature. They
were applied to bioimaging of human lung adenocarcinoma A549 cells, showing low
cytotoxicity and excellent biocompatibility.
PMID- 22080284
TI - Creating an oversight infrastructure for electronic health record-related patient
safety hazards.
AB - Electronic health records (EHRs) have potential quality and safety benefits.
However, reports of EHR-related safety hazards are now emerging. The Office of
the National Coordinator for Health Information Technology recently sponsored an
Institute of Medicine committee to evaluate how health information technology use
affects patient safety. In this article, we propose the creation of a national
EHR oversight program to provide dedicated surveillance of EHR-related safety
hazards and to promote learning from identified errors, close calls, and adverse
events. The program calls for data gathering, investigation/analysis, and
regulatory components. The first 2 functions will depend on institution-level EHR
safety committees that will investigate all known EHR-related adverse events and
near-misses and report them nationally using standardized methods. These
committees should also perform routine safety self-assessments to proactively
identify new risks. Nationally, we propose the long-term creation of a
centralized, nonpartisan board with an appropriate legal and regulatory
infrastructure to ensure the safety of EHRs. We discuss the rationale of the
proposed oversight program and its potential organizational components and
functions. These include mechanisms for robust data collection and analyses of
all safety concerns using multiple methods that extend beyond reporting,
multidisciplinary investigation of selected high-risk safety events, and enhanced
coordination with other national agencies to facilitate broad dissemination of
hazards information. Implementation of this proposed infrastructure can
facilitate identification of EHR-related adverse events and errors and
potentially create a safer and more effective EHR-based health care delivery
system.
PMID- 22080287
TI - Protein growth factors and cytokines are typically thought to drive the
proliferative/invasive/survival hallmarks of cancer. Preface.
PMID- 22080286
TI - Antimicrobial and cell-penetrating peptides induce lipid vesicle fusion by
folding and aggregation.
AB - According to their distinct biological functions, membrane-active peptides are
generally classified as antimicrobial (AMP), cell-penetrating (CPP), or fusion
peptides (FP). The former two classes are known to have some structural and
physicochemical similarities, but fusogenic peptides tend to have rather
different features and sequences. Nevertheless, we found that many CPPs and some
AMPs exhibit a pronounced fusogenic activity, as measured by a lipid mixing assay
with vesicles composed of typical eukaryotic lipids. Compared to the HIV fusion
peptide (FP23) as a representative standard, all designer-made peptides showed
much higher lipid-mixing activities (MSI-103, MAP, transportan, penetratin,
Pep1). Native sequences, on the other hand, were less fusogenic (magainin 2,
PGLa, gramicidin S), and pre-aggregated ones were inactive (alamethicin, SAP).
The peptide structures were characterized by circular dichroism before and after
interacting with the lipid vesicles. A striking correlation between the extent of
conformational change and the respective fusion activities was found for the
series of peptides investigated here. At the same time, the CD data show that
lipid mixing can be triggered by any type of conformation acquired upon binding,
whether alpha-helical, beta-stranded, or other. These observations suggest that
lipid vesicle fusion can simply be driven by the energy released upon membrane
binding, peptide folding, and possibly further aggregation. This comparative
study of AMPs, CPPs, and FPs emphasizes the multifunctional aspects of membrane
active peptides, and it suggests that the origin of a peptide (native sequence or
designer-made) may be more relevant to define its functional range than any given
name.
PMID- 22080288
TI - An ONIOM investigation on anion recognition of alkali-metal complexes with diurea
calix[4]arene receptor.
AB - The ONIOM(B3LYP/6-31G(d):AM1) optimized structures of complexes of diurea
calix[4]arene receptor (L) with alkali metals Li(+), Na(+) and K(+) and their
complexes with halide ions F(-), Cl(-), Br(-), oxygen-containing anions HCO(3)(
), HSO(4)(-) and CH(3)COO(-) ions were obtained. Binding energies and
thermodynamic properties of complex receptors LiL(+), NaL(+) and KL(+) with these
anions were determined. The binding stabilities according to binding energies of
LiL(+), NaL(+) and KL(+) associated with anions computed either at the ZPVE
corrected ONIOM(B3LYP/6-31G(d):AM1) or BSSE-corrected B3LYP/6-31 +
G(d,p)//ONIOM(B3LYP/6-31G(d):AM1) are in the same order: F(-) >> CH(3)COO(-) ~
HCO(3)(-) > Br(-) ~ HSO(4)(-) ~ Cl(-). All the receptors LiL(+), NaL(+) and KL(+)
were found to be selective toward fluoride ion.
PMID- 22080289
TI - Empowering international canine inherited disorder management.
AB - The mapping of the canine genome and the study of canine breed genomic
architecture has revolutionized the discovery of genetic tests for inherited
disorders in dogs. As the genetics underlying complex disorders are revealed,
canine breeders and their registering organisations will be required to
understand genetics in a much more sophisticated way. To facilitate the
management of genetic disorders in the era of new complex information, we
consider how best to apply the results of new research and analytical techniques
to benefit the wider canine breeding community with the aims of improving canine
health and maintaining benevolent genetic diversity. If this is not done, there
is a serious risk that expensive and valuable genetic research will remain unused
or be misused to the detriment of breeds. In this review, we make a case for the
formation of an international organisation that will exist as a central
repository for breed-based genetic analysis and information sharing. This
organisation ("Inter-Dog") could be modelled on a similar organisation that is
monitoring genetic improvement of dairy cattle. The formation of such an
organisation will require the collaboration of international kennel management
organisations, researchers, and agencies offering genetic testing services.
PMID- 22080290
TI - Fabrication of multi-level carbon nanotube arrays with adjustable patterns.
AB - Multi-level carbon nanotube (CNT) arrays with adjustable patterns were prepared
by a combination of the breath figure (BF) process and chemical vapor deposition.
Polystyrene-b-poly(acrylic acid)/ferrocene was dissolved in carbon disulfide and
cast onto a Si substrate covered with a transmission electron microscope grid in
saturated relative humidity. A two-level microporous hybrid film with a block
copolymer skeleton formed on the substrate after evaporation of the organic
solvent and water. One level of ordered surface features originates from the
contour of the hard templates; while the other level originates from the
condensation of water droplets (BF arrays). Ultraviolet irradiation effectively
cross-linked the polymer matrix and endowed the hybrid film with improved thermal
stability. In the subsequent pyrolysis, the incorporated ferrocene in the hybrid
film was oxidized and turned the polymer skeleton into the ferrous inorganic
micropatterns. Either the cross-linked hybrid film or the ferrous inorganic
micropatterns could act as a template to grow the multi-level CNT patterns, e.g.
isolated and honeycomb-structured CNT bundle arrays perpendicular to the
substrate.
PMID- 22080291
TI - User evaluation of an innovative digital reading room.
AB - Reading room design can have a major impact on radiologists' health,
productivity, and accuracy in reading. Several factors must be taken into account
in order to optimize the work environment for radiologists. Further, with the
advancement in imaging technology, clinicians now have the ability to view and
see digital exams without having to interact with radiologists. However, it is
important to design components that encourage and enhance interactions between
clinicians and radiologists to increase patient safety, and to combine physician
and radiologist expertise. The present study evaluates alternative workstations
in a real-world testbed space, using qualitative data (users' perspectives) to
measure satisfaction with the lighting, ergonomics, furniture, collaborative
spaces, and radiologist workstations. In addition, we consider the impact of the
added collaboration components of the future reading room design, by utilizing
user evaluation surveys to devise baseline satisfaction data regarding the
innovative reading room environment.
PMID- 22080292
TI - A flexible database architecture for mining DICOM objects: the DICOM data
warehouse.
AB - Digital Imaging and Communications in Medicine (DICOM) has brought a very high
level of standardization to medical images, allowing interoperability in many
cases. However, there are still challenges facing the informaticist attempting to
data mine DICOM objects. Images (and other objects) from different vintage
equipment will encompass different levels of the standard, and there are also
proprietary "shadow" tags to be aware of. The database architecture described
herein "flattens" such differences by compiling a knowledge base of specific
DICOM implementations and mapping variable data elements to a common lexicon for
subsequent queries. The project is open sourced, built on open infrastructure,
and is available at GitHub.
PMID- 22080293
TI - Reduced ventricular proarrhythmic potential of the novel combined ion-channel
blocker AZD1305 versus dofetilide in dogs with remodeled hearts.
AB - BACKGROUND: AZD1305 is an investigational antiarrhythmic agent for management of
atrial fibrillation. It blocks various cardiac ion currents at different
potencies and has atrial-predominant electrophysiological effects. We
investigated the electrophysiological and proarrhythmic effects of AZD1305 versus
dofetilide in dogs with chronic complete atrioventricular block and myocardial
hypertrophic remodeling. METHODS AND RESULTS: AZD1305 was administered to
anesthetized mongrel dogs before and >2 weeks after the induction of
atrioventricular block and ventricular and atrial electrophysiological parameters
were assessed. In all dogs, the selective I(Kr) blocker dofetilide was used to
examine susceptibility to acquired torsades de pointes in chronic
atrioventricular block and for comparison. At normal sinus rhythm, AZD1305
increased QT and RR intervals from 290+/-7 to 397+/-15 ms (+37%, P<0.0001) and
from 603+/-22 to 778+/-32 ms (+29%, P=0.002), respectively. In the same animals
at chronic atrioventricular block, AZD1305 increased the QT interval from 535+/
28 to 747+/-36 ms (+40%, P<0.0001), similar to the QT prolongation by dofetilide
(511+/-22 to 703+/-45 ms [+38%, P<0.0001]). AZD1305 slightly slowed the
idioventricular rhythm. Whereas all (n=14) chronic atrioventricular block animals
exhibited torsades de pointes on dofetilide, the arrhythmia was induced in only 4
of 11 dogs after AZD1305. Beat-to-beat variability of left-ventricular monophasic
action-potential duration increased after dofetilide (2.3+/-0.2 to 6.3+/-0.7 ms;
P<0.0001) but not after AZD1305 (2.8+/-0.3 to 3.7+/-0.3 ms; P=0.20) despite
similar left-ventricular monophasic-action-potential duration prolongations.
CONCLUSIONS: Despite causing similar degrees of repolarization delay as the
selective I(Kr) blocker dofetilide, the combined ion-channel blocker AZD1305
induces less repolarization instability and has a lower ventricular proarrhythmic
potential in the remodeled dog heart.
PMID- 22080294
TI - Responses of growth, photosynthesis and VOC emissions of Pinus tabulaeformis
Carr. Exposure to elevated CO2 and/or elevated O3 in an urban area.
AB - Responses of growth, photosynthesis and emission of volatile organic compounds of
Pinus tabulaeformis exposed to elevated CO(2) (700 ppm) and O(3) (80 ppb) were
studied in open top chambers. Elevated CO(2) increased growth, but it did not
significantly (p > 0.05) affect net photosynthetic rate, stomatal conductance,
chlorophyll content, the maximum quantum yield of photosystem II, or the
effective quantum yield of photosystem II electron transport after 90 d of gas
exposure. Elevated O(3) decreased growth (by 42.2% in needle weight and 25.8% in
plant height), net photosynthetic rate and stomatal conductance after 90 d of
exposure, but its negative effects were alleviated by elevated CO(2). Elevated
O(3) significantly (p < 0.05) increased the emission rate of volatile organic
compounds, which may be a helpful response to protect photosynthetic apparatus
against O(3) damage.
PMID- 22080295
TI - Light and scanning electron microscopic studies on chromium-induced anemia in a
murine model.
AB - Blood hemoglobin level, hematocrit value and erythrocyte count were reduced by
17.5, 17.4 and 15.9%, respectively, as compared to the controls, in Swiss mice
treated intraperitoneally with hexavalent chromium (4 mg of potassium dichromate
per Kg for 5 day per week) for 2 weeks. Echinocytic transformation of 33.8%
erythrocytes, as revealed by both light and scanning electron microscopy,
indicated the anemia to be hemolytic in nature. Leucopenia was apparent after 2
weeks (mean leucocyte count: 4.91 thousand c mm(-1)), but not 1 week of treatment
(mean count: 6.43 thousand c mm(-1)), However, cytochemical studies indicated
that chromium did not interfere with iron utilization for hemoglobin synthesis
and also, did not cause denaturation of already synthesized hemoglobin. The study
hints to the necessity of periodic monitoring of blood in workers of chromium
dependent tanneries of Kolkata, India.
PMID- 22080296
TI - Subungual exostosis of the fifth toe in children.
PMID- 22080297
TI - Necrotizing upper limb fasciitis in a newborn: an uncommon life-threatening
event.
AB - Necrotizing fasciitis is an uncommon but life-threatening infection in the
pediatric population. It is rarely reported in neonates. In these rare cases, the
reported origin of infection was the umbilical cord stump, infection due to
circumcision, and similar lesions. We hereby report a 3-week-old neonate
sustaining necrotizing fasciitis without detectable origin of infection. We
describe the clinical course of her illness, the diagnostic process, and eventual
surgical intervention. We discuss the importance of awareness to this potentially
lethal infectious disease and the need for urgent use of certain imaging
modalities and aggressive surgical approach.
PMID- 22080298
TI - Comprehensive review of the functional outcome evaluation of clubfoot treatment:
a preferred methodology.
AB - Treatment outcome has been a focus of interest in those who manage clubfeet.
Because of a lack of a common evaluation protocol, it has become necessary to
establish a universally recognized quantitative measurement to compare and better
understand the treatment outcome. The outcome is not merely morphological and
radiographic, but it should also include functional and quality-of-life
measurements. In this article, we will outline the most commonly used methods of
long-term evaluation for congenital clubfeet and recommend the data collection
parameters that are most appropriate for a comprehensive functional analysis.
This will begin with pretreatment classifications that are important in
prognosticating the results. The physical examinations and plain radiographs in
standing position are also two fundamental evaluations of clubfoot. Several
outcome evaluations have been published in the literature and may be useful
depending on the desired metrics. Gait analysis is an additional useful technical
tool for analyzing the motion of the foot and ankle and its relation to the whole
body function; pedobarography added to the dynamics of the evaluation. Functional
quality-of-life questionnaires are increasing in popularity for measuring the
total body functional status and the quality of life.
PMID- 22080299
TI - Tumoral calcinosis of the cervical spine and its association with Caffey disease
in a 4-month-old boy: case report and review of the literature.
AB - Tumoral calcinosis (TC) is a rare condition involving large joints and rarely the
spine. It is characterized by calcification and swelling of periarticular
tissues. Caffey disease (CD) is defined by recurrent episodes of painful soft
tissue swelling and cortical thickening of the underlying bones. It is a self
limited disease that occurs in the first year of life. We report the first
association of CD and TC of the cervical spine in a 4-month-old boy. We suggest
that TC occurred as a consequence of the repetitive reparative process that takes
place in CD, adding the latter to the list of diseases that may secondarily
produce TC.
PMID- 22080300
TI - Immunogenetic Management Software: a new tool for visualization and analysis of
complex immunogenetic datasets.
AB - Here we describe the Immunogenetic Management Software (IMS) system, a novel web
based application that permits multiplexed analysis of complex immunogenetic
traits that are necessary for the accurate planning and execution of experiments
involving large animal models, including nonhuman primates. IMS is capable of
housing complex pedigree relationships, microsatellite-based MHC typing data, as
well as MHC pyrosequencing expression analysis of class I alleles. It includes a
novel, automated MHC haplotype naming algorithm and has accomplished an
innovative visualization protocol that allows users to view multiple familial and
MHC haplotype relationships through a single, interactive graphical interface.
Detailed DNA and RNA-based data can also be queried and analyzed in a highly
accessible fashion, and flexible search capabilities allow experimental choices
to be made based on multiple, individualized and expandable immunogenetic
factors. This web application is implemented in Java, MySQL, Tomcat, and Apache,
with supported browsers including Internet Explorer and Firefox on Windows and
Safari on Mac OS. The software is freely available for distribution to
noncommercial users by contacting Leslie.kean@emory.edu. A demonstration site for
the software is available at http://typing.emory.edu/typing_demo , user name:
imsdemo7@gmail.com and password: imsdemo.
PMID- 22080301
TI - Controlled nanoporosity of organic aerogels by dispersing clay platelets.
AB - A new class of organic-inorganic hybrid aerogels having small pores and narrow
pore size distribution are synthesized from well-dispersed clay platelets in
water as base catalyst. Clay-catalyzed organic gels have strong advantage in
controlling nanopore structure as well as reducing drying shrinkage by
reinforcing the organic network with inorganic platelets.
PMID- 22080302
TI - Fabrication of SERS-fluorescence dual modal nanoprobes and application to
multiplex cancer cell imaging.
AB - We report a highly sensitive optical imaging technology using surface-enhanced
Raman scattering (SERS)-fluorescence dual modal nanoprobes (DMNPs). Fluorescence
microscopy is a well-known imaging technique that shows specific protein
distributions within cells. However, most currently available fluorescent organic
dyes have relatively weak emission intensities and are rapidly photo-bleached.
Thus more sensitive and stable probes are needed. In this work we develop DMNPs,
which can be used for both SERS and fluorescence detection. SERS detection is a
powerful technique that allows ultrasensitive chemical or biochemical analysis
through unlimited multiplexing and single molecule sensitivity. Combining
advantages of fluorescence and SERS allows these dual modal nanostructures to be
used as powerful probes for novel biomedical imaging. In this work, the
fabrication and characterization of the SERS-fluorescence DMNPs and application
to biological imaging were investigated using markers CD24 and CD44, which are co
expressed in MDA-MB-231 breast cancer cells, as a model system. SERS imaging with
DMNPs was found to be a powerful tool to determine the co-localization of CD24
and CD44 in the cell.
PMID- 22080303
TI - Evidence for acyl-iron ligation in the active site of [Fe]-hydrogenase provided
by mass spectrometry and infrared spectroscopy.
AB - [Fe]-hydrogenase catalyzes the reversible heterolytic cleavage of H(2) and stereo
specific hydride transfer to the substrate methenyltetrahydromethanopterin in
methanogenic archaea. This enzyme contains a unique iron guanylylpyridinol (FeGP)
cofactor as a prosthetic group. It has recently been proposed-on the basis of
crystal structural analyses of the [Fe]-hydrogenase holoenzyme-that the FeGP
cofactor contains an acyl-iron ligation, the first one reported in a biological
system. We report here that the cofactor can be reversibly extracted with acids;
its exact mass has been determined by electrospray ionization Fourier transform
ion cyclotron resonance mass-spectrometry. The measured mass of the intact
cofactor and its gas-phase fragments are consistent with the proposed structure.
The mass of the light decomposition products of the cofactor support the presence
of acyl-iron ligation. Attenuated total reflection infrared spectroscopy of the
FeGP cofactor revealed a band near wave number 1700 cm(-1), which was assigned to
the C=O (double bond) stretching mode of the acyl-iron ligand.
PMID- 22080304
TI - Genetics of canine olfaction and receptor diversity.
AB - Olfaction is a particularly important sense in the dog. Humans selected for this
capacity during the domestication process, and selection has continued to be
employed to enhance this ability. In this review we first describe the different
olfactory systems that exist and the different odorant receptors that are
expressed in those systems. We then focus on the dog olfactory receptors by
describing the olfactory receptor gene repertoire and its polymorphisms. Finally,
we discuss the different uses of dog olfaction and the questions that still need
to be studied.
PMID- 22080305
TI - Family support in prevention programs for children at risk for
emotional/behavioral problems.
AB - We conducted a review of empirically based prevention programs to identify
prevalence and types of family support services within these programs. A total of
238 articles published between 1990 and 2011 that included a family support
component were identified; 37 met criteria for inclusion. Following the Institute
of Medicine's typology, prevention programs were categorized as universal,
selective, or indicated; programs containing more than one prevention level were
characterized as multi-level. Family support types included those led by a mental
health professional, led by a peer, or team-led. Among the 37 prevention programs
reviewed, 27% (n=10) were universal, 41% (n=15) were selective, 16% (n=6) were
indicated, and 16% (n=6) were multi-level. The predominant model of family
support was professionally led (95%, n=35). Two (n=5%) provided team-led
services. None were purely peer-led. In terms of content of family support
services, all (100%, n=37) provided instruction/skill build. Information and
education was provided by 70% (n=26), followed by emotional support (n=11, 30%)
and instrumental or concrete assistance (n=11, 30%). Only 14% (n=5) provided
assistance with advocacy. The distribution of models and content of services in
prevention studies differ from family support within treatment studies. As family
support is likely to be an enduring component of the child and family mental
health service continuum, comparative effectiveness studies are needed to inform
future development.
PMID- 22080306
TI - A QSAR study of radical scavenging antioxidant activity of a series of flavonoids
using DFT based quantum chemical descriptors--the importance of group frontier
electron density.
AB - In a pursuit of electronic level understanding of the antioxidant activity of a
series of flavonoids, quantitative structure-activity relationship (QSAR) studies
have been carried out using density functional theory (DFT) based quantum
chemical descriptors. The best QSAR model have been selected for which the
computed square correlation coefficient r(2) = 0.937 and cross-validated squared
correlation coefficient q(2) =0.916. The QSAR model indicates that hardness
(eta), group electrophilic frontier electron density (F(E)(A)) and group
philicity (omega(B)(+)) of individual molecules are responsible for in vitro
biological activity. To the best our knowledge, the group electrophilic frontier
electron density (F(E)(A)) has been used for the first time to explain the
radical scavenging activity (RSA) of flavonoids. The excellent correlation
between the RSA and the above mentioned DFT based descriptors lead us to predict
new antioxidants having very good antioxidant activity.
PMID- 22080307
TI - Hydrothermal pretreatment of sugarcane bagasse using response surface methodology
improves digestibility and ethanol production by SSF.
AB - Sugarcane bagasse was characterized as a feedstock for the production of ethanol
using hydrothermal pretreatment. Reaction temperature and time were varied
between 160 and 200 degrees C and 5-20 min, respectively, using a response
surface experimental design. The liquid fraction was analyzed for soluble
carbohydrates and furan aldehydes. The solid fraction was analyzed for structural
carbohydrates and Klason lignin. Pretreatment conditions were evaluated based on
enzymatic extraction of glucose and xylose and conversion to ethanol using a
simultaneous saccharification and fermentation scheme. SSF experiments were
conducted with the washed pretreated biomass. The severity of the pretreatment
should be sufficient to drive enzymatic digestion and ethanol yields, however,
sugars losses and especially sugar conversion into furans needs to be minimized.
As expected, furfural production increased with pretreatment severity and
specifically xylose release. However, provided that the severity was kept below a
general severity factor of 4.0, production of furfural was below an inhibitory
concentration and carbohydrate contents were preserved in the pretreated whole
hydrolysate. There were significant interactions between time and temperature for
all the responses except cellulose digestion. The models were highly predictive
for cellulose digestibility (R (2) = 0.8861) and for ethanol production (R (2) =
0.9581), but less so for xylose extraction. Both cellulose digestion and ethanol
production increased with severity, however, high levels of furfural generated
under more severe pretreatment conditions favor lower severity pretreatments. The
optimal pretreatment condition that gave the highest conversion yield of ethanol,
while minimizing furfural production, was judged to be 190 degrees C and 17.2
min. The whole hydrolysate was also converted to ethanol using SSF. To reduce the
concentration of inhibitors, the liquid fraction was conditioned prior to
fermentation by removing inhibitory chemicals using the fungus Coniochaeta
ligniaria.
PMID- 22080308
TI - Anemia, iron deficiency, and stress fractures in female combatants during 16
months.
AB - Yanovich, R, Merkel, D, Israeli, E, Evans, RK, Erlich, T, and Moran, DS. Anemia,
iron deficiency, and stress fractures in female combatants during 16 months. J
Strength Cond Res 25(12): 3412-3421, 2011-The purpose of this study is to
evaluate the hematological profile of military recruits in different settings and
training programs and to investigate the link between anemia and iron deficiency
with stress fracture (SF) occurrence. We surveyed 3 groups of recruits for 16
months: 221 women (F) and 78 men (M) from 3 different platoons of a gender
integrated combat battalion and a control group (CF) of 121 female soldiers from
a noncombat unit. Data were fully collected upon induction and at 4 and 16 months
from 48F, 21M, and 31CF. Blood tests, anthropometry, physical aerobic fitness,
and SF occurrence were evaluated. On induction day, 18.0 and 19.0% of F and CF
were found to be anemic, and 61.4 and 50.9%, respectively, were found to have
iron deficiency, whereas 7.7% of M were found to be anemic and 10.2% iron
deficient. During the 4 months of army basic training (ABT), anemia and iron
deficiency prevalence did not change significantly in any group. After 16-months,
anemia prevalence decreased by 8% among F and CF and abated in M. Iron deficiency
was prevalent in 50.0, 59.4, and 18.8% of F, CF, and M, respectively. Stress
fractures were diagnosed in 14 F during ABT, and they had a significantly higher
prevalence (p < 0.05) of anemia and iron deficiency anemia compared to F without
SFs. The observed link between anemia and iron deficiency on recruitment day and
SFs suggests the importance of screening female combat recruits for these
deficiencies. To minimize the health impact of army service on female soldiers,
preventative measures related to anemia and iron deficiency should be
administered. Further research is needed for evaluating the influence of low iron
in kosher meat as a possible explanation for the high prevalence of iron
deficiency among young Israeli recruits.
PMID- 22080309
TI - Core stability exercises in individuals with and without chronic nonspecific low
back pain.
AB - Marshall, PWM, Desai, I, and Robbins, DW. Core stability exercises in individuals
with and without chronic nonspecific low back pain. J Strength Cond Res 25(12):
3404-3411, 2011-The aim of this study was to measure trunk muscle activity during
several commonly used exercises in individuals with and without low back pain
(LBP). Abdominal bracing was investigated as an exercise modification that may
increase the acute training stimulus. After an initial familiarization session,
10 patients with LBP and 10 matched controls performed 5 different exercises
(quadruped, side bridge, modified push-up, squat, shoulder flexion) with and
without abdominal bracing. Trunk muscle activity and lumbar range of motion
(LROM) were measured during all exercises. Muscle activity was measured
bilaterally during each exercise from rectus abdominis (RA), external obliques
(EO), and lumbar erector spinae (ES) with pairs of surface electrodes. Recorded
signals were normalized to a percentage of maximal voluntary contractions
performed for each muscle. The ES activity was lower for the LBP group during the
quadruped (p < 0.05) and higher for RA and EO during the side bridge (p < 0.001),
compared to for the healthy controls. Higher muscle activity was observed across
exercises in an inconsistent pattern when abdominal bracing was used during
exercise. The LROM was no different between groups for any exercise. The lack of
worsening of symptoms in the LBP group and similar LROM observed between groups
suggest that all exercises investigated in this study are of use in
rehabilitating LBP patients. The widespread use of abdominal bracing in clinical
practice, whether it be for patients with LBP or healthy individuals, may not be
justified unless symptoms of spinal instability are identified.
PMID- 22080310
TI - Reliability of performance velocity for jump squats under feedback and
nonfeedback conditions.
AB - Randell, AD, Cronin, JB, Keogh, JWL, Gill, ND, and Pedersen, MC. Reliability of
performance velocity for jump squats under feedback and nonfeedback conditions. J
Strength Cond Res 25(12): 3514-3518, 2011-Advancements in the monitoring of
kinematic and kinetic variables during resistance training have resulted in the
ability to continuously monitor performance and provide feedback during training.
If equipment and software can provide reliable instantaneous feedback related to
the variable of interest during training, it is thought that this may result in
goal-oriented movement tasks that increase the likelihood of transference to on
field performance or at the very least improve the mechanical variable of
interest. The purpose of this study was to determine the reliability of
performance velocity for jump squats under feedback and nonfeedback conditions
over 3 consecutive training sessions. Twenty subjects were randomly allocated to
a feedback or nonfeedback group, and each group performed a total of 3 "jump
squat" training sessions with the velocity of each repetition measured using a
linear position transducer. There was less change in mean velocities between
sessions 1-2 and sessions 2-3 (0.07 and 0.02 vs. 0.13 and -0.04 m.s), less random
variation (TE = 0.06 and 0.06 vs. 0.10 and 0.07 m.s) and greater consistency
(intraclass correlation coefficient = 0.83 and 0.87 vs. 0.53 and 0.74) between
sessions for the feedback condition as compared to the nonfeedback condition. It
was concluded that there is approximately a 50-50 probability that the provision
of feedback was beneficial to the performance in the squat jump over multiple
sessions. It is suggested that this has the potential for increasing transference
to on-field performance or at the very least improving the mechanical variable of
interest.
PMID- 22080311
TI - Skeletal mass in adolescent male athletes and nonathletes: relationships with
high-impact sports.
AB - Dias Quiterio, AL, Canero, EA, Baptista, FM, and Sardinha, LB. Skeletal mass in
adolescent male athletes and nonathletes: relationships with high-impact sports.
J Strength Cond Res 25(12): 3439-3447, 2011-This study examined the relationships
between the practice of different categories of sports (high-impact vs.
nonimpact) and bone status in adolescent male athletes and investigated
differences from an age-matched control group. A total of 54 adolescent male
athletes and 26 adolescent nonathletes were evaluated. Bone mineral density, bone
mineral content (BMC), and bone area at the whole-body, limbs, and lumbar spine
were determined by dual-energy x-ray absorptiometry, along with total and
regional fat-free mass and body fat. The high-impact group included 34 athletes:
9 gymnasts, 18 basketball players, and 7 handball players (age: 15.7 +/- 1.6
years; weight: 72.0 +/- 15.0 kg; height: 178.5 +/- 12.5 cm). The nonimpact group
consisted of 20 swimmers (age: 16.4 +/- 2.5 years; weight: 66.9 +/- 10.4 kg;
height: 173.7 +/- 10.9 cm). The nonathletic control group included 26 male
adolescents (age: 15.9 +/- 2.8 years; weight: 64.7 +/- 16.3 kg; height: 168.6 +/-
15.1 cm). No differences were observed between the nonimpact and the control
group in all bone variables, before and after adjustments for maturation level,
body weight, and height (p > 0.05). After adjustments for these variables, the
high-impact group displayed greater bone mass in most of the measured sites when
compared to the other 2 groups (p < 0.001). Subjects in the nonimpact group
showed lower values of BMC, particularly in the lower limbs, than both the high
impact and the nonathletic control groups (p < 0.05) after adjustments for
maturation, high, and fat-free mass. This study reinforces the positive
associations between high-impact physical activities and skeletal health in
adolescent boys.
PMID- 22080312
TI - Improvements in metabolic and neuromuscular fitness after 12-week bodypump(r)
training.
AB - Greco, CC, Oliveira, AS, Pereira, MP, Figueira, TR, Ruas, VD, Goncalves, M, and
Denadai, BS. Improvements in metabolic and neuromuscular fitness after 12-week
Bodypump(r) training. J Strength Cond Res 25(12): 3422-3431, 2011-The purpose of
this study was to evaluate the effects of a 12-week group fitness training
program (Bodypump(r)) on anthropometry, muscle strength, and aerobic fitness.
Nineteen women (21.4 +/- 2.0 years old) were randomly assigned to a training
group (n = 9) and to a control group (n = 10). We show that this training program
improved the 1 repetition maximum squats by 33.1% (p < 0.001) and the maximal
isometric voluntary contraction (MVC) by 13.6% (p < 0.05). Additionally,
decreases in knee extensor electromyographic activity during the MVC (30%, p <
0.01) and during the squats (15%, p < 0.05) and lunges of a simulated Bodypump(r)
session were observed after the training. Concomitantly, blood lactate and heart
rate after squats of a simulated Bodypump(r) session were decreased by 33 and 7%
(p < 0.05), respectively. Body mass, body fat, and the running velocity at the
onset of blood lactate accumulation did not change significantly in response to
this training program. We conclude that Bodypump(r) training improves muscular
strength and decreases metabolic stress during lower limb exercises. However, no
significant improvements in running aerobic fitness nor in body mass and body fat
were observed. Practitioners of Bodypump(r) training may benefit from the
increased muscular strength and the decreased muscular fatigability during
exercise tasks whose motor patterns are related to those involved in this
training program. However, these functional gains do not seem to be transferable
into running aerobic fitness.
PMID- 22080313
TI - Finishers and nonfinishers in the 'Swiss Cycling Marathon ' to qualify for the
'Race Across America '.
AB - Knechtle, B, Knechtle, P, Rust, CA, Rosemann, T, and Lepers, R. Finishers and
nonfinishers in the 'Swiss Cycling Marathon' to qualify for the 'Race across
America.' J Strength Cond Res 25(12): 3257-3263, 2011-We compared the
characteristics of prerace anthropometry, previous experience, and training and
support during the race in 39 finishers and 37 nonfinishers in the 'Swiss Cycling
Marathon,' over 720 km. In this race, the cyclists intended to qualify for the
'Race across America,' the longest nonstop cycling race in the World from the
West to the East of the USA. Finishers in the 'Swiss Cycling Marathon' had a
lower body mass, a lower body mass index, lower circumferences of upper arm and
thigh, a lower percent body fat, completed more weekly training units, covered
more kilometers in the longest training ride, rode at a faster speed during
training, rode more kilometers per week and for more hours, had more previous
finishes in the 'Swiss Cycling Marathon' and a lighter race bike compared to the
nonfinishers. In the bivariate analysis, the cycling distance per training unit
(r = 0.37), the duration per training unit (r = 0.44), the speed per training
unit (r = -0.59), using nutrition provided by the organizer (r = 0.50), and using
own nutrition (r = 0.49) during the race were significantly and positively
associated with race time. For practical applications, anthropometric
characteristics such as a low body mass or low body fat were not related to race
time, whereas training characteristics and nutrition during the race were
associated with race time. The key to a successful finish in an ultraendurance
cycling race such as the 'Swiss Cycling Marathon' seems a high speed in training
and an appropriate nutrition during the race.
PMID- 22080314
TI - Creatine supplementation decreases oxidative DNA damage and lipid peroxidation
induced by a single bout of resistance exercise.
AB - Rahimi, R. Creatine supplementation decreases oxidative DNA damage and lipid
peroxidation induced by a single bout of resistance exercise. J Strength Cond Res
25(12): 3448-3455, 2011-Creatine (Cr), or methyl guanidine-acetic acid, can be
either ingested from exogenous sources, such as fish or meat, or produced
endogenously by the body, primarily in the liver. It is used as an ergogenic aid
to improve muscle mass, strength, and endurance. Heretofore, Cr's positive
therapeutic benefits in various oxidative stress-associated diseases have been
reported in the literature and, recently, Cr has also been shown to exert direct
antioxidant effects. Therefore, the purpose of this study was to investigate the
effects of an acute bout of resistance exercise (RE) on oxidative stress response
and oxidative DNA damage in male athletes and whether supplementation with Cr
could negate any observed differences. Twenty-seven resistance-trained men were
randomly divided into a Cr supplementation group (the Cr group [21.6 +/- 3.6
years], taking 4 * 5 g Cr monohydrate per day) or a placebo (PL) supplementation
group (the PL group [21.2 +/- 3.2 years], taking 4 * 5 g maltodextrin per day). A
double-blind research design was employed for a 7-day supplementation period.
Before and after the seventh day of supplementation, the subjects performed an RE
protocol (7 sets of 4 exercises using 60-90 1 repetition maximum) in the flat
pyramid loading pattern. Blood and urine samples taken before, immediately, and
24-hour postexercise were analyzed for plasma malondialdehyde (MDA) and urinary 8
hydroxy-2-deoxyguanosine (8-OHdG) excretion. Before the supplementation period, a
significant increase in the urinary 8-OHdG excretion and plasma MDA levels was
observed after RE. The Cr supplementation induces a significant increase in
athletics performance, and it attenuated the changes observed in the urinary 8
OHdG excretion and plasma MDA. These results indicate that Cr supplementation
reduced oxidative DNA damage and lipid peroxidation induced by a single bout of
RE.
PMID- 22080315
TI - Exertional rhabdomyolysis in an adolescent athlete during preseason conditioning:
a perfect storm.
AB - Cleary, MA, Sadowski, KA, Lee, SY-C, Miller, GL, and Nichols, AW. Exertional
rhabdomyolysis in an adolescent athlete during preseason conditioning: a perfect
storm. J Strength Cond Res 25(12): 3506-3513, 2011-The purpose of this brief
review is to present a case of a healthy, male adolescent athlete (age = 16
years, body mass = 67.9 kg, height = 165.5 cm) who participated in a 3-day
preseason wrestling camp which resulted in hospitalization for exertional
rhabdomyolysis. As part of the preseason conditioning program directed by the
coaches, the athlete completed 60 minutes of short, intense intervals of wall
sits, squats, sit-ups, push-ups, lunges, and plyometric jumps. The following day,
the athlete continued his vigorous training consisting of running drills. That
night he noticed voiding dark brown urine the color of cola. The day after the
camp ended, the athlete reported to his Athletic Trainers with the chief
complaint of severe bilateral leg pain in his quadriceps. Two days after the
initial assessment, he was admitted to the hospital where he was diagnosed with
exertional rhabdomyolysis based on creatine kinase (CK) levels that peaked at
146,000 IU.L, elevated far beyond normal (normal range = 58-280 IU.L). The
athlete was hospitalized for 6 days where he received intravenous normal saline
for rehydration, and his CK levels were assessed daily. Athletic Trainers,
personal trainers, physical education teachers, and coaches should be aware that
exertional rhabdomyolysis is the most common form of rhabdomyolysis and affects
individuals who participate in novel and intense exercise to which they are
unaccustomed. Stressful ambient conditions may lead to dehydration and
exacerbation of the condition, particularly when the individual is not accustomed
to the exercise intensity.
PMID- 22080316
TI - Determining the optimal whole-body vibration dose-response relationship for
muscle performance.
AB - Da Silva-Grigoletto, ME, de Hoyo, M, Sanudo, B, Corrales, L, and Garcia-Manso,
JM. Determining the optimal whole-body vibration dose-response relationship for
muscle performance. J Strength Cond Res 25(12): 3326-3333, 2011-The aim of this
investigation was twofold: first, to determine the optimal duration of a single
whole-body vibration (WBV) exposure (phase 1) and second to find out the ideal
number of sets per intervention to maximize muscle performance (phase 2). All
participants were young (age: 19.4 +/- 1.6 years), healthy, physically active
men. In both studies, a 30-Hz frequency and a 4-mm peak-to-peak displacement were
used. In phase 1, subjects (n = 30) underwent 3 sets of different durations (30,
60, and 90 seconds), whereas in phase 2, subjects (n = 27) underwent 3
interventions where the duration remained fixed at 60 seconds, and the number of
sets performed (3, 6, or 9) was modified. The recovery time between sets was set
at 2 minutes. In all interventions, each set consisted of 1 isometric repetition
in a squat position with knees flexed at 100 degrees . Before and after each
session, jump height (countermovement jump [CMJ] and squat jump [SJ]) and power
output in half squat (90 degrees knee flexion) were assessed. In phase 1, an
improvement in jump ability and power output was observed after the 30- and 60
second intervention (p < 0.01), whereas the 90 second intervention, participants
just experienced a decrease in SJ and CMJ (p < 0.05). When comparing the
different protocols, the greatest response was achieved using 60 seconds (p <
0.05), which was therefore considered as the optimal duration to be used in phase
2. In the second phase, improvements in jump ability and power output were found
with 3 and 6 sets (p < 0.05), whereas with 9 sets, participants actually
experienced a decrease in these variables. Intergroup comparison showed a greater
effect for the program of 6 sets (p < 0.05). In conclusion, a WBV intervention
consisting of six 60-second sets produces improved muscle performance measured by
SJ, CMJ, and power output.
PMID- 22080317
TI - Anthropometric and physiological characteristics of young soccer players
according to their playing positions: relevance for competition success.
AB - Lago-Penas, C, Casais, L, Dellal, A, Rey, E, and Dominguez, E. Anthropometric and
physiological characteristics of young soccer players according to their playing
positions: relevance for competition success. J Strength Cond Res 25(12): 3358
3367, 2011-The aim of this study was to establish the anthropometric and
physiological profiles of young soccer players according to their playing
position and to determine their relevance for competition success. Three hundred
and twenty-one young male soccer players participated in the study. Players, age
15.63 (+/-1.82) years, range 12-19 years, were classified into the following
groups: Goalkeepers (n = 35), Central Defenders (n = 53), External Defenders (n =
54), Central Midfielders (n = 61), External Midfielders (n = 46), and Forwards (n
= 72). The anthropometric variables of participants (height, weight, body mass
index, 6 skinfolds, 4 diameters, and 3 perimeters) were measured. Also, their
somatotype and body composition (weights and percentages of fat, bone, and
muscle) were calculated. Participants performed the 20-m progressive run test to
estimate their relative VO(2)max, a sprint test (30 m flat), and 3 jump tests
(squat jump, countermovement jump, and Abalakov test). External Midfielders were
the leanest and shortest. In contrast, Central Defenders and Goalkeepers were
found to be the tallest and heaviest players. They also had the largest fat
skinfolds. In general, the results show that heavier and taller young soccer
players performed better in vertical jumps and 30-m sprint, whereas leaner
players performed better in the 20-m progressive run test. Players were
classified into 2 groups according to the final ranking of their teams at the end
of the season. Players from successful teams performed slightly better than
players from unsuccessful teams in the physiological test, but these differences
were not statistically significant. Moreover, players from successful teams were
found to be leaner and more muscular than their unsuccessful counterparts.
PMID- 22080318
TI - The effects of low fat chocolate milk on postexercise recovery in collegiate
athletes.
AB - Spaccarotella, KJ and Andzel, WD. The effects of low fat chocolate milk on
postexercise recovery in collegiate athletes. J Strength Cond Res 25(12): 3456
3460, 2011-Drinking chocolate milk between exercise sessions may improve
recovery. The purpose of this study was to examine the effects of low fat
chocolate milk vs. a carbohydrate-electrolyte beverage (CE) on recovery between
preseason practice sessions among 5 male and 8 female Division III soccer
players. The study used a randomized crossover design: between morning and
afternoon practices, athletes received either an amount of chocolate milk that
provided 1 g carbohydrate per kilogram body weight or an equal volume of CE (mean
volume of 615 +/- 101 ml). After their afternoon practice, they completed a
shuttle run to fatigue. Data were analyzed using the Wilcoxon paired rank-sign
test (for shuttle run time) and the paired samples t-test (for dietary intake).
No significant differences in run time were reported for the group. For the men
only, there was a trend of increased time to fatigue with chocolate milk compared
with the CE (exact p = 0.03). Low fat chocolate milk may therefore be as good as
a CE at promoting recovery between training sessions during preseason.
PMID- 22080319
TI - Kinetic quantification of plyometric exercise intensity.
AB - Ebben, WP, Fauth, ML, Garceau, LR, and Petushek, EJ. Kinetic quantification of
plyometric exercise intensity. J Strength Cond Res 25(12): 3288-3298, 2011
Quantification of plyometric exercise intensity is necessary to understand the
characteristics of these exercises and the proper progression of this mode of
exercise. The purpose of this study was to assess the kinetic characteristics of
a variety of plyometric exercises. This study also sought to assess gender
differences in these variables. Twenty-six men and 23 women with previous
experience in performing plyometric training served as subjects. The subjects
performed a variety of plyometric exercises including line hops, 15.24-cm cone
hops, squat jumps, tuck jumps, countermovement jumps (CMJs), loaded CMJs equal to
30% of 1 repetition maximum squat, depth jumps normalized to the subject's jump
height (JH), and single leg jumps. All plyometric exercises were assessed with a
force platform. Outcome variables associated with the takeoff, airborne, and
landing phase of each plyometric exercise were evaluated. These variables
included the peak vertical ground reaction force (GRF) during takeoff, the time
to takeoff, flight time, JH, peak power, landing rate of force development, and
peak vertical GRF during landing. A 2-way mixed analysis of variance with
repeated measures for plyometric exercise type demonstrated main effects for
exercise type and all outcome variables (p <= 0.05) and for the interaction
between gender and peak vertical GRF during takeoff (p <= 0.05). Bonferroni
adjusted pairwise comparisons identified a number of differences between the
plyometric exercises for the outcome variables assessed (p <= 0.05). These
findings can be used to guide the progression of plyometric training by
incorporating exercises of increasing intensity over the course of a program.
PMID- 22080320
TI - Biomechanical differences between incline and plane hopping.
AB - Kannas, TM, Kellis, E, and Amiridis, IG. Biomechanical differences between
incline and plane hopping. J Strength Cond Res 25(12): 3334-3341, 2011-The need
for the generation of higher joint power output during performance of dynamic
activities led us to investigate the force-length relationship of the plantar
flexors during consecutive stretch-shortening cycles of hopping. The hypothesis
of this study was that hopping (consecutive jumps with the knee as straight as
possible) on an inclined (15 degrees ) surface might lead to a better jumping
performance compared with hopping on a plane surface (0 degrees ). Twelve active
men performed 3 sets of 10 consecutive hops on both an incline and plane surface.
Ground reaction forces; ankle and knee joint kinematics; electromyographic (EMG)
activity from the medial gastrocnemius (MG), soleus (Sol) and tibialis anterior
(TA); and architectural data from the MG were recorded. The results showed that
participants jumped significantly higher (p < 0.05) when hopping on an inclined
surface (30.32 +/- 8.18 cm) compared with hopping on a plane surface (27.52 +/-
4.97 cm). No differences in temporal characteristics between the 2 types of jumps
were observed. Incline hopping induced significantly greater ankle dorsiflexion
and knee extension at takeoff compared with plane hopping (p < 0.05). The
fascicle length of the MG was greater at initial contact with the ground during
incline hopping (p < 0.05). Moreover, the EMG activities of Sol and TA during the
propulsion phase were significantly higher during incline compared with that
during plane hopping (p < 0.05). It does not seem unreasonable to suggest that,
if the aim of hopping plyometrics is to improve plantar flexor explosivity,
incline hopping might be a more effective exercise than hopping on a plane
surface.
PMID- 22080321
TI - Oxygen consumption and heart rate during repeated squatting exercises with or
without whole-body vibration in the elderly.
AB - Avelar, NCP, Simao, AP, Tossige-Gomes, R, Neves, CDC, Mezencio, B, Szmuchrowski,
L, Coimbra, CC, and Lacerda, ACR. Oxygen consumption and heart rate during
repeated squatting exercises with or without whole-body vibration in the elderly.
J Strength Cond Res 25(12): 3495-3500, 2011-The aim of this study was to
investigate whether vibration plus squatting would increase cardiovascular demand
to the optimal exercise limits needed for the prescription of cardiovascular
training. Oxygen consumption, measured breath by breath by a portable gas
analysis system, and heart rate (HR), measured using an HR monitor, were
evaluated in 18 elderly individuals, 15 women and 3 men with a mean age of 72 +/-
6 years. These variables were measured simultaneously and at the same time points
in each subject during rest and randomly during the performance of squatting
exercises (8 series of 40 seconds, with 40 seconds of rest between series of
performing squats in 3-second cycles with 10-60 degrees of flexion, a total of 5
repetitions for 40 seconds) with or without vibration at a frequency of 40 Hz and
amplitude of 4 mm, separated by at least 1 day. Associating whole-body vibration
with squatting exercise resulted in an additional increase of around 20% in
oxygen consumption and 7.5% in the HR recorded during exercise. However, during
squatting exercise with vibration, the increase achieved in oxygen consumption
was limited to around 2 metabolic equivalents, and mean HR represented around 56%
of the predicted maximum HR for age. The results of this study show that, despite
the fact that vibration increased oxygen consumption and HR during the
performance of squatting exercise, the minimum standards of intensity for the
prescription of physical exercise with the specific objective of improving
cardiorespiratory fitness were not achieved. Therefore, a protocol such as that
used in the study does not meet the threshold for cardiovascular training
prescription.
PMID- 22080322
TI - Fit women are not able to use the whole aerobic capacity during aerobic dance.
AB - Edvardsen, E, Ingjer, F, and Bo, K. Fit women are not able to use the whole
aerobic capacity during aerobic dance. J Strength Cond Res 25(12): 3479-3485,
2011-This study compared the aerobic capacity during maximal aerobic dance and
treadmill running in fit women. Thirteen well-trained female aerobic dance
instructors aged 30 +/- 8.17 years (mean +/- SD) exercised to exhaustion by
running on a treadmill for measurement of maximal oxygen uptake (VO(2)max) and
peak heart rate (HRpeak). Additionally, all subjects performed aerobic dancing
until exhaustion after a choreographed videotaped routine trying to reach the
same HRpeak as during maximal running. The p value for statistical significance
between running and aerobic dance was set to <=0.05. The results (mean +/- SD)
showed a lower VO(2)max in aerobic dance (52.2 +/- 4.02 ml.kg.min) compared with
treadmill running (55.9 +/- 5.03 ml.kg.min) (p = 0.0003). Further, the mean +/-
SD HRpeak was 182 +/- 9.15 b.min in aerobic dance and 192 +/- 9.62 b.min in
treadmill running, giving no difference in oxygen pulse between the 2 exercise
forms (p = 0.32). There was no difference in peak ventilation (aerobic dance: 108
+/- 10.81 L.min vs. running: 113 +/- 11.49 L.min). In conclusion, aerobic dance
does not seem to be able to use the whole aerobic capacity as in running. For
well endurance-trained women, this may result in a lower total workload at
maximal intensities. Aerobic dance may therefore not be as suitable as running
during maximal intensities in well-trained females.
PMID- 22080323
TI - Factors of trainability and predictability associated with military physical
fitness test success.
AB - Cuddy, JS, Slivka, DR, Hailes, WS, and Ruby, BC. Factors of trainability and
predictability associated with military physical fitness test success. J Strength
Cond Res 25(12): 3486-3494, 2011-The purpose of this study was to determine the
trainability of college-aged men using varied training programs and to assess
factors associated with successfully passing a Special Operations Forces (SOF)
physical fitness test (PFT). One hundred thirty-five male subjects were
stratified into 3 training groups (run focused, calisthenic focused, or combined
run and calisthenic) and were trained 3 times.per week for 12 weeks. Body
composition and accelerometer activity patterns were measured pretraining and
posttraining. The PFT performance (pull-ups, sit-ups, push-ups, and 1.5-mile run
time) was measured weekly throughout the study period. The subjects exhibited
reduced body fat (18.4 +/- 7.7 to 16.9 +/- 7.3), increased fat-free mass (66.1 +/
8.2 to 67.4 +/- 7.9), reduced fat mass (15.8 +/- 9.2 to 14.6 +/- 8.9) from
pretraining to posttraining, respectively (p < 0.05). All groups improved in each
component of PFT performance with training (p < 0.05). There was a significant 20
+/- 35% increase in 6-day average daily activity for the run-focused training
group from pretraining and posttraining. The key indicators of a candidate's
potential to successfully reach SOF PFT standards (in 12 weeks) were determined
to be as follows: enter the pipeline being able to run 2.4 km in <=10:41 minutes,
have a body fat percentage of <=12.9%, and participate in a minimum of 30 min.d
of vigorous physical activity. Training an individual's relative run or
calisthenic deficiency did not prove to be a better training approach compared
with a program that emphasizes training both running and calisthenic activities.
PMID- 22080324
TI - The effects of chronic betaine supplementation on exercise performance, skeletal
muscle oxygen saturation and associated biochemical parameters in resistance
trained men.
AB - Trepanowski, JF, Farney, TM, McCarthy, CG, Schilling, BK, Craig, SA, and Bloomer,
RJ. The effects of chronic betaine supplementation on exercise performance,
skeletal muscle oxygen saturation, and associated biochemical parameters in
resistance trained men. J Strength Cond Res 25(12): 3461-3471, 2011-We examined
the effects of chronic betaine supplementation on exercise performance and
associated parameters in resistance trained men. Men were randomly assigned in a
double-blind manner using a crossover design to consume betaine (2.5 g of betaine
mixed in 500 ml of Gatorade(r)) or a placebo (500 ml of Gatorade(r)) for 14 days,
with a 21-day washout period. Before and after each treatment period, tests of
lower- and upper-body muscular power and isometric force were conducted,
including a test of upper-body muscular endurance (10 sets of bench press
exercise to failure). Muscle tissue oxygen saturation (StO2) during the bench
press protocol was measured via near infrared spectroscopy. Blood samples were
collected before and after the exercise test protocol for analysis of lactate,
nitrate/nitrite (NOx), and malondialdehyde (MDA). When analyzed using a repeated
measures analysis of variance, no significant differences were noted between
conditions for exercise performance variables (p > 0.05). However, an increase in
total repetitions (p = 0.01) and total volume load (p = 0.02) in the 10-set bench
press protocol was noted with betaine supplementation (paired t-tests), with
values increasing approximately 6.5% from preintervention to postintervention.
Although not of statistical significance (p = 0.14), postexercise blood lactate
increased to a lesser extent with betaine supplementation (210%) compared with
placebo administration (270%). NOx was lower postintervention as compared with
preintervention (p = 0.06), and MDA was relatively unchanged. The decrease in
StO2 during the bench press protocol was greater with betaine vs. placebo (p =
0.01), possibly suggesting enhanced muscle oxygen consumption. These findings
indicate that betaine supplementation results in a moderate increase in total
repetitions and volume load in the bench press exercise, without favorably
impacting other performance measures.
PMID- 22080325
TI - Kinetic comparisons during variations of the power clean.
AB - Comfort, P, Allen, M, and Graham-Smith, P. Kinetic comparisons during variations
of the power clean. J Strength Cond Res 25(12): 3269-3273, 2011-The aim of this
investigation was to determine the differences in peak power, peak vertical
ground reaction forces, and rate of force development (RFD) during variations of
the power clean. Elite rugby league players (n = 16; age 22 +/- 1.58 years;
height 182.25 +/- 2.81 cm; body mass 98.65 +/- 7.52 kg) performed 1 set of 3
repetitions of the power clean, hang power clean, midthigh power clean, or
midthigh clean pull, using 60% of 1 repetition maximum power clean, in a
randomized order, while standing on a force platform. One-way analysis of
variance with Bonferroni post hoc analysis revealed a significantly (p < 0.001)
greater peak power output during the midthigh power clean (3,565.7 +/- 410.6 W)
and the midthigh clean pull (3,686.8 +/- 386.5 W) compared with both the power
clean (2,591.2 +/- 645.5 W) and the hang power clean (3,183.6 +/- 309.1 W), along
with a significantly (p < 0.001) greater peak Fz during the midthigh power clean
(2,813.8 +/- 200.5 N) and the midthigh clean pull (2,901.3 +/- 226.1 N) compared
with both the power clean (2,264.1 +/- 199.6 N) and the hang power clean (2,479.3
+/- 267.6 N). The midthigh power clean (15,049.8 +/- 4,415.7 N.s) and the
midthigh clean pull (15,623.6 +/- 3,114.4 N.s) also demonstrated significantly (p
< 0.001) greater instantaneous RFD when compared with both the power clean
(8,657.9 +/- 2,746.6 N.s) and the hang power clean (10,314.4 +/- 4,238.2 N.s).
From the findings of this study, when training to maximize power, Fz, and RFD,
the midthigh power clean and midthigh clean pull appear to be the most
advantageous variations of the power clean to perform.
PMID- 22080326
TI - Is it time to consider a new performance classification for high-level male
marathon runners?
AB - La Torre, A, Vernillo, G, Agnello, L, Berardelli, C, and Rampinini, E. Is it time
to consider a new performance classification for high-level male marathon
runners? J Strength Cond Res 25(12): 3242-3247, 2011-Studies have attempted to
describe human running performances by the analysis of world-record times.
However, to date, no study has analyzed the evolution of high-level marathon
performances over time. Thus, the purpose of this study was to analyze these
performances across the past 42 years with the aim of delineating a time-based
classification. To identify the nature of the phenomenon represented by the
sequence of observations, we examined the data collected (i.e., 8,400 times from
1969 to 2010) as a time series. The leading time (LT) and the mean 200 times
(T200) per year underwent a nonlinear but significant decrement (r = -0.92, p <
0.001 and r = -0.98, p < 0.001, respectively). In fact, from 1969 to 2010, the
mean time differences were 3 minutes 20 seconds +/- 1 minute 59 seconds and 7
minutes 1 second +/- 2 minutes 48 seconds, corresponding to an improvement of 5
and 10 seconds per year for LT and T200, respectively. Furthermore, trend
analysis suggested a disruption in marathon time improvements, indicating the
presence of 3 points in the time series in which the performance significantly
improved with respect to that of the previous years, corresponding to the years
1983-1984 (p < 0.001), 1997-1998 (p < 0.003), and 2003 (p < 0.001). In
conclusion, despite the trend in high-level marathon performances being better
explained by a nonlinear tendency, significant improvements in the ability of the
high-level marathon runners to complete the distance were observed. These
improvements are likely to be related to sociological, environmental,
physiological, and training-method factors. Researchers and coaches should take
into account these enhancements by using the time classification proposed in this
study to better reflect the marathon performance profile of their athletes.
PMID- 22080327
TI - Dissipation kinetics of trifloxystrobin and tebuconazole on chili and soil.
AB - Dissipation of trifloxystrobin and tebuconazole were studied following two
applications of a combination formulation of Nativo 75 WG (trifloxystrobin 25% +
tebuconazole 50%) @ 250 and 500 g ha(-1) at 10 days interval. Samples of chili
were collected at 0, 1, 3, 5, 7, 10 and 15 days after the last application. Red
chili and soil samples were collected after 20 days of last application. Half
life period for trifloxystrobin were found to be 1.81 and 1.58 days and for
tebuconazole these values were observed to be 1.37 and 1.41 days, respectively,
at single and double the application rates. Trifloxystrobin residues dissipated
below its limit of quantification (LOQ) of 0.01 mg kg(-1) after 5 and 7 days,
respectively, at single and double the application dosages whereas tebuconazole
residues took 7 and 10 days, respectively. Red chili & soil samples collected
after 20 days did not reveal the presence of trifloxystrobin and tebuconazole at
their determination limit of 0.01 mg kg(-1).
PMID- 22080328
TI - Distribution of aliphatic and aromatic hydrocarbons in red mullet (Mullus
barbatus) and annular sea bream (Diplodus annularis) from the Izmir Bay (eastern
Aegean).
AB - Polycyclic aromatic and aliphatic hydrocarbons were analyzed in red mullet and
annular sea bream from the Izmir Bay. These fishes were selected because of their
multitude, wide distribution and common use in the Turkish diet; they were
sampled and analyzed in 2000-2001 and 2004-2005 periods at five locations by
trawling. Red mullet showed higher PAHs and aliphatics than annular sea bream.
PAH levels for red mullet and annular sea bream ranged from 202 to 556 and 78.7
to 415; aliphatics ranged from 834 to 2,420 and 436 to 1,724 ng/g dw,
respectively. Molecular ratios showed pyrolitic inputs for PAHs, biogenic and
anthropogenic inputs for aliphatics. The carcinogenic PAH, benzo(a)pyrene, was
detected in most fish samples in levels ranged between 22.2 and 64.1 ng/g dw. The
average PAH contamination level was within the "moderate" category in fish from
Izmir Bay. Results indicate the needing of a systematic monitoring program in
order to provide accurately assessment and management of risks for the regional
population.
PMID- 22080329
TI - Catalytic conversion of methane to methanol over Cu-mordenite.
AB - Methane can be converted to methanol over copper-exchanged mordenite at 200
degrees C. Methanol could be recovered at the end of the reactor. This multi-step
reaction opens the possibility for methane to methanol conversion in a closed
catalytic cyclic reaction system.
PMID- 22080330
TI - Substrate utilization by recombinant Yarrowia lipolytica growing on sucrose.
AB - We report the study of the dynamics of substrate utilization by the genetic
modified strain Yarrowia lipolytica H222-S4(p67ICL1) T5. In contrast to its wild
type equivalent, this recombinant strain is able to excrete the sucrose cleaving
enzyme invertase. Both the sucrose degradation rate and the glucose and fructose
consumption rate have been investigated. In all experiments, satisfied amounts of
invertase were produced so that all sucrose was cleaved into its monomers. While
glucose and fructose as sole carbon sources were consumed with the same uptake
rate, a clear preference for glucose uptake was detected in cultivations with
sucrose as sole carbon source or mixed substrates when compared with fructose.
Nevertheless, no real diauxie could be observed because of partly simultaneous
consumption of both monosaccharides. Fructose being present in the cultivation
medium at the beginning of the fermentation led to the retardation of glucose
uptake. This effect was observed for various fructose starting concentrations in
the range of 5-85 g/l.
PMID- 22080331
TI - One-step engineering of silver nanoclusters-aptamer assemblies as luminescent
labels to target tumor cells.
AB - We reported one-step engineering of intrinsically fluorescent silver nanoclusters
aptamer assemblies that would allow the development of facile and specific
luminescent labels for target tumor cell recognition and analysis.
PMID- 22080332
TI - Right ventricular injury in ST-elevation myocardial infarction: risk
stratification by visualization of wall motion, edema, and delayed-enhancement
cardiac magnetic resonance.
AB - BACKGROUND: Patients with right ventricular injury (RVI) complicating ST
elevation myocardial infarction (STEMI) have impaired prognosis, but it is
unclear which patients are at risk of developing RVI. Cardiac magnetic resonance
can identify these patients and might add important information on risk
stratification, prognosis, and treatment. Aims were to determine the predictors
and the prognostic significance of RVI assessed by wall motion abnormalities,
edema, myocardial salvage index, and delayed enhancement in acute reperfused
STEMI. METHODS AND RESULTS: We studied 450 patients 1-4 days after primary
angioplasty in STEMI. T2-weighted and delayed-enhancement cardiac magnetic
resonance was used for visualizing edema and scar to calculate myocardial salvage
index. Cine-imaging was performed to assess wall motion abnormalities, which, in
combination with edema, were considered diagnostic for RVI. Patients with RVI
were compared with matched patients with isolated left ventricular infarction.
The primary end point was the occurrence of a major adverse cardiac event: a
composite of death, reinfarction, and congestive heart failure after a median
follow-up period of 20.9 months. RVI was present in 69 patients, and 41 of 69
showed myocardial necrosis. In a multivariable stepwise forward logistic
regression analysis, a high RV myocardial mass (odds ratio, 2.06; 95% confidence
interval, 1.18-3.58; P=0.012) and a low Thrombolysis In Myocardial Infarction
flow before angioplasty (odds ratio, 0.50; 95% confidence interval, 0.32-0.76;
P=0.011) were associated with RVI. Cox regression analysis revealed RVI as the
most statistically significant predictor of time to major adverse cardiac events
(hazard-ratio, 3.36; 95% confidence interval, 1.99-5.66; P<0.001). CONCLUSIONS:
RVI detected by cardiac magnetic resonance is a strong and independent predictor
of clinical outcome after acute reperfused STEMI. CLINICAL TRIAL REGISTRATION:
URL: http://www.clinicaltrials.gov. Unique identifier: NCT01359306.
PMID- 22080333
TI - Low valent and hydride complexes of NHC coordinated gallium and indium.
AB - The reactions of the N-heterocyclic carbene 1,3-dimesitylimidazol-2-ylidene
(IMes) with Ga[GaCl(4)], "GaI", InCl(2) and GaBr(3) have been examined. All
reactions using a low valent gallium or indium starting material led to species
of the form [{MX(2)(IMes)}(2)], where M = Ga, X = Cl (1), I (2); M = In, X = Cl
(3), with disproportionation and loss of gallium metal in the case of 2. Reaction
of IMes with gallium tribromide yields the air and moisture stable complex
[GaBr(3)(IMes)] (4), which has been used as a precursor to the mixed
bromohydrides [GaBrH(2)(IMes)] (5) and [GaBr(2)H(IMes)] (6) by (i) ligand
redistribution with [GaH(3)(IMes)], (ii) hydride-bromide exchange with
triethylsilane, and (iii) alkylation with (n)butyllithium followed by beta
hydride elimination (6 only). Attempts to prepare 1, or monovalent analogues such
as [{GaCl(IMes)}(n)], by thermally induced reductive elimination of dihydrogen
from the chlorohydride congeners of 5 and 6 resulted in isolation of the known
compounds [IMesCl][Cl] (IMesCl = 1,3-dimesityl-2-chloroimidazolium), and/or 1,3
dimesityl-2-dihydroimidazole, and gallium metal. Preliminary photochemical NMR
spectroscopy and catalytic studies of 5 and 6 aimed at reductive dehydrogenation
under milder conditions are reported. Compounds 1 and 4 have been characterised
by single crystal X-ray structure determination.
PMID- 22080335
TI - New mode of treatment for lattice corneal dystrophy type I: corneal epithelial
debridement and fibronectin eye drops.
AB - PURPOSE: The R124C mutation of the TGFBI gene gives rise to lattice corneal
dystrophy type I, which is characterized by irregularity, turbulence, and opacity
of the corneal epithelium. We investigated the efficacy of corneal epithelial
debridement followed by application of autologous fibronectin eye drops in the
treatment of patients with this mutation. METHODS: Four patients (6 eyes; age
range 25-57 years) treated between April 2006 and March 2008 were enrolled in the
study. All patients had impaired visual acuity and recurrent corneal erosion.
Corneal epithelial debridement was performed with a spatula at the pupillary
zone, after which eye drops containing fibronectin purified from autologous serum
were administered four times daily for 2 weeks to promote epithelial migration.
Topical levofloxacin and betamethasone were also applied four times daily for 1
month. RESULTS: All corneas achieved resurfacing within a few days of
debridement. The best corrected visual acuity of all eyes improved from an
average logMAR of 0.80 (range 1.40 logMAR-0.52 logMAR) before treatment to a
logMAR of 0.10 (range 0.30 logMAR-0.046 logMAR) by 2-4 months after debridement.
CONCLUSION: Despite the limitation of this study setting, corneal epithelial
debridement followed by administration of fibronectin eye drops seems to be
effective in improving visual acuity in cases of lattice corneal dystrophy type I
caused by the R124C mutation of the TGFBI gene. This treatment may be one option
for temporarily improving visual acuity in lattice corneal dystrophy type I and
thus may delay the requirement for phototherapeutic keratoplasty or keratoplasty.
PMID- 22080336
TI - Beyond ESWL: new concepts for definitive stone removal.
PMID- 22080334
TI - Integrating etiological models of social anxiety and depression in youth:
evidence for a cumulative interpersonal risk model.
AB - Models of social anxiety and depression in youth have been developed separately,
and they contain similar etiological influences. Given the high comorbidity of
social anxiety and depression, we examine whether the posited etiological
constructs are a correlate of, or a risk factor for, social anxiety and/or
depression at the symptom level and the diagnostic level. We find core risk
factors of temperament, genetics, and parent psychopathology (i.e., depression
and anxiety) are neither necessary nor sufficient for the development of social
anxiety and/or depression. Instead, aspects of children's relationships with
parents and/or peers either mediates (i.e., explains) or moderates (i.e.,
interacts with) these core risks being related to social anxiety and/or
depression. We then examine various parent- and peer-related constructs contained
in the separate models of social anxiety and depression (i.e., parent-child
attachment, parenting, social skill deficits, peer acceptance and rejection, peer
victimization, friendships, and loneliness). Throughout our review, we report
evidence for a Cumulative Interpersonal Risk model that incorporates both core
risk factors and specific interpersonal risk factors. Most studies fail to
consider comorbidity, thus little is known about the specificity of these various
constructs to depression and/or social anxiety. However, we identify shared,
differential, and cumulative risks, correlates, consequences, and protective
factors. We then put forth demonstrated pathways for the development of
depression, social anxiety, and their comorbidity. Implications for understanding
comorbidity are highlighted throughout, as are theoretical and research
directions for developing and refining models of social anxiety, depression, and
their comorbidity. Prevention and treatment implications are also noted.
PMID- 22080338
TI - Nurse staffing and inpatient mortality: is the question outcomes or nursing
value?
PMID- 22080337
TI - Identifying specific chemotherapeutic agents in Medicare data: a validation
study.
AB - BACKGROUND: Large health care databases are increasingly used to examine the
dissemination and benefits and harms of chemotherapy treatment in routine
practice, particularly among patients excluded from trials (eg, the elderly).
Misclassification of chemotherapy could bias estimates of frequency and
association, warranting an updated assessment. METHODS: We evaluated the validity
of Medicare claims to identify receipt of chemotherapy and specific agents
delivered to elderly stage II/III colorectal (CRC), in situ/early-stage breast,
non-small-cell lung, and ovarian cancer patients using the National Cancer
Institute's Patterns of Care studies (POC) as the gold standard. The POC
collected data on chemotherapy treatment by reabstracting hospital records,
contacting physicians, and reviewing medical records. Patients' POC data were
linked and compared with their Medicare claims for 2 to 12 months postdiagnosis.
kappa, sensitivity, specificity, positive and negative predictive values and 95%
confidence intervals were calculated for the receipt of any chemotherapy and
specific agents. RESULTS: Sensitivity and specificity of Medicare claims to
identify any chemotherapy were high across all cancer sites. We found substantial
variation in validity across agents, by site and administration modality.
Capecitabine, an oral CRC treatment, was identified in claims with high
specificity (98%) but low sensitivity (47%), whereas oxaliplatin, an
intravenously administered CRC agent had higher sensitivity (75%) and similar
specificity (97%). CONCLUSIONS: Receipt of chemotherapy and specific intravenous
agents can be identified using Medicare claims, showing improvement from prior
reports; yet, variation exists. Future studies should assess newly approved
agents and the impact of coverage decisions for these agents under the Medicare
Part D program.
PMID- 22080339
TI - Nanotube-based hierarchical titanate microspheres: an improved anode structure
for Li-ion batteries.
AB - We report a facile hydrothermal route for the large scale preparation of H
titanate with a novel urchin-like nano/micro structure. Due to the features of
its microsized spherical structure assembled by nanosized quasi-1D tubular
components, this novel nano/micro structure shows to be a good potential anode
material for Li-ion batteries.
PMID- 22080340
TI - Enhanced performance and mechanism study of microbial electrolysis cells using Fe
nanoparticle-decorated anodes.
AB - Anode properties are critical for the performance of microbial electrolysis cells
(MECs). In the present study, Fe nanoparticle-modified graphite disks were used
as anodes to investigate the effects of nanoparticles on the performance of
Shewanella oneidensis MR-1 in MECs. Results demonstrated that the average current
densities produced with Fe nanoparticle-decorated anodes up to 5.89-fold higher
than plain graphite anodes. Whole genome microarray analysis of the gene
expression showed that genes encoding biofilm formation were significantly up
regulated as a response to nanoparticle-decorated anodes. Increased expression of
genes related to nanowires, flavins, and c-type cytochromes indicates that
enhanced mechanisms of electron transfer to the anode may also have contributed
to the observed increases in current density. The majority of the remaining
differentially expressed genes associated with electron transport and anaerobic
metabolism demonstrate a systemic response to increased power loads.
PMID- 22080341
TI - Performance linked to residence time distribution by a novel wool-based
bioreactor for tertiary sewage treatment.
AB - Laboratory-scale experiments were carried out using up-flow 7 L Submerged Aerated
Filter reactors packed with wool fibre or commercial plastic pall rings, Kaldnes,
(70% by volume) support media for the tertiary treatment of sewage. The
performance of the wool bioreactor was more consistent than that with Kaldnes
medium, for both TOC removal (93%) and SS removal (90%). Both plastic and wool
packed bioreactors achieved complete nitrification at the load of about 0.4
kgCOD/m(3)/day. The sludge yield of the wool bioreactor was almost half that of
the bioreactor with Kaldnes suggesting that wool could retain residual organics
and particulates. The wool however was degraded and it was concluded that wool
would have to be considered as additional sacrificial adsorption capacity rather
than an alternative medium. The performance was linked to the residence time
distribution studies and these changes in the wool structure. Biomass growth
increased the retention of the tracer in the wool reactor by, it was suggested,
exposing a greater surface area. Results from the plastic media on the other hand
showed increased mixing possibly by increasing the mobility of the plastic.
Aeration increased the mixing in both reactors, and patterns were in all cases
predominantly well-mixed.
PMID- 22080342
TI - Simple and efficient expression of Agaricus meleagris pyranose dehydrogenase in
Pichia pastoris.
AB - Pyranose dehydrogenase (PDH) is a fungal flavin-dependent sugar oxidoreductase
that is highly interesting for applications in organic synthesis or
electrochemistry. The low expression levels of the filamentous fungus Agaricus
meleagris as well as the demand for engineered PDH make heterologous expression
necessary. Recently, Aspergillus species were described to efficiently secrete
recombinant PDH. Here, we evaluate recombinant protein production with expression
hosts more suitable for genetic engineering. Expression in Escherichia coli
resulted in no soluble or active PDH. Heterologous expression in the
methylotrophic yeast Pichia pastoris was investigated using two different signal
sequences as well as a codon-optimized sequence. A 96-well plate activity
screening for transformants of all constructs was established and the best
expressing clone was used for large-scale production in 50-L scale, which gave a
volumetric yield of 223 mg L(-1) PDH or 1,330 U L(-1) d(-1) in space-time yield.
Purification yielded 13.4 g of pure enzyme representing 95.8% of the initial
activity. The hyperglycosylated recombinant enzyme had a 20% lower specific
activity than the native enzyme; however, the kinetic properties were essentially
identical. This study demonstrates the successful expression of PDH in the
eukaryotic host organism P. pastoris paving the way for protein engineering.
Additionally, the feasibility of large-scale production of the enzyme with this
expression system together with a simplified purification scheme for easy high
yield purification is shown.
PMID- 22080344
TI - A hemolytic peptide from the mycophilic fungus Sepedonium chrysospermum (Bull.)
Fr.
AB - The hemolytic activity of an extract of the mycoparasite Sepedonium chrysospermum
(teleomorph Hypomyces chrysospermus) was detected and characterized. Extraction
of the fungal biomass by methanol yielded a fraction in which the hemolytic
activity against human red blood cells corresponded to a peptide with a molecular
mass of 7,653.72 Da and an isoelectric point of approximately 5.8. The peptide
was temperature resistant, and the hemolysis was only partially inhibited, even
after a 30-min pre-incubation at 100 degrees C. Its hemolytic activity was
unaffected by treatment with proteolytic enzymes such as trypsin. Among the
divalent cations assayed, Hg(2+) was the strongest inhibitor of hemolysis. The
reducing agent, dithiothreitol, and the membrane lipid, cholesterol, demonstrated
concentration-dependent inhibitory activities. Finally, hemolytic activity
triggered by the peptide was analyzed by scanning electron microscopy, and a pore
forming activity was detected.
PMID- 22080343
TI - A homologous production system for Trichoderma reesei secreted proteins in a
cellulase-free background.
AB - Recent demands for the production of biofuels from lignocellulose led to an
increased interest in engineered cellulases from Trichoderma reesei or other
fungal sources. While the methods to generate such mutant cellulases on DNA level
are straightforward, there is often a bottleneck in their production since a
correct posttranslational processing of these enzymes is needed to obtain highly
active enzymes. Their production and subsequent enzymatic analysis in the
homologous host T. reesei is, however, often disturbed by the concomitant
production of other endogenous cellulases. As a useful alternative, we tested the
production of cellulases in T. reesei in a genetic background where cellulase
formation has been impaired by deletion of the major cellulase transcriptional
activator gene xyr1. Three cellulase genes (cel7a, cel7b, and cel12a) were
expressed under the promoter regions of the two highly expressed genes tef1
(encoding translation elongation factor 1-alpha) or cdna1 (encoding the
hypothetical protein Trire2:110879). When cultivated on D: -glucose as carbon
source, the Deltaxyr1 strain secreted all three cellulases into the medium.
Related to the introduced gene copy number, the cdna1 promoter appeared to be
superior to the tef1 promoter. No signs of proteolysis were detected, and the
individual cellulases could be assayed over a background essentially free of
other cellulases. Hence this system can be used as a vehicle for rapid and high
throughput testing of cellulase muteins in a homologous background.
PMID- 22080345
TI - A family GH51 alpha-L-arabinofuranosidase from Pleurotus ostreatus:
identification, recombinant expression and characterization.
AB - An alpha-L-arabinofuranosidase produced by Pleurotus ostreatus (PoAbf) during
solid state fermentation on tomato pomace was identified and the corresponding
gene and cDNA were cloned and sequenced. Molecular analysis showed that the poabf
gene carries 26 exons interrupted by 25 introns and has an open reading frame
encoding a protein of 646 amino acid residues, including a signal peptide of 20
amino acid residues. The amino acid sequence similar to the other alpha-L
arabinofuranosidases indicated that the enzyme encoded by poabf can be classified
as a family 51 glycoside hydrolase. Heterologous recombinant expression of PoAbf
was carried out in the yeasts Pichia pastoris and Kluyveromyces lactis achieving
the highest production level of the secreted enzyme (180 mg L(-1)) in the former
host. rPoAbf produced in P. pastoris was purified and characterized. It is a
glycosylated monomer with a molecular weight of 81,500 Da in denaturing
conditions. Mass spectral analyses led to the localization of a single O
glycosylation site at the level of Ser160. The enzyme is highly specific for
alpha-L-arabinofuranosyl linkages and when assayed with p-nitrophenyl alpha-L
arabinofuranoside it follows Michaelis-Menten kinetics with a K (M) of 0.64 mM
and a k (cat) of 3,010 min(-1). The optimum pH is 5 and the optimal temperature
40 degrees C. It is worth noting that the enzyme shows a very high stability in a
broad range of pH. The more durable activity showed by rPoAbf in comparison to
the other alpha-L-arabinofuranosidases enhances its potential for
biotechnological applications and increases interest in elucidating the molecular
bases of its peculiar properties.
PMID- 22080346
TI - Updates on naringinase: structural and biotechnological aspects.
AB - Naringinases has attracted a great deal of attention in recent years due to its
hydrolytic activities which include the production of rhamnose, and prunin and
debittering of citrus fruit juices. While this enzyme is widely distributed in
fungi, its production from bacterial sources is less commonly known. Fungal
naringinase are very important as they are used industrially in large amounts and
have been extensively studied during the past decade. In this article, production
of bacterial naringinase and potential biotechnological applications are
discussed. Bacterial rhamnosidases are exotype enzymes that hydrolyse terminal
non-reducing alpha-L-rhamnosyl groups from alpha-L-rhamnose containing
polysaccharides and glycosides. Structurally, they are classified into family 78
of glycoside hydrolases and characterized by the presence of Asp567 and Glu841 in
their active site. Optimization of fermentation conditions and enzyme engineering
will allow the development of improved rhamnosidases for advancing suggested
industrial applications.
PMID- 22080347
TI - Isolation of a strong promoter fragment from endophytic Enterobacter cloacae and
verification of its promoter activity when its host strain colonizes banana
plants.
AB - To engineer endophytic Enterobacter cloacae as a biocontrol agent against banana
fusarium wilt, a promoter-probe plasmid pUCK was constructed to identify a strong
promoter to express disease resistance genes. Using a kanamycin resistance gene
for selection, 10 fragments with strong promoter activity were identified from
the genome of the E. cloacae KKWB-10 strain. The regions of these 10 fragments
that were the primary contributors to the promoter function were identified, and
their promoter activities were further evaluated using green fluorescent protein
(GFP) as a reporter gene. Fragment 132a" drove the highest level of GFP activity
when the bacteria bearing the fragments were cultured in Luria-Bertani and banana
stem extract media. The GFP-expressing strain harboring fragment 132a" (K-pUCK7
132a"-GT) was then inoculated into banana plantlets (about 1 * 10(7) CFU per
plant) to verify the activity of fragment 132a" in planta. Ten days after
inoculation, tissue sections of these banana plantlets were observed by laser
confocal scanning microscope. Green fluorescence was observed in the tissues of
banana plantlets inoculated with K-pUCK7-132a"-GT but not in uninoculated
controls. These results suggest that fragment 132a" possesses strong promoter
activity when its host strain colonizes the banana plants and can be used to
engineer endophytic E. cloacae KKWB-10 for biocontrol.
PMID- 22080348
TI - Physiological conditions conducive to high cell density and high cyanophycin
content in Ralstonia eutropha strain H16 possessing a KDPG aldolase gene
dependent addiction system.
AB - The recombinant strain of Ralstonia eutropha H16-PHB(-)4-?eda (pBBR1MCS-2::cphA
(6308)/eda (H16)) presenting a 2-keto-3-desoxy-phosphogluconate (KDPG) aldolase
(eda) gene-dependent catabolic addiction system for plasmid maintenance when
using gluconate or fructose as sole carbon source was used in this study. The
effects of the initial pH, the nitrogen-to-carbon ratio, the inorganic components
of medium, the oxygen supply, and the different carbon and nitrogen sources on
the cell dry matter (CDM) and the cyanophycin granule polypeptide (CGP) content
of the cells were studied in a mineral salts medium (MSM) without any additional
amino acids or CGP precursor substrates. The experiments were designed to
systematically find out the optimal conditions for growth of cells to high
densities and for high CGP contents of the cells. Maximum contents of water
insoluble CGP and water-soluble CGP, contributing to 47.5% and 5.8% (w/w) of CDM,
respectively, were obtained at the 30-L scale cultivation when cells were
cultivated in MSM medium containing sufficient supplements of fructose, NH(3),
K(2)SO(4), MgSO(4)[Symbol: see text]7H(2)O, Fe(SH)NH(4)-citrate, CaCl(2)[Symbol:
see text]2H(2)O, and trace elements (SL6). The molecular masses of water
insoluble and water-soluble CGP ranged from 25 to 31 kDa and from 15 to 21 kDa,
respectively. High cell densities of up to 82.8 g CDM/L containing up to 37.8%
(w/w) water-insoluble CGP at the 30-L scale cultivation were also obtained. This
is by far the best combination of high cell density and high cellular CGP
contents ever reported, and it showed that efficient production of CGP at the
industrial scale in white biotechnology could be achieved.
PMID- 22080349
TI - Delta screw versus RetroScrew tibial fixation for ACL reconstruction.
AB - PURPOSE: The purpose of this study is to determine whether the RetroScrew tibial
fixation system offers a biomechanical advantage over the Delta screw for
anterior cruciate ligament (ACL) reconstruction in cadaveric tibias with low bone
mineral density (BMD). METHODS: Ten matched pairs of osteoporotic cadaveric
tibiae underwent simulated ACL reconstruction using quadrupled hamstring grafts
with one of the two tibial fixation constructs. Group 1 was fixed with the Delta
screw (DS; 35-mm antegrade biointerference screw), and group 2 was fixed with the
RetroScrew system (RSS; 20-mm retrograde and 17-mm antegrade biointerference
screws). Each construct was cyclically loaded (50-200 N, 1 Hz, 500 cycles) and
subsequently loaded to failure (20 mm/s). RESULTS: All specimens were
osteoporotic without significant segmental (proximal, middle, and distal) BMD
differences between groups by quantitative computed tomography (P = n.s.). A
trend was noted for more construct failures due to graft slippage in the DS group
(n = 3) over the RSS group (n = 1). There were no significant differences in
cyclic displacement (P = n.s.), maximum cyclic stiffness (P = n.s.), maximum load
at failure (P = n.s.), or pullout stiffness (P = n.s.) between groups.
CONCLUSIONS: In an osteoporotic cadaveric model, there was no significant
biomechanical advantage of the RetroScrew system versus the Delta screw for
tibial fixation in soft tissue graft ACL reconstruction. However, a trend toward
lower graft fixation failure to cyclic loading was noted with the RetroScrew
system.
PMID- 22080350
TI - Arthroscopic treatment of localized pigmented villonodular synovitis of the knee.
AB - PURPOSE: The purpose of this study was to review the outcome of the arthroscopic
treatment of localized pigmented villonodular synovitis of the knee and to
determine the recurrence rate with clinical and magnetic resonance imaging
evaluation at midterm follow-up. METHODS: Thirty consecutive patients diagnosed
with localized pigmented villonodular synovitis of the knee were treated
arthroscopically between 1990 and 2008. Clinical assessment was made with the use
of Lysholm Knee Scale, and radiologic assessment was done by plain radiographs
and magnetic resonance imaging of the knee. Recurrence rate of the disease was
also estimated. RESULTS: The average follow-up was 75 months (range 12-144). The
median age of the patients was 46 years (range 23-71). Symptoms were discomfort
of the knee (100%), swelling (90%), locking (50%), pain (10%) and palpable mass
(15%). A history of knee trauma was present in 10% of the patients. The nodules
were localized in the gutters (45%), suprapatellar pouch (26%), patellar fat pad
(13%), posterior compartment of the knee (13%) and in the femoral notch (9%). The
median Lysholm Knee Score was 56.5 (range 53-60) and 85.5 (83-88) preoperatively
and at final follow-up, respectively. No postoperative complications occurred.
CONCLUSIONS: Arthroscopy is a safe and effective procedure for the treatment of
localized pigmented villonodular synovitis of the knee. Magnetic resonance
imaging is essential to diagnose this pathologic condition and to define
accurately its localization and treatment strategy.
PMID- 22080351
TI - Predisposing risk factors for non-contact ACL injuries in military subjects.
AB - PURPOSE: The goal of this study was to document the incidence of anterior
cruciate ligament (ACL) tears and possible risk factors for these injuries in a
large population of young, athletic subjects. METHODS: The authors
retrospectively reviewed the US Naval Academy's database of midshipmen admitted
in 1999 and 2000 (n = 2,345) and prospectively followed until graduation 4 years
later or disenrollment. Excluded were 658 who had a history of preadmission ACL
injury or surgery, those without initial radiographs or documented baseline
height and weight, or those who had documented contact ACL injuries. Therefore,
1,687 subjects comprised the study group. Standard radiographic measurements,
including condylar width, notch width, and femoral notch width index (notch width
divided by condyle width), were obtained for all subjects. Statistical analyses
were used to determine differences between injured and uninjured subjects.
RESULTS: The overall incidence of non-contact ACL injury was 2.9% (37 men, 12
women). The average BMI was 25.6 and 24.4 kg/m(2) for the injured and uninjured
groups, respectively (P < 0.05). Although femoral notch width alone was not
associated with non-contact ACL injuries, subjects with higher than average BMI
in combination with narrow notch width were at significant risk for ACL injury (P
= 0.021). CONCLUSIONS: Elevated BMI combined with narrow notch width may
predispose young athletes to non-contact ACL injury. LEVEL OF EVIDENCE:
Retrospective comparative study, Level III.
PMID- 22080352
TI - Curcumin loaded chitin nanogels for skin cancer treatment via the transdermal
route.
AB - In this study, curcumin loaded chitin nanogels (CCNGs) were developed using
biocompatible and biodegradable chitin with an anticancer curcumin drug. Chitin,
as well as curcumin, is insoluble in water. However, the developed CCNGs form a
very good and stable dispersion in water. The CCNGs were analyzed by DLS, SEM and
FTIR and showed spherical particles in a size range of 70-80 nm. The CCNGs showed
higher release at acidic pH compared to neutral pH. The cytotoxicity of the
nanogels were analyzed on human dermal fibroblast cells (HDF) and A375 (human
melanoma) cell lines and the results show that CCNGs have specific toxicity on
melanoma in a concentration range of 0.1-1.0 mg mL(-1), but less toxicity towards
HDF cells. The confocal analysis confirmed the uptake of CCNGs by A375. The
apoptotic effect of CCNGs was analyzed by a flow-cytometric assay and the results
indicate that CCNGs at the higher concentration of the cytotoxic range showed
comparable apoptosis as the control curcumin, in which there was negligible
apoptosis induced by the control chitin nanogels. The CCNGs showed a 4-fold
increase in steady state transdermal flux of curcumin as compared to that of
control curcumin solution. The histopathology studies of the porcine skin samples
treated with the prepared materials showed loosening of the horny layer of the
epidermis, facilitating penetration with no observed signs of inflammation. These
results suggest that the formulated CCNGs offer specific advantage for the
treatment of melanoma, the most common and serious type of skin cancer, by
effective transdermal penetration.
PMID- 22080353
TI - Oxidation and coupling of beta-diketiminate ligand in lanthanide complexes: novel
eight-nuclear lanthanide clusters with MU-, MU3-Cl, and MU4-O bridge.
AB - Two novel eight-nuclear lanthanide oxide and chloride clusters Ln(8)(MU-eta(2)
L(4))(2)(MU(3)-Cl)(4)(MU-Cl)(10)(MU(4)-O)(3)(THF)(8) (Ln = Er(3), Dy(4); L(4) =
[OC{(Me)CN-2,6-(i)PrC(6)H(3)}(2)](2-)) have been synthesized by the reaction of
beta-diketiminate rare-earth metal chlorides with oxygen, providing a new
oxidation and coupling reaction of the beta-diketiminate ligand.
PMID- 22080355
TI - Bilateral lesions of the medial frontal cortex disrupt recognition of social
hierarchy during antiphonal communication in naked mole-rats (Heterocephalus
glaber).
AB - Generation of the motor patterns of emotional sounds in mammals occurs in the
periaqueductal gray matter of the midbrain and is not directly controlled by the
cortex. The medial frontal cortex indirectly controls vocalizations, based on the
recognition of social context. We examined whether the medial frontal cortex was
responsible for antiphonal vocalization, or turn-taking, in naked mole-rats. In
normal turn-taking, naked mole-rats vocalize more frequently to dominant
individuals than to subordinate ones. Bilateral lesions of the medial frontal
cortex disrupted differentiation of call rates to the stimulus animals, which had
varied social relationships to the subject. However, medial frontal cortex
lesions did not affect either the acoustic properties of the vocalizations or the
timing of the vocal exchanges. This suggests that the medial frontal cortex may
be involved in social cognition or decision making during turn-taking, while
other regions of the brain regulate when animals vocalize and the vocalizations
themselves.
PMID- 22080356
TI - Gene expression profile of THP-1 monocytes following knockdown of DAP12, a
causative gene for Nasu-Hakola disease.
AB - Nasu-Hakola disease (NHD), also designated polycystic lipomembranous
osteodysplasia with sclerosing leukoencephalopathy, is a rare autosomal recessive
disorder characterized by progressive presenile dementia and formation of
multifocal bone cysts, caused by a loss-of-function mutation of DAP12 or TREM2.
TREM2 and DAP12 constitute a receptor/adaptor complex expressed on osteoclasts,
dendritic cells, macrophages, monocytes, and microglia. At present, the precise
molecular mechanisms underlying development of leukoencephalopathy and bone cysts
in NHD remain largely unknown. We established THP-1 human monocyte clones that
stably express small interfering RNA targeting DAP12 for serving as a cellular
model of NHD. Genome-wide transcriptome analysis identified a set of 22 genes
consistently downregulated in DAP12 knockdown cells. They constituted the
molecular network closely related to the network defined by cell-to-cell
signaling and interaction, hematological system development and function, and
inflammatory response, where NF-kappaB acts as a central regulator. These results
suggest that a molecular defect of DAP12 in human monocytes deregulates the gene
network pivotal for maintenance of myeloid cell function in NHD.
PMID- 22080357
TI - Alteration of LV end-diastolic volume by controlling the power of the continuous
flow LVAD, so it is synchronized with cardiac beat: development of a native heart
load control system (NHLCS).
AB - There are many reports comparing pulsatile and continuous-flow left ventricular
assist devices (LVAD). But continuous-flow LVAD with the pulsatile driving
technique had not been tried or discussed before our group's report. We have
previously developed and introduced a power-control unit for a centrifugal LVAD
(EVAHEART(r); Sun Medical), which can change the speed of rotation so it is
synchronized with the heart beat. By use of this unit we analyzed the end
diastolic volume (EDV) to determine whether it is possible to change the native
heart load. We studied 5 goats with normal hearts and 5 goats with acute LV
dysfunction because of micro-embolization of the coronary artery. We used 4
modes, "circuit-clamp", "continuous", "counter-pulse", and "co-pulse", with the
bypass rate (BR) 100%. We raised the speed of rotation of the LVAD in the
diastolic phase with the counter-pulse mode, and raised it in the systolic phase
with the co-pulse mode. As a result, the EDV decreased in the counter-pulse mode
and increased in the co-pulse mode, compared with the continuous mode (p < 0.05),
in both the normal and acute-heart-failure models. This result means it may be
possible to achieve favorable EDV and native heart load by controlling the
rotation of continuous-flow LVAD, so it is synchronized with the cardiac beat.
This novel driving system may be of great benefit to patients with end-stage
heart failure, especially those with ischemic etiology.
PMID- 22080358
TI - Supramolecular block copolymers: graphene oxide composites for memory device
applications.
AB - Bistable resistive switching characteristics obtained using a supramolecular
hybrid route to hydrogen-bonded block copolymers (BCP) and graphene oxide (GO) as
charge storage materials are reported for write-once-read-many-times (WORM)
memory devices.
PMID- 22080359
TI - Changes in dry eye diagnostic status following implementation of revised Japanese
dry eye diagnostic criteria.
AB - PURPOSE: Our aim was to evaluate changes in dry eye diagnostic status following
implementation of the new dry eye diagnostic criteria in Japan. DESIGN: This was
a multicenter cross-sectional study. METHODS: We recruited 295 individuals (81
men, 214 women, average age 43.6 +/- 14.3 years) seen for general ophthalmic
checkup and dry eye examinations. Using results of the Schirmer I test, tear
breakup time, and fluorescein and Rose Bengal staining, patients were diagnosed
as having definite dry eye (DDE), probable dry eye (PDE), or as being normal
according to both the old and new Japanese dry eye diagnostic criteria. RESULTS:
Mean ages of normal participants and patients with PDE and DDE were 37.0 +/-
10.4, 41.7 +/- 14.4, and 47.7 +/- 15.3 years, respectively (p < 0.001). All 37
individuals diagnosed as normal following the old criteria were also diagnosed as
normal with the new diagnostic criteria. Among the 60 patients diagnosed as PDE
with the old criteria, 19 (31.7%) were diagnosed as normal and 41 (68.3%) as PDE
with the new diagnostic criteria. Of the 198 patients diagnosed with DDE
following the old criteria, 59 (29.7%) were diagnosed as PDE and 139 (70.2%) as
DDE with the new diagnostic criteria. There was no significant difference in dry
eye severity index scores between the old and new diagnostic criteria.
CONCLUSION: A shift in the final dry eye diagnostic status from DDE to PDE and
from PDE to normal was observed with the implementation of the new dry eye
diagnostic criteria, suggesting that patients at the severe end of the dry eye
disease spectrum are now diagnosed as DDE disease under the new criteria.
PMID- 22080360
TI - Traumatic detachment of the inferior angle of the scapula in a 5-year-old boy--a
sonographic diagnosis.
AB - A complete slip of the cartilage of the inferior angle of the scapula was
diagnosed in a 5-year-old boy who fell inside a wooden construction at a
kindergarten playground. Radiographs of the scapula were normal, and ultrasound
demonstrated complete cartilage detachment, which was displaced deep and
laterally. Computed tomography (CT) additionally demonstrated a thin rim of bone
displaced along with the detached cartilage. Radiologic findings were confirmed
during surgery. We report what we believe to be the first published case of
traumatic detachment of the cartilaginous lower angle of the scapula in a child
demonstrated by ultrasound.
PMID- 22080361
TI - Highlights of the scientific meeting of the 18th Annual Congress of the European
Society of Skeletal Radiology (ESSR) 2011.
PMID- 22080362
TI - Hemi-bucket-handle tears of the meniscus: appearance on MRI and potential
surgical implications.
AB - OBJECTIVES: To describe a type of meniscus flap tear resembling a bucket-handle
tear, named a "hemi-bucket-handle" tear; to compare its imaging features with
those of a typical bucket-handle tear; and to discuss the potential therapeutic
implications of distinguishing these two types of tears. MATERIALS AND METHODS:
Five knee MR examinations were encountered with a type of meniscus tear
consisting of a flap of tissue from the undersurface of the meniscus displaced
toward the intercondylar notch. A retrospective analysis of 100 MR examinations
prospectively interpreted as having bucket-handle type tears yielded 10
additional cases with this type of tear. Cases of hemi-bucket-handle tears were
reviewed for tear location and orientation, appearance of the superior articular
surface of the meniscus, presence and location of displaced meniscal tissue, and
presence of several classic signs of bucket-handle tears. RESULTS: A total of
15/15 tears involved the medial meniscus, had tissue displaced toward the notch,
and were mainly horizontal in orientation. The superior surface was intact in
11/15 (73.3%). In 1/15 (6.7%) there was an absent-bow-tie sign; 6/15 (40%) had a
double-PCL sign; 14/15 (93.3%) had a double-anterior horn sign. CONCLUSION: We
describe a type of undersurface flap tear, named a hemi-bucket-handle tear, which
resembles a bucket-handle tear. Surgeons at our institution feel this tear would
likely not heal if repaired given its predominantly horizontal orientation, and
additionally speculate the tear could be overlooked at arthroscopy. Thus, we feel
it is important to distinguish this type of tear from the typical bucket-handle
tear.
PMID- 22080363
TI - Diamond nanowires for highly sensitive matrix-free mass spectrometry analysis of
small molecules.
AB - This paper reports on the use of boron-doped diamond nanowires (BDD NWs) as an
inorganic substrate for matrix-free laser desorption/ionization mass spectrometry
(LDI-MS) analysis of small molecules. The diamond nanowires are prepared by
reactive ion etching (RIE) with oxygen plasma of highly boron-doped (the boron
level is 10(19) B cm(-3)) or undoped nanocrystalline diamond substrates. The
resulting diamond nanowires are coated with a thin silicon oxide layer that
confers a superhydrophilic character to the surface. To minimize droplet
spreading, the nanowires were chemically functionalized with
octadecyltrichlorosilane (OTS) and then UV/ozone treated to reach a final water
contact angle of 120 degrees . The sub-bandgap absorption under UV laser
irradiation and the heat confinement inside the nanowires allowed
desorption/ionization, most likely via a thermal mechanism, and mass spectrometry
analysis of small molecules. A detection limit of 200 zeptomole for verapamil was
demonstrated.
PMID- 22080364
TI - Randomized controlled trial of cough test versus no cough test in the tension
free vaginal tape procedure: effect upon voiding dysfunction and 12-month
efficacy.
AB - INTRODUCTION AND HYPOTHESIS: This is a prospective randomized controlled trial of
cough versus no cough test in the tension-free vaginal tape (TVT) procedure to
determine its effect upon voiding dysfunction and 12-month efficacy. METHODS: The
trial was conducted in a single tertiary urogynecology unit. Women >=21 years old
with primary urodynamic stress incontinence without voiding dysfunction were
considered eligible. Participants were randomized to undergo the TVT procedure
using either an intraoperative cough test or using no intraoperative cough test.
Our hypothesis was that postoperative voiding dysfunction would be more common in
the "no cough test" arm. The primary outcome was proportion of patients
successfully completing a trial of void (TOV) within 24 h of catheter removal.
Efficacy at 12 months comprised the secondary outcome. Participants were
randomized using a computer-generated randomization sequence by an independent
party who was not the operating surgeon. Due to the nature of the intervention to
be tested, neither the patients nor the operating surgeons were blinded to the
randomization process during the procedure. RESULTS: This trial is reported
according to the recommendations of the 2010 CONSORT statement. In total, 94
women were recruited over a 4-year study period. Of these, 92 women were
randomized (47 in the "cough" group and 45 in the "no cough" group). In one case,
the TVT procedure was abandoned intraoperatively, leaving 91 women who underwent
analysis. There was no significant difference in the proportion of women with a
successful TOV within 24 h between the two arms (79% in the "cough" group versus
71% in the "no cough" group; p = 0.47). Efficacy data at 12 months were not
significantly different between groups. CONCLUSION: Our data suggest that the
performance of the intraoperative cough test during the TVT procedure does not
reduce the incidence of postoperative voiding dysfunction (as determined by
successful TOV within 24 h) nor affect efficacy. The removal of the cough test
from the standard TVT technique may be appropriate.
PMID- 22080365
TI - Solvent-solvent and solvent-solute interactions in a 3D chloroform clathrate with
diorganotin macrocycles in the nano-sized pores.
AB - A 3D clathrate of deuterochloroform molecules was formed in the presence of nano
sized macrocyclic molecules.
PMID- 22080366
TI - Reinforcement sensitivity and risk for psychopathology following exposure to
violence: a vulnerability-specificity model in Latino youth.
AB - Urban Latino youth are exposed to high rates of violence, which increases risk
for diverse forms of psychopathology. The current study aims to increase
specificity in predicting responses by testing the hypothesis that youths'
reinforcement sensitivity-behavioral inhibition (BIS) and behavioral approach
(BAS)-is associated with specific clinical outcomes and increases risk for the
development of such problems following exposure to violence. Utilizing a short
term longitudinal design, Latino youth (N = 168) provided reports of BIS/BAS and
emotional/behavioral problems at Time 1, exposure to violence between Time 1 and
Time 2, and clinical symptoms at Time 2. Results suggested that reinforcement
sensitivity moderated the relation between violence exposure and psychopathology,
such that increasing levels of BIS were associated with elevated risk for
internalizing and posttraumatic stress symptoms following exposure to violence
whereas BAS increased risk for externalizing problems. The importance of building
on existing knowledge to understand minority youth psychopathology is discussed.
PMID- 22080367
TI - Psychological morbidity in children 18 months after Kashmir Earthquake of 2005.
AB - A severe earthquake occurred in Kashmir in 2005. The epicentre was close to
Muzzafarabad. We collected data on over 1,100 children 18 months after the
earthquake to look at symptoms of PTSD and behavioural and emotional problems
using well established questionnaires. We found that 64.8% of children had
significant symptoms of PTSD. Girls were more likely to suffer from these
symptoms. The proportion of children suffering from emotional and behaviour
difficulties was 34.6%. This percentage was not different from other studies of
children from Pakistan within areas which were not affected by the earthquake.
The rate of emotional symptoms was higher in girls while hyperactivity was more
frequent in boys. This pattern is similar to other studies from across the world.
PMID- 22080369
TI - Characterization of novel calmodulin binding domains within IQ motifs of IQGAP1.
AB - IQ motif-containing GTPase-activating protein 1 (IQGAP1), which is a well-known
calmodulin (CaM) binding protein, is involved in a wide range of cellular
processes including cell proliferation, tumorigenesis, adhesion, and migration.
Interaction of IQGAP1 with CaM is important for its cellular functions. Although
each IQ domain of IQGAP1 for CaM binding has been characterized in a Ca(2+)
dependent or -independent manner, it was not clear which IQ motifs are
physiologically relevant for CaM binding in the cells. In this study, we
performed immunoprecipitation using 3xFLAGhCaM in mammalian cell lines to
characterize the domains of IQGAP1 that are key for CaM binding under
physiological conditions. Interestingly, using this method, we identified two
novel domains, IQ(2.7-3) and IQ(3.5-4.4), within IQGAP1 that were involved in
Ca(2+)-independent or -dependent CaM binding, respectively. Mutant analysis
clearly showed that the hydrophobic regions within IQ(2.7-3) were mainly involved
in apoCaM binding, while the basic amino acids and hydrophobic region of IQ(3.5
4.4) were required for Ca(2+)/CaM binding. Finally, we showed that IQ(2.7-3) was
the main apoCaM binding domain and both IQ(2.7-3) and IQ(3.5-4.4) were required
for Ca(2+)/CaM binding within IQ(1-2-3-4). Thus, we identified and characterized
novel direct CaM binding motifs essential for IQGAP1. This finding indicates that
IQGAP1 plays a dynamic role via direct interactions with CaM in a Ca(2+)
dependent or -independent manner.
PMID- 22080368
TI - The regulation of food intake in mammalian hibernators: a review.
AB - One of the most profound hallmarks of mammalian hibernation is the dramatic
reduction in food intake during the winter months. Several species of hibernator
completely cease food intake (aphagia) for nearly 7 months regardless of ambient
temperature and in many cases, whether or not food is available to them. Food
intake regulation has been studied in mammals that hibernate for over 50 years
and still little is known about the physiological mechanisms that control this
important behavior in hibernators. It is well known from lesion experiments in
non-hibernators that the hypothalamus is the main brain region controlling food
intake and therefore body mass. In hibernators, the regulation of food intake and
body mass is presumably governed by a circannual rhythm since there is a clear
seasonal rhythm to food intake: animals increase food intake in the summer and
early autumn, food intake declines in autumn and actually ceases in winter in
many species, and resumes again in spring as food becomes available in the
environment. Changes in circulating hormones (e.g., leptin, insulin, and
ghrelin), nutrients (glucose, and free fatty acids), and cellular enzymes such as
AMP-activated protein kinase (AMPK) have been shown to determine the activity of
neurons involved in the food intake pathway. Thus, it appears likely that the
food intake pathway is controlled by a variety of inputs, but is also acted upon
by upstream regulators that are presumably rhythmic in nature. Current research
examining the molecular mechanisms and integration of environmental signals
(e.g., temperature and light) with these molecular mechanisms will hopefully shed
light on how animals can turn off food intake and survive without eating for
months on end.
PMID- 22080370
TI - Analysis of Arabidopsis transcription factor families revealed extensive capacity
for cell-to-cell movement as well as discrete trafficking patterns.
AB - In plants, cell-to-cell communication is pivotal for the orchestration of cell
fate determination, organ development, and the integration of whole plant
physiology. One of the strategies for intercellular communication uses symplasmic
communication channels, called plasmodesmata (PD). These PD establish unique
cytoplasmic channels for the intercellular exchange not only of metabolites and
small signaling molecules, but also of regulatory proteins and RNAs to allow for
local orchestration of development and physiology. A number of non-cell
autonomous transcription factors (NCATFs) have been shown to function in the
coordination of specific regulatory networks. To further explore the potential of
such NCATFs, a genome-wide screen was performed on the transcription factor (TF)
families in Arabidopsis. We here report that, among the 76 TFs examined, 22 were
shown to move beyond their sites of transcription in the root apex; these NCATFs
belonged to 17 TF families, including homeobox, GRAS, and MYB. Expression studies
performed on variously-sized mCherry constructs identified a range of PD size
exclusion limits within tissues of the root. In addition, our studies showed that
actual protein level was an important factor controlling the range of TF
intercellular movement. Interestingly, our studies on CAPRICE movement revealed
tissue-specificity with respect to the mode of intercellular trafficking. These
findings are discussed with respect to the regulation between cell-autonomous or
non-cell-autonomous action.
PMID- 22080371
TI - The murine goblet cell protein mCLCA3 is a zinc-dependent metalloprotease with
autoproteolytic activity.
AB - Several members of the CLCA family of proteins, originally named chloride
channels, calcium-activated, have been shown to modulate chloride conductance in
various cell types via an unknown mechanism. Moreover, the human (h) hCLCA1 is
thought to modulate the severity of disease in asthma and cystic fibrosis (CF)
patients. All CLCA proteins are post-translationally cleaved into two subunits,
and recently, a conserved HEXXH zinc-binding amino acid motif has been
identified, suggesting a role for CLCA proteins as metalloproteases. Here, we
have characterized the cleavage and autoproteolytic activity of the murine model
protein mCLCA3, which represents the murine orthologue of human hCLCA1. Using
crude membrane fractions from transfected HEK293 cells, we demonstrate that
mCLCA3 cleavage is zinc-dependent and exclusively inhibited by cation-chelating
metalloprotease inhibitors. Cellular transport and secretion were not affected in
response to a cleavage defect that was introduced by the insertion of an E157Q
mutation within the HEXXH motif of mCLCA3. Interspecies conservation of these key
results was further confirmed with the porcine (p) orthologue of hCLCA1 and
mCLCA3, pCLCA1. Importantly, the mCLCA3E157Q mutant was cleaved after co
transfection with the wild-type mCLCA3 in HEK293 cells, suggesting that an
intermolecular autoproteolytic event takes place. Edman degradation and MALDI-TOF
MS of the protein fragments identified a single cleavage site in mCLCA3 between
amino acids 695 and 696. The data strongly suggest that secreted CLCA proteins
have zinc-dependent autoproteolytic activity and that they may cleave additional
proteins.
PMID- 22080372
TI - The pleiohomeotic functions as a negative regulator of Drosophila even-skipped
gene during embryogenesis.
AB - Polycomb group (PcG) proteins maintain the spatial expression patterns of genes
that are involved in cell-fate specification along the anterior-posterior (A/P)
axis. This repression requires cis-acting silencers, which are called PcG
response elements (PREs). One of the PcG proteins, Pleiohomeotic (Pho), which has
a zinc finger DNA binding protein, plays a critical role in recruiting other PcG
proteins to bind to PREs. In this study, we characterized the effects of a pho
mutation on embryonic segmentation. pho maternal mutant embryos showed various
segmental defects including pair-rule gene mutant patterns. Our results indicated
that engrailed and even-skipped genes were misexpressed in pho mutant embryos,
which caused embryonic segment defects.
PMID- 22080373
TI - Synchronization of cell cycle of Saccharomyces cerevisiae by using a cell chip
platform.
AB - Cell synchrony is a critical requirement for the study of eukaryotic cells.
Although several chemical and genetic methods of cell cycle synchronization are
currently available, they have certain limitations, such as unnecessary
perturbations to cells. We developed a novel cell cycle synchronization method
that is based on a cell chip platform. The budding yeast, Saccharomyces
cerevisiae, is a simple but useful model system to study cell biology and shares
many similar features with higher eukaryotic cells. Single yeast cells were
individually captured in the wells of a specially designed cell chip platform.
When released from the cell chip, the yeast cells were synchronized, with all
cells in the G1 phase. This method is non-invasive and causes minimal chemical
and biological damage to cells. The capture and release of cells using cells
chips with microwells of specific dimensions allows for the isolation of cells of
a particular size and shape; this enables the isolation of cells of a given
phase, because the size and shape of yeast cells vary with the phase of the cell
cycle. To test the viability of synchronized cells, the yeast cells captured in
the cell chip platform were assessed for response to mating pheromone (alpha
factor). The synchronized cells isolated using the cell chip were capable of
mediating the mating signaling response and exhibited a dynamic and robust
response behavior. By changing the dimensions of the well of the cell chip, cells
of other cell cycle phases can also be isolated.
PMID- 22080374
TI - Quantitative trait loci for cold tolerance of rice recombinant inbred lines in
low temperature environments.
AB - Low temperature is one of the major environmental stresses in rice cultivation in
high-altitude and high-latitude regions. In this study, we cultivated a set of
recombinant inbred lines (RIL) derived from Dasanbyeo (indica) / TR22183
(japonica) crosses in Yanji (high-latitude area), Kunming (high-altitude area),
Chuncheon (cold water irrigation) and Suwon (normal) to evaluate the main effects
of quantitative trait loci (QTL) and epistatic QTL (E-QTL) with regard to their
interactions with environments for cold-related traits. Six QTLs for spikelet
fertility (SF) were identified in three cold treatment locations. Among them,
four QTLs on chromosomes 2, 7, 8, and 10 were validated by several near isogenic
lines (NILs) under cold treatment in Chuncheon. A total of 57 QTLs and 76 E-QTLs
for nine cold-related traits were identified as distributing on all 12
chromosomes; among them, 19 QTLs and E-QTLs showed significant interactions of
QTLs and environments (QEIs). The total phenotypic variation explained by each
trait ranged from 13.2 to 29.1% in QTLs, 10.6 to 29.0% in EQTLs, 2.2 to 8.8% in
QEIs and 1.0% to 7.7% in E-QTL * environment interactions (E-QEIs). These results
demonstrate that epistatic effects and QEIs are important properties of QTL
parameters for cold tolerance at the reproductive stage. In order to develop cold
tolerant varieties adaptable to wide-ranges of cold stress, a strategy
facilitating marker-assisted selection (MAS) is being adopted to accumulate QTLs
identified from different environments.
PMID- 22080376
TI - Synthesis, characterization and photophysical properties of PPh2-C2-(C6H4)n-C2
PPh2 based bimetallic Au(I) complexes.
AB - A family of the diphosphines PPh(2)C(2)(C(6)H(4))(n)C(2)PPh(2) (n = 0-3), which
possess a dialkynyl-arene spacer between the phosphorus atoms, was used for the
synthesis of a series of bimetallic gold(I) complexes 1-7. Unlike the
corresponding polynuclear Au(i) clusters, which show unique phosphorescence, 1-7
reveal dual emissions consisting of fluorescence and phosphorescence. The results
are rationalized, in a semi-quantitative manner, by the trace (1-3) to zero (4-7)
contribution of MLCT varying with the number of conjugated phenylene rings. As a
result, unlike typical polynuclear Au(I) clusters with 100% triplet state
population, the rate constant of the S(1)->T(1) intersystem crossing is
drastically reduced to 10(9) s(-1) (4-7)-10(10) s(-1) (1-3), so that the
fluorescence radiative decay rate can compete or even dominates. The drastic O(2)
quenching of phosphorescence demonstrates the unprotected nature of the emission
chromophores in 1-7, as opposed to the well protected, O(2) independent
phosphorescence in most multimetallic Au(I) clusters.
PMID- 22080375
TI - Structural insights into the conformational diversity of ClpP from Bacillus
subtilis.
AB - ClpP is a cylindrical protease that is tightly regulated by Clp-ATPases. The
activation mechanism of ClpP using acyldepsipeptide antibiotics as mimics of
natural activators showed enlargement of the axial entrance pore for easier
processing of incoming substrates. However, the elimination of degradation
products from inside the ClpP chamber remains unclear since there is no exit pore
for releasing these products in all determined ClpP structures. Here we report a
new crystal structure of ClpP from Bacillus subtilis, which shows a significantly
compressed shape along the axial direction. A portion of the handle regions
comprising the heptameric ring-ring contacts shows structural transition from an
ordered to a disordered state, which triggers the large conformational change
from an extended to an overall compressed structure. Along with this structural
change, 14 side pores are generated for product release and the catalytic triad
adopts an inactive orientation. We have also determined B. subtilis ClpP
inhibited by diisopropylfluoro-phosphate and analyzed the active site in detail.
Structural information pertaining to several different conformational steps such
as those related to extended, ADEP-activated, DFP-inhibited and compressed forms
of ClpP from B. subtilis is available. Structural comparisons suggest that
functionally important regions in the ClpP-family such as N-terminal segments for
the axial pore, catalytic triads, and handle domains for the product releasing
pore exhibit intrinsically dynamic and unique structural features. This study
provides valuable insights for understanding the enigmatic cylindrical
degradation machinery of ClpP as well as other related proteases such as HslV and
the 20S proteasome.
PMID- 22080377
TI - Value of percutaneous radiofrequency ablation with or without percutaneous
vertebroplasty for pain relief and functional recovery in painful bone
metastases.
AB - OBJECTIVE: To evaluate the effectiveness of percutaneous radiofrequency (RF)
ablation with or without percutaneous vertebroplasty (PV) on pain relief,
functional recovery and local recurrence at 6 months' follow-up (FU), in patients
with painful osseous metastases. MATERIALS AND METHODS: Thirty RF ablations were
performed in 24 patients (mean age: 61 years) with bone metastases. Half of the
patients had an additional PV. The primary end point was pain relief evaluated by
a visual analogue scale (VAS) before treatment, and at 1 and 6 months' FU.
Functional outcome was assessed according to the evolution of their ability to
walk at 6 months' FU. Imaging FU was available in 20 out of 24 patients with a
mean delay of 4.7 months. RESULTS: Reduction of pain was obtained at 6 months FU
in 81% of cases (15 out of 18). Mean pretreatment VAS was 6.4 (+/-2.7). Mean VAS
was 1.9 (+/-2.4) at 1 month FU, and 2.3 (+/-2.9) at 6 months' FU. Pain was
significantly reduced at 6 months FU (mean VAS reduction = 4.1; P < 0.00001).
Functional improvement was obtained in 74% of the cases. Major complications rate
was 12.5 % (3 out of 24) with 2 skin burns, and 1 case of myelopathy. Local
tumour recurrence or progression was recorded in 5 cases. CONCLUSION:
Radiofrequency ablation is an effective technique in terms of pain relief and
functional recovery for the treatment of bone metastases, which provides a
relatively low rate of local recurrence.
PMID- 22080378
TI - 25-Hydroxyvitamin-D3 levels are positively related to subsequent cortical bone
development in childhood: findings from a large prospective cohort study.
AB - In exploring relationships between vitamin D status in childhood and cortical
bone, little relationship was observed with plasma concentrations of 25
hydroxyvitamin-D(2) [25(OH)D(2)], whereas 25-hydroxyvitamin-D(3) [25(OH)D(3)] was
positively related to cortical bone mineral content (BMC(C)) and cortical
thickness, suggesting D(3) exerts a beneficial effect on cortical bone
development in contrast to D(2). INTRODUCTION: The study is aimed to determine
whether vitamin D status in childhood is related to cortical bone development by
examining prospective relationships between plasma concentrations of 25(OH)D(2)
and 25(OH)D(3) at 7.6, 9.9 or 11.8 years and peripheral quantitative computed
tomography (pQCT) measurements of the mid-tibia at age 15.5 years, in children
from the Avon Longitudinal Study of Parents and Children. METHODS: Relationships
between vitamin D status and pQCT outcomes were analysed by bootstrap linear
regression, adjusted for age, sex, body composition, socioeconomic position and
physical activity, in 2,247 subjects in whom all covariates were available.
25(OH)D(3) was also adjusted for season and 25(OH)D(2), and 25(OH)D(2) for
25(OH)D(3). RESULTS: 25(OH)D(3) was positively related to BMC(C)
[0.066(0.009,0.122), P = 0.02], whereas no association was seen with 25(OH)D(2) [
0.008(-0.044,0.027), P = 0.7] [beta (with 95% CI) represents SD changes per
doubling of vitamin D], P = 0.03 for difference in associations of 25(OH)D(2) and
25(OH)D(3) with BMC(C). There were also differences in associations with cortical
geometry, since 25(OH)D(3) was positively related to cortical thickness
[0.11(0.04, 0.19), P = 0.002], whereas no association was seen with 25(OH)D(2) [
0.04(-0.08,0.009), P = 0.1], P = 0.0005 for difference. These relationships
translated into differences in biomechanical strength as reflected by buckling
ratio, which was positively related to 25(OH)D(2) [0.06(0.01,0.11), P = 0.02]
indicating less resistance to buckling, but inversely related to 25(OH)D(3) [
0.1(-0.19,-0.02), P = 0.03], P = 0.001 for difference. CONCLUSIONS: In contrast
to 25(OH)D(2), 25(OH)D(3) was positively related to subsequent cortical bone mass
and predicted strength. In vitamin D-deficient children in whom supplementation
is being considered, our results suggest that D(3) should be used in preference
to D(2).
PMID- 22080380
TI - Oxygen and light sensitive field-effect transistors based on ZnO nanoparticles
attached to individual double-walled carbon nanotubes.
AB - The attachment of semiconducting nanoparticles to carbon nanotubes is one of the
most challenging subjects in nanotechnology. Successful high coverage attachment
and control over the charge transfer mechanism and photo-current generation open
a wide field of new applications such as highly effective solar cells and fibre
enhanced polymers. In this work we study the charge transfer in individual double
walled carbon nanotubes highly covered with uniform ZnO nanoparticles. The
synthetic colloidal procedure was chosen to avoid long-chained ligands at the
nanoparticle-nanotube interface. The resulting composite material was used as
conductive channel in a field-effect transistor device and the electrical photo
response was analysed under various conditions. By means of the transfer
characteristics we could elucidate the mechanism of charge transfer from non
covalently attached semiconducting nanoparticles to carbon nanotubes. The role of
positive charges remaining on the nanoparticles is discussed in terms of a gating
effect.
PMID- 22080379
TI - Does the use of ACE inhibitors or angiotensin receptor blockers affect bone loss
in older men?
AB - In a prospective cohort study of 5,995 older American men (MrOS), users of
angiotensin-converting enzyme (ACE) inhibitors had a small but significant
increase in bone loss at the hip over 4 years after adjustment for confounders.
Use of angiotensin II AT1 receptor blockers (ARB) was not significantly
associated with bone loss. INTRODUCTION: Experimental evidence suggests that
angiotensin II promotes bone loss by its effects on osteoblasts. It is therefore
plausible that ACE inhibitor and ARB may reduce rates of bone loss. The objective
of this study is to examine the independent effects of ACE inhibitor and ARB on
bone loss in older men. METHODS: Out of 5,995 American men (87.2%) aged >=65
years, 5,229 were followed up for an average of 4.6 years in a prospective six
center cohort study-The Osteoporotic Fractures in Men Study (MrOS). Bone mineral
densities (BMD) at total hip, femoral neck, and trochanter were measured by
Hologic densitometer (QDR 4500) at baseline and year 4. RESULTS: Out of 3,494
eligible subjects with complete data, 1,166 and 433 subjects reported use of ACE
inhibitors and ARBs, respectively. When compared with nonusers, continuous use of
ACE inhibitors was associated with a small (0.004 g/cm(2)) but significant
increase in the average rate of BMD loss at total hip and trochanter over 4 years
after adjustment for confounders. Use of ARB was not significantly associated
with bone loss. CONCLUSION: Use of ACE inhibitors but not ARB may marginally
increase bone loss in older men.
PMID- 22080381
TI - Glioblastoma multiforme with very rapid growth and long-term survival in
children.
PMID- 22080382
TI - The evaluation of function and the ultrasonographic picture of thyroid in
children treated for medulloblastoma.
AB - PURPOSE: Medulloblastoma (MB) is one of the most frequent and sensitive to
radiation aggressive brain tumor in children. Abnormalities of the thyroid
function are common complications of head and neck irradiation for childhood
cancer. The aim of this study was to assess thyroid function in children treated
for medulloblastoma according to the treatment protocol phase. PATIENTS AND
METHODS: Twenty-three children with MB were enrolled to this study. All patients
underwent chemotherapy and radiotherapy to the whole craniospinal axis and boost
with the conformal therapy restricted to the tumor bed to a total dose of 54 Gy.
Thyroid function was evaluated based on thyroid-stimulating hormone (TSH), free
thyroxine (fT4) levels controlled before MB treatment, directly after irradiation
and at the end of the treatment protocol. Ultrasonography has been used to detect
parenchymal abnormalities. RESULTS: All patients presented normal thyroid hormone
range before chemotherapy. Hypothyroidism was found in 12 patients in the course
of treatment, in 2 patients hormone deficits diagnosed directly after
irradiation, in 10 patients such condition was observed at the end of the whole
therapy. All of these patients needed thyroid hormone substitution. None of them
presented clinical symptoms of hypothyroidism. Ultrasound-detected abnormalities
have been found in 20 patients. CONCLUSIONS: It is crucial to monitor the
functions of the thyroid gland in children treated for medulloblastoma because of
the high risk of hypothyroidism resulting from the treatment. The change in the
echogenicity of the thyroid gland may be an early marker for a dysfunction of
this organ in children treated for medulloblastoma.
PMID- 22080383
TI - From migraine to epilepsy: a threshold mechanism?
AB - A 67-year-old man complained of a transient blurring of vision in his right
visual field lasting 30 min followed by headache. Two weeks later, the visual
disturbance changed its pattern; it was described as the occurrence of brown
round-shaped images in the right visual field spinning and turning for few
seconds. This evolution from visual aura to visual seizures, with video-EEG
correlation, supports the hypothesis of modification in threshold of cortical
hyperexcitability from migraine to epilepsy.
PMID- 22080384
TI - Upconversion-powered photoelectrochemistry.
AB - Upconversion photochemistry occurring between palladium(II) octaethylporphyrin
(PdOEP, 1) and 9,10-diphenylanthracene (DPA, 2) in toluene successfully
sensitizes nanostructured WO(3) photoanodes (E(g) = 2.7 eV) to sub-bandgap non
coherent green photons at low power density.
PMID- 22080385
TI - Acceptability of circumcision among clients of female sex worker in Hong Kong.
AB - Clients of female sex workers (CFSW) are at high risk of HIV transmission.
Circumcision reduces the risk of heterosexual transmission of HIV. A total of 353
CFSW were interviewed using a combined interviewer-computer-assisted method.
Amongst the participants, 28.0% were circumcised, whilst 63.8% of the
uncircumcised participants were willing to take up circumcision (conditioned on
being briefed about a 50% potential risk reduction effect). In a stepwise
logistic regression model, frequency of patronizing female sex workers and
factors related to the Health Belief Model were significantly associated with
conditional willingness. Amongst uncircumcised participants, 20.9% anticipated
risk compensation (i.e. would use condoms less frequently after being
circumcised). Adjusting for background variables, inconsistent condom use during
commercial sex and self-reported STD history in the last 6 months were
significantly associated with anticipated risk compensation. It is feasible to
promote circumcision among CFSW but such programs also need to promote condom
use.
PMID- 22080386
TI - 'A virus and nothing else': the effect of ART on HIV-related stigma in rural
South Africa.
AB - While the World Health Organization acknowledges the potential of antiretroviral
therapy to reduce HIV-related stigma, few studies examine the nature of this
linkage. This article discusses the connection between ART and HIV-related
stigma, using qualitative analysis of interviews with HIV-positive adults at a
rural South African clinic. The data has two main implications for ART's role in
stigma reduction: it strengthens the plausibility that ART can reduce stigma
through weakening HIV/AIDS's link with disfigurement and death, and shows that
ART enables the establishment of spaces for support, which reduce stigma through
normalization of the disease.
PMID- 22080387
TI - Preschoolers' psychosocial problems: in the eyes of the beholder? Adding teacher
characteristics as determinants of discrepant parent-teacher reports.
AB - In this study, we explored informant characteristics as determinants of parent
teacher disagreement on preschoolers' psychosocial problems. Teacher
characteristics were included in the analyses, in addition to child and parent
factors. Psychosocial problems of 732 4-year olds from a Norwegian community
sample were assessed by parents and teachers (CBCL-TRF). Furthermore, teachers
reported on their education, experience and relationship to the child. Parental
stress and psychopathology were also measured. Teachers rated children
considerably lower than their parents did, especially on internalizing problems.
When teachers rated more child problems, this was strongly associated with
conflict in the teacher-child relationship, which predicted disagreement more
than other factors. The highest agreement was on boys' externalizing problems.
Girls' behavior was rated much lower by teachers than boys' behavior compared to
parents' ratings. Possible teacher perception biases are discussed, such as
teacher-child conflict, non-identification of internalizing problems, and same
gender child preference.
PMID- 22080388
TI - Lithium amidoborane, a highly chemoselective reagent for the reduction of
alpha,beta-unsaturated ketones to allylic alcohols.
AB - Lithium amidoborane (LiNH(2)BH(3), LiAB for short), is capable of
chemoselectively reducing alpha,beta-unsaturated ketones to the corresponding
allylic alcohols at ambient temperature. A mechanistic study shows that the
reduction is via a double hydrogen transfer process. The protic H(N) and hydridic
H(B) in amidoborane add to the O and C sites of the carbonyl group, respectively.
PMID- 22080389
TI - Gastric cancer detection using MDCT compared with 2D axial CT: diagnostic
accuracy of three different reconstruction techniques.
AB - PURPOSE: To assess the diagnostic accuracy of different reconstruction techniques
using MDCT for gastric cancer detection compared with 2D axial CT. MATERIALS AND
METHODS: During 7 months, we performed CT examinations of 104 consecutive
patients with gastric cancer and of a control group composed of 35 patients
without gastric disease. All gastric cancer was pathologically proven by
endoscopy and surgery. Among 104 patients with gastric cancer, 63 patients had
early gastric cancer (EGC). Two radiologists retrospectively and independently
interpreted the axial CT and three different reconstruction techniques including
multiplanar reformation (MPR), transparent imaging (TI), and virtual gastroscopy
(VG), using a commercially available, 3D workstation. They graded the presence or
absence of gastric cancer in each image sets using a five-point scale and, if
present, they assessed its location. Diagnostic accuracy was compared using the
area under the receiver operating characteristic curve (Az) for both gastric
cancer and only EGC. Sensitivity and specificity were also calculated for each
image technique. The k statistics were used to determine inter-observer
agreement. RESULTS: The diagnostic accuracy for overall gastric cancer detection
for each of the image sets was as follows: 2D axial CT (Az = 0.858); MPR (Az =
0.879); TI (Az = 0.873); and VG (Az = 0.928). VG had significantly better
performance than 2D axial CT (p = 0.016). The sensitivity and specificity were as
follows: 76.7% and 82.9% in axial CT; 79.6% and 85.7% in MPR; 91.3% and 80% in
TI; and 95.1% and 74.3% in VG. In EGC, the diagnostic performance for its
detection was as follows: axial CT (Az = 0.777); MPR (Az = 0.811); TI (Az =
0.825); and VG (Az = 0.896). VG had significantly better performance than both 2D
axial CT (P = 0.006) and MRP (P = 0.038). The sensitivity and specificity were as
follows: 62.9% and 82.9% in axial CT; 67.7% and 85.7% in MPR; 85.5% and 80% in
TI; and 91.9% and 74.3% in VG. The inter-observer agreement showed substantial
agreement (kappa = 0.67-0.75). CONCLUSION: Among the different reconstruction
techniques, VG accurately detects gastric cancer and is especially useful for EGC
compared with 2D axial CT.
PMID- 22080390
TI - Luminescent Ir(III) complexes containing benzothiazole-based tridentate ligands:
synthesis, characterization, and application to organic light-emitting diodes.
AB - Ir(III) complexes that contain benzothiazole-based tridentate ligands were
synthesized and their crystal structures and luminescent properties were
examined. A neutral complex had a high quantum yield (89%) and performed well as
an emissive material for organic light-emitting diodes.
PMID- 22080391
TI - Influence of postoperative enteral nutrition on cellular immunity. A random
double-blinded placebo controlled clinical trial.
AB - PURPOSE: The aim of this study was to discover if the cellular immunological
response is different in patients receiving early postoperative enteral nutrition
compared to patients who only receive "water". METHODS: In a random double-blind
prospective trial, 30 patients received Nutridrink(r) and 30 patients received
placebo (water) through a nasoduodenal tube from the day of operation to the
fourth postoperative day. Leukocyte differential count was examined
preoperatively, and on the first, third, and seventh postoperative days.
Subpopulations of lymphocytes were flow cytometrically analysed. IL-1ra and
soluble IL-2R were investigated by use of an enzyme-linked immunosorbent assay.
RESULTS: In the enteral nutrition group, a significantly larger number of
circulating monocytes and NK-cells and a significantly larger expression of HLA
DR were found. In the nutrition group, a tendency to larger numbers of T
lymphocyte subpopulations was found. No difference in IL-1ra and soluble IL-2R
was found between the groups. CONCLUSION: Early postoperative enteral nutrition
has an important influence on the immediate unspecific cellular immunity and an
activating effect on the specific cellular immunity compared to "no food".
PMID- 22080392
TI - Can we predict pathologic complete response before surgery for locally advanced
rectal cancer treated with preoperative chemoradiation therapy?
AB - BACKGROUND: Pathologic complete response has been proven to have oncological
benefits for locally advanced rectal cancer treated with chemoradiation therapy.
The aims of this study are to analyze and determine the factors to predict
pathologic complete response for patients treated with preoperative neoadjuvant
therapy. METHODS: Patients with biopsy-proven, locally advanced rectal cancer
were treated neoadjuvantly followed by radical surgical resection. Tumors were re
assessed after completing chemoradiation, including pelvic magnetic resonance
images, colonoscopic examination, and re-biopsy. The results of examination were
compared with the final pathologic status. RESULTS: A retrospective chart review
of 166 patients was conducted. Twenty-five patients (15.1%) had pathologic
complete response after chemoradiation. The 5-year overall survival rates were
better in the complete response group than the residual tumor group (91.1% vs.
70.8%; P = 0.047), and there were also significant differences in the 5-year
disease-free survival rates between these two groups (91.1% vs. 70.2%; P =
0.027). The prediction rates for pathologic complete response by re-biopsy,
magnetic resonance images, and colonoscopy were 21.4%, 33.3%, and 53.8%,
respectively. In addition, when we further combine the results of colonoscopic
findings and re-biopsy, the prediction rate for pathologic complete response
reached 77.8% (P = 0.009). CONCLUSIONS: Combining the results of the re-biopsy
and post-treatment colonoscopic findings, we can achieve a good prediction rate
for pathologic complete response. Post-treatment magnetic resonance images are
not useful tools in predicting tumor clearance following chemoradiation.
PMID- 22080393
TI - Enhancement of enantioselectivity by alcohol additives in asymmetric
hydrogenation with bis(oxazolinyl)phenyl ruthenium catalysts.
AB - Bis(oxazolinyl)phenyl ruthenium(II) complexes were found to catalyze asymmetric
hydrogenation of ketones, in which chiral bulky alcohol additives showed
significant enhancement of enantioselectivity even in protic solvents.
PMID- 22080394
TI - Central pontine and extrapontine myelinolysis associated with acute hepatic
dysfunction.
AB - Central pontine myelinolysis and extrapontine myelinolysis are rare demyelinating
diseases of the central nervous system. These diseases are related frequently to
rapid correction of hyponatremia. They have also been described in association
with other underlying conditions such as alcoholism and malnutrition. In the
present study, we report a case of central pontine and extrapontine myelinolysis
with acute hepatic dysfunction. The patient had no apparent evidence of
hyponatremia and no history of alcohol abuse. On admission, the patient was
lethargic; dysphagia, dysarthria, and quadriplegia were noted. Laboratory
examination showed significantly increased transaminase without hyponatremia.
Magnetic resonance imaging revealed abnormal signal intensities in the pons and
thalamus. Consciousness level and clinical symptoms improved gradually within a
week. We suggest that acute hepatic dysfunction may play an important role in the
development of central pontine myelinolysis and extrapontine myelinolysis.
PMID- 22080396
TI - Development and psychometric evaluation of the Social Justice Scale (SJS).
AB - The study describes the development of the Social Justice Scale (SJS).
Practitioners, educators, students, and other members of the community differ on
their attitudes and values regarding social justice. It is important to assess,
not only individuals' attitudes and values around social values, but also other
constructs that might be related to social justice behaviors. The implication of
Ajzen in Organizational Behavior and Human Decision Processes 50:179-211, (1991)
theory of planned behavior suggests that attitudes, perceived behavioral control,
and social norms predict intentions, which then lead to behaviors. A scale was
designed to measure social justice-related values, attitudes, perceived
behavioral control, subjective norms, and intentions based on a four-factor
conception of Ajzen's theory. Confirmatory factor analysis and analyses for
reliability and validity were used to test the properties of the scale.
PMID- 22080397
TI - A longitudinal process analysis of mother-child emotional relationships in a
rural Appalachian European American community.
AB - This prospective longitudinal study examines emotional relationships in 58
Appalachian mother-child dyads observed at home at 5 and 20 months. Between
infancy and toddlerhood, 3 of 4 dimensions of dyadic emotional relationships were
stable, and three remained continuous in their mean level. Increasing maternal
age was associated with greater maternal sensitivity and structuring and with
more responsive and involving children. Marital status and father presence in the
home as well as maternal openness, parenting knowledge, investment, and
satisfaction accounted for effects of maternal age on dyadic emotional
relationships. This longitudinal process analysis provides unique insights into
temporal dynamics of mother-child emotional relationships and their determinants
in an underserved and underresearched US community. Implications for community
specific interventions are discussed.
PMID- 22080398
TI - Visual backward masking performance in young adult emmetropes and myopes.
AB - PURPOSE: To investigate how temporal processing is altered in myopia and during
myopic progression. METHODS: In backward visual masking, a target's visibility is
reduced by a mask presented quickly after the target. Thirty emmetropes, 40 low
myopes, and 22 high myopes aged 18 to 26 years completed location and resolution
masking tasks. The location task examined the ability to detect letters with low
contrast and large stimulus size. The resolution task involved identifying a
small letter and tested resolution and color discrimination. Target and mask
stimuli were presented at nine short interstimulus intervals (12 to 259 ms) and
at 1000 ms (long interstimulus interval condition). RESULTS: In comparison with
emmetropes, myopes had reduced ability in both locating and identifying briefly
presented stimuli but were more affected by backward masking for a low contrast
location task than for a resolution task. Performances of low and high myopes, as
well as stable and progressing myopes, were similar for both masking tasks. Task
performance was not correlated with myopia magnitude. CONCLUSIONS: Myopes were
more affected than emmetropes by masking stimuli for the location task. This was
not affected by magnitude or progression rate of myopia, suggesting that myopes
have the propensity for poor performance in locating briefly presented low
contrast objects at an early stage of myopia development.
PMID- 22080399
TI - Driving speed is altered by monocular neutral density filters: the Enright
phenomenon.
AB - PURPOSE: An observer, looking sideways from a moving vehicle, while wearing a
neutral density (ND) filter over one eye, can have a distorted perception of
speed, known as the Enright phenomenon. The purpose of this study was to
determine how the Enright phenomenon influences driving behavior. METHODS: A
geometric model of the Enright phenomenon was developed. Ten young, visually
normal, participants (mean age = 25.4 years) were tested on a straight section of
a closed driving circuit and instructed to look out of the right side of the
vehicle and drive at either 40 km/h or 60 km/h under the following binocular
viewing conditions: with a 0.9 ND filter over the left eye (leading eye); 0.9 ND
filter over the right eye (trailing eye); 0.9 ND filters over both eyes, and with
no filters over either eye. The order of filter conditions was randomized and the
speed driven recorded for each condition. RESULTS: Speed judgments did not differ
significantly between the two baseline conditions (no filters and both eyes
filtered) for either speed tested. For the baseline conditions, when subjects
were asked to drive at 60 km/h, they matched this speed well (61 +/- 10.2 km/h)
but drove significantly faster than requested (51.6 +/- 9.4 km/h) when asked to
drive at 40 km/h. Subjects significantly exceeded baseline speeds by 8.7 +/- 5.0
km/h, when the trailing eye was filtered and traveled slower than baseline speeds
by 3.7 +/- 4.6 km/h when the leading eye was filtered. CONCLUSIONS: This is the
first quantitative study demonstrating how the Enright effect can influence
perceptions of driving speed and demonstrates that monocular filtering of an eye
can significantly impact driving speeds, albeit to a lesser extent than predicted
by geometric models of the phenomenon.
PMID- 22080395
TI - Local renin-angiotensin systems in the genitourinary tract.
AB - Local renin-angiotensin systems are common throughout the human body. Recent
evidence supports the existence of such local renin-angiotensin systems in the
penis, clitoris, bladder, ureter, internal anal sphincter, and urethral
sphincter. Beyond its role in regulating blood pressure through its effects on
vascular tone, sodium balance, and fluid homeostasis, angiotensin II serves a key
role in affecting physiologic and pathophysiologic activities of the
genitourinary tract. Just as angiotensin-converting enzyme inhibitors and
angiotensin receptor blockers are used for the treatment and prevention of heart
disease and vascular disease, inhibition of excessive angiotensin II activity may
be potentially useful for the treatment of urologic disorders.
PMID- 22080401
TI - The gap junction protein Cx43 is involved in the bone-targeted metastatic
behaviour of human prostate cancer cells.
AB - For decades, cancer was associated with gap-junction defects. However, more
recently it appeared that the gap junction proteins (connexins) could be re
expressed and participate to cancer cell dissemination during the late stages of
tumor progression. Since primary tumors of prostate cancer (PCa) are known to be
connexin deficient, it was interesting to verify whether their bone-targeted
metastatic behaviour could be influenced by the re-expression of the connexin
type (connexin43) which is originally present in prostate tissue and highly
expressed in bone where it participates to the differentiation of osteoblastic
cells. Thus, we investigated the effect of the increased Cx43 expression, by
retroviral infection, on the metastatic behaviour of two well-characterized cell
lines (PC-3 and LNCaP) representing different stages of PCa progression. It
appeared that Cx43 differently behaved in those cell lines and induced different
phenotypes. In LNCaP, Cx43 was functional, localized at the plasma membrane and
its high expression was correlated with a more aggressive phenotype both in vitro
and in vivo. In particular, those Cx43-expressing LNCaP cells exhibited a high
incidence of osteolytic metastases generated by bone xenografts in mice.
Interestingly, LNCaP cells were also able to decrease the proliferation of
cocultured osteoblastic cells. In contrast, the increased expression of Cx43 in
PC-3 cells led to an unfunctional, cytoplasmic localization of the protein and
was correlated with a reduction of proliferation, adhesion and invasion of the
cells. In conclusion, the localization and the functionality of Cx43 may govern
the ability of PCa cells to metastasize in bones.
PMID- 22080400
TI - Improvement in academic behaviors after successful treatment of convergence
insufficiency.
AB - PURPOSE: To determine whether treatment of symptomatic convergence insufficiency
(CI) has an effect on Academic Behavior Survey (ABS) scores. METHODS: The ABS is
a six-item survey developed by the Convergence Insufficiency Treatment Trial
Group that quantifies the frequency of adverse school behaviors and parental
concern about school performance on an ordinal scale from 0 (never) to 4 (always)
with total scores ranging from 0 to 24. The ABS was administered at baseline and
after 12 weeks of treatment to the parents of 218 children aged 9 to 17 years
with symptomatic CI, who were enrolled in the Convergence Insufficiency Treatment
Trial and randomized into (1) home-based pencil push-ups; (2) home-based computer
vergence/accommodative therapy and pencil push-ups; (3) office-based
vergence/accommodative therapy with home reinforcement; and (4) office-based
placebo therapy with home reinforcement. Participants were classified as
successful (n = 42), improved (n = 60), or non-responder (n = 116) at the
completion of 12 weeks of treatment using a composite measure of the symptom
score, nearpoint of convergence, and positive fusional vergence. Analysis of
covariance methods were used to compare the mean change in ABS between response
to treatment groups while controlling for the ABS score at baseline. RESULTS: The
mean ABS score for the entire group at baseline was 12.85 (SD = 6.3). The mean
ABS score decreased (improved) in those categorized as successful, improved, and
non-responder by 4.0, 2.9, and 1.3 points, respectively. The improvement in the
ABS score was significantly related to treatment outcome (p < 0.0001), with the
ABS score being significantly lower (better) for children who were successful or
improved after treatment as compared to children who were non-responders (p =
0.002 and 0.043, respectively). CONCLUSIONS: A successful or improved outcome
after CI treatment was associated with a reduction in the frequency of adverse
academic behaviors and parental concern associated with reading and school work
as reported by parents.
PMID- 22080402
TI - A novel D-ring modified taxoid: synthesis and biological evaluation of a gamma
lactone analogue of docetaxel.
AB - The synthesis of a novel D-ring modified docetaxel analogue, in which the oxetane
ring is replaced with a gamma-lactone, was achieved from 10-deacetylbaccatin III.
The key steps of the synthesis include the direct acetylation of the secondary
hydroxyl group at C-5 and D-ring opening and intramolecular aldol reaction to
form the gamma-lactone. In MTT assays, this analogue proved to have equipotent
cytotoxicity relative to paclitaxel towards HCT8, HePG2 and BGC23 cancer cell
lines, and be more potent than paclitaxel against A549 and A375. It represents
the first example of D-ring modified taxoids with significant cytotoxicity.
PMID- 22080403
TI - Promoted hydrogen release from ammonia borane with mannitol via a solid-state
reaction route.
AB - Promoted hydrogen release from ammonia borane (NH(3)BH(3), AB) with mannitol
(C(6)H(8)(OH)(6), MA) additive is reported. It is found that for the MA/2AB
sample, the dehydrogenation temperature is lowered by ~25 degrees C compared to
that of neat AB, the liberation of undesired byproduct borazine is suppressed,
and the released ammonia can be removed by using anhydrous MgCl(2) as absorber.
The analyses of Raman, Fourier transform infrared spectroscopy and (11)B nuclear
magnetic resonance spectroscopy demonstrate the breaking of B-N, B-H and O-H
bonds and the formation of B-O bonds for the dehydrogenation process of MA/2AB.
These results suggest a solid-state dehydrogenation reaction between AB and MA:
the B-H(delta-) bonds in AB and the O-H(delta+) bonds in MA combine with each
other to release H(2). Furthermore, the use of the perfect -OH carrier MA as
additive leads to a straightforward understanding of the improved dehydrogenation
of AB under the effect of hydroxyl groups in the solid state.
PMID- 22080404
TI - Enhanced cytotoxicity and decreased CD8 dependence of human cancer-specific
cytotoxic T lymphocytes after vaccination with low peptide dose.
AB - In mice, vaccination with high peptide doses generates higher frequencies of
specific CD8+ T cells, but with lower avidity compared to vaccination with lower
peptide doses. To investigate the impact of peptide dose on CD8+ T cell responses
in humans, melanoma patients were vaccinated with 0.1 or 0.5 mg Melan-A/MART-1
peptide, mixed with CpG 7909 and Incomplete Freund's adjuvant. Neither the
kinetics nor the amplitude of the Melan-A-specific CD8+ T cell responses differed
between the two vaccination groups. Also, CD8+ T cell differentiation and
cytokine production ex vivo were similar in the two groups. Interestingly, after
low peptide dose vaccination, Melan-A-specific CD8+ T cells showed enhanced
degranulation upon peptide stimulation, as assessed by CD107a upregulation and
perforin release ex vivo. In accordance, CD8+ T cell clones derived from low
peptide dose-vaccinated patients showed significantly increased degranulation and
stronger cytotoxicity. In parallel, Melan-A-specific CD8+ T cells and clones from
low peptide dose-vaccinated patients expressed lower CD8 levels, despite similar
or even stronger binding to tetramers. Furthermore, CD8+ T cell clones from low
peptide dose-vaccinated patients bound CD8 binding-deficient tetramers more
efficiently, suggesting that they may express higher affinity TCRs. We conclude
that low peptide dose vaccination generated CD8+ T cell responses with stronger
cytotoxicity and lower CD8 dependence.
PMID- 22080405
TI - Myeloid-derived suppressor cells impair the quality of dendritic cell vaccines.
AB - Myeloid-derived suppressor cells (MDSC) are important regulators of the immune
system and key players in tumor-induced suppression of T-cell responses. CD14+HLA
DR-/low MDSC have been detected in a great number of malignancies, including
melanoma. MDSC are known to be impaired in their ability to differentiate along
the myeloid lineage, e.g., into dendritic cells (DC). This is a concern for
utilization of monocyte-derived DC for vaccination of patients with melanoma or
other cancers exhibiting accumulation of CD14+ MDSC. When producing DC according
to standard operating procedures of two currently ongoing clinical trials, we
found that MDSC co-purified with monocytes isolated by elutriation. MDSC
frequencies did not affect yield or viability of the produced DC, but induced a
dose-dependent decrease in DC maturation, ability to take up antigen, migrate and
induce T-cell IFNgamma production. Changes in DC characteristics were most
notable when 'pathological' frequencies of >50% CD14+HLA-DR- cells were present
in the starting culture. The impaired DC quality could not be explained by
altered cytokine production or increased oxidative stress in the cultures.
Tracking of HLA-DR- cells throughout the culture period revealed that the
observed changes were partially due to the impaired maturation and functionality
of the originally HLA-DR- population, but also to their negative effects on HLA
DR+ cells. In conclusion, MDSC could be induced to differentiate into DC but, due
to the impairment of overall DC vaccine quality when >50% HLA-DR- cells were
present in the starting culture, their removal could be advisable.
PMID- 22080408
TI - Immunological monitoring of the tumor immunoenvironment for clinical trials.
AB - Monitoring of immunotherapeutic clinical trials has undergone a considerable
change in the last decade resulting in a general agreement that immune monitoring
should guide the development of cancer vaccines. The emphasis on immune cell
functions and quantitation of antigen-specific T cells have been playing a major
role in the attempts to establish meaningful correlations between therapy-induced
alterations in immune responses and clinical endpoints. However, one significant
unresolved issue in modern immunotherapy is that when a tumor-specific cellular
immune response is observed following the course of immunotherapy, it does not
always lead to clinically proven cancer regression. This disappointing lack of a
correlation between the tumor-specific cytotoxic immune responses and the
clinical efficacy of immunotherapy may be explained, among other reasons, by the
notion that the analysis of any single immunological parameter is not sufficient
to provide clinically feasible information about the complex interactions between
different cell subsets in the peripheral blood and immune, tumor, and stromal
cells in the tumor milieu. By contrast, a systemic approach is required for
improving the quality of a serial monitoring to ensure that it adequately and
reliably measures potential changes induced in patients by administered vaccines
or immunomodulators. Comprehensive evaluation of the balance between the
immunostimulatory and immunosuppressive compartments of the immune system could
be critical for a better understanding of how a given immunotherapy works or does
not work in a particular clinical trial. New approaches to characterize tumor
infiltrating leukocytes, their phenotypic, biochemical, and genetic
characteristics within the tumor microenvironment need to be developed and
validated and should complement current monitoring techniques. These immune
monitoring assays for the local tumor immunoenvironment should be developed,
validated, and standardized for reliability and consistency in order to establish
the overall performance standards.
PMID- 22080409
TI - The palladium-catalyzed desulfitative cyanation of arenesulfonyl chlorides and
sodium sulfinates.
AB - A palladium-catalyzed desulfitative cyanation of arenesulfonyl chlorides and
sodium sulfinates has been developed, providing aryl nitriles in moderate to
excellent yields. It represents a facile procedure to access aryl nitriles.
PMID- 22080411
TI - Streptomyces deserti sp. nov., isolated from hyper-arid Atacama Desert soil.
AB - The taxonomic position of a Streptomyces strain isolated from a hyper-arid desert
soil was established using a polyphasic approach. The organism had chemical and
morphological properties typical of the genus Streptomyces and formed a phyletic
line at the periphery of the Streptomyces coeruleorubidus subcluster in the 16S
rRNA gene tree. DNA:DNA relatedness values between the isolate and its nearest
phylogenetic neighbours, Streptomyces lomondensis NRRL 3252(T) and Streptomyces
lusitanus NRRL B-12501(T) were 42.5 (+/-0.48)% and 25.0 (+/-1.78)%, respectively.
The isolate was readily distinguished from these organisms using a combination of
morphological and phenotypic properties. On the basis of these results, it is
proposed that isolate C63(T) (CGMCC 4.6997(T), = KACC 15425(T)) be classified as
the type strain of Streptomyces deserti sp. nov.
PMID- 22080410
TI - Real-world experience of drug-eluting stents in saphenous vein grafts compared to
native coronary arteries: results from the prospective multicenter German DES.DE
registry.
AB - BACKGROUND: Bypass-graft intervention was associated with worse outcomes in the
bare-metal stent era. Without sufficiently powered data from subgroup analyses,
and in absence of randomized controlled trials targeting clinical endpoints,
controversy is ongoing over safety and efficacy of drug-eluting stents (DES) in
saphenous vein graft (SVG) lesions. METHODS AND RESULTS: Between October 2005 and
October 2006, 5,183 patients receiving DES in SVG (n = 251) or native coronary
arteries (NCA) (n = 4,932) were enrolled at 98 DES.DE sites. The composite of
death, myocardial infarction (MI), and stroke defined as major adverse cardiac
and cerebrovascular events (MACCE) and target-vessel revascularization (TVR) were
defined as primary endpoints. Baseline clinical and descriptive morphology of
coronary artery disease revealed more severe lesions and comorbidities in the SVG
group. At 1-year follow-up, the SVG group suffered from higher rates of overall
death (6.6 vs. 2.5%; p < 0.0001), myocardial infarction (5.9 vs. 2.2%; p <
0.0001), MACCE (13.6 vs. 5.4%; p < 0.0001), TVR (17.7 vs. 10.4%; p < 0.001) and
overall stent thrombosis (10.0 vs. 3.7%; p < 0.0001). CONCLUSION: Data collected
in DES.DE revealed that first generation DES used in SVG lesions did not offset
the worse clinical outcomes of bypass-graft intervention. Such sobering results
in SVG may suggest to opt for native vessel PCI preferentially or occasionally
for surgical reintervention as decided by the Heart Team.
PMID- 22080412
TI - The BISMiS 2011 special issue on prokaryotic systematics, a vital discipline
entering a period of transition.
PMID- 22080413
TI - Natural and artificial ion channels for biosensing platforms.
AB - The single-molecule selectivity and specificity of the binding process together
with the expected intrinsic gain factor obtained when utilizing flow through a
channel have attracted the attention of analytical chemists for two decades.
Sensitive and selective ion channel biosensors for high-throughput screening are
having an increasing impact on modern medical care, drug screening, environmental
monitoring, food safety, and biowarefare control. Even virus antigens can be
detected by ion channel biosensors. The study of ion channels and other
transmembrane proteins is expected to lead to the development of new medications
and therapies for a wide range of illnesses. From the first attempts to use
membrane proteins as the receptive part of a sensor, ion channels have been
engineered as chemical sensors. Several other types of peptidic or nonpeptidic
channels have been investigated. Various gating mechanisms have been implemented
in their pores. Three technical problems had to be solved to achieve practical
biosensors based on ion channels: the fabrication of stable lipid bilayer
membranes, the incorporation of a receptor into such a structure, and the
marriage of the modified membrane to a transducer. The current status of these
three areas of research, together with typical applications of ion-channel
biosensors, are discussed in this review.
PMID- 22080415
TI - A general route to synthesize water-dispersive noble metal-iron oxide
bifunctional hybrid nanoparticles.
AB - This communication describes a simple, general route for preparing bifunctional
hybrid nanoparticles based on direct adsorption and spontaneous reduction of
Ag(+) and Pd(2+) onto the surface of carbon-encapsulated superparamagnetic
colloidal nanoclusters. Because of the existence of carbon coating and surface
hydrophilic carboxyl, the bifunctional hybrid nanoparticles show excellent water
dispersity. In addition, the size (35 nm-86 nm) and number of Ag nanocrystals can
be tuned by changing the molar ratios and reaction concentration between Ag(+)
and nanoclusters.
PMID- 22080416
TI - An evaluation of three processing methods and the effect of reduced culture times
for faster direct identification of pathogens from BacT/ALERT blood cultures by
MALDI-TOF MS.
AB - Matrix-assisted laser desorption/ionisation time-of-flight mass spectrometry
(MALDI-TOF MS) is a fast and reliable method for the identification of bacteria
from agar media. Direct identification from positive blood cultures should
decrease the time to obtaining the result. In this study, three different
processing methods for the rapid direct identification of bacteria from positive
blood culture bottles were compared. In total, 101 positive aerobe BacT/ALERT
bottles were included in this study. Aliquots from all bottles were used for
three bacterial processing methods, i.e. the commercially available Bruker's
MALDI Sepsityper kit, the commercially available Molzym's MolYsis Basic5 kit and
a centrifugation/washing method. In addition, the best method was used to
evaluate the possibility of MALDI application after a reduced incubation time of
7 h of Staphylococcus aureus- and Escherichia coli-spiked (1,000, 100 and 10
colony-forming units [CFU]) aerobe BacT/ALERT blood cultures. Sixty-six (65%), 51
(50.5%) and 79 (78%) bottles were identified correctly at the species level when
the centrifugation/washing method, MolYsis Basic 5 and Sepsityper were used,
respectively. Incorrect identification was obtained in 35 (35%), 50 (49.5%) and
22 (22%) bottles, respectively. Gram-positive cocci were correctly identified in
33/52 (64%) of the cases. However, Gram-negative rods showed a correct
identification in 45/47 (96%) of all bottles when the Sepsityper kit was used.
Seven hours of pre-incubation of S. aureus- and E. coli-spiked aerobe BacT/ALERT
blood cultures never resulted in reliable identification with MALDI-TOF MS.
Sepsityper is superior for the direct identification of microorganisms from
aerobe BacT/ALERT bottles. Gram-negative pathogens show better results compared
to Gram-positive bacteria. Reduced incubation followed by MALDI-TOF MS did not
result in faster reliable identification.
PMID- 22080417
TI - Impact of Helicobacter pylori infection and microscopic duodenal
histopathological changes on clinical symptoms of patients with functional
dyspepsia.
AB - AIM: To evaluate the microscopic histopathological changes in duodenal tissue and
its relationship to the severity of symptoms in patients with functional
dyspepsia while taking the effect of Helicobacter pylori (H. pylori) infection
into account. METHODS: Several gastric and duodenal biopsy specimens were
obtained in 217 patients with functional dyspepsia and were evaluated for H.
pylori infection and histopathological changes. Severity of symptoms was assessed
by Leeds Dyspepsia Questionnaire (LDQ) and its relationship to histopathological
changes and H. pylori infection status was assessed. RESULTS: Helicobacter pylori
infection was associated with presence and severity of microscopic duodenitis (p
< 0.001). In H. pylori-infected patients, the presence of microscopic duodenitis
was independent of microscopic gastritis (p = 0.74). Severity of dyspepsia
symptoms was not higher in H. pylori-infected patients than non-infected patients
(p = 0.15), but in the presence of H. pylori infection and microscopic gastritis,
microscopic duodenitis significantly worsened the LDQ symptom severity score (p <
0.001). In multivariate analysis, the odds of experiencing severe symptoms in
patients with severe microscopic duodenitis was 2.22 times greater than in
individuals with very mild, mild, or moderate duodenitis. CONCLUSIONS:
Microscopic duodenitis in H. pylori-infected patients may play a major role in
producing and aggravating symptoms in FD patients and may be a determinant factor
to consider in whether to treat H. pylori infection in functional dyspepsia.
PMID- 22080418
TI - Incidental pancreatography via ERCP in patients with anomalous pancreaticobiliary
junction does not result in pancreatitis in a North American population.
AB - INTRODUCTION: Anomalous pancreaticobiliary junction (APBJ) is the term used to
describe anatomical variants of pancreatic and biliary ductal junctional anatomy.
Patients have junction of the pancreatic and bile ducts located outside the
duodenal wall, forming a long common channel. We report our findings and clinical
outcomes in a North American series of patients with APBJ undergoing ERCP.
METHODS: Retrospective chart review. RESULTS: We reviewed 2,218 ERCP performed on
1,050 patients. Twelve patients (1.1%) with APBJ were identified (5F, 7M). No
patient had an associated choledochocele. Mean age was 53.2 (range 17-85). A
total of 43 ERCP procedures were performed on these 12 patients. All patients
experienced passive pancreatography. No patient developed post-ERCP pancreatitis.
Only one patient had a history of antecedent pancreatitis. CONCLUSIONS: In North
American patients undergoing ERCP, 1.1% of patients had APBJ. Our study
population was predominately Caucasian, male, and in all but one patient lacked a
history of prior pancreatitis. No patient developed post-ERCP pancreatitis. This
suggests that APBJ may have different clinical manifestations in a North American
population when compared to Asian populations.
PMID- 22080419
TI - [Is the determination of the defibrillation threshold in patients with an
implantable cardioverter-defibrillator still required?].
AB - BACKGROUND: Intraoperative testing of implantable cardioverter-defibrillators
(ICDs) is time consuming and associated with risks. In the present study, we
elucidated whether the initial implantation of an ICD with high energy output
makes intraoperative defibrillation threshold testing (DFTT) unnecessary even
though antiarrhythmic (AA) therapy is needed in the future. METHODS: A total of
111 patients (94 men, 17 women) receiving an ICD with subsequent AA therapy
(mexiletine, amiodarone, sotalol, flecainide) were analyzed retrospectively. DFT
was performed during ICD implantation and after AA drug therapy. In a second
step, DFT results from the study cohort were analyzed for implantation of virtual
ICDs with either low (<= 30 J, LOD), intermediate (34 J, IOD), or high energy
output (36 J, HOD). RESULTS: In the study cohort, all patients reached the safety
margin (SM) of 10 J between DFT and maximal shock energy of the ICD. After
loading of AA agents, 6 patients (12%) with a LOD, 3 patients (11%) with an IOD,
and 3 (13%) patients with a HOD failed the 10 J SM. Using virtual ICDs, 6 (5.5%)
patients with a LOD, 1 patient (1%) with an IOD, and no patients with a HOD would
have failed the 10 J SM. After loading of AA agents, 18 patients (16%) with a
virtual LOD, 12 patients (10.8%) with an IOD, and still 9 patients (8%) with a
HOD would have failed the 10 J SM. CONCLUSION: Our results demonstrate that the
10 J SM would have been achieved intraoperatively in all patients with virtual
HOD ICDs. Thus, determination of the DFT during implantation does not seem to be
obligatory. However, in patients receiving AA agents, DFT testing is still
required.
PMID- 22080420
TI - Effect of carbon nanotube functionalization in micro-solid-phase extraction (MU
SPE) integrated into the needle of a syringe.
AB - In this paper, we report the implementation of polar and nonpolar functionalized
multiwalled carbon nanotubes (MWCNTs) as sorbent in MU-SPE integrated into the
needle of a syringe. Excellent preconcentration of diverse pharmaceutical
analytes was possible without the need for specific pH adjustments using just 300
MUg of functionalized nanotubes. Enrichment factors were as high as 6.4,
extraction efficiencies were as high as 25.6%, and detection limits as low as
0.08 ng/ml were obtained. The sorption on nanotubes followed Freundlich
isotherms, and it was seen that polar analytes adsorbed more strongly on
carboxylated MWCNTs, while amphoteric, relatively less polar and basic analytes
had greater affinity for MWCNT and those with octadecylamine functionalization.
PMID- 22080422
TI - Chronotherapy in hypertension: a pill at night makes things right?
PMID- 22080421
TI - Comparative proteomic analysis of grain development in two spring wheat varieties
under drought stress.
AB - Two spring wheat varieties Ningchun 4 and Chinese Spring with good and poor
resistance to abiotic stress, respectively, were used to investigate proteomic
changes in the developing grains under drought stress by a comparative proteomics
approach. A total of 152 protein spots showed at least twofold differences in
abundance on two-dimensional electrophoresis (2-DE) maps, of which 28 and 68
protein spots were identified by MALDI-TOF and MALDI-TOF/TOF mass spectrometry,
respectively. Of the 96 identified protein spots, six different expression
patterns were found and they were involved in stress/defense/detoxification,
carbohydrate metabolism, photosynthesis, nitrogen metabolism, storage proteins
and some other important functions. Comparative proteomic analysis revealed that
under the drought conditions the decreased degree of ascorbate peroxidases was
more significant in Chinese Spring than in Ningchun 4 during grain development
whereas translationally controlled tumor protein, which was significantly
upregulated at 14 DAF, was present in Ningchun 4 and absent in Chinese Spring.
The Rubisco large subunit displayed an upregulated expression pattern in Ningchun
4. In addition, two drought-tolerant proteins, triosephosphate isomerase and
oxygen-evolving complex showed B and F type expression patterns in Chinese
Spring, but D and B types in Ningchun 4, respectively. These differentially
expressed proteins might be responsible for the stronger drought resistance of
Ningchun 4 compared to Chinese Spring.
PMID- 22080423
TI - Tagged fibrocystin sheds its secrets.
PMID- 22080424
TI - The altered expression of alpha1 and beta3 subunits of the gamma-aminobutyric
acid A receptor is related to the hepatitis C virus infection.
AB - The modulation of the gamma-aminobutyric acid type A (GABA A) receptors activity
was observed in several chronic hepatitis failures, including hepatitis C. The
expression of GABA A receptor subunits alpha1 and beta3 was detected in
peripheral blood mononuclear cells (PBMCs) originated from healthy donors. The
aim of the study was to evaluate if GABA A alpha1 and beta3 expression can also
be observed in PBMCs from chronic hepatitis C (CHC) patients and to evaluate a
possible association between their expression and the course of hepatitis C virus
(HCV) infection. GABA A alpha1- and beta3-specific mRNAs presence and a protein
expression in PBMCs from healthy donors and CHC patients were screened by reverse
transcription polymerase chain reaction (RT-PCR) and Western blot, respectively.
In patients, HCV RNA was determined in sera and PBMCs. It was shown that GABA A
alpha1 and beta3 expression was significantly different in PBMCs from CHC
patients and healthy donors. In comparison to healthy donors, CHC patients were
found to present an increase in the expression of GABA A alpha1 subunit and a
decrease in the expression of beta3 subunit in their PBMCs. The modulation of
alpha1 and beta3 GABA A receptors subunits expression in PBMCs may be associated
with ongoing or past HCV infection.
PMID- 22080425
TI - Signs and symptoms predicting influenza in children: a matched case-control
analysis of prospectively collected clinical data.
AB - We aimed to determine whether there are signs or symptoms that could help
clinicians to distinguish between influenza and other respiratory infections. The
clinical data for this matched case-control analysis were derived from a 2-year
prospective cohort study of respiratory infections among children aged<=13 years.
At any signs of respiratory infection, the children were examined and nasal swabs
were obtained for virologic analyses. Cases were 353 children with laboratory
confirmed influenza and controls were 353 children with respiratory symptoms who
tested negative for influenza. Cases and controls were matched for gender, age,
and timing of the visit. In the multivariate conditional logistic regression
analyses, fever was the only sign that independently predicted influenza virus
infection, with odds ratios ranging from 13.55 (95% confidence interval [CI],
6.90-26.63) to 50.10 (95% CI, 16.25-154.45), depending on the degree of fever. In
all analyses, the predictive capability of fever increased with incremental
elevations in the child's temperature. The likelihood ratio of fever>=40.0
degrees C in predicting influenza was 6.00 (95% CI, 2.80-12.96). Among unselected
children seen as outpatients during influenza outbreaks, fever is the only
reliable predictor of influenza virus infection. The optimal use of influenza
specific antiviral drugs in children may require virologic confirmation.
PMID- 22080426
TI - An end-users oriented methodology for enhancing the integration of knowledge on
soil-water-sediment systems in River Basin Management: an illustration from the
AquaTerra project.
AB - Research results in environmental and socio-economic sciences are often under
used by stakeholders involved in the management of natural resources. To minimise
this gap, the FP6 EU interdisciplinary project AquaTerra (AT) developed an end
users' integration methodology in order to ensure that the data, knowledge and
tools related to the soil-water-sediment system that were generated by the
project were delivered in a meaningful way for end-users, thus improving their
uptake. The methodology and examples of its application are presented in this
paper. From the 408 project deliverables, 96 key findings were identified, 53
related to data and knowledge, and 43 describing advanced tools. River Basin
Management (RBM) stakeholders workshops identified 8 main RBM issues and 25
specific stakeholders' questions related to RBM which were classified into seven
groups of cross-cutting issues, namely scale, climate change, non-climatic
change, the need for systemic approaches, communication and participation,
international and inter-basin coordination and collaboration, and the
implementation of the Water Framework Directive. The integration methodology
enabled an assessment of how AT key findings meet stakeholders' demands, and for
each main RBM issue and for each specific question, described the added-value of
the AT project in terms of knowledge and tools generated, key parameters to
consider, and recommendations that can be made to stakeholders and the wider
scientific community. Added value and limitations of the integration methodology
and its outcomes are discussed and recommendations are provided to further
improve integration methodology and bridge the gaps between scientific research
data and their potential uptake by end-users.
PMID- 22080427
TI - Local residents perception of benefits and losses from protected areas in India
and Nepal.
AB - High densities of people living around protected areas (PAs) in South Asia
require management strategies to balance conservation goals and livelihood needs.
Based on a survey of 777 households around five PAs in India and Nepal, this
paper provides a comparative perspective of Indian and Nepali households' views
of protected area benefits and costs, their attitude toward conservation in
general, and attitude toward protected area staff. Results indicate mixed
responses towards tourism, varying from very favorable in Nepal to less favorable
in India. The majority (81%) held positive attitudes towards the existence and
importance of PAs but had negative perceptions of PA staff (69%). Most residents
perceived benefits from access to fuel wood, fodder and other PA resources
including benefits from tourism, while crop and livestock losses from wildlife
were the main costs. Households overall positive attitudes towards the PAs and
conservation despite high losses from living around PAs suggests that local
residents may support conservation if their livelihood needs are met. Comparisons
of household attitudes and perceptions suggest that locally based strategies
rather than top-down approaches are likely to be more effective. Extending PA
benefits to smaller landholders, households that are highly resource-dependent or
experiencing higher income losses from human-wildlife conflicts, and less
educated residents are particularly important to balance costs and losses from
living around protected areas.
PMID- 22080428
TI - Assessing watershed transport of atrazine and nitrate to evaluate conservation
practice effects and advise future monitoring strategies.
AB - Continued public support for U.S. taxpayer funded programs aimed at reducing
agricultural pollutants depends on clear demonstrations of water quality
improvements. The objective of this research was to determine if implementation
of agricultural best management practices (BMPs) in the Goodwater Creek
Experimental Watershed (GCEW) resulted in changes to atrazine and nitrate (NO(3)
N) loads during storm events. An additional objective was to estimate future
monitoring periods necessary to detect a 5, 10, 20, and 25% reduction in atrazine
and NO(3)-N event load. The GCEW is a 73 km(2) watershed located in northcentral
Missouri, USA. Linear regressions and Akaike Information Criteria were used to
determine if reductions in atrazine and NO(3)-N event loads occurred as BMPs were
implemented. No effects due to any BMP type were indicated for the period of
record. Further investigation of event sampling from the long-term GCEW
monitoring program indicated errors in atrazine load calculations may be possible
due to pre-existing minimum threshold levels used to trigger autosampling and
sample compositing. Variation of event loads was better explained by linear
regressions for NO(3)-N than for atrazine. Decommissioning of upstream monitoring
stations during the study period represented a missed opportunity to further
explain variation of event loads at the watershed outlet. Atrazine requires
approximately twice the monitoring period relative to NO(3)-N to detect future
reductions in event load. Appropriate matching of pollutant transport mechanisms
with autosampling protocols remains a critical information need when setting up
or adapting watershed monitoring networks aimed at detecting watershed-scale BMP
effects.
PMID- 22080429
TI - Phyllosphere bacterial communities of trichome-bearing and trichomeless
Arabidopsis thaliana leaves.
AB - This study aimed to investigate whether the presence of trichomes as conspicuous
physical attributes of the leaf surface affects the microbial community
composition on Arabidopsis thaliana leaves. The A. thaliana ecotype Col-0 and its
trichomeless gl1 mutant were grown in growth cabinets under climate-controlled
conditions. The gl1 mutant showed a similar wax composition as the Col-0 wild
type with slightly reduced amounts of C(29), C(31) and C(33) alkanes by GC/MS and
GC/FID analyses. 120 bacterial isolates representing 39 bacterial genera were
obtained from A. thaliana Col-0 leaf surfaces. Phylogenetic analysis of nearly
full-length 16S rRNA sequences from 29 selected isolates confirmed their
affiliation to the Proteobacteria (Alpha-, Beta-, Gamma-), Actinobacteria,
Bacteroidetes and Firmicutes. The bacterial diversity on A. thaliana ecotype Col
0 and its gl1 mutant, devoid of trichomes, were further compared by denaturing
gradient gel electrophoresis (DGGE). Banding patterns and sequencing of
representative DGGE bands revealed the presence of phylotypes related to
Sphingomonas (Alphaproteobacteria), Methylophilus (Betaproteobacteria) and
Dyadobacter (Bacteroidetes) which are common phyllosphere inhabitants.
Furthermore, wildtype and trichomeless mutant plants were exposed to outdoor
conditions for 4-5 weeks. The DGGE gels showed only minor differences between the
two plant lines, thus suggesting that trichomes per se do not affect bacterial
diversity on Arabidopsis leaves under the experimental conditions tested.
PMID- 22080430
TI - Phase 1 clinical trial of the novel proteasome inhibitor marizomib with the
histone deacetylase inhibitor vorinostat in patients with melanoma, pancreatic
and lung cancer based on in vitro assessments of the combination.
AB - PURPOSE: Combining proteasome and histone deacetylase (HDAC) inhibition has been
seen to provide synergistic anti-tumor activity, with complementary effects on a
number of signaling pathways. The novel bi-cyclic structure of marizomib with its
unique proteasome inhibition, toxicology and efficacy profiles, suggested utility
in combining it with an HDAC inhibitor such as vorinostat. Thus, in this study in
vitro studies assessed the potential utility of combining marizomib and
vorinostat, followed by a clinical trial with the objectives of assessing the
recommended phase 2 dose (RP2D), pharmacokinetics (PK), pharmacodynamics (PD),
safety and preliminary anti-tumor activity of the combination in patients.
EXPERIMENTAL DESIGN: Combinations of marizomib and vorinostat were assessed in
vitro. Subsequently, in a Phase 1 clinical trial patients with melanoma,
pancreatic carcinoma or Non-small Cell Lung Cancer (NSCLC) were given escalating
doses of weekly marizomib in combination with vorinostat 300 mg daily for 16 days
in 28 day cycles. In addition to standard safety studies, proteasome inhibition
and pharmacokinetics were assayed. RESULTS: Marked synergy of marizomib and
vorinostat was seen in tumor cell lines derived from patients with NSCLC,
melanoma and pancreatic carcinoma. In the clinical trial, 22 patients were
enrolled. Increased toxicity was not seen with the combination. Co-administration
did not appear to affect the PK or PD of either drug in comparison to historical
data. Although no responses were demonstrated using RECIST criteria, 61% of
evaluable patients demonstrated stable disease with 39% having decreases in tumor
measurements. CONCLUSIONS: Treatment of multiple tumor cell lines with marizomib
and vorinostat resulted in a highly synergistic antitumor activity. The
combination of full dose marizomib with vorinostat is tolerable in patients with
safety findings consistent with either drug alone.
PMID- 22080431
TI - A novel LED-based device for occlusal caries detection.
AB - The aim of this in-vitro study was to compare the performance of laser-based
(DIAGNOdent, KaVo, Biberach, Germany) and LED-based (Midwest Caries I.D.,
DENTSPLY Professional, New York, USA) caries detectors in the detection of
occlusal caries in permanent molars. The study consisted of 129 visually sound or
non-cavitated pits or fissures in 82 extracted permanent human molar teeth. Two
trained examiners used the laser-based and LED-based caries detectors to examine
the fissures for caries. The teeth were then sectioned at the surfaces suspected
of containing occlusal caries and histologically evaluated using stereomicroscopy
as a gold standard. Inter-examiner reliability of the caries detector examination
was assessed using Cohen's Kappa statistics. The sensitivity, specificity, and
accuracy in diagnosing occlusal caries using the two devices were calculated
according to appropriate cut-off scores. Receiver operating characteristic (ROC)
curves were also determined to compare the diagnostic performance of the devices
in occlusal caries diagnosis. The cut-off level of significance was taken as p =
0.005. Cohen's Kappa showed substantial agreement for the laser-based caries
detector (0.74), and almost perfect agreement for the LED-based (0.89) caries
detector. The specificity of the laser-based device varied from 0.49 to 0.97 at
T1 and T2. Its sensitivity varied from 0.33 to 0.65 at T1 and T2. The specificity
of the LED-based device varied from 0.48 to 0.56 at T1 and T2. Its sensitivity
varied from 0.65 to 0.84 at T1 and from 0.80 to 0.84 at T2. Taking the
limitations of the current study into consideration, the DIAGNOdent laser pen was
more accurate in determining when teeth were free of occlusal caries than was the
Midwest Caries I.D. LED-based device, although the Midwest Caries I.D. device
more often revealed the presence of occlusal caries than did the DIAGNOdent pen.
PMID- 22080432
TI - Reference genes for qPCR assays in toxic metal and salinity stress in two
flatworm model organisms.
AB - The flatworm species Schmidtea mediterranea and Macrostomum lignano have become
new and innovative model organisms in stem cell, regeneration and tissue
homeostasis research. Because of their unique stem cell system, (lab) technical
advantages and their phylogenetic position within the Metazoa, they are also
ideal candidate model organisms for toxicity assays. As stress and biomarker
screenings are often performed at the transcriptional level, the aim of this
study was to establish a set of reference genes for qPCR experiments for these
two model organisms in different stress situations. We examined the
transcriptional stability of nine potential reference genes (actb, tubb, ck2,
cox4, cys, rpl13, gapdh, gm2ap, plscr1) to assess those that are most stable
during altered stress conditions (exposure to carcinogenic metals and salinity
stress). The gene expression stability was evaluated by means of geNorm and
NormFinder algorithms. Sets of best reference genes in these analyses varied
between different stress situations, although gm2ap and actb were stably
transcribed during all tested combinations. In order to demonstrate the impact of
bad normalisation, the stress-specific gene hsp90 was normalised to different
sets of reference genes. In contrast to the normalisation according to GeNorm and
NormFinder, normalisation of hsp90 in Macrostomum lignano during cadmium stress
did not show a significant difference when normalised to only gapdh. On the other
hand an increase of variability was noticed when normalised to all nine tested
reference genes together. Testing appropriate reference genes is therefore
strongly advisable in every new experimental condition.
PMID- 22080433
TI - DNA damage in cichlids from an oil production facility in Guatemala.
AB - This study focused on several wetlands in Laguna del Tigre National Park
(Guatemala) as part of Conservation International's Rapid Assessment Program.
Sediment and water samples were collected from a laguna near Xan field,
Guatemala's largest oil facility, and three other sites for determination of
levels of polycyclic aromatic hydrocarbons (PAHs). Cichlid fish (Thorichthys
meeki and Vieja synspila) were collected for determination of DNA strand breakage
(by gel electrophoresis), chromosomal breakage (flow cytometry), and fin erosion.
For T. meeki from Xan field, chromosomal breakage and strand breakage was greater
than in at least two of the three reference sites. For V. synspila, chromosomal
breakage and strand breakage were greater in Xan than one of the two reference
sites. Fin erosion was observed only at the Xan laguna. Genetic biomarker effects
and fin erosion, along with patterns of aqueous PAH concentrations, indicate that
fish are affected by anthropogenic contaminants. PAHs were elevated at some
reference sites, but environmental forensic analysis suggested a pyrogenic or
diagenic origin. It is possible that oil field brines injected into the ground
water caused fin erosion and genotoxicity in fish at Xan field, and it is also
possible that pyrogenic PAHs influence levels of DNA damage in reference sites.
These analyses represent one of the first efforts to examine genotoxicity in
native Mesoamerican cichlids.
PMID- 22080435
TI - Computer animated relaxation therapy in children between 7 and 13 years with
tension-type headache: a pilot study.
AB - This pilot study evaluated the effect of computer animated relaxation therapy in
children between 7 and 13 years with tension-type headache and the children's
experiences with the therapy. The therapy consisted of an uncontrolled nine
session course in modified progressive relaxation therapy assisted by computer
animated surface EMG provided from the trapezius muscles and with the
physiotherapist as a participant observer. Outcome measures were (a) headache
frequency and intensity, (b) pericranial tenderness, (c) tension patterns, and
(d) evaluations assessed at baseline and at 3 months follow up. Nine children,
mean age 10.9 (SD 1.7) years, diagnosed with frequent episodic or chronic tension
type headache completed the course. The results showed a mean improvement of 45%
for headache frequency at 3 months follow up versus baseline and a significant
reduction in headache frequency for all participants and in Total Tenderness
Score for children with frequent episodic tension-type headache. The children
expressed a growing understanding of body reactions and an acquired ability to
deactivate and regulate these reactions. Computer animated SEMG seems an
applicable learning strategy for young headache sufferers. This study suggests
that children below the age of 13 need both the dialog and guidance from a
participant observer in order to achieve body awareness.
PMID- 22080434
TI - Organic carbon source in formulated sediments influences life traits and gene
expression of Caenorhabditis elegans.
AB - River water quality is strongly influenced by their sediments and their
associated pollutants. To assess the toxic potential of sediments, sediment
toxicity tests require reliable control sediments, potentially including
formulated control sediments as one major option. Although some standardization
has been carried out, one critical issue still remains the quality of sediment
organic matter (SOM). Organic carbon not only binds hydrophobic contaminants, but
may be a source of mild toxicity, even if the SOM is essentially uncontaminated.
We tested two different sources of organic carbon and the mixture of both
(Sphagnum peat (P) and one commercial humic substances preparation
HuminFeed((r)), HF) in terms of life trait variables and expression profiles of
selected life performance and stress genes of the nematode Caenorhabditis
elegans. In synchronous cultures, gene expression profiling was done after 6 and
48 h, respectively. The uncontaminated Sphagnum P reduced growth, but increased
numbers of offspring, whereas HF did not significantly alter life trait
variables. The 6 h expression profile showed most of the studied stress genes
repressed, except for slight to strong induction in cyp-35B1 (all exposures), gst
38 (only mixture), and small hsp-16 genes (all exposures). After 48 h, the
expression of almost all studied genes increased, particularly genes coding for
antioxidative defense, multiple xenobiotic resistance, vitellogenin-like
proteins, and genes regulating lifespan. Overall, even essentially uncontaminated
SOM may induce several modes of action on the molecular level in C. elegans which
may lead to false results if testing synthetic xenobiotics. This contribution is
a plea for a strict standardization of the SOM quality in formulated sediments
and to check for corresponding effects in other model sediment organisms,
especially if using molecular toxicity endpoints.
PMID- 22080436
TI - Empirical evidence of the effectiveness of concept mapping as a learning
intervention for nuclear medicine technology students in a distance learning
radiation protection and biology course.
AB - Metacognitive learning strategies are based on instructional learning theory,
which promotes deep, meaningful learning. Educators in a baccalaureate-level
nuclear medicine technology program demonstrated that students enrolled in an
online, distance learning section of an introductory radiation protection and
radiobiology course performed better when traditional instruction was
supplemented with nontraditional metacognitive learning strategies. METHODS: The
metacognitive learning strategy that was used is best known as concept mapping.
The concept map, in addition to the standard homework problem assignment and
opportunity for question-answer sessions, became the template for misconception
identification and remediation interactions between the instructor and the
student. The control group relied on traditional homework problems and question
answer sessions alone. Because students in both the "treatment" groups (i.e.,
students who used concept mapping) and the control group were distance learning
students, all personal communications were conducted via e-mail or telephone. The
final examination of the course was used to facilitate a quantitative comparison
of the performance of students who used concept mapping and the performance of
students who did not use concept mapping. RESULTS: The results demonstrated a
significantly higher median final examination score for the concept mapping group
than for the non-concept mapping group (z = -2.0381, P = 0.0415), with an
appropriately large effect size (2.65). CONCLUSION: Concept mapping is a
cognitive learning intervention that effectively enables meaningful learning and
is suitable for use in the independent learner-oriented distance learning
environments used by some nuclear medicine technology programs.
PMID- 22080437
TI - Effect of asiaticoside on 99mTc-tetrofosmin and 99mTc-sestamibi uptake in MCF-7
cells.
AB - This study was done to examine the effect of asiaticoside on MCF-7 cell uptake of
(99m)Tc-tetrofosmin ((99m)Tc-Tfos) and (99m)Tc-sestamibi ((99m)Tc-MIBI). METHODS:
The 3-(4,5-dimethylthiozol-2-yl)-2,5-diphenyltetrazolium bromide (MTT) assay was
used to evaluate the effect of a 50% inhibitory concentration of asiaticoside on
MCF-7 cell proliferation. MCF-7 cells were treated with 10, 20, 30, 40, and 50
MUM asiaticoside for 48 h and then incubated with 59.2 MBq of either (99m)Tc-Tfos
or (99m)Tc-MIBI tracer for 60 min. The uptake of the tracers was measured with a
dose calibrator. RESULTS: The 50% inhibitory concentration of asiaticoside for
MCF-7 cells was determined with the MTT assay to be 40 MUM. The uptake results
were expressed as the mean +/- SE radioactivity in MBq/mg of protein, and P
values were also calculated (P values of 0.03 indicated significant differences).
In the control (no asiaticoside) and at 10, 20, 30, 40, and 50 MUM asiaticoside,
the mean levels of (99m)Tc-Tfos uptake were 0.79 (SE, 0.059) (P = 0.14), 0.84
(SE, 0.057) (P = 0.60), 0.47 (SE, 0.034) (P = 0.03), 0.40 (SE, 0.050) (P = 0.03),
0.37 (SE, 0.050) (P = 0.03), and 0.15 (SE, 0.023) (P = 0.03), respectively; the
mean levels of (99m)Tc-MIBI uptake were 0.95 (SE, 0.007) (P = 0.14), 0.81 (SE,
0.009) (P = 0.60), 0.79 (SE, 0.019) (P = 0.03), 0.63 (SE, 0.004) (P = 0.03), 0.13
(SE, 0.006) (P = 0.03), and 0.07 (SE, 0.008) (P = 0.03), respectively.
Asiaticoside concentrations of 10, 20, 30, 40, and 50 MUM revealed the uptake
kinetics for both (99m)Tc-Tfos and (99m)Tc-MIBI in MCF-7 cells. (99m)Tc-Tfos and
(99m)Tc-MIBI showed similar trends; the radioactivity uptake was dose dependent,
and asiaticoside inhibited 16% and 47% of (99m)Tc-Tfos uptake and (99m)Tc-MIBI
uptake in MCF-7 cells, respectively. CONCLUSION: This study showed that
asiaticoside, acting as a biochemical modulator, may induce apoptosis and enhance
antitumor activity in MCF-7 cells, as determined by (99m)Tc-Tfos and (99m)Tc-MIBI
uptake. These findings are promising for cancer chemotherapy. Future studies
should be performed to confirm our findings and to further delineate the clinical
role of asiaticoside.
PMID- 22080438
TI - Costimulatory protein 4IgB7H3 drives the malignant phenotype of glioblastoma by
mediating immune escape and invasiveness.
AB - PURPOSE: Recent work points out a role of B7H3, a member of the B7-family of
costimulatory proteins, in conveying immunosuppression and enforced invasiveness
in a variety of tumor entities. Glioblastoma is armed with effective
immunosuppressive properties resulting in an impaired recognition and ineffective
attack of tumor cells by the immune system. In addition, extensive and diffuse
invasion of tumor cells into the surrounding brain tissue limits the efficacy of
local therapies. Here, 4IgB7H3 is assessed as diagnostic and therapeutic target
for glioblastoma. EXPERIMENTAL DESIGN: To characterize B7H3 in glioblastoma, we
conduct analyses not only in glioma cell lines and glioma-initiating cells but
also in human glioma tissue specimens. RESULTS: B7H3 expression by tumor and
endothelial cells correlates with the grade of malignancy in gliomas and with
poor survival. Both soluble 4IgB7H3 in the supernatant of glioma cells and cell
bound 4IgB7H3 are functional and suppress natural killer cell-mediated tumor cell
lysis. Gene silencing showed that membrane and soluble 4IgB7H3 convey a
proinvasive phenotype in glioma cells and glioma-initiating cells in vitro. These
proinvasive and immunosuppressive properties were confirmed in vivo by
xenografted 4IgB7H3 gene silenced glioma-initiating cells, which invaded
significantly less into the surrounding brain tissue in an orthotopic model and
by subcutaneously injected LN-229 cells, which were more susceptible to natural
killer cell-mediated cytotoxicity than unsilenced control cells. CONCLUSIONS:
Because of its immunosuppressive and proinvasive function, 4IgB7H3 may serve as a
therapeutic target in the treatment of glioblastoma.
PMID- 22080439
TI - A phase I weekly dosing study of brentuximab vedotin in patients with
relapsed/refractory CD30-positive hematologic malignancies.
AB - PURPOSE: The antibody-drug conjugate (ADC) brentuximab vedotin comprises a CD30
directed antibody covalently attached to the potent antimicrotubule agent
monomethyl auristatin E (MMAE) via a protease-cleavable linker. This study
explored the safety, maximum-tolerated dose (MTD), and activity of weekly dosing
of brentuximab vedotin in patients with relapsed or refractory CD30-positive
hematologic malignancies. EXPERIMENTAL DESIGN: In this phase I dose-escalation
study, brentuximab vedotin was administered intravenously on Days 1, 8, and 15,
of each 28-day cycle at doses ranging from 0.4 to 1.4 mg/kg. Forty-four patients
were enrolled: 38 with Hodgkin lymphoma, five with systemic anaplastic large cell
lymphoma, and one with peripheral T-cell lymphoma not otherwise specified. Doses
were escalated in increments of 0.2 mg/kg until dose-limiting toxicity (DLT) was
observed. Patients were monitored for antitherapeutic antibodies and
pharmacokinetic parameters. Antitumor assessments were carried out every two
cycles. RESULTS: The MTD was 1.2 mg/kg. The most common adverse events were
peripheral sensory neuropathy, fatigue, nausea, diarrhea, arthralgia, and
pyrexia; and the majority of events were mild to moderate in severity. Tumor
regression occurred in 85% of patients and the overall objective response rate
was 59% (n = 24), with 34% (n = 14) complete remissions. The median duration of
response was not reached at a median follow-up of 45 weeks on study. CONCLUSIONS:
Weekly administration of brentuximab vedotin resulted in tumor regression and
durable remissions in patients with CD30-positive malignancies. This ADC was
associated with manageable toxicity, including peripheral neuropathy. Further
study in CD30-positive malignancies is warranted.
PMID- 22080440
TI - Punctate LC3B expression is a common feature of solid tumors and associated with
proliferation, metastasis, and poor outcome.
AB - PURPOSE: Measurement of autophagy in cancer and correlation with histopathologic
grading or clinical outcomes has been limited. Accordingly, we investigated LC3B
as an autophagosome marker by analyzing nearly 1,400 tumors from 20 types of
cancer, focusing on correlations with clinical outcomes in melanoma and breast
cancer. EXPERIMENTAL DESIGN: Staining protocols were developed for automated
quantitative analysis (AQUA) using antibodies versus LC3 isoform B (LC3B) and Ki
67. Clinically annotated breast and melanoma tissue microarrays (TMA) and a
multitumor array were used. An AQUA program was developed to quantitate LC3B
distribution in punctate and diffuse compartments of the cell. RESULTS: LC3B
staining was moderate to high in the large majority of tumors. The percentage of
area occupied by punctate LC3B was elevated by 3- to 5-fold at high LC3B
intensities. In breast cancer and melanoma TMAs, LC3B and Ki-67 showed strong
correlations (P < 0.0001), and in multitumor TMAs, mitotic figures were most
often seen in tumors with the highest LC3B expression (P < 0.002). In breast
cancer, LC3B expression was elevated in node-positive versus node-negative
primaries and associated with increased nuclear grade and shortened survival. In
a melanoma TMA with no survival data, LC3B levels were highest in nodal,
visceral, and cutaneous metastases. CONCLUSIONS: The results reveal a common
expression of LC3B in malignancy and support emerging evidence that autophagy
plays a significant role in cancer progression. High LC3B was associated
proliferation, invasion and metastasis, high nuclear grade, and worse outcome.
Thus, autophagy presents a key target of therapeutic vulnerability in solid
tumors.
PMID- 22080441
TI - Pubertal androgenization and gonadal histology in two 46,XY adolescents with
NR5A1 mutations and predominantly female phenotype at birth.
AB - OBJECTIVE: Most patients with NR5A1 (SF-1) mutations and poor virilization at
birth are sex-assigned female and receive early gonadectomy. Although studies in
pituitary-specific Sf-1 knockout mice suggest hypogonadotropic hypogonadism,
little is known about endocrine function at puberty and on germ cell tumor risk
in patients with SF-1 mutations. This study reports on the natural course during
puberty and on gonadal histology in two adolescents with SF-1 mutations and
predominantly female phenotype at birth. DESIGN AND METHODS: Clinical and
hormonal data and histopathological studies are reported in one male and one
female adolescent with, respectively, a nonsense mutation (c.9T>A, p.Tyr3X) and a
deletion of the first two coding exons (NCBI36/hg18 Chr9:g.(126306276
126307705)_(126303229-126302828)del) of NR5A1, both predicted to fully disrupt
gene function. RESULTS: LH and testosterone concentrations were in the normal
male range, virilization was disproportionate to the neonatal phenotype. In the
girl, gonadectomy at 13 years revealed incomplete spermatogenesis and bilateral
precursor lesions of testicular carcinoma in situ. In the boy, at the age of 12,
numerous germ cells without signs of malignancy were present in bilateral
testicular biopsy specimen. CONCLUSIONS: In SF-1 mutations, the neonatal
phenotype poorly predicts virilization at puberty. Even in poorly virilized cases
at birth, male gender assignment may allow spontaneous puberty without signs of
hypogonadotropic hypogonadism, and possibly fertility. Patients with SF-1
mutations are at increased risk for malignant germ cell tumors. In case of
preserved gonads, early orchidopexy and germ cell tumor screening is warranted.
The finding of premalignant and/or malignant changes should prompt gonadectomy or
possibly irradiation.
PMID- 22080442
TI - A small-scale anatomic model for testicular radiation dosimetry for radionuclides
localized in the human testes.
AB - The testis is a radiosensitive tissue. It contains a large number of lobules,
which in turn are composed of convoluted seminiferous tubules. The epithelium
inside each tubule consists of a complex mosaic of supporting cells and germ
cells of different sizes and degrees of maturation. These cells are known to have
diverse sensitivity to radiation, those with the highest sensitivity being the
spermatogonia, which form part of the basal cell layer, and those with the lowest
sensitivity being the mature sperm cells closest to the lumen of the tubule. For
many years, the internal dosimetry community has discussed the need for
improvements to bring about more detailed, cell-level testicular dosimetry. This
paper presents a small-scale dosimetry model for calculation of S factors for
several different source-target configurations within the testicular tissue.
METHODS: A model of the testis was designed in which the lobules were
approximated by a cross-section of seminiferous tubules arranged in a hexagonal
pattern, with interstitial tissue between them. The seminiferous tubules were
divided into concentric layers representing spermatogenic development in the
seminiferous epithelium. S factors were calculated for electrons, photons, alpha
particles, and for (18)F, (90)Y, (99m)Tc, (111)In, (125)I, (131)I, (177)Lu, and
(211)At using Monte Carlo simulations. RESULTS: For electrons with low energies
the range was small, compared with the diameter of the seminiferous tubules,
resulting in high energy deposition close to the source, whereas for higher
electron energies more uniform energy deposition was seen, as expected. The same
trend was seen for low-energy photons, whose mean free paths are small, compared
with the diameter of the seminiferous tubules, resulting in high energy
deposition close to the source, whereas for higher photon energies the location
of the activity in the testis is less important. CONCLUSION: The model presented
in this paper is a simplification of the organized chaos that constitutes the
structure of the actual testis. However, it provides a relevant, small-scale
anatomic model to help us understand the significance of the heterogeneity of
radioactivity in this important radiosensitive tissue.
PMID- 22080443
TI - Bombesin antagonist-based radioligands for translational nuclear imaging of
gastrin-releasing peptide receptor-positive tumors.
AB - Bombesin receptors are overexpressed on a variety of human tumors. In particular,
the gastrin-releasing peptide receptor (GRPr) has been identified on prostate and
breast cancers and on gastrointestinal stromal tumors. The current study aims at
developing clinically translatable bombesin antagonist-based radioligands for
SPECT and PET of GRPr-positive tumors. METHODS: A potent bombesin antagonist
(PEG(4)-D-Phe-Gln-Trp-Ala-Val-Gly-His-Sta-Leu-NH(2) [AR]) was synthesized;
conjugated to the chelators DOTA, 6-carboxy-1,4,7,11-tetraazaundecane (N4), 1,4,7
triazacyclononane, 1-glutaric acid-4,7 acetic acid (NODAGA), and 4,11
bis(carboxymethyl)-1,4,8,11-tetraazabicyclo[6.6.2]hexadecane (CB-TE2A); and
radiolabeled with (111)In, (99m)Tc, (68)Ga, and (64)Cu, respectively. The
radioconjugates were evaluated in vitro and in vivo in PC-3 tumor-bearing nude
mice. Antagonist potency was determined by Ca(2+)-flux measurements and
immunofluorescence. RESULTS: All the conjugates showed high binding affinity to
GRPr (inhibitory concentration of 50% [IC(50)], 2.5-25 nmol/L). The
immunofluorescence and Ca(2+)-flux assays confirmed the antagonist properties of
the conjugates. Biodistribution revealed high and specific uptake in PC-3 tumor
and in GRPr-positive tissues. Tumor uptake of (64)Cu-CB-TE2A-AR (31.02 +/- 3.35
percentage injected activity per gram [%IA/g]) was higher than (99m)Tc-N4-AR
(24.98 +/- 5.22 %IA/g), (111)In-DOTA-AR (10.56 +/- 0.70 %IA/g), and (68)Ga-NODAGA
AR (7.11 +/- 3.26 %IA/g) at 1 h after injection. Biodistribution at later time
points showed high tumor-to-background ratios because of the fast washout of the
radioligand from normal organs, compared with tumor. High tumor-to-background
ratios were further illustrated by PET and SPECT images of PC-3 tumor-bearing
nude mice acquired at 12 h after injection showing high tumor uptake, clear
background, and negligible or no radioactivity in the abdomen. CONCLUSION: The
chelators do influence the affinity, antagonistic potency, and pharmacokinetics
of the conjugates. The promising preclinical results warrant clinical translation
of these probes for SPECT and PET.
PMID- 22080445
TI - Virtual reality for dose optimization in pediatric nuclear medicine: better than
the real thing.
PMID- 22080444
TI - Effect of patient arm motion in whole-body PET/CT.
AB - Arm motion during whole-body PET/CT acquisition is not uncommon and can give rise
to striking cold artifacts on PET images. We investigated the mechanisms that
underlie these artifacts and proposed a potential solution. METHODS: A phantom
experiment based on 5 clinical cases of suspected arm motion was designed. The
experiment involved a central 20-cm-diameter (68)Ge/(68)Ga cylinder simulating
the neck and 2 peripheral 10-cm-diameter (18)F cylinders simulating arms. After
motion-free CT and PET on a whole-body PET/CT system, the position of the arms
was altered so as to introduce different amounts of misalignment. Twenty
sequential PET scans were acquired in this position, alternating between 2
dimensional (2D) and 3-dimensional (3D) acquisition, as the (18)F decayed. Decay
of (18)F in the arms, while the activity in the (68)Ge/(68)Ga cylinder remained
approximately constant, allowed the relative impact of scatter and attenuation
correction errors to be determined. RESULTS: Image artifacts were largely
confined to the local region of motion in 2D but extended throughout the affected
slices in 3D, where they manifested as a striking underestimation of radiotracer
concentration that became more significant with increasing misalignment. For 3D,
scatter-correction error depended on activity in the arms, but for typical
activity concentrations scatter-correction error was more significant than
attenuation-correction error. 3D image reconstruction without scatter correction
substantially eliminated these artifacts in both phantom and patient images.
CONCLUSION: Reconstruction artifacts due to patient arm motion can be substantial
and should be recognized because they can affect both qualitative and
quantitative assessment of PET.
PMID- 22080446
TI - Harnessing the power of radionuclides for optical imaging: Cerenkov luminescence
imaging.
AB - Over the past several years, nuclear imaging modalities such as PET and SPECT
have received much attention because they have been instrumental not only in
preclinical cancer research but also in nuclear medicine. Yet nuclear imaging is
limited by high instrumentation cost and subsequently low availability to basic
researchers. Cerenkov radiation, a relativistic physical phenomenon that was
discovered 70 years ago, has recently become an intriguing subject of study in
molecular imaging because of its potential in augmenting nuclear imaging,
particularly in preclinical small-animal studies. The intrinsic capability of
radionuclides emitting luminescent light from decay is promising because of the
possibility of bridging nuclear imaging with optical imaging-a modality that is
much less expensive, is easier to use, and has higher throughput than its nuclear
counterpart. Thus, with the maturation of this novel imaging technology using
Cerenkov radiation, which is termed Cerenkov luminescence imaging, it is
foreseeable that advances in both nuclear imaging and preclinical research
involving radioisotopes will be significantly accelerated in the near future.
PMID- 22080447
TI - Performance measurements of the Siemens mMR integrated whole-body PET/MR scanner.
AB - The recently released Biograph mMR is the first commercially available integrated
whole-body PET/MR scanner. There are considerable advantages to integrating both
modalities in a single scanner that enables truly simultaneous acquisition.
However, there are also concerns about the possible degradation of both PET and
MR performance in an integrated system. This paper evaluates the performance of
the Biograph mMR during independent and simultaneous acquisition of PET and
morphologic MR data. METHODS: The NEMA NU 2-2007 protocol was followed for
studying the PET performance. The following measurements were performed: spatial
resolution; scatter fraction, count losses, and randoms; sensitivity; accuracy of
the correction for count losses and randoms; and image quality. The quality
control manual of the American College of Radiology was followed for studying the
MR performance. The following measurements were performed: geometric accuracy,
spatial resolution, low-contrast detectability, signal-to-noise ratio, static
field (B(0)) homogeneity, radiofrequency field (B(1)) homogeneity, and
radiofrequency noise. RESULTS: An average spatial resolution of 4.3 mm in full
width at half maximum was measured at 1 cm offset from the center of the field of
view. The system sensitivity was 15.0 kcps/MBq along the center of the scanner.
The scatter fraction was 37.9%, and the peak noise-equivalent count rate was 184
kcps at 23.1 kBq/mL. The maximum absolute value of the relative count rate error
due to dead-time losses and randoms was 5.5%. The average residual error in
scatter and attenuation correction was 12.1%. All MR parameters were within the
tolerances defined by the American College of Radiology. B(0) inhomogeneities
below 1 ppm were measured in a 120-mm radius. B(1) homogeneity and signal-to
noise ratio were equivalent to those of a standard MR scanner. No radiofrequency
interference was detected. CONCLUSION: These results compare favorably with other
state-of-the-art PET/CT and PET/MR scanners, indicating that the integration of
the PET detectors in the MR scanner and their operation within the magnetic field
do not have a perceptible impact on the overall performance. The MR subsystem
performs essentially like a standalone system. However, further work is necessary
to evaluate the more advanced MR applications, such as functional imaging and
spectroscopy.
PMID- 22080448
TI - A flash-drag effect in random motion reveals involvement of preattentive motion
processing.
AB - The flash-drag (FDE) effect refers to the phenomenon in which the position of a
stationary flashed object in one location appears shifted in the direction of
nearby motion. Over the past decade, it has been debated how bottom-up and top
down processes contribute to this illusion. In this study, we demonstrate that
randomly phase-shifting gratings can produce the FDE. In the random motion
sequence we used, the FDE inducer (a sinusoidal grating) jumped to a random phase
every 125 ms and stood still until the next jump. Because this random sequence
could not be tracked attentively, it was impossible for the observer to discern
the jump direction at the time of the flash. By sorting the data based on the
flash's onset time relative to each jump time in the random motion sequence, we
found that a large FDE with a broad temporal tuning occurred around 50 to 150 ms
before the jump and that this effect was not correlated with any other jumps in
the past or future. These results suggest that as few as two frames of
unpredictable apparent motion can preattentively cause the FDE with a broad
temporal tuning.
PMID- 22080449
TI - Two-dimensional speckle tracking echocardiography in heart transplant patients:
three-year follow-up of deformation parameters and ejection fraction derived from
transthoracic echocardiography.
AB - AIMS: Non-invasive diagnosis of allograft dysfunction is a major objective in the
management of heart transplant (HTX) recipients. Speckle tracking
echocardiography (STE) permits comprehensive assessment of myocardial function.
It is well established that deformation indices are reduced in HTXs when compared
with control subjects. However, it is unclear if the reduction in strain is a
chronic progressive phenomenon in HTX patients. Method and results Follow-up
transthoracic echocardiography (TTE) was performed 3 years after initial TTE in
20 'healthy' HTX patients (13.2 years post-transplantation at time of follow-up)
with normal ejection fraction and angiographically ruled out allograft
vasculopathy. Grey-scale apical views were recorded and stored for automated
offline speckle tracking (EchoPAC 7.0, GE) of the 16 segments of the left
ventricle. Strain analysis was performed in 320 segments 34.3 +/- 3.7 months
after initial assessment. Automated tracking of myocardial deformation for
determination of longitudinal systolic strain was not possible in 24 (7.5%)
segments at baseline and in 32 (10.0%) segments at follow-up (P = ns). The left
ventricular ejection fraction (LVEF) was 61.9 +/- 8.1% at the initial examination
vs. 62.8 +/- 5.8% 3 years afterwards (P = ns). Global longitudinal peak systolic
strain was -14.0 +/- 4.0 vs. -14.4 +/- 2.8%, respectively (P = ns). CONCLUSION:
This is the first study describing follow-up deformation parameters in HTX
patients undergoing STE. 'Healthy' HTX patients with normal coronary arteries and
normal ejection fractions showed no deterioration of longitudinal strain values 3
years after the initial assessment. Apparently, deformation values remain stable
over the years as long as the LVEF is preserved.
PMID- 22080450
TI - Relationship between aortic valve stenosis, its replacement, and aortic function.
PMID- 22080451
TI - Is viability still viable after the STICH trial?
AB - Stunning and hibernation represent two different forms of tissue viability
identifiable in acute coronary syndromes and chronic ischaemic cardiomyopathy,
respectively. Functional recovery occurs spontaneously with myocardial stunning,
while it generally follows revascularization in case of hibernating myocardium.
Low-dose dobutamine stress echocardiography is an accurate modality for
identifying myocardial stunning and provides important information on ventricular
remodelling after both systemic thrombolysis and primary angioplasty. In patients
with conservatively treated infarction, the prognostic significance of viability
by dobutamine stress echocardiography correlates with residual pump function.
Substantial contractile reserve is predictive of favourable outcome in patients
with poor but not in those with preserved or slightly reduced left ventricular
function. Non-invasive assessment of coronary flow reserve with transthoracic
Doppler echocardiography of the left anterior descending coronary artery allows
to distinguish between necrotic and stunned myocardium and predicts ventricular
remodelling following primary angioplasty. Resting echocardiographic examination
can provide information on hibernating myocardium. In particular, systolic
thickening <7 mm, restrictive filling pattern, and high end-systolic volume are
predictive of no viability. Compared with nuclear imaging, dobutamine stress
echocardiography is more specific for predicting functional recovery, less
expensive, more generally available and radiation-free. A large body of evidence
collected over the years demonstrates the favourable prognostic impact of
revascularizing extensive myocardial territories which are found viable at
dobutamine stress echocardiography in patients with ischaemic cardiomyopathy. The
prognostic implications of viability-guided revascularization have been clearly
established in both diabetic and non-diabetic patients. However, the prognostic
value of myocardial viability has been questioned by the results of the STICH
trial that did not demonstrate any advantage of survival in the patients with a
large extent of myocardial viability undergoing revascularization. Is the end of
a paradigm that deeply influenced clinical practice so far or just a neutral
result that can be ignored due to the several limitations of study design? In the
present review, we will address the main advantages and limitations of
ultrasounds for the evaluation of myocardial viability and try to demonstrate
that viability is still viable.
PMID- 22080452
TI - Cardiac involvement in Erdheim-Chester disease: echocardiographic appearance and
value of cardiac MRI.
PMID- 22080453
TI - Neddylation and CAND1 independently stimulate SCF ubiquitin ligase activity in
Candida albicans.
AB - SCF (Skp1-cullin/Cdc53-F-box protein) ubiquitin ligases bind substrates via the
variable F-box protein and, in conjunction with the RING domain protein Rbx1 and
the ubiquitin-conjugating enzyme Ubc3/Cdc34, catalyze substrate ubiquitination.
The cullin subunit can be modified covalently by conjugation of the ubiquitin
like protein Rub1/NEDD8 (neddylation) or bound noncovalently by the protein CAND1
(cullin-associated, neddylation-dissociated). Expression of the Candida albicans
CAND1 gene homolog CaTIP120 in Saccharomyces cerevisiae is toxic only in the
presence of CaCdc53, consistent with a specific interaction between CaTip120 and
CaCdc53. To genetically analyze this system in C. albicans, we deleted the
homologs of RUB1/NEDD8, TIP120/CAND1, and the deneddylase gene JAB1, and we also
generated a temperature-sensitive allele of the essential CaCDC53 gene by knock
in site-directed mutagenesis. Deletion of CaRUB1 and CaTIP120 caused
morphological, growth, and protein degradation phenotypes consistent with a
reduction in SCF ubiquitin ligase activity. Furthermore, the double Carub1(-/-)
Catip120(-/-) mutant was more defective in SCF activity than either individual
deletion mutant. These results indicate that CAND1 stimulates SCF ubiquitin
ligase activity and that it does so independently of neddylation. Our data do not
support a role for CAND1 in the protection of either the F-box protein or cullin
from degradation but are consistent with the suggested role of CAND1 in SCF
complex remodeling.
PMID- 22080455
TI - Prevalence changes of pain, sleep problems and fatigue among 8-year-old children:
years 1989, 1999, and 2005.
AB - OBJECTIVES: To study prevalence changes of self-reported pain, sleep problems,
and fatigue among 8-year-old children, and to examine the co-occurrence and
associated psychosocial variables of these symptoms. METHODS: 3 cross-sectional
representative samples were compared in 1989, 1999, and 2005. The frequency of
headache, abdominal pain, other pains, sleep problems, and fatigue were studied.
In addition, sociodemographic information and child's psychiatric problems were
inquired. RESULTS: The prevalence of abdominal pain, sleep problems, and fatigue,
in addition to headache in boys and other pains in girls increased significantly
(p < .05) from 1989 to 2005, with cumulative odds ratio (95% confidence
intervals) varying from 1.6 (1.2-2.1) to 2.4 (1.7-3.3). All symptoms were
associated with each other and with the child's psychiatric problems. However,
psychiatric problems did not explain the observed increase in the symptom
frequencies. CONCLUSIONS: Finnish children's self-reported pain, sleep problems,
and fatigue have increased remarkably. Studies providing information on the
causes and prevention possibilities are warranted.
PMID- 22080454
TI - A flucytosine-responsive Mbp1/Swi4-like protein, Mbs1, plays pleiotropic roles in
antifungal drug resistance, stress response, and virulence of Cryptococcus
neoformans.
AB - Cryptococcosis, caused by the basidiomycetous fungus Cryptococcus neoformans, is
responsible for more than 600,000 deaths annually in AIDS patients. Flucytosine
is one of the most commonly used antifungal drugs for its treatment, but its
resistance and regulatory mechanisms have never been investigated at the genome
scale in C. neoformans. In the present study, we performed comparative
transcriptome analysis by employing two-component system mutants (tco1Delta and
tco2Delta) exhibiting opposing flucytosine susceptibility. As a result, a total
of 177 flucytosine-responsive genes were identified, and many of them were found
to be regulated by Tco1 or Tco2. Among these, we discovered an APSES-like
transcription factor, Mbs1 (Mbp1- and Swi4-like protein 1). Expression analysis
revealed that MBS1 was regulated in response to flucytosine in a Tco2/Hog1
dependent manner. Supporting this, C. neoformans with the deletion of MBS1
exhibited increased susceptibility to flucytosine. Intriguingly, Mbs1 played
pleiotropic roles in diverse cellular processes of C. neoformans. Mbs1 positively
regulated ergosterol biosynthesis and thereby affected polyene and azole drug
susceptibility. Mbs1 was also involved in genotoxic and oxidative stress
responses. Furthermore, Mbs1 promoted production of melanin and capsule and
thereby was required for full virulence of C. neoformans. In conclusion, Mbs1 is
considered to be a novel antifungal therapeutic target for treatment of
cryptococcosis.
PMID- 22080456
TI - Treatment adherence in adolescents with inflammatory bowel disease: the
collective impact of barriers to adherence and anxiety/depressive symptoms.
AB - OBJECTIVE: Knowledge of factors impacting adolescents' ability to adhere to their
inflammatory bowel disease (IBD) regimen is limited. The current study examines
the collective impact of barriers to adherence and anxiety/depressive symptoms on
adolescent adherence to the IBD regimen. METHODS: Adolescents (n = 79) completed
measures of barriers to adherence, adherence, and anxiety/depressive symptoms at
one of two specialty pediatric IBD clinics. RESULTS: Most adolescents reported
barriers to adherence and 1 in 8 reported borderline or clinically elevated
levels of anxiety/depressive symptoms. Anxiety/depressive symptoms moderated the
relationship between barriers to adherence and adherence. Post hoc probing
revealed a significant, additive effect of higher anxiety/depressive symptoms in
the barriers-adherence relationship, with adherence significantly lower among
adolescents with higher barriers and higher anxiety/depressive symptoms.
CONCLUSIONS: In order to optimize adherence in adolescents, interventions should
target not only barriers to adherence but also any anxiety/depressive symptoms
that may negatively impact efforts to adhere to recommended treatment.
PMID- 22080457
TI - Treatment adherence in pediatric eosinophilic gastrointestinal disorders.
AB - OBJECTIVE: Examine treatment adherence rates in pediatric eosinophilic
gastrointestinal disorders (EGID). METHODS: Participants were children aged 2.5
18 years with eosinophilic esophagitis or eosinophilic gastroenteritis (EGE) and
their caregivers. A multimethod, multi-informant assessment including parent
report and electronic monitoring was utilized, with a 90% cut point for
nonadherence. RESULTS: Medication nonadherence prevalence was 30%. Adherence
frequency was 91% +/- 14% (0-100%) per parent report and 100% +/- 69% (0-194%)
per electronic monitors. Tube-feeding adherence was 99% +/- 3%. Food allergen
exposures were less than 1 per 2 weeks, with 33% nonadherence prevalence.
Patients with EGE and toddlers with both conditions demonstrated poorer
medication adherence (p's < .05). Caregivers reported higher number of missed
medication doses than food exposures (p < .05). CONCLUSIONS: The prevalence and
range of nonadherence demonstrates that subsets of these patients are
nonadherent. Adherence to treatment in EGID is complex and multifaceted, with
nonadherence varying across treatments.
PMID- 22080458
TI - Perthes' disease: deprivation and decline.
AB - INTRODUCTION: Perthes' disease is a childhood hip disorder which frequently
precipitates premature osteoarthritis necessitating joint replacement in young
adults. The highest incidence reported worldwide is in Merseyside, UK, where a
unique disease register is maintained. OBJECTIVE: To describe the temporal trends
in disease incidence in a geographically defined area of Merseyside, and to
examine the relationship to area deprivation. DESIGN: Descriptive observational
study utilising a regional disease register in Merseyside, UK, 1976-2009.
PATIENTS: 1082 children with Perthes' disease (682 from a geographically defined
area). OUTCOME: Disease incidence by region, year and deprivation quintile
(measured by the Index of Multiple Deprivation 2007, and the Child Well-Being
Index 2009). RESULTS: There was a dramatic decline in incidence over the study
period in Liverpool, with rates falling from 14.2 to 7.7 cases/10,000 0-14-year
olds (p<0.001). Incidence rates halved in nearby Knowsley (p=0.01) but remained
largely static in the more affluent region of Sefton, where the annual incidence
remained at around 7.2 cases/10,000 0-14-year-olds (p=0.73). The association with
area deprivation is striking, with the most deprived quintiles having over three
times the incidence of the most affluent quintiles (11.5 vs 3.8 cases/10,000 0-14
year-olds; p<0.001). Incidence by electoral ward was strongly correlated to ward
deprivation score (p<0.001). CONCLUSION: There was a marked decline in disease
incidence over the study period, particularly in more deprived areas. The
magnitude of the association with deprivation, and the changing incidence,
strongly suggest that environmental factor(s) are a major aetiological
determinant in Perthes' disease.
PMID- 22080459
TI - Improved junior paediatric prescribing skills after a short e-learning
intervention: a randomised controlled trial.
AB - OBJECTIVE: Medication errors are common, with junior doctors accounting for the
majority in acute healthcare. Paediatrics is uniquely challenging, but the
evidence base to guide prescribing education is limited. The authors set out to
develop a short, educationally sound, low cost e-learning resource for paediatric
prescribing to improve junior doctors' prescribing skills and to evaluate its
effectiveness. DESIGN: A non-blinded randomised controlled trial. SETTING: North
Western Deanery Foundation School, UK. PARTICIPANTS: 162 volunteer foundation
(junior) doctors randomised into control (86) and intervention (76) groups.
INTERVENTIONS: On study entry, participants were assessed on prescribing skill,
prescribing habits and confidence. The intervention group completed the e
learning course designed for the study, which took 1-2 h. At 1 and 3 months after
the intervention, both groups were assessed on similar prescribing assessments,
habits and confidence. MAIN OUTCOME MEASURES: Total score (expressed as a
percentage) on prescribing assessments, confidence and satisfaction scores.
RESULTS: There were no preintervention differences in prescribing assessments
(67% vs 67%, p=0.56). Postintervention, the e-learning group scored significantly
higher than the control group (63% vs 79%, p<0.0001). At 3 months, the e-learning
group still scored significantly higher (69% vs 79%, p<0.0001), with improved
confidence scores (p<0.0001). CONCLUSIONS: This short e-learning resource
significantly improved the paediatric prescribing skills of junior doctors.
Outcomes were maintained at 3 months, suggesting the utility of low cost, low
fidelity, educationally sound e-learning interventions. However, the direct
impact on patient outcomes following this intervention has yet to be determined.
PMID- 22080460
TI - Towards evidence based medicine for paediatricians. Confident in predicting? Meta
analysis models, step two.
PMID- 22080461
TI - Question 1. Is there an increased risk of necrotising enterocolitis in preterm
infants whose mothers' expressed breast milk is fortified with multicomponent
fortifier?
PMID- 22080462
TI - Question 2. Should carbon dioxide detectors be used to check correct placement of
endotracheal tubes in preterm and term neonates?
PMID- 22080463
TI - Question 3. Is measurement of the lymphocyte count useful in the investigation of
suspected pertussis in infants?
PMID- 22080464
TI - Accurate quantification of cardiovascular biomarkers in serum using Protein
Standard Absolute Quantification (PSAQTM) and selected reaction monitoring.
AB - Development of new biomarkers needs to be significantly accelerated to improve
diagnostic, prognostic, and toxicity monitoring as well as therapeutic follow-up.
Biomarker evaluation is the main bottleneck in this development process. Selected
Reaction Monitoring (SRM) combined with stable isotope dilution has emerged as a
promising option to speed this step, particularly because of its multiplexing
capacities. However, analytical variabilities because of upstream sample handling
or incomplete trypsin digestion still need to be resolved. In 2007, we developed
the PSAQTM method (Protein Standard Absolute Quantification), which uses full
length isotope-labeled protein standards to quantify target proteins. In the
present study we used clinically validated cardiovascular biomarkers (LDH-B,
CKMB, myoglobin, and troponin I) to demonstrate that the combination of PSAQ and
SRM (PSAQ-SRM) allows highly accurate biomarker quantification in serum samples.
A multiplex PSAQ-SRM assay was used to quantify these biomarkers in clinical
samples from myocardial infarction patients. Good correlation between PSAQ-SRM
and ELISA assay results was found and demonstrated the consistency between these
analytical approaches. Thus, PSAQ-SRM has the capacity to improve both accuracy
and reproducibility in protein analysis. This will be a major contribution to
efficient biomarker development strategies.
PMID- 22080465
TI - How to write a systematic review of reasons.
AB - Systematic reviews, which were developed to improve policy-making and clinical
decision-making, answer an empirical question based on a minimally biased
appraisal of all the relevant empirical studies. A model is presented here for
writing systematic reviews of argument-based literature: literature that uses
arguments to address conceptual questions, such as whether abortion is morally
permissible or whether research participants should be legally entitled to
compensation for sustaining research-related injury. Such reviews aim to improve
ethically relevant decisions in healthcare, research or policy. They are better
tools than informal reviews or samples of literature with respect to the
identification of the reasons relevant to a conceptual question, and they enable
the setting of agendas for conceptual and empirical research necessary for sound
policy-making. This model comprises prescriptions for writing the systematic
review's review question and eligibility criteria, the identification of the
relevant literature, the type of data to extract on reasons and publications, and
the derivation and presentation of results. This paper explains how to adapt the
model to the review question, literature reviewed and intended readers, who may
be decision-makers or academics. Obstacles to the model's application are
described and addressed, and limitations of the model are identified.
PMID- 22080466
TI - Multifunctional proteins revealed by overlapping clustering in protein
interaction network.
AB - MOTIVATION: Multifunctional proteins perform several functions. They are expected
to interact specifically with distinct sets of partners, simultaneously or not,
depending on the function performed. Current graph clustering methods usually
allow a protein to belong to only one cluster, therefore impeding a realistic
assignment of multifunctional proteins to clusters. RESULTS: Here, we present
Overlapping Cluster Generator (OCG), a novel clustering method which decomposes a
network into overlapping clusters and which is, therefore, capable of correct
assignment of multifunctional proteins. The principle of OCG is to cover the
graph with initial overlapping classes that are iteratively fused into a
hierarchy according to an extension of Newman's modularity function. By applying
OCG to a human protein-protein interaction network, we show that multifunctional
proteins are revealed at the intersection of clusters and demonstrate that the
method outperforms other existing methods on simulated graphs and PPI networks.
AVAILABILITY: This software can be downloaded from http://tagc.univ
mrs.fr/welcome/spip.php?rubrique197 CONTACT: brun@tagc.univ-mrs.fr SUPPLEMENTARY
INFORMATION: Supplementary data are available at Bioinformatics online.
PMID- 22080468
TI - BadiRate: estimating family turnover rates by likelihood-based methods.
AB - MOTIVATION: The comparative analysis of gene gain and loss rates is critical for
understanding the role of natural selection and adaptation in shaping gene family
sizes. Studying complete genome data from closely related species allows accurate
estimation of gene family turnover rates. Current methods and software tools,
however, are not well designed for dealing with certain kinds of functional
elements, such as microRNAs or transcription factor binding sites. RESULTS: Here,
we describe BadiRate, a new software tool to estimate family turnover rates, as
well as the number of elements in internal phylogenetic nodes, by likelihood
based methods and parsimony. It implements two stochastic population models,
which provide the appropriate statistical framework for testing hypothesis, such
as lineage-specific gene family expansions or contractions. We have assessed the
accuracy of BadiRate by computer simulations, and have also illustrated its
functionality by analyzing a representative empirical dataset. AVAILABILITY:
BadiRate software and documentation is available from
http://www.ub.edu/softevol/badirate.
PMID- 22080469
TI - Assessment of ELF magnetic fields produced by independent power lines.
AB - In this paper, the problem of assessing the ELF (extremely low-frequency)
magnetic fields produced, in a certain area characterised by the presence of more
than one independent power line, is faced. The use of the incoherent summation of
the single contributions, as an advantageous estimator of the total magnetic
field, is proposed and justified by means of a heuristic procedure. This kind of
approach can be seen as a useful and practical tool to be employed in
environmental impact analysis and in assessing long-term human exposure to ELF
magnetic fields.
PMID- 22080467
TI - Expression2Kinases: mRNA profiling linked to multiple upstream regulatory layers.
AB - MOTIVATION: Genome-wide mRNA profiling provides a snapshot of the global state of
cells under different conditions. However, mRNA levels do not provide direct
understanding of upstream regulatory mechanisms. Here, we present a new approach
called Expression2Kinases (X2K) to identify upstream regulators likely
responsible for observed patterns in genome-wide gene expression. By integrating
chromatin immuno-precipitation (ChIP)-seq/chip and position weight matrices
(PWMs) data, protein-protein interactions and kinase-substrate phosphorylation
reactions, we can better identify regulatory mechanisms upstream of genome-wide
differences in gene expression. We validated X2K by applying it to recover drug
targets of food and drug administration (FDA)-approved drugs from drug
perturbations followed by mRNA expression profiling; to map the regulatory
landscape of 44 stem cells and their differentiating progeny; to profile upstream
regulatory mechanisms of 327 breast cancer tumors; and to detect pathways from
profiled hepatic stellate cells and hippocampal neurons. The X2K approach can
advance our understanding of cell signaling and unravel drugs mechanisms of
action. AVAILABILITY: The software and source code are freely available at:
http://www.maayanlab.net/X2K. CONTACT: avi.maayan@mssm.edu SUPPLEMENTARY
INFORMATION: Supplementary data are available at Bioinformatics online.
PMID- 22080470
TI - Indoor radon and thoron levels in Neendakara and Chavara regions of southern
coastal Kerala, India.
AB - Some areas of the world, called high background radiation areas (HBRAs), have
anomalously high levels of natural background radiation and the population
residing in the areas is exposed to higher levels of radiation doses than other
parts of the world where the natural radioactivity contents are normal. In the
present investigation, levels of radon, thoron and their progeny are studied in
110 houses in the coastal region of the Kollam district in the state of Kerala,
India using the multi-detector twin cup dosimeter. Among these, 10 houses were
studied in detail with five dosimeters in each house. Radon activity
concentrations were found to vary from 7 to 100 Bqm(-3) and that of thoron from 4
to 66 Bqm(-3) in Neendakara panchayat. In Chavara panchayat, the variations of
radon concentrations were from 7 to 83 Bqm(-3) and thoron concentrations were
varied from 4 to 86 Bqm(-3). The occurrence of radon and thoron concentrations in
the dwellings for both study areas shows that in 50% of the dwellings, the
concentration of radon is about 25 Bqm(-3) and in 60% of the dwellings thoron
concentration is about 15 Bqm(-3). The ratio of thoron-to-radon concentrations in
the dwellings showed a mean value 0.55 (GM=0.45) for the region.
PMID- 22080471
TI - Haemoptysis as a complication of pacemaker implantation.
PMID- 22080472
TI - In-hospital follow-up of implantable cardioverter defibrillator and pacemaker
carriers: patients' inconvenience and points of view. A four-hospital Italian
survey.
AB - AIMS: The increasing volume of pacemaker (PM) and implantable cardioverter
defibrillator (ICD) implants and problems related to their functioning have
highlighted the issue of device follow-ups. Patients' convenience regarding
device visits has been little investigated. This work aims at surveying patients'
efforts in attending the in-office PM/ICD follow-ups and at evaluating their
expectations. METHODS AND RESULTS: In four Italian referral centres, over a 3
month period, a 20-point questionnaire was completed by all consecutive patients
at in-hospital PM/ICD visits. In total, 1109 questionnaire/patients were
evaluated. Pacemakers were 68%, ICDs 16%, and cardiac resynchronizations (CRTs)
(PM + ICD) 16%; 38% were females; mean age was 75 +/- 11 years. Almost all were
scheduled visits. There was frequent reprogramming and clinical examination, even
after 6 months from implant. Perceived inconvenience for the in-office follow-up
was relevant in 35% of cases; attitudes towards remote monitoring were positive
in 88% of cases. Inter-group analysis showed some significant difference: PM
patients were older and more frequently female; ICD carriers were younger, had
the highest rate of clinical evaluation, a longer journey time, and the most
positive opinion about remote follow-up. Cardiac resynchronization patients had a
longer waiting time and the lowest inconvenience. Overall inconvenience was
independently predicted by increasing age, lengthy travelling times, and being
accompanied; favourable opinions about remote monitoring were predicted by
overall inconvenience, and, in ICD carriers only, by lack of clinical
examination. CONCLUSIONS: Patients' perceptions of in-hospital PM/ICD visits were
affected by age and by journey modalities. Individual factors seem to affect both
opinions about in-office visits and expectations towards a possible remote follow
up.
PMID- 22080473
TI - Efficacy and safety of ventricular tachycardia ablation with mechanical
circulatory support compared with substrate-based ablation techniques.
AB - AIMS: Catheter ablation of ventricular tachycardia (VT) can be limited by
haemodynamic instability. In these cases, substrate-based ablation is typically
performed. An alternative is to perform activation and entrainment mapping during
VT supported by a percutaneous left ventricular assist device (pVAD). We sought
to compare the complication and success rates of pVAD-assisted VT ablation with
scar-based techniques. METHODS AND RESULTS: Thirteen consecutive patients with
haemodynamically unstable VT underwent pVAD-assisted ablation (pVAD group) and
were retrospectively compared with 18-matched patients undergoing a substrate
based VT ablation (non-pVAD group). There was no significant difference in age or
ejection fraction between the groups although pVAD patients tended to have more
shocks in the preceding months. Procedure times were longer for the pVAD group.
The number of monomorphic VTs induced was greater in the pVAD group (3.2 vs. 1.6,
P= 0.04); however, after ablation, there was no difference in inducibility
between the pVAD and non-pVAD group (10 of 13 vs. 12 of 18; 77 vs. 67%, P =
0.69). There was no difference in acute complications including stroke or death.
At 9 +/- 3 months, 1-year freedom from implantable cardioverter-defibrillator
(ICD) shocks/therapies for sustained VT were similar (P= 0.96). In multivariable
analysis, the absence of atrial fibrillation (hazard ratio=0.15, P= 0.04) was
associated with a lower incidence of ICD shocks. CONCLUSIONS: In high-risk
patients, pVAD-assisted VT ablation guided by activation and entrainment mapping
is a feasible alternative to substrate mapping and allows outcomes comparable to
substrate mapping.
PMID- 22080474
TI - Pre-discharge defibrillation testing: clinically important or obsolete?
PMID- 22080475
TI - SMBE proposal to the government of Japan.
PMID- 22080476
TI - Harmonization may be counterproductive--at least for parts of Europe where public
health research operates effectively.
PMID- 22080477
TI - Cochrane eye and vision group.
PMID- 22080478
TI - Retinal oxygen saturation is altered in diabetic retinopathy.
AB - AIM: Retinal oxygen metabolism is thought to be affected in diabetic retinopathy.
The aim of this study was to test whether retinal vessel oxygen saturation is
different in patients with diabetic retinopathy from that in healthy controls.
METHODS: The retinal oximeter is based on a fundus camera. It estimates retinal
vessel oxygen saturation from light absorbance at 586 nm and 605 nm. Retinal
vessel oxygen saturation was measured in one major temporal retinal arteriole and
venule in healthy volunteers and in patients with diabetic retinopathy. RESULTS:
Oxygen saturation in the retinal arterioles of healthy volunteers was 93 +/- 4%
and 58 +/- 6% in venules (mean +/- SD, n=31). The corresponding values for all
diabetic patients (n=20) were 101 +/- 5% and 68 +/- 7%. The difference between
healthy volunteers and diabetic patients was statistically significant (p < 0.001
for arterioles and venules). Three subgroups of diabetic patients (background
retinopathy, macular oedema and pre-proliferative/proliferative retinopathy) all
had higher saturation values than the healthy volunteers (p < 0.05 for arterioles
and venules). CONCLUSION: Retinal vessel oxygen saturation is higher in patients
with diabetic retinopathy than in healthy controls. Possible explanations include
shunting of blood through preferential channels, bypassing non-perfused
capillaries in the capillary network. Parts of the retinal tissue may be hypoxic
while blood in larger vessels has high oxygen saturation.
PMID- 22080479
TI - STEAP1 is associated with the invasive and oxidative stress phenotype of Ewing
tumors.
AB - Ewing tumors comprise the second most common type of bone-associated cancer in
children and are characterized by oncogenic EWS/FLI1 fusion proteins and early
metastasis. Compelling evidence suggests that elevated levels of intracellular
oxidative stress contribute to enhanced aggressiveness of numerous cancers,
possibly including Ewing tumors. Using comprehensive microarray analyses and RNA
interference, we identified the six-transmembrane epithelial antigen of the
prostate 1 (STEAP1)-a membrane-bound mesenchymal stem cell marker of unknown
function-as a highly expressed protein in Ewing tumors compared with benign
tissues and show its regulation by EWS/FLI1. In addition, we show that STEAP1
knockdown reduces Ewing tumor proliferation, anchorage-independent colony
formation as well as invasion in vitro and decreases growth and metastasis of
Ewing tumor xenografts in vivo. Moreover, transcriptome and proteome analyses as
well as functional studies revealed that STEAP1 expression correlates with
oxidative stress responses and elevated levels of reactive oxygen species that in
turn are able to regulate redox-sensitive and proinvasive genes. In synopsis, our
data suggest that STEAP1 is associated with the invasive behavior and oxidative
stress phenotype of Ewing tumors and point to a hitherto unanticipated oncogenic
function of STEAP1.
PMID- 22080481
TI - Buruli ulcer in an 18-day-old baby.
AB - Buruli ulcer is an indolent disease that needs aggressive curettage of caseous
subcutaneous tissue beneath the undermined skin. We report the successful
treatment of an 18-day-old baby.
PMID- 22080480
TI - Dual mTORC1/mTORC2 inhibition diminishes Akt activation and induces Puma
dependent apoptosis in lymphoid malignancies.
AB - The mammalian target of rapamycin (mTOR) plays crucial roles in proliferative and
antiapoptotic signaling in lymphoid malignancies. Rapamycin analogs, which are
allosteric mTOR complex 1 (mTORC1) inhibitors, are active in mantle cell lymphoma
and other lymphoid neoplasms, but responses are usually partial and short-lived.
In the present study we compared the effects of rapamycin with the dual
mTORC1/mTORC2 inhibitor OSI-027 in cell lines and clinical samples representing
divers lymphoid malignancies. In contrast to rapamycin, OSI-027 markedly
diminished proliferation and induced apoptosis in a variety of lymphoid cell
lines and clinical samples, including specimens of B-cell acute lymphocytic
leukemia (ALL), mantle cell lymphoma, marginal zone lymphoma and Sezary syndrome.
Additional analysis demonstrated that OSI-027-induced apoptosis depended on
transcriptional activation of the PUMA and BIM genes. Overexpression of Bcl-2,
which neutralizes Puma and Bim, or loss of procaspase 9 diminished OSI-027
induced apoptosis in vitro. Moreover, OSI-027 inhibited phosphorylation of mTORC1
and mTORC2 substrates, up-regulated Puma, and induced regressions in Jeko
xenografts. Collectively, these results not only identify a pathway that is
critical for the cytotoxicity of dual mTORC1/mTORC2 inhibitors, but also suggest
that simultaneously targeting mTORC1 and mTORC2 might be an effective anti
lymphoma strategy in vivo.
PMID- 22080482
TI - Percutaneous endoscopic gastrostomy tube replacement.
AB - Percutaneous endoscopic gastrostomy (PEG) is undertaken in order to provide long
term nutrition in patients with dysphagia. Over time, the PEG tubes will
deteriorate and will need to be replaced. We describe a method of replacement
which is cost-effective and which does not require repetition of the endoscopy.
PMID- 22080483
TI - Variable clinical presentations of histoplasmosis: a report of six cases.
AB - Histoplasma is a dimorphic fungus that primarily involves the lungs and the
environmental reservoir is soil. It has emerged as an important opportunistic
fungal infection in immunocompromised patients. Six cases of histoplasmosis with
variable clinical presentations diagnosed either on cytology or histopathology
are discussed - three were HIV-positive. The possibility of histoplasmosis should
always be borne in mind, especially in immunocompromised patients, as it can have
variable clinical presentations.
PMID- 22080484
TI - Multi-drug-resistant tuberculosis: the experience of an urban tertiary care
hospital in South India using automated BACTEC 460 TB.
AB - The emergence of multi-drug-resistant (MDR) strains has been a major obstacle in
the tuberculosis (TB) control programme. In the present study we looked into the
prevalence of MDR-TB in an urban tertiary care hospital in South India over four
years (2007-2010). During this period, 641 clinical specimens (317 respiratory
specimens and 324 non-respiratory specimens) were received for
mycobacteriological culture and drug susceptibility testing for first-line drugs,
using the BACTEC 460 TB system. Mycobacterium tuberculosis (MTB) was isolated in
34.8% (n = 223) specimens. Of the total 223 MTB isolates 83 (37.2%) were MDR.
Forty-two percent of the pulmonary MTB isolates (n = 72) and 20.4% of the extra
pulmonary isolates (n = 10) were MDR. Although we observed a high percentage of
drug resistance, the prevalence of MDR was not observed to vary significantly
within the four years which suggested good management.
PMID- 22080485
TI - Effect of changes in intrathoracic pressure on cardiac function at rest and
during moderate exercise in health and heart failure.
AB - This study investigated the effect of changes in inspiratory intrathoracic
pressure on stroke volume at rest and during moderate exercise in patients with
heart failure and reduced ejection fraction (HFREF) as well as healthy
individuals. Stroke volume was obtained by echocardiography during 2 min of
spontaneous breathing (S), two progressive levels of inspiratory unloading (UL1
and UL2) using a ventilator, and two progressive levels of inspiratory loading
using resistors in 11 patients with HFREF (61 +/- 9 years old; ejection fraction
32 +/- 4%; NYHA class I-II) and 11 age-matched healthy individuals at rest and
during exercise at 60% of maximal aerobic capacity on a semi-recumbent cycle
ergometer. At rest, inspiratory unloading progressively decreased stroke volume
index (SVI; S, 35.2 +/- 5.4 ml m(-2); UL1, 33.3 +/- 5.1 ml m(-2); and UL2, 32.2
+/- 4.4 ml m(-2)) in healthy individuals, while it increased SVI (S, 31.4 +/- 4.6
ml m(-2); UL1, 32.0 +/- 5.9 ml m(-2); and UL2, 34.0 +/- 7.2 ml m(-2)) in patients
with HFREF (P = 0.04). During moderate exercise, inspiratory unloading decreased
SVI in a similar manner (S, 43.9 +/- 7.1 ml m(-2); UL1, 40.7 +/- 4.7 ml m(-2);
and UL2, 39.9 +/- 3.7 ml m(-1)) in healthy individuals, while it increased SVI
(S, 40.8 +/- 6.5 ml m(-2); UL1, 42.8 +/- 6.9 ml m(-2); and UL2, 44.1 +/- 4. ml m(
2)) in patients with HFREF (P = 0.02). Inspiratory loading did not significantly
change SVI at rest or during moderate exercise in both groups. It is concluded
that inspiratory unloading improved SVI at rest and during moderate exercise in
patients with HFREF, possibly due to a reduction in left ventricular afterload.
PMID- 22080486
TI - Exercise normalizes altered expression of proteins in the ventral hippocampus of
rats subjected to maternal separation.
AB - Many studies have reported on the detrimental effects of early life adversity and
the beneficial effects of exercise on brain function. However, the molecular
mechanisms that underpin these various effects remain poorly understood. The
advent of advanced proteomic analysis techniques has enabled simultaneous
measurement of protein expression in a wide range of biological systems. We
therefore used iTRAQ proteomic analysis of protein expression to determine
whether exercise counteracts the detrimental effects of early life adversity in
the form of maternal separation on protein expression in the brain. Rat pups were
subjected to maternal separation from postnatal day 2 to 14 for 3 h day(-1) or
normally reared. At 40 days of age, half of the rats in each group (maternal
separation and normally reared) were allowed to exercise voluntarily (access to a
running wheel) for 6 weeks and the remainder kept as sedentary control animals.
At 83 days of age, rats were killed and the ventral hippocampus was dissected for
quantitative proteomic (iTRAQ) analysis. The iTRAQ proteomic analysis identified
several proteins that had been altered by maternal separation, including proteins
involved in neuronal structure, metabolism, signalling, anti-oxidative stress and
neurotransmission, and that many of these proteins were restored to normal by
subsequent exposure to voluntary exercise in adolescence. Our data show that a
broad range of proteins play a role in the complex consequences of adversity and
exercise.
PMID- 22080488
TI - Why India needs a national nutrition strategy.
PMID- 22080487
TI - Involvement of cyclo-oxygenase-1-mediated prostacyclin synthesis in the
vasoconstrictor activity evoked by ACh in mouse arteries.
AB - This study was to determine whether the endothelium of mouse major arteries
produces prostacyclin (PGI(2)) and, if so, to determine how PGI(2) affects
vasomotor reactivity and whether cyclo-oxygenase-1 (COX-1) contributes to PGI(2)
synthesis. Abdominal aortas, carotid and femoral arteries were isolated from wild
type mice and/or those with COX-1 or -2 deficiency (COX-1(-/-); COX-2(-/-)) for
biochemical and/or functional analyses. The PGI(2) metabolite 6-keto-PGF(1alpha)
was analysed with high-performance liquid chromatography-mass spectroscopy, while
vasoreactivity was determined with isometric force measurement. Results showed
that in the abdominal aorta, ACh evoked endothelium-dependent production of 6
keto-PGF(1alpha), which was abolished by COX-1(-/-), but not by COX-2(-/-).
Interestingly, COX-1(-/-) enhanced the dilatation in response to ACh, while
PGI(2), which evoked relaxation of the mesenteric artery, caused contraction that
was abolished by antagonizing thromboxane prostanoid (TP) receptors in the
abdominal aorta. However, the TP receptor agonist U46619 evoked similar
contractions in the abdominal aorta and mesenteric artery. Also, antagonizing TP
receptors enhanced the relaxation in response to PGI(2) in mesenteric arteries.
Real-time PCR showed that the PGI(2) (IP) receptor mRNA level was lower in the
abdominal aorta than in mesenteric arteries. In addition, COX-1(-/-) not only
abolished the contraction in response to ACh following NO inhibition in abdominal
aorta, but also those in the carotid and femoral arteries. These results
demonstrate an explicit role for endothelial COX-1 in PGI(2) synthesis and
suggest that in given mouse arteries, PGI(2) mediates not dilatation but rather
vasoconstrictor activity, possibly due to a low expression or functional presence
of IP receptors, which enables PGI(2) to act mainly on TP receptors.
PMID- 22080489
TI - Commentary: Cultural change is essential.
PMID- 22080490
TI - EEG can pick up brain activity in people in vegetative state, shows study.
PMID- 22080491
TI - Microbial domestication signatures of Lactococcus lactis can be reproduced by
experimental evolution.
AB - Experimental evolution is a powerful approach to unravel how selective forces
shape microbial genotypes and phenotypes. To this date, the available examples
focus on the adaptation to conditions specific to the laboratory. The lactic acid
bacterium Lactococcus lactis naturally occurs on plants and in dairy
environments, and it is proposed that dairy strains originate from the plant
niche. Here we investigate the adaptation of a L. lactis strain isolated from a
plant to a dairy niche by propagating it for 1000 generations in milk. Two out of
three independently evolved strains displayed significantly increased
acidification rates and biomass yields in milk. Genome resequencing, revealed
six, seven, and 28 mutations in the three strains, including point mutations in
loci related to amino acid biosynthesis and transport and in the gene encoding
MutL, which is involved in DNA mismatch repair. Two strains lost a conjugative
transposon containing genes important in the plant niche but dispensable in milk.
A plasmid carrying an extracellular protease was introduced by transformation.
Although improving growth rate and growth yield significantly, the plasmid was
rapidly lost. Comparative transcriptome and phenotypic analyses confirmed that
major physiological changes associated with improved growth in milk relate to
nitrogen metabolism and the loss or down-regulation of several pathways involved
in the utilization of complex plant polymers. Reproducing the transition from the
plant to the dairy niche through experimental evolution revealed several genome,
transcriptome, and phenotype signatures that resemble those seen in strains
isolated from either niche.
PMID- 22080492
TI - Mobile Assessment and Treatment for Schizophrenia (MATS): a pilot trial of an
interactive text-messaging intervention for medication adherence, socialization,
and auditory hallucinations.
AB - Mobile Assessment and Treatment for Schizophrenia (MATS) employs ambulatory
monitoring methods and cognitive behavioral therapy interventions to assess and
improve outcomes in consumers with schizophrenia through mobile phone text
messaging. Three MATS interventions were developed to target medication
adherence, socialization, and auditory hallucinations. Participants received up
to 840 text messages over a 12-week intervention period. Fifty-five consumers
with schizophrenia or schizoaffective disorder were enrolled, but 13 consumers
with more severe negative symptoms, lower functioning, and lower premorbid IQ did
not complete the intervention, despite repeated prompting and training. For
completers, the average valid response rate for 216 outcome assessment questions
over the 12-week period was 86%, and 86% of phones were returned undamaged.
Medication adherence improved significantly, but only for individuals who were
living independently. Number of social interactions increased significantly and a
significant reduction in severity of hallucinations was found. In addition, the
probability of endorsing attitudes that could interfere with improvement in these
outcomes was also significantly reduced in MATS. Lab-based assessments of more
general symptoms and functioning did not change significantly. This pilot study
demonstrated that low-intensity text-messaging interventions like MATS are
feasible and effective interventions to improve several important outcomes,
especially for higher functioning consumers with schizophrenia.
PMID- 22080493
TI - Resting-state brain activity in schizophrenia and major depression: a
quantitative meta-analysis.
AB - Intrinsic activity of the brain during resting-state is not random and is
currently discussed as a neural reflection of self-referential processing. Self
reference is typically reduced in schizophrenia as a disorder of the self while
extensive self-attribution of, eg, negative thoughts is characteristic for major
depression. However, a quantitative meta-analysis targeting the resting-state
brain activity in both disorders is lacking. Here, we predict primarily abnormal
resting-state activity in brain regions related to self-referential processing.
By means of activation likelihood estimation (ALE) on functional magnetic
resonance imaging and positron emission tomography studies, we investigated
concurrence of hyperactivation and hypoactivation in resting-state measurements
of schizophrenic and depressed patients compared with healthy controls. We found
hypoactivation in ventromedial prefrontal cortex (vmPFC), left hippocampus,
posterior cingulate cortex, lower precueus and the precuneus, and hyperactivation
in bilateral lingual gyrus of schizophrenic patients. In major depression, we
found hyperactivation in vmPFC, left ventral striatum, and left thalamus and
hypoactivation in left postcentral gyrus, left fusiform gyrus, and left insula.
An overall ALE analysis confirmed the proximity of hypoactivation in
schizophrenia and hyperactivation in major depression in the vmPFC.The opposing
resting-state activity in vmPFC for the 2 disorders is in line with the different
expression of dysfunctional self-reference as core characteristics of
schizophrenia and major depression. The vmPFC has previously been identified as a
crucial area for self-referential processing and may represent a target to
increase the diagnostic validity of resting-state activity for disorders with
dysfunctions of the self.
PMID- 22080494
TI - Neuroanatomical maps of psychosis onset: voxel-wise meta-analysis of
antipsychotic-naive VBM studies.
AB - BACKGROUND: Despite impressive advancements in early interventions in psychosis,
there is an urgent need of robust neurobiological markers to improve the
predictive value of psychosis transition. Available structural imaging literature
in the field is undermined by several methodological caveats and a number of
confounders such as exposure to antipsychotic treatment. METHODS: Fourteen voxel
based morphometry studies of antipsychotic-naive subjects at enhanced clinical
risk for psychosis (high risk [HR]) or experiencing a first-episode psychosis
(FEP) were included. Formal meta-analysis of effect sizes and "signed
differential mapping" voxel-based meta-analysis were combined to control the
results for sample sizes, strength of individual findings, and confounding
variables. RESULTS: Formal effect size meta-analysis indicated consistent gray
matter (GM) reductions both in subjects at enhanced clinical risk for psychosis
and in first-episode subjects when compared with control groups. Voxel-based meta
analysis showed GM reductions in the temporal, limbic prefrontal cortex within
the HR group and in the temporal insular cortex and cerebellum within the FEP
group. Psychosis onset was characterized by GM decreases in temporal, anterior
cingulate, cerebellar, and insular regions. GM alterations in the temporal
regions directly related to severity of psychotic symptoms. There was no
publication bias. Heterogeneity across studies was low. Sensitivity analyses
confirmed robustness of the above results. CONCLUSIONS: Vulnerability to
psychosis is associated with consistent GM decreases in prefrontal and
temporolimbic areas. The onset of full disease is accompanied by temporoinsular,
anterior cingulate, and cerebellar GM reductions. Neuroanatomical alterations in
temporal regions may underlie the clinical onset of psychotic symptoms.
PMID- 22080495
TI - Manipulation of orthogonal neural systems together in electrophysiological
recordings: the MONSTER approach to simultaneous assessment of multiple
neurocognitive dimensions.
AB - Event-related potentials (ERPs) are a powerful tool in understanding and
evaluating cognitive, affective, motor, and sensory processing in both healthy
and pathological samples. A typical ERP recording session takes considerable time
but is designed to isolate only 1-2 components. Although this is appropriate for
most basic science purposes, it is an inefficient approach for measuring the
broad set of neurocognitive functions that may be disrupted in a neurological or
psychiatric disease. The present study provides a framework for more efficiently
evaluating multiple neural processes in a single experimental paradigm through
the manipulation of functionally orthogonal dimensions. We describe the general
MONSTER (Manipulation of Orthogonal Neural Systems Together in
Electrophysiological Recordings) approach and explain how it can be adapted to
investigate a variety of neurocognitive domains, ERP components, and neural
processes of interest. We also demonstrate how this approach can be used to
assess group differences by providing data from an implementation of the MONSTER
approach in younger (18-30 y of age) and older (65-85 y of age) adult samples.
This specific implementation of the MONSTER framework assesses 4 separate neural
processes in the visual domain: (1) early sensory processing, using the C1 wave;
(2) shifts of covert attention, with the N2pc component; (3) categorization, with
the P3 component; and (4) self-monitoring, with the error-related negativity.
Although the MONSTER approach is primarily described in the context of ERP
experiments, it could also be adapted easily for use with functional magnetic
resonance imaging.
PMID- 22080496
TI - Disease prediction in the at-risk mental state for psychosis using
neuroanatomical biomarkers: results from the FePsy study.
AB - BACKGROUND: Reliable prognostic biomarkers are needed for the early recognition
of psychosis. Recently, multivariate machine learning methods have demonstrated
the feasibility to predict illness onset in clinically defined at-risk
individuals using structural magnetic resonance imaging (MRI) data. However, it
remains unclear whether these findings could be replicated in independent
populations. METHODS: We evaluated the performance of an MRI-based classification
system in predicting disease conversion in at-risk individuals recruited within
the prospective FePsy (Fruherkennung von Psychosen) study at the University of
Basel, Switzerland. Pairwise and multigroup biomarkers were constructed using the
MRI data of 22 healthy volunteers, 16/21 at-risk subjects with/without a
subsequent disease conversion. Diagnostic performance was measured in unseen test
cases using repeated nested cross-validation. RESULTS: The classification
accuracies in the "healthy controls (HCs) vs converters," "HCs vs nonconverters,"
and "converters vs nonconverters" analyses were 92.3%, 66.9%, and 84.2%,
respectively. A positive likelihood ratio of 6.5 in the converters vs
nonconverters analysis indicated a 40% increase in diagnostic certainty by
applying the biomarker to an at-risk population with a transition rate of 43%.
The neuroanatomical decision functions underlying these results particularly
involved the prefrontal perisylvian and subcortical brain structures.
CONCLUSIONS: Our findings suggest that the early prediction of psychosis may be
reliably enhanced using neuroanatomical pattern recognition operating at the
single-subject level. These MRI-based biomarkers may have the potential to
identify individuals at the highest risk of developing psychosis, and thus may
promote informed clinical strategies aiming at preventing the full manifestation
of the disease.
PMID- 22080497
TI - Risk factors for psychosis: impaired social and role functioning.
AB - OBJECTIVES: Risk for psychosis is currently defined primarily on the basis of
attenuated positive symptoms (APS), with no inclusion of the functional deficits
characteristic of schizophrenia. Impaired social and role functioning have been
of interest for reflecting poor outcome but far less is known about the
developmental impact of these deficits as vulnerability or risk factors. METHODS:
Age-appropriate social and role functioning were prospectively assessed in 100
individuals at clinical high risk (CHR) for psychosis included in the 8-site
North American Prodromal Longitudinal Study database. A nested case-control
design was used to compare changes in social and role functioning in 26
individuals converting to psychosis shortly after baseline assessment and 24
converting over a year later. Individuals in each converter subgroup were
directly matched to a non-converter at the same site, controlling for time to
conversion, age, gender, and severity of baseline symptoms. RESULTS: At baseline,
CHR subjects who later became psychotic were significantly more likely to be
impaired socially than matched non-converters. Onset of psychosis did not further
disrupt social difficulties. Role functioning showed some of the same trends, but
the overall pattern was not as consistent as for the social domain. Controlling
for neurocognition did not change the pattern of group differences. CONCLUSIONS:
Early impaired social functioning appears to be a risk factor for psychosis and,
added to APS, could potentially contribute to accurate identification of CHR
individuals and provide a new direction for early intervention to reduce long
term disability.
PMID- 22080498
TI - CNTRICS imaging biomarkers selection: Working memory.
AB - The sixth meeting of the Cognitive Neuroscience Treatment Research to Improve
Cognition in Schizophrenia (CNTRICS) consortium was focused on selecting
promising imaging biomarker measures for each of the cognitive constructs
selected in the first CNTRICS meeting. In the domain of working memory (WM), the
2 constructs of interest were "goal maintenance" and "interference control."
CNTRICS received 7 task nominations for goal maintenance and 3 task nominations
for interference control. For goal maintenance, the breakout group for WM
recommended the AX Continuous Performance Test/Dot Pattern Expectancy (DPX) and
the Switching Stroop task for translation and further development for use in
clinical trial contexts in schizophrenia research. Notably, these same 2
paradigms were recommended for "rule generation and selection" in executive
control, a highly related construct. For interference control, the breakout group
recommended the Suppress Task and the Sternberg Item Recognition Paradigm for
translation for use in clinical trials. This manuscript describes the ways in
which each of these tasks met the criteria used by the breakout group to
recommend tasks for further development. In addition, the group revisited the
construct of WM capacity. Since the initial CNTRICS meeting, a growing body of
work has emerged on the neurobiological substrates of WM capacity, making measure
of this construct ready for translation. The group suggested a promising imaging
biomarker measure for capacity, a version of the change detection task that
measures delay activity over posterior parietal and occipital cortex.
PMID- 22080500
TI - Chronic inhibition of the respiratory chain in human fibroblast cultures:
differential responses related to subject chronological and biological age.
AB - Respiratory chain function becomes less efficient with age resulting in increased
levels of damaging reactive oxygen species. We compared rotenone-exposed
fibroblast strains from young and old subjects and from offspring of nonagenarian
siblings and the partners of the offspring. Rotenone increased reactive oxygen
species levels, inhibited growth rate, and increased telomere shortening (all p <
.05). Non-stressed strains from young subjects showed lower reactive oxygen
species levels (p = .031) and higher growth rates (p = .002) than strains from
old subjects. Stressed strains from young subjects showed smaller increases in
reactive oxygen species levels (p = .014) and larger decreases in growth rate (p
< .001) than strains from old subjects. Telomere-shortening rates were not
different between groups. Stress-induced decreases in growth rate were larger in
strains from offspring than from partners (p = .05). Strains from young and old
subjects are differentially affected by chronic inhibition of the respiratory
chain. Changed growth rates in strains from offspring resemble those from strains
from young subjects.
PMID- 22080501
TI - The association between olfactory impairment and total mortality in older adults.
AB - BACKGROUND: Population-based data on the relationship between impaired olfaction
and risk of mortality among older adults are lacking. We used a representative
cohort of adults aged 60 years or older to assess whether olfactory loss is a
predictor of mortality, independent of potential confounders. METHODS: Olfaction
was measured by the San Diego Odor Identification Test (SDOIT) among 1,636
participants enrolled in the Blue Mountains Eye Study (2002-2004). Five-year all
cause mortality was confirmed using the Australian National Death Index. RESULTS:
More than one in five participants (21.8%) with olfactory impairment had died
over the 5 years compared with less than 10% of participants without olfactory
loss. Moderate olfactory loss (SDOIT score <=3) was associated with a 68%
increased risk of all-cause mortality (multivariable-adjusted hazard ratio, 1.68;
95% confidence interval, 1.10-2.56). This association did not persist after
further adjustment for cognitive impairment. The association between olfactory
loss and all-cause mortality was more marked among older participants (>=70
years) than younger participants (<70 years) with olfactory impairment
(multivariable-adjusted hazard ratio, 1.48; 95% confidence interval, 1.02-2.15).
However, adjusting for cognitive impairment diminished this association.
CONCLUSIONS: Older adults with moderately impaired olfaction compared with those
with normal olfaction had a higher risk of dying 5 years later. The relationship
between olfaction and mortality, however, may be largely mediated by cognitive
impairment in these older adults. Our findings highlight the value of identifying
olfactory loss in the preclinical stage in the older patient before the
development of related comorbidities.
PMID- 22080499
TI - Growth hormone and IGF-1 deficiency exacerbate high-fat diet-induced endothelial
impairment in obese Lewis dwarf rats: implications for vascular aging.
AB - Previous studies suggest that the age-related decline in circulating growth
hormone (GH) and insulin-like growth factor-1 (IGF-1) levels significantly
contribute to vascular dysfunction in aging by impairing cellular oxidative
stress resistance pathways. Obesity in elderly individuals is increasing at
alarming rates, and there is evidence suggesting that elderly individuals are
more vulnerable to the deleterious cardiovascular effects of obesity than younger
individuals. However, the specific mechanisms through which aging, GH/IGF-1
deficiency, and obesity interact to promote the development of cardiovascular
disease remain unclear. To test the hypothesis that low circulating GH/IGF-1
levels exacerbate the pro-oxidant and proinflammatory vascular effects of
obesity, GH/IGF-1-deficient Lewis dwarf rats and heterozygous control rats were
fed either a standard diet or a high-fat diet (HFD) for 7 months. Feeding an HFD
resulted in similar relative weight gains and increases in body fat content in
Lewis dwarf rats and control rats. HFD-fed Lewis dwarf rats exhibited a relative
increase in blood glucose levels, lower insulin, and impaired glucose tolerance
as compared with HFD-fed control rats. Analysis of serum cytokine expression
signatures indicated that chronic GH/IGF-1 deficiency exacerbates HFD-induced
inflammation. GH/IGF-1 deficiency also exacerbated HFD-induced endothelial
dysfunction, oxidative stress, and expression of inflammatory markers (tumor
necrosis factor-alpha, ICAM-1) in aortas of Lewis dwarf rats. Overall, our
results are consistent with the available clinical and experimental evidence
suggesting that GH/IGF-1 deficiency renders the cardiovascular system more
vulnerable to the deleterious effects of obesity.
PMID- 22080502
TI - Age-related effects in working memory recognition modulated by retroactive
interference.
AB - One of the main causes for age-related declines in working memory is a higher
vulnerability to retroactive interference due to a reduced ability to suppress
irrelevant information. However, the underlying neural correlates remain to be
established. Magnetoencephalography was used to investigate differential neural
patterns in young and older adults performing an interference-based memory task
with two experimental conditions, interrupting and distracting, during successful
recognition. Behaviorally, both types of retroactive interference significantly
impaired accuracy at recognition more in older adults than in young adults with
the latter exhibiting greater disruptions by interrupters. Magnetoencephalography
revealed the presence of differential age-related neural patterns. Specifically,
time-modulated activations in temporo-occipital and superior parietal regions
were higher in young adults compared with older adults for the interrupting
condition. These results suggest that age-related deficits in inhibitory
mechanisms that increase vulnerability to retroactive interference may be
associated with neural under-recruitments in a high-interference task.
PMID- 22080503
TI - Pretend play and development in early childhood (with implications for the
oedipal phase).
AB - Pretend play reflects both the unique mental organization and the developmental
challenges of early to middle childhood, with a trajectory that parallels the
monumental transformation of the toddler to the school-age child. Despite
evidence for various forms of playing throughout the life cycle, the flowering of
symbolic play in this phase is specific, essential, and typically transient in
terms of its dominance in the life of the child. It reflects the simultaneous
emergence, processing, and integration of the remarkable developmental advances
occurring during this period, most especially the capacity to symbolize, in the
service of the exponentially expanded psychosexual/social/emotional force field
implied in the contemporary use of the term oedipus complex. Moreover, it
constitutes a particular mental organization and ego state, with idiosyncratic
mentation, affect regulation, and relationship to inner and outer experience,
that accompanies the child's gradual orientation to consensual reality.
Subsequent access to some form of this state varies widely among individuals but
is rarely fully comparable.
PMID- 22080504
TI - The neurobiology of fear memory reconsolidation and psychoanalytic theory.
AB - Advances in both experimental neuroscience and psychoanalytic theory and
technique have made it possible to consider mechanisms by which psychodynamic
psychotherapies might have an impact at the cellular and molecular level. Here
potential analogies are drawn between (1) the mechanisms and results of blocking
the reconsolidation of conditioned fear memories in the laboratory and (2)
several key aspects of psychoanalytic process. A review of the biology of
conditioned fear memory, including differences between extinction and inhibition
of reconsolidation, indicates that this biology may have relevance to various
ways in which psychoanalytic therapy is effective. The ideas proposed here might
lead to further experimental attempts to understand the molecular biology of
psychoanalysis.
PMID- 22080505
TI - PSCDB: a database for protein structural change upon ligand binding.
AB - Proteins are flexible molecules that undergo structural changes to function. The
Protein Data Bank contains multiple entries for identical proteins determined
under different conditions, e.g. with and without a ligand molecule, which
provides important information for understanding the structural changes related
to protein functions. We gathered 839 protein structural pairs of ligand-free and
ligand-bound states from monomeric or homo-dimeric proteins, and constructed the
Protein Structural Change DataBase (PSCDB). In the database, we focused on
whether the motions were coupled with ligand binding. As a result, the protein
structural changes were classified into seven classes, i.e. coupled domain motion
(59 structural changes), independent domain motion (70), coupled local motion
(125), independent local motion (135), burying ligand motion (104), no
significant motion (311) and other type motion (35). PSCDB provides lists of each
class. On each entry page, users can view detailed information about the motion,
accompanied by a morphing animation of the structural changes. PSCDB is available
at http://idp1.force.cs.is.nagoya-u.ac.jp/pscdb/.
PMID- 22080506
TI - A database of immunoglobulins with integrated tools: DIGIT.
AB - The DIGIT (Database of ImmunoGlobulins with Integrated Tools) database
(http://biocomputing.it/digit) is an integrated resource storing sequences of
annotated immunoglobulin variable domains and enriched with tools for searching
and analyzing them. The annotations in the database include information on the
type of antigen, the respective germline sequences and on pairing information
between light and heavy chains. Other annotations, such as the identification of
the complementarity determining regions, assignment of their structural class and
identification of mutations with respect to the germline, are computed on the fly
and can also be obtained for user-submitted sequences. The system allows
customized BLAST searches and automatic building of 3D models of the domains to
be performed.
PMID- 22080507
TI - Attenuation of loop-receptor interactions with pseudoknot formation.
AB - RNA tetraloops can recognize receptors to mediate long-range interactions in
stable natural RNAs. In vitro selected GNRA tetraloop/receptor interactions are
usually more 'G/C-rich' than their 'A/U-rich' natural counterparts. They are not
as widespread in nature despite comparable biophysical and chemical properties.
Moreover, while AA, AC and GU dinucleotide platforms occur in natural GAAA/11 nt
receptors, the AA platform is somewhat preferred to the others. The apparent
preference for 'A/U-rich' GNRA/receptor interactions in nature might stem from an
evolutionary adaptation to avoid folding traps at the level of the larger
molecular context. To provide evidences in favor of this hypothesis, several
riboswitches based on natural and artificial GNRA receptors were investigated in
vitro for their ability to prevent inter-molecular GNRA/receptor interactions by
trapping the receptor sequence into an alternative intra-molecular pseudoknot.
Extent of attenuation determined by native gel-shift assays and co
transcriptional assembly is correlated to the G/C content of the GNRA receptor.
Our results shed light on the structural evolution of natural long-range
interactions and provide design principles for RNA-based attenuator devices to be
used in synthetic biology and RNA nanobiotechnology.
PMID- 22080508
TI - Carrier-free cellular uptake and the gene-silencing activity of the lipophilic
siRNAs is strongly affected by the length of the linker between siRNA and
lipophilic group.
AB - The conjugation of siRNA to molecules, which can be internalized into the cell
via natural transport mechanisms, can result in the enhancement of siRNA cellular
uptake. Herein, the carrier-free cellular uptake of nuclease-resistant anti-MDR1
siRNA equipped with lipophilic residues (cholesterol, lithocholic acid, oleyl
alcohol and litocholic acid oleylamide) attached to the 5'-end of the sense
strand via oligomethylene linker of various length was investigated. A convenient
combination of H-phosphonate and phosphoramidite methods was developed for the
synthesis of 5'-lipophilic conjugates of siRNAs. It was found that lipophilic
siRNA are able to effectively penetrate into HEK293, HepG2 and KB-8-5 cancer
cells when used in a micromolar concentration range. The efficiency of the uptake
is dependent upon the type of lipophilic moiety, the length of the linker between
the moiety and the siRNA and cell type. Among all the conjugates tested, the
cholesterol-conjugated siRNAs with linkers containing from 6 to 10 carbon atoms
demonstrate the optimal uptake and gene silencing properties: the shortening of
the linker reduces the efficiency of the cellular uptake of siRNA conjugates,
whereas the lengthening of the linker facilitates the uptake but retards the gene
silencing effect and decreases the efficiency of the silencing.
PMID- 22080509
TI - Database for bacterial group II introns.
AB - The Database for Bacterial Group II Introns
(http://webapps2.ucalgary.ca/~groupii/index.html#) provides a catalogue of full
length, non-redundant group II introns present in bacterial DNA sequences in
GenBank. The website is divided into three sections. The first section provides
general information on group II intron properties, structures and classification.
The second and main section lists information for individual introns, including
insertion sites, DNA sequences, intron-encoded protein sequences and RNA
secondary structure models. The final section provides tools for identification
and analysis of intron sequences. These include a step-by-step guide to identify
introns in genomic sequences, a local BLAST tool to identify closest intron
relatives to a query sequence, and a boundary-finding tool that predicts 5' and
3' intron-exon junctions in an input DNA sequence. Finally, selected intron data
can be downloaded in FASTA format. It is hoped that this database will be a
useful resource not only to group II intron and RNA researchers, but also to
microbiologists who encounter these unexpected introns in genomic sequences.
PMID- 22080510
TI - KEGG for integration and interpretation of large-scale molecular data sets.
AB - Kyoto Encyclopedia of Genes and Genomes (KEGG, http://www.genome.jp/kegg/ or
http://www.kegg.jp/) is a database resource that integrates genomic, chemical and
systemic functional information. In particular, gene catalogs from completely
sequenced genomes are linked to higher-level systemic functions of the cell, the
organism and the ecosystem. Major efforts have been undertaken to manually create
a knowledge base for such systemic functions by capturing and organizing
experimental knowledge in computable forms; namely, in the forms of KEGG pathway
maps, BRITE functional hierarchies and KEGG modules. Continuous efforts have also
been made to develop and improve the cross-species annotation procedure for
linking genomes to the molecular networks through the KEGG Orthology system. Here
we report KEGG Mapper, a collection of tools for KEGG PATHWAY, BRITE and MODULE
mapping, enabling integration and interpretation of large-scale data sets. We
also report a variant of the KEGG mapping procedure to extend the knowledge base,
where different types of data and knowledge, such as disease genes and drug
targets, are integrated as part of the KEGG molecular networks. Finally, we
describe recent enhancements to the KEGG content, especially the incorporation of
disease and drug information used in practice and in society, to support
translational bioinformatics.
PMID- 22080511
TI - ADHDgene: a genetic database for attention deficit hyperactivity disorder.
AB - With a worldwide prevalence of ~5%, attention deficit hyperactivity disorder
(ADHD) has become one of the most common psychiatric disorders. The polygenetic
nature of ADHD indicates that multiple genes jointly contribute to the
development of this complex disease. Studies aiming to explore genetic
susceptibility of ADHD have been increasing in recent years. There is a growing
need to integrate the genetic data from various genetic studies to provide a
comprehensive data set and uniform access for convenience of in-depth data
mining. So far, there has been no such effort for ADHD. To address the genetic
complexity of ADHD, we developed the ADHDgene database by integrating ADHD
related genetic factors by profound literature reading. Based on the data from
the literature, extended functional analysis, including linkage disequilibrium
analysis, pathway-based analysis and gene mapping were performed to provide new
insights into genetic causes of ADHD. Moreover, powerful search tools and a
graphical browser were developed to facilitate the navigation of the data and
data connections. As the first genetic database for ADHD, ADHDgene aims to
provide researchers with a central genetic resource and analysis platform for
ADHD and is freely available at http://adhd.psych.ac.cn/.
PMID- 22080512
TI - Plantmetabolomics.org: mass spectrometry-based Arabidopsis metabolomics--database
and tools update.
AB - The PlantMetabolomics (PM) database (http://www.plantmetabolomics.org) contains
comprehensive targeted and untargeted mass spectrum metabolomics data for
Arabidopsis mutants across a variety of metabolomics platforms. The database
allows users to generate hypotheses about the changes in metabolism for mutants
with genes of unknown function. Version 2.0 of PlantMetabolomics.org currently
contains data for 140 mutant lines along with the morphological data. A web-based
data analysis wizard allows researchers to select preprocessing and data-mining
procedures to discover differences between mutants. This community resource
enables researchers to formulate models of the metabolic network of Arabidopsis
and enhances the research community's ability to formulate testable hypotheses
concerning gene functions. PM features new web-based tools for data-mining
analysis, visualization tools and enhanced cross links to other databases. The
database is publicly available. PM aims to provide a hypothesis building platform
for the researchers interested in any of the mutant lines or metabolites.
PMID- 22080513
TI - Interplay between HIV-1 infection and host microRNAs.
AB - Using microRNA array analyses of in vitro HIV-1-infected CD4(+) cells, we find
that several host microRNAs are significantly up- or downregulated around the
time HIV-1 infection peaks in vitro. While microRNA-223 levels were significantly
enriched in HIV-1-infected CD4(+)CD8(-) PBMCs, microRNA-29a/b, microRNA-155 and
microRNA-21 levels were significantly reduced. Based on the potential for
microRNA binding sites in a conserved sequence of the Nef-3'-LTR, several host
microRNAs potentially could affect HIV-1 gene expression. Among those microRNAs,
the microRNA-29 family has seed complementarity in the HIV-1 3'-UTR, but the
potential suppressive effect of microRNA-29 on HIV-1 is severely blocked by the
secondary structure of the target region. Our data support a possible regulatory
circuit at the peak of HIV-1 replication which involves downregulation of
microRNA-29, expression of Nef, the apoptosis of host CD4 cells and upregulation
of microRNA-223.
PMID- 22080515
TI - Perceived quality of and access to care among poor urban women in Kenya and their
utilization of delivery care: harnessing the potential of private clinics?
AB - This paper uses data from a maternal health study carried out in 2006 in two
slums of Nairobi, Kenya, to: describe perceptions of access to and quality of
care among women living in informal settlements of Nairobi, Kenya; quantify the
effects of women's perceived quality of, and access to, care on the utilization
of delivery services; and draw policy implications regarding the delivery of
maternal health services to the urban poor. Based on the results of the facility
survey, all health facilities were classified as 'appropriate' or
'inappropriate'. The research was based on the premise that despite the poor
quality of these maternal health facilities, their responsiveness to the socio
cultural and economic sensitivities of women would result in good perceptions and
higher utilization by women. Our results show a pattern of women's good
perceptions in terms of access to, and quality of, health care provided by the
privately owned, sub-standard and often unlicensed clinics and maternity homes
located within their communities. In the multivariate model, the association
between women's perceptions of access to and quality of care, and delivery at
these 'inappropriate' facilities remained strong, graded and in the expected
direction. Women from the study area are seldom able to reach not-for-profit
private providers of maternal health care services like missionary and non
governmental organization (NGO) clinics and hospitals. Against the backdrop of
challenges faced by the public sector in health care provision, we recommend that
the government should harness the potential of private clinics operating in
urban, resource-deprived settings. First, the government should regulate private
health facilities operating in urban slum settlements to ensure that the services
they offer meet the acceptable minimum standards of obstetric care. Second,
'good' facilities should be given technical support and supplied with drugs and
equipment.
PMID- 22080514
TI - PolymiRTS Database 2.0: linking polymorphisms in microRNA target sites with human
diseases and complex traits.
AB - The polymorphism in microRNA target site (PolymiRTS) database aims to identify
single-nucleotide polymorphisms (SNPs) that affect miRNA targeting in human and
mouse. These polymorphisms can disrupt the regulation of gene expression by
miRNAs and are candidate genetic variants responsible for transcriptional and
phenotypic variation. The database is therefore organized to provide links
between SNPs in miRNA target sites, cis-acting expression quantitative trait loci
(eQTLs), and the results of genome-wide association studies (GWAS) of human
diseases. Here, we describe new features that have been integrated in the
PolymiRTS database, including: (i) polymiRTSs in genes associated with human
diseases and traits in GWAS, (ii) polymorphisms in target sites that have been
supported by a variety of experimental methods and (iii) polymorphisms in miRNA
seed regions. A large number of newly identified microRNAs and SNPs, recently
published mouse phenotypes, and human and mouse eQTLs have also been integrated
into the database. The PolymiRTS database is available at
http://compbio.uthsc.edu/miRSNP/.
PMID- 22080516
TI - UK is the best at coordinating care for sicker patients, Sweden the worst, shows
survey.
PMID- 22080517
TI - Conservative win in Spain's general election will boost role of private sector in
healthcare.
PMID- 22080518
TI - England and Wales "achieve more with less" on cancer outcomes than other
countries.
PMID- 22080519
TI - More than half a million people could lose incapacity benefit after welfare
changes.
PMID- 22080520
TI - Agencies begin clearing unexploded weapons in Libya.
PMID- 22080521
TI - GMC is ordered to pay doctor 22,000 pound after judge quashes panel's findings
against her.
PMID- 22080522
TI - NHS hospital is taken over by a private social enterprise.
PMID- 22080523
TI - GP partners' pay decreases as expenses increase.
PMID- 22080524
TI - Anticipated stigma and quality of life among people living with chronic
illnesses.
AB - OBJECTIVES: We examined the process by which anticipated stigma relates to
quality of life among people living with chronic illnesses. We hypothesized that
stress, social support and patient satisfaction mediate the relationships between
three sources of anticipated stigma and quality of life. METHODS: Data were
collected from adults living with chronic illnesses recruited from support groups
and online communities, and were analysed with path analysis. RESULTS: Results
demonstrated that stress mediated the relationships between anticipated stigma
from friends and family, and work colleagues with quality of life; social support
mediated the relationships between anticipated stigma from friends and family,
and work colleagues with quality of life; and patient satisfaction mediated the
relationship between anticipated stigma from healthcare providers with quality of
life. The final path model fit the data well (chi (2) (8) = 8.66, p = 0.37; RMSEA
= 0.02; CFI = 0.99; SRMR = 0.03), and accounted for 60% of the variance in
participants' quality of life. DISCUSSION: This work highlights potential points
of intervention to improve quality of life. It calls attention to the importance
of differentiating between sources of anticipated stigma in clinical settings,
interventions and research involving people living with chronic illnesses.
PMID- 22080525
TI - Public health significance of four cardiovascular risk factors assessed 25 years
ago in a low prevalence country.
AB - BACKGROUND: The individual and combined effect of cardiovascular disease (CVD)
risk factors (RFs) on CVD mortality varies between populations. Our aim was to
examine this association and its public health impact in Switzerland, a country
with comparably low CVD mortality. METHODS: We included 9853 men and women aged
25-74 years who participated in the Swiss MONICA (MONItoring of trends and
determinants in CArdiovascular disease) study (1983-1992) and were followed up
for survival until 2008. Adjusted Cox regression was used to calculate CVD
mortality hazard ratios (HR). CVD-RFs were obesity (body mass index >= 30
kg/m(2)), smoking (>= 1 cig/d), high blood pressure (>= 140 or >= 90 mmHg), and
total: high-density lipoprotein cholesterol ratio (>= 5.0). Besides age and sex,
models were adjusted for diet, physical activity, educational class, marital
status, and the respective other CVD-RFs. RESULTS: After adjustment for age and
sex, the HR of CVD death was for obesity 1.86 (95% CI 1.50-2.31), for smoking
1.63 (95% CI 1.32-2.01), for high blood pressure 1.42 (95% CI 1.16-1.73), and for
high cholesterol ratio 1.30 (95% CI 1.06-1.60). Adjustment for other covariates
moderately attenuated estimates. CVD-RFs had an independent and synergistic
effect and accounted for 43.0% of population attributable risk. The presence of
all four compared to zero CVD-RFs was associated with a 9.6 years shorter
expected survival for a man aged 50. CONCLUSIONS: Most CVD deaths could be
avoided by prevention of four traditional CVD-RFs. Reduction of smoking
prevalence and avoidance of weight gain in the population are the most effective
measures. Particular attention should be dedicated to persons with multiple CVD
RFs.
PMID- 22080526
TI - Reliability and validity of the five-repetition sit-to-stand test for children
with cerebral palsy.
AB - OBJECTIVE To investigate the psychometric properties of the five-repetition sit
to-stand test, a functional strength test, in children with spastic diplegia.
DESIGN: Methodology study. SETTINGS: Hospital, laboratory or home. PARTICIPANTS:
In total, 108 children with spastic diplegia and 62 with typical development aged
from five to 12 years were tested. For test-retest reliability, 22 children with
spastic diplegia were tested twice within one week. INTERVENTIONS: Not
applicable. MAIN MEASURES: The five-repetition sit-to-stand test measures time
needed to complete five consecutive sit-to-stand cycles as quickly as possible.
The higher the rate of five-repetition sit-to-stand (repetitions per second), the
more strength a person has. RESULTS: The intraclass correlation coefficients of
intra-session reliability and test-retest reliability were 0.95 and 0.99
respectively. The minimal detectable difference was 0.06 rep/sec. The convergent
validity of the five-repetition sit-to-stand test was supported by significant
correlation with one-repetition maximum of the loaded sit-to-stand test,
isometric muscle strength, scores of Gross Motor Function Measure, and gait
function (r or rho = 0.40-0.78). For known group validity, children with typical
development and children classified as Gross Motor Function Classification System
level I performed higher rates of five-repetition sit-to-stand than children
classified as level II, and children classified as level II performed higher
rates than level III. CONCLUSION: The five-repetition sit-to-stand test was a
reliable and valid test to measure functional muscle strength in children with
spastic diplegia in clinics.
PMID- 22080527
TI - Voxel-based analysis of apparent diffusion coefficient in perihaematomal oedema:
associated factors and outcome predictive value for intracerebral haemorrhage.
AB - Objectives The pathophysiology of perihaematomal oedema (PO) surrounding a
primary intracerebral haemorrhage (ICH) is complicated and incompletely
understood. We prospectively investigated the components of PO with voxel-based
analysis of the apparent diffusion coefficient (ADC) value and assessed its
predictive value for functional outcome. Design Forty-six patients with ICH who
were enrolled for clinical evaluation underwent MRI scans within 24 h after ICH.
Based on the ADC value of the ipsilateral voxels divided by the mean ADC value of
the contralateral mirror region of interest, the voxels with oedema were
classified into three categories: cytotoxic, vasogenic and undetermined. The
percentages of cytotoxic and vasogenic oedema were then calculated and correlated
with clinical outcome according to the modified Rankin Scale (mRS) at 6 months
after ICH. The intraobserver and interobserver reliability of this method were
examined using intraclass correlation coefficients. Results The intraclass
correlation coefficients showed that analysis using the voxel-based method is
highly reliable. Among the clinical variables tested, age and serum creatinine
levels were positively correlated with percentage of cytotoxic oedema. Age,
history of coronary artery disease, National Institutes of Health Stroke Scale
score and percentage of cytotoxic oedema were all associated with mRS at 6 months
after ICH. Conclusions The pathophysiological processes within PO are
complicated. Voxel-based analysis of ADC values may help to identify the
components of PO and may be beneficial for decision making and predicting
outcome.
PMID- 22080528
TI - Differences in coronary heart disease, stroke and cancer mortality rates between
England, Wales, Scotland and Northern Ireland: the role of diet and nutrition.
AB - Introduction It is unclear how much of the geographical variation in coronary
heart disease (CHD), stroke and cancer mortality rates within the UK is
associated with diet. The aim of this study is to estimate how many deaths from
CHD, stroke and cancer would be delayed or averted if Wales, Scotland and
Northern Ireland adopted a diet equivalent in nutritional quality to the English
diet. Methods Mortality data for CHD, stroke and 10 diet-related cancers for
2007-2009 were used to calculate the mortality gap (the difference between actual
mortality and English mortality rates) for Wales, Scotland and Northern Ireland.
Estimates of mean national consumption of 10 dietary factors were used as
baseline and counterfactual inputs in a macrosimulation model (DIETRON). An
uncertainty analysis was conducted using a Monte Carlo simulation with 5000
iterations. Results The mortality gap in the modelled scenario (achieving the
English diet) was reduced by 81% (95% credible intervals: 62% to 108%) for Wales,
40% (33% to 51%) for Scotland and 81% (67% to 99%) for Northern Ireland, equating
to approximately 3700 deaths delayed or averted annually. For CHD only, the
mortality gap was reduced by 88% (69% to 118%) for Wales, 58% (47% to 72%) for
Scotland, and 88% (70% to 111%) for Northern Ireland. Conclusion Improving the
average diet in Wales, Scotland and Northern Ireland to a level already achieved
in England could have a substantial impact on reducing geographical variations in
chronic disease mortality rates in the UK. Much of the mortality gap between
Scotland and England is explained by non-dietary risk factors.
PMID- 22080529
TI - Management of patient adherence to medications: protocol for an online survey of
doctors, pharmacists and nurses in Europe.
AB - Introduction It is widely recognised that many patients do not take prescribed
medicines as advised. Research in this field has commonly focused on the role of
the patient in non-adherence; however, healthcare professionals can also have a
major influence on patient behaviour in taking medicines. This study examines the
perceptions, beliefs and behaviours of healthcare professionals-doctors,
pharmacists and nurses-about patient medication adherence. Methods and analysis
This paper describes the study protocol and online questionnaire used in a cross
sectional survey of healthcare professionals in Europe. The participating
countries include Austria, Belgium, France, Greece, The Netherlands, Germany,
Poland, Portugal, Switzerland, Hungary, Italy and England. The study population
comprises primary care and community-based doctors, pharmacists and nurses
involved in the care of adult patients taking prescribed medicines for chronic
and acute illnesses. Discussion Knowledge of the nature, extent and variability
of the practices of healthcare professionals to support medication adherence
could inform future service design, healthcare professional education, policy and
research.
PMID- 22080530
TI - Challenges for health promotion research and action across the globe.
PMID- 22080531
TI - Neurophysiological and morphological responses to treatment with acetyl-L
carnitine in a sciatic nerve injury model: preliminary data.
AB - We investigated the effects of acetyl-L-carnitine (ALCAR) on the recovery of
sciatic nerve injuries in rats. Sprague Dawley rats were randomized to two
groups: ALCAR treated (for 14 days) and control. Each group was divided into
three subgroups: distal transection, proximal transection, and grafted. Distal
latencies, amplitudes, and motor nerve conduction velocities were measured. In
the third month, biopsies were taken and examined under light microscopy.
Electrophysiological measurements demonstrated that regeneration occurred earlier
and was better in the ALCAR group, particularly in the distal transection
subgroup. Better results were obtained in the distal transection subgroup in
terms of axonal regeneration compared with the proximal transection and grafted
subgroups because the regenerating segment was shorter. ALCAR enhanced the
quality of neural recovery at the different levels and in different types of
repair, and led to a decline in nerve death.
PMID- 22080532
TI - Closed rupture of abductor pollicis longus and extensor pollicis brevis
associated with fracture of the distal radius.
PMID- 22080533
TI - The tape strip: facilitating radiographic imaging and surgical procedures in the
hand.
PMID- 22080534
TI - Re: Henderson J, Sutcliffe M, Gillespie P. The tension band principle and angular
testing of extensor tendon repairs. J Hand Surg Eur. 2011, 36: 297-302.
PMID- 22080535
TI - Child malnutrition and recurrent flooding in rural eastern India: a community
based survey.
AB - Objectives This study aims to improve the understanding of the relationship
between exposure to floods and malnutrition in children aged 6-59 months in rural
India. Research has focused exclusively on Bangladeshi children, and few
controlled epidemiological studies are available. Method A community-based cross
sectional study of child nutritional status was carried out in 14 flooded and 18
non-flooded villages of Jagatsinghpur district (Orissa) within one month of the
September 2008 floods, and similarly affected by flooding in August 2006. Face-to
face interviews were conducted in 757 households in the flooded villages and 816
in the non-flooded communities. Data used in this study were from those
households with children aged 6-59 months. In total, 191 and 161 children were
measured, respectively. The association between various malnutrition indicators
and the exposure to floods was assessed by univariate and multivariate logistic
regression. Results Adjusted analyses revealed that children in flooded
households were more likely stunted compared with those in non-flooded ones
(adjusted prevalence ratio 1.60; 95% CI 1.05 to 2.44). The prevalence of
underweight was also higher in children living in the flooded communities
(adjusted prevalence ratio 1.86; 95% CI 1.04 to 3.30). Further analyses found
that the 26-36-month flooded cohort, thus those children younger than 1 year
during the precedent flood in August 2006, attained the largest difference in
levels of stunting compared with the unexposed group of the same age. Conclusion
Exposure to floods is associated with long-term malnutrition in these rural
communities of Orissa, India. Children exposed to floods during their first year
of life presented higher levels of chronic malnutrition. Long-term malnutrition
prevention programmes after floods should be implemented in flood-prone areas.
PMID- 22080536
TI - Prospective Swiss cohort study of living-kidney donors: study protocol.
AB - Background Offering living kidney donation raised the concern that donors are
exposed to unknown risks. All Swiss transplant centres therefore decided to start
a prospective cohort study of living kidney donors in Switzerland. This paper
describes the rationale for and implementation of this cohort study.
Methods/design All kidney donors in Switzerland are registered and examined
before donation and biennially after donation starting in the first year after
nephrectomy. Before each follow-up visit, the study centre sends a package to the
kidney donor containing the health questionnaire, blood and urine tubes and a
prepaid envelope for sending the samples to the central laboratory. The donor
makes an appointment with their family physician, who examines the donor and
reports findings such as pain and other complaints, blood pressure, creatinine,
albumin, all major health events and the state of mental and social well-being to
the study centre. The family doctor draws the blood sample and mails it with the
urine sample in the prepaid envelope. All data are centrally managed. All
abnormal findings in the follow-up of individual donors are regularly discussed
with the principal investigator, and necessary clinical changes made and recorded
in the database. The health insurance of the recipient covers all costs of the
donor follow-up. The main outcomes are the occurrence of albuminuria,
hypertension and renal insufficiency. The secondary outcomes are major somatic
and social events such as death, cardiovascular disease, stroke and depression.
Discussion This prospective cohort offers unique opportunities to assess the
risks of living kidney donation and will allow us to examine the risks associated
with the methods used for nephrectomy in Switzerland (various forms of open
surgery and laparoscopic nephrectomy). The prospective collection of all
clinically relevant data and the regular monitoring of donors will allow timely
interventions at early stages before serious kidney and general health problems
occur.
PMID- 22080537
TI - Training the biomedical informatics workforce in Latin America: results of a
needs assessment.
AB - Objective To report the results of a needs assessment of research and training in
Medical Informatics (MI) and Bioinformatics (BI) in Latin America. Methods and
results This assessment was conducted by QUIPU: The Andean Global Health
Informatics Research and Training Center. After sending email invitations to MI
BI related professionals from Latin America, 142 surveys were received from 11
Latin American countries. The following were the top four ranked MI-related
courses that a training programme should include: introduction to biomedical
informatics; data representation and databases; mobile health; and courses that
address issues of security, confidentiality and privacy. Several new courses and
topics for research were suggested by survey participants. The information
collected is guiding the development of curricula and a research agenda for the
MI and BI QUIPU multidisciplinary programme for the Andean Region and Latin
America.
PMID- 22080538
TI - Epidemiology of syphilis-related hospitalisations in Spain between 1997 and 2006:
a retrospective study.
AB - Objective In order to illustrate the important public health impact of syphilis,
which is a preventable infection, the epidemiology of syphilis-related
hospitalisations in Spain was studied over a 10-year period. Methods A
retrospective study was conducted using the National Epidemiological Surveillance
System for Hospital Data (Minimum Data Set). All hospitalisations due to syphilis
infection in any diagnostic position (ICD-9-CM 090-097) between 1997 and 2006
were analysed, according to the Spanish version of the International
Classification of Diseases, ninth revision (ICD-9-CM). Results There were 9556
hospitalisations associated with syphilis in Spain. The hospitalisation rate was
2.33 per 100 000 population, the mortality rate was 0.07 per 100 000 population
and the lethality was 3.17%. The hospitalisation rate increased significantly
after 2000 and was higher in men. Conclusion Syphilis remains a major public
health problem because of both potential complications and its close association
with HIV infection. It is necessary to promote early diagnosis, ensure treatment
in patients with syphilis and emphasise health promotion and prevention
programmes.
PMID- 22080539
TI - Mortality from Parkinson's disease and other causes among a workforce
manufacturing paraquat: a retrospective cohort study.
AB - Objective To assess the risk of Parkinson's disease (PD) and update information
on mortality from major causes of death among a UK workforce who manufactured
paraquat (PQ) between 1961 and 1995. There have been no previous studies of the
incidence of PD among PQ production workers, although much epidemiological
literature exists concerning the relationship between pesticides and PD, and
interest has focused on PQ and its users. Methods The cohort included all
employees who had ever worked on any of the four plants at Widnes where PQ was
manufactured between 1961 and 1995, and 926 male and 42 female workers were
followed through 30 June 2009. Mortalities for males were compared with national
and local rates, including rates for PD as a mentioned cause of death. Results
Overall, 307 workers had died by 30 June 2009. One male death was due to PD, and
no other death certificate mentioned PD. At least 3.3 death certificates of male
employees would have been expected to have mentioned PD (standardised mortality
ratio=31; 95% CI 1 to 171). Personal monitoring results were indicative that the
exposure of a PQ production worker on a daily basis was at least comparable with
that of a PQ sprayer or mixer/loader. Reduced mortalities compared with local
rates were found for major causes of death. Conclusions The study provided no
evidence of an increased risk of PD, or increased mortalities from other causes.
PMID- 22080540
TI - Characteristics of randomised trials on diseases in the digestive system
registered in ClinicalTrials.gov: a retrospective analysis.
AB - Objectives To evaluate the adequacy of reporting of protocols for randomised
trials on diseases of the digestive system registered in
http://ClinicalTrials.gov and the consistency between primary outcomes, secondary
outcomes and sample size specified in http://ClinicalTrials.gov and published
trials. Methods Randomised phase III trials on adult patients with
gastrointestinal diseases registered before January 2009 in
http://ClinicalTrials.gov were eligible for inclusion. From
http://ClinicalTrials.gov all data elements in the database required by the
International Committee of Medical Journal Editors (ICMJE) member journals were
extracted. The subsequent publications for registered trials were identified. For
published trials, data concerning publication date, primary and secondary
endpoint, sample size, and whether the journal adhered to ICMJE principles were
extracted. Differences between primary and secondary outcomes, sample size and
sample size calculations data in http://ClinicalTrials.gov and in the published
paper were registered. Results 105 trials were evaluated. 66 trials (63%) were
published. 30% of trials were registered incorrectly after their completion date.
Several data elements of the required ICMJE data list were not filled in, with
missing data in 22% and 11%, respectively, of cases concerning the primary
outcome measure and sample size. In 26% of the published papers, data on sample
size calculations were missing and discrepancies between sample size reporting in
http://ClinicalTrials.gov and published trials existed. Conclusion The quality
of registration of randomised controlled trials still needs improvement.
PMID- 22080541
TI - The 'Eigenstandig werden' prevention trial: a cluster randomised controlled study
on a school-based life skills programme to prevent substance use onset.
AB - Objective To implement and evaluate 'Eigenstandig werden 5+6' ('Becoming
Independent 5+6'), a school-based curriculum for grades 5 and 6 developed on the
basis of evidence-based criteria for effective drug prevention curricula in
schools. Evaluation of the programme includes efficacy, feasibility and
practicability in daily school routine. Methods and results The intervention
'Eigenstandig werden 5+6' consists of 14 teaching units evenly distributed over
grades 5 and 6 which are interactively delivered, and a parent component.
Programme effects are studied in a four wave cluster randomised controlled trial
with two arms, an intervention and a control group. Self-completed questionnaires
from students and teachers are collected by trained research staff. 45 schools,
172 classes and 3444 students with a mean age of 10.37 years (SD=0.59) and 47.9%
girls from four federal states in Germany were assessed at baseline. 1685
students in 81 classes were assigned to intervention classes, 1759 students in 91
classes to the control arm. No differences between conditions were found for age,
gender, immigration background, socioeconomic status, substance use or life
skills at baseline. Exceptions were higher self-efficacy (t(3438)=2.34, p=0.02,
d=0.08) and empathy (t(3302)=2.4, p=0.02, d=0.09) in the control group, whereas
class climate seemed better in the intervention group (t(3037)=2.01, p=0.05,
d=0.07), but effect sizes state marginal differences. Conclusion Baseline data
suggest that the initial conditions are favourable for testing programme efficacy
since distribution of baseline levels of the outcomes did not differ in the
intervention and control groups, except for negligible differences between self
efficacy and empathy, which were higher in the control group, and class climate,
which was higher in the intervention group. Trial registration number Current
Controlled Trials ISRCTN99442407.
PMID- 22080542
TI - What determines adherence to treatment in cardiovascular disease prevention?
Protocol for a mixed methods preference study.
AB - Background Significant gaps exist between guidelines-recommended therapies for
cardiovascular disease prevention and current practice. Fixed-dose combination
pills ('polypills') potentially improve adherence to therapy. This study is a
preference study undertaken in conjunction with a clinical trial of a polypill
and seeks to examine the underlying reasons for variations in treatment adherence
to recommended therapy. Methods/design A preference study comprising: (1)
Discrete Choice Experiment for patients; and (2) qualitative study of patients
and providers. Both components will be conducted on participants in the trial. A
joint model combining the observed adherence in the clinical trial (revealed
preference) and the Discrete Choice Experiment data (stated preference) will be
estimated. Estimates will be made of the marginal effect (importance) of each
attribute on overall choice, the extent to which respondents are prepared to
trade-off one attribute for another and predicted values of the level of
adherence given a fixed set of attributes, and contextual and socio-demographic
characteristics. For the qualitative study, a thematic analysis will be used as a
means of exploring in depth the preferences and ultimately provide important
narratives on the experiences and perspectives of individuals with regard to
adherence behaviour. Ethics and dissemination Primary ethics approval was
received from Sydney South West Area Health Service Human Research Ethics
Committee (Royal Prince Alfred Hospital zone). In addition to usual scientific
forums, the findings will be reported back to the communities involved in the
studies through site-specific reports and oral presentations.
PMID- 22080543
TI - Postoperative atrial fibrillation predicts long-term survival after aortic-valve
surgery but not after mitral-valve surgery: a retrospective study.
AB - Background Postoperative atrial fibrillation (POAF) has been reported to be
associated with reduced long-term survival after isolated coronary artery bypass
grafting surgery. The objective of this study was to determine the impact of POAF
on long-term survival after valvular surgery. Methods The authors
retrospectively analysed the preoperative and operative data of 2986 consecutive
patients with no preoperative history of atrial fibrillation undergoing first
valvular surgery (aortic-valve replacement (AVR), mitral valve replacement or
mitral valve repair (MVR/MVRp) with or without coronary artery bypass grafting
surgery) in their institution between 1995 and 2008 (median follow-up 5.31 years,
range 0.1-15.0). The authors investigated the impact of POAF on survival using
multivariable Cox regression. Results Patients with POAF were older, and were
more likely to have hypertension or renal failure when compared with patients
without POAF. The 12-year survival in patients with POAF was 45.7+/-2.8% versus
61.4+/-2.1% in patients without POAF (p<0.001). On a multivariable analysis, when
adjusting for age and other potential confounding factors, POAF tended to be
associated with lower long-term survival (HR for all-cause death (HR)=1.17, 95%
CI 1.00 to 1.38, p=0.051). The authors also analysed this association separately
in patients with AVR and those with MVR/MVRp. In the multivariable analysis, POAF
was a significant predictor of higher long-term mortality in patients with AVR
(HR=1.22, CI 1.02 to 1.45, p=0.03) but not in patients with MVR/MVRp (HR=0.87, CI
0.58 to 1.29, p=0.48). Conclusions POAF is significantly associated with long
term mortality following AVR but not after MVR/MVRp. The underlying factors
involved in the pathogenesis of POAF after MVR/MVRp may partially account for the
lack of association between POAF and survival in these patients.
PMID- 22080544
TI - The diagnosis and management of pleural effusions in the ICU.
AB - Pleural effusions are common in critically ill patients. Most effusions in
intensive care unit (ICU) patients are of limited clinical significance; however,
some are important and require aggressive management. Transudative effusions in
the ICU are commonly caused by volume overload, decreased plasma oncotic
pressure, and regions of altered pleural pressure attributable to atelectasis and
mechanical ventilation. Exudates are sequelae of pulmonary or pleural infection,
pulmonary embolism, postsurgical complications, and malignancy. Increases in
pleural fluid volume are accommodated principally by chest wall expansion and, to
a lesser degree, by lung collapse. Studies in mechanically ventilated patients
suggest that pleural fluid drainage can result in improved oxygenation for up to
48 hours, but data on clinical outcomes are limited. Mechanically ventilated
patients with pleural effusions should be semirecumbant and treated with higher
levels of positive-end expiratory pressure. Rarely, large effusions can cause
cardiac tamponade or tension physiology, requiring urgent drainage. Bedside
ultrasound is both sensitive and specific for diagnosing pleural effusions in
mechanically ventilated patients. Sonographic findings of septation and
homogenous echogenicity may suggest an exudative effusion, but definitive
diagnosis requires pleural fluid sampling. Thoracentesis should be carried out
under ultrasound guidance. Antibiotic regimens for parapneumonic effusions should
be based on current pneumonia guidelines, and anaerobic coverage should be
included in the case of empyema. Decompression of the pleural space may be
necessary to improve respiratory mechanics, as well as to treat complicated
effusions. While small-bore catheters inserted under ultrasound guidance may be
used for nonseptated effusions, surgical consultation should be sought in cases
where this approach fails, or where the effusion appears complex and septated at
the outset. Further research is needed to determine the effects of pleural fluid
drainage on clinical outcomes in mechanically ventilated patients, to evaluate
weaning strategies that include pleural fluid drainage, and to better identify
patients in whom pleural effusions are more likely to be infected.
PMID- 22080545
TI - Enhancing the cellular uptake of Py-Im polyamides through next-generation aryl
turns.
AB - Pyrrole-imidazole (Py-Im) hairpin polyamides are a class of programmable,
sequence-specific DNA binding oligomers capable of disrupting protein-DNA
interactions and modulating gene expression in living cells. Methods to control
the cellular uptake and nuclear localization of these compounds are essential to
their application as molecular probes or therapeutic agents. Here, we explore
modifications of the hairpin gamma-aminobutyric acid turn unit as a means to
enhance cellular uptake and biological activity. Remarkably, introduction of a
simple aryl group at the turn potentiates the biological effects of a polyamide
targeting the sequence 5'-WGWWCW-3' (W =A/T) by up to two orders of magnitude.
Confocal microscopy and quantitative flow cytometry analysis suggest this
enhanced potency is due to increased nuclear uptake. Finally, we explore the
generality of this approach and find that aryl-turn modifications enhance the
uptake of all polyamides tested, while having a variable effect on the upper
limit of polyamide nuclear accumulation. Overall this provides a step forward for
controlling the intracellular concentration of Py-Im polyamides that will prove
valuable for future applications in which biological potency is essential.
PMID- 22080546
TI - The International Nucleotide Sequence Database Collaboration.
AB - The members of the International Nucleotide Sequence Database Collaboration
(INSDC; http://www.insdc.org) set out to capture, preserve and present globally
comprehensive public domain nucleotide sequence information. The work of the long
standing collaboration includes the provision of data formats, annotation
conventions and routine global data exchange. Among the many developments to
INSDC resources in 2011 are the newly launched BioProject database and improved
handling of assembly information. In this article, we outline INSDC services and
update the reader on developments in 2011.
PMID- 22080547
TI - Site-specific isotope labeling of long RNA for structural and mechanistic
studies.
AB - A site-specific isotope labeling technique of long RNA molecules was established.
This technique is comprised of two simple enzymatic reactions, namely a guanosine
transfer reaction of group I self-splicing introns and a ligation with T4 DNA
ligase. The trans-acting group I self-splicing intron with its external cofactor,
'isotopically labeled guanosine 5'-monophosphate' (5'-GMP), steadily gave a 5'
residue-labeled RNA fragment. This key reaction, in combination with a ligation
of 5'-remainder non-labeled sequence, allowed us to prepare a site-specifically
labeled RNA molecule in a high yield, and its production was confirmed with (15)N
NMR spectroscopy. Such a site-specifically labeled RNA molecule can be used to
detect a molecular interaction and to probe chemical features of
catalytically/structurally important residues with NMR spectroscopy and possibly
Raman spectroscopy and mass spectrometry.
PMID- 22080548
TI - Major submissions tool developments at the European Nucleotide Archive.
AB - The European Nucleotide Archive (ENA; http://www.ebi.ac.uk/ena), Europe's primary
nucleotide sequence resource, captures and presents globally comprehensive
nucleic acid sequence and associated information. Covering the spectrum from raw
data to assembled and functionally annotated genomes, the ENA has witnessed a
dramatic growth resulting from advances in sequencing technology and ever
broadening application of the methodology. During 2011, we have continued to
operate and extend the broad range of ENA services. In particular, we have
released major new functionality in our interactive web submission system, Webin,
through developments in template-based submissions for annotated sequences and
support for raw next-generation sequence read submissions.
PMID- 22080549
TI - GeneWeaver: a web-based system for integrative functional genomics.
AB - High-throughput genome technologies have produced a wealth of data on the
association of genes and gene products to biological functions. Investigators
have discovered value in combining their experimental results with published
genome-wide association studies, quantitative trait locus, microarray, RNA
sequencing and mutant phenotyping studies to identify gene-function associations
across diverse experiments, species, conditions, behaviors or biological
processes. These experimental results are typically derived from disparate data
repositories, publication supplements or reconstructions from primary data
stores. This leaves bench biologists with the complex and unscalable task of
integrating data by identifying and gathering relevant studies, reanalyzing
primary data, unifying gene identifiers and applying ad hoc computational
analysis to the integrated set. The freely available GeneWeaver
(http://www.GeneWeaver.org) powered by the Ontological Discovery Environment is a
curated repository of genomic experimental results with an accompanying tool set
for dynamic integration of these data sets, enabling users to interactively
address questions about sets of biological functions and their relations to sets
of genes. Thus, large numbers of independently published genomic results can be
organized into new conceptual frameworks driven by the underlying, inferred
biological relationships rather than a pre-existing semantic framework. An
empirical 'ontology' is discovered from the aggregate of experimental knowledge
around user-defined areas of biological inquiry.
PMID- 22080550
TI - BYKdb: the Bacterial protein tYrosine Kinase database.
AB - Bacterial tyrosine-kinases share no resemblance with their eukaryotic
counterparts and they have been unified in a new protein family named BY-kinases.
These enzymes have been shown to control several biological functions in the
bacterial cells. In recent years biochemical studies, sequence analyses and
structure resolutions allowed the deciphering of a common signature. However, BY
kinase sequence annotations in primary databases remain incomplete. This prompted
us to develop a specialized database of computer-annotated BY-kinase sequences:
the Bacterial protein tyrosine-kinase database (BYKdb). BY-kinase sequences are
first identified, thanks to a workflow developed in a previous work. A second
workflow annotates the UniProtKB entries in order to provide the BYKdb entries.
The database can be accessed through a web interface that allows static and
dynamic queries and offers integrated sequence analysis tools. BYKdb can be found
at http://bykdb.ibcp.fr.
PMID- 22080551
TI - West Nile virus encodes a microRNA-like small RNA in the 3' untranslated region
which up-regulates GATA4 mRNA and facilitates virus replication in mosquito
cells.
AB - West Nile virus (WNV) belongs to a group of medically important single-stranded,
positive-sense RNA viruses causing deadly disease outbreaks around the world. The
3' untranslated region (3'-UTR) of the flavivirus genome, in particular the
terminal 3' stem-loop (3'SL) fulfils multiple functions in virus replication and
virus-host interactions. Using the Kunjin strain of WNV (WNV(KUN)), we detected a
virally encoded small RNA, named KUN-miR-1, derived from 3'SL. Transcription of
WNV(KUN) pre-miRNA (3'SL) in mosquito cells either from plasmid or Semliki Forest
virus (SFV) RNA replicon resulted in the production of mature KUN-miR-1.
Silencing of Dicer-1 but not Dicer-2 led to a reduction in the miRNA levels.
Further, when a synthetic inhibitor of KUN-miR-1 was transfected into mosquito
cells, replication of viral RNA was significantly reduced. Using cloning and
bioinformatics approaches, we identified the cellular GATA4 mRNA as a target for
KUN-miR-1. KUN-miR-1 produced in mosquito cells during virus infection or from
plasmid DNA, SFV RNA replicon or mature miRNA duplex increased accumulation of
GATA4 mRNA. Depletion of GATA4 mRNA by RNA silencing led to a significant
reduction in virus RNA replication while a KUN-miR-1 RNA mimic enhanced
replication of a mutant WNV(KUN) virus producing reduced amounts of KUN-miR-1,
suggesting that GATA4-induction via KUN-miR-1 plays an important role in virus
replication.
PMID- 22080552
TI - Nonspaced inverted DNA repeats are preferential targets for homology-directed
gene repair in mammalian cells.
AB - DNA repeats constitute potential sites for the nucleation of secondary structures
such as hairpins and cruciforms. Studies performed mostly in bacteria and yeast
showed that these noncanonical DNA structures are breakage-prone, making them
candidate targets for cellular DNA repair pathways. Possible culprits for
fragility at repetitive DNA sequences include replication and transcription as
well as the action of structure-specific nucleases. Despite their patent
biological relevance, the parameters governing DNA repeat-associated chromosomal
transactions remain ill-defined. Here, we established an episomal recombination
system based on donor and acceptor complementary DNA templates to investigate the
role of direct and inverted DNA repeats in homologous recombination (HR) in
mammalian cells. This system allowed us also to ascertain in a stringent manner
the impact of repetitive sequence replication on homology-directed gene repair.
We found that nonspaced DNA repeats can, per se, engage the HR pathway of the
cell and that this process is primarily dependent on their spacing and relative
arrangement (i.e. parallel or antiparallel) rather than on their sequence.
Indeed, our data demonstrate that contrary to direct and spaced inverted repeats,
nonspaced inverted repeats are intrinsically recombinogenic motifs in mammalian
cells lending experimental support to their role in genome dynamics in higher
eukaryotes.
PMID- 22080553
TI - Pocketome: an encyclopedia of small-molecule binding sites in 4D.
AB - The importance of binding site plasticity in protein-ligand interactions is well
recognized, and so are the difficulties in predicting the nature and the degree
of this plasticity by computational means. To assist in understanding the
flexible protein-ligand interactions, we constructed the Pocketome, an
encyclopedia of about one thousand experimentally solved conformational ensembles
of druggable binding sites in proteins, grouped by location and consistent
chain/cofactor composition. The multiplicity of pockets within the ensembles adds
an extra, fourth dimension to the Pocketome entry data. Within each ensemble, the
pockets were carefully classified by the degree of their pairwise similarity and
compatibility with different ligands. The core of the Pocketome is derived
regularly and automatically from the current releases of the Protein Data Bank
and the Uniprot Knowledgebase; this core is complemented by entries built from
manually provided seed ligand locations. The Pocketome website
(www.pocketome.org) allows searching for the sites of interest, analysis of
conformational clusters, important residues, binding compatibility matrices and
interactive visualization of the ensembles using the ActiveICM web browser
plugin. The Pocketome collection can be used to build multi-conformational
docking and 3D activity models as well as to design cross-docking and virtual
ligand screening benchmarks.
PMID- 22080554
TI - Disease Ontology: a backbone for disease semantic integration.
AB - The Disease Ontology (DO) database (http://disease-ontology.org) represents a
comprehensive knowledge base of 8043 inherited, developmental and acquired human
diseases (DO version 3, revision 2510). The DO web browser has been designed for
speed, efficiency and robustness through the use of a graph database. Full-text
contextual searching functionality using Lucene allows the querying of name,
synonym, definition, DOID and cross-reference (xrefs) with complex Boolean search
strings. The DO semantically integrates disease and medical vocabularies through
extensive cross mapping and integration of MeSH, ICD, NCI's thesaurus, SNOMED CT
and OMIM disease-specific terms and identifiers. The DO is utilized for disease
annotation by major biomedical databases (e.g. Array Express, NIF, IEDB), as a
standard representation of human disease in biomedical ontologies (e.g. IDO, Cell
line ontology, NIFSTD ontology, Experimental Factor Ontology, Influenza
Ontology), and as an ontological cross mappings resource between DO, MeSH and
OMIM (e.g. GeneWiki). The DO project (http://diseaseontology.sf.net) has been
incorporated into open source tools (e.g. Gene Answers, FunDO) to connect gene
and disease biomedical data through the lens of human disease. The next iteration
of the DO web browser will integrate DO's extended relations and logical
definition representation along with these biomedical resource cross-mappings.
PMID- 22080555
TI - The UCSC Archaeal Genome Browser: 2012 update.
AB - The UCSC Archaeal Genome Browser (http://archaea.ucsc.edu) offers a graphical web
based resource for exploration and discovery within archaeal and other selected
microbial genomes. By bringing together existing gene annotations, gene
expression data, multiple-genome alignments, pre-computed sequence comparisons
and other specialized analysis tracks, the genome browser is a powerful
aggregator of varied genomic information. The genome browser environment
maintains the current look-and-feel of the vertebrate UCSC Genome Browser, but
also integrates archaeal and bacterial-specific tracks with a few graphic display
enhancements. The browser currently contains 115 archaeal genomes, plus 31
genomes of viruses known to infect archaea. Some of the recently developed or
enhanced tracks visualize data from published high-throughput RNA-sequencing
studies, the NCBI Conserved Domain Database, sequences from pre-genome sequencing
studies, predicted gene boundaries from three different protein gene prediction
algorithms, tRNAscan-SE gene predictions with RNA secondary structures and CRISPR
locus predictions. We have also developed a companion resource, the Archaeal COG
Browser, to provide better search and display of arCOG gene function
classifications, including their phylogenetic distribution among available
archaeal genomes.
PMID- 22080556
TI - CoryneRegNet 6.0--Updated database content, new analysis methods and novel
features focusing on community demands.
AB - Post-genomic analysis techniques such as next-generation sequencing have produced
vast amounts of data about micro organisms including genetic sequences, their
functional annotations and gene regulatory interactions. The latter are genetic
mechanisms that control a cell's characteristics, for instance, pathogenicity as
well as survival and reproduction strategies. CoryneRegNet is the reference
database and analysis platform for corynebacterial gene regulatory networks. In
this article we introduce the updated version 6.0 of CoryneRegNet and describe
the updated database content which includes, 6352 corynebacterial regulatory
interactions compared with 4928 interactions in release 5.0 and 3235 regulations
in release 4.0, respectively. We also demonstrate how we support the community by
integrating analysis and visualization features for transiently imported custom
data, such as gene regulatory interactions. Furthermore, with release 6.0, we
provide easy-to-use functions that allow the user to submit data for persistent
storage with the CoryneRegNet database. Thus, it offers important options to its
users in terms of community demands. CoryneRegNet is publicly available at
http://www.coryneregnet.de.
PMID- 22080557
TI - Genome-wide transcriptome analysis of the plant pathogen Xanthomonas identifies
sRNAs with putative virulence functions.
AB - The Gram-negative plant-pathogenic bacterium Xanthomonas campestris pv.
vesicatoria (Xcv) is an important model to elucidate the mechanisms involved in
the interaction with the host. To gain insight into the transcriptome of the Xcv
strain 85-10, we took a differential RNA sequencing (dRNA-seq) approach. Using a
novel method to automatically generate comprehensive transcription start site
(TSS) maps we report 1421 putative TSSs in the Xcv genome. Genes in Xcv exhibit a
poorly conserved -10 promoter element and no consensus Shine-Dalgarno sequence.
Moreover, 14% of all mRNAs are leaderless and 13% of them have unusually long 5'
UTRs. Northern blot analyses confirmed 16 intergenic small RNAs and seven cis
encoded antisense RNAs in Xcv. Expression of eight intergenic transcripts was
controlled by HrpG and HrpX, key regulators of the Xcv type III secretion system.
More detailed characterization identified sX12 as a small RNA that controls
virulence of Xcv by affecting the interaction of the pathogen and its host
plants. The transcriptional landscape of Xcv is unexpectedly complex, featuring
abundant antisense transcripts, alternative TSSs and clade-specific small RNAs.
PMID- 22080558
TI - HotRegion: a database of predicted hot spot clusters.
AB - Hot spots are energetically important residues at protein interfaces and they are
not randomly distributed across the interface but rather clustered. These
clustered hot spots form hot regions. Hot regions are important for the stability
of protein complexes, as well as providing specificity to binding sites. We
propose a database called HotRegion, which provides the hot region information of
the interfaces by using predicted hot spot residues, and structural properties of
these interface residues such as pair potentials of interface residues,
accessible surface area (ASA) and relative ASA values of interface residues of
both monomer and complex forms of proteins. Also, the 3D visualization of the
interface and interactions among hot spot residues are provided. HotRegion is
accessible at http://prism.ccbb.ku.edu.tr/hotregion.
PMID- 22080559
TI - The Aspergillus Genome Database (AspGD): recent developments in comprehensive
multispecies curation, comparative genomics and community resources.
AB - The Aspergillus Genome Database (AspGD; http://www.aspgd.org) is a freely
available, web-based resource for researchers studying fungi of the genus
Aspergillus, which includes organisms of clinical, agricultural and industrial
importance. AspGD curators have now completed comprehensive review of the entire
published literature about Aspergillus nidulans and Aspergillus fumigatus, and
this annotation is provided with streamlined, ortholog-based navigation of the
multispecies information. AspGD facilitates comparative genomics by providing a
full-featured genomics viewer, as well as matched and standardized sets of
genomic information for the sequenced aspergilli. AspGD also provides resources
to foster interaction and dissemination of community information and resources.
We welcome and encourage feedback at aspergillus-curator@lists.stanford.edu.
PMID- 22080560
TI - MIPModDB: a central resource for the superfamily of major intrinsic proteins.
AB - The channel proteins belonging to the major intrinsic proteins (MIP) superfamily
are diverse and are found in all forms of life. Water-transporting aquaporin and
glycerol-specific aquaglyceroporin are the prototype members of the MIP
superfamily. MIPs have also been shown to transport other neutral molecules and
gases across the membrane. They have internal homology and possess conserved
sequence motifs. By analyzing a large number of publicly available genome
sequences, we have identified more than 1000 MIPs from diverse organisms. We have
developed a database MIPModDB which will be a unified resource for all MIPs. For
each MIP entry, this database contains information about the source, gene
structure, sequence features, substitutions in the conserved NPA motifs,
structural model, the residues forming the selectivity filter and channel radius
profile. For selected set of MIPs, it is possible to derive structure-based
sequence alignment and evolutionary relationship. Sequences and structures of
selected MIPs can be downloaded from MIPModDB database which is freely available
at http://bioinfo.iitk.ac.in/MIPModDB.
PMID- 22080562
TI - Network of Cancer Genes (NCG 3.0): integration and analysis of genetic and
network properties of cancer genes.
AB - The identification of a constantly increasing number of genes whose mutations are
causally implicated in tumor initiation and progression (cancer genes) requires
the development of tools to store and analyze them. The Network of Cancer Genes
(NCG 3.0) collects information on 1494 cancer genes that have been found mutated
in 16 different cancer types. These genes were collected from the Cancer Gene
Census as well as from 18 whole exome and 11 whole-genome screenings of cancer
samples. For each cancer gene, NCG 3.0 provides a summary of the gene features
and the cross-reference to other databases. In addition, it describes
duplicability, evolutionary origin, orthology, network properties, interaction
partners, microRNA regulation and functional roles of cancer genes and of all
genes that are related to them. This integrated network of information can be
used to better characterize cancer genes in the context of the system in which
they act. The data can also be used to identify novel candidates that share the
same properties of known cancer genes and may therefore play a similar role in
cancer. NCG 3.0 is freely available at http://bio.ifom-ieo-campus.it/ncg.
PMID- 22080563
TI - CADRE: the Central Aspergillus Data REpository 2012.
AB - The Central Aspergillus Data REpository (CADRE; http://www.cadre-genomes.org.uk)
is a public resource for genomic data extracted from species of Aspergillus. It
provides an array of online tools for searching and visualising features of this
significant fungal genus. CADRE arose from a need within the medical community to
understand the human pathogen Aspergillus fumigatus. Due to the paucity of
Aspergillus genomic resources 10 years ago, the long-term goal of this project
was to collate and maintain Aspergillus genomes as they became available. Since
our first release in 2004, the resource has expanded to encompass annotated
sequence for eight other Aspergilli and provides much needed support to the
international Aspergillus research community. Recent developments, however, in
sequencing technology are creating a vast amount of genomic data and, as a
result, we shortly expect a tidal wave of Aspergillus data. In preparation for
this, we have upgraded the database and software suite. This not only enables
better management of more complex data sets, but also improves annotation by
providing access to genome comparison data and the integration of high-throughput
data.
PMID- 22080564
TI - AnimalTFDB: a comprehensive animal transcription factor database.
AB - Transcription factors (TFs) are proteins that bind to specific DNA sequences,
thereby playing crucial roles in gene-expression regulation through controlling
the transcription of genetic information from DNA to RNA. Transcription cofactors
and chromatin remodeling factors are also essential in the gene transcriptional
regulation. Identifying and annotating all the TFs are primary and crucial steps
for illustrating their functions and understanding the transcriptional
regulation. In this study, based on manual literature reviews, we collected and
curated 72 TF families for animals, which is currently the most complete list of
TF families in animals. Then, we systematically characterized all the TFs in 50
animal species and constructed a comprehensive animal TF database, AnimalTFDB. To
better serve the community, we provided detailed annotations for each TF,
including basic information, gene structure, functional domain, 3D structure hit,
Gene Ontology, pathway, protein-protein interaction, paralogs, orthologs,
potential TF-binding sites and targets. In addition, we collected and annotated
transcription cofactors and chromatin remodeling factors. AnimalTFDB has a user
friendly web interface with multiple browse and search functions, as well as data
downloading. It is freely available at http://www.bioguo.org/AnimalTFDB/.
PMID- 22080561
TI - GABI-Kat SimpleSearch: new features of the Arabidopsis thaliana T-DNA mutant
database.
AB - T-DNA insertion mutants are very valuable for reverse genetics in Arabidopsis
thaliana. Several projects have generated large sequence-indexed collections of T
DNA insertion lines, of which GABI-Kat is the second largest resource worldwide.
User access to the collection and its Flanking Sequence Tags (FSTs) is provided
by the front end SimpleSearch (http://www.GABI-Kat.de). Several significant
improvements have been implemented recently. The database now relies on the
TAIRv10 genome sequence and annotation dataset. All FSTs have been newly mapped
using an optimized procedure that leads to improved accuracy of insertion site
predictions. A fraction of the collection with weak FST yield was re-analysed by
generating new FSTs. Along with newly found predictions for older sequences about
20,000 new FSTs were included in the database. Information about groups of FSTs
pointing to the same insertion site that is found in several lines but is real
only in a single line are included, and many problematic FST-to-line links have
been corrected using new wet-lab data. SimpleSearch currently contains data from
~71,000 lines with predicted insertions covering 62.5% of the 27,206 nuclear
protein coding genes, and offers insertion allele-specific data from 9545
confirmed lines that are available from the Nottingham Arabidopsis Stock Centre.
PMID- 22080565
TI - modMine: flexible access to modENCODE data.
AB - In an effort to comprehensively characterize the functional elements within the
genomes of the important model organisms Drosophila melanogaster and
Caenorhabditis elegans, the NHGRI model organism Encyclopaedia of DNA Elements
(modENCODE) consortium has generated an enormous library of genomic data along
with detailed, structured information on all aspects of the experiments. The
modMine database (http://intermine.modencode.org) described here has been built
by the modENCODE Data Coordination Center to allow the broader research community
to (i) search for and download data sets of interest among the thousands
generated by modENCODE; (ii) access the data in an integrated form together with
non-modENCODE data sets; and (iii) facilitate fine-grained analysis of the above
data. The sophisticated search features are possible because of the collection of
extensive experimental metadata by the consortium. Interfaces are provided to
allow both biologists and bioinformaticians to exploit these rich modENCODE data
sets now available via modMine.
PMID- 22080566
TI - Metastasis suppressor NM23-H1 promotes repair of UV-induced DNA damage and
suppresses UV-induced melanomagenesis.
AB - Reduced expression of the metastasis suppressor NM23-H1 is associated with
aggressive forms of multiple cancers. Here, we establish that NM23-H1 (termed H1
isoform in human, M1 in mouse) and two of its attendant enzymatic activities, the
3'-5' exonuclease and nucleoside diphosphate kinase, are novel participants in
the cellular response to UV radiation (UVR)-induced DNA damage. NM23-H1
deficiency compromised the kinetics of repair for total DNA polymerase-blocking
lesions and nucleotide excision repair of (6-4) photoproducts in vitro. Kinase
activity of NM23-H1 was critical for rapid repair of both polychromatic UVB/UVA
induced (290-400 nm) and UVC-induced (254 nm) DNA damage, whereas its 3'-5'
exonuclease activity was dominant in the suppression of UVR-induced mutagenesis.
Consistent with its role in DNA repair, NM23-H1 rapidly translocated to sites of
UVR-induced (6-4) photoproduct DNA damage in the nucleus. In addition, transgenic
mice hemizygous-null for nm23-m1 and nm23-m2 exhibited UVR-induced melanoma and
follicular infundibular cyst formation, and tumor-associated melanocytes
displayed invasion into adjacent dermis, consistent with loss of invasion
suppressing activity of NM23 in vivo. Taken together, our data show a critical
role for NM23 isoforms in limiting mutagenesis and suppressing UVR-induced
melanomagenesis.
PMID- 22080567
TI - Metabolomic NMR fingerprinting to identify and predict survival of patients with
metastatic colorectal cancer.
AB - Earlier detection of patients with metastatic colorectal cancer (mCRC) might
improve their treatment and survival outcomes. In this study, we used proton
nuclear magnetic resonance ((1)H-NMR) to profile the serum metabolome in patients
with mCRC and determine whether a disease signature may exist that is strong
enough to predict overall survival (OS). In 153 patients with mCRC and 139
healthy subjects from three Danish hospitals, we profiled two independent sets of
serum samples in a prospective phase II study. In the training set, (1)H-NMR
metabolomic profiling could discriminate patients with mCRC from healthy subjects
with a cross-validated accuracy of 100%. In the validation set, 96.7% of subjects
were correctly classified. Patients from the training set with maximally
divergent OS were chosen to construct an OS predictor. After validation, patients
predicted to have short OS had significantly reduced survival (HR, 3.4; 95%
confidence interval, 2.06-5.50; P = 1.33 * 10(-6)). A number of metabolites
concurred with the (1)H-NMR fingerprint of mCRC, offering insights into mCRC
metabolic pathways. Our findings establish that (1)H-NMR profiling of patient
serum can provide a strong metabolomic signature of mCRC and that analysis of
this signature may offer an independent tool to predict OS.
PMID- 22080568
TI - Identification of genes upregulated in ALK-positive and EGFR/KRAS/ALK-negative
lung adenocarcinomas.
AB - Activation of the EGFR, KRAS, and ALK oncogenes defines 3 different pathways of
molecular pathogenesis in lung adenocarcinoma. However, many tumors lack
activation of any pathway (triple-negative lung adenocarcinomas) posing a
challenge for prognosis and treatment. Here, we report an extensive genome-wide
expression profiling of 226 primary human stage I-II lung adenocarcinomas that
elucidates molecular characteristics of tumors that harbor ALK mutations or that
lack EGFR, KRAS, and ALK mutations, that is, triple-negative adenocarcinomas. One
hundred and seventy-four genes were selected as being upregulated specifically in
79 lung adenocarcinomas without EGFR and KRAS mutations. Unsupervised clustering
using a 174-gene signature, including ALK itself, classified these 2 groups of
tumors into ALK-positive cases and 2 distinct groups of triple-negative cases
(groups A and B). Notably, group A triple-negative cases had a worse prognosis
for relapse and death, compared with cases with EGFR, KRAS, or ALK mutations or
group B triple-negative cases. In ALK-positive tumors, 30 genes, including ALK
and GRIN2A, were commonly overexpressed, whereas in group A triple-negative
cases, 9 genes were commonly overexpressed, including a candidate
diagnostic/therapeutic target DEPDC1, that were determined to be critical for
predicting a worse prognosis. Our findings are important because they provide a
molecular basis of ALK-positive lung adenocarcinomas and triple-negative lung
adenocarcinomas and further stratify more or less aggressive subgroups of triple
negative lung ADC, possibly helping identify patients who may gain the most
benefit from adjuvant chemotherapy after surgical resection.
PMID- 22080569
TI - Contrasting behavior of the p18INK4c and p16INK4a tumor suppressors in both
replicative and oncogene-induced senescence.
AB - The cyclin-dependent kinase (CDK) inhibitors, p18(INK4c) and p16(INK4a), both
have the credentials of tumor suppressors in human cancers and mouse models. For
p16(INK4a), the underlying rationale is its role in senescence, but the selective
force for inactivation of p18(INK4c) in incipient cancer cells is less clear.
Here, we show that in human fibroblasts undergoing replicative or oncogene
induced senescence, there is a marked decline in the levels of p18(INK4c) protein
and RNA, which mirrors the accumulation of p16(INK4a). Downregulation of INK4c is
not dependent on p16(INK4a), and RAS can promote the loss of INK4c without cell
cycle arrest. Downregulation of p18(INK4c) correlates with reduced expression of
menin and E2F1 but is unaffected by acute cell-cycle arrest or inactivation of
the retinoblastoma protein (pRb). Collectively, our data question the idea that
p18(INK4c) acts as a backup for loss of p16(INK4a) and suggest that the apparent
activation of p18(INK4c) in some settings represents delayed senescence rather
than increased expression. We propose that the contrasting behavior of the two
very similar INK4 proteins could reflect their respective roles in senescence
versus differentiation.
PMID- 22080570
TI - Pim kinase inhibitors sensitize prostate cancer cells to apoptosis triggered by
Bcl-2 family inhibitor ABT-737.
AB - Pim serine/threonine kinases contribute to prostate tumorigenesis and therapeutic
resistance, yet Pim kinase inhibitors seem to have only limited effects on
prostate cancer cell survival. Because overexpression of Bcl-2 family members are
implicated in chemotherapeutic resistance in prostate cancer, we investigated the
cooperative effects of Pim kinase inhibition with ABT-737, a small molecule
antagonist of Bcl-2 family members. Strikingly, the addition of ABT-737 to Pim
inhibitors triggered a robust apoptosis of prostate cancer cells in vitro and in
vivo. Pim inhibitors decreased levels of the Bcl-2 family member Mcl-1, both by
blocking 5'-cap dependent translation and decreasing protein half life. In
addition, Pim inhibition transcriptionally increased levels of the BH3 protein
Noxa by activating the unfolded protein response (UPR), lead to eIF-2alpha
phosphorylation and increased expression of CHOP. Increased levels of Noxa also
inactivated the remaining levels of Mcl-1 protein activity. Notably, these
specific protein changes were essential to the apoptotic process because ABT-737
did not inhibit Mcl-1 protein activity and Mcl-1 overexpression blocked the
apoptotic activity of ABT-737. Our results therefore suggest that this
combination treatment could be developed as a potential therapy for human
prostate cancer where overexpression of Pim kinases and antiapoptotic Bcl-2
family members drives tumor cell resistance to current anticancer therapies.
PMID- 22080572
TI - Butyrate delivered by butyrylated starch increases distal colonic epithelial
apoptosis in carcinogen-treated rats.
AB - Animal studies show that increasing large bowel butyrate concentration through
ingestion of butyrylated or resistant starches opposes carcinogen-induced
tumorigenesis, which is consistent with population data linking greater fiber
consumption with lowered colorectal cancer (CRC) risk. Butyrate has been shown to
regulate the apoptotic response to DNA damage. This study examined the impact of
increasing large bowel butyrate concentration by dietary butyrylated starch on
the colonic epithelium of rats treated with the genotoxic carcinogen azoxymethane
(AOM). Four groups of 10 male rats were fed AIN-93G based-diets containing either
low amylose maize starch (LAMS), LAMS with 3% tributyrin, 10% high amylose maize
starch (HAMS) or 10% butyrylated HAMS (HAMSB). HAMS and HAMSB starches were
cooked by heating in water. After 4 weeks, rats were injected once with AOM and
killed 6 h later. Rates of apoptosis and proliferation were measured in colonic
epithelium. Short-chain fatty acid concentrations in large bowel digesta and
hepatic portal venous plasma were higher in HAMSB than all other groups.
Apoptotic rates in the distal colon were increased by HAMSB and correlated with
luminal butyrate concentrations but cellular proliferation rates were unaffected
by diet. The increase in apoptosis was most marked in the base and proliferative
zone of the crypt. Regulation of luminal butyrate using HAMSB increases the rates
of apoptotic deletion of DNA-damaged colonocytes. We propose this pro-apoptotic
function of butyrate plays a major role reducing tumour formation in the AOM
treated rat and that these data support a potential protective role of butyrate
in CRC.
PMID- 22080571
TI - The molecular basis that unifies the metabolism, cellular uptake and
chemopreventive activities of dietary isothiocyanates.
AB - Organic isothiocyanates (ITCs), which are characterized by the presence of an
N=C=S group, are among the most extensively studied cancer chemopreventive agents
and show highly promising chemopreventive activities. Numerous studies have shown
that ITCs can inhibit both carcinogenesis and cancer growth in a variety of
animal models. Many cruciferous vegetables, which are commonly consumed by
humans, are rich sources of these compounds. Of particular interest are their
high bioavailability, their shared metabolic profile and their ability to target
a wide array of cancer-related cellular proteins. This review is focused on
discussing the molecular basis of these intriguing properties of ITCs, with a
particular emphasis on the concept that cellular uptake and metabolism of ITCs
and at least some of their major chemopreventive activities are all initiated
through direct reaction of the carbon atom of the -N=C=S group of the ITCs with
cysteine sulfhydryl groups of glutathione (GSH) and of proteins. This knowledge
deepens our understanding about the biological activities of ITCs and may
facilitate further research and development of these compounds for cancer
prevention and treatment.
PMID- 22080573
TI - Lignan transformation by gut bacteria lowers tumor burden in a gnotobiotic rat
model of breast cancer.
AB - High dietary lignan exposure is implicated in a reduced breast cancer risk in
women. The bacterial transformation of plant lignans to enterolignans is thought
to be essential for this effect. To provide evidence for this assumption,
gnotobiotic rats were colonized with the lignan-converting bacteria Clostridium
saccharogumia, Eggerthella lenta, Blautia producta and Lactonifactor
longoviformis (LCC rats). Germ-free rats were used as the control. All animals
were fed a lignan-rich flaxseed diet and breast cancer was induced with 7,12
dimethylbenz(a)anthracene. The lignan secoisolariciresinol diglucoside was
converted into the enterolignans enterodiol and enterolactone in the LCC but not
in the germ-free rats. This transformation did not influence cancer incidence at
the end of the 13 weeks experimental period but significantly decreased tumor
numbers per tumor-bearing rat, tumor size, tumor cell proliferation and increased
tumor cell apoptosis in LCC rats. No differences between LCC and control rats
were observed in the expression of the genes encoding the estrogen receptors
(ERs) alpha, ERbeta and G-coupled protein 30. The same was true for IGF-1 and
EGFR involved in tumor growth. The activity of selected enzymes involved in the
degradation of oxidants in plasma and liver was significantly increased in the
LCC rats. However, plasma and liver concentrations of reduced glutathione and
malondialdehyde, considered as oxidative stress markers, did not differ between
the groups. In conclusion, our results show that the bacterial conversion of
plant lignans to enterolignans beneficially influences their anticancer effects.
PMID- 22080574
TI - The relationship between marijuana use and intimate partner violence in a
nationally representative, longitudinal sample.
AB - Intimate partner violence is a significant public health problem, as these
behaviors have been associated with a number of negative health outcomes
including illicit drug use, physical injury, chronic pain, sexually transmitted
diseases, depression, and posttraumatic stress disorder. The current study
examined the association between marijuana use and intimate partner violence
using a longitudinal survey of adolescents and young adults ages 15 to 26 years.
Data were obtained from 9,421 adolescents in the National Longitudinal Study of
Adolescent Health (Add Health) Waves 1 through 4 (1995-2008). Marijuana use was
measured in the past year at each wave and participants were categorized as
"users" or "nonusers." Partner violence was constructed using six items (three
pertaining to victimization and three concerning perpetration) from Wave 4 (2007
2008). Using these six items, participants were categorized as "victims only,"
"perpetrators only," or "victims and perpetrators." Survey multinomial regression
was used to examine the relationship between marijuana use and intimate partner
violence. Consistent use of marijuana during adolescence was most predictive of
intimate partner violence (OR = 2.08, p < .001). Consistent marijuana use (OR =
1.85, p < .05) was related to an increased risk of intimate partner violence
perpetration. Adolescent marijuana use, particularly consistent use throughout
adolescence, is associated with perpetration or both perpetration of and
victimization by intimate partner violence in early adulthood. These findings
have implications for intimate partner violence prevention efforts, as marijuana
use should be considered as a target of early intimate partner violence
intervention and treatment programming.
PMID- 22080575
TI - What would they do? Latino church leaders and domestic violence.
AB - Understanding what Latino church leaders believe about domestic violence, and
what they do when they confront it, is a key step in developing programs to help
them engage in domestic violence prevention and intervention activities in their
congregations. This article presents the findings from an exploratory study of 28
Latino church leaders. The study surveyed respondents' beliefs about domestic
violence and asked for their reactions to three domestic violence vignettes they
might encounter. We found that study participants were willing to respond to
cases of domestic violence in what we would define as constructive ways. However,
some responses suggested potentially unsafe and ill-advised reactions to the
vignettes. We discuss our study's implications for practice and suggest future
directions for additional research.
PMID- 22080576
TI - Translating sexual assault prevention from a college campus to a United States
military installation: piloting the know-your-power bystander social marketing
campaign.
AB - One population that shares both similar and different characteristics with
traditional college-age students is the U.S. Military. Similarities include a
high concentration of 18- to 26-year-olds dealing with new found independence,
peer pressure, and the presence of social norms that support violence and
hypermasculinity. Sexual violence is a major public health problem in the United
States, and because of the similarities in the age group of college and military
populations, the problems regarding sexual violence in both constituencies have
been well-documented. In the current pilot study we seek to add to both current
knowledge about and promising practices of translating prevention strategies from
one target audience to another. We describe how we translated, administered, and
evaluated a bystander intervention social marketing campaign focused on sexual
assault prevention that had been found to significantly affect attitude change on
a college campus for a U.S. Army installation in Europe. In addition to
demonstrating the process of translating prevention strategies across target
audiences, findings from this pilot study contribute to the evaluation data on
the effectiveness of sexual violence prevention strategies implemented with
members of the U.S. Military. From our analysis, we see that research
participants indicate that the degree to which the images resonate with them and
the familiarity of the context (i.e., social self-identification) significantly
effect the participants' personal responsibility for reducing sexual assault,
confidence in acting as a bystander, and reported engagement as a bystander.
PMID- 22080577
TI - Gender-specific risk factors for intimate partner homicide--a nationwide register
based study.
AB - The present study examined gender differences in intimate partner homicide (IPH)
and offender characteristics with the focus on putative gender-specific risk
factors in a nationwide consecutive sample of homicide offenders. Data on all
offenders (N = 642; 91 females, 551 males) convicted of homicide and subjected to
a forensic psychiatric examination in Finland were obtained for the years 1995
and 2004. IPH offenders, 39 female and 106 male, were compared for risk factors
with female and male offenders whose victims were not spouses. The forensic
psychiatric examination reports were retrospectively analyzed, and the Hare
Psychopathy Checklist-Revised (PCL-R) was rated. Significant gender differences
were found in four risk factors: employment, intoxication of victim, self
defense, and quarrel, mostly related to alcohol as a factor of the offense. The
findings support the notion that female IPH is linked to defensive reactions
resulting from prior abuse, and that IPH offenders resemble the general
population more than offenders of other types of homicide.
PMID- 22080578
TI - The mediating role of self-regulation between intrafamilial violence and mental
health adjustment in incarcerated male adolescents.
AB - This article investigates the relation between history of intrafamilial violence
and self-regulatory capacity, cognitive processing, and mental health adjustment
in incarcerated adolescents. Adolescents were incarcerated at the time of the
study for various violent offenses, ranging from persistent delinquency to sexual
assault (n = 115). A model is proposed that posits that self-regulation,
cognitive ability, and cognitive processing are integral to the relation between
intrafamilial violence and mental health function. The primary hypothesis of the
study tests this mediation model. The relations between mental health, cognitive
processing, self-regulation, and intrafamilial violence are also examined. The
study was conducted during two sessions at a juvenile facility in the Midwest
using survey measures, academic and intelligence testing, and cognitive tasks.
Youth were between the ages of 13 and 20. Approximately 70% were previously
diagnosed with a disability. Significant Pearson's correlations were found
between seven out of eight mental health subscales of the Youth Self-Report (YSR)
and intrafamilial violence history. Structural equation modeling was used to
examine the role of cognitive processing in the association between intrafamilial
violence and mental health function. Nonverbal or performance deficits, a
significant difference between verbal skills and nonverbal skills, were related
to intrafamilial violence. Self-regulation partially mediated the relation
between intrafamilial violence and mental health function. Self-regulation
ability may be compromised by intrafamilial violence and be a precursor to both
internalizing and externalizing mental health problem in incarcerated youth.
Educational, clinical, and research implications are discussed.
PMID- 22080579
TI - Domestic violence during pregnancy in an eastern city of Turkey: a field study.
AB - Violence is an increasing and important community health problem that can be seen
in any area of human life. Limited studies were found about domestic violence
among pregnant women and its relation with social status of women. The aim of
this study was to determine the prevalence and types of domestic violence during
pregnancy, factors affecting it, women's thoughts about violence, and relation
between social status of women and domestic violence. This cross-sectional study
was conducted on 253 pregnant women, using cluster and simple random sampling
methods. Chi-square test and logistic regression analysis methods were used to
analyze the data. Women who indicated that they have been exposed to violence at
some point of their lives were 24.1% and who indicated that violence continued
while they were pregnant were 11.1%. Physical violence was the most common type
of violence reported (18.2%). It was found that women who had primary school or
lower level of education and who made unwanted marriage suffered from more
violence during pregnancy. It can be said that violence against pregnant women is
still a social problem. In societies where gender roles are dominant, decision
makers have to take necessary steps such as supporting education of girls to
improve social status of women, increasing awareness among women in regard to
personal rights and legal regulations which will contribute to the solution of
the issue.
PMID- 22080580
TI - Service providers' reactions to intimate partner violence as a function of victim
sexual orientation and type of abuse.
AB - In this online vignette study, a national sample of domestic violence shelter
service providers (N = 282) completed a 10-item questionnaire about a woman
experiencing intimate partner violence (IPV). Scenarios varied in terms of couple
sexual orientation (heterosexual or lesbian) and type of abuse (physical or
nonphysical). Results indicate that although participants did not overtly
discriminate against a woman in a lesbian relationship, they were less likely to
perceive her as a victim, and their acceptance of a lesbian as a client was more
dependent on their comfort with her than was the case for a woman in a
heterosexual relationship. Type of abuse, as expected, had a main effect on many
questions, with physical abuse taken more seriously than nonphysical abuse.
Scores on the Attitudes Toward Lesbians subscale (Herek) were unrelated to
responses. Implications for service providers are discussed.
PMID- 22080581
TI - Poor parenting and antisocial behavior among homeless young adults: links to
dating violence perpetration and victimization.
AB - Though research has examined risk factors associated with street victimization
among homeless young people, little is known about dating violence experiences
among this group. Given homeless youths' elevated rates of child maltreatment, it
is likely that they are at high risk for dating violence. As such, the current
study examined the association between child maltreatment and parental warmth
with dating violence perpetration and victimization through substance use and
delinquency among a sample of 172 homeless males and females. Results from path
analysis revealed that physical abuse, sexual abuse, and neglect were all
significant correlates of both substance use and delinquency, whereas lack of
parental warmth was only associated with substance use. Neglect and substance use
had direct effects on dating violence and substance use and was found to mediate
the relationship between physical abuse and dating violence. Finally, females,
older youth, and non-Whites had significantly higher levels of dating violence
compared with their counterparts.
PMID- 22080582
TI - The effect of offenders' sex on reporting crimes to the police.
AB - This article examines the difference in victims' reporting behavior regarding
crimes committed by males and by females. The authors expect that victims of
female offenders are less likely to report to the police than victims of male
offenders because of differences in the victim-offender relationship as well as
in the victim's sex. With recent developments in Bayesian statistics, new tools
have become available that enable the direct evaluation of researchers'
expectations. All cases of robbery with assault from the National Crime
Victimization Survey have been investigated (n = 478). Findings reveal that
female offenders are underreported compared with male offenders and that this can
be explained by the victim characteristics but only in combination with the
offender's sex.
PMID- 22080583
TI - Predictors of sexual aggression among male juvenile offenders.
AB - The purpose of this study was to conduct a longitudinal examination of predictors
of sexual aggression among male juvenile offenders. Four hundred and four
adolescent males between the ages of 14 and 17 years were recruited from juvenile
probation offices to take part in a prospective study of substance use and sexual
risk. At baseline, participants completed a series of questionnaires that
assessed putative risk factors for sexual aggression. They then completed a
measure of sexual aggression at the 6-month follow-up period. Correlational
analyses revealed that participants who reported hard drug use, more frequent
alcohol and marijuana use, and less severe offenses reported engaging in more
severe sexual aggression. In addition, participants who reported higher
impulsivity, sensation seeking, and externalizing behaviors also reported
participating in more severe sexual aggression. When these variables were
included in a regression analysis, only externalizing behaviors and severity of
offense uniquely predicted severity of sexual aggression at the 6-month follow
up.
PMID- 22080584
TI - Individual and contextual factors associated to smoking on school premises.
AB - INTRODUCTION: Despite regulations, tobacco consumption in schools is still very
common. The objective was to evaluate the relationship of personal, family, and
school-level contextual factors with smoking on school premises. METHODS: A
representative survey was undertaken of students in the 4th year of secondary
education in the Madrid region (Spain), including 79 schools and 3,622
individuals. The student questionnaire gathered information about personal and
family variables. The contextual factors were type of school, perception of
compliance with the law, smoking policy, existence of complaints against smoking,
and undertaking of educational activities regarding smoking. Analysis was carried
out in the smoking population (n = 1,179) using multilevel logistic regression
models. RESULTS: During the last 30 days, 50.6% of smokers had smoked on school
premises. Having a father with a university education (in comparison with fathers
who have not attained any educational level) reduces this probability (odds ratio
[OR]: 0.43; 95% CI: 0.19-0.96), whereas smoking a larger number of cigarettes (p
< .001), illicit drug consumption (p < .001), and low academic achievement (p =
.052) increases it. The probability is reduced when there is no parental
permission to smoke (OR: 0.66; 95% CI: 0.43-1.01) and is lower both in
nonsubsidized private schools (OR: 0.29; 95% CI: 0.12-0.67) and in state
subsidized private schools (OR: 0.17; 95% CI: 0.09-0.34) than in public schools.
CONCLUSIONS: A very low level of educational attainment by the father, smoking a
higher number of cigarettes, as well as illicit drug consumption, low academic
achievement, having parental permission to smoke, and attending public schools
are all related to a higher probability of smoking on school premises.
PMID- 22080585
TI - Portrayal of smokeless tobacco in YouTube videos.
AB - OBJECTIVES: Videos of smokeless tobacco (ST) on YouTube are abundant and easily
accessible, yet no studies have examined the content of ST videos. This study
assesses the overall portrayal, genre, and messages of ST YouTube videos.
METHODS: In August 2010, researchers identified the top 20 search results on
YouTube by "relevance" and "view count" for the following search terms: "ST,"
"chewing tobacco," "snus," and "Skoal." After eliminating videos that were not
about ST (n = 26), non-English (n = 14), or duplicate (n = 42), a final sample of
78 unique videos was coded for overall portrayal, genre, and various content
measures. RESULTS: Among the 78 unique videos, 15.4% were anti-ST, while 74.4%
were pro-ST. Researchers were unable to determine the portrayal of ST in the
remaining 10.3% of videos because they involved excessive or "sensationalized"
use of the ST, which could be interpreted either positively or negatively,
depending on the viewer. The most common ST genre was positive video diaries (or
"vlogs"), which made up almost one third of the videos (29.5%), followed by
promotional advertisements (20.5%) and anti-ST public service announcements
(12.8%). While YouTube is intended for user-generated content, 23.1% of the
videos were created by professional organizations. CONCLUSIONS: These results
demonstrate that ST videos on YouTube are overwhelmingly pro-ST. More research is
needed to determine who is viewing these ST YouTube videos and how they may
affect people's knowledge, attitudes, and behaviors regarding ST use.
PMID- 22080586
TI - Smokeless tobacco advertising at the point of sale: prevalence, placement, and
demographic correlates.
AB - INTRODUCTION: We aimed to describe the prevalence, in-store location, and
neighborhood predictors of point-of-sale smokeless tobacco advertising. METHODS:
In 2007, we conducted assessments of smokeless tobacco advertising at the point
of sale in 484 establishments, which held tobacco licenses and sold tobacco
products in a Midwest metropolitan area. Associations between store
characteristics, neighborhood characteristics (based on U.S. 2000 census block
groups), and smokeless tobacco advertising were calculated. RESULTS:
Advertisements for smokeless tobacco were found in 21% (n = 103) of stores.
Approximately, 12% (n = 58) of stores had ads within 6 feet of the counter, 3% (n
= 14) had ads less than 3 feet from the ground, and 2% (n = 9) had advertisement
less than 1 foot from candy or snacks. The racial/ethnic composition and number
of households on public assistance within the block group in which a store was
situated were related to the amount of smokeless advertising in stores. For
instance, having a higher proportion of the population identifying as White was
associated with more advertising. Gas stations/convenience stores had more
advertising than any other store types. Chain stores had double the amount of
advertising as independent stores (p < .05) even after adjustment for other
factors. CONCLUSIONS: Smokeless tobacco advertising is not uncommon even in an
urban metropolitan community. These products are being advertised in a way that
youth, especially those living in neighborhoods with certain demographic
characteristics, can encounter. With Food and Drug Administration regulation,
there are new opportunities to regulate advertising at the point of sale.
PMID- 22080587
TI - Suicidal behavior, smoking, and familial vulnerability.
AB - INTRODUCTION: Smoking is a well-established correlate of suicidal behavior. It is
not known if familial risk factors contribute to this association. METHODS: Data
were obtained via semistructured interviews with 1,107 twin fathers, 1,919
offspring between ages 12-32 years, and 1,023 mothers. Familial vulnerability to
nicotine dependence and suicidal behavior was modeled via father and maternal
self-report of these behaviors. Multinomial logistic regression models were
computed with and without familial risk factors to estimate the association
between offspring ever smoking, regular smoking, nicotine dependence, and a 4
level offspring suicide variable: (a) none, (b) ideation, (c) ideation + plan,
and (d) ideation + plan + attempt or ideation + attempt. All models were
stratified by gender and adjusted for sociodemographics, familial risk factors
including parental suicidal behavior, nicotine dependence, and conduct disorder,
and offspring conduct disorder, depression, alcohol abuse/dependence, and illicit
drug abuse/dependence. RESULTS: After adjusting for covariates and familial risk
factors, ever smoking was not significantly associated with suicidal behavior in
males and females. In males, regular smoking was associated with ideation + plan
(odds ratio [OR] = 5.47; 95% CI: 1.05-28.60), and in females, regular smoking was
associated with ideation + plan + attempt or ideation + attempt. In both genders,
nicotine-dependent smoking was associated with ideation + plan + attempt or
ideation + attempt (males: OR = 6.59; 95% CI: 1.91-22.70, females: OR = 3.37; 95%
CI: 1.25-9.04). Comparison of models with and without familial risk factors
indicated that there is no mediation of smoking status and suicidal behavior by
familial risk. CONCLUSIONS: Smoking and nicotine dependence are correlated with
suicidal behaviour. Contributions from familial risk factors did not
significantly alter this association.
PMID- 22080588
TI - A randomized placebo-controlled trial of varenicline for smoking cessation
allowing flexible quit dates.
AB - INTRODUCTION: Current smoking cessation guidelines recommend setting a quit date
prior to starting pharmacotherapy. However, providing flexibility in the date of
quitting may be more acceptable to some smokers. The objective of this study was
to compare varenicline 1 mg twice daily (b.i.d.) with placebo in subjects using a
flexible quit date paradigm after starting medication. METHODS: In this double
blind, randomized, placebo-controlled international study, smokers of >=10
cigarettes/day, aged 18-75 years, and who were motivated to quit were randomized
(3:1) to receive varenicline 1 mg b.i.d. or placebo for 12 weeks. Subjects were
followed up through Week 24. Subjects were instructed to quit between Days 8 and
35 after starting medication. The primary endpoint was carbon monoxide-confirmed
continuous abstinence during Weeks 9-12, and a key secondary endpoint was
continuous abstinence during Weeks 9-24. RESULTS: Overall, 493 subjects were
randomized to varenicline and 166 to placebo. Continuous abstinence was higher
for varenicline than for placebo subjects at the end of treatment (Weeks 9-12:
53.1% vs. 19.3%; odds ratio [OR] 5.9; 95% CI, 3.7-9.4; p < .0001) and through 24
weeks follow-up (Weeks 9-24: 34.7% vs. 12.7%; OR 4.4; 95% CI, 2.6-7.5; p <
.0001). Serious adverse events occurred in 1.2% varenicline (none were
psychiatric) and 0.6% placebo subjects. Fewer varenicline than placebo subjects
reported depression-related adverse events (2.3% vs. 6.7%, respectively).
CONCLUSIONS: Varenicline 1 mg b.i.d. using a flexible quit date paradigm had
similar efficacy and safety compared with previous fixed quit date studies.
PMID- 22080589
TI - Pulmonary oxidative stress is induced by maximal exercise in young cigarette
smokers.
AB - INTRODUCTION: Oxidative stress is induced by both cigarette smoking and acute
exercise. It has also been reported that exercise can induce plasma oxidative
stress in young cigarette smokers. However, no previous report has demonstrated
that exercise induces pulmonary oxidative stress in cigarette smokers. The aim of
this study was to determine whether pulmonary oxidative stress is induced by
maximal exercise in cigarette smokers as measured by reactive oxygen species
generation and total antioxidant content. METHODS: Fifteen male smokers (mean
age: 25.9 +/- 2.9 years) and 18 male nonsmokers (mean age: 24.2 +/- 4.3 years)
participated in this study. Hydrogen peroxide (H(2)O(2)) concentration and
biological antioxidant potential (BAP) in exhaled breath condensate (EBC) were
measured at baseline and after maximal exercise in the Wingate anaerobic test.
RESULTS: A significant interaction of group by time was observed for EBC H2O2
concentration (p = .015). After exercise, EBC H(2)O(2) concentrations were
significantly increased in the smoking group (p = .030) but not in the nonsmoking
group. There were no significant changes in EBC BAP in either group. CONCLUSIONS:
These findings indicate that in cigarette smokers, maximal exercise induces
pulmonary oxidative stress, which may lead to oxidative damage in the lungs.
PMID- 22080590
TI - Emergency management of chemical weapons injuries.
AB - The potential for chemical weapons to be used in terrorism is a real possibility.
Classes of chemical weapons include nerve agents, vesicants (blister agents),
choking agents, incapacitating agents, riot control agents, blood agents, and
toxic industrial chemicals. The nerve agents work by blocking the actions of
acetylcholinesterase leading to a cholinergic syndrome. Nerve agents include
sarin, tabun, VX, cyclosarin, and soman. The vesicants include sulfur mustard and
lewisite. The vesicants produce blisters and also damage the upper airways.
Choking agents include phosgene and chlorine gas. Choking agents cause pulmonary
edema. Incapacitating agents include fentanyl and its derivatives and adamsite.
Riot control agents include Mace and pepper spray. Blood agents include cyanide.
The mechanism of toxicity for cyanide is blocking oxidative phosphorylation.
Toxic industrial chemicals include agents such as formaldehyde, hydrofluoric
acid, and ammonia.
PMID- 22080591
TI - Arabidopsis family GT43 members are xylan xylosyltransferases required for the
elongation of the xylan backbone.
AB - Xylan is the second most abundant polysaccharide in plant biomass targeted for
biofuel production. Therefore, it is imperative to understand the biochemical
mechanism underlying xylan biosynthesis. Although previous genetic studies have
identified several genes implicated in xylan biosynthesis, biochemical proof of
any of their encoded proteins as a xylan xylosyltransferase (XylT) responsible
for xylan backbone biosynthesis is still lacking. In this study, we investigated
the enzymatic activities of two Arabidopsis thaliana GT43 members, IRX9
(Irregular Xylem9) and IRX14, which have been genetically shown to be non
redundantly involved in the elongation of the xylan backbone. IRX9 and IRX14,
alone or simultaneously, were heterologously expressed in tobacco BY2 cells, and
microsomes isolated from the transgenic BY2 cells were tested for XylT activity
using xylotetraose (Xyl(4)) as an acceptor and UDP-[(14)C]xylose as a donor. It
was found that although microsomes with expression of IRX9 or IRX14 alone
exhibited little incorporation of radiolabeled xylose, a high level of
incorporation of radiolabeled xylose onto Xyl(4) was conferred by microsomes with
co-expression of IRX9 and IRX14. Further analysis using fluorescent anthranilic
acid-labeled xylotetraose (Xyl(4)-AA) as an acceptor revealed that up to five
beta-(1,4)-linked xylosyl residues were able to be transferred onto Xyl(4)-AA by
microsomes with co-expression of IRX9 and IRX14. Furthermore, it was shown that
xylooligomers ranging from Xyl(3)-AA to Xyl(6)-AA could all be used as acceptors
for the xylosyl transfer by microsomes with co-expression of IRX9 and IRX14.
Together, these findings provide the first biochemical evidence that IRX9 and
IRX14 are xylosyltransferases that operate cooperatively in the elongation of the
xylan backbone.
PMID- 22080592
TI - Acute pain associated with oxaliplatin infusion: case report and literature
review.
AB - Both acute and chronic neurotoxicities are well-described with the use of
oxaliplatin. We describe the case of a 50-year-old man with Dukes C colon
carcinoma being treated with an adjuvant FOLFOX4 (5-fluorouracil, leucovorin and
oxaliplatin (85 mg/m(2) per cycle)) who developed a widespread acute pain 5 min
after commencing his twelfth cycle of chemotherapy. The pain was disabling and
distressing, and remained for 16 h despite multimodality analgesia. The patient
was not rechallenged with oxaliplatin. We believe this presentation represents an
acute neurological phenomenon relating to oxaliplatin. Of note, this acute
reaction occurred after 11 cycles of treatment, significantly later in the
treatment course than other reports of atypical acute reactions.
PMID- 22080593
TI - Simulation of unilateral equinus using an adjustable orthosis in children:
design, feasibility and biomechanical effects.
AB - BACKGROUND AND AIM: In order to increase understanding of the biomechanical
consequences of equinus foot on gait, we developed an orthosis to induce an
adjustable degree of unilateral equinus. The aim of this study was to evaluate
its feasibility and consequences on 3D ankle kinematics and kinetics. TECHNIQUE:
3D gait analysis was carried out in 10 healthy children without the orthosis,
with the non-adjusted orthosis and with the orthosis adjusted to +10 degrees , 0
degrees , -10 degrees , -20 degrees of ankle dorsiflexion and maximum
plantarflexion (MP). The amount of dorsiflexion at initial contact was close to
the goniometric measurement. Significant kinematic and kinetic changes occurred
at -10 degrees , -20 degrees and MP. The mean maximum equinus at initial contact
-21.65 degrees +/- 4.17 and during stance -11.61 degrees +/- 4.82 were larger
than those obtained with previous described devices. DISCUSSION: Our device was
easy-to-use and induced an adjustable, well tolerated equinus. It provides a new
way to simulate equinus and its biomechanical consequences on gait.
PMID- 22080594
TI - The cardioprotective effects of parathyroid hormone are independent of endogenous
granulocyte-colony stimulating factor release.
AB - AIMS: Parathyroid hormone (PTH) administration after myocardial infarction (MI)
is known to attenuate ischaemic cardiomyopathy. This effect mainly resulted from
an increase in mobilization and homing of CD34+/CD45+ cells into the ischaemic
myocardium. PTH-related stem cell mobilization was shown to be related to
endogenous granulocyte-colony stimulating factor (G-CSF) release. The aim of our
study is to determine the role of G-CSF on the cardioprotective effects of PTH.
METHODS AND RESULTS: G-CSF +/+ (C57BL/6) and G-CSF -/- mice were treated with PTH
for 6 days after inducing a MI. The myocardial homing factor stromal cell-derived
factor-1 (SDF-1) was analysed on day 2 with enzyme-linked immunosorbent assay.
Stem cell populations in peripheral blood and hearts were examined by FACS on
days 6 and 2, respectively. Cardiac function and immunohistochemistry were
investigated on day 6 and day 30. PTH treatment resulted in a significant
increase in CD45+/CD34+ cells in peripheral blood in G-CSF +/+ but not in G-CSF
/- mice. However, a significant increase in SDF-1 and enhanced migration of
CD45+/CD34+ cells into the ischaemic myocardium was revealed after PTH
administration in both G-CSF +/+ and G-CSF -/- mice. Enhanced stem cell homing
was associated with improved cardiac function and post-MI survival after PTH
treatment. Furthermore, infarct size, wall thickness, and neovascularization
showed a significant improvement in both groups 30 days after MI. CONCLUSION: The
cardioprotective effects of PTH were shown to be independent of endogenous G-CSF
release and therefore from stem cell mobilization. This puts more emphasis on the
role of stem cell homing into ischaemic myocardium.
PMID- 22080595
TI - Bayesian inference for an illness-death model for stroke with cognition as a
latent time-dependent risk factor.
AB - Longitudinal data can be used to estimate the transition intensities between
healthy and unhealthy states prior to death. An illness-death model for history
of stroke is presented, where time-dependent transition intensities are regressed
on a latent variable representing cognitive function. The change of this function
over time is described by a linear growth model with random effects. Occasion
specific cognitive function is measured by an item response model for
longitudinal scores on the Mini-Mental State Examination, a questionnaire used to
screen for cognitive impairment. The illness-death model will be used to identify
and to explore the relationship between occasion-specific cognitive function and
stroke. Combining a multi-state model with the latent growth model defines a
joint model which extends current statistical inference regarding disease
progression and cognitive function. Markov chain Monte Carlo methods are used for
Bayesian inference. Data stem from the Medical Research Council Cognitive
Function and Ageing Study in the UK (1991-2005).
PMID- 22080596
TI - Regulation of compound leaf development in Medicago truncatula by fused compound
leaf1, a class M KNOX gene.
AB - Medicago truncatula is a legume species belonging to the inverted repeat lacking
clade (IRLC) with trifoliolate compound leaves. However, the regulatory
mechanisms underlying development of trifoliolate leaves in legumes remain
largely unknown. Here, we report isolation and characterization of fused compound
leaf1 (fcl1) mutants of M. truncatula. Phenotypic analysis suggests that FCL1
plays a positive role in boundary separation and proximal-distal axis development
of compound leaves. Map-based cloning indicates that FCL1 encodes a class M KNOX
protein that harbors the MEINOX domain but lacks the homeodomain. Yeast two
hybrid assays show that FCL1 interacts with a subset of Arabidopsis thaliana BEL1
like proteins with slightly different substrate specificities from the
Arabidopsis homolog KNATM-B. Double mutant analyses with M. truncatula single
leaflet1 (sgl1) and palmate-like pentafoliata1 (palm1) leaf mutants show that
fcl1 is epistatic to palm1 and sgl1 is epistatic to fcl1 in terms of leaf
complexity and that SGL1 and FCL1 act additively and are required for petiole
development. Previous studies have shown that the canonical KNOX proteins are not
involved in compound leaf development in IRLC legumes. The identification of FCL1
supports the role of a truncated KNOX protein in compound leaf development in M.
truncatula.
PMID- 22080598
TI - Transcriptional regulation of Arabidopsis LEAFY COTYLEDON2 involves RLE, a cis
element that regulates trimethylation of histone H3 at lysine-27.
AB - LEAFY COTYLEDON2 (LEC2) is a master regulator of seed development in Arabidopsis
thaliana. In vegetative organs, LEC2 expression is negatively regulated by
Polycomb Repressive Complex2 (PRC2) that catalyzes histone H3 Lys 27
trimethylation (H3K27me3) and plays a crucial role in developmental phase
transitions. To characterize the cis-regulatory elements involved in the
transcriptional regulation of LEC2, molecular dissections and functional analyses
of the promoter region were performed in vitro, both in yeast and in planta. Two
cis-activating elements and a cis-repressing element (RLE) that is required for
H3K27me3 marking were characterized. Remarkably, insertion of the RLE cis-element
into pF3H, an unrelated promoter, is sufficient for repressing its
transcriptional activity in different tissues. Besides improving our
understanding of LEC2 regulation, this study provides important new insights into
the mechanisms underlying H3K27me3 deposition and PRC2 recruitment at a specific
locus in plants.
PMID- 22080597
TI - Euchromatic subdomains in rice centromeres are associated with genes and
transcription.
AB - The presence of the centromere-specific histone H3 variant, CENH3, defines
centromeric (CEN) chromatin, but poorly understood epigenetic mechanisms
determine its establishment and maintenance. CEN chromatin is embedded within
pericentromeric heterochromatin in most higher eukaryotes, but, interestingly, it
can show euchromatic characteristics; for example, the euchromatic histone
modification mark dimethylated H3 Lys 4 (H3K4me2) is uniquely associated with
animal centromeres. To examine the histone marks and chromatin properties of
plant centromeres, we developed a genomic tiling array for four fully sequenced
rice (Oryza sativa) centromeres and used chromatin immunoprecipitation-chip to
study the patterns of four euchromatic histone modification marks: H3K4me2,
trimethylated H3 Lys 4, trimethylated H3 Lys 36, and acetylated H3 Lys 4, 9. The
vast majority of the four histone marks were associated with genes located in the
H3 subdomains within the centromere cores. We demonstrate that H3K4me2 is not a
ubiquitous component of rice CEN chromatin, and the euchromatic characteristics
of rice CEN chromatin are hallmarks of the transcribed sequences embedded in the
centromeric H3 subdomains. We propose that the transcribed sequences located in
rice centromeres may provide a barrier preventing loading of CENH3 into the H3
subdomains. The separation of CENH3 and H3 subdomains in the centromere core may
be favorable for the formation of three-dimensional centromere structure and for
rice centromere function.
PMID- 22080599
TI - The Arabidopsis glucosyltransferase UGT76B1 conjugates isoleucic acid and
modulates plant defense and senescence.
AB - Plants coordinate and tightly regulate pathogen defense by the mostly
antagonistic salicylate (SA)- and jasmonate (JA)-mediated signaling pathways.
Here, we show that the previously uncharacterized glucosyltransferase UGT76B1 is
a novel player in this SA-JA signaling crosstalk. UGT76B1 was selected as the top
stress-induced isoform among all 122 members of the Arabidopsis thaliana UGT
family. Loss of UGT76B1 function leads to enhanced resistance to the biotrophic
pathogen Pseudomonas syringae and accelerated senescence but increased
susceptibility toward necrotrophic Alternaria brassicicola. This is accompanied
by constitutively elevated SA levels and SA-related marker gene expression,
whereas JA-dependent markers are repressed. Conversely, UGT76B1 overexpression
has the opposite effect. Thus, UGT76B1 attenuates SA-dependent plant defense in
the absence of infection, promotes the JA response, and delays senescence. The
ugt76b1 phenotypes were SA dependent, whereas UGT76B1 overexpression indicated
that this gene possibly also has a direct effect on the JA pathway. Nontargeted
metabolomic analysis of UGT76B1 knockout and overexpression lines using ultra
high-resolution mass spectrometry and activity assays with the recombinant enzyme
led to the ab initio identification of isoleucic acid (2-hydroxy-3-methyl
pentanoic acid) as a substrate of UGT76B1. Exogenously applied isoleucic acid
increased resistance against P. syringae infection. These findings indicate a
novel link between amino acid-related molecules and plant defense that is
mediated by small-molecule glucosylation.
PMID- 22080600
TI - AXY8 encodes an alpha-fucosidase, underscoring the importance of apoplastic
metabolism on the fine structure of Arabidopsis cell wall polysaccharides.
AB - An Arabidopsis thaliana mutant with an altered structure of its hemicellulose
xyloglucan (XyG; axy-8) identified by a forward genetic screen facilitating
oligosaccharide mass profiling was characterized. axy8 exhibits increased XyG
fucosylation and the occurrence of XyG fragments not present in the wild-type
plant. AXY8 was identified to encode an alpha-fucosidase acting on XyG that was
previously designated FUC95A. Green fluorescent protein fusion localization
studies and analysis of nascent XyG in microsomal preparations demonstrated that
this glycosylhydrolase acts mainly on XyG in the apoplast. Detailed structural
analysis of XyG in axy8 gave unique insights into the role of the fucosidase in
XyG metabolism in vivo. The genetic evidence indicates that the activity of
glycosylhydrolases in the apoplast plays a major role in generating the
heterogeneity of XyG side chains in the wall. Furthermore, without the dominant
apoplastic glycosylhydrolases, the XyG structure in the wall is mainly composed
of XXXG and XXFG subunits.
PMID- 22080601
TI - Overlapping photoprotective function of vitamin E and carotenoids in
Chlamydomonas.
AB - Tocopherols (vitamin E) and carotenoids are the two most abundant groups of lipid
soluble antioxidants in the chloroplast. Carotenoids are well known for their
roles in protecting against photooxidative stress, whereas the photoprotective
functions of tocopherols have only recently been examined experimentally. In
addition, little is known about the functional overlap of carotenoids and
tocopherols in vivo. To investigate this possible overlap, Chlamydomonas
reinhardtii strains were engineered to overproduce tocopherols by chloroplast
transformation with non-codon-optimized and codon-optimized versions of the
homogentisate phytyltransferase vitamin E2 (VTE2) from Synechocystis and by
nuclear transformation with VTE2 from C. reinhardtii, which resulted in 1.6-fold,
5-fold to 10-fold, and more than 10-fold increases in total tocopherol content,
respectively. To test if tocopherol overproduction can compensate for carotenoid
deficiency in terms of antioxidant function, the nuclear VTE2 gene from C.
reinhardtii was overexpressed in the npq1 lor1 double mutant, which lacks
zeaxanthin and lutein. Following transfer to high light, the npq1 lor1 strains
that overaccumulated tocopherols showed increased resistance for up to 2 d and
higher efficiency of photosystem II, and they were also much more resistant to
other oxidative stresses. These results suggest an overlapping functions of
tocopherols and carotenoids in protection against photooxidative stress.
PMID- 22080602
TI - Repression of sucrose/ultraviolet B light-induced flavonoid accumulation in
microbe-associated molecular pattern-triggered immunity in Arabidopsis.
AB - Recognition of microbe-associated molecular patterns (MAMPs) leads to the
generation of MAMP-triggered immunity (MTI), which restricts the invasion and
propagation of potentially infectious microbes. It has been described that the
perception of different bacterial and fungal MAMPs causes the repression of
flavonoid induction upon light stress or sucrose application. However, the
functional significance of this MTI-associated signaling output remains unknown.
In Arabidopsis (Arabidopsis thaliana), FLAGELLIN-SENSING2 (FLS2) and EF-TU
RECEPTOR act as the pattern recognition receptors for the bacterial MAMP epitopes
flg22 (of flagellin) and elf18 (of elongation factor [EF]-Tu), respectively.
Here, we reveal that reactive oxygen species spiking and callose deposition are
dispensable for the repression of flavonoid accumulation by both pattern
recognition receptors. Importantly, FLS2-triggered activation of PATHOGENESIS
RELATED (PR) genes and bacterial basal defenses are enhanced in transparent
testa4 plants that are devoid of flavonoids, providing evidence for a functional
contribution of flavonoid repression to MTI. Moreover, we identify nine small
molecules, of which eight are structurally unrelated, that derepress flavonoid
accumulation in the presence of flg22. These compounds allowed us to dissect the
FLS2 pathway. Remarkably, one of the identified compounds uncouples flavonoid
repression and PR gene activation from the activation of reactive oxygen species,
mitogen-activated protein kinases, and callose deposition, corroborating a close
link between the former two outputs. Together, our data imply a model in which
MAMP-induced repression of flavonoid accumulation serves a role in removing the
inherent inhibitory action of flavonoids on an MTI signaling branch.
PMID- 22080604
TI - Arginine residues at internal positions in a protein are always charged.
AB - Many functionally essential ionizable groups are buried in the hydrophobic
interior of proteins. A systematic study of Lys, Asp, and Glu residues at 25
internal positions in staphylococcal nuclease showed that their pK(a) values can
be highly anomalous, some shifted by as many as 5.7 pH units relative to normal
pK(a) values in water. Here we show that, in contrast, Arg residues at the same
internal positions exhibit no detectable shifts in pK(a); they are all charged at
pH <= 10. Twenty-three of these 25 variants with Arg are folded at both pH 7 and
10. The mean decrease in thermodynamic stability from substitution with Arg was
6.2 kcal/mol at this pH, comparable to that for substitution with Lys, Asp, or
Glu at pH 7. The physical basis behind the remarkable ability of Arg residues to
remain protonated in environments otherwise incompatible with charges is
suggested by crystal structures of three variants showing how the guanidinium
moiety of the Arg side chain is effectively neutralized through multiple hydrogen
bonds to protein polar atoms and to site-bound water molecules. The length of the
Arg side chain, and slight deformations of the protein, facilitate placement of
the guanidinium moieties near polar groups or bulk water. This unique capacity of
Arg side chains to retain their charge in dehydrated environments likely
contributes toward the important functional roles of internal Arg residues in
situations where a charge is needed in the interior of a protein, in a lipid
bilayer, or in similarly hydrophobic environments.
PMID- 22080603
TI - Potato snakin-1 gene silencing affects cell division, primary metabolism, and
cell wall composition.
AB - Snakin-1 (SN1) is an antimicrobial cysteine-rich peptide isolated from potato
(Solanum tuberosum) that was classified as a member of the Snakin/Gibberellic
Acid Stimulated in Arabidopsis protein family. In this work, a transgenic
approach was used to study the role of SN1 in planta. Even when overexpressing
SN1, potato lines did not show remarkable morphological differences from the wild
type; SN1 silencing resulted in reduced height, which was accompanied by an
overall reduction in leaf size and severe alterations of leaf shape. Analysis of
the adaxial epidermis of mature leaves revealed that silenced lines had 70% to
90% increases in mean cell size with respect to wild-type leaves. Consequently,
the number of epidermal cells was significantly reduced in these lines. Confocal
microscopy analysis after agroinfiltration of Nicotiana benthamiana leaves showed
that SN1-green fluorescent protein fusion protein was localized in plasma
membrane, and bimolecular fluorescence complementation assays revealed that SN1
self-interacted in vivo. We further focused our study on leaf metabolism by
applying a combination of gas chromatography coupled to mass spectrometry,
Fourier transform infrared spectroscopy, and spectrophotometric techniques. These
targeted analyses allowed a detailed examination of the changes occurring in 46
intermediate compounds from primary metabolic pathways and in seven cell wall
constituents. We demonstrated that SN1 silencing affects cell division, leaf
primary metabolism, and cell wall composition in potato plants, suggesting that
SN1 has additional roles in growth and development beyond its previously assigned
role in plant defense.
PMID- 22080605
TI - beta-catenin/TCF4 complex induces the epithelial-to-mesenchymal transition (EMT)
activator ZEB1 to regulate tumor invasiveness.
AB - In most carcinomas, invasion of malignant cells into surrounding tissues involves
their molecular reprogramming as part of an epithelial-to-mesenchymal transition
(EMT). Mutation of the APC gene in most colorectal carcinomas (CRCs) contributes
to the nuclear translocation of the oncoprotein beta-catenin that upon binding to
T-cell and lymphoid enhancer (TCF-LEF) factors triggers an EMT and a proinvasive
gene expression profile. A key inducer of EMT is the ZEB1 transcription factor
whose expression promotes tumorigenesis and metastasis in carcinomas. As
inhibitor of the epithelial phenotype, ZEB1 is never present in the epithelium of
normal colon or the tumor center of CRCs where beta-catenin remains membranous.
We show here that ZEB1 is expressed by epithelial cells in intestinal tumors from
human patients (familial adenomatous polyposis) and mouse models (APC(Min/+))
with germline mutations of APC that result in nuclear accumulation of beta
catenin. However, ZEB1 is not expressed in the epithelium of hereditary forms of
CRCs that carry wild-type APC and where beta-catenin is excluded from the nucleus
(Lynch syndrome). We found that beta-catenin/TCF4 binds directly to the ZEB1
promoter and activates its transcription. Knockdown of beta-catenin and TCF4 in
APC-mutated CRC cells inhibited endogenous ZEB1, whereas forced translocation of
beta-catenin to the nucleus in APC-wild-type CRC cells induced de novo expression
of ZEB1. Upregulation of MT1-MMP and LAMC2 by beta-catenin/TCF4 has been linked
to invasiveness in CRCs, and we show here that both proteins are activated by
ZEB1 coexpressing with it in primary colorectal tumors with mutated APC. These
results set ZEB1 as an effector of beta-catenin/TCF4 signaling in EMT and tumor
progression.
PMID- 22080606
TI - Excited states of ribosome translocation revealed through integrative molecular
modeling.
AB - The dynamic nature of biomolecules leads to significant challenges when
characterizing the structural properties associated with function. While X-ray
crystallography and imaging techniques (such as cryo-electron microscopy) can
reveal the structural details of stable molecular complexes, strategies must be
developed to characterize configurations that exhibit only marginal stability
(such as intermediates) or configurations that do not correspond to minima on the
energy landscape (such as transition-state ensembles). Here, we present a
methodology (MDfit) that utilizes molecular dynamics simulations to generate
configurations of excited states that are consistent with available biophysical
and biochemical measurements. To demonstrate the approach, we present a sequence
of configurations that are suggested to be associated with transfer RNA (tRNA)
movement through the ribosome (translocation). The models were constructed by
combining information from X-ray crystallography, cryo-electron microscopy, and
biochemical data. These models provide a structural framework for translocation
that may be further investigated experimentally and theoretically to determine
the precise energetic character of each configuration and the transition dynamics
between them.
PMID- 22080608
TI - A triplet spike-timing-dependent plasticity model generalizes the Bienenstock
Cooper-Munro rule to higher-order spatiotemporal correlations.
AB - Synaptic strength depresses for low and potentiates for high activation of the
postsynaptic neuron. This feature is a key property of the Bienenstock-Cooper
Munro (BCM) synaptic learning rule, which has been shown to maximize the
selectivity of the postsynaptic neuron, and thereby offers a possible explanation
for experience-dependent cortical plasticity such as orientation selectivity.
However, the BCM framework is rate-based and a significant amount of recent work
has shown that synaptic plasticity also depends on the precise timing of
presynaptic and postsynaptic spikes. Here we consider a triplet model of spike
timing-dependent plasticity (STDP) that depends on the interactions of three
precisely timed spikes. Triplet STDP has been shown to describe plasticity
experiments that the classical STDP rule, based on pairs of spikes, has failed to
capture. In the case of rate-based patterns, we show a tight correspondence
between the triplet STDP rule and the BCM rule. We analytically demonstrate the
selectivity property of the triplet STDP rule for orthogonal inputs and perform
numerical simulations for nonorthogonal inputs. Moreover, in contrast to BCM, we
show that triplet STDP can also induce selectivity for input patterns consisting
of higher-order spatiotemporal correlations, which exist in natural stimuli and
have been measured in the brain. We show that this sensitivity to higher-order
correlations can be used to develop direction and speed selectivity.
PMID- 22080607
TI - Dendritic SNAREs add a new twist to the old neuron theory.
AB - Dendritic exocytosis underpins a broad range of integrative and homeostatic
synaptic functions. Emerging data highlight the essential role of SNAREs in
trafficking and fusion of secretory organelles with release of peptides and
neurotransmitters from dendrites. This Perspective analyzes recent evidence
inferring axo-dendritic polarization of vesicular release machinery and pinpoints
progress made with existing challenges in this rapidly progressing field of
dendritic research. Interpreting the relation of new molecular data to
physiological results on secretion from dendrites would greatly advance our
understanding of this facet of neuronal mechanisms.
PMID- 22080609
TI - Profile of Tak Wah Mak.
PMID- 22080610
TI - Decrease in topoisomerase I is responsible for activation-induced cytidine
deaminase (AID)-dependent somatic hypermutation.
AB - Somatic hypermutation (SHM) and class-switch recombination (CSR) of the Ig gene
require both the transcription of the locus and the expression of activation
induced cytidine deaminase (AID). During CSR, AID decreases the amount of
topoisomerase I (Top1); this decrease alters the DNA structure and induces
cleavage in the S region. Similarly, Top1 is involved in transcription-associated
mutation at dinucleotide repeats in yeast and in triplet-repeat contraction in
mammals. Here, we report that the AID-induced decrease in Top1 is critical for
SHM. Top1 knockdown or haploinsufficiency enhanced SHM, whereas Top1
overexpression down-regulated it. A specific Top1 inhibitor, camptothecin,
suppressed SHM, indicating that Top1's activity is required for DNA cleavage.
Nonetheless, suppression of transcription abolished SHM, even in cells with Top1
knockdown, suggesting that transcription is critical. These results are
consistent with a model proposed for CSR and triplet instability, in which
transcription-induced non-B structure formation is enhanced by Top1 reduction and
provides the target for irreversible cleavage by Top1. We speculate that the
mechanism for transcription-coupled genome instability was adopted to generate
immune diversity when AID evolved.
PMID- 22080611
TI - Protein kinase Ypk1 phosphorylates regulatory proteins Orm1 and Orm2 to control
sphingolipid homeostasis in Saccharomyces cerevisiae.
AB - The Orm family proteins are conserved integral membrane proteins of the
endoplasmic reticulum that are key homeostatic regulators of sphingolipid
biosynthesis. Orm proteins bind to and inhibit serine:palmitoyl-coenzyme A
transferase, the first enzyme in sphingolipid biosynthesis. In Saccharomyces
cerevisiae, Orm1 and Orm2 are inactivated by phosphorylation in response to
compromised sphingolipid synthesis (e.g., upon addition of inhibitor myriocin),
thereby restoring sphingolipid production. We show here that protein kinase Ypk1,
one of an essential pair of protein kinases, is responsible for this regulatory
modification. Myriocin-induced hyperphosphorylation of Orm1 and Orm2 does not
occur in ypk1 cells, and immunopurified Ypk1 phosphorylates Orm1 and Orm2
robustly in vitro exclusively on three residues that are known myriocin-induced
sites. Furthermore, the temperature-sensitive growth of ypk1(ts) ypk2 cells is
substantially ameliorated by deletion of ORM genes, confirming that a primary
physiological role of Ypk1-mediated phosphorylation is to negatively regulate Orm
function. Ypk1 immunoprecipitated from myriocin-treated cells displays a higher
specific activity for Orm phosphorylation than Ypk1 from untreated cells. To
identify the mechanism underlying Ypk1 activation, we systematically tested
several candidate factors and found that the target of rapamycin complex 2
(TORC2) kinase plays a key role. In agreement with prior evidence that a TORC2
dependent site in Ypk1(T662) is necessary for cells to exhibit a wild-type level
of myriocin resistance, a Ypk1(T662A) mutant displays only weak Orm
phosphorylation in vivo and only weak activation in vitro in response to
sphingolipid depletion. Additionally, sphingolipid depletion increases
phosphorylation of Ypk1 at T662. Thus, Ypk1 is both a sensor and effector of
sphingolipid level, and reduction in sphingolipids stimulates Ypk1, at least in
part, via TORC2-dependent phosphorylation.
PMID- 22080613
TI - Density minimum in supercooled confined water.
PMID- 22080614
TI - DEGAS: sharing and tracking target compound ideas with external collaborators.
AB - To minimize the risk of failure in clinical trials, drug discovery teams must
propose active and selective clinical candidates with good physicochemical
properties. An additional challenge is that today drug discovery is often
conducted by teams at different geographical locations. To improve the
collaborative decision making on which compounds to synthesize, we have
implemented DEGAS, an application which enables scientists from Genentech and
from collaborating external partners to instantly access the same data. DEGAS was
implemented to ensure that only the best target compounds are made and that they
are made without duplicate effort. Physicochemical properties and DMPK model
predictions are computed for each compound to allow the team to make informed
decisions when prioritizing. The synthesis progress can be easily tracked. While
developing DEGAS, ease of use was a particular goal in order to minimize the
difficulty of training and supporting remote users.
PMID- 22080612
TI - beta2-Adrenoceptor agonist-induced RGS2 expression is a genomic mechanism of
bronchoprotection that is enhanced by glucocorticoids.
AB - In asthma and chronic obstructive pulmonary disease, activation of G(q)-protein
coupled receptors causes bronchoconstriction. In each case, the management of
moderate-to-severe disease uses inhaled corticosteroid (glucocorticoid)/long
acting beta(2)-adrenoceptor agonist (LABA) combination therapies, which are more
efficacious than either monotherapy alone. In primary human airway smooth muscle
cells, glucocorticoid/LABA combinations synergistically induce the expression of
regulator of G-protein signaling 2 (RGS2), a GTPase-activating protein that
attenuates G(q) signaling. Functionally, RGS2 reduced intracellular free calcium
flux elicited by histamine, methacholine, leukotrienes, and other spasmogens.
Furthermore, protection against spasmogen-increased intracellular free calcium,
following treatment for 6 h with LABA plus corticosteroid, was dependent on RGS2.
Finally, Rgs2-deficient mice revealed enhanced bronchoconstriction to spasmogens
and an absence of LABA-induced bronchoprotection. These data identify RGS2 gene
expression as a genomic mechanism of bronchoprotection that is induced by
glucocorticoids plus LABAs in human airway smooth muscle and provide a rational
explanation for the clinical efficacy of inhaled corticosteroid
(glucocorticoid)/LABA combinations in obstructive airways diseases.
PMID- 22080615
TI - Milk from cows of different beta-casein genotypes as a source of beta-casomorphin
7.
AB - The aim of this study was to quantify beta-casomorphin-7 in raw, hydrolyzed and
processed milk in different stages of the cow lactation. The obtained results
lead to the following conclusion: the highest amount of beta-casomorphin-7
released from the hydrolyzed and processed milk is related to the beta-casein A1
allele, irrespective of a lactation period. Some traces of beta-casomorphin-7 in
milk from cows with the beta-casein A2 variant are probably a result of the acid
hydrolysis of beta-casein during its digestion with pepsin. It has been shown
that processing of raw milk at high temperatures affects, in a slight degree, the
differences between beta-casomorphins-7 originating from different beta-casein
genotypes. The obtained results suggest a possibility to provide a new
nutritional factor for milk quality based on the content of beta-casomorphin-7
liberated in vivo from milk digested by a mixture of the gastrointestinal
enzymes.
PMID- 22080616
TI - Association of chemerin levels in synovial fluid with the severity of knee
osteoarthritis.
AB - CONTEXT: Chemerin has been implicated to be correlated with inflammation.
OBJECTIVE: This study aims to determine the association of chemerin levels in
serum and synovial fluid (SF) with the disease severity of patients with knee
Osteoarthritis (OA). METHODS: 124 patients with knee OA and 76 healthy controls
were enrolled in this study. RESULTS: Chemerin levels in serum were significant
higher with regard to paired SF. Chemerin levles in SF of knee OA patients were
correlated with disease severity evaluated by KL grading criteria. CONCLUSION:
Chemerin levels may be involved in the pathophysiology of the development and
progression of OA.
PMID- 22080617
TI - Ages and Stages Questionnaire as a screening tool for developmental delay in
Indian children.
AB - OBJECTIVE: To evaluate the ability of Ages and Stages Questionnaire, a parent
completed developmental screening questionnaire to detect developmental delay in
Indian children. DESIGN: Cross-sectional study. SETTING: Child Development Clinic
of a tertiary care center located in Northern India. PARTICIPANTS AND METHODS:
200 children, 50 each in the age groups of 4+/-1, 10+/-1, 18+/-1 and 24+/-1
months were recruited (20 high risks and 30 low risks in each age group). The
Ages and Stages Questionnaire (ASQ) was translated into Hindi and administered to
the parents, followed by standardized development assessment using Developmental
Assessment Scale for Indian Infants (DASII). RESULTS: 102 (51%) children failed
on ASQ and 90 (45%) children failed on DASII. The overall sensitivity of ASQ for
detecting developmental delay was 83.3% and specificity was 75.4%. The
sensitivity was best for the 24-months questionnaire (94.7%) and specificity was
best for the 4-month questionnaire (86.4%). The sensitivity of ASQ was much
higher in the high risk group (92.3%) as compared to the low risk group (60%).
CONCLUSION: ASQ has strong test characteristics for detecting developmental delay
in Indian children, especially in high risk cases. It may be easily converted
into other Indian languages and used widely for developmental screening.
PMID- 22080618
TI - Differences in evolution of children with non-severe acute lower respiratory
tract infection with and without radiographically diagnosed pneumonia.
AB - OBJECTIVE: To identify differences in the evolution of children with non-severe
acute lower respiratory tract infection between those with and without
radiographically diagnosed pneumonia. DESIGN: Prospective cohort study. SETTING:
A public university pediatric hospital in Salvador, Northeast Brazil. PATIENTS:
Children aged 2-59 months. METHODS: By active surveillance, the pneumonia cases
were prospectively identified in a 2-year period. Each case was followed-up for
changes in various clinical symptoms and signs. Demographic, clinical and
radiographic data were recorded in standardized forms. Exclusion was due to
antibiotic use in the previous 48 hours, signs of severe disease, refusal to give
informed consent, underlying chronic illness, hospitalization in the previous 7
days or amoxicillin allergy. Chest X-ray (CXR) was later read by at least 2
independent pediatric radiologists. MAIN OUTCOME MEASURES: Radiographic diagnosed
pneumonia based on agreed detection of pulmonary infiltrate or pleural effusion
in 2 assessments. RESULTS: A total of 382 patients receiving amoxicillin were
studied, of whom, 372 (97.4%) had concordant radiographic diagnosis which was
pneumonia (52%), normal CXR (41%) and others (7%). By multivariate analysis, age
(OR=1.03; 95% CI: 1.02-1.05), disease > 5 days (OR = 1.04; 95% CI: 1.001-1.08),
reduced pulmonary expansion (OR = 3.3; 95% CI: 1.4-8.0), absence of wheezing (OR
= 0.5; 95% CI: 0.3-0.9), crackles on admission (OR = 2.0; 95% CI: 1.2-3.5),
inability to drink on day 1 (OR = 4.2; 95% CI: 1.05-17.3), consolidation
percussion sign (OR = 7.0; 95% CI: 1.5-32.3), tachypnea (OR = 2.0; 95% CI: 1.09
3.6) and fever (OR = 3.6; 95% CI: 1.4-9.4) on day 2 were independently associated
with pneumonia. The highest positive predictive value was at the 2nd day of
evolution for tachypnea (71.0%) and fever (81.1%). CONCLUSION: Persistence of
fever or tachypnea up to the second day of amoxicillin treatment is predictive of
radiographically diagnosed pneumonia among children with non-severe lower
respiratory tract diseases.
PMID- 22080619
TI - Nebulized hypertonic-saline vs epinephrine for bronchiolitis; proof of concept
study of cumulative sum (CUSUM) analysis.
AB - OBJECTIVE: To apply cumulative sum (CUSUM) to monitor a drug trial of nebulized
hypertonic-saline in bronchiolitis. To test if monitoring with CUSUM control
lines is practical and useful as a prompt to stop the drug trial early, if the
study drug performs significantly worse than the comparator drug. DESIGN:
Prospective, open label, controlled trial using standard therapy (epinephrine)
and study drug (hypertonic-saline) sequentially in two groups of patients.
SETTING: Hospital offering tertiary-level pediatric care. PATIENTS: Children, 2
months to 2 years, with first episode of bronchiolitis, excluding those with
cardiac disease, immunodeficiency and critical illness at presentation.
INTERVENTIONS: Nebulized epinephrine in first half of the bronchiolitis season (n
= 35) and hypertonic saline subsequently (n = 29). Continuous monitoring of
response to hypertonic-saline using CUSUM control charts developed with
epinephrine-response data. MAIN OUTCOME MEASURES: Clinical score, tachycardia and
total duration of hospital stay. RESULTS: In the epinephrine group, the maximum
CUSUM was +2.25 (SD 1.34) and minimum CUSUM was -2.26 (SD 1.34). CUSUM score with
hypertonic saline group stayed above the zero line throughout the study. There
was no statistical difference in the post-treatment clinical score at 24 hours
between the treatment groups {Mean (SD) 3.516 (2.816): 3.552 (2.686); 95% CI:
1.416 to 1.356}, heart rate {Mean (SD) 136 (44): 137(12); 95% CI: -17.849 to
15.849) or duration of hospital stay (Mean (SD) 96.029 (111.41): 82.914 (65.940);
95% CI: -33.888 to 60.128}. CONCLUSIONS: The software we developed allows for
drawing of control lines to monitor study drug performance. Hypertonic saline
performed as well or better than nebulized epinephrine in bronchiolitis.
PMID- 22080620
TI - Survival after immunosuppressive therapy in children with aplastic anemia.
AB - OBJECTIVE: To determine the survival of children =18 y, treated with
immunosuppressive therapy (IST) using equine antithymocyte globulin (e-ATG) and
cyclosporine (CsA). DESIGN: Prospective data entry as per a specified format.
SETTING: Tertiary care hospital. PATIENTS: From January 1998 to December 2009, 40
children were diagnosed with acquired aplastic anemia; 33 patients, who received
IST, were analyzed. 31 children (94%) received one course of e-ATG and CsA. 2
patients (6%) received two courses of ATG. INTERVENTION: Immunosuppressive
therapy using equine ATG and cyclosporine. MAIN OUTCOME MEASURES: Overall
response and overall survival. RESULTS: The overall response (complete response +
partial response) to IST at 6 months was 87.9%. 8 (24.2%) patients achieved CR,
21 (63.6%) patients had PR and 4 (12.1%) patients did not respond to IST. Median
follow-up was 24 (6-102) months. Overall survival at 24 months was 90%, with an
actual survival of 85.4% at 5 years. Seventeen patients (51.5%) received G-CSF
for a median duration of 32 (23-64) days. The patients who received G-CSF had
fewer infectious complications (P=0.002), but G-CSF administration did not
influence survival/ outcome. No patient developed myelodysplastic syndrome or
acute leukemia. CONCLUSIONS: The survival of patients who respond to IST is
excellent. Also, G-CSF reduces the infectious complications without conferring
any survival advantage.
PMID- 22080621
TI - Outcomes of hepatoblastoma in the Indian context.
AB - A comprehensive review and critical appraisal of published and grey literature
was undertaken to identify current treatment practices and outcomes of children
with hepatoblastoma in India. Eight single-centre studies with 157 patients
(range five to 36 patients in each study) were included. Pre-operative
chemotherapy (mainly cisplatin and doxorubicin) followed by surgical resection
and additional chemotherapy was the usual practice. There was no stratification
of treatment by risk group in any of the studies. The median event-free survival
ranged from 33-100%. The two main reasons for treatment failure were treatment
related mortality (0-50%) and progression of disease (0-30%).
PMID- 22080622
TI - NPHS2 mutations in Indian children with sporadic early steroid resistant
nephrotic syndrome.
AB - We examined the frequency and spectrum of podocin NPHS2 mutations in Indian
children with sporadic steroid resistant nephrotic syndrome (SRNS). Of 25
children screened, only one (4%) had a pathogenic mutation resulting in a stop
codon. The allele and genotype frequencies of the four known single nucleotide
polymorphisms detected in the cohort were similar to that of controls. This
finding emphasizes the need to screen for mutations in other genes involved in
the pathogenesis of SRNS.
PMID- 22080623
TI - Renal scarring and osteopontin gene C/T polymorphism in children with primary
vesicoureteral reflux.
AB - We examined for osteopontin (OPN) gene C/T polymorphism in 78 patients (53 girls)
with vesicoureteric reflux, with or without renal scarring. The T allele
frequency was associated with a significantly increased risk (26.4 fold) of renal
scarring.
PMID- 22080624
TI - T cell immunoglobulin and mucin-domain containing molecule 1 in peripheral blood
mononuclear cells in Henoch Schonlein purpura.
AB - The T cell immunoglobulin- and mucin domain-containing molecules (Tim) have been
implicated in the pathogenesis of immune diseases. In this study, we used
quantitative real time reverse transcription polymerase chain reaction to examine
the Tim 1 mRNA expression in peripheral blood mononuclear cells from Henoch
Schonlein purpura patients. The results showed that Tim1 mRNA expression was
significantly higher in patients, which was closely correlated with serum TNFa,
IL4 levels, IgA1 levels.
PMID- 22080625
TI - Profile of patients with Von Gierke disease from India.
AB - Molecular diagnosis of Von Gierke disease is possible by mutation analysis of
G6PC gene. GSD type 1a cases account for 20 % of glycogenoses in our center. We
diagnosed ten unrelated patients with glycogen storage disease based on clinical,
biochemical and histopathology investigations. Mutation analysis was done by
sequencing the G6PC gene. Two unrelated patients were found to be homozygous for
a novel mutation c.355 C >; G (p.H119D). They were born to non-consanguineous
parents from Karnataka. This suggests founder effect. Mutation detection confirms
the diagnosis and assists in counseling and prenatal diagnosis.
PMID- 22080626
TI - A copper-methionine interaction controls the pH-dependent activation of
peptidylglycine monooxygenase.
AB - The pH dependence of native peptidylglycine monooxygenase (PHM) and its M314H
variant has been studied in detail. For wild-type (WT) PHM, the intensity of the
Cu-S interaction visible in the Cu(I) extended X-ray absorption fine structure
(EXAFS) data is inversely proportional to catalytic activity over the pH range of
3-8. A previous model based on more limited data was interpreted in terms of two
protein conformations involving an inactive Met-on form and an active flexible
Met-off form [Bauman, A. T., et al. (2006) Biochemistry 45, 11140-11150] that
derived its catalytic activity from the ability to couple into vibrational modes
critical for proton tunneling. The new studies comparing the WT and M314H variant
have led to the evolution of this model, in which the Met-on form has been found
to be derived from coordination of an additional Met residue, rather than a more
rigid conformer of M314 as previously proposed. The catalytic activity of the
mutant decreased by 96% because of effects on both k(cat) and K(M), but it
displayed the same activity-pH profile with a maximum around pH 6. At pH 8, the
reduced Cu(I) form gave spectra that could be simulated by replacement of the
Cu(M) Cu-S(Met) interaction with a Cu-N/O interaction, but the data did not
unambiguously assign the ligand to the imidazole side chain of H314. At pH 3.5,
the EXAFS still showed the presence of a strong Cu-S interaction, establishing
that the Met-on form observed at low pH in WT cannot be due to a strengthening of
the Cu(M)-methionine interaction but must arise from a different Cu-S
interaction. Therefore, lowering the pH causes a conformational change at one of
the Cu centers that brings a new S donor residue into a favorable orientation for
coordination to copper and generates an inactive form. Cys coordination is
unlikely because all Cys residues in PHM are engaged in disulfide cross-links.
Sequence comparison with the PHM homologues tyramine beta-monooxygenase and
dopamine beta-monooxygenase suggests that M109 (adjacent to H site ligands H107
and H108) is the most likely candidate. A model is presented in which H108 is
protonated with a pK(a) of 4.6 to generate the inactive low-pH form with Cu(H)
coordinated by M109, H107, and H172.
PMID- 22080627
TI - Use of the International Classification of Functioning, Disability and Health
(ICF) in social services for elderly in Sweden.
AB - PURPOSE: To examine the content of health information in acts of social services
of elderly people in relation to the International Classification of Functioning,
Disability and Health (ICF) and to describe the health information in the
different parts of the acts according to the ICF. METHOD: Health information of
25 acts from four municipalities was analysed and the concepts were linked to ICF
codes, using the established coding rules. RESULTS: The health information
consisted of 372 concepts, which were linked to 122 specific ICF codes. The
concepts in the acts were mostly linked to the ICF component Activities and
Participation, except for the current functioning concepts where the ICF
component Body functions was the most frequent. The 3rd level was most frequent
in Activities and Participation and in Environmental factors, and the 2nd level
was most frequent in Body functions. CONCLUSIONS: The ICF covers the concepts and
terms contained in the acts to a large extent. Furthermore, the results show that
the ICF codes differ in the different parts of the acts. The ICF provides a
coherent and structured documentation, which contributes to a legally secure
assessment of assistance. The selection of ICF codes can be used in development
of "code sets" for social services for elderly.
PMID- 22080628
TI - Parametric modelling and segmentation of vertebral bodies in 3D CT and MR spine
images.
AB - Accurate and objective evaluation of vertebral deformations is of significant
importance in clinical diagnostics and therapy of pathological conditions
affecting the spine. Although modern clinical practice is focused on three
dimensional (3D) computed tomography (CT) and magnetic resonance (MR) imaging
techniques, the established methods for evaluation of vertebral deformations are
limited to measuring deformations in two-dimensional (2D) x-ray images. In this
paper, we propose a method for quantitative description of vertebral body
deformations by efficient modelling and segmentation of vertebral bodies in 3D.
The deformations are evaluated from the parameters of a 3D superquadric model,
which is initialized as an elliptical cylinder and then gradually deformed by
introducing transformations that yield a more detailed representation of the
vertebral body shape. After modelling the vertebral body shape with 25 clinically
meaningful parameters and the vertebral body pose with six rigid body parameters,
the 3D model is aligned to the observed vertebral body in the 3D image. The
performance of the method was evaluated on 75 vertebrae from CT and 75 vertebrae
from T(2)-weighted MR spine images, extracted from the thoracolumbar part of
normal and pathological spines. The results show that the proposed method can be
used for 3D segmentation of vertebral bodies in CT and MR images, as the proposed
3D model is able to describe both normal and pathological vertebral body
deformations. The method may therefore be used for initialization of whole
vertebra segmentation or for quantitative measurement of vertebral body
deformations.
PMID- 22080629
TI - Continuous chest compression cardiopulmonary resuscitation training promotes
rescuer self-confidence and increased secondary training: a hospital-based
randomized controlled trial*.
AB - OBJECTIVE: Recent work suggests that delivery of continuous chest compression
cardiopulmonary resuscitation is an acceptable layperson resuscitation strategy,
although little is known about layperson preferences for training in continuous
chest compression cardiopulmonary resuscitation. We hypothesized that continuous
chest compression cardiopulmonary resuscitation education would lead to greater
trainee confidence and would encourage wider dissemination of cardiopulmonary
resuscitation skills compared to standard cardiopulmonary resuscitation training
(30 compressions: two breaths). DESIGN: Prospective, multicenter randomized
study. SETTING: Three academic medical center inpatient wards. SUBJECTS: Adult
family members or friends (>= 18 yrs old) of inpatients admitted with cardiac
related diagnoses. INTERVENTIONS: In a multicenter randomized trial, family
members of hospitalized patients were trained via the educational method of video
self-instruction. Subjects were randomized to continuous chest compression
cardiopulmonary resuscitation or standard cardiopulmonary resuscitation
educational modes. MEASUREMENTS: Cardiopulmonary resuscitation performance data
were collected using a cardiopulmonary resuscitation skill-reporting manikin.
Trainee perspectives and secondary training rates were assessed through mixed
qualitative and quantitative survey instruments. MAIN RESULTS: Chest compression
performance was similar in both groups. The trainees in the continuous chest
compression cardiopulmonary resuscitation group were significantly more likely to
express a desire to share their training kit with others (152 of 207 [73%] vs.
133 of 199 [67%], p = .03). Subjects were contacted 1 month after initial
enrollment to assess actual sharing, or "secondary training." Kits were shared
with 2.0 +/- 3.4 additional family members in the continuous chest compression
cardiopulmonary resuscitation group vs. 1.2 +/- 2.2 in the standard
cardiopulmonary resuscitation group (p = .03). As a secondary result, trainees in
the continuous chest compression cardiopulmonary resuscitation group were more
likely to rate themselves "very comfortable" with the idea of using
cardiopulmonary resuscitation skills in actual events than the standard
cardiopulmonary resuscitation trainees (71 of 207 [34%] vs. 57 of 199 [28%], p =
.08). CONCLUSIONS: Continuous chest compression cardiopulmonary resuscitation
education resulted in a statistically significant increase in secondary training.
This work suggests that implementation of video self-instruction training
programs using continuous chest compression cardiopulmonary resuscitation may
confer broader dissemination of life-saving skills and may promote rescuer
comfort with newly acquired cardiopulmonary resuscitation knowledge. CLINICAL
TRIAL REGISTRATION: URL: http://clinicaltrials.gov. Unique identifier:
NCT01260441.
PMID- 22080630
TI - Timing of neuroprognostication in postcardiac arrest therapeutic hypothermia*.
AB - OBJECTIVE: Early assessment of neurologic recovery is often challenging in
survivors of cardiac arrest. Further, little is known about when to assess
neurologic status in comatose, postarrest patients receiving therapeutic
hypothermia. We sought to evaluate timing of prognostication in cardiac arrest
survivors who received therapeutic hypothermia. DESIGN: A retrospective chart
review of consecutive postarrest patients receiving therapeutic hypothermia
(protocol: 24-hr maintenance at target temperature followed by rewarming over 8
hrs). Data were abstracted from the medical chart, including documentation during
the first 96 hrs post arrest of "poor" prognosis, diagnostic tests for
neuroprognostication, consultations used for determination of prognosis, and
outcome at discharge. SETTING: Two academic urban emergency departments.
PATIENTS: A total of 55 consecutive patients who underwent therapeutic
hypothermia were reviewed between September 2005 and April 2009. INTERVENTION:
None. RESULTS: Of our cohort of comatose postarrest patients, 59% (29 of 49) were
male, and the mean age was 56 +/- 16 yrs. Chart documentation of "poor" or
"grave" prognosis occurred "early": during induction, maintenance of cooling,
rewarming, or within 15 hrs after normothermia in 57% (28 of 49) of cases. Of
patients with early documentation of poor prognosis, 25% (seven of 28) had care
withdrawn within 72 hrs post arrest, and 21% (six of 28) survived to discharge
with favorable neurologic recovery. In the first 96 hrs post arrest: 88% (43 of
49) of patients received a head computed tomography, 90% (44 of 49) received
electroencephalography, 2% (one of 49) received somatosensory evoked potential
testing, and 71% (35 of 49) received neurology consultation. CONCLUSIONS:
Documentation of "poor prognosis" occurred during therapeutic hypothermia in more
than half of patients in our cohort. Premature documentation of poor prognosis
may contribute to early decisions to withdraw care. Future guidelines should
address when to best prognosticate in postarrest patients receiving therapeutic
hypothermia.
PMID- 22080631
TI - Cognitive and physical rehabilitation of intensive care unit survivors: results
of the RETURN randomized controlled pilot investigation.
AB - BACKGROUND: Millions of patients who survive medical and surgical general
intensive care unit care every year experience newly acquired long-term cognitive
impairment and profound physical and functional disabilities. To overcome the
current reality in which patients receive inadequate rehabilitation, we devised a
multifaceted, in-home, telerehabilitation program implemented using social
workers and psychology technicians with the goal of improving cognitive and
functional outcomes. METHODS: This was a single-site, feasibility, pilot,
randomized trial of 21 general medical/surgical intensive care unit survivors (8
controls and 13 intervention patients) with either cognitive or functional
impairment at hospital discharge. After discharge, study controls received usual
care (sporadic rehabilitation), whereas intervention patients received a
combination of in-home cognitive, physical, and functional rehabilitation over a
3-month period via a social worker or master's level psychology technician
utilizing telemedicine to allow specialized multidisciplinary treatment.
Interventions over 12 wks included six in-person visits for cognitive
rehabilitation and six televisits for physical/functional rehabilitation.
Outcomes were measured at the completion of the rehabilitation program (i.e., at
3 months), with cognitive functioning as the primary outcome. Analyses were
conducted using linear regression to examine differences in 3-month outcomes
between treatment groups while adjusting for baseline scores. RESULTS: Patients
tolerated the program with only one adverse event reported. At baseline both
groups were well-matched. At 3-month follow-up, intervention group patients
demonstrated significantly improved cognitive executive functioning on the widely
used and well-normed Tower test (for planning and strategic thinking) vs.
controls (median [interquartile range], 13.0 [11.5-14.0] vs. 7.5 [4.0-8.5];
adjusted p < .01). Intervention group patients also reported better performance
(i.e., lower score) on one of the most frequently used measures of functional
status (Functional Activities Questionnaire at 3 months vs. controls, 1.0 [0.0
3.0] vs. 8.0 [6.0-11.8], adjusted p = .04). CONCLUSIONS: A multicomponent
rehabilitation program for intensive care unit survivors combining cognitive,
physical, and functional training appears feasible and possibly effective in
improving cognitive performance and functional outcomes in just 3 months. Future
investigations with a larger sample size should be conducted to build on this
pilot feasibility program and to confirm these results, as well as to elucidate
the elements of rehabilitation contributing most to improved outcomes.
PMID- 22080632
TI - C1-esterase inhibitor infusion increases survival rates for patients with
sepsis*.
AB - OBJECTIVES: Systemic inflammatory response variability displays differing degrees
of organ damage and differing outcomes of sepsis. C1-esterase inhibitor, an
endogenous acute-phase protein, regulates various inflammatory and anti
inflammatory pathways, including the kallikrein-kinin system and leukocyte
activity. This study assesses the influence of high-dose C1-esterase inhibitor
administration on systemic inflammatory response and survival in patients with
sepsis. DESIGN: Open-label randomized controlled study. SETTING: Surgical and
medical intensive care units of nine university and city hospitals. PATIENTS: :
Sixty-one patients with sepsis. INTERVENTIONS: Patients were randomized to
receive either 12,000 U of C1-esterase inhibitor infusions in addition to
conventional treatment or conventional treatment only (n = 41 C1-esterase
inhibitor, 20 controls). Blood samples for measurement of C1-esterase inhibitor,
complement components C3 and C4, and C-reactive protein concentrations were drawn
on days 1, 3, 5, 7, 10, and 28. MEASUREMENTS AND MAIN RESULTS: Quartile analysis
of C1-esterase inhibitor activity in sepsis subjects revealed that the lowest
quartile subgroup had similar activity levels (0.7-1.2 U/L), when compared to
healthy volunteers (p > .05). These normal-level C1-esterase inhibitor sepsis
patients nevertheless displayed increased C-reactive protein (p = .04) production
and higher likelihoods of a more severe sepsis (p = .001). Overall, infusion of
C1-esterase inhibitor increased C1-esterase inhibitor (p < .005 vs. control on
days 2, 3, and 5) functional activity, resulted in higher C3 levels (p < .05 vs.
control on days 2 and 3), followed by decreased C-reactive protein (p < .05 vs.
control on days 3 and 10). Simultaneously, C1-esterase inhibitor infusion in
sepsis patients was associated with reduced all-cause mortality (12% vs. 45% in
control, p = .008) as well as sepsis-related mortality (8% vs. 45% in control, p
= .001) assessed over 28 days. The highest absolute reduction risk of 70% was
achieved in sepsis patients with Simplified Acute Physiology Score II scores >27.
CONCLUSION: In the present study, patients in the lowest quartile of C1-esterase
inhibitor activity in combination with high C-reactive protein demonstrated a
higher risk of developing severe sepsis. In general, high-dose C1-esterase
inhibitor infusion down-regulated the systemic inflammatory response and was
associated with improved survival rates in sepsis patients, which could have
important treatment and survival implications for individuals with C1-esterase
inhibitor functional deficiency.
PMID- 22080633
TI - Clinical outcomes of type III Pseudomonas aeruginosa bacteremia.
AB - BACKGROUND: Pseudomonas aeruginosa bacteremia is a serious and life-threatening
infection associated with high mortality. Among the multitude of virulence
determinants possessed by P. aeruginosa, the type 3 secretion system has been
implicated with more acute and invasive infection in respiratory diseases.
However, the relationship between the type 3 secretion system and clinical
outcomes in P. aeruginosa bacteremia has not been investigated. OBJECTIVES: To
determine the association between the type 3 secretion system virulence factor in
P. aeruginosa bloodstream infection and 30-day mortality. DESIGN: Retrospective
analysis of 85 cases of P. aeruginosa bacteremia. SETTING: Tertiary care
hospital. INTERVENTIONS: Bacterial isolates were assayed in vitro for secretion
of type 3 exotoxins (ExoU, ExoT, and ExoS). Strain relatedness was analyzed using
randomly amplified polymorphic DNA polymerase chain reaction genotyping.
Antimicrobial susceptibilities were determined by means of the Kirby-Bauer disk
diffusion test. MEASUREMENTS AND MAIN RESULTS: At least one of the type 3
secretion system proteins was detected in 37 out of the 85 isolates (44%). Septic
shock was identified in 43% of bacteremic patients with type 3 secretion system+
isolates compared to 23% of patients with type 3 secretion system- isolates (p =
.12). A high frequency of resistance in the type 3 secretion system+ isolates was
observed to ciprofloxacin (59%), cefepime (35%), and gentamicin (38%). There was
a significant difference in the 30-day cumulative probability of death after
bacteremia between secretors and nonsecretors (p = .02). None of the type 3
secretion system+ patients who survived the first 30 days had a P. aeruginosa
isolate which exhibited ExoU phenotype. CONCLUSIONS: The expression of type 3
secretion system exotoxins in bacteremic isolates of P. aeruginosa confers poor
clinical outcomes independent of antibiotic susceptibility profile.
PMID- 22080634
TI - A cardiac arrest: when recommended mild therapeutic hypothermia reveals the
mechanism*.
AB - AIM: Describe the interaction between the use of mild induced hypothermia and
acute diffuse coronary spasm. METHODS: We report the case of a 52-yr-old
Caucasian woman resuscitated after initial cardiac arrest, with normal
postresuscitation electrocardiogram, sufficient hemodynamic conditions, and
decreased level of consciousness, who received mild induced hypothermia to reduce
brain damage as suggested by cardiopulmonary resuscitation guidelines. RESULTS:
After the beginning of mild therapeutic hypothermia, the patient experienced
malignant diffuse coronary artery spasm, so-called Prinzmetal's angina, leading
to myocardial ischemia and ventricular tachycardia, which was only resolved by
intracoronary vasodilator injection. CONCLUSION: Mild induced hypothermia was
apparently the trigger of a severe and diffuse coronary artery spasm.
PMID- 22080635
TI - The epidemiology of Hajj-related critical illness: lessons for deployment of
temporary critical care services*.
AB - RATIONAL: The annual Hajj experience has direct relevance for other jurisdictions
planning rapid deployment strategies for intensive care for large groups during
expected or emergent events. OBJECTIVE: Approximately 2-3 million Muslims from
over 160 countries travel to Saudi Arabia each year for Hajj. These pilgrims are
typically older adults with a spectrum of comorbid conditions and of various
ethnicities. This, coupled with a 2-wk period of physical migration in close
contact with others, can lead to acute and critical illness from a variety of
infectious and noninfectious causes and a requirement for full-scale but
temporary intensive care to a large population. We describe patient
characteristics, patterns of disease, and critical illness, including episodes of
Influenza A 2009 (H1N1), therapies delivered, and clinical outcomes. METHODS:
Prospective cohort study of 110 critically ill patients in four hospitals during
the 2009 ("1431": November 18 to December 4) Hajj in Saudi Arabia. MEASUREMENTS
AND MAIN RESULTS: Median (interquartile range) age was 60.5 (51.3-70) yrs, 69
(62.7%) were male, and Acute Physiology and Chronic Health Evaluation IV score
was 60.5 (47-78.3). Forty-one patients (37.3%) were critically ill due to
cardiovascular diseases (23.6% with myocardial infarction); 51 (46.4%) had severe
infections (21.8% with H1N1); electrolyte disturbance (21.8%); or pulmonary
illness (15.5%). Sixty patients (54.6%) required ventilation. Median predicted
mortality by Acute Physiology and Chronic Health Evaluation IV was 14% while
actual short-term mortality was 6.4% (p = .009). Longer-term mortality may be
higher. CONCLUSION: Both event-specific conditions and patient-specific comorbid
conditions are common causes of critical illness during large gatherings. With
the ability to provide temporary but full-service intensive care, morbidity and
mortality due to critical illness can be low, even among an older patient
population and difficult care conditions.
PMID- 22080636
TI - Family response to critical illness: postintensive care syndrome-family.
AB - BACKGROUND: The family response to critical illness includes development of
adverse psychological outcomes such as anxiety, acute stress disorder,
posttraumatic stress, depression, and complicated grief. This cluster of
complications from exposure to critical care is now entitled postintensive care
syndrome-family. Adverse psychological outcomes occur in parents of neonatal and
pediatric patients and in family members of adult patients, and may be present
for >4 yrs after intensive care unit discharge. Psychological repercussions of
critical illness affect the family member's ability to fully engage in necessary
care-giving functions after hospitalization. PREVENTION: It has been suggested
that the manner in which healthcare workers communicate with family members and
the way in which families are included in care and decision-making, may affect
long-term outcomes. Preventive strategies for optimal communication and inclusion
in care are reviewed. ASSESSMENT: Many tools are available to assess the risk for
and to diagnose postintensive care syndrome-family conditions during
hospitalization and at intervals after discharge. TREATMENT: Visits after
discharge, support groups, and clinics have been proposed for assessing the need
for professional referrals as well as for treating family members when
psychological illness persists. Studies evaluating these measures are reviewed.
PMID- 22080638
TI - Effects of duty cycle and positive end-expiratory pressure on mucus clearance
during mechanical ventilation*.
AB - OBJECTIVES: During mechanical ventilation, air flows may play a role in mucus
transport via two-phase gas liquid flow. The aim of this study was to evaluate
effects of duty cycles and positive end-expiratory pressure on mucus clearance in
pigs using mechanical ventilation, and to assess their safety. DESIGN:
Prospective randomized animal study. SETTING: Animal research facility,
University of Barcelona, Spain. SUBJECTS: Eight healthy pigs. INTERVENTIONS: Pigs
were intubated and on volume-control mechanical ventilation for up to 84 hrs.
After 4, 24, 48, and 72 hrs of mechanical ventilation, six levels of duty cycle
(0.26, 0.33, 0.41, 0.50, 0.60, and 0.75) with no associated positive end
expiratory pressure or 5 cm H2O of positive end-expiratory pressure were randomly
applied. Surgical bed was oriented 30 degrees in the reverse Trendelenburg
position, as in the semirecumbent position. MEASUREMENT AND MAIN RESULTS:
Inspiratory and expiratory flows and hemodynamics were measured after each 30-min
ventilation period. Mucus movement was assessed through fluoroscopy tracking of
radio-opaque markers. Mucus velocity was described by a positive vector (toward
the glottis) or negative vector (toward the lungs). No effect of positive end
expiratory pressure was found; however, as duty cycle was increasingly prolonged,
a trend toward reduced velocity of mucus moving toward the lungs and increased
outward mucus velocity was found (p = .064). Two clusters of mucus velocities
were identified as duty cycle was prolonged beyond 0.41. Thus, duty cycle >0.41
increased mean expiratory-inspiratory flow bias from -4.1 +/- 4.6 to 7.9 +/- 5.9
L/min (p < .0001) and promoted outward mucus velocity from -0.22 +/- 1.71 mm/min
(range, -5.78 to 2.42) to 0.53 +/- 1.06 mm/min (-1.91 to 3.88; p = .0048). Duty
cycle of 0.75 resulted in intrinsic positive end-expiratory pressure (2.1 +/- 1.1
cm H2O [p < .0001] vs. duty cycle 0.26-0.5), with no hemodynamic compromise.
CONCLUSIONS: In the semirecumbent position, mucus clearance is improved with
prolongation of the duty cycle. However, in clinical practice, positive findings
must be balanced against the potentially adverse hemodynamic and respiratory
effects.
PMID- 22080637
TI - The association of the kynurenine pathway of tryptophan metabolism with acute
brain dysfunction during critical illness*.
AB - OBJECTIVES: Plasma tryptophan levels are associated with delirium in critically
ill patients. Although tryptophan has been linked to the pathogenesis of other
neurocognitive diseases through metabolism to neurotoxins via the kynurenine
pathway, a role for kynurenine pathway activity in intensive care unit brain
dysfunction (delirium and coma) remains unknown. This study examined the
association between kynurenine pathway activity as determined by plasma
kynurenine concentrations and kynurenine/tryptophan ratios and presence or
absence of acute brain dysfunction (defined as delirium/coma-free days) in
intensive care unit patients. DESIGN, SETTING, AND PATIENTS: This was a
prospective cohort study that utilized patient data and blood samples from the
Maximizing Efficacy of Targeted Sedation and Reducing Neurologic Dysfunction
trial, which compared sedation with dexmedetomidine vs. lorazepam in mechanically
ventilated patients. MEASUREMENTS AND MAIN RESULTS: Baseline plasma kynurenine
and tryptophan concentrations were measured using high-performance liquid
chromatography with or without tandem mass spectrometry. Delirium was assessed
daily using the Confusion Assessment Method for the Intensive Care Unit. Linear
regression examined associations between kynurenine pathway activity and
delirium/coma-free days after adjusting for sedative exposure, age, and severity
of illness. Among 84 patients studied, median age was 60 yrs and Acute Physiology
and Chronic Health Evaluation II score was 28.5. Elevated plasma kynurenine and
kynurenine/tryptophan ratio were both independently associated with significantly
fewer delirium/coma-free days (i.e., fewer days without acute brain dysfunction).
Specifically, patients with plasma kynurenine or kynurenine/tryptophan ratios at
the 75th percentile of our population had an average of 1.8 (95% confidence
interval 0.6-3.1) and 2.1 (95% confidence interval 1.0-3.2) fewer delirium/coma
free days than those patients with values at the 25th percentile (p = .006 and p
< .001, respectively). CONCLUSIONS: Increased kynurenine pathway activation,
assessed by plasma kynurenine and kynurenine/tryptophan ratio, was associated
with fewer days alive and without acute brain dysfunction in intensive care unit
patients. Future studies are warranted to clarify this relationship and
investigate potential therapeutic interventions.
PMID- 22080639
TI - Predictors of pulmonary edema formation during fluid loading in the critically
ill with presumed hypovolemia*.
AB - OBJECTIVES: It is largely unknown why extravascular lung water may increase
during fluid loading in the critically ill with presumed hypovolemia. In this
study we evaluated the hemodynamic predictors of such an increase. DESIGN: A
prospective observational study. PATIENTS: Sixty-three presumed hypovolemic
mechanically ventilated patients (22 septic and 41 nonseptic patients).
INTERVENTION: Fluid loading with saline or colloid fluids guided by (changes in)
cardiac filling pressures. MEASUREMENTS AND MAIN RESULTS: Before and after fluid
loading, hemodynamic and respiratory variables were recorded, including variables
obtained by transpulmonary dilution such as cardiac index, pulmonary blood volume
index, and extravascular lung water. Baseline parameters and change in parameters
were compared between patients with a change in extravascular lung water <10% and
patients with a change in extravascular lung water >= 10%. Predictive values for
change in extravascular lung water >= 10% were evaluated. Baseline cardiac index
and pulmonary blood volume index were higher, whereas change in cardiac index,
change in pulmonary blood volume index, and change in PaO2/FIO2 ratio were lower
in patients with a change in extravascular lung water >= 10% than in patients
with a change in extravascular lung water <10%. The change in extravascular lung
water correlated to baseline cardiac index (r = 0.17; p = .001), baseline
pulmonary blood volume index (r = 0.15; p = .001), change in pulmonary blood
volume index (r = 0.16; p < .001), and change in PaO2/FIO2 ratio (r = 0.13; p =
.004). In multiple logistic regression analysis baseline cardiac index, baseline
pulmonary blood volume index, the change in cardiac index, change in pulmonary
blood volume index, and change in PaO2/FIO2 ratio individually contributed to
prediction of a change in extravascular lung water >= 10%, independent of the
presence of sepsis, pulmonary vascular permeability, and cardiac filling
pressures. A change in extravascular lung water >= 10% was predicted by baseline
cardiac index (77% sensitivity, 98% specificity) and pulmonary blood volume index
(92% sensitivity, 68% specificity), and by change in cardiac index (69%
sensitivity, 59% specificity), change in pulmonary blood volume index (77%
sensitivity, 82% specificity), and change in PaO2/FIO2 ratio (77% sensitivity,
66% specificity). CONCLUSION: Extravascular lung water increase during fluid
loading in the critically ill is predicted by a plateau of cardiac function and
pulmonary vascular filling at baseline, rather than by pulmonary vascular
permeability and filling pressures. Increasing extravascular lung water is
further reflected by a decrease of PaO2/FIO2 ratio. These observations may help
preventing pulmonary fluid overloading.
PMID- 22080640
TI - Mortality and intensive care volume in ventilated patients from 1995 to 2009 in
the Australian and New Zealand binational adult patient intensive care database*.
AB - OBJECTIVES: The mortality outcome of mechanical ventilation, a key intervention
in the critically ill, has been variously reported to be determined by intensive
care patient volume. We determined the volume-(mortality)-outcome relationship of
mechanically ventilated patients whose records were contributed to the Australian
and New Zealand Intensive Care Society Adult Patient Database. DESIGN, SETTING,
AND PARTICIPANTS: Retrospective cohort study of 208,810 index patient admissions
from 136 Australian and New Zealand intensive care units in the same number of
hospitals over the course of 1995-2009. MEASUREMENTS AND MAIN RESULTS: The
patient-volume effect on hospital mortality, overall and at the level of patient
(nonsurgical, elective surgical, and emergency surgical) and intensive care unit
(rural/regional, metropolitan, tertiary, and private) descriptors, was determined
by random-effects logistic regression adjusting for illness severity and
demographic and geographical predictors. Annualized patient volume was modeled
both as a categorical (deciles) and, with calendar year, a continuous variable
using fractional polynomials. The patients were of mean age of 59 yrs (SD, 19
yrs), Acute Physiology and Chronic Health Evaluation III score 66 (32), and 39.4%
female, with a hospital mortality of 22.4%. Overall and at both the patient and
intensive care unit descriptor levels, no progressive decline in mortality was
demonstrated across the annual patient volume range (12-932). Over the whole
database, mortality odds ratio for the last volume decile (801-932 patients) was
1.26 (95% confidence interval, 1.06-1.50; p = .009) compared with the first
volume decile (12-101 patients). Calendar year mortality decreases were evident
(odds ratio, 0.96; 95% confidence interval, 0.94-0.98; p = .0001). Using
fractional polynomials, modest curvilinear mortality increases (range, 5%-8%)
across the volume range were noted over the whole database for nonsurgical
patients and at the tertiary intensive care unit level. CONCLUSION: No inverse
volume-(mortality)-outcome relationship was apparent for ventilated patients in
the Australian and New Zealand Intensive Care Society database. Mechanisms for
mortality increments with patient volume were not identified but warrant further
study.
PMID- 22080641
TI - Nuclear factor-kappaB signaling contributes to mechanical ventilation-induced
diaphragm weakness*.
AB - OBJECTIVES: Although mechanical ventilation is a life-saving measure for patients
in respiratory failure, prolonged mechanical ventilation results in diaphragmatic
weakness attributable to fiber atrophy and contractile dysfunction. Therefore,
identifying the signaling pathways responsible for mechanical ventilation-induced
diaphragmatic weakness is important. In this context, it is established that
oxidative stress is required for mechanical ventilation-induced diaphragmatic
weakness to occur. Numerous redox-sensitive signaling pathways exist in muscle
including the transcription factor nuclear factor-kappaB. Although it has been
suggested that nuclear factor-kappaB contributes to proteolytic signaling in
inactivity-induced atrophy in locomotor muscles, the role that nuclear factor
kappaB plays in mechanical ventilation-induced diaphragmatic weakness is unknown.
We tested the hypothesis that nuclear factor-kappaB activation plays a key
signaling role in mechanical ventilation-induced diaphragmatic weakness and that
oxidative stress is required for nuclear factor-kappaB activation. DESIGN: Cause
and effect was determined by independently treating mechanically ventilated
animals with either a specific nuclear factor-kappaB inhibitor (SN50) or a
clinically relevant antioxidant (curcumin). MEASUREMENTS AND MAIN RESULTS:
Inhibition of nuclear factor-kappaB activity partially attenuated both mechanical
ventilation-induced diaphragmatic atrophy and contractile dysfunction. Further,
treatment with the antioxidant curcumin prevented mechanical ventilation-induced
activation of nuclear factor-kappaB in the diaphragm and rescued the diaphragm
from both mechanical ventilation-induced atrophy and contractile dysfunction.
CONCLUSIONS: Collectively, these findings support the hypothesis that nuclear
factor-kappaB activation plays a significant signaling role in mechanical
ventilation-induced diaphragmatic weakness and that oxidative stress is an
upstream activator of nuclear factor-kappaB. Finally, our results suggest that
prevention of mechanical ventilation-induced oxidative stress in the diaphragm
could be a useful clinical strategy to prevent or delay mechanical ventilation
induced diaphragmatic weakness.
PMID- 22080642
TI - Tissue factor/factor VIIa pathway mediates coagulation activation in induced-heat
stroke in the baboon.
AB - OBJECTIVE: Excessive activation of coagulation, which can culminate in overt
disseminated intravascular coagulation, is a prominent feature of heat stroke.
However, neither the mechanism that initiates the coagulation activation nor its
pathogenic role is known. We examined whether the tissue factor/factor VIIa
complex initiates the coagulation activation in heat stroke and, if so, whether
upstream inhibition of coagulation activation through its neutralization may
minimize cellular injury and organ dysfunction. We also examined whether
coagulation inhibition influences heat stroke-induced fibrinolytic and
inflammatory responses. DESIGN: Randomized controlled study. SETTING: Comparative
Medicine Department, King Faisal Specialist Hospital and Research Center, Riyadh,
Saudi Arabia. SUBJECTS: Baboons (Papio Hamadryas). INTERVENTIONS: Twelve
anesthetized baboons assigned randomly to recombinant nematode anticoagulant
protein c2, a powerful inhibitor of tissue factor/factor VIIa-dependent
coagulation (n = 6), or a control group (n = 6) were heat-stressed in a prewarmed
neonatal incubator at 44-47 degrees C until systolic blood pressure fell <90 mm
Hg, signaling the onset of severe heat stroke. Recombinant nematode anticoagulant
protein c2 was administered as a single intravenous dose of 30 MUg/kg body weight
at onset of heat stroke. The control group received an equivalent volume of
sterile saline intravenously. MEASUREMENTS AND MAIN RESULTS: Heat stroke was
associated with coagulation activation and fibrin formation as evidenced by the
increased plasma thrombin-antithrombin complexes, endogenous thrombin potential,
and D-dimer levels. Recombinant nematode anticoagulant protein c2 induced
significant inhibition of thrombin generation and fibrin formation. Inhibition of
coagulation in recombinant nematode anticoagulant protein c2-treated animals did
not influence either fibrinolysis (assessed by tissue plasminogen activator,
plasmin-alpha2-antiplasmin complexes, and plasminogen activator inhibitor) or the
release of pro- and anti-inflammatory cytokines. No difference in markers of cell
injury and organ dysfunction was observed between recombinant nematode
anticoagulant protein c2-treated and control groups. CONCLUSIONS: Tissue
factor/factor VIIa-dependent pathway initiates coagulation activation in induced
heat stroke in the baboon without an effect on fibrinolysis and inflammation. The
findings suggest also that coagulation activation is not a prerequisite of cell
injury and organ dysfunction.
PMID- 22080643
TI - A multicenter mortality prediction model for patients receiving prolonged
mechanical ventilation.
AB - OBJECTIVE: Significant deficiencies exist in the communication of prognosis for
patients requiring prolonged mechanical ventilation after acute illness, in part
because of clinician uncertainty about long-term outcomes. We sought to refine a
mortality prediction model for patients requiring prolonged ventilation using a
multicentered study design. DESIGN: Cohort study. SETTING: Five geographically
diverse tertiary care medical centers in the United States (California, Colorado,
North Carolina, Pennsylvania, and Washington). PATIENTS: Two hundred sixty adult
patients who received at least 21 days of mechanical ventilation after acute
illness. INTERVENTIONS: None. MEASUREMENTS AND MAIN RESULTS: For the probability
model, we included age, platelet count, and requirement for vasopressors and/or
hemodialysis, each measured on day 21 of mechanical ventilation, in a logistic
regression model with 1-yr mortality as the outcome variable. We subsequently
modified a simplified prognostic scoring rule (ProVent score) by categorizing the
risk variables (age 18-49, 50-64, and >=65 yrs; platelet count 0-150 and >150;
vasopressors; hemodialysis) in another logistic regression model and assigning
points to variables according to beta coefficient values. Overall mortality at 1
yr was 48%. The area under the curve of the receiver operator characteristic
curve for the primary ProVent probability model was 0.79 (95% confidence interval
0.75-0.81), and the p value for the Hosmer-Lemeshow goodness-of-fit statistic was
.89. The area under the curve for the categorical model was 0.77, and the p value
for the goodness-of-fit statistic was .34. The area under the curve for the
ProVent score was 0.76, and the p value for the Hosmer-Lemeshow goodness-of-fit
statistic was .60. For the 50 patients with a ProVent score >2, only one patient
was able to be discharged directly home, and 1-yr mortality was 86%. CONCLUSION:
The ProVent probability model is a simple and reproducible model that can
accurately identify patients requiring prolonged mechanical ventilation who are
at high risk of 1-yr mortality.
PMID- 22080644
TI - Integrating palliative care in the surgical and trauma intensive care unit: a
report from the Improving Palliative Care in the Intensive Care Unit (IPAL-ICU)
Project Advisory Board and the Center to Advance Palliative Care.
AB - OBJECTIVE: Although successful models for palliative care delivery and quality
improvement in the intensive care unit have been described, their applicability
in surgical intensive care unit settings has not been fully addressed. We
undertook to define specific challenges, strategies, and solutions for
integration of palliative care in the surgical intensive care unit. DATA SOURCES:
We searched the MEDLINE database from inception to May 2011 for all English
language articles using the term "surgical palliative care" or the terms
"surgical critical care," "surgical ICU," "surgeon," "trauma" or "transplant,"
and "palliative care" or "end-of- life care" and hand-searched our personal files
for additional articles. Based on review of these articles and the experiences of
our interdisciplinary expert Advisory Board, we prepared this report. DATA
EXTRACTION AND SYNTHESIS: We critically reviewed the existing literature on
delivery of palliative care in the surgical intensive care unit setting focusing
on challenges, strategies, models, and interventions to promote effective
integration of palliative care for patients receiving surgical critical care and
their families. CONCLUSIONS: Characteristics of patients with surgical disease
and practices, attitudes, and interactions of different disciplines on the
surgical critical care team present distinctive issues for intensive care unit
palliative care integration and improvement. Physicians, nurses, and other team
members in surgery, critical care and palliative care (if available) should be
engaged collaboratively to identify challenges and develop strategies.
"Consultative," "integrative," and combined models can be used to improve
intensive care unit palliative care, although optimal use of trigger criteria for
palliative care consultation has not yet been demonstrated. Important components
of an improvement effort include attention to efficient work systems and
practical tools and to attitudinal factors and "culture" in the unit and
institution. Approaches that emphasize delivery of palliative care together with
surgical critical care hold promise to better integrate palliative care into the
surgical intensive care unit.
PMID- 22080645
TI - Critical care physicians' approaches to negotiating with surrogate decision
makers: a qualitative study.
AB - OBJECTIVE: To describe how critical care physicians manage conflicts with
surrogates about withdrawing or withholding patients' life support. DESIGN:
Qualitative analysis of key informant interviews with critical care physicians
during 2010. We transcribed interviews verbatim and used grounded theory to code
and revise a taxonomy of themes and to identify illustrative quotes. SETTING:
Three academic medical centers, one academic-affiliated medical center, and four
private practice groups or private hospitals in a large Midwestern city SUBJECTS:
Fourteen critical care physicians. INTERVENTIONS: None. MEASUREMENTS AND MAIN
RESULTS: Physicians reported tailoring their approach to address specific reasons
for disagreement with surrogates. Five common approaches were identified: 1)
building trust; 2) educating and informing; 3) providing surrogates more time; 4)
adjusting surrogate and physician roles; and 5) highlighting specific values.
When mistrust was an issue, physicians endeavored to build a more trusting
relationship with the surrogate before readdressing decision making. Physicians
also reported correcting misunderstandings by providing targeted education, and
some reported highlighting specific patient, surrogate, or physician values that
they hoped would guide surrogates to agree with them. When surrogates struggled
with decisionmaking roles, physicians attempted to reinforce the concept of
substituted judgment. Physicians noted that some surrogates needed time to "come
to terms" with the patent's illness before agreeing with physicians. Many
physicians had witnessed colleagues negotiate in ways they found objectionable
such as providing misleading information, injecting their own values into the
negotiation or behaving unprofessionally toward surrogates. Although some
physicians viewed their efforts to encourage surrogates' agreement as persuasive,
others strongly denied persuading surrogates and described their actions as
"guiding" or "negotiating." CONCLUSIONS: Physicians reported using a tailored
approach to resolve decisional conflicts about life support and attempted to
change surrogates' decisions in accordance with what the physician thought was in
the patients' best interests. Although physicians acknowledged their efforts to
change surrogates' decisions, many physicians did not perceive these efforts as
persuasive.
PMID- 22080646
TI - Intracellular GSH and ascorbate inhibit radical-induced protein chain
peroxidation in HL-60 cells.
AB - The results of this study suggest that the well-documented loss of GSH and
ascorbate in organisms under oxidative stress may be mainly due to their
reactions with protein radicals and/or peroxides. Protein hydroperoxides were
generated in HL-60 cells exposed to radiation-generated hydroxyl radicals. We
found for the first time evidence of chain peroxidation of the proteins in cells,
with each hydroxyl radical leading to the formation of about 10 hydroperoxides.
Protein peroxidation showed a lag, probably due to the endogenous antioxidant
enzymes, with simultaneous loss of the intracellular GSH. Enhancement of the GSH
levels by N-acetylcysteine decreased the formation of hydroperoxides, while
treatment with l-buthionine sulfoximine had the opposite effect. The effect of
variation of GSH levels on the formation of the peroxidized proteins is explained
primarily by reduction of the protein hydroperoxides by GSH. Loading of the cells
with ascorbate resulted in reduction of the amounts of protein hydroperoxides
generated by the radiation, which was proportional to the intracellular ascorbate
concentration. In contrast to the GSH, inhibition of protein hydroperoxide
formation in the presence of the high (mM) intracellular ascorbate levels
achieved was mainly due to the direct scavenging of hydroxyl radicals by the
vitamin.
PMID- 22080647
TI - Uses and misuses of statistics: the case of strontium ranelate and the number
needed to treat.
AB - In the last 15 years, several pharmacological agents for the prevention of
fractures have been developed and commercialized. Most of them showed to be
effective in reducing fracture risk. The enhanced availability of drugs to
prevent fractures has generated a fierce competition among pharmaceutical
companies to conquer a share of the potential market, often with claims of
superiority of a drug over another without direct comparisons. The definitive way
to compare different treatments would require randomized head to head trials.
These trials are expensive, need large samples and are unlikely to be ever
performed. Therefore, it has become a common practice to compare pharmacological
agents through observational studies on administrative databases or by the
indirect comparison of the results of individual randomised-controlled trials
(RCT) and their meta-analyses. These studies may produce evidence of clinical
value, complementary to that given by RCT. However, without a proper and complete
analysis, they may result in a biased picture of effectiveness and be completely
misleading. In this article, we critically disclose how such competition may
produce biased and misleading picture of evidence, by reviewing the significance
of the number needed to treat, absolute risk reduction and relative risk
reduction in relation to vertebral fractures prevention with available drugs.
PMID- 22080648
TI - A case of primary retroperitoneal teratoma presenting as an adrenal
incidentaloma.
PMID- 22080649
TI - Increased intima media thickness at many arterial sites in obese adolescents with
abdominal adiposity, insulin resistance, and high LDL-cholesterol.
PMID- 22080650
TI - Scleredema adultorum: quali-quantitative analysis of collagen fibers.
PMID- 22080651
TI - Endocrinology and art. Hermaphrodite - archeological museum of Istanbul - Turkey.
PMID- 22080652
TI - Transmission of 2009 pandemic influenza A (H1N1) virus among healthcare personnel
Southern California, 2009.
AB - OBJECTIVE: In April 2009, 2009 pandemic influenza A (H1N1) (hereafter, pH1N1)
virus was identified in California, which caused widespread illness throughout
the United States. We evaluated pH1N1 transmission among exposed healthcare
personnel (HCP) and assessed the use and effectiveness of personal protective
equipment (PPE) early in the outbreak. DESIGN: Cohort study. SETTING: Two
hospitals and 1 outpatient clinic in Southern California during March 28-April
24, 2009. PARTICIPANTS: Sixty-three HCP exposed to 6 of the first 8 cases of
laboratory-confirmed pH1N1 in the United States. METHODS: Baseline and follow-up
questionnaires were used to collect demographic, epidemiologic, and clinical
data. Paired serum samples were obtained to test for pH1N1-specific antibodies by
microneutralization and hemagglutination-inhibition assays. Serology results were
compared with HCP work setting, role, and self-reported PPE use. RESULTS:
Possible healthcare-associated pH1N1 transmission was identified in 9 (14%) of 63
exposed HCP; 6 (67%) of 9 seropositive HCP had asymptomatic infection. The
highest attack rates occurred among outpatient HCP (6/19 [32%]) and among allied
health staff (eg, technicians; 8/33 [24%]). Use of mask or N95 respirator was
associated with remaining seronegative (P = .047). Adherence to PPE
recommendations for preventing transmission of influenza virus and other
respiratory pathogens was inadequate, particularly in outpatient settings.
CONCLUSIONS: pH1N1 transmission likely occurred in healthcare settings early in
the pandemic associated with inadequate PPE use. Organizational support for a
comprehensive approach to infectious hazards, including infection prevention
training for inpatient- and outpatient-based HCP, is essential to improve HCP and
patient safety.
PMID- 22080653
TI - A multifaceted intervention strategy for eradication of a hospital-wide outbreak
caused by carbapenem-resistant Klebsiella pneumoniae in Southern Israel.
AB - OBJECTIVE: To devise a local strategy for eradication of a hospital-wide outbreak
caused by carbapenem-resistant Klebsiella pneumoniae (CRKP). DESIGN: Quasi
experimental, before-and-after, interrupted time-series study. SETTING: A 1,000
bed tertiary-care university teaching hospital. METHODS: Retrospectively, all
relevant data were collected from the medical records of patients with CRKP
infections from May 2006 through April 2007, the preintervention period. From May
1, 2007, through May 1, 2010, the postintervention period, the intervention was
applied and prospectively followed. The 5 key elements of this strategy were an
emergency department flagging system, the building of a cohort ward, the
eradication of clusters, environmental and personnel hand cultures, and a
carbapenem-restriction policy. The demographic and clinical parameters of
patients colonized by and/or infected with CRKP were collected from medical
records. RESULTS: A total of 10,680 rectal cultures were performed for 8,376
patients; 433 (5.16%) and 370 (4.4%) were CRKP-colonized and CRKP-infected
patients, respectively, and 789 (98%) of 803 patients were admitted to the CRKP
cohort ward. The CRKP infection density was reduced from 5.26 to 0.18 per 10,000
patient-days (P <= .001), and no nosocomial CRKP infections were diagnosed.
Twenty-three percent of environmental cultures were found to be positive.
Meropenem use was reduced from 283 +/- 70.92 to 118 +/- 74.32 defined daily doses
per 1,000 patient-days (P <= .001). CONCLUSION: This intervention produced an
enormous impact on patient location, surveillance cultures, and antibiotic
policies and a massive investment in infection control resources.
PMID- 22080654
TI - Use of adherence monitors as part of a team approach to control clonal spread of
multidrug-resistant Acinetobacter baumannii in a research hospital.
AB - BACKGROUND: Multidrug-resistant Acinetobacter baumannii (MDRAB) is difficult to
treat and eradicate. Several reports describe isolation and environmental
cleaning strategies that controlled hospital MDRAB outbreaks. Such interventions
were insufficient to interrupt MDRAB transmission in 2 intensive care unit-based
outbreaks in our hospital. We describe strategies that were associated with
termination of MDRAB outbreaks at the National Institutes of Health Clinical
Center. METHODS: In response to MDRAB outbreaks in 2007 and 2009, we implemented
multiple interventions, including stakeholder meetings, enhanced isolation
precautions, active microbial surveillance, cohorting, and extensive
environmental cleaning. We conducted a case-control study to analyze risk factors
for acquiring MDRAB. In each outbreak, infection control adherence monitors were
placed in MDRAB cohort areas to observe and correct staff infection control
behavior. RESULTS: Between May 2007 and December 2009, 63 patients acquired
nosocomial MDRAB; 57 (90%) acquired 1 or more of 4 outbreak strains. Of 347
environmental cultures, only 2 grew outbreak strains of MDRAB from areas other
than MDRAB patient rooms. Adherence monitors recorded 1,330 isolation room
entries in 2007, of which 8% required interventions. In 2009, around-the-clock
monitors recorded 4,892 staff observations, including 127 (2.6%) instances of
nonadherence with precautions, requiring 68 interventions (1.4%). Physicians were
responsible for more violations than other staff (58% of hand hygiene violations
and 37% of violations relating to gown and glove use). Each outbreak terminated
in temporal association with initiation of adherence monitoring. CONCLUSIONS:
Although labor intensive, adherence monitoring may be useful as part of a
multifaceted strategy to limit nosocomial transmission of MDRAB.
PMID- 22080655
TI - Emergence of glutaraldehyde-resistant Pseudomonas aeruginosa.
AB - OBJECTIVE: In November 2009, routine sampling of endoscopes performed to monitor
the effectiveness of the endoscope-cleaning procedure at our hospital detected
Pseudomonas aeruginosa. Herein we report the results of the subsequent
investigation. DESIGN AND METHODS: The investigation included environmental
cultures for source investigation, molecular analysis by pulsed-field gel
electrophoresis (PFGE) to reveal the identity of the strains, and determination
of the bactericidal activity of the glutaraldehyde-based disinfectant used for
automated endoscope reprocessing. In addition, patient outcome was analyzed by
medical chart review, and incidence rates of clinical samples with P. aeruginosa
were compared. SETTING: The University Hospital of Basel is an 855-bed tertiary
care center in Basel, Switzerland. Approximately 1,700 flexible bronchoscopic,
2,500 gastroscopic, 1,400 colonoscopic, 140 endoscopic retrograde
cholangiopancreatographic, and 140 endosonographic procedures are performed
annually. RESULTS: P. aeruginosa was detected in samples obtained from endoscopes
in November 2009 for the first time since the initiation of surveillance in 2006.
It was found in the rinsing water and in the drain of 1 of the 2 automated
endoscope reprocessors. PFGE revealed 2 distinct P. aeruginosa strains, one in
each reprocessor. The glutaraldehyde-based disinfectant showed no activity
against the 2 pseudo-outbreak strains when used in the recommended concentration
under standard conditions. After medical chart review, 6 patients with lower
respiratory tract and bloodstream infections were identified as having a possible
epidemiological link to the pseudo-outbreak strain. CONCLUSIONS: This is the
first description of a pseudo-outbreak caused by P. aeruginosa with reduced
susceptibility to an aldehyde-based disinfectant routinely used in the automated
processing of endoscopes.
PMID- 22080656
TI - Outbreak of Pseudomonas aeruginosa surgical site infections after arthroscopic
procedures: Texas, 2009.
AB - SETTING: Seven organ/space surgical site infections (SSIs) that occurred after
arthroscopic procedures and were due to Pseudomonas aeruginosa of
indistinguishable pulsed-field gel electrophoresis (PFGE) patterns occurred at
hospital X in Texas from April 22, 2009, through May 7, 2009. OBJECTIVE: To
determine the source of the outbreak and prevent future infections. DESIGN:
Infection control observations and a case-control study. METHODS: Laboratory
records were reviewed for case finding. A case-control study was conducted. A
case patient was defined as someone who underwent knee or shoulder arthroscopy at
hospital X during the outbreak period and subsequently developed organ/space SSI
due to P. aeruginosa. Cultures of environmental and surgical equipment samples
were performed, and selected isolates were analyzed by PFGE. Surgical instrument
reprocessing practices were reviewed, and surgical instrument lumens were
inspected with a borescope after reprocessing to assess cleanliness. RESULTS: The
case-control study did not identify any significant patient-related or operator
related risk factors. P. aeruginosa grew from 62 of 388 environmental samples. An
isolate from the gross decontamination sink had a PFGE pattern that was
indistinguishable from that of the case patient isolates. All surgical instrument
cultures showed no growth. Endoscopic evaluation of reprocessed arthroscopic
equipment revealed retained tissue in the lumen of both the inflow/outflow
cannulae and arthroscopic shaver handpiece. No additional cases occurred after
changes in instrument reprocessing protocols were implemented. After this
outbreak, the US Food and Drug Administration released a safety alert about the
concern regarding retained tissue within arthroscopic shavers. CONCLUSIONS: These
SSIs were likely related to surgical instrument contamination with P. aeruginosa
during instrument reprocessing. Retained tissue in inflow/outflow cannulae and
shaver handpieces could have allowed bacteria to survive sterilization
procedures.
PMID- 22080657
TI - Comparison of fluorescent marker systems with 2 quantitative methods of assessing
terminal cleaning practices.
AB - OBJECTIVE: To compare fluorescent markers with aerobic colony counts (ACCs) and
an adenosine triphosphate (ATP) bioluminescence assay system for assessing
terminal cleaning practices. DESIGN: A prospective observational survey. SETTING:
A 500-bed university-affiliated community teaching hospital. METHODS: In a
convenience sample of 100 hospital rooms, 5 high-touch surfaces were marked with
fluorescent markers before terminal cleaning and checked after cleaning to see
whether the marker had been entirely or partially removed. ACC and ATP readings
were performed on the same surfaces before and after terminal cleaning. RESULTS:
Overall, 378 (76%) of 500 surfaces were classified as having been cleaned
according to fluorescent markers, compared with 384 (77%) according to ACC
criteria and 225 (45%) according to ATP criteria. Of 382 surfaces classified as
not clean according to ATP criteria before terminal cleaning, those with the
marker removed were significantly more likely than those with the marker
partially removed to be classified as clean according to ATP criteria (P = .003).
CONCLUSIONS: Fluorescent markers are useful in determining how frequently high
touch surfaces are wiped during terminal cleaning. However, contaminated surfaces
classified as clean according to fluorescent marker criteria after terminal
cleaning were significantly less likely to be classified as clean according to
ACC and ATP assays.
PMID- 22080658
TI - "The dirty hand in the latex glove": a study of hand hygiene compliance when
gloves are worn.
AB - BACKGROUND AND OBJECTIVE: Wearing of gloves reduces transmission of organisms by
healthcare workers' hands but is not a substitute for hand hygiene. Results of
previous studies have varied as to whether hand hygiene is worse when gloves are
worn. Most studies have been small and used nonstandardized assessments of glove
use and hand hygiene. We sought to observe whether gloves were worn when
appropriate and whether hand hygiene compliance differed when gloves were worn.
DESIGN: Observational study. PARTICIPANTS AND SETTING: Healthcare workers in 56
medical or care of the elderly wards and intensive care units in 15 hospitals
across England and Wales. METHODS: We observed hand hygiene and glove usage
(7,578 moments for hand hygiene) during 249 one-hour sessions. Observers also
recorded whether gloves were or were not worn for individual contacts. RESULTS:
Gloves were used in 1,983 (26.2%) of the 7,578 moments for hand hygiene and in
551 (16.7%) of 3,292 low-risk contacts; gloves were not used in 141 (21.1%) of
669 high-risk contacts. The rate of hand hygiene compliance with glove use was
41.4% (415 of 1,002 moments), and the rate without glove use was 50.0% (1,344 of
2,686 moments). After adjusting for ward, healthcare worker type, contact risk
level, and whether the hand hygiene opportunity occurred before or after a
patient contact, glove use was strongly associated with lower levels of hand
hygiene (adjusted odds ratio, 0.65 [95% confidence interval, 0.54-0.79]; P <
.0001). CONCLUSION: The rate of glove usage is lower than previously reported.
Gloves are often worn when not indicated and vice versa. The rate of compliance
with hand hygiene was significantly lower when gloves were worn. Hand hygiene
campaigns should consider placing greater emphasis on the World Health
Organization indications for gloving and associated hand hygiene. TRIAL
REGISTRATION: National Research Register N0256159318.
PMID- 22080659
TI - Epidemiology of central line-associated bloodstream infections in the pediatric
intensive care unit.
AB - OBJECTIVE: Describe central line-associated bloodstream infection (CLA-BSI)
epidemiology in pediatric intensive care units (PICUs). DESIGN: Descriptive study
(29 PICUs); cohort study (18 PICUs). SETTING: PICUs in a national improvement
collaborative. PATIENTS/PARTICIPANTS: Patients admitted October 2006 to December
2007 with 1 or more central lines. METHODS: CLA-BSIs were prospectively
identified using the National Healthcare Safety Network definition and then
readjudicated using the revised 2008 definition. Risk factors for CLA-BSI were
examined using age-adjusted, time-varying Cox proportional hazards models.
RESULTS: In the descriptive study, the CLA-BSI incidence was 3.1/1,000 central
line-days; readjudication with the revised definition resulted in a 17% decrease.
In the cohort study, the readjudicated incidence was 2.0/1,000 central line-days.
Ninety-nine percent of patients were CLA-BSI-free through day 7, after which the
daily risk of CLA-BSI doubled to 0.27% per day. Compared with patients with
respiratory diagnoses (most prevalent category), CLA-BSI risk was higher in
patients with gastrointestinal diagnoses (hazard ratio [HR], 2.7 [95% confidence
interval {CI}, 1.43-5.16]; P < .002 ) and oncologic diagnoses (HR, 2.6 [CI, 1.06
6.45]; P = .037). Among all patients, including those with more than 1 central
line, CLA-BSI risk was lower among patients with a central line inserted in the
jugular vein (HR, 0.43 [CI, 0.30-0.95]; [P < .03). CONCLUSIONS: The 2008 CLA-BSI
definition change decreased the measured incidence. The daily CLA-BSI risk was
very low in patients during the first 7 days of catheterization but doubled
thereafter. The risk of CLA-BSI was lower in patients with lines inserted in the
jugular vein and higher in patients with gastrointestinal and oncologic
diagnoses. These patients are target populations for additional study and
intervention.
PMID- 22080660
TI - US hospital requirements for pertussis vaccination of healthcare personnel, 2011.
AB - In 2011, institutional requirements for pertussis vaccination of healthcare
personnel were reported by nearly one-third of surveyed US hospitals.
Requirements often applied to personnel with certain clinical responsibilities,
such as those caring for infants. Healthcare personnel who were not on an
institution's payroll were rarely subject to pertussis vaccination requirements.
PMID- 22080661
TI - Validation of administrative population-based data sets for the detection of
cesarean delivery surgical site infection.
AB - We validated population-based hospital, emergency room, and physician claim
databases for the detection of surgical site infections against the reference
standard of clinical surveillance. Although these data sets are highly specific
and could be used to define research cohorts, their low sensitivity and positive
predictive value make them inadequate for use as quality indicators.
PMID- 22080662
TI - A real-life snapshot of the use and abuse of urinary catheters on general medical
wards.
AB - An observational study was performed on 2 wards in a tertiary hospital to
determine staff awareness, knowledge, and documentation of catheter use and the
effects these have on duration of catheterization. Overall, there was poor
knowledge of the indications and date of catheterization. Doctor awareness
decreases duration of catheterization.
PMID- 22080663
TI - Web-based training improves knowledge about central line bloodstream infections.
AB - A Web-based training course with embedded video clips for reducing central line
associated bloodstream infections (CLABSIs) was evaluated and shown to improve
clinician knowledge and retention of knowledge over time. To our knowledge, this
is the first study to evaluate Web-based CLABSI training as a stand-alone
intervention.
PMID- 22080664
TI - Surveillance of overall hospital antibiotic consumption: is stratification
according to hospital size the best method?
PMID- 22080665
TI - Discordance in colonizing strains of Staphylococcus aureus isolated from
different body sites.
PMID- 22080666
TI - Methicillin-resistant Staphylococcus aureus strain USA300 is prevalent among
hospital-onset cases in an urban Canadian setting.
PMID- 22080667
TI - Staphylococcus aureus bacteremia and peripheral vascular catheters.
PMID- 22080669
TI - Public reporting of Clostridium difficile and improvements in diagnostic tests.
PMID- 22080670
TI - Decreasing the prevalence of Clostridium difficile in a long-term care facility.
PMID- 22080672
TI - The influenza A/H1N1 pandemic in Southern Brazil.
PMID- 22080675
TI - From mixed valence to the Kondo lattice regime.
AB - Many heavy fermion materials are known to cross over from the Kondo lattice
regime to the mixed valence regime or vice versa as a function of pressure or
doping. We study this crossover theoretically by employing the periodic Anderson
model within the framework of the dynamical mean field theory. Changes occurring
in the dynamics and transport across this crossover are highlighted. As the
valence is decreased (increased) relative to the Kondo lattice regime, the Kondo
resonance broadens significantly, while the lower (upper) Hubbard band moves
closer to the Fermi level. The resistivity develops a two peak structure in the
mixed valence regime: a low temperature coherence peak and a high temperature
'Hubbard band' peak. These two peaks merge, yielding a broad shallow maximum upon
decreasing the valence further. The optical conductivity likewise exhibits an
unusual absorption feature (shoulder) in the deep mid-infrared region, which
grows in intensity with decreasing valence. The involvement of the Hubbard bands
in dc transport and of the effective f-level in the optical conductivity are
shown to be responsible for the anomalous transport properties. A two-band
hybridization-gap model, which neglects incoherent effects due to many-body
scattering, commonly employed to understand the optical response in these
materials is shown to be inadequate, especially in the mixed valence regime.
Comparison of theory with experiment carried out for (a) dc resistivities of
CeRhIn(5), Ce(2)Ni(3)Si(5), CeFeGe(3) and YbIr(2)Si(2), (b) pressure dependent
resistivity of YbInAu(2) and CeCu(6), and (c) optical conductivity measurements
in YbIr(2)Si(2) yields excellent agreement.
PMID- 22080676
TI - Lessons from genetically altered mesenchymal stem cells (MSCs): candidates for
improved MSC-directed myocardial repair.
AB - The regenerative and reparative potential of mesenchymal stem cells (MSCs) make
them attractive candidates for numerous cell-directed therapies. The variant
degree of tissue repair by transplanted MSCs has been assessed in several
published reports. There are many gaps in the knowledge of MSC biology and the
underlying reasons for their disparate effectiveness in tissue repair. This
review examines successful preclinical models of MSC-directed repair,
particularly of myocardial repair, in an attempt to shed light into the events
dictating MSC therapeutic efficacy. The reparative advantage of genetically
altered MSCs will be described. This overview will elucidate possible molecular
mechanisms that can influence MSC engraftment, differentiation, self-renewal, and
ultimately increase wound repair.
PMID- 22080677
TI - Acupuncture in the treatment of chronic pelvic pain secondary to pelvic
inflammatory disease.
PMID- 22080678
TI - Pediatric education programs.
PMID- 22080679
TI - How should pediatricians in India address behavior patterns associated with
childhood obesity?
PMID- 22080680
TI - Recombinant macrophage targeted enzyme replacement therapy for Gaucher disease in
India.
AB - OBJECTIVE: Gaucher disease in India has been reported only in a few case reports
from India. The aim of the study was to assess the response to enzyme replacement
therapy in Indian patients with Gaucher disease. DESIGN: Retrospective analysis
of patients receiving CHO-derived recombinant macrophage-targetted
glucocorebrosidase. SETTING: Five centers from India with experience in treating
lysosomal storage disorders. PATIENTS: The diagnosis of Gaucher disease was
confirmed by low glucocerebrosidase levels, though it was first made on
splenectomy in 8 and on bone marrow examination in 9 patients. Twenty five of 52
patients diagnosed with Gaucher disease (17 Type I, 8 mild Type III) received
treatment for >6 months. Indications for treatment included symptomatic anemia,
thrombo-cytopenia, organomegaly, bone disease or mild neurological symptoms
leading to impairment of quality of life. Patients with significant neurological
involvement were excluded. The drug infusions were given intravenously every 15
days. MAIN OUTCOME MEASURES: Hemoglobin, platelet counts, liver and spleen
volumes and growth parameters. RESULTS: 22 of the 25 children who survived were
analyzed. After 6 months of treatment, the mean (range) increase in hemoglobin
was 1.5 (-3.4 to 6.1) g/dL (P=0.01) and in platelet count was 32 x 10(9)/L (-98.5
x 109 to 145.5 x10(9))/L (P=0.02). The mean (range) increase in weight was 3 kg (
5.6 to 10.5) (P=0.04) and in height was 7.1 cm (0 to 26.5) (P=0.0003). Liver size
decreased by a mean (range) of 38.5% (- 5.5 to 86.7) (P=0.0003) and the spleen
size by 34.8% (0 to 91.7) (P=0.004). All patients had improvement in bone pains
and in 2 patients, neurological symptoms improved with others remaining static.
CONCLUSIONS: This is the first reported cohort of patients in India reporting our
experience with imiglucerase enzyme replacement therapy for treatment of Gaucher
Disease in India.
PMID- 22080681
TI - Gauchers disease presenting with portal hypertension.
AB - Gauchers disease is a rare lysosomal storage disorder characterized by abnormal
accumulation of lipid-laden macrophages in different organs. Though
hepatosplenomegaly is commonly found, symptomatic presentation with portal
hypertension is rare. We report a child with liver cirrhosis and bleeding
esophageal varices who was diagnosed with Gaucher's disease.
PMID- 22080682
TI - Alveolar capillary dysplasia with anorectal anomaly.
AB - Alveolar capillary dysplasia (ACD) is an uncommon cause of irreversible
persistent pulmonary hypertension in full-term newborn. In ACD there is a failure
of formation of air - blood barrier in addition to misalignment of pulmonary
veins. The etiology of the disease is still not understood. We present a case
report of a full-term newborn with ACD associated with anorectal anomaly.
PMID- 22080683
TI - Lipoprotein lipase deficiency in an infant.
AB - Patients with isolated hypertriglyceridemia usually present with recurrent
abdominal pain, pancreatitis, eruptive xanthomas, lipemia retinalis and
hepatosplenomegaly. We describe the diagnosis and treatment of an infant with
severe hypertriglyceridemia. The child was found to be heterozygous for two novel
mutations in the lipoprotein lipase gene.
PMID- 22080684
TI - Transfusion related acute lung injury with intravenous immunoglobulin.
AB - This case report describes transfusion related acute lung injury with the use of
intravenous immunoglobulin in a child with Guillain barre syndrome.
PMID- 22080685
TI - Kawasaki disease in association with urinary tract infection.
AB - We report a 2-month-old infant with E. coli urinary tract infection, who did not
respond to antibiotic therapy. She later developed clinical features fulfilling
criteria of Kawasaki disease (KD), and was treated with intravenous
immunolglobulin and aspirin. KD should be considered in the differential
diagnosis in patients who present with infection and do not respond to antibiotic
therapy.
PMID- 22080686
TI - Prescribing practices of doctors in management of acute diarrhea.
AB - We conducted this study to determine the prescribing practices of doctors in
management of acute diarrhea in children in the age group of 6 month -5 year.
Antimotility agents and low/zero lactose formula was prescibed in 9.8% and 24.7%
cases, respectively by general practitioners. In about 66.6% and 5.7% cases
pre/probiotics were prescribed and oral rehydration salt (ORS) were not
prescribed by the pediatricians.
PMID- 22080687
TI - Trend of antibiotic resistance in children with first acute pyelonephritis.
AB - There have been many recent reports of increasing antimicrobial resistance among
uropathogens. In this study, we reviewed medical records of children (<18 yr age)
with first acute pyelonephritis admitted to our Institution between January 2005
to December 2009. 411 children (189 girls) were studied and increasing trend in
bacterial resistance toward co-trimoxazole, 2nd and 3rd generation cephalosporins
and gentamicin were observed.
PMID- 22080688
TI - Trends of childhood vasculitides in eastern India.
AB - A prospective follow up for 7 years (2004-2010) revealed 10.2% children (n=158)
had vasculitis among all rheumatological cases (n=1544). Henoch-Schonlein Purpura
(HSP) (56.9%) and Kawasaki disease (KD) (24%) were major groups.
PMID- 22080689
TI - Adiponectin and pro-inflammatory cytokines in obese diabetic boys.
AB - Adiponectin serum levels were significantly lower in obese diabetic than in non
obese healthy boys (P <0.001). Circulating soluble E-selectin levels was
significantly higher in obese diabetic boys than the healthy non-obese (P <0.01).
There were significant inverse correlations between adiponectin and sE-selectin,
hsCRP, IL-1b, and MCP-1 and positively with NOx. We conclude that sE-selectin and
MCP-1 may represent a link between obesity and related co-morbidities in children
and adults.
PMID- 22080690
TI - Gram stain as a predictor of urinary infections in children under 2 years.
PMID- 22080691
TI - Fate of award winning papers at annual conference of Indian Academy of
Pediatrics: a 13 years experience.
AB - The present study was conducted to determine the rate of publication of research
papers winning awards at the annual pediatric conference of Indian Academy of
Pediatrics. Secondary objective was to identify the factors facilitating their
publication, if any. Overall, 75 papers were awarded between 1995 and 2007; of
these, 28 (37%) were subsequently published till January 2011. Papers originating
from North India, medical colleges, and those with an experimental design had
higher chances of subsequent publication.
PMID- 22080692
TI - Childhood acute lymphoblastic leukemia: need of a national population based
registry.
PMID- 22080693
TI - Pediatric BLS updates 2010.
PMID- 22080694
TI - Efficacy and safety of azithromycin for typhoid fever.
PMID- 22080695
TI - Hyperglycemia in the PICU: tread with caution.
PMID- 22080696
TI - Pachyonychia congenita affecting only nails.
PMID- 22080697
TI - HIV management returning to primary care providers.
PMID- 22080698
TI - Improving drug labeling and counseling for limited English proficient adults.
AB - Language barriers between patients and providers adversely affect quality of care
and a patient's ability to obtain, understand, and act on health information.
Health care organizations are mandated by law to provide language concordant
services. While health systems have taken steps to promote language access,
minimal effort has been made to improve pharmacy practice. This is problematic,
as patient misunderstanding of prescription drug instructions is a root cause of
many adverse drug events occurring annually in outpatient settings. Enhanced,
language concordant prescription labeling and counseling is needed to promote
safe use of prescription medications among limited English proficient patients.
PMID- 22080699
TI - Improving patient provider communication for Latinos at Temple University
Hospital and Temple University School of Medicine.
AB - This Report from the Field documents a series of interventions developed by
Temple University Health System and School of Medicine through participation in
the RWJF initiative entitled Hablamos Juntos. The report delineates outcomes to
date demonstrating that these interventions have met the challenge of improving
patient provider communication for Latinos.
PMID- 22080700
TI - Medical home disparities for Latino children by parental language of interview.
AB - Examination of Latino children in aggregate ignores important subgroup
differences due to the parents' English language ability. Previous reports of the
pediatric medical home have not stratified Latino children by parental language
differences to compare the two groups directly. We analyzed the 2007 National
Survey of Children's Health to determine medical home prevalence among Latino
children, stratified by language of parental interview. Most Latino children with
a Spanish-language parental interview had a usual source of care, but only one
quarter had a medical home. Striking medical home disparities persisted for
Latino children with a Spanish-language interview, even after adjustment for
potential confounders. Lack of a medical home was associated with disparities in
the quality of care, more so than access disparities. Addressing health care
disparities for Latino children requires particular attention to the unique needs
of Latino children with parents who may experience language barriers during
health care encounters.
PMID- 22080701
TI - Quality of reproductive health services to limited English proficient (LEP)
patients.
AB - BACKGROUND: Medical patients with limited English proficiency (LEP) frequently
receive health care services of suboptimal quality. METHODS: We explored whether
clients served with staff interpreters (language-discordant, LDI) receive
reproductive health care of lower quality than clients seen by a bilingual
clinician (language concordant, LC). We conducted a medical record review of
1,589 reproductive health visits of female and male LEP clients. RESULTS:
Multivariate analyses showed that LDI visits were significantly less likely than
LC visits to contain documentation of the provision of education and counseling
services and less likely to have documentation of sexually transmitted infection
(STI) risk assessment among new female clients. Female clients in LDI and LC
visits were equally likely to be tested for Chlamydia. CONCLUSIONS: Quality
improvement activities should target family planning providers who must use
interpreters when serving LEP clients. Medical charts should document the use of
interpreters and bilingual clinicians to monitor quality of care.
PMID- 22080702
TI - Health Professional Shortage Areas, insurance status, and cardiovascular disease
prevention in the Reasons for Geographic and Racial Differences in Stroke
(REGARDS) Study.
AB - Individuals with cardiovascular disease (CVD) living in Health Professional
Shortage Areas (HPSA) may receive less preventive care than others. The Reasons
for Geographic And Racial Differences in Stroke Study (REGARDS) surveyed 30,239
African American (AA) and White individuals older than 45 years of age between
2003-2007. We compared medication use for CVD prevention by HPSA and insurance
status, adjusting for sociodemographic factors, health behaviors, and health
status. Individuals residing in partial HPSA counties were excluded. Mean age was
64+/-9 years, 42% were AA, 55% were women, and 93% had health insurance; 2,545
resided in 340 complete HPSA counties and 17,427 in 1,145 non-HPSA counties.
Aspirin, beta-blocker, and ACE-inhibitor use were similar by HPSA and insurance
status. Compared with insured individuals living in non-HPSA counties, statin use
was lower among uninsured participants living in non-HPSA and HPSA counties. Less
medication use for CVD prevention was not associated with HPSA status, but less
statin use was associated with lack of insurance.
PMID- 22080703
TI - Predictors of body mass index among low-income community-dwelling older adults.
AB - This study investigated demographic, behavioral, and functional predictors of
overweight and obesity, using secondary data from 705 community-dwelling
individuals aged 65 years and older receiving or seeking Medicaid personal care
services. Half of the participants were obese, while an additional 28% were
overweight. The relationships between body mass index (BMI) levels and selected
independent variables were analyzed. Females were more likely to be obese, while
those who were older (75 years or older), more cognitively impaired, and smoked
were less likely to obese. Comparing obesity with being overweight, being female
and reporting more pain symptoms increased the odds of being obese, whereas being
older (75 years or older) and being more cognitively impaired decreased the odds.
The especially high rates of obesity in Texas have a profound impact on personal
health and may result in increased health care costs that threaten public
programs as well.
PMID- 22080704
TI - A multilevel assessment of barriers to adoption of Dietary Approaches to Stop
Hypertension (DASH) among African Americans of low socioeconomic status.
AB - BACKGROUND: We examined perceptions of Dietary Approaches to Stop Hypertension
(DASH) and the food environment among African Americans (AA) with high blood
pressure living in two low-income communities and objectively assessed local food
outlets. METHODS: Focus groups were conducted with 30 AAs; participants discussed
DASH and the availability of healthy foods in their community. Sessions were
transcribed and themes identified. Fifty-four stores and 114 restaurants were
assessed using the Nutrition Environment Measures Survey (NEMS). RESULTS: Common
themes included poor availability, quality, and cost of healthy foods; tension
between following DASH and feeding other family members; and lack of congruity
between their preferred foods and DASH. Food outlets in majority AA census tracts
had lower NEMS scores (stores: -11.7, p=.01, restaurants: -8.3, p=.001) compared
with majority White areas. CONCLUSIONS: Interventions promoting DASH among lower
income AAs should reflect the food customs, economic concerns, and food available
in communities.
PMID- 22080705
TI - Adults with diagnosed and untreated diabetes: who are they? How can we reach
them?
AB - Untreated or undertreated diabetes can cause debilitating complications such as
blindness and amputations. Information about the factors associated with
diagnosed but untreated diabetes may help target efforts to promote appropriate
treatment. Using the Medical Expenditure Panel Survey, we examine: (1) use of
insulin or oral medications, (2) use of diet only, and (3) no treatment. We
analyze covariates of this trichotomous outcome using multinomial logit
regression. Among adults diagnosed with diabetes, 87.0% used oral medications or
insulin, 10.6% used diet only, and 2.4% were untreated. Lacking a usual source of
care, poor mental health, being single, and being an Asian/Pacific Islander are
associated with lack of treatment. Better health, lacking a usual source of care,
and attitudes against medical care are associated with using diet only. Adults
with diagnosed but untreated or undertreated diabetes may be difficult for
service providers to reach, and multiple strategies are needed to initiate
treatment.
PMID- 22080706
TI - Diabetes and psychological profile of younger rural African American women with
type 2 diabetes.
AB - PURPOSE: To describe diabetes self-care behaviors, diabetes-related distress,
depressive symptoms, and diabetes-related needs among rural African American
women with type 2 diabetes ages 21-50. METHODS: A cross-sectional survey,
including questionnaires and a single, open-ended question, was used to assess
constructs of interest. FINDINGS: Taking medication was the most frequently
reported (5.5 days/week) self-care activity and exercise the least (3.0
days/week). Nearly half (44%) reported worrying about diabetes complications.
Approximately one-third (31%) felt guilty about inconsistent self-care or fearful
about living with diabetes. Seventy percent had a depression score suggestive of
significant depressive symptomatology. Most diabetes-related concerns were about
diet (34%) (i.e., what to eat), exercise (30%), taking medications (10%), and
finances (8%). CONCLUSIONS: Future research should explore specific diabetes self
care barriers/enablers and interventions should provide women with diabetes
education, barrier management, and psychological support. Innovative delivery
strategies are needed to provide this support in resource-limited rural
communities.
PMID- 22080707
TI - Utility of a point-of-care device in recruiting ethnic minorities for diabetes
research with community partners.
AB - BACKGROUND: Recruitment of hard-to-reach ethnic minorities such as Korean
Americans (KAs) requires substantial time, cost, and strategic effort. A point-of
care (POC) A1c test could facilitate the recruitment of such populations for
diabetes research in community settings. METHODS: A two-step approach for
participant screening was employed: Potential participants were first screened
using the POC A1c test at a community location. Only those with POC A1c levels
>=7.5% were referred for a confirmatory lab test within two weeks. RESULTS: In
total, 237 KAs were screened using the POC A1c test; 92 were referred for
confirmatory testing and 83 who got the laboratory A1c measurement were confirmed
eligible (A1c >=7.5%). There was a strong positive correlation between the POC
and reference laboratory measurements (rho=0.83, p<=.001). CONCLUSION: Using a
POC A1c method as a front-line screening test can facilitate the recruitment of
KAs with type 2 diabetes, while saving cost, time, and effort.
PMID- 22080708
TI - Self-reported asthma among American Indian and Alaska Native people in Alaska.
AB - This study describes the lifetime prevalence of self-reported asthma among
American Indian and Alaska Native (AI/AN) people who participated in the
Education and Research Towards Health (EARTH) study in Alaska. We conducted a
cross-sectional analysis of asthma prevalence by sex and its associations with
sociodemographic, health, and environmental factors. Among 3,828 AI/AN adults, we
found a higher age-sex adjusted prevalence of asthma (15.4%) than is found in the
general U.S. adult (11.0%) population based on the 2006 National Health Interview
Survey. After multivariable analysis, self-reported asthma among men was
associated with increased age, unemployment, lower income, and obesity. Among
women, self-reported asthma was associated with increased age, being
divorced/separated, living in Alaska's southcentral region, self-reported
fair/poor health status, obesity, and indoor mold. Our data suggest that AI/AN
adults have higher prevalence of lifetime asthma than the general U.S.
population. Further study is necessary to understand asthma in this population.
PMID- 22080709
TI - The association between housing instability, food insecurity, and diabetes self
efficacy in low-income adults.
AB - Limited data exist on whether structural factors associated with poverty such as
inadequate housing and food insecurity affect diabetes care. In a sample of low
income participants with diabetes (N=711), we sought to determine if housing
instability was associated with lower diabetes self-efficacy, and whether this
relationship was mediated by food insecurity. We ordered housing from most to
least stable. We observed a linear decrease in diabetes self-efficacy as housing
instability increased (p<.01). After adjusting for age, sex, race/ethnicity, and
alcohol or substance use, adults lacking a usual place to stay had lower self
efficacy than those who owned their own home (beta-coefficient -0.94, 95% CI
1.88, -0.01). Food insecurity mediated the association between housing
instability and diabetes self-efficacy (beta-coefficient -0.64, 95% CI -1.57,
0.31). Our findings suggest that inadequate access to food lowers self-efficacy
among adults with diabetes, and supports provision of food to unstably housed
adults as part of diabetes care.
PMID- 22080710
TI - Addressing depression and accumulated trauma in urban primary care: challenges
and opportunities.
AB - This paper explores the presentation of severe depression among patients with
accumulated trauma in the context of an urban community health center (primary
care clinic) in California, as well as opportunities to address this phenomenon
in light of diminished community-based mental health services.
PMID- 22080711
TI - Specialty care referral patterns for the underserved: a study of community health
centers on the South Side of Chicago.
AB - Little is known about the primary-specialty care interface for underserved
patients. In order better to understand inter-physician communication patterns in
urban community health centers (CHCs), we conducted a retrospective chart review
of specialty care referrals for patients from four South Side Chicago CHCs. Of
the 406 identified referrals, 74% (n=301) were made from CHCs that employed
referral coordinators and 64% (n=258) were made to affiliated specialists. Chart
documentation of whether or not the patient attended the referred specialty visit
was present for 43% (n=176) of referrals, and communication from the specialist
to the referring clinician was present for 31% (n=127) of referrals. Employing
CHC referral coordinators was positively associated with documented specialty
clinical communication (odds ratio [OR] 1.8, 95% confidence interval [CI] 1.1
3.2). Use of referral coordinators to facilitate care and integrating delivery
systems to increase information sharing appear to improve care coordination, but
further investigation is warranted.
PMID- 22080712
TI - Stress and the social determinants of maternal health among Puerto Rican women: a
CBPR approach.
AB - This qualitative research project explores how poverty, the built environment,
education, working conditions, health care access, food insecurity and perceived
discrimination are experienced by Puerto Rican Latinas through the course of
their lives. Five focus groups were conducted with the primary objective of
documenting community experiences and perspectives regarding: 1) stress,
including perceived discrimination based on race/ethnicity (racism); 2) the
impact of stress on Puerto Rican women of reproductive age, their families,
and/or their community; and 3) stressors that affect maternal health. Focus
groups were conducted in English and Spanish in the two cities with the highest
rates of premature birth and low infant birthweight in the state of Connecticut.
Focus group findings indicate that participants perceived poverty, food
insecurity, lack of access to quality education, and unsafe environments as
significant life stressors affecting maternal and child health.
PMID- 22080713
TI - A pre-post survey analysis of satisfaction with health care and medical mistrust
after patient navigation for American Indian cancer patients.
AB - PURPOSE: To assess the impact of patient navigation (PN) on satisfaction with
health care and medical mistrust among American Indians (AI) undergoing cancer
treatment. METHODS: This was a pre-post cohort survey study of 52 AI cancer
patients who participated in a culturally-tailored PN program during their cancer
treatment. Surveys were administered prior to and after cancer treatment
assessing medical mistrust and satisfaction with health care using two Likert
type scales. RESULTS: Participation refusal rate was 7%. Mean scale scores for
satisfaction with health care were significantly improved after PN compared with
pre-navigation (p<.0001; Wilcoxon signed-rank test). There was no significant
difference in the mean scale scores for medical mistrust after PN compared with
those observed prior to treatment (p=.13). CONCLUSIONS: American Indian cancer
patients who received PN services during their cancer treatment showed
improvement in levels of satisfaction with health. However, no improvements were
observed in levels of medical mistrust.
PMID- 22080714
TI - Psychosocial risk screening during pregnancy: additional risks identified during
a second interview.
AB - The Prenatal Risk Overview (PRO) screens for 13 psychosocial risk factors
associated with poor birth outcomes. This study assessed the extent to which risk
factors unreported during an intake interview were identified during a subsequent
interview. A total of 708 pregnant women were screened and re-screened at three
urban community health care centers between July 2007 and April 2010. Study
participants were predominantly young (mean age 23.5 years), unmarried (75.1%)
women of color (92.5%); 38.4% were foreign-born. The proportional increase in
participants identified as being at risk for individual domains at the second
interview ranged from 5.6% to 49.0% for the combined Moderate/High Risk
classification and from 5.6% to 73.0% for the High Risk only classification. For
women whose health and well-being are challenged by poverty, violence, social
isolation, and other stressors, both initial screening and repeat screening offer
opportunities to alleviate identified risks.
PMID- 22080715
TI - Expert communication training for providers in community health centers.
AB - BACKGROUND: Community health center (CHC) clinicians describe significant
challenges in delivering care for populations with complex health needs.
INTERVENTION: A three-workshop series was presented to 102 providers working in
CHCs. Training focused on four areas identified through online needs assessment:
challenging interactions; patient perspective; health literacy; and motivational
interviewing. EVALUATION METHODS: A retrospective pre-post evaluation measured
self-perceived change in content knowledge in all four areas. Participants
documented commitments to change behaviors across workshops, which were analyzed
for recurring themes. RESULTS: Paired t-tests documented improvement in all four
content areas. Content analysis of commitments yielded four themes: empowering
patients, structuring care, understanding patients, and reflecting purposefully.
Of the sixty-eight percent of participants responding to post-workshop queries
about their commitments (n=70), 94% report having fully implemented changes in
practice behavior or planning to do so. CONCLUSIONS: Providers at CHCs benefit
from opportunities to learn and reflect together about communication challenges
in practice.
PMID- 22080716
TI - Patients' reports about medical doctors' inquiries on their mental health: do
generational status, ethnicity and mental health/substance use disorders matter?
AB - Immigrants are less likely than others to use mental health (MH) services.
Physicians' limited time often precludes inquiry about MH. This study
investigated the influence of generational status, ethnicity, and
mental/substance use disorders on physicians' inquiries about Asian American (AA)
MH. Data from the National Latino and Asian American Study were analyzed
(n=1,853). The outcome was past year physician's inquiry regarding MH. Results
revealed that AA with U.S.-born parents had significantly greater odds compared
to AA born outside the U.S. to report that their doctors inquired about their MH
(OR=218, 95% CI: 1.28, 3.73). Past year mental/substance use disorder increased
the odds of AA reporting that their doctors inquired about their MH (OR=8.41; 95%
CI: 3.28, 21.66). This increase differed by ethnicity, with Chinese less affected
than Vietnamese (OR=0.17; 95% CI: 0.05, 0.59). The reasons for these associations
warrant further exploration.
PMID- 22080717
TI - Perceptions of coercion, discrimination and other negative experiences in
postpartum contraceptive counseling for low-income minority women.
AB - BACKGROUND: Using in-depth qualitative methods, we investigated negative
contraception counseling experiences, including those felt to be coercive or
discriminatory, in a population of postpartum urban minority women. METHODS:
Brief surveys and semi-structured interviews were conducted with 30 consenting
postpartum women who had received care at a Medicaid-funded obstetrics clinic. In
person one-on-one interviews were then reviewed for themes using an iterative
process of qualitative analysis. RESULTS: In this sample of African American
(63%) and Hispanic (37%) women (median age 26), 73% had unplanned pregnancies.
Features of negative counseling experiences included having insufficient, non
physician-directed and impersonal counseling. Most women had experienced episodes
of poor communication with providers; 10 described feeling coerced or perceiving
racially-based discrimination in counseling. CONCLUSIONS: Negative experiences
with contraceptive counseling may affect contraception utilization. Contraceptive
education should respect each individual's autonomy, culture, and values.
PMID- 22080718
TI - Closing the implementation gap in services for children affected by HIV/AIDS:
from assisting orphans and vulnerable children (OVC) to providing long-term
opportunities for economic growth.
AB - While delivering innovative care for over 17 million children living with and
affected by HIV/AIDS is a priority for today's global health community, most of
these children's health needs remain unmet. Concerns about funding,
implementation, and transparency continue to obstruct quality care for all. This
paper discusses why services supported by macro-level funding, local initiatives,
innovative financing, and enhanced long-term development strategies, are
imperative. Concurrent advocacy and preventive measures, such as universal access
to education, can sustain this investment in human capital. Such efforts may
enhance economic growth, expand local capacity, and improve the quality of life
in communities currently burdened by the HIV epidemic.
PMID- 22080719
TI - Fee-for-service and managed care for seniors and people with disabilities on
Medicaid: implications for the managed care mandate in California.
AB - OBJECTIVE: To assess differences in perceived quality of care between fee-for
service (FFS) and managed care Medicaid (MMC) by seniors and persons with
disabilities (SPD) and to generate hypotheses for future evaluations of the new
managed care mandate for SPD in California. METHODS: A cross-sectional telephone
survey of 403 SPD Medicaid beneficiaries comparing perceived access to,
satisfaction with, and quality of care between beneficiaries who had voluntarily
enrolled in MMC with those who had remained in FFS. RESULTS: Beneficiaries in MMC
were more likely to be "very satisfied" with their benefits than those in FFS.
There was no significant difference on any measure of access to care. Most
beneficiaries in MMC reported their access to and quality of health care was
either the same or better than it had been in FFS. CONCLUSION: On most measures,
MMC was rated either the same or better than FFS by SPD beneficiaries who
voluntarily enrolled in MMC.
PMID- 22080720
TI - The effect of class size in grades K-3 on adult earnings, employment, and
disability status: evidence from a multi-center randomized controlled trial.
AB - BACKGROUND: Early education interventions have been forwarded as a means for
reducing social disparities in income and health in adulthood. We explore whether
a successful early education intervention, which occurred between 1985 and 1989,
improved the employment rates, earnings and health of blacks relative to whites
through 2008. METHODS: We used data from Project STAR (Student Teacher
Achievement Ratio), a four-year multi-center randomized controlled trial of
reduced class sizes in Tennessee involving 11,601 students. Students were
initially randomized within 79 schools to classes with 22-25 or 13-17 students.
We linked subject records to Social Security Administration (SSA) earnings and
disability data collected between 1997 and 2008-when the majority of subjects
were between the ages of 18 and 28. We focused our analysis on annual, rather
than cumulative, measures of earnings and employment because educational
attainment after high school might reduce earnings through age 23. We considered
three or more years of statistically significant positive (or negative) annual
impacts to be a meaningful effect. RESULTS: Project STAR improved cognition and
high school graduation rates. These benefits were primarily realized among low
income and minority students. These early education benefits did not translate
into reduced disability claims in adulthood for treated subjects. However,
exposure to small class size increased employment for blacks, and increased
earnings for black males (p<0.05). Exposure to small classes also led to an
increase in earnings for white males. However, white females exposed to small
classes experienced a net decline in earnings and employment across the later
years of follow up (p<0.05), offsetting any gains by white males. CONCLUSIONS:
Exposure to small class size in grades K-3 appears to improve earnings and
employment for black males and earnings for white males, while reducing
employment and earnings among white females.
PMID- 22080722
TI - Pressure-induced phase transition(s) in KMnF3 and the importance of the excess
volume for phase transitions in perovskite structures.
AB - We report a pressure-dependent investigation of KMnF(3) by x-ray diffraction up
to 30 GPa. The results are discussed in the framework of Landau theory and in
relation to the isostructural phase transition in SrTiO(3). The phase transition
temperature near 186 K in KMnF(3) shifts to room temperature at a critical
pressure of P(c) = 3.4 GPa; the pressure dependence of the transition point
follows DeltaP(c)/DeltaT(c) = 0.0315 GPa K(-1). The transition becomes second
order under high pressure, close to the tricritical point. The phase transition
is determined by the rotation of MnF(6) octahedra with their simultaneous
expansion along the rotation axis. The rotation angle was found to increase to
10.5 degrees at 24 GPa. An additional anomaly was observed at higher pressure
around 25 GPa, suggesting a further phase transition.
PMID- 22080723
TI - "Every case of asphyxia can be used as a learning example". Conclusions from an
analysis of substandard obstetrical care.
AB - AIM: To propose suggestions for improvements in care based on conclusions from
studies on low Apgar scores and substandard care during labor. SETTING AND
PATIENTS: Studies on infants with low Apgar scores in a general obstetric
population 2004-2006 and claims for financial compensation on the behalf of
infants, based on the suspicion that substandard care in conjunction with
childbirth has caused severe asphyxia or neonatal death in Sweden 1990-2005.
RESULTS: The most common flaws were related to insufficient fetal surveillance,
defective interpretation of cardiotocography (CTG) tracings, not acting in a
timely fashion on abnormal CTG, and the incautious use of oxytocin. Besides, in
half of the infants a suboptimal mode of delivery added further trauma to the
already asphyxiated infant. Additionally, resuscitation was unsatisfactory in
many of these infants. The most critical flaw was defective compliance with the
guidelines concerning ventilation and the early paging of skilled personnel in
cases of imminent asphyxia or known complications during labor. In many case
reports, the documentation of the neonatal resuscitation was insufficient to
enable accurate and reliable evaluation. CONCLUSIONS: Examples of proposed
improvements in care during labor are the introduction of a permanent educational
atmosphere with aside time for daily educational rounds and discussion,
cooperation around the use of standardized terminology in CTG interpretation, the
cautious use of oxytocin, and the routine paging of a pediatrician before birth
in cases of complicated delivery or imminent asphyxia. The proposed interventions
need to be evaluated in clinical trials in the future.
PMID- 22080724
TI - Nonprescription steroids on the Internet.
AB - This study evaluated the degree to which anabolic-androgenic steroids are
proffered for sale over the Internet and how they are characterized on popular
Web sites. Searches for specific steroid product labels (e.g., Dianabol) between
March 2006 and June 2006 revealed that approximately half of the Web sites
advocated their "safe" use, and roughly one third offered to sell them without
prescriptions. The Web sites frequently presented misinformation about steroids
and minimized their dangers. Less than 5% of the Web sites presented accurate
health risk information about steroids or provided information to abusers seeking
to discontinue their steroid use. Implications for education, prevention,
treatment, and policy are discussed.
PMID- 22080725
TI - Subtypes of alcohol dependence and their effect on sexual behavior change.
AB - This study utilized data from a National Institute on Drug Abuse and National
Institute on Alcohol Abuse and Alcoholism funded community-based HIV prevention
program in the Midwest in 2000. We categorized women who met lifetime criteria
for alcohol dependence (using the DIS) and who also had used cocaine (n = 324)
into four alcohol typologies based on onset of regular drinking and the length of
time to dependence. The Risk Behavior Assessment measured sex behaviors, combined
into a risk index, before and after the program. Generalized linear modeling
compared decreases over time. Women who began drinking regularly later and became
dependent more slowly significantly decreased risky sex behaviors. Tailored
prevention protocols may more effectively decrease HIV risk.
PMID- 22080726
TI - Forster resonance energy transfer-based biosensors for multiparameter ratiometric
imaging of Ca2+ dynamics and caspase-3 activity in single cells.
AB - As one of the principal cytoplasmic second messengers, the calcium ion (Ca(2+))
is central to a variety of intracellular signal transduction pathways.
Accordingly, there is a sustained interest in methods for spatially- and
temporally resolved imaging of the concentration of Ca(2+) in live cells using
noninvasive methods such as genetically encoded biosensors based on Forster
resonance energy transfer (FRET) between fluorescent proteins (FPs). In recent
years, protein-engineering efforts have provided the research community with FRET
based Ca(2+) biosensors that are dramatically improved in terms of enhanced
emission ratio change and optimized Ca(2+) affinity for various applications. We
now report the development and systematic optimization of a pair of spectrally
distinct FRET-based biosensors that enable the simultaneous imaging of Ca(2+) in
two compartments of a single cell without substantial spectral crosstalk between
emission channels. Furthermore, we demonstrate that these new biosensors can be
used in conjunction with previously reported caspase-3 substrates based on the
same set of FRET pairs.
PMID- 22080727
TI - Electronic structure, optical properties and lattice dynamics of MgSO3.6H2O.
AB - The electronic band structure, optical properties and lattice vibrations of
MgSO(3).6H(2)O were studied within density functional theory and compared to the
experimental optical data and polarized Raman spectra. Due to the 'molecular'
nature of the MgSO(3).6H(2)O crystal all Gamma-point phonon modes could be
separated into groups belonging to specific structural blocks: Mg(H(2)O)(6)
octahedra, SO(3) units and H(2)O molecules. All Raman lines in the experimental
spectra are assigned to definite vibrations of the structure and reasonable
agreement is found between theoretical and experimental mode frequencies. The
temperature-dependent Raman spectra reveal at 60 degrees C a sharp transition
from MgSO(3).6H(2)O to anhydrous amorphous MgSO(3) without the noticeable
presence of intermediate lower hydrates, such as MgSO(3).3H(2)O.
PMID- 22080728
TI - Hydroxylamine reduction to ammonium by plant and cyanobacterial hemoglobins.
AB - Plants often face hypoxic stress as a result of flooding and waterlogged soils.
During these periods, they must continue ATP production and nitrogen metabolism
if they are to survive. The normal pathway of reductive nitrogen assimilation in
non-legumes, nitrate, and nitrite reductase can be inhibited during low oxygen
conditions that are associated with the buildup of toxic metabolites such as
nitrite and nitric oxide, so the plant must also have a means of detoxifying
these molecules. Compared to animal hemoglobins, plant and cyanobacterial
hemoglobins are adept at reducing nitrite to nitric oxide under anaerobic
conditions. Here we test their abilities to reduce hydroxylamine, a proposed
intermediate of nitrite reductase, under anaerobic conditions. We find that class
1 rice nonsymbiotic hemoglobin (rice nsHb1) and the hemoglobin from the
cyanobacterium Synechocystis (SynHb) catalyze the reduction of hydroxylamine to
ammonium at rates 100-2500 times faster than animal hemoglobins including
myoglobin, neuroglobin, cytoglobin, and blood cell hemoglobin. These results
support the hypothesis that plant and cyanobacterial hemoglobins contribute to
anaerobic nitrogen metabolism in support of anaerobic respiration and survival
during hypoxia.
PMID- 22080729
TI - Clock gene expression levels and relationship with clinical and pathological
features in colorectal cancer patients.
AB - The clock gene machinery controls cellular metabolism, proliferation, and key
functions, such as DNA damage recognition and repair. Dysfunction of the
circadian clock is involved in tumorigenesis, and altered expression of some
clock genes has been found in cancer patients. The aim of this study was to
evaluate the expression levels of core clock genes in colorectal cancer (CRC).
Quantitative real-time polymerase chain reaction (qPCR) was used to examine
ARNTL1, CLOCK, PER1, PER2, PER3, CRY1, CRY2, Timeless (TIM), TIPIN, and CSNK1?
expression levels in the tumor tissue and matched apparently healthy mucosa of
CRC patients. In the tumor tissue of CRC patients, compared to their matched
healthy mucosa, expression levels of ARNTL1 (p=.002), PER1 (p=.002), PER2
(p=.011), PER3 (p=.003), and CRY2 (p=.012) were lower, whereas the expression
level of TIM (p=.044) was higher. No significant difference was observed in the
expression levels of CLOCK (p=.778), CRY1 (p=.600), CSNK1 (p=.903), and TIPIN
(p=.136). As to the clinical and pathological features, a significant association
was found between low CRY1 expression levels in tumor mucosa and age (p=.026),
and female sex (p=.005), whereas high CRY1 expression levels in tumor mucosa were
associated with cancer location in the distal colon (p?=?.015). Moreover, high
TIM mRNA levels in the tumor mucosa were prevalent whenever proximal lymph nodes
were involved (p= .013) and associated with TNM stages III-IV (p=.005) and
microsatellite instability (p=.015). Significantly poorer survival rates were
evidenced for CRC patients with lower expression in the tumor tissue of PER1
(p=.010), PER3 (p= .010), and CSNKIE (p=.024). In conclusion, abnormal expression
levels of core clock genes in CRC tissue may be related to the process of
tumorigenesis and exert an influence on host/tumor interactions.
PMID- 22080730
TI - Epigenetic impact of long-term shiftwork: pilot evidence from circadian genes and
whole-genome methylation analysis.
AB - Epigenetic association studies have demonstrated differential promoter
methylation in the core circadian genes in breast cancer cases relative to cancer
free controls. The current pilot study aims to investigate whether epigenetic
changes affecting breast cancer risk could be caused by circadian disruption
through exposure to light at night. Archived DNA samples extracted from whole
blood of 117 female subjects from a prospective cohort conducted in Denmark were
included in this study. A polymerase chain reaction (PCR)-based method was used
for detection of gene-promoter methylation, whereas genome-wide methylation
analysis was performed using the Illumina Infinium Methylation Chip. Long-term
shiftwork resulted in the same promoter hypomethylation of CLOCK and
hypermethylation of CRY2, as was previously observed in breast cancer case
control studies. Genome-wide methylation analysis further discovered widespread
methylation alterations in shiftworkers, including changes in many methylation-
and cancer-relevant genes. Pathway analysis of the genes with altered methylation
patterns revealed several cancer-related pathways. One of the top three networks
generated was designated as "DNA replication, recombination, and repair, gene
expression, behavior" with ESR1 (estrogen receptor alpha) featured most
prominently in the network, underscoring the potential breast cancer relevance of
the genes differentially methylated in long-term shiftworkers. These results,
although exploratory, demonstrate the first evidence of the cancer-relevant
epigenetic effects of night shiftwork, which warrant further investigation.
Considering there are millions of shiftworkers worldwide, understanding the
effects of this exposure may lead to novel strategies for cancer prevention and
new policies regulating shiftwork.
PMID- 22080731
TI - Timekeeping through social contacts: social synchronization of circadian
locomotor activity rhythm in the carpenter ant Camponotus paria.
AB - In ant colonies a large proportion of individuals remain inside nests for most of
their lives and come out only when necessary. It is not clear how, in a nest of
several thousand individuals, information about local time is communicated among
members of the colony. Central to this seem to be circadian clocks, which have an
intrinsic ability to keep track of local time by entraining to environmental
light-dark, temperature, and social cycles. Here, the authors report the results
of their study aimed at understanding the role of cyclic social interactions in
circadian timekeeping of a day-active species of carpenter ant Camponotus paria.
The authors found that daily social interactions with visitors (worker ants) was
able to synchronize the circadian locomotor activity rhythm of host worker ants
and queens, in one-on-one (pair-wise) and multi-individual (group-wise)
interactions. Interestingly, the outcome of cyclic social interactions was
context specific; when visitor workers socially interacted with host workers one
on-one, host workers considered the time of interaction as subjective day, but
when visitor workers interacted with a group of workers and queens, the hosts
considered the time of interaction as subjective night. These results can be
taken to suggest that members of the ant species C. paria keep track of local
time by socially interacting with workers (foragers) who shuttle in and out of
the colony in search of food. (Author correspondence: vsharma@jncasr.ac.in ).
PMID- 22080732
TI - The daily melatonin pattern in Djungarian hamsters depends on the circadian
phenotype.
AB - Djungarian hamsters (Phodopus sungorus) bred at the Institute of Halle reveal
three different circadian phenotypes. The wild type (WT) shows normal locomotor
activity patterns, whereas in hamsters of the DAO (delayed activity onset) type,
the activity onset is continuously delayed. Since the activity offset in those
hamsters remains coupled to "light-on," the activity time becomes compressed.
Hamsters of the AR (arrhythmic) type are episodically active throughout the 24 h.
Previous studies showed that a disturbed interaction of the circadian system with
the light-dark (LD) cycle contributes to the phenomenon observed in DAO hamsters.
To gain better insight into the underlying mechanisms, the authors investigated
the daily melatonin rhythm, as it is a reliable marker of the circadian clock.
Hamsters were kept individually under standardized laboratory conditions (LD
14:10, T=22 degrees C+/-2 degrees C, food and water ad libitum). WT, DAO (with
exactly 5 h delay of activity onset), and AR hamsters were used for pineal
melatonin and urinary 6-sulfatoxymelatonin (aMT6s) measurement. Pineal melatonin
content was determined at 3 time points: 4 h after "light-off" [D+4], 1 h before
"light-on" [L-1], and 1h after "light-on" [L+1]). The 24-h profile of melatonin
secretion was investigated by transferring the animals to metabolic cages for
27?h to collect urine at 3-h intervals for aMT6s analysis. WT hamsters showed
high pineal melatonin content during the dark time (D+4, L-1), which
significantly decreased at the beginning of the light period (L+1). In contrast,
DAO hamsters displayed low melatonin levels during the part of the dark period
when animals were still resting (D+4). At the end of the dark period (L-1),
melatonin content increased significantly and declined again when light was
switched on (L+1). AR hamsters showed low melatonin levels, comparable to daytime
values, at all 3 time points. The results were confirmed by aMT6s data. WT
hamsters showed a marked circadian pattern of aMT6s excretion. The concentration
started to increase 3?h after "light-off" and reached daytime values 5 h after
"light-on." In DAO hamsters, in contrast, aMT6s excretion started about 6?h later
and reached significantly lower levels compared to WT hamsters. In AR animals,
aMT6s excretion was low at all times. The results clearly indicate the rhythm of
melatonin secretion in DAO hamsters is delayed in accord with their delayed
activity onset, whereas AR hamsters display no melatonin rhythm at all. Since the
regulatory pathways for the rhythms of locomotor activity and melatonin synthesis
(which are downstream from the suprachiasmatic nucleus [SCN]) are different but
obviously convey the same signal, we conclude that the origin of the phenomenon
observed in DAO hamsters must be located upstream of the SCN, or in the SCN
itself.
PMID- 22080733
TI - Chronotype predicts activity patterns in the neural underpinnings of the motor
system during the day.
AB - Neuroimaging is increasingly used to study the motor system in vivo. Despite many
reports of time-of-day influences on motor function at the behavioral level,
little is known about these influences on neural motor networks and their
activations recorded in neuroimaging. Using functional magnetic resonance imaging
(fMRI), the authors studied 15 healthy subjects (9 females; mean +/- SD age: 23
+/- 3 yrs) performing a self-paced finger-tapping task at different times of day
(morning, midday, afternoon, and evening). Blood-oxygenation-level-dependent
signal showed systematic differences across the day in task-related motor areas
of the brain, specifically in the supplementary motor area, parietal cortex, and
rolandic operculum (p(corr)< .0125). The authors found that these time-of-day
dependent hemodynamic modulations are associated with chronotype and not with
homeostatic sleep pressure. These results show that consideration of time-of-day
for the analysis of fMRI studies is imperative.
PMID- 22080734
TI - Differential roles of breakfast and supper in rats of a daily three-meal schedule
upon circadian regulation and physiology.
AB - The timing of meals has been suggested to play an important role in circadian
regulation and metabolic health. Three meals a day is a well-established human
feeding habit, which in today's lifestyle may or may not be followed. The aim of
this study was to test whether the absence of breakfast or supper significantly
affects the circadian system and physiological function. The authors developed a
rat model for their daily three meals study, whereby animals were divided into
three groups (three meals, TM; no first meal, NF; no last meal, NL) all fed with
the same amount of food every day. Rats in the NF group displayed significantly
decreased levels of plasma triglyceride (TG), total cholesterol (TC), high
density lipoprotein cholesterol (HDL-C), low-density lipoprotein cholesterol (LDL
C), and glucose in the activity phase, accompanied by delayed circadian phases of
hepatic peripheral clock and downstream metabolic genes. Rats in the NL group
showed lower concentration of plasma TC, HDL-C, and glucose in the rest phase,
plus reduced adipose tissue accumulation and body weight gain. Real-time
polymerase chain reaction (PCR) analysis indicated an attenuated rhythm in the
food-entraining pathway, including down-regulated expression of the clock genes
Per2, Bmal1, and Rev-erbalpha, which may further contribute to the delayed and
decreased expression of FAS in lipogenesis in this group. Our findings are
consistent with the conclusion that the daily first meal determines the circadian
phasing of peripheral clocks, such as in the liver, whereas the daily last meal
tightly couples to lipid metabolism and adipose tissue accumulation, which
suggests differential physiological effects and function of the respective meal
timings.
PMID- 22080735
TI - Chronotype and the transition to college life.
AB - Social synchronizers of morningness-eveningness, or chronotype, begin to change
during the developmental transition from adolescence to college life. The current
study examined how these changes related to the sleep/wake patterns of 220
undergraduates (93 males/122 females) ranging in age from 18 to 29 yrs at a
private university. Coping strategies students used to deal with early morning
commitments and familial conflict over sleep patterns were also examined. Results
revealed that evening chronotypes were more likely to report conflict with
parents in junior high school and high school over going to bed and waking,
followed by a shift to a later sleep/wake pattern in college. They also reported
adjusting their schedules and using more coping strategies to accommodate their
evening bias. Morning chronotypes, whose routines easily fit a conventional
morning schedule, reported little change in schedules and sleep patterns from
junior high school to college, and used fewer coping strategies in response to
early morning commitments. The shift in social zeitgebers from junior high school
to college are significant, and yet little research has examined the effect these
changes can have on students' adjustment to college life and the role that
chronotype plays in this process. Because students' ability to cope with these
changes will ultimately influence how successful they are in their various
endeavors, a greater understanding of how chronotype is related to adaptive
functioning across this developmental period is needed.
PMID- 22080737
TI - The pattern of entrainment of the human sleep-wake rhythm by the natural
photoperiod in the north.
AB - Recently, it was shown that the sleep-wake rhythm of the inhabitants of the
temperate zone is entrained to sun time. In the North, significant seasonal
changes in the photoperiod may interfere with entrainment of the circadian system
to sunlight. This investigation assessed the influence of photoperiod
characteristics on the sleep length and sleep-wake rhythm of residents of high
latitude. The study was conducted in four towns and six villages located between
59.5?N and 67.6?N latitude between the months of October and May from 2009
through 2011 and included 2822 subjects aged 10 to 97 yrs, 1621 of whom were
females and 1201 males. The chronotype and sleep length of the subjects were
assessed using the Munich Chronotype Questionnaire. The instructions for the
questionnaire stressed the need to specify the sleep-wake schedule during the
week preceding the date of completing the questionnaire. The study found that the
length of sleep and the chronotype of the inhabitants surveyed in Northern
European Russia depend on age, sex, type of settlement, and place of residence.
The time of sunrise was a stronger predictor of sleep length and chronotype than
the time of sunset and day length. A later chronotype and shorter sleep length
were found for the subjects during the equinox (sunrise at 06:00 h) than under
long-photoperiod conditions (sunrise at 04:00-05:00 h). During short-photoperiod
conditions (sunrise at 07:00-10:00 h), no significant changes in the self
reported sleep-wake rhythm were found. The time of sunrise had the strongest
impact on the sleep-wake rhythm of 30- to 97-yr-old persons. Sunrise had a
stronger influence on chronotype and sleep length in January to May, when the
days become longer, than in October to December, when the days become shorter.
Age- and season-associated changes were found in the entrainment of the sleep
wake rhythm by photoperiod in the North.
PMID- 22080736
TI - Light exposure among adolescents with delayed sleep phase disorder: a prospective
cohort study.
AB - The objective of this study was to compare light exposure and sleep parameters
between adolescents with delayed sleep phase disorder (DSPD; n=16, 15.3+/-1.8
yrs) and unaffected controls (n=22, 13.7+/-2.4 yrs) using a prospective cohort
design. Participants wore wrist actigraphs with photosensors for 14 days. Mean
hourly lux levels from 20:00 to 05:00 h and 05:00 to 14:00 h were examined, in
addition to the 9-h intervals prior to sleep onset and after sleep offset. Sleep
parameters were compared separately, and were also included as covariates within
models that analyzed associations with specified light intervals. Additional
covariates included group and school night status. Adolescent delayed sleep phase
subjects received more evening (p< .02, 22:00-02:00 h) and less morning (p .05,
08:00-09:00 h and 10:00-12:00 h) light than controls, but had less pre-sleep
exposure with adjustments for the time of sleep onset (p< .03, 5-7 h prior to
onset hour). No differences were identified with respect to the sleep offset
interval. Increased total sleep time and later sleep offset times were associated
with decreased evening (p< .001 and p= .02, respectively) and morning (p= .01 and
p< .001, respectively) light exposure, and later sleep onset times were
associated with increased evening exposure (p< .001). Increased total sleep time
also correlated with increased exposure during the 9 h before sleep onset (p=
.01), and a later sleep onset time corresponded with decreased light exposure
during the same interval (p< .001). Outcomes persisted regardless of school night
status. In conclusion, light exposure interpretation requires adjustments for
sleep timing among adolescents with DSPD. Pre- and post-sleep light exposures do
not appear to contribute directly to phase delays. Sensitivity to morning light
may be reduced among adolescents with DSPD.
PMID- 22080738
TI - Ring the bell for Matins: circadian adaptation to split sleep by cloistered monks
and nuns.
AB - Cloistered monks and nuns adhere to a 10-century-old strict schedule with a
common zeitgeber of a night split by a 2- to 3-h-long Office (Matins). The
authors evaluated how the circadian core body temperature rhythm and sleep adapt
in cloistered monks and nuns in two monasteries. Five monks and five nuns
following the split-sleep night schedule for 5 to 46 yrs without interruption and
10 controls underwent interviews, sleep scales, and physical examination and
produced a week-long sleep diary and actigraphy, plus 48-h recordings of core
body temperature. The circadian rhythm of temperature was described by partial
Fourier time-series analysis (with 12- and 24-h harmonics). The temperature peak
and trough values and clock times did not differ between groups. However, the
temperature rhythm was biphasic in monks and nuns, with an early decrease at
19:39 +/- 4:30 h (median +/- 95% interval), plateau or rise of temperature at
22:35 +/- 00:23 h (while asleep) lasting 296 +/- 39 min, followed by a second
decrease after the Matins Office, and a classical morning rise. Although they
required alarm clocks to wake-up for Matins at midnight, the body temperature
rise anticipated the nocturnal awakening by 85 +/- 15 min. Compared to the
controls, the monks and nuns had an earlier sleep onset (20:05 +/- 00:59 h vs.
00:00 +/- 00:54 h, median +/- 95% confidence interval, p= .0001) and offset
(06:27 +/- 0:22 h, vs. 07:37 +/- 0:33 h, p= .0001), as well as a shorter sleep
time (6.5 +/- 0.6 vs. 7.6 +/- 0.7 h, p= .05). They reported difficulties with
sleep latency, sleep duration, and daytime function, and more frequent hypnagogic
hallucinations. In contrast to their daytime silence, they experienced
conversations (and occasionally prayers) in dreams. The biphasic temperature
profile in monks and nuns suggests the human clock adapts to and even anticipates
nocturnal awakenings. It resembles the biphasic sleep and rhythm of healthy
volunteers transferred to a short (10-h) photoperiod and provides a living glance
into the sleep pattern of medieval time.
PMID- 22080740
TI - Higher environmental temperature and global radiation are correlated with
increasing suicidality--a localized data analysis.
AB - Suicide rate follows a seasonal pattern that is related to rising air temperature
and global radiation. These findings are reproducible within different climatic
regions. Numerous studies have attempted to explain this peak in relation to
weather. However, many of these studies did not use meteorological data
representative of the site of the suicide or attempted suicide, resulting in
limitations of the findings. Previous studies also suffered from limitations in
the methods of data analysis. The current study examined the relationship between
weather, i.e., solar radiation, air temperature, and the rate of suicides and
suicidality in the area of Mittelfranken, Germany, using regional meteorological
data. Statistical risk estimation revealed associations between higher global
radiation and air temperatures on the day of and day before suicide acts. The
results could be of interest for general suicide prevention strategies. Future
studies should examine additional possible factors of influence and concentrate
on a strict standardized study design. The aim is to obtain reproducible data of
the seasonal influences on suicide behavior, allowing for the comparison of data
from different meteorological regions and patient subgroups.
PMID- 22080739
TI - Seasonality of retinal detachment incidence and its associations with climate: an
11-year nationwide population-based study.
AB - This study aimed to examine the seasonal variability of retinal detachment (RD)
in Taiwan by using an 11-yr nationwide population database. This study also
investigated the association of weather conditions, i.e., ambient temperature,
relative humidity, rainfall, monthly hours of sunshine, and atmospheric pressure,
with RD. Data were retrospectively collected from the Taiwan National Health
Insurance Research Database. The study sample included 23 718 RD hospitalizations
between January 1999 and December 2009. The incidence rate of RD/100 000 people
over the 132 months was computed according to sex and age groupings of <20, 20
39, 40-59, and >=60 yrs. Then, the association between climatic factors and the
monthly RD incidence rate was examined. The ARIMA (autoregressive integrated
moving average) method was also employed to test the seasonality of RD incidence
rates and their association with climatic factors. The annual RD incidence rates
were between 7.8 and 10.8 cases/100 000 people during the study period. A fairly
similar seasonal pattern of monthly RD incidence rates was apparent for males and
females and males and females combined. Rates were highest August through
October, decreasing in November, and lowest in February. After adjusting for
time, trend, and month, the ARIMA regression models for the male, female, and
males and females combined consistently revealed the monthly RD incidence rate
was significantly and positively associated with ambient temperature, but
negatively associated with atmospheric pressure. The authors conclude that the
monthly RD incidence rates were significantly associated with seasonality. The
monthly RD incidence rates were positively associated with ambient temperature
and negatively associated with atmospheric pressure.
PMID- 22080742
TI - Tissue-dependent alterations of the clock gene expression rhythms in leptin
resistant Zucker diabetic fatty rats.
AB - Recent studies have demonstrated that circadian clocks are impaired in liver and
adipose tissue of both leptin-deficient ob/ob and leptin-resistant KK-A(y) mice.
Because impairment of peripheral clocks precedes metabolic abnormalities in ob/ob
mice, leptin signaling might be important for modulating peripheral clocks. To
assess this hypothesis, the authors determined daily mRNA expression profiles of
clock genes Clock, Arntl, Per1, Per2, Cry1, Dbp, and Nr1d1 in several tissues of
leptin-receptor-deficient Zucker diabetic fatty (ZDF) rats. Transcript levels of
some of these genes around the respective peak times decreased significantly in
the liver, but not in the suprachiasmatic nucleus, mesenteric adipose tissue, and
heart, compared to those in control rats. In contrast, mRNA levels of Per1 and
Dbp around the peak time increased in the aorta of ZDF rats. However, expression
rhythms of these clock genes in serum-stimulated cultured cells isolated from the
aorta of ZDF rats were quite similar to those in serum-stimulated aortic cells of
control rats. These results show that systemic leptin signaling defect influences
peripheral clocks in a tissue-dependent manner, suggesting the possibility that
leptin indirectly modulates the clocks in at least a subset of peripheral
tissues.
PMID- 22080741
TI - Diurnal variations of plasma homocysteine, total antioxidant status, and
biological markers of muscle injury during repeated sprint: effect on performance
and muscle fatigue--a pilot study.
AB - The aim of this study was (i) to evaluate whether homocysteine (Hcy), total
antioxidant status (TAS), and biological markers of muscle injury would be
affected by time of day (TOD) in football players and (ii) to establish a
relationship between diurnal variation of these biomarkers and the daytime rhythm
of power and muscle fatigue during repeated sprint ability (RSA) exercise. In
counterbalanced order, 12 football (soccer) players performed an RSA test (5 x[6
s of maximal cycling sprint + 24 s of rest]) on two different occasions: 07:00
08:30 h and 17:00-18:30 h. Fasting blood samples were collected from a forearm
vein before and 3-5 min after each RSA test. Core temperature, rating of
perceived exertion, and performances (i.e., Sprint 1, Sprint 2, and power
decrease) during the RSA test were significantly higher at 17:00 than 07:00 h (p
< .001, p < .05, and p < .05, respectively). The results also showed significant
diurnal variation of resting Hcy levels and all biological markers of muscle
injury with acrophases (peak times) observed at 17:00 h. These fluctuations
persisted after the RSA test. However, biomarkers of antioxidant status' resting
levels (i.e., total antioxidant status, uric acid, and total bilirubin) were
higher in the morning. This TOD effect was suppressed after exercise for TAS and
uric acid. In conclusion, the present study confirms diurnal variation of Hcy,
selected biological markers of cellular damage, and antioxidant status in young
football players. Also, the higher performances and muscle fatigue showed in the
evening during RSA exercise might be due to higher levels of biological markers
of muscle injury and lower antioxidant status at this TOD.
PMID- 22080743
TI - Implementing secure laptop-based testing in an undergraduate nursing program: a
case study.
AB - This article presents the implementation of secure laptop-based testing in an
undergraduate nursing program. Details on how to design, develop, implement, and
secure tests are discussed. Laptop-based testing mode is also compared with the
computer-laboratory-based testing model. Five elements of the laptop-based
testing model are illustrated: (1) it simulates the national board examination,
(2) security is achievable, (3) it is convenient for both instructors and
students, (4) it provides students hands-on practice, (5) continuous technical
support is the key.
PMID- 22080744
TI - Creating an ICNP subset: children with HIV/AIDS in developing countries.
AB - Since 1993, the International Classification of Nursing Practice has evolved as a
unified language for global nursing diagnoses/outcomes and interventions. It
contains 5148 terms. Population- or condition-specific subsets of terms
facilitate easier and consistent use of the International Classification of
Nursing Practice. One condition, care of children in developing countries with
HIV/AIDS, is listed by the World Health Organization as a world health priority.
In this study, the investigators identified nursing diagnoses/outcomes and
intervention terms used by nurses for this population and mapped the terms to the
International Classification of Nursing Practice. Terms represent healthcare at
different phases along a continuum: health promotion, health maintenance, acute
conditions, chronic conditions, and end-of-life care with the child as the focus
surrounded by family, community, and culture. In the analysis, the investigators'
process is compared with the one outlined in the Guidelines for International
Classification of Nursing Practice Catalogue Development, and the match of each
local and International Classification of Nursing Practice term is categorized as
perfect fit, conceptual fit, partial fit, or unable to fit. A total of 53 nursing
diagnosis/outcome terms and 85 intervention terms make up the subset. Eighty-two
percent of local terms mapped at least partially to International Classification
of Nursing Practice.
PMID- 22080745
TI - Development and pilot test of a culturally sensitive CD-ROM for hypertensive,
older Chinese immigrants.
AB - Hypertension control remains an issue for older Chinese immigrants because of the
unique cultural health practices they use to manage their hypertension. Limited
health education information on how to manage hypertension is available in
Chinese. Because San Francisco has a large population of older Chinese
immigrants, development of culturally sensitive educational material is important
to help this population to achieve better blood pressure control. The purpose of
this study was to develop and pilot test an innovative, culturally based CD-ROM
with a focus on hypertension education and management, directed to the older
Chinese immigrant population. The results of this pilot study found that the
content of CD-ROM was culturally acceptable for the target population. Given a
lack of educational material in Chinese in the United States, this CD-ROM has a
potential to be used for a large population of Chinese elders in the United
States.
PMID- 22080746
TI - Comparative study of baccalaureate nursing student self-efficacy before and after
simulation.
AB - Evaluation of learning and the development of prelicensure nursing education
include an exploration of new teaching models and techniques for student learning
assessment. The utilization of high-fidelity human simulation in nursing provides
nursing faculty and students the opportunity to expand the boundaries of
conventional learning from an instructional paradigm to a blending of modalities
that enrich the student experience and provide an avenue for self-determined
learning. The inception of computer-generated high-fidelity human simulation
technology into the undergraduate nursing curriculum generated this correlation
study, which examined two separate groups of senior baccalaureate nursing
students' reported self-efficacy for providing family-centered care. This
research examined each group of students' reported self-efficacy on the first day
of the pediatric semester before and after simulation and on the last day of the
pediatric semester before and after simulation. In addition, the relationship
between two senior baccalaureate nursing student groups' reported self-efficacy
at four data points was examined. The concluding data identified that senior
baccalaureate nursing students have unrealistic self-assessments of their
clinical knowledge and nursing performance capabilities before simulation
scenario participation. The perceived ability of undergraduate students to self
identify their previously acquired knowledge and transferable clinical reasoning
to family-centered situations is inaccurate. Human simulators are an effective
teaching and learning modality in measuring factors that affect student outcomes.
PMID- 22080747
TI - Quality of life in older Chinese-speaking adults with hearing impairment.
AB - PURPOSE: General and hearing-specific health-related quality of life (HRQoL) was
examined in elderly Chinese with hearing impairment. METHODS: Sixty-four Chinese
speakers aged >=65 years and did not use hearing aids were evaluated using
Chinese versions of the Short-Form 36 health survey (SF-36) and the Hearing
Handicap Inventory for the Elderly (Screening Version) (HHIE-S). Results on the
SF-36 were compared to norms obtained in a general elderly Chinese population.
The relationships between HRQoL and degree of hearing impairment, and between SF
36 and HHIE-S were also evaluated. RESULTS: Elderly Chinese speakers with hearing
impairment rated six of the eight scales of the SF-36 poorer, compared to a
general elderly Chinese population. When average hearing impairment in the better
ear exceeded 40 dB HL, SF-36 ratings were poorer than those with better hearing.
Poorer better ear hearing was significantly related to poorer ratings on the
Vitality scale of the SF-36 and the three scales of the HHIE-S, after controlling
for age, gender and number of coexisting chronic health problems. Ratings on SF
36 and HHIE-S did not correlate. CONCLUSION: Elderly Chinese who are hearing
impaired experienced poorer general and hearing-specific HRQoL, and HRQoL is
reduced further among those with greater hearing impairment.
PMID- 22080748
TI - Can magnetic targeting of magnetically labeled circulating cells optimize
intramyocardial cell retention?
AB - Therapeutic intracavitary stem cell infusion currently suffers from poor
myocardial homing. We examined whether cardiac cell retention could be enhanced
by magnetic targeting of endothelial progenitor cells (EPCs) loaded with iron
oxide nanoparticles. EPCs were magnetically labeled with citrate-coated iron
oxide nanoparticles. Cell proliferation, migration, and CXCR4 chemokine receptor
expression were assessed in different labeling conditions and no adverse effects
of the magnetic label were observed. The magnetophoretic mobility of labeled EPCs
was determined in vitro, with the same magnet as that subsequently used in vivo.
Coronary artery occlusion was induced for 30 min in 36 rats (31 survivors),
followed by 20 min of reperfusion. The rats were randomized to receive, during
brief aortic cross-clamping, direct intraventricular injection of culture medium
(n = 7) or magnetically labeled EPCs (n = 24), with (n = 14) or without (n = 10)
subcutaneous insertion of a magnet over the chest cavity (n = 14). The hearts
were explanted 24 h later and engrafted cells were visualized by magnetic
resonance imaging (MRI) of the heart at 1.5 T. Their abundance in the myocardium
was also analyzed semiquantitatively by immunofluorescence, and quantitatively by
real-time polymerase chain reaction (RT-PCR).Although differences in cell
retention between groups failed to be statistically significant using RT-PCR
quantification, due to the variability of the animal model, immunostaining showed
that the average number of engrafted EPCs was significantly ten times higher with
than without magnetic targeting. There was thus a consistent trend favoring the
magnet-treated hearts, thereby suggesting magnetic targeting as a potentially
new mean of enhancing myocardial homing of intravascularly delivered stem cells.
Magnetic targeting has the potential to enhance myocardial retention of
intravascularly delivered endothelial progenitor cells.
PMID- 22080749
TI - Technology and innovation: 2010 a year in review.
AB - The following commentary provides a discussion of the articles published in
Technology and Innovation in 2010 and where possible places them into context
with those reported in Cell Transplantation. These articles can be divided into
the following topics: a) models for innovation and technological
commercialization, b) the ethical and legal consequences of the emergence of new
technologies, c) research on novel technologies and methods, and d) the
difficulties involved in peer review and scientific assessment. The articles shed
light on the effects of technological innovation and commercialization on
scientific ethical regulation, the establishment of legal standards for the
protection of intellectual property, and the development of financial models.
PMID- 22080750
TI - Prostaglandin E2 enhances interleukin-8 production via EP4 receptor in human
pulmonary microvascular endothelial cells.
AB - Prostaglandin E(2) (PGE(2)) is a bioactive prostanoid implicated in the
inflammatory processes of acute lung injury/acute respiratory distress syndrome.
This study investigated whether PGE(2) can induce production of interleukin (IL)
8, the major chemokine for neutrophil activation, from human pulmonary
microvascular endothelial cells (HPMVECs). PGE(2) significantly enhanced IL-8
protein production with increases in IL-8 mRNA expression and intracellular cAMP
levels. HPMVECs expressed only EP4 receptor mRNA. The PGE(2) effects were
mimicked by a selective EP4 receptor agonist, ONO-AE1-329, and inhibited by a
selective EP4 receptor antagonist, ONO-AE3-208, or a protein kinase A inhibitor,
Rp-adenosine 3',5'-cyclic monophosphorothioate triethylamine salt. The specific
agonist for EP1, EP2, or EP3 receptor did not induce IL-8 production. PGE(2)
induced IL-8 production was accompanied by p38 phosphorylation and was
significantly inhibited by a p38 inhibitor, SB-203580, but not by an ERK1/2
inhibitor, U-0126, or a JNK inhibitor, SP-600125. Additionally, PGE(2) increased
cyclooxygenase-2 expression with no change in constitutive cyclooxygenase-1
expression, suggesting possible involvement of an autocrine or paracrine manner.
In conclusion, PGE(2) enhances IL-8 production via EP4 receptor coupled to G(s)
protein in HPMVECs. Activation of the cAMP/protein kinase A pathway, followed by
p38 activation, is essential for these mechanisms. Because neutrophils play a
critical role in the inflammation of acute lung injury/acute respiratory distress
syndrome, IL-8 released from the pulmonary microvasculature in response to PGE(2)
may contribute to pathophysiology of this disease.
PMID- 22080752
TI - The role of low-level lactate production in airway inflammation in asthma.
AB - Warburg and coworkers (Warburg O, Posener K, Negelein E. Z Biochem 152: 319,
1924) first reported that cancerous cells switch glucose metabolism from
oxidative phosphorylation to aerobic glycolysis, and that this switch is
important for their proliferation. Nothing is known about aerobic glycolysis in T
cells from asthma. The objective was to study aerobic glycolysis in human asthma
and the role of this metabolic pathway in airway hyperreactivity and inflammation
in a mouse model of asthma. Human peripheral blood and mouse spleen CD4 T cells
were isolated by negative selection. T cell proliferation was measured by
thymidine incorporation. Cytokines and serum lactate were measured by ELISA.
Mouse airway hyperreactivity to inhaled methacholine was measured by a FlexiVent
apparatus. The serum lactate concentration was significantly elevated in
clinically stable asthmatic subjects compared with healthy and chronic
obstructive pulmonary disease controls, and negatively correlated with forced
expiratory volume in 1 s. Proliferating CD4 T cells from human asthma and a mouse
model of asthma produced higher amounts of lactate upon stimulation, suggesting a
heightened glycolytic activity. Lactate stimulated and inhibited T cell
proliferation at low and high concentrations, respectively. Dichloroacetate
(DCA), an inhibitor of aerobic glycolysis, inhibited lactate production,
proliferation of T cells, and production of IL-5, IL-17, and IFN-gamma, but it
stimulated production of IL-10 and induction of Foxp3. DCA also inhibited airway
inflammation and hyperreactivity in a mouse model of asthma. We conclude that
aerobic glycolysis is increased in asthma, which promotes T cell activation.
Inhibition of aerobic glycolysis blocks T cell activation and development of
asthma.
PMID- 22080753
TI - Dominant factors affecting temperature rise in simulations of human
thermoregulation during RF exposure.
AB - Numerical models of the human thermoregulatory system can be used together with
realistic voxel models of the human anatomy to simulate the body temperature
increases caused by the power absorption from radio-frequency electromagnetic
fields. In this paper, the Pennes bioheat equation with a thermoregulatory model
is used for calculating local peak temperatures as well as the body-core
temperature elevation in a realistic human body model for grounded plane-wave
exposures at frequencies 39, 800 and 2400 MHz. The electromagnetic power loss is
solved by the finite-difference time-domain (FDTD) method, and the discretized
bioheat equation is solved by the geometric multigrid method. Human
thermoregulatory models contain numerous thermophysiological and computational
parameters--some of which may be subject to considerable uncertainty--that affect
the simulated core and local temperature elevations. The goal of this paper is to
find how greatly the computed temperature is influenced by changes in various
modelling parameters, such as the skin blood flow rate, models for vasodilation
and sweating, and clothing and air movement. The results show that the peak
temperature rises are most strongly affected by the modelling of tissue blood
flow and its temperature dependence, and mostly unaffected by the central control
mechanism for vasodilation and sweating. Almost the opposite is true for the body
core-temperature rise, which is however typically greatly lower than the peak
temperature rise. It also seems that ignoring the thermoregulation and the blood
temperature increase is a good approximation when the local 10 g averaged
specific absorption rate is smaller than 10 W kg(-1).
PMID- 22080754
TI - Effect of mosquito mats (pyrethroid-based) vapor inhalation on rat brain
cytochrome P450s.
AB - The effect of transfluthrin (TF) or D-allethrin (DA) pyrethroid (PYR) vapors,
often contained as main ingredients in two commercially available mosquito
repellent mats, on cytochrome P450 (CYP) enzymes of rat brain and liver was
assessed. Immunodetection of CYP2E1 and CYP3A2 proteins revealed their induction
in cerebrum and cerebellum, but not in liver microsomes of rats exposed by
inhalation to TF or DA. This overexpression of proteins correlated with an
increase of their catalytic activities. The specifically increased expression of
CYP isoenzymes, due to PYR exposure in the rat brain, could perturb the normal
metabolism of endogenous and xenobiotic compounds and leads to increased risks of
neurotoxicity by bioactivation, lipid peroxidation and DNA damage.
PMID- 22080755
TI - MyelomA Genetics International Consortium.
AB - While the etiology of multiple myeloma (MM) is largely unknown, evidence for an
inherited genetic susceptibility is provided by the two-fold increased risk of
the disease seen in first-degree relatives of cases of MM. It is likely that part
of this heritable risk is a consequence of the co-inheritance of low-risk genetic
variants. The accumulated experience to date in identifying risk variants for
other tumors has highlighted difficulties in conducting statistically and
methodologically rigorous studies. The MyelomA Genetics International Consortium
(MAGIC) includes 16 research groups in Europe, Asia, Australasia, the Middle East
and the Americas engaged in studying the genetics of MM. The first goal of MAGIC
is to identify and characterize common genetic variants for MM through
association-based analyses. Here, we review the rationale for identifying genetic
risk variants for MM and our proposed strategy for establishing MAGIC.
PMID- 22080756
TI - Vascular access devices in leukemia: a retrospective review amongst patients
treated at the Ottawa Hospital with induction chemotherapy for acute leukemia.
AB - Patients with acute leukemia require reliable central vascular access to ensure
delivery of intravenous therapy. Peripherally inserted central catheters (PICCs)
and Hickman((r)) catheters are two commonly inserted central vascular catheters
(CVCs), providing access to the central vascular space. While there have been
reports describing individual center experiences, no one has compared the two
devices, retrospectively or prospectively. We analyzed patients diagnosed with
acute leukemia between September 1996 and April 2009, who had a PICC or
Hickman(r), received induction chemotherapy and survived at least 20 days. Prior
to 1 January 2007, PICCs were inserted by palpation (PICC-palp) and Hickman((r))
catheters were inserted surgically (H-Surg). After this date, PICCs were inserted
by ultrasound (PICC-U/S) and Hickman(") catheters were inserted by interventional
radiology (H-IR). Fifty-five patients had a Hickman((r)) catheter (18 H-Surg, 37
H-IR) and 92 patients had a PICC (69 PICC-palp, 23 PICC-U/S). Significant
improvements from H-Surg to H-IR catheters include the reduction in exit-site
inflammation and infection (27.8% to 5.4%) and in bacteremic episodes (72.2% to
27.0%). Compared to PICC-U/S, H-IR had fewer cases of thrombophlebitis (0.0% vs.
8.7%); H-IR also required fewer instillations of a thrombolytic agent than the
PICC-U/S (8.1% vs. 69.6%). Both CVCs have shown improvements from pre- to post
2007 insertion methods. Our data suggest that there were fewer complications with
post-2007 Hickman((r)) catheters compared to PICCs, suggesting that Hickman(r)
catheters provide a more reliable central vascular access in these patients.
PMID- 22080759
TI - An ab initio molecular dynamics study of iron phases at high pressure and
temperature.
AB - The crystal structure of iron, the major component of the Earth's inner core
(IC), is unknown for the IC high pressure (P; 3.3-3.6 Mbar) and temperature (T;
5000-7000 K). There is mounting evidence that the hexagonal close-packed (hcp)
phase of iron, stable at the high P of the IC and a low T, might be unstable
under the IC conditions due to the impact of high T and impurities. Experiments
at the IC P and T are difficult and do not provide a conclusive answer as regards
the iron stability at the pressure of the IC and temperatures close to the iron
melting curve. Recent theory provides contradictory results regarding the nature
of the stable Fe phase. We investigated the possibility of body-centered cubic
(bcc) phase stabilization at the P and T in the vicinity of the Fe melting curve
by using ab initio molecular dynamics. Thermodynamic calculations, relying on the
model of uncorrelated harmonic oscillators, provide nearly identical free
energies within the error bars of our calculations. However, direct simulation of
iron crystallization demonstrates that liquid iron freezes in the bcc structure
at the P of the IC and T = 6000 K. All attempts to grow the hcp phase from the
liquid failed. The mechanism of bcc stabilization is explained. This resolves
most of the earlier confusion.
PMID- 22080757
TI - A meta-analysis of TET2 mutations shows a distinct distribution pattern in de
novo acute myeloid leukemia and chronic myelomonocytic leukemia.
PMID- 22080758
TI - Inhibition of hedgehog signaling induces monocytic differentiation of HL-60
cells.
AB - There is little evidence to demonstrate the importance of the Sonic hedgehog
homolog (Shh) pathway to differentiation therapy in the treatment of
hematological neoplasms. Here we characterize the changes in acute myelogenous
leukemia (HL-60) cells after blocking the Shh pathway by an antagonist of
Smoothened, cyclopamine. Cyclopamine induces apoptosis of HL-60 cells in a dose-
and time-dependent manner with increased G0/G1 cycle fraction. Treatment with
cyclopamine increases the expression of monocytic cell markers CD11b and CD14,
but the expression of CD13, CD33 and CD38 is unchanged. The monocytic
differentiation of HL-60 cells induced by cyclopamine is also evidenced by an
increase in Egr-1 expression. Importantly, cyclopamine down-regulates the
phosphorylation of Akt and ERK, but activates AMP-activated protein kinase (AMPK)
signaling. Further investigations should determine the clinical application of
modulating the Shh pathway in the treatment of hematological malignancies.
PMID- 22080760
TI - Amyloid diagnosis, subcutaneous adipose tissue, immunohistochemistry and mass
spectrometry.
PMID- 22080761
TI - Proteomic typing of amyloid deposits in systemic amyloidoses.
AB - Amyloidoses are characterized by the presence of extracellular amyloid deposits,
constituted by fibrillar aggregates of misfolded proteins. Despite the similar
morphologic appearance of fibrils, at least 28 different proteins have been
detected as causative agents of human amyloidoses, 14 of which associated with
systemic forms. Unequivocal typing of the amyloid deposits is a key step in the
management of these diseases. Existing drawbacks of traditional,
immunohistochemistry-based techniques have driven the search for alternative
solutions for direct amyloid typing. Proteomics indicates the comprehensive study
of the proteins in a biological sample, centered on analysis by mass
spectrometry. The great potential of this approach in describing the composition
of amyloid deposits and in studying the molecular features of the amyloidogenic
precursors has become immediately clear and the introduction of proteomics in the
clinical practice has revolutionized the field of amyloid typing. This review
provides a critical overview of the various approaches that have been proposed in
this specific context, along with a brief description of the proteomic methods
for assessment of the circulating amyloidogenic proteins.
PMID- 22080762
TI - The relative amounts of plasma transthyretin forms in familial transthyretin
amyloidosis: a quantitative analysis by Fourier transform ion-cyclotron resonance
mass spectrometry.
AB - Familial transthyretin amyloidosis (ATTR) is a fatal autosomal dominant disease
characterized by the formation of amyloid fibers, mainly composed of
transthyretin (TTR). Protein aggregation and amyloid fiber formation are
considered concentration dependent processes and since most ATTR patients are
heterozygous it is crucial to determine the ratio between mutant and non-mutant
TTR forms in human plasma. Using a high resolution mass spectrometry based
approach we determined the ratio of TTR forms in ATTR patients, V30M mutation
carriers, symptomatic and asymptomatic ones, as well as ATTR patients that
received a wild type cadaveric liver transplant. Domino transplanted patients
that received a liver from an ATTR patient were also investigated. We found that
although wild type TTR is diminished in the plasma of non-transplanted ATTR
patients comparatively to healthy subjects, the relationship with the V30M
variant does not change with illness progression. Those who received a wild type
liver showed no mutant protein while domino transplanted patients presented the
same relative amount of V30M as found in asymptomatic and symptomatic
individuals. The V30M to wild type TTR ratio in plasma is the same for all ATTR
patients studied, showing no variation with disease clinical progression. Our
results point to the involvement of additional non-genetic factors on the
pathogenesis of this disease.
PMID- 22080763
TI - Development of cardiomyopathy after liver transplantation in Swedish hereditary
transthyretin amyloidosis (ATTR) patients.
AB - BACKGROUND: Recent studies of liver transplanted (LTx) familial amyloidotic
polyneuropathy (FAP) patients have shown a progression of cardiomyopathy in some
patients after LTx, but knowledge of the underlying factors remains limited.
METHODS: Seventy-five patients, who had undergone LTx from 1996 to 2008, were
included. They had all been examined by echocardiography 1-16 months before LTx.
Fifty-four had been re-examined 7-34 months, and forty-two 36-137 months after
LTx. RESULTS: A significant increase in interventricular septum (IVS) thickness
occurred after LTx (p < 0.01), particularly in males (p = 0.002) and late onset
patients (p = 0.003). The development of post-LTx cardiomyopathy was related to
patient's age at onset of the disease, male gender and pre-LTx IVS thickness. On
multivariate regression analysis, however, age at onset was the only significant
predictor for the development of cardiomyopathy (odds ratio = 1.14, 95% confident
interval 1.01-1.30, p = 0.04). CONCLUSION: An increase of IVS thickness can be
observed in FAP patients after LTx. Age at onset of the disease is the main
predictor for increased IVS thickness and for the development of cardiomyopathy
after liver transplantation.
PMID- 22080764
TI - Abnormal heart rate variability in AA amyloidosis of familial Mediterranean
fever.
AB - BACKGROUND: A scarcity of data exists relating to the effect of amyloidosis of
Familial Mediterranean fever (FMF) on the autonomic nervous system. Our aim was
to further investigate the presence of dysautonomia in FMF-AA amyloidosis, using
a comparative case series design. MATERIAL AND METHODS: The study group consisted
of 40 patients with FMF: 20 without co-morbidities or amyloidosis and 20 in
various stages of renal amyloidosis. Time domain and power spectral analyses of
heart rate dynamics were performed according to accepted procedures. Findings
were compared with 20 healthy control subjects. RESULTS: No statistically
significant differences were found in any of the studied heart rate variability
(HRV) parameters between patients with uncomplicated FMF and controls. In
contrast, patients with progressive amyloidosis (post renal transplantation or on
dialysis) had significantly lower HRV parameters compared to control subjects
(i.e. mean low frequency power spectral components 104.30 ms2 vs. 172.09 ms2, p
<0.05, mean standard deviation of all normal RR intervals 32.27 ms vs. 51.51 ms,
p <0.05, mean HRV triangular index 9.08 vs. 15.82, p <0.05). The adjusted odds
ratio was 14.5 (95%CI 1.21-165.03, p = 0.04) for HRV triangular index lower than
12.2 in the progressive amyloidosis group, 41.24 (95%CI 1.81-938.68, p = 0.02)
for low frequency power spectral components values lower than 142.35 ms2, and
12.67 (95%CI 1.04-153.96, p = 0.04) for standard deviation of all normal RR
intervals values lower than 40.15?ms. CONCLUSION: Amyloidosis of FMF,
particularly at a progressive stage, is associated with HRV abnormalities
suggestive of the presence of autonomic nervous system dysfunction.
PMID- 22080765
TI - Participation-based therapy for children with physical disabilities.
AB - PURPOSE: Optimizing home and community participation of children with physical
disabilities is an important outcome of rehabilitation. METHOD: A review of
literature identified research and theory on participation of children with
physical disabilities. The authors' incorporated current knowledge to
conceptualize the experience of optimal participation, formulate principles of
participation-based physical and occupational therapy, and develop a five-step
process for intervention. A case report was completed to illustrate application
to practice. RESULTS: Optimal participation involves the dynamic interaction of
determinants (attributes of the child, family, and environment) and dimensions
(physical, social, and self engagement) of participation. Real-life experiences
enable children to learn new activities and develop skills that optimize their
participation and self-determination. Interventions are: goal-oriented, family
centered, collaborative, strengths-based, ecological, and self-determined. A
distinguishing feature of intervention is that the therapist's primary role is to
support the child and family to identify challenges to participation and
solutions to challenges. The therapist is a consultant, collaborating with the
child, family, and community providers to share information, educate, and
instruct in ways that build child, family, and community capacity. CONCLUSION:
The model may have utility for collaboration with families and community
providers, determining goals for participation, and providing evidence-informed
interventions.
PMID- 22080767
TI - Community partnership strategies: let's work from the same playbook.
PMID- 22080766
TI - Reconstitution of the platelet glycoprotein Ib-IX complex in phospholipid bilayer
Nanodiscs.
AB - The glycoprotein Ib-IX (GPIb-IX) complex expressed on platelet plasma membrane is
involved in thrombosis and hemostasis via the initiation of adhesion of platelets
to von Willebrand factor (VWF) exposed at the injured vessel wall. While most of
the knowledge of the GPIb-IX complex was obtained from studies on platelets and
transfected mammalian cells expressing the GPIb-IX complex, there is not an in
vitro membrane system that allows systematic analysis of this receptor. The
phospholipid bilayer Nanodisc composed of a patch of phospholipid surrounded by
membrane scaffold protein is an attractive tool for membrane protein study. We
show here that the GPIb-IX complex purified from human platelets has been
reconstituted into the Nanodisc. The Nanodisc-reconstituted GPIb-IX complex was
able to bind various conformation-sensitive monoclonal antibodies. Furthermore,
it bound to VWF in the presence of botrocetin with an apparent K(d) of 0.73 +/-
0.07 nM. The binding to VWF was inhibited by anti-GPIbalpha antibodies with
epitopes overlapping with the VWF-binding site, but not by anti-GPIbbeta
monoclonal antibody RAM.1. Finally, the Nanodisc-reconstituted GPIb-IX complex
exhibited ligand binding activity similar to that of the isolated extracellular
domain of GPIbalpha. In conclusion, the GPIb-IX complex in Nanodiscs adopts a
native-like conformation and possesses the ability to bind its natural ligands,
thus making a Nanodisc a suitable in vitro platform for further investigation of
this hemostatically important receptor complex.
PMID- 22080768
TI - Pioneers in health equity: lessons from the REACH communities.
PMID- 22080772
TI - Case management intervention in cervical cancer prevention: the Boston REACH
coalition women's health demonstration project.
AB - BACKGROUND: The Boston REACH Coalition developed a case management intervention
for Black women in primary care settings to identify and reduce medical and
social obstacles to cervical cancer screening and following up abnormal results.
METHODS: The 5-year intervention was evaluated among 732 Black women aged 18 to
75 who were at high risk for inadequate Pap smear screening and follow-up. Case
managers provided social services referrals to address patient-identified social
concerns (e.g., transportation, housing), as well as navigation to prompt
screening and follow-up of abnormal tests. The three study aims were to (1)
identify the social factors associated with Pap smear screening at baseline
before intervention, (2) evaluate the correlation between exposure to case
management intervention and achieving recommended Pap screening intervals, and
(3) evaluate the correlation between exposure to case management intervention and
having timely follow-up of abnormal Pap smear tests. RESULTS: We found that a
lack of a regular clinical provider, concerns communicating with providers, poor
self-rated health, and having less than a high school education were important
correlates of recent Pap smear screening before the case management intervention.
During the case management intervention, we found a significant increase in
achieving recommended Pap smear screening intervals among women with a recent Pap
smear at study entry (increasing from 52% in the first year to 80% after 4 or
more years; p < .01), but not among women who entered the study without a recent
Pap smear (increasing from 31% in the first year to 44% after 4 or more years; p
= .39). During case management intervention, having social support for childcare
was associated with regular screening among women without a recent Pap smear
(odds ratio [OR], 3.52; 95% confidence interval [CI], 1.28-9.69). Insurance
status was the key factor in timely clinically indicated follow-up of abnormal
results (uninsured OR, 0.27; 95% CI, 0.08-0.86), rather than case management
intervention. CONCLUSIONS: Exposure to case management was associated with
regular Pap smear screening among women who recently engaged in screening. Future
research should focus on systems changes to address social determinants of
health, including strategies to facilitate screening for Black women without
social support for childcare. To improve follow-up of abnormal results, financial
access to care should be addressed.
PMID- 22080771
TI - Evaluation of community-academic partnership functioning: center for the
elimination of hepatitis B health disparities.
AB - BACKGROUND: Process evaluation of community-academic partnership function and
fidelity to principles of community-based participatory research (CBPR) is
essential to achievement of intermediate and long term partnership goals.
OBJECTIVES: This article describes the evaluation of B Free CEED, a community
academic partnership created to address hepatitis health disparities in Asian
American and Pacific Islander (API) communities. METHODS: A mixed methods
approach with an online survey and qualitative key informant interviews was
conducted with all partnership members at baseline and follow-up, 18 months
later. RESULTS: Survey findings showed stability over time, with some consistent
differences in community and academic perspectives. Academic members were
somewhat more satisfied with the partnership functioning. Key informant
interviews provided contextual data key to further defining partnership
functioning. CONCLUSIONS: Conducting ongoing partnership evaluations is necessary
to reassess and align processes and protocols to enhance partnership functioning
and strengthen group cohesion.
PMID- 22080773
TI - A partnered approach for structured observation to assess the environment of a
neighborhood with high diabetes rates.
AB - BACKGROUND: The Communities IMPACT Diabetes Center uses partnered methods to
address diabetes-related conditions among African Americans and Latinos in East
Harlem, New York. OBJECTIVES: To describe a novel, partnered approach that
integrates simultaneous structured observation by community and academic partners
with "on-the-spot" resolution of differences to collect baseline data regarding
the built and food environments in a two census tract area of East Harlem and
present select findings. METHODS: We designed an environmental assessment to
explore characteristics of the environment related to walking and eating. We
paired community and academic partners to assess each block, resolve any
differences, and report results. Nearly one year later, we surveyed the data
collectors and analyzed responses using standard qualitative methods. RESULTS:
Key themes included connection to and characteristics of the community;
interactions with partners; surprises and learning, and aspects of data
collection. All but the first were common to academic and community partners.
Relationships between partners were generally amiable. Both community-"I think it
was very helpful, we made sure neither of us made mistakes, and helped each other
when we could"-and academic-"I really enjoyed it . . . I learned a lot about the
areas I surveyed"-partners were complimentary. Community partners' strengths
included local knowledge of the community, whereas academic partners' focus on
adherence to the specifications was critical. Structured observation identified
many sidewalks in disrepair or obstructed, few benches, and highly variable times
allocated for pedestrians to cross at cross walks. CONCLUSIONS: The partnered
data collection was both successful and formative, building additional
relationships and further capacity for ongoing partnership. Community partners
saw their community in a new way, seeing, "little things that are important but
people don't pay attention to." Structured observations added to our
understanding of how an environment may contribute to diabetes.
PMID- 22080774
TI - The Asian American hepatitis B program: building a coalition to address hepatitis
B health disparities.
AB - BACKGROUND: Community coalitions are increasingly recognized as important
strategies for addressing health disparities. By providing the opportunity to
pool resources, they provide a means to develop and sustain innovative approaches
to affect community health. OBJECTIVES: This article describes the challenges and
lessons learned in building the Asian American Hepatitis B Program (AAHBP)
coalition to conduct a community-based participatory research (CBPR) initiative
to address hepatitis B (HBV) among New York City Asian-American communities.
METHODS: Using the stages of coalition development as a framework, a
comprehensive assessment of the process of developing and implementing the AAHBP
coalition is presented. LESSONS LEARNED: Findings highlight the importance of
developing a sound infrastructure and set of processes to foster a greater sense
of ownership, shared vision, and investment in the program. CONCLUSION:
Grassroots community organizing and campus-community partnerships can be
successfully leveraged to address and prevent a significant health disparity in
an underserved and diverse community.
PMID- 22080776
TI - Collaborating to address infant mortality: lessons learned from the Brownsville
action community for health equality.
AB - BACKGROUND: Brownsville Action Community for Health Equality (BACHE) is a
coalition-based, service system change pilot for African American and Puerto
Rican women of Brownsville, a community within Brooklyn, New York, with
disproportionately high rates of infant mortality. OBJECTIVES: Identify "lessons
learned" from the implementation phase of a 5-year pilot project that employs a
community-based participatory (CBPR) approach to reducing risk factors for infant
mortality. METHODS: Nineteen semi-structured interviews were conducted with
BACHE's partners throughout 2010. Sessions were audiotaped and transcribed. Data
was incorporated into a framework based on grounded theory and interpreted by
project partners. RESULTS: Lessons learned related to engaging partners,
leveraging community resources, dealing with highly structured institutions,
measuring progress, and promoting and sustaining system change. CONCLUSION: A
service system change pilot like BACHE requires: social capital, capable
partners, a strong coalition, flexibility of approach, internal champions,
systems knowledge, awareness of policy, and strong community involvement.
PMID- 22080775
TI - Cardiovascular knowledge among urban American Indians and Alaska Natives: first
steps in addressing cardiovascular health.
AB - BACKGROUND: Cardiovascular disease (CVD) is common among American Indians/Alaska
Natives (AI/ANs). Given limited access to health care, urban AI/ANs may be at
particular risk. Lack of available data, however, limits our understanding of
cardiovascular health in this population. OBJECTIVES: We conducted a survey to
characterize CVD-related knowledge, behavior, and risk of urban AI/ANs. Results
related to knowledge are reported. METHODS: In collaboration with the Indian
clinics in two urban communities, we surveyed 298 AI/ANs. RESULTS: Respondents
recognized approximately half of the symptoms of heart attack and stroke, and
were significantly less likely to recognize each symptom than reported in
national studies using the same items. General CVD knowledge (e.g., risks of high
blood pressure) was stronger, although areas for improvement were noted.
CONCLUSIONS: Urban AI/ANs would benefit from efforts to enhance CVD knowledge.
These preliminary data are providing the foundation for community-based efforts
to address CVD risk among urban AI/ANs.
PMID- 22080777
TI - Instant Recess(r): a practical tool for increasing physical activity during the
school day.
AB - BACKGROUND: An increased prevalence of overweight/obesity among children has led
to school district level policies to increase physical activity (PA) among
elementary school students. Interventions are needed that increase activity
levels without sacrificing time spent in academics. OBJECTIVES: We evaluated a
policy implementation intervention for to increase in-school PA in elementary
schools in Forsyth County, North Carolina, in a randomized study with a delayed
intervention control group. METHODS: The study included third- through fifth
grade classrooms in eight elementary schools. Instant Recess(r) was used to
introduce 10-minute PA breaks in classrooms on schedules determined by teachers.
Direct observation was used to measure activity levels, other student behaviors,
and teacher behaviors related to PA in the classrooms. RESULTS: Twenty-eight
visits to schools were made during the spring and fall semesters of 2009. At
baseline 11% to 44% of intervention and control schools were engaged in classroom
based PA. PA increased from baseline to spring follow-up in intervention schools
and was maintained the following fall. Control schools decreased PA from baseline
to spring and increased PA once they began the intervention. Students in
classrooms engaged in Instant Recess exhibited statistically significant
increases in light (51%) and moderate-intensity (16%) PA and increases in time
spent in on-task behavior (11%). Control schools experienced similar benefits
after they began implementing Instant Recess. CONCLUSIONS: Instant Recess is
useful for increasing PA and improving behavior among elementary school children.
Additional research may be needed to understand how to create policies supporting
classroom activity breaks and how to assess policy adherence.
PMID- 22080778
TI - A community-engaged approach to select geographic areas for interventions to
reduce health disparities.
AB - BACKGROUND: While neighborhood-based approaches to eliminate health disparities
are on the rise, there is little guidance on how researchers may engage with
community partners to select geographic areas for interventions to reduce health
disparities. We aimed to identify a small geographic area to target interventions
to improve diabetes-related outcomes. OBJECTIVES: We describe lessons learned
from a community-engaged approach to specify the geographic area of focus.
METHODS: A community-academic partnership of more than 20 organizations
collaborated to develop and employ a 5-stage process to specify a target area for
diabetes preventions and control activities. LESSONS LEARNED: A coalition with
local knowledge and ties to the community can develop criteria and direct a
process leading to selection of a geographic area, increased research capacity,
and strengthened relationships among partners. CONCLUSION: A participatory
approach can be effective in defining a geographic area for targeting
interventions to reduce health disparities.
PMID- 22080779
TI - Partnering with REACH to create a "diabetes-friendly" restaurant: a restaurant
owner's experience.
AB - We describe a Latino restaurateur's perspectives and partnership with Seattle
King County REACH to improve the healthfulness of his restaurant as a step toward
tackling diabetes in his community. We interviewed the owner and reviewed other
documentation to capture his perspectives and identify key elements in this
restaurant intervention. The impact of diabetes in the owner's family and Latino
community motivated him to make changes at his restaurant. If changes were
successful, he hoped this would motivate other Latino restaurateurs to make
similar changes. At his request, REACH gathered consumer feedback, provided
diabetes education and nutritional guidance, and worked with him to develop
simple, economically feasible, healthier items. Positive consumer response and
media coverage motivated the owner to explore additional changes at his
restaurant and encourage other restaurateurs to make healthful changes. This
intervention illustrates the potential for local businesses to collaborate with
community partners, like REACH, to promote healthy food environments.
PMID- 22080780
TI - Personal stories: voices of Latino youth health advocates in a diabetes
prevention initiative.
AB - The YMCA-Silicon Valley Racial and Ethnic Approaches to Community Health (REACH)
U.S. Proyecto Movimiento (PM) Action Community project is a community-based
partnership that aims to reduce the prevalence of diabetes among Latinos in the
Greater Gilroy, California, area by delivering a prevention campaign across
generations. A critical component of PM has been the creation of a Youth Health
Advocate (YHA) afterschool club at three public high schools in Gilroy. The YHAs,
who are trained on health, nutrition, diabetes, basic leadership skills, and
digital storytelling, are at the forefront of the campaign targeting Gilroy
youth. In their own words, the YHAs describe why they decided to become a YHA,
the positive health impact of YHA activities on themselves and their family, and
the positive impact on burgeoning leadership skills. The voices of YHAs in this
prevention campaigns have brought value to the PM evaluation, and this
qualitative element bears further examination in other community-based prevention
campaigns.
PMID- 22080781
TI - A community mobilizes to end medical apartheid.
AB - PROBLEM: People of color suffer worse health outcomes than their White
counterparts due, in part, to limited access to high-quality specialty care.
PURPOSE: This article describes the events that led to the Bronx Health REACH
coalition's decision to file a civil rights complaint with the New York State
Office of the Attorney General alleging that three academic medical centers in
New York City discriminated on the basis of payer status and race in violation of
Title VI of the Civil Rights Act of 1964, the Hill-Burton Act, New York State
regulations, and New York City Human Rights Law. KEY POINTS: Although the problem
has not yet been resolved, the related community mobilization efforts have raised
public awareness about the impact of disparate care, strengthened the coalition's
commitment to achieve health equality, and garnered support among many city and
state legislators. CONCLUSION: Community groups and professionals with relevant
expertise can tackle complex systemic problems, but they must be prepared for a
long and difficult fight.
PMID- 22080782
TI - Children's hospital boston community Asthma initiative: partnerships and outcomes
advance policy change.
AB - PROBLEM: Rates of poorly controlled asthma among low-income children,
particularly racial and ethnic minorities, remain disproportionately high.
Comprehensive asthma programs, including education, case management and home
environmental interventions have reduced disparities. Few sustainable payment
models exist. PURPOSE: The Children's Hospital Boston's Community Asthma
Initiative (CAI) demonstrated dramatic reductions in hospitalizations and
emergency department (ED) visits among African American and Latino patients with
a return on investment (ROI) of 1.46. A strong coalition focused on
sustainability plus CAI outcomes contributed to the state legislature's approving
a bundled payment pilot for high-risk pediatric asthma patients on
Medicaid/MassHealth. KEY POINTS: Cost-effective, comprehensive asthma programs
and policy makers' interest in new payment models created an opportunity for a
new payment approach for pediatric asthma care. CONCLUSION: A community coalition
that successfully addresses asthma health disparities with a strong business case
and program outcomes can be leveraged to persuade policy makers of the value of
innovative financing strategies for asthma care.
PMID- 22080783
TI - Podcast interview transcript. Interview by Leandris Liburd.
PMID- 22080784
TI - Advanced light-entrained activity onsets and restored free-running
suprachiasmatic nucleus circadian rhythms in per2/dec mutant mice.
AB - Many behavioral and physiological processes display diurnal (24-h) rhythms
controlled by an internal timekeeping system?the circadian clock. In mammals, a
circadian pacemaker is located in the suprachiasmatic nucleus (SCN) of the
hypothalamus and synchronizes peripheral oscillators found in most other tissues
with the external light-dark (LD) cycle. At the molecular level, circadian clocks
are regulated by transcriptional translational feedback loops (TTLs) involving a
set of clock genes. The mammalian core TTL includes the transcriptional
modulators PER?(1?3) and CRY?(1/2) that inhibit their own expression by
interaction with CLOCK/NPAS2 and BMAL1 (ARNTL). The basic helix-loop-helix
transcription factors DEC1 (BHLHE40) and DEC2 (BHLHE41) can interact with this
core TTL, forming an accessory feedback mechanism. The authors measured circadian
locomotor behavior and clock gene expression in the SCN of Per2/Dec double- and
triple-mutant mice to analyze the functional interaction of PER2 and DEC feedback
on circadian pacemaker function in the SCN. The data suggest a synergistic
interaction of Per2 and Dec1/2 in activity entrainment to a standard LD cycle,
correlating with a cumulative deficiency in negative-masking capacities in
Per2/Dec double- and triple-mutant mice and suggesting an involvement of Per2
Dec1/2 interactivity in activity-onset regulation and masking under LD, but not
under constant conditions. In contrast, under constant darkness (DD) conditions,
a deletion of either Dec1 or Dec2 partially rescued the Per2 mutant short
period/arrhythmicity phenotype, accompanied by a restoration of time-of-day
effects on clock gene expression in the SCN. Together, these results show an
interaction of Per2 and Dec1/2 feedback processes in the SCN with differential
modes of interactivity under entrained and free-run conditions. (Author
correspondence: henrik.oster@mpibpc.mpg.de ).
PMID- 22080785
TI - Sleep and academic performance in undergraduates: a multi-measure, multi
predictor approach.
AB - The present study examined the associations of sleep patterns with multiple
measures of academic achievement of undergraduate university students and tested
whether sleep variables emerged as significant predictors of subsequent academic
performance when other potential predictors, such as class attendance, time
devoted to study, and substance use are considered. A sample of 1654 (55% female)
full-time undergraduates 17 to 25 yrs of age responded to a self-response
questionnaire on sleep, academics, lifestyle, and well-being that was
administered at the middle of the semester. In addition to self-reported measures
of academic performance, a final grade for each student was collected at the end
of the semester. Univariate analyses found that sleep phase,
morningness/eveningness preference, sleep deprivation, sleep quality, and sleep
irregularity were significantly associated with at least two academic performance
measures. Among 15 potential predictors, stepwise multiple regression analysis
identified 5 significant predictors of end-of-semester marks: previous academic
achievement, class attendance, sufficient sleep, night outings, and sleep quality
(R(2)=0.14 and adjusted R(2)=0.14, F(5, 1234)= 40.99, p < .0001). Associations
between academic achievement and the remaining sleep variables as well as the
academic, well-being, and lifestyle variables lost significance in stepwise
regression. Together with class attendance, night outings, and previous academic
achievement, self-reported sleep quality and self-reported frequency of
sufficient sleep were among the main predictors of academic performance, adding
an independent and significant contribution, regardless of academic variables and
lifestyles of the students.
PMID- 22080786
TI - Circadian preference and sleep-wake regularity: associations with self-report
sleep parameters in daytime-working adults.
AB - The aim of this study was to explore how interindividual differences in circadian
type (morningness) and sleep timing regularity might be related to subjective
sleep quality and quantity. Self-report circadian phase preference, sleep timing,
sleep quality, and sleep duration were assessed in a sample of 62 day-working
adults (33.9% male, age 23?48 yrs). The Pittsburgh Sleep Quality Index (PSQI)
measured subjective sleep quality and the Sleep Timing Questionnaire (STQ)
assessed habitual sleep latency and minutes awake after sleep onset. The
duration, timing, and stability of sleep were assessed using the STQ separately
for work-week nights (Sunday?Thursday) and for weekend nights (Friday and
Saturday). Morningness-eveningness was assessed using the Composite Scale of
Morningness (CSM). Daytime sleepiness was measured using the Epworth Sleepiness
Scale (ESS). A morning-type orientation was associated with longer weekly sleep
duration, better subjective sleep quality, and shorter sleep-onset latency.
Stable weekday rise-time correlated with better self-reported sleep quality and
shorter sleep-onset latency. A more regular weekend bedtime was associated with a
shorter sleep latency. A more stable weekend rise-time was related to longer
weekday sleep duration and lower daytime sleepiness. Increased overall regularity
in rise-time was associated with better subjective sleep quality, shorter sleep
onset latency, and higher weekday sleep efficiency. Finally, a morning
orientation was related to increased regularity in both bedtimes and rise-times.
In conclusion, in daytime workers, a morning-type orientation and more stable
sleep timing are associated with better subjective sleep quality. (Author
correspondence: asoehner@berkeley.edu ).
PMID- 22080787
TI - When does stress end? Evidence of a prolonged stress reaction in shiftworking
truck drivers.
AB - This study aimed to analyze individual cortisol levels in relation to work
conditions, sleep, and health parameters among truck drivers working day shifts
(n = 21) compared to those working irregular shifts (n = 21). A total of 42 male
truck drivers (39.8 (+/-) 6.2 yrs) completed questionnaires about
sociodemographics, job content, work environment, health, and lifestyle. Rest
activity profiles were measured using actigraphy, and cardiovascular blood
parameters were collected. Salivary cortisol samples were obtained: (i) at waking
time, (ii) 30?min after waking, and (iii) at bedtime, during both one workday and
one day off from work. Irregular-shift workers, compared to day-shift workers,
showed significantly higher waist-hip ratio, very-low-density lipoprotein (VLDL)
cholesterol, tiredness after work, years working as a driver, truck vibration,
and less job demand (p < .05). High cortisol levels in irregular-shift workers
were correlated with certain stressors, such as short sleep duration and low job
satisfaction, and to metabolic parameters, such as total cholesterol, high
density lipoprotein (HDL), low-density lipoprotein (LDL), VLDL, and
triglycerides. Day-shift workers had higher cortisol levels collected 30?min
after waking (p = .03) and a higher cortisol awakening response (CAR; p = .02)
during workdays compared to off days. Irregular-shift workers had higher cortisol
levels on their off days compared to day-shift workers (p = .03). In conclusion,
for the day-shift workers, a higher cortisol response was observed on workdays
compared to off days. Although no direct comparisons could be made between groups
for work days, on off days the irregular-shift workers had higher cortisol levels
compared to day-shift workers, suggesting a prolonged stress response in the
irregular-shift group. In addition, cortisol levels were correlated with
stressors and metabolic parameters. Future studies are warranted to investigate
further stress responses in the context of irregular work hours.
PMID- 22080788
TI - Seasonality in the incidence of cervical carcinoma in teenagers and young adults
in Northern England, 1968-2005.
AB - Infection with human papillomavirus is an established risk factor for cervical
carcinoma. However, the role of other environmental factors is less well
established. To further investigate whether other agents may be involved, the
authors have analyzed seasonal variation in cervical cancer with respect to month
of birth and separately month of diagnosis. All 85 cases diagnosed in 15-24-yr
olds during the period 1968-2005 were extracted from the specialist population
based Northern Region Young Persons' Malignant Disease Registry. The chi-square
heterogeneity test was used to assess overall nonuniform variation in month of
birth and separately month of diagnosis. Poisson regression analysis was used to
fit sinusoidal (harmonic) models to the data using month of birth and month of
diagnosis in separate models. Based on month of birth, there was statistically
significant heterogeneity (p=.03) and a significant sinusoidal pattern, with an
incidence peak involving births in the autumn months (p=.03). Based on month of
diagnosis, there was marginally significant heterogeneity (p=.06). The evidence
of seasonal variation around time of birth for cervical carcinoma is highly novel
and suggests possible early etiological involvement of environmental factors.
PMID- 22080789
TI - The CLOCK gene and mood disorders: a case-control study and meta-analysis.
AB - The clock gene (CLOCK) is considered to be a good candidate gene for the
pathophysiology of mood disorders, including bipolar disorder (BP) and major
depressive disorder (MDD). rs1801260 (T3111C) has been detected at position 3111
in the CLOCK mRNA 3' untranslated region, and was reported to be associated with
a substantial delay in preferred timing for activity and sleep in a human study.
As for function, rs1801260 has been speculated to affect mRNA. Therefore, the
authors investigated the association between the three tagging single-nucleotide
polymorphisms (SNPs) (rs3736544, rs1801260, and rs3749474) in CLOCK and risk of
BP (n=867) and MDD (n=139) compared to controls (n=889) in the Japanese
population. In addition, we also performed an updated meta-analysis of nine
published, genetic association studies investigating the relationship between
rs1801260 and mood disorder risk, comprising 3321 mood disorders cases and 3574
controls. We did not detect any associations between tagging SNPs in CLOCK and BP
or MDD in the allele, genotype, or haplotype analysis (global p(BP)=.605 and
global p(MDD)=.211). Moreover, rs1801260 was also not associated with BP, MDD, or
any mood disorders in the meta-analysis. In conclusion, these data suggest that
CLOCK does not play a major role in the pathophysiology of mood disorders.
PMID- 22080790
TI - Subcellular evidence for the involvement of peroxisomes in plant isoprenoid
biosynthesis.
AB - The role of peroxisomes in isoprenoid metabolism, especially in plants, has been
questioned in several reports. A recent study of Sapir-Mir et al. revealed that
the two isoforms of isopentenyl diphosphate (IPP) isomerase, catalyzing the
isomerisation of IPP to dimethylallyl diphosphate (DMAPP) are found in the
peroxisome. In this addendum, we provide additional data describing the
peroxisomal localization of 5-phosphomevalonate kinase and mevalonate 5
diphosphate decarboxylase, the last two enzymes of the mevalonic acid pathway
leading to IPP. This finding was reinforced in our latest report showing that a
short isoform of farnesyl diphosphate, using IPP and DMAPP as substrates, is also
targeted to the organelle. Therefore, the classical sequestration of isoprenoid
biosynthesis between plastids and cytosol/ER can be revisited by including the
peroxisome as an additional isoprenoid biosynthetic compartment within plant
cells.
PMID- 22080791
TI - The occurrence of a thylakoid-localized small zinc finger protein in land plants.
AB - Previous studies showed that LOW QUANTUM YIELD OF PHOTOSYSTEM II 1 (LQY1), a
small thylakoid zinc finger protein was involved in maintenance and repair of
Photosystem II (PSII). Here the author provide additional evidence for the role
of LQY1 in PSII maintenance and repair and further commentary on the occurrence
of LQY1 protein among land plants. After exposure to high light, Arabidopsis
thaliana mutants lacking functional LQY1 gene (At1g75690) are more photoinhibited
than wild-type control plants; display higher total non-photochemical quenching
and photoinhibitory quenching. These results are consistent with the initial
observation that lqy1 mutants have lower PSII efficiency than wild-type plants
after high-light treatment. The low-PSII-efficiency phenotype can be suppressed
upon complementation of lqy1 mutants with the LQY1 gene from wild-type plants.
This further demonstrates that LQY1 is important in maintaining the activity of
photosystem II in Arabidopsis. LQY1 homologs are present in land plants but are
absent from sequenced genomes of green algae and cyanobacteria, which may reflect
plant adaptation to excess light stress during the transition to land.
PMID- 22080792
TI - Fiducial registration error as a statistical process control metric in image
guidance radiotherapy with fiducial markers.
AB - Portal imaging of implanted fiducial markers has been in use for image-guided
radiotherapy (IGRT) of prostate cancer, with ample attention to localization
accuracy and organ motion. The geometric uncertainties in point-based rigid-body
matching algorithms during localization of prostate fiducial markers can be
quantified in terms of a fiducial registration error (FRE). In this study, the
aim is to demonstrate how statistical process control (SPC) can be used to
intercept potential problems with rigid-body matching algorithms in a
retrospective study of FRE for a pilot cohort of 34 patients with fiducial
markers. A procedure for estimating control parameters of a SPC control chart (x
chart) from a small number of initial observations (N) of FRE was implemented.
The sensitivity analysis of N on the number of 'in-control' and 'out-of-control'
x-charts was also performed. Uncorrected rotational offsets of an individual
patient were examined to elucidate possible correlations with the behaviours of
an x-chart. Four specific types of qualitative x-chart behaviour have been
observed. The number of out-of-control processes was insensitive to the choice of
N, provided N >= 5. Residual errors of rigid-body registration were contributed
from uncorrected rotational offsets in 5 out of 15 'out-of-control' x-charts. Out
of-control x-charts were also shown to be correlated with potential changes in
the IGRT processes, which may compromise the quality of the radiation treatment
delivery. The SPC methodology, implemented in the form of individually customized
x-charts, has been shown to be a useful tool for monitoring process reliability
during fiducial-based IGRT for prostate cancer.
PMID- 22080793
TI - Development and application of purified tissue dissociation enzyme mixtures for
human hepatocyte isolation.
AB - Human hepatocyte transplantation is gaining acceptance for the treatment of liver
diseases. However, the reagents used to isolate hepatocytes from liver tissue are
not standardized and show lot-to-lot variability in enzyme activity and endotoxin
contamination. For clinical application, highly purified reagents are preferable
to crude digest preparations. A purified tissue dissociating enzyme (TDE)
preparation (CIzyme(TM) purified enzymes) was developed based on the enzyme
compositions found in a superior lot of collagenase previously used by our group
for human hepatocyte isolation. The performance of this enzyme preparation was
compared to collagenase type XI on 110 liver cases by assessing hepatocyte yield,
viability, and seven other functional assays that included plating efficiency,
basal and induced CYP450 activities, phase II conjugation activity, and ammonia
metabolism. No statistically significant difference was observed between these
TDEs when they were used to isolate hepatocytes from liver resections or organ
donor tissue on 54 hepatocyte isolations with type XI enzyme and 56 isolations
using CIzyme(TM). These results show that a highly purified and defined TDE
preparation can be formulated that provides excellent performance with respect to
viability, yield, and functional activity of the isolated cells. In addition to
reproducible formulation, these purified enzyme products have only 2-3% of the
endotoxin of crude enzyme preparations. These results show that purified enzymes
such as CIzyme(TM) will be a safe and effective for the isolation of human
hepatocytes for clinical transplants.
PMID- 22080794
TI - Full coverage for preventive medications after myocardial infarction.
AB - BACKGROUND: Adherence to medications that are prescribed after myocardial
infarction is poor. Eliminating out-of-pocket costs may increase adherence and
improve outcomes. METHODS: We enrolled patients discharged after myocardial
infarction and randomly assigned their insurance-plan sponsors to full
prescription coverage (1494 plan sponsors with 2845 patients) or usual
prescription coverage (1486 plan sponsors with 3010 patients) for all statins,
beta-blockers, angiotensin-converting-enzyme inhibitors, or angiotensin-receptor
blockers. The primary outcome was the first major vascular event or
revascularization. Secondary outcomes were rates of medication adherence, total
major vascular events or revascularization, the first major vascular event, and
health expenditures. RESULTS: Rates of adherence ranged from 35.9 to 49.0% in the
usual-coverage group and were 4 to 6 percentage points higher in the full
coverage group (P<0.001 for all comparisons). There was no significant between
group difference in the primary outcome (17.6 per 100 person-years in the full
coverage group vs. 18.8 in the usual-coverage group; hazard ratio, 0.93; 95%
confidence interval [CI], 0.82 to 1.04; P=0.21). The rates of total major
vascular events or revascularization were significantly reduced in the full
coverage group (21.5 vs. 23.3; hazard ratio, 0.89; 95% CI, 0.90 to 0.99; P=0.03),
as was the rate of the first major vascular event (11.0 vs. 12.8; hazard ratio,
0.86; 95% CI, 0.74 to 0.99; P=0.03). The elimination of copayments did not
increase total spending ($66,008 for the full-coverage group and $71,778 for the
usual-coverage group; relative spending, 0.89; 95% CI, 0.50 to 1.56; P=0.68).
Patient costs were reduced for drugs and other services (relative spending, 0.74;
95% CI, 0.68 to 0.80; P<0.001). CONCLUSIONS: The elimination of copayments for
drugs prescribed after myocardial infarction did not significantly reduce rates
of the trial's primary outcome. Enhanced prescription coverage improved
medication adherence and rates of first major vascular events and decreased
patient spending without increasing overall health costs. (Funded by Aetna and
the Commonwealth Fund; MI FREEE ClinicalTrials.gov number, NCT00566774.).
PMID- 22080795
TI - Was the black death in India and China?
AB - Firsthand accounts of the Black Death in Europe and the Middle East and many
subsequent historians have assumed that the pandemic originated in Asia and
ravaged China and India before reaching the West. One reason for this conviction
among modern historians is that the plague in the nineteenth century originated
and did its worst damage in these countries. But a close examination of the
sources on the Delhi Sultanate and the Yuan Dynasty provides no evidence of any
serious epidemic in fourteenth-century India and no specific evidence of plague
among the many troubles that afflicted fourteenth-century China.
PMID- 22080796
TI - "Because of their praiseworthy modesty, they consult too late": regime of hope
and cancer of the womb, 1800-1910.
AB - The birth of the "do not delay" principle in cancer treatment has often been
linked with developments in late nineteenth century: the rise of histology and
cellular theory of malignancy that favored the definition of cancer as a local
pathology, then the development of radical surgical techniques that transformed
malignant tumors into a potentially curable condition. This text seeks to nuance
this view. It points out important continuities in the understanding of the
natural history of uterine cancers. At its center, the wish, already present in
early nineteenth century, is to detect "early," that is, small and localized
malignant lesions, then to extirpate or destroy these lesions before they become
fully blown cancer. The long history of this particular regime of hope helps
demonstrate why it is so difficult today to promote more nuanced views of the
efficacy of early detection of malignant tumors.
PMID- 22080797
TI - Local government health services in interwar England: problems of quantification
and interpretation.
AB - This article provides a critical discussion of recent work on local government
health care and health services in interwar England. A literature review examines
case study approaches and comparative quantitative surveys, highlighting
conventional and revisionist interpretations. Noting the differing selection
criteria evident in some works, it argues that studies based upon a limited
number of personal health services provide an insufficient basis for assessing
local health activity and policy. There follows a regional study demonstrating
various discrepancies between health financing data in local sources and those in
nationally collated returns. These in turn give rise to various problems of
assessment and interpretation in works relying on the latter, particularly with
respect to services for schoolchildren and long-stay patients. The case study
points to the importance of integrating poor law medical services in evaluations,
and of learning more about the role of government subsidy in supporting expanding
services.
PMID- 22080798
TI - Translating Western modernity: the first chinese hospital in america.
AB - Since hospitals are often at the center of health care services, their foundation
and evolution provides valuable insights into local political, economic, and
cultural contexts. Based on Western and Chinese sources, this essay employs a
transnational approach to explain the establishment of the Tung Wah or Oriental
Dispensary in San Francisco. This article describes the institution's aims,
financing, and combined operation of Western and Chinese medical staffs in an
environment of racial discrimination and political power struggles, as well as
deep social and cultural divisions during the early twentieth-century plague
epidemic.
PMID- 22080799
TI - American association for the history of medicine: report of the eighty-fourth
annual meeting.
PMID- 22080800
TI - Phonon dispersions in random alloys: a method based on special quasi-random
structure force constants.
AB - In an attempt to obtain reliable first-principles phonon dispersions of random
alloys, we have developed a method to calculate the dynamical matrix, with
respect to the wavevector space of the ideal lattice, by averaging over the force
constants of a special quasi-random structure. Without additional approximations
beyond standard density functional theory, the present scheme takes into account
the local atomic position relaxations, the composition disorder, and the force
constant disorder in a random alloy. Numerical results are presented for
disordered Cu(3)Au, FePd, and NiPd and good agreement between the calculations
and the inelastic neutron scattering data is observed.
PMID- 22080801
TI - Determination of memantine in plasma and vitreous humour by HPLC with precolumn
derivatization and fluorescence detection.
AB - A new HPLC procedure with precolumn derivatization and rimantadine as the
internal standard for determining memantine, a candidate agent for the treatment
of glaucoma in plasma and vitreous humour, has been developed and validated.
Precolumn derivatization was performed with 9-fluorenylmethyl-chloroformate
chloride (FMOC-Cl) as the derivatization reagent and followed by a liquid-liquid
extraction with n-hexane. Optimal conditions for derivatization were an FMOC-Cl
concentration of 1.5 mM, a reaction time of 20 min, the temperature at 30 degrees
C, the borate buffer pH 8.5, and a borate buffer-acetonitrile ratio of 1:1. The
derivatives were analyzed by isocratic HPLC with the fluorescence detector
lambdaex 260 nm lambdaem 315 nm on a Novapack C(18) reversed-phase column with a
mobile phase of acetonitrile-water (73:27, v/v), 40 degrees C, and a flow rate of
1.2 mL/min. The linear range was 10-1000 ng/mL with a quantification limit of ~
10 ng/mL for both types of samples. This analytical method may be suitable for
using in ocular availability studies.
PMID- 22080802
TI - A new HPLC-UV validated method for therapeutic drug monitoring of tyrosine kinase
inhibitors in leukemic patients.
AB - Development and validation of simple, rapid, and reliable high-performance liquid
chromatography (HPLC)-UV method for quantification of major tyrosine kinase
inhibitors, imatinib, dasatinib, and nilotinib, in human plasma is presented.
Chromatographic separation of the drugs is achieved on an RP-C(18) column at
flow rate of 0.9 mL/min at 35 degrees C; eluate is monitored at 267 nm. Mean
intra-day and inter-day precision for all compounds are 2.5 and 13.3%; mean
accuracy is 13.9%; extraction recovery ranges within 40.24 and 81.81%.
Calibration curves range from 10 to 0.005 MUg/mL. Limits of detection are 10
ng/mL for imatinib and nilotinib, 50 ng/mL for dasatinib; limits of quantitation
are 50 ng/mL for imatinib and nilotinib, 100 ng/mL for dasatinib. Although this
method allows the detection of dasatinib, levels found in patients plasma are
close to the limit of detection, then below the limit of quantitation.
Quantification with HPLC-mass spectrometry, then, is required for dasatinib to
give a correct evaluation. In conclusion, the sensitivity of this new method is
sufficient to perform therapeutic monitoring and pharmacokinetic studies of
imatinib and nilotinib but not dasatinib in CML patients.
PMID- 22080803
TI - Pharmacological classification of drugs by principal component analysis applying
molecular modeling descriptors and HPLC retention data.
AB - Pharmacological classification of drugs by principal component analysis (PCA)
based on molecular modeling and high-performance liquid chromatography (HPLC)
retention data is proposed. First, a group of 20 drugs of recognized
pharmacological classification are chromatographed in eight diversified HPLC
systems, applying columns with octadecylsilanes, phosphatidylcholine, as well as
alpha1-glycoprotein and albumin. Additionally, molecular modeling studies, based
on the structural formula of the drugs considered, are performed. Sixteen
structural descriptors are derived. A matrix of 20 * 24 HPLC data together with
molecular parameters are subjected to principal component analysis, and this
revealed five main factors with eigenvalues higher than 1. The first principal
component (factor 1) accounted for 47.8% of the variance in the data, and the
second principal component (factor 2) explained 21.0% of data variance. The total
data variance was 82.6% and is explained by the first three factors. The
clustering of drugs is in accordance with their pharmacological classification,
which proved that the PCA of the HPLC retention data, together with their
structural descriptors, allowed the drugs to be segregated accurately to their
pharmacological properties. This may be of help in reducing the number of
biological assays needed in the development of a new drug.
PMID- 22080804
TI - UHPLC method for the simultaneous determination of beta-blockers, isoflavones,
and flavonoids in human urine.
AB - A simple method using solid-phase extraction (SPE) and ultra high-performance
liquid chromatography (UHPLC) for the simultaneous determination of beta
blockers, isoflavones, and flavonoids in human urine is developed. A statistical
central composite design and response surface analysis is used to optimize the
separation of the analytes. These multivariate procedures are efficient in
determining the optimal separation condition using resolutions and retention time
as responses. A gradient elution using a mobile phase consisting of 0.05%
trifluoroacetic acid in water and acetonitrile is applied on a Hypersil GOLD
column within a short analysis time of 4.5 min. UV detection was used to monitor
the analytes. The suggested method was linear in a concentration range from 0.04
20.00 MUg/mL, depending on the compound. The limits of detection ranged from 8.9
to 66.2 ng/mL. The precision was lower than 2.74%, and the accuracy was between
0.01-3.65%. The Oasis HLB column, with the highest recoveries, is selected for
the pre-concentration step. This present paper reports, for the first time, a
method for the simultaneous determination of beta-blockers, isoflavones, and
flavonoids in human urine samples. Furthermore, the developed method can also be
applied to the routine determination of examined compounds concentrations in
human urine.
PMID- 22080805
TI - Development and validation of new assay method for the simultaneous analysis of
diltiazem, metformin, pioglitazone and rosiglitazone by RP-HPLC and its
applications in pharmaceuticals and human serum.
AB - Simple, sensitive, rapid, and accurate high-performance liquid chromatographic
(HPLC) method is developed and validated for the simultaneous determination of
diltiazem, metformin, pioglitazone, and rosiglitazone hydrochloride in raw
materials, their pharmaceutical formulations, and human serum. In HPLC, all the
above drugs were chromatographed using acetonitrile-methanol-water (30:20:50,
v/v, pH 2.59 +/- 0.02) as the mobile phase at a flow rate of 1.0 mL/min at
ambient temperature. The separation is carried out on a Hiber, 250-4.6 RP-18
column, equipped with a UV-vis detector at 230 nm. All the antidiabetic drugs
eluted at different retention time and each showed a good resolution from
diltiazem. The method is successfully applied to pharmaceutical formulations
because no chromatographic interferences from the tablet excipients are found.
The method is found to be linear, accurate, and precise with apposite detection
and quantification limit. Suitability of the method for the quantitative
determination of the drugs is proven by validation in accordance with the
requirements laid down by International Conference on Harmonization (ICH)
guidelines. The validation results, together with statistical treatment of the
data, demonstrated the reliability of this method.
PMID- 22080806
TI - A stability indicating HPLC method for the determination of electrochemically
controlled release of risperidone.
AB - A rapid stability indicating reversed-phase high-performance liquid
chromatography (HPLC) method is developed for the determination of the
electrochemically controlled risperidone release from a novel drug delivery
system, based on the intrinsically conducting polymer (ICP), polypyrrole. The
chromatographic separation was carried out on a C(18) column using acetonitrile
potassium dihydrogen phosphate (45:55, v/v, pH 6.5; 0.05 M) as the mobile phase.
The isocratic flow is at 1.0 mL/min, with a runtime of 6 min, and the UV
detection is at 237 nm. This provided a calibration curve linear over the range
of 1-100 MUg/mL. Intra-day and inter-day accuracy range between 98.4% and 102.6%,
and the RSD for precision is <1.43%. The limit of detection and quantitation were
determined to be 0.001 MUg/mL and 0.01 MUg/mL, respectively. The analytical
method confirmed risperidone is stable for the oxidizing electric potential and
the acidic environment involved during the manufacture and operation of the novel
drug delivery system. The rate of risperidone release from polypyrrole depended
on electrical stimulation applied to the polymer. This HPLC method is
significantly faster than previously published methods and is the first to
investigate the effect of an oxidizing potential on risperidone stability, which
is essential for the evaluation of controlled delivery from an ICP-based system.
PMID- 22080807
TI - RP-HPLC stability-indicating assay method for talinolol and characterization of
its degradation products.
AB - A reversed-phase high-performance liquid chromatographic method is developed and
validated for the quantitative determination of talinolol and to characterize its
degradation products. A very good resolution between peaks is achieved using a
C18 column at 40 degrees C. The mobile phase comprises of a mixture of
acetonitrile and potassium dihydrogen orthophosphate buffer (pH 4.4) in the ratio
of 27:73 (v/v). The method is validated with respect to linearity, accuracy,
precision, robustness, and forced degradation studies, which further proved the
stability indicating power. During the forced degradation studies, talinolol is
observed to be labile to hydrolytic stress and thermal stress (in the solution
form). However, it is stable to the oxidative, photolytic, and thermal stress (in
the solid form). The degraded products formed are investigated by electrospray
ionization (ESI), time-of-flight mass spectrometry, nuclear magnetic resonance,
and infrared spectroscopy. A possible degradation pathway is outlined based on
the results. The method is found to be sensitive with a detection limit of 0.125
MUg/mL and a quantitation limit of 0.378 MUg/mL. The method is also demonstrated
to be robust, as it is resistant to small variations of chromatographic variables
such as pH, mobile phase composition, flow rate, and column temperature.
PMID- 22080808
TI - A simple HPLC-DAD method for determination of adapalene in topical gel
formulation.
AB - A simple stability indicating high-performance liquid chromatography method for
the analysis of adapalene in pharmaceutical gel formulation is developed and
validated. An isocratic separation is performed using a Merck RP-8 (150 mm * 4.6
mm i.d., particle size 5 m) column and a mixture of acetonitrile water (67:33,
v/v, pH adjusted to 2.5 with phosphoric acid) as the mobile phase. The detection
is achieved with a photodiode array detector at 321 nm. The specificity of the
method is verified by subjecting both the reference substance and the
pharmaceutical form to hydrolytic, oxidative, photolytic, and thermal stress
conditions. There is no interference from the excipients of the formulation on
the determination of adapalene in gel. The response is linear over the
concentration range of 8.0-16.0 MUg/mL (r > 0.999) with a limit of detection and
quantification of 0.04 and 0.14 MUg/mL, respectively. The mean recovery is
100.8%. The RSD values for the intra- and inter-day precision studies are < 1.2%.
The method is validated by reaching satisfactory results for linearity,
selectivity, specificity, precision, accuracy, robustness, and system
suitability.
PMID- 22080809
TI - Development and validation of an LC-MS-MS method for the simultaneous
determination of sulforaphane and its metabolites in rat plasma and its
application in pharmacokinetic studies.
AB - A highly sensitive and simple high-performance liquid chromatographic-tandem mass
spectrometric (LC-MS-MS) assay is developed and validated for the quantification
of sulforaphane and its metabolites in rat plasma. Sulforaphane (SFN) and its
metabolites, sulforaphane glutathione (SFN-GSH) and sulforaphane N-acetyl
cysteine (SFN-NAC) conjugates, are extracted from rat plasma by methanol-formic
acid (100:0.1, v/v) and analyzed using a reversed-phase gradient elution on a
Develosil 3 MUm RP-Aqueous C(30) 140A column. A 15-min linear gradient with
acetonitrile-water (5:95, v/v), containing 10 mM ammonium acetate and 0.2% formic
acid, as mobile phase A, and acetonitrile-water (95:5, v/v), containing 10 mM
ammonium acetate and 0.2% formic acid as mobile phase B, is used. Sulforaphane
and its metabolites are well separated. Sulforaphene is used as the internal
standard. The lower limits of quantification are 1 ng/mL for SFN and 10 ng/mL for
both SFN-NAC and SFN-GSH. The calibration curves are linear over the
concentration range of 25-20,000 ng/mL of plasma for each analyte. This novel LC
MS-MS method shows satisfactory accuracy and precision and is sufficiently
sensitive for the performance of pharmacokinetic studies in rats.
PMID- 22080810
TI - Effects of E-BEAM sterilization on drug-eluting stents: paclitaxel degradation
elucidated by LC-MS-MS with information-dependent acquisition.
AB - Effects of sterilization by electron beam (E-BEAM) on paclitaxel (1) mixed with
poly(DL-lactide-co-glycolide) (PLG) in reservoirs of COSTAR Stents are examined
by using liquid chromatography-mass spectrometry (LC-MS-MS) techniques with
information-dependent acquisition (IDA). Numerous degradation products of 1 are
formed in a beta-radiation dose-dependent manner to give plethora of low-level
degradants. This behavior, together with multiple interferences from PLG-related
compounds, creates considerable challenges for analysis of the drug/PLG mixtures.
IDA methods with different survey scans are proven to be very efficient in
elucidating degradation pathways and in identifying numerous products. Combined
LC-MS-MS results from analysis of sterilized drug substance and stents indicate
that water addition and oxidative processes together with the isomerization are
largely responsible for degradation of 1 under E-BEAM sterilization conditions
used.
PMID- 22080811
TI - Determination of acrylamide in starch-based foods by HPLC with pre-column
ultraviolet derivatization.
AB - A new method is developed for the determination of acrylamide in starch-based
foods. The method included the extraction of acrylamide with water, defatting
with hexane, derivatization with potassium bromate (KBrO(3)) and potassium
bromide (KBr), liquid-liquid extraction with ethyl acetate-hexane (4:1), and
concentration. The final analyte (2-bromopropenamide, 2-BPA) is analyzed by high
performance liquid chromatography coupled with diode array detection for
quantification and by gas chromatography coupled to mass spectrometry for
confirmation. The chromatographic analysis is performed on an ODS-3 C(18) column,
and good retention and peak response of acrylamide are achieved under the optimal
conditions. The limit of detection and quantitation are estimated to be 15 and 50
MUg/kg, respectively. The recoveries of acrylamide from the commercial samples
are spiked at levels of 50-1000 MUg/kg, and range between 89.6 and 102.0%. These
results show that this method should be regarded as a new, low-cost, and robust
alternative for conventional investigation of acrylamide.
PMID- 22080812
TI - Regeneration of tetrabutylammonium ion-pairing reagent distribution in a gradient
elution of reversed phase ion-pair chromatography.
AB - The regeneration of ion-pairing reagent distribution on liquid chromatography
columns after gradient elution has been well recognized as the cause for long
column equilibration time, a major drawback associated with gradient elution
reverse phase ion-pair chromatography. To date, the majority of studies have
focused on optimizing the separation conditions to shorten the equilibration
time. There is limited understanding of the ion-pairing reagent distribution
process between the mobile phase and stationary phase in the course of gradient
elution, and subsequent column re-equilibration. The focus of this work is to
gain a better understanding of this process. An ion-pair chromatographic system,
equipped with a YMC ODS C(18) column and a mobile phase containing
tetrabutylammonium (TBA) hydroxide as the ion-pairing reagent, was used in the
study. The TBA distribution profile was established by measuring its
concentration in the eluent fractions collected during the gradient cycle using
different column equilibration times with an ion chromatographic method.
Furthermore, the analyte retention time was evaluated as the function of the
column equilibration time and TBA concentration in the mobile phase. The column
equilibration and its impact on the method robustness will also be discussed.
PMID- 22080813
TI - Intracellular drug delivery in Leishmania-infected macrophages: Evaluation of
saponin-loaded PLGA nanoparticles.
AB - Drug delivery systems present an opportunity to potentiate the therapeutic effect
of antileishmanial drugs. Colloidal carriers are rapidly cleared by the
phagocytic cells of the reticuloendothelial system (RES), rendering them ideal
vehicles for passive targeting of antileishmanials. This paper describes the
development of poly(D,L-lactide-co-glycolide) (PLGA) nanoparticles (NPs) for the
antileishmanial saponin beta-aescin. NPs were prepared using the combined
emulsification solvent evaporation/salting-out technique. Confocal microscopy was
used to visualise the internalisation and intracellular trafficking of
fluorescein- and nile red-labelled PLGA NPs in J774A.1 macrophages infected with
GFP-transfected Leishmania donovani. The in vitro activity of aescin and aescin
loaded NPs on L. infantum was determined in the axenic model as well as in the ex
vivo model. The developed PLGA NPs were monodispersed with Z(ave)<300 nm,
exhibited negative zeta potentials and had relatively high drug loadings ranging
from 5.80 to 8.68% w/w PLGA. The fluorescent NPs were internalised by the
macrophages and trafficked towards the lysosomes after 2 h in vitro incubation.
Co-localisation of the NPs and the parasite was not shown. A two-fold increase in
activity was observed in the ex vivo macrophage model by encapsulating beta
aescin in PLGA NPs (IC(50), 0.48-0.76 ug/mL vs. 1.55 +/- 0.32 ug/mL for the free
drug).
PMID- 22080814
TI - Welfare regimes, population health and health inequalities: a research synthesis.
AB - BACKGROUND: Research on the social determinants of health is increasingly using
welfare regime theory. Although a key argument is that population health will be
better and health inequalities lower in social democratic regimes than in others,
this research has not been subjected to a systematic review. This paper
identifies and assesses empirical studies that explicitly use a welfare regime
typology in comparative health research. METHODS: 15 electronic databases and
relevant bibliographies were searched to identify empirical studies published in
English-language journals from January 1970 to February 2011. Thirty-three
studies appearing in 14 peer-reviewed journals between 1994 and 2011 met the
inclusion criteria. RESULTS: Three welfare regime typologies and their variants
dominated existing work, which consisted of two broad study types: One compared
population health and health inequalities across welfare regimes; the other
considered relationships between health and the political determinants and
policies of welfare regimes. Studies were further distinguished by the presence
or absence of statistical significance testing of relationships of interest. Just
under one half of studies comparing outcomes by regime found at least some
evidence that health inequalities were lowest or population health was the best
in social democratic countries. Studies analysing the relationship between health
(mortality) and the political determinants or policies of welfare states were
more likely to report results consistent with welfare regime theory. CONCLUSIONS:
Health differences by regime were not always consistent with welfare regime
theory. Measurement of policy instruments or outcomes of welfare regimes may be
more promising for public health research than the use of typologies alone.
PMID- 22080815
TI - Cumulative exposure to poor housing affordability and its association with mental
health in men and women.
AB - BACKGROUND: Poor housing affordability affects around 10% of the Australian
population and is increasingly prevalent. The authors tested two hypotheses: that
cumulative exposure to housing affordability stress (HAS) is associated with
poorer mental health and that effects vary by gender. METHODS: The authors
estimated the relationship between cumulative exposure to HAS and mental health
among 15478 participants in an Australian longitudinal survey between 2001 and
2009. Individuals were classified as being in HAS if household income was in the
lowest 40% of the national distribution and housing costs exceeded 30% of income.
Exposure to HAS ranged from 1 to 8 annual waves. Mental health was measured using
the Short Form 36 Mental Component Summary (MCS) score. To test the extent to
which any observed associations were explained by compositional factors, random-
and fixed-effects models were estimated. RESULTS: In the random-effects models,
mental health scores decreased with increasing cumulative exposure to HAS (up
until 4+ years). This relationship differed by gender, with a stronger dose
response observed among men. The mean MCS score of men experiencing four to eight
waves of housing stress was 2.02 points lower than men not in HAS (95% CI -3.89
to -0.16). In the fixed-effects models, there was no evidence of a cumulative
effect of HAS on mental health; however, lower MCS was observed after a single
year in HAS (beta=-0.70, 95% CI -1.02 to -0.37). CONCLUSIONS: While average
mental health was lower for individuals with longer exposure to HAS, the mental
health effect appears to be due to compositional factors. Furthermore, men and
women appear to experience cumulative HAS differently.
PMID- 22080817
TI - Understanding the link between environmental exposures and health: does the
exposome promise too much?
AB - Environmental exposures affecting human health range from complex mixtures, such
as environmental tobacco smoke, ambient particulate matter air pollution and
chlorination by products in drinking water, to hazardous chemicals, such as lead,
and polycyclic aromatic hydrocarbons, such as benz(a)pyrene. The exposome has
been proposed to complement the genome and be the totality of all environmental
exposures of an individual over his or her lifetime. However, if measurements of
the exposome in biological samples are the sole tool for exposure assessment
there are a number of limitations. First, it has limited utility for fully
capturing the impact of complex mixtures such environmental tobacco smoke or
particulate matter air pollution. Second, a number of relevant environmental
exposures such as noise, heat or electromagnetic fields do not have direct
correlates as metabolites or protein adducts, but there is important evidence
linking them with health effects. Third, functional genomic changes are likely in
many instances to be both a susceptibility factor and a marker of internal doses
in response to environmental exposures. Fourth, internal dose measurements of
environmental exposures might have lost the distinct signature of the relevant
sources. This paper emphasises the obligation of environmental epidemiology to
provide robust evidence to assist timely and sufficient protection of vulnerable
subgroups of populations from environmental hazards. Therefore, in applying the
exposome concept to environmental health problems, a strong link with the
external environment needs to be maintained.
PMID- 22080816
TI - Current and long-term spousal caregiving and onset of cardiovascular disease.
AB - BACKGROUND: Prior evidence suggests that caregiving may increase risk of
cardiovascular disease (CVD) onset. This association has never been examined in a
nationally (USA) representative sample, and prior studies could not fully control
for socioeconomic confounders. This paper seeks to estimate the association
between spousal caregiving and incident CVD in older Americans. METHODS: Married,
CVD-free Health and Retirement Study respondents aged 50+ years (n=8472) were
followed up to 8 years (1669 new stroke or heart disease diagnoses). Current
caregiving exposure was defined as assisting a spouse with basic or instrumental
activities of daily living >=14 h/week according to the care recipients' report
in the most recent prior biennial survey; we define providing >=14 h/week of care
at two consecutive biennial surveys as 'long-term caregiving'. Inverse
probability weighted discrete-time hazard models with time-updated exposure and
covariate information (including socioeconomic and cardiovascular risk factors)
were used to estimate the effect of caregiving on incident CVD. RESULTS:
Caregiving significantly predicted CVD incidence (HR=1.35, 95% CI 1.06 to 1.68)
in the population overall. Long-term caregiving was associated with double the
risk of CVD onset (HR=1.95, 95% CI 1.19 to 3.18). This association for long-term
care givers varied significantly by race (p<0.01): caregiving predicted CVD onset
for white (HR=2.37, 95% CI 1.43 to 3.92) but not for non-white (HR=0.28, 95% CI
0.06 to 1.28). CONCLUSIONS: Spousal caregiving independently predicted risk of
CVD in a large sample of US adults. There was significant evidence that the
effect for long-term care givers differs for non-whites and white.
PMID- 22080818
TI - The Baby-Friendly Hospital Initiative shows positive effects on breastfeeding
indicators in Brazil.
AB - BACKGROUND: The Baby-Friendly Hospital Initiative (BFHI) has been implemented by
WHO and Unicef with a view to protect, promote and support breast feeding. This
paper aims to assess the influence of the BFHI on breastfeeding indicators in
Brazil, using data from the 2nd Survey of Breastfeeding Prevalence, conducted in
2008. METHODS: Data on 64 municipalities were analysed: a total of 65,936 infants
under the age of 1 year who were covered by the 2008 immunisation campaign. The
outcomes of interest were breast feeding in the first hour of life in infants
under 1 year of age; exclusive breast feeding on the first day after hospital
discharge in infants under 4 months of age; exclusive breast feeding in infants
under 2, 3 and 6 months of age; and pacifier use in infants under 6 months of
age. The influence of birth in baby-friendly hospitals (BFHs) on these end points
was analysed by means of Poisson regression with robust variance for complex
samples. FINDINGS: Infants born in BFHs were 9% more likely to be breast fed in
the first hour of life and 6% more likely to be breast fed on the first day at
home. Exclusive breast feeding was 13%, 8% and 6% more likely in infants under
the ages of 2, 3 and 6 months, respectively, born in BFHs. Birth in a BFH also
correlated with significant less pacifier use. CONCLUSIONS: The BFHI has had an
impact on several indicators of breast feeding. The authors hope the results of
this study will make policy makers and health professionals aware of the
importance and potential of this strategy.
PMID- 22080819
TI - Age- and sex-specific reference limits for creatinine, cystatin C and the
estimated glomerular filtration rate.
AB - BACKGROUND: Early detection of patients with chronic kidney disease is of great
importance. This study developed reference limits for serum creatinine and serum
cystatin C concentrations and for the estimated glomerular filtration rate (eGFR)
in healthy subjects from the general population aged 25-65 years. METHODS: This
study defined a reference population including 985 subjects from the first follow
up of the Study of Health in Pomerania. Serum creatinine was measured with a
modified kinetic Jaffe method. Serum cystatin C was measured with a nephelometric
assay. The eGFR was calculated from serum creatinine according to the Cockcroft
Gault (eGFR(CG)) and the Modification of Diet in Renal Disease (eGFR(MDRD))
equation, respectively, as well as from serum cystatin C according to the formula
by Larsson (eGFR(Larsson)). Non-parametric quantile regression was used to
estimate the reference limits. For serum creatinine and serum cystatin C the 95th
percentile and for eGFR(CG), eGFR(MDRD) and eGFR(Larsson) the 5th percentile were
selected as reference limits. All data was weighted to reflect the age- and sex
structure of the German population in 2008. RESULTS: The reference limits for
serum creatinine (men: 1.11-1.23 mg/dL; women: 0.93-1.00 mg/dL) and serum
cystatin C levels (men: 0.92-1.04 mg/L; women: 0.84-1.02 mg/L) increased with
advancing age. The reference limits for eGFR decreased with increasing age
(eGFR(CG) men: 106.0-64.7 mL/min, women 84.4-57.9 mL/min; eGFR(MDRD) men: 82.5
62.2 mL/min/1.73 m2, women 75.0-58.2 mL/min/1.73 m2; eGFR(Larsson) men: 85.5-72.9
mL/min, women 94.5-75.7 mL/min). CONCLUSIONS: This study presents age- and sex
specific reference limits for five measures of renal function based on quantile
regression models.
PMID- 22080821
TI - A comparison of the acute effects of calcium and strontium ranelate on the serum
marker of bone resorption.
AB - BACKGROUND: To investigate the mechanism by which strontium ranelate (SrR)
inhibits the bone resorption, this study compared the effects of SrR and calcium
on parathyroid hormone (PTH) and the biochemical marker of bone resorption (serum
type 1 collagen cross-linked C-telopeptide, betaCTX). METHODS: In 10 healthy
young subjects, after overnight fasting, 1000 mg of elemental calcium and 2000 mg
of SrR containing 600 mg Sr2+ were administered consecutively with a 1 week
washout period. During the control period no drug was given. Fasting blood
samples were drawn at baseline and throughout the next 5-h period. RESULTS: After
the ingestion of either calcium or SrR, there was a significant increase in serum
calcium and strontium concentrations, and a decrease in serum betaCTX and intact
PTH concentrations as compared to the baseline values (p<0.05). In the fasting
subjects, no significant differences in the variable were found as compared to
the baseline values. CONCLUSIONS: The decrease in PTH and the marker of bone
resorption observed after the SrR administration is comparable to the decrease
observed after the calcium administration in young adults.
PMID- 22080822
TI - Comparison of Bio-Plex measurements with standard techniques.
PMID- 22080823
TI - Gastrointestinal infection as a trigger for inflammatory bowel disease.
AB - PURPOSE OF REVIEW: There is accumulating evidence on the importance of microbes
in the development and maintenance of both the intestinal and immune systems.
This review focuses on the current findings on the role of gastrointestinal
pathogens in the cause of chronic inflammatory bowel disease. RECENT FINDINGS: A
number of intestinal pathogens including Mycobacterium avium subspecies
paratuberculosis, adherent-invasive Escherichia coli, and Campylobacter species
are associated at fairly high prevalence with Crohn's disease, while two recent
studies found a low prevalence for cytomegalovirus. In a prospective study, M.
avium subspecies paratuberculosis detection in early Crohn's disease was low and
comparable to controls, while much higher in an established inflammatory bowel
disease cohort. In the pediatric setting, a high prevalence of Clostridium
difficile was seen in both active and inactive Crohn's disease and ulcerative
colitis patients. Some studies have speculated that Salmonella or Campylobacter
infection may increase the risk of inflammatory bowel disease on long-term follow
up, but detection bias was found to obscure the risk. Recent studies in mouse
models have demonstrated that a combination of factors, including viral
pathogens, genetic susceptibility, and commensal microflora, can lead to
intestinal pathology. SUMMARY: No evidence for causation of inflammatory bowel
disease by a single agent has been found, whereas a number of microbes have been
strongly associated with the presence of disease. The majority of recent studies
support a role for the ability of intestinal pathogens to promote chronic
inflammation in individuals with genetic susceptibility and/or other
environmental factors which remain to be identified. These factors may include
subsets of commensal microflora.
PMID- 22080824
TI - Vaccines for enteric infections.
AB - PURPOSE OF REVIEW: To provide a review of currently licensed enteric vaccines and
their efficacy based on completed field trials. RECENT FINDINGS: In this review,
we provide a brief description of the epidemiology of the most common enteric
infections, in both developing and industrialized countries. We also describe the
types, dosage, age-eligibility, availability, and efficacies of currently
licensed vaccines, and review the results of recently completed clinical trials
around the world. SUMMARY: Several enteric vaccines are currently available.
Although some vaccines have proven highly effective in industrialized countries
where the disease burden is low (so-called travelers vaccines), they have
demonstrated a lower protective effect in endemic countries where the disease is
more prevalent. However, due to the magnitude of disease in endemic countries,
even with lower efficacy, the potential for a vaccine to reduce the absolute
number of cases remains considerable. Despite the continued reduction in overall
disease burden with increased public health measures, such as improved
sanitation, antimicrobials, and greater public awareness, enteric infections
continue to cause significant morbidity and mortality in vulnerable populations.
We contend that adoption and dissemination of available vaccines at affordable
prices should be accelerated, particularly in areas where the disease burden is
highest.
PMID- 22080825
TI - Approach to the patient with infectious colitis.
AB - PURPOSE OF REVIEW: To provide current recommendations for evaluation and
treatment of patients with infectious colitis. Infectious colitis is diagnosed in
someone with diarrhea and one or more of the following: fever and/or dysentery,
stools containing inflammatory markers such as leukocytes, lactoferrin, or
calprotectin, or positive stool culture for an invasive or inflammatory bacterial
enteropathogen including Shigella, Salmonella, Campylobacter, Shiga toxin
producing Escherichia coli (STEC) or Clostridium difficile, or colonic
inflammation by endoscopy. RECENT FINDINGS: Standard stool culture should be
performed in patients with infectious colitis. Epidemiologic findings including
prior international travel, shellfish-associated diarrhea, living in parasite
endemic regions may suggest the need for specialized studies of etiology. When
STEC is suspected as a pathogen because only low grade or no fever is seen in a
patient with acute dysentery, a competent laboratory should look for E. coli
O157:H7 and Shiga toxin directly in stool. SUMMARY: Once laboratory diagnosis is
made, pathogen-specific antimicrobial therapy should be initiated for all forms
of infectious colitis other than STEC. For empiric treatment of febrile
dysenteric diarrhea invasive bacterial enteropathogens (Shigella, Salmonella, and
Campylobacter) should be suspected and adults may be treated empirically with
1000mg azithromycin in a single dose.
PMID- 22080826
TI - Motility disorders of the colon and rectum.
AB - PURPOSE OF REVIEW: The major motor functions of the large bowel include storage,
propulsion and defecation. New developments continue to expand our knowledge of
this area and provide significant advances in the treatment of disorders of
colorectal motility. RECENT FINDINGS: This article reviews new techniques to
study colon motility and transit in health and constipation, recent published
data which support the efficacy of novel and established laxatives for both
functional and opioid-induced constipation, the development of neuromodulatory
techniques for severe constipation and new insights into the pathogenesis and
treatment of levator syndrome. SUMMARY: The articles referenced in this review
inform the reader of new developments in understanding and treating disorders of
colonic and anorectal motility and anticipate future advances.
PMID- 22080827
TI - The intestinal microbiota in health and disease.
AB - PURPOSE OF REVIEW: The indigenous gut microbiota has been shown to be a key
player in maintaining gastrointestinal homeostasis. This review discusses some of
the recent work that reveals how the gut microbiome helps establish and protect
intestinal health and how disturbances in this microbial community can lead to
disease states. RECENT FINDINGS: The use of culture-independent methods has
greatly improved our ability to determine the structure and function of the gut
microbiome. The gut microbiota has critical interactions with the host immune
system and metabolism with bilateral influences shaping both the host and the
microbiome. Alterations in the gut microbiome are associated with a variety of
disease states but we are only now beginning to understand the mechanisms by
which this occurs. SUMMARY: Understanding how the gut microbiome contributes to
intestinal health should lead to novel preventive strategies and therapies for a
variety of gastrointestinal conditions.
PMID- 22080828
TI - An investigation of inconsistent projections and artefacts in multi-pinhole SPECT
with axially aligned pinholes.
AB - Multiple pinholes are advantageous for maximizing the use of the available field
of view (FOV) of compact small animal single photon emission computed tomography
(SPECT) detectors. However, when the pinholes are aligned axially to optimize
imaging of extended objects, such as rodents, multiplexing of the pinhole
projections can give rise to inconsistent data which leads to 'ghost point'
artefacts in the reconstructed volume. A novel four pinhole collimator with a
baffle was designed and implemented to eliminate these inconsistent projections.
Simulation and physical phantom studies were performed to investigate artefacts
from axially aligned pinholes and the efficacy of the baffle in removing
inconsistent data and, thus, reducing reconstruction artefacts. SPECT was
performed using a Defrise phantom to investigate the impact of collimator design
on FOV utilization and axial blurring effects. Multiple pinhole SPECT acquired
with a baffle had fewer artefacts and improved quantitative accuracy when
compared to SPECT acquired without a baffle. The use of four pinholes positioned
in a square maximized the available FOV, increased acquisition sensitivity and
reduced axial blurring effects. These findings support the use of a baffle to
eliminate inconsistent projection data arising from axially aligned pinholes and
improve small animal SPECT reconstructions.
PMID- 22080829
TI - Retraction. Mitochondrial pathophysiology and type 2 diabetes mellitus.
PMID- 22080830
TI - Validation of the key informant method to identify children with disabilities:
methods and results from a pilot study in Bangladesh.
AB - PURPOSE: To assess whether the key informant method (KIM) is an effective method
to identify children with disabling sensory or physical impairments, or epilepsy
(tonic-clonic seizures), in a low-income setting. METHODS: In one subdistrict
each, the Key Informants (KIs) were trained to recognize children with visual
impairment, hearing impairment, physical impairment and epilepsy, respectively.
In the fifth subdistrict, the KIs were trained to recognize all four conditions.
RESULTS: Of the 2260 children identified by KIs, 1227 attended for examination
(54%), of which 911 were diagnosed to have a disabling impairment (74%). KIM had
a high sensitivity (average 98%) for case detection in all groups but specificity
was lower (average 44%), particularly for hearing impairment. CONCLUSIONS: KIs
were able to identify children with epilepsy, sensory and physical impairments.
KIM is an effective and low-cost method to identify children with disability in a
low-income setting.
PMID- 22080831
TI - Ethanol increases GABAergic transmission and excitability in cerebellar molecular
layer interneurons from GAD67-GFP knock-in mice.
AB - AIMS: This study assessed the acute effect of ethanol on GABAergic transmission
at molecular layer interneurons (MLIs; i.e. basket and stellate cells) in the
cerebellar cortex. The actions of ethanol on spontaneous firing of these
pacemaker neurons were also measured. METHODS: Transgenic mice (glutamic acid
decarboxylase 67-green fluorescent protein knock-in mice) that express green
fluorescence protein in GABAergic interneurons were used to aid in the
identification of MLIs. Parasagittal cerebellar slices were prepared and whole
cell patch-clamp electrophysiological techniques were used to measure GABA(A)
receptor-mediated spontaneous and miniature inhibitory postsynaptic currents
(sIPSCs and mIPSCs). Loose-seal cell-attached recordings were used to measure
spontaneous action potential firing. RESULTS: Stellate cells received spontaneous
GABAergic input in the form of a mixture of action potential-dependent events
(sIPSCs) and quantal events (mIPSCs); ethanol increased sIPSC frequency to a
greater extent than mIPSC frequency. Ethanol increased spontaneous action
potential firing of MLIs, which could explain the increase in sIPSC frequency in
stellate cells. Basket cells received GABAergic input in the form of quantal
events only. Ethanol significantly increased the frequency of these events, which
may be mediated by a different type of interneuron (perhaps, the Lugaro cell) or
Purkinje cell collaterals. CONCLUSIONS: Ethanol exposure differentially increases
GABA release at stellate cell vs. basket cell-to-Purkinje cell synapses. This
effect may contribute to the abnormalities in cerebellar function associated with
alcohol intoxication.
PMID- 22080832
TI - HLA class I sensitization in islet transplant recipients: report from the
Collaborative Islet Transplant Registry.
AB - Pancreatic islet transplantation is a promising treatment option for patients
severely affected with type 1 diabetes. This report from CITR presents pre- and
posttransplant human leukocyte antigen (HLA) class I sensitization rates in islet
alone transplantation. Data came from 303 recipients transplanted with islet
alone between January 1999 and December 2008. HLA class I sensitization was
determined by the presence of anti-HLA class I antibodies. Panel-reactive
antibodies (PRA) from prior to islet infusion and at 6 months, and yearly
posttransplant was correlated to measures of islet graft failure. The cumulative
number of mismatched HLA alleles increased with each additional islet infusion
from a median of 3 for one infusion to 9 for three infusions. Pretransplant PRA
was not predictive of islet graft failure. However, development of PRA >20%
posttransplant was associated with 3.6-fold (p < 0.001) increased hazard ratio
for graft failure. Patients with complete graft loss who had discontinued
immunosuppression had significantly higher rate of PRA >= 20% compared to those
with functioning grafts who remained on immunosuppression. Exposure to repeat
HLA class I mismatch at second or third islet infusions resulted in less frequent
development of de novo HLA class I antibodies when compared to increased class I
mismatch. The development of HLA class I antibodies while on immunosuppression is
associated with subsequent islet graft failure. The risk of sensitization may be
reduced by minimizing the number of islet donors used per recipient, and in the
absence of donor-specific anti-HLA antibodies, repeating HLA class I mismatches
with subsequent islet infusions.
PMID- 22080833
TI - Abnormal nuclear envelopes in the striatum and motor deficits in DYT11 myoclonus
dystonia mouse models.
AB - DYT11 myoclonus-dystonia (M-D) is a movement disorder characterized by myoclonic
jerks with dystonic symptoms and caused by mutations in paternally expressed
SGCE, which codes for epsilon-sarcoglycan. Paternally inherited Sgce heterozygous
knock-out (KO) mice exhibit motor deficits and spontaneous myoclonus. Abnormal
nuclear envelopes have been reported in cellular and mouse models of early-onset
DYT1 generalized torsion dystonia; however, the relationship between the abnormal
nuclear envelopes and motor symptoms are not clear. Furthermore, it is not known
whether abnormal nuclear envelope exists in non-DYT1 dystonia. In the present
study, abnormal nuclear envelopes in the striatal medium spiny neurons (MSNs)
were found in Sgce KO mice. To analyze whether the loss of epsilon-sarcoglycan in
the striatum alone causes abnormal nuclear envelopes, motor deficits or
myoclonus, we produced paternally inherited striatum-specific Sgce conditional KO
(Sgce sKO) mice and analyzed their phenotypes. Sgce sKO mice exhibited motor
deficits in both beam-walking and accelerated rotarod tests, while they did not
exhibit abnormal nuclear envelopes, alteration in locomotion, or myoclonus. The
results suggest that the loss of epsilon-sarcoglycan in the striatum contributes
to motor deficits, while it alone does not produce abnormal nuclear envelopes or
myoclonus. Development of therapies targeting the striatum to compensate for the
loss of epsilon-sarcoglycan function may rescue the motor deficits in DYT11 M-D
patients.
PMID- 22080834
TI - Knockdown of the psychosis susceptibility gene ZNF804A alters expression of genes
involved in cell adhesion.
AB - Genome-wide association studies have convincingly implicated several novel genes
in susceptibility to schizophrenia and bipolar disorder. The first genome-wide
significant association with the broad phenotype of psychosis was with a
polymorphism in the ZNF804A gene. However, the biological function(s) of ZNF804A
have, to date, been entirely unknown. In this study, we manipulated the
expression of ZNF804A in neural progenitor cells derived from human cortical
neuroepithelium and assessed its effects on the cellular transcriptome. Gene
ontology analysis of differentially expressed genes indicated a significant
effect of ZNF804A knockdown on the expression of genes involved in cell adhesion,
suggesting a role for ZNF804A in processes such as neural migration, neurite
outgrowth and synapse formation. Several highly significant gene expression
changes were confirmed in repeat cell culture experiments. Most consistent gene
expression changes were seen for C2ORF80, a gene of as-yet-unknown function, and
STMN3, a gene involved in neurite outgrowth and axonal and dendritic branching.
These data, generated in a hypothesis-free manner, provide a basis for more
targeted investigations of ZNF804A function.
PMID- 22080835
TI - Mitochondrial autophagy in cells with mtDNA mutations results from synergistic
loss of transmembrane potential and mTORC1 inhibition.
AB - Autophagy has emerged as a key cellular process for organellar quality control,
yet this pathway apparently fails to eliminate mitochondria containing pathogenic
mutations in mitochondrial DNA (mtDNA) in patients with a variety of human
diseases. In order to explore how mtDNA-mediated mitochondrial dysfunction
interacts with endogenous autophagic pathways, we examined autophagic status in a
panel of human cytoplasmic hybrid (cybrid) cell lines carrying a variety of
pathogenic mtDNA mutations. We found that both genetic- and chemically induced
loss of mitochondrial transmembrane potential (Deltapsi(m)) caused recruitment of
the pro-mitophagic factor Parkin to mitochondria. Strikingly, however, the loss
of Deltapsi(m) alone was insufficient to prompt delivery of mitochondria to the
autophagosome (mitophagy). We found that mitophagy could be induced following
treatment with the mTORC1 inhibitor rapamycin in cybrids carrying either large
scale partial deletions of mtDNA or complete depletion of mtDNA. Further, we
found that the level of endogenous Parkin is a crucial determinant of mitophagy.
These results suggest a two-hit model, in which the synergistic induction of both
(i) mitochondrial recruitment of Parkin following the loss of Deltapsi(m) and
(ii) mTORC1-controlled general macroautophagy is required for mitophagy. It
appears that mitophagy can be accomplished by the endogenous autophagic
machinery, but requires the full engagement of both of these pathways.
PMID- 22080836
TI - In vivo neuronal function of the fragile X mental retardation protein is
regulated by phosphorylation.
AB - Fragile X syndrome (FXS), caused by loss of the Fragile X Mental Retardation 1
(FMR1) gene product (FMRP), is the most common heritable cause of intellectual
disability and autism spectrum disorders. It has been long hypothesized that the
phosphorylation of serine 500 (S500) in human FMRP controls its function as an
RNA-binding translational repressor. To test this hypothesis in vivo, we employed
neuronally targeted expression of three human FMR1 transgenes, including wild
type (hFMR1), dephosphomimetic (S500A-hFMR1) and phosphomimetic (S500D-hFMR1), in
the Drosophila FXS disease model to investigate phosphorylation requirements. At
the molecular level, dfmr1 null mutants exhibit elevated brain protein levels due
to loss of translational repressor activity. This defect is rescued for an
individual target protein and across the population of brain proteins by the
phosphomimetic, whereas the dephosphomimetic phenocopies the null condition. At
the cellular level, dfmr1 null synapse architecture exhibits increased area,
branching and bouton number. The phosphomimetic fully rescues these
synaptogenesis defects, whereas the dephosphomimetic provides no rescue. The
presence of Futsch-positive (microtubule-associated protein 1B) supernumerary
microtubule loops is elevated in dfmr1 null synapses. The human phosphomimetic
restores normal Futsch loops, whereas the dephosphomimetic provides no activity.
At the behavioral level, dfmr1 null mutants exhibit strongly impaired olfactory
associative learning. The human phosphomimetic targeted only to the brain
learning center restores normal learning ability, whereas the dephosphomimetic
provides absolutely no rescue. We conclude that human FMRP S500 phosphorylation
is necessary for its in vivo function as a neuronal translational repressor and
regulator of synaptic architecture, and for the manifestation of FMRP-dependent
learning behavior.
PMID- 22080837
TI - LRRK2 Parkinson disease mutations enhance its microtubule association.
AB - Dominant missense mutations in leucine-rich repeat kinase 2 (LRRK2) are the most
common genetic causes of Parkinson disease (PD) and genome-wide association
studies identify LRRK2 sequence variants as risk factors for sporadic PD. Intact
kinase function appears critical for the toxicity of LRRK2 PD mutants, yet our
understanding of how LRRK2 causes neurodegeneration remains limited. We find that
most LRRK2 PD mutants abnormally enhance LRRK2 oligomerization, causing it to
form filamentous structures in transfections of cell lines or primary neuronal
cultures. Strikingly, ultrastructural analyses, including immuno-electron
microscopy and electron microscopic tomography, demonstrate that these filaments
consist of LRRK2 recruited onto part of the cellular microtubule network in a
well-ordered, periodic fashion. Like LRRK2-related neurodegeneration, microtubule
association requires intact kinase function and the WD40 domain, potentially
linking microtubule binding and neurodegeneration. Our observations identify a
novel effect of LRRK2 PD mutations and highlight a potential role for
microtubules in the pathogenesis of LRRK2-related neurodegeneration.
PMID- 22080839
TI - Improving adherence--money isn't the only thing.
PMID- 22080842
TI - Acupuncture and constitutional diagnosis: where now?
PMID- 22080840
TI - Prenatal tetrahydrocannabinol (THC) alters cognitive function and amphetamine
response from weaning to adulthood in the rat.
AB - Research suggests that not only is marijuana use prevalent among women of
reproductive age, but a significant number of women continue to use marijuana and
its derivatives throughout pregnancy. Many studies have shown, in both humans and
animals, that marijuana exposure during adolescence and adulthood is detrimental
to normal cognition and memory. In this study, we examined the effects of daily
intravenous injections of 0.15 mg/kg Delta(9)-tetrahydrocannabinol (THC), given
to pregnant dams throughout gestation, on cognitive function in the offspring.
Offspring were exposed to three tests: a passive avoidance test at postnatal day
(PND) 22, an active place avoidance test at PND 45, and an attention task at PND
60, which assessed learning and long-term memory, spatial working memory and
prediction, and attention, respectively. Other offspring were also given a 1mg/kg
amphetamine challenge at PND 60. Passive avoidance testing showed that prenatal
THC had no effect on acquisition but interfered with consolidation during
retention testing. The active place avoidance task showed no treatment-related
effects on acquisition but a significant treatment effect was observed in
reversal performance in males. The attention task showed that a smaller
percentage of THC-exposed rats completed the test, although the failure rate of
both groups was quite high. Finally, THC exposed animals, both male and female,
showed a dampened locomotor response to amphetamine, but females were more active
than males overall. These results suggest that prenatal THC exposure has effects
on certain aspects of cognitive function in rats from weaning to adulthood. These
effects suggest that prenatal marijuana exposure could also alter cognitive
function in humans and therefore have an impact on school performance and dampen
responses to psychostimulants as well.
PMID- 22080843
TI - Porous organic cage nanocrystals by solution mixing.
AB - We present here a simple method for the bottom-up fabrication of microporous
organic particles with surface areas in the range 500-1000 m(2) g(-1). The method
involves chiral recognition between prefabricated, intrinsically porous organic
cage molecules that precipitate spontaneously upon mixing in solution. Fine
control over particle size from 50 nm to 1 MUm can be achieved by varying the
mixing temperature or the rate of mixing. No surfactants or templates are
required, and the resulting organic dispersions are stable for months. In this
method, the covalent synthesis of the cage modules can be separated from their
solution processing into particles because the modules can be dissolved in common
solvents. This allows a "mix and match" approach to porous organic particles. The
marked solubility change that occurs upon mixing cages with opposite chirality is
rationalized by density functional theory calculations that suggest favorable
intermolecular interactions for heterochiral cage pairings. The important
contribution of molecular disorder to porosity and surface area is highlighted.
In one case, a purposefully amorphized sample has more than twice the surface
area of its crystalline analogue.
PMID- 22080844
TI - Estimation of phytochemicals and antioxidant activity of underutilized fruits of
Andaman Islands (India).
AB - The present study aimed to determine the antioxidant activity and phytochemical
contents in 10 underutilized fruits of Andaman Islands (India) namely Malpighia
glabra L., Mangifera andamanica L., Morinda citrifolia L., Syzygium aqueum
(Burm.f) Alst., Annona squamosa L., Averrhoa carambola L., Averrhoa bilimbi L.,
Dillenia indica L., Annona muricata L. and Ficus racemosa L. The antioxidant
activity varied from 74.27% to 98.77%, and the methanol extract of M. glabra
showed the highest antioxidant activity (98.77%; inhibitory concentration, IC(50)
= 262.46 MUg/ml). Methanol was found to be a better solvent than acetone and
aqueous for estimating the antioxidant activity. M. glabra was found to be rich
in phytochemicals viz. polyphenol (355.74 mg/100 g), anthocyanin (91.31 mg/100
g), carotenoids (109.16 mg/100 g), tannin (24.39 mg/100 g) and ascorbic acid
(394.23 mg/100 g). Carbohydrate content was estimated to be highest in M. glabra
(548 mg/100 g). Phenols, tannins, anthocyanins and carotenoids contents showed
positive correlation (r2 = 0.846, r2 = 0.864, r2 = 0.915 and r2 = 0.806,
respectively) with antioxidant activity. The information generated in present
study will be useful for bioprospecting of underutilized fruits of Andaman
Islands.
PMID- 22080846
TI - Neutropenia after rituximab treatment: new insights on a late complication.
AB - PURPOSE OF REVIEW: Late-onset neutropenia (LON) after rituximab administration
may be encountered in various clinical settings. The identification of
neutropenia after rituximab treatment may have immediate implications for the
clinical management of the patient and on subsequent treatment strategies.
Although the pathogenesis of LON is incompletely understood, various putative
mechanisms are suggested. These may be of special importance in the advent of the
newer monoclonal anti-CD20 antibodies. RECENT FINDINGS: The incidence of LON
varies with the clinical setting in which rituximab is administered.
Administration of rituximab in the setting of stem cell transplantation
significantly increases the risk for LON. The timing of rituximab administration
after transplantation may affect the risk and severity of neutropenia. Recent
data suggest that in rheumatologic diseases, the incidence of LON is comparable
to that in the hematologic population. Suggested mechanisms for LON include
humoral and cellular immune mechanisms as well processes that stem from B-cell
recovery and its impact on neutrophil kinetics. Recently, an association between
specific polymorphism in the immunoglobulin G Fc receptor FCgammaRIIIa 158 V/F
and LON was demonstrated. SUMMARY: LON is an increasingly recognized late adverse
event of rituximab therapy. Acquaintance with the incidence, risk factors,
natural history, and expected complications of LON may improve proper clinical
management. Many aspects in the clinical management of LON remain to be answered
during further studies aimed at this goal.
PMID- 22080845
TI - Hematopoietic stem cell transplantation for severe congenital neutropenia.
AB - PURPOSE OF REVIEW: Hematopoietic stem cell transplantation (HCT) is the only
curative option for patients with severe congenital neutropenia (SCN). Transplant
success is dependent on identifying at-risk patients and proceeding to transplant
before the development of severe infections or malignant transformation. This
review focuses on recent advancements in risk stratification of SCN patients,
indications for HCT, and review of published transplant studies. RECENT FINDINGS:
Patients with poor neutrophil response despite high doses of granulocyte colony
stimulating factor (G-CSF) are at greatest risk for malignant transformation.
Other studies demonstrate elevated risk with mutations in the G-CSF receptor gene
and a specific mutation in the ELANE gene. These patients are at high-risk of
sepsis or leukemia development and should proceed to transplant with best
available donor. As recent published studies demonstrate, HCT is highly
successful in patients without leukemia and, therefore, may be considered in
selected low-risk patients given the life-long risk of malignancy and infection.
SUMMARY: The decision whether to proceed to HCT in healthy patients maintained on
G-CSF is difficult. As transplant-related mortality continues to decrease, the
role of transplant in SCN is likely to expand to more patients.
PMID- 22080838
TI - A genome-wide association study of COPD identifies a susceptibility locus on
chromosome 19q13.
AB - The genetic risk factors for chronic obstructive pulmonary disease (COPD) are
still largely unknown. To date, genome-wide association studies (GWASs) of
limited size have identified several novel risk loci for COPD at
CHRNA3/CHRNA5/IREB2, HHIP and FAM13A; additional loci may be identified through
larger studies. We performed a GWAS using a total of 3499 cases and 1922 control
subjects from four cohorts: the Evaluation of COPD Longitudinally to Identify
Predictive Surrogate Endpoints (ECLIPSE); the Normative Aging Study (NAS) and
National Emphysema Treatment Trial (NETT); Bergen, Norway (GenKOLS); and the
COPDGene study. Genotyping was performed on Illumina platforms with additional
markers imputed using 1000 Genomes data; results were summarized using fixed
effect meta-analysis. We identified a new genome-wide significant locus on
chromosome 19q13 (rs7937, OR = 0.74, P = 2.9 * 10(-9)). Genotyping this single
nucleotide polymorphism (SNP) and another nearby SNP in linkage disequilibrium
(rs2604894) in 2859 subjects from the family-based International COPD Genetics
Network study (ICGN) demonstrated supportive evidence for association for COPD (P
= 0.28 and 0.11 for rs7937 and rs2604894), pre-bronchodilator FEV(1) (P = 0.08
and 0.04) and severe (GOLD 3&4) COPD (P = 0.09 and 0.017). This region includes
RAB4B, EGLN2, MIA and CYP2A6, and has previously been identified in association
with cigarette smoking behavior.
PMID- 22080847
TI - Use of antibacterial prophylaxis in patients with chemotherapy-induced
neutropenia.
AB - PURPOSE OF REVIEW: Antibiotic prophylaxis has been found to have multiple
benefits in patients receiving intensive chemotherapy at high risk for infection.
Interest continues in identifying what additional groups of high-risk patients
might potentially benefit from its use. However, concerns about the potential
emergence of antibiotic resistance have led to multiple recent studies exploring
this issue. RECENT FINDINGS: The use of antibiotic prophylaxis in pediatric
leukemia, myelodysplastic syndromes, and hematopoietic stem cell transplant
populations has been evaluated in recent studies. Several centers have noted
increased rates of antibiotic resistance in patients receiving prophylaxis.
SUMMARY: Several single-center studies have emphasized the concern for the
emergence of antibiotic resistance associated with the routine use of
fluoroquinolone prophylaxis. The potential for antibiotic resistance continues to
be worrisome and warrants further ongoing studies.
PMID- 22080848
TI - Update on anemia and neutropenia in copper deficiency.
AB - PURPOSE OF REVIEW: Copper deficiency is an under-recognized cause of reversible
refractory anemia and leukopenia, particularly neutropenia, often misdiagnosed as
myelodysplastic syndrome (MDS). Clinicians and hematopathologists need to be
aware of distinct morphologic findings to distinguish these entities including
cytoplasmic vacuolization of both erythroid and myeloid precursors, excess iron
stores, ringed sideroblasts, iron incorporation in plasma cells, and variable
marrow cellularity. In contrast, the findings in MDS do not include myeloid
lineage vacuolization, abnormal nuclear lobulation of both erythroid and myeloid
precursors, nuclear/cytoplasmic dyssynchrony, or dysmegakaryopoiesis with
abnormalities of nuclear lobulation and size. RECENT FINDINGS: The mechanism of
neutropenia remains unknown; however, the study by Peled and coworkers suggests
that copper deficiency results in the inhibition of differentiation and self
renewal of CD34(+) hematopoietic progenitor cells. A number of recent studies
have reported on the association of copper deficiency with the development of
concomitant neurologic deficits manifested as peripheral neuropathies and
myeloneuropathy indistinguishable from the findings seen in vitamin B12
deficiency. SUMMARY: Patients presenting with refractory anemia and leukopenia
with or without associated neurologic deficits should have copper and
ceruloplasmin levels measured as part of their diagnostic evaluation.
PMID- 22080850
TI - Biodiversity of avian trypanosomes.
AB - We have studied the biodiversity of trypanosomes from birds and bloodsucking
Diptera on a large number of isolates. We used two molecular approaches, random
amplification of polymorphic DNA (RAPD) method, and sequence analysis of the
small subunit ribosomal RNA (SSU rRNA) gene. RAPD method divided the isolates
into 11 separate lineages. Phylogenetic analysis of the SSU rRNA gene was
congruent with the RAPD. Morphometric analysis of kinetoplast width and cell
length was in agreement with molecular data. Avian trypanosomes appeared
polyphyletic on SSU rDNA tree; thus, they do not represent a taxonomic group. We
propose that all lineages recovered by SSU analysis probably represent distinct
species of avian trypanosomes. We discuss possible transmission ways and
geographical distribution of new avian trypanosome lineages. Finally, we
recommend methods that should be used for species determination of avian
trypanosomes.
PMID- 22080849
TI - Natural history of gastro-entero-pancreatic and thoracic neuroendocrine tumors.
Data from a large prospective and retrospective Italian epidemiological study:
the NET management study.
AB - BACKGROUND: The few epidemiological data available in literature on
neuroendocrine tumors (NET) are mainly based on Registry databases, missing
therefore details on their clinical and natural history. AIM: To investigate
epidemiology, clinical presentation, and natural history of NET. DESIGN AND
SETTING: A large national retrospective survey was conducted in 13 Italian
referral centers. Among 1203 NET, 820 originating in the thorax (T-NET), in the
gastro-enteropancreatic tract (GEP-NET) or metastatic NET of unknown primary
origin (U-NET) were enrolled in the study. RESULTS: 93% had a sporadic and 7% a
multiple endocrine neoplasia type 1 (MEN1)-associated tumor; 63% were GEP-NET,
33% T-NET, 4% U-NET. Pancreas and lung were the commonest primary sites. Poorly
differentiated carcinomas were <10%, all sporadic. The incidence of NET had a
linear increase from 1990 to 2007 in all the centers. The mean age at diagnosis
was 60.0 +/- 16.4 yr, significantly anticipated in MEN1 patients (47.7 +/- 16.5
yr). Association with cigarette smoking and other non-NET cancer were more
prevalent than in the general Italian population. The first symptoms of the
disease were related to tumor burden in 46%, endocrine syndrome in 23%, while the
diagnosis was fortuity in 29%. Insulin (37%) and serotonin (35%) were the most
common hormonal hypersecretions. An advanced tumor stage was found in 42%, more
frequently in the gut and thymus. No differences in the overall survival was
observed between T-NET and GEP-NET and between sporadic and MEN1-associated
tumors at 10 yr from diagnosis, while survival probability was dramatically
reduced in U-NET. CONCLUSIONS: The data obtained from this study furnish relevant
information on epidemiology, natural history, and clinico-pathological features
of NET, not available from the few published Register studies.
PMID- 22080851
TI - Transcription profiles for two key gender-specific gene families in
Oesophagostomum dentatum during development in vivo and in vitro.
AB - In strongylid roundworms, such as Oesophagostomum dentatum (porcine nodule worm),
some sex-specific genes are likely to be associated with parasite maturation,
development and reproduction. In this study, an analysis of transcription of the
two sex-specific genes (vit and msp) encoding vitellogenin and major sperm
protein of O. dentatum, respectively, revealed that adult females transcribed vit
and adult males msp at high levels, in contrast to immature larval stages and pre
adult worms from in vitro cultures for which no transcription of vit or msp was
detected. The analysis showed that neither presence nor absence of the
heterologous sex, nor the duration of infection, was central to vit or msp
transcription. In small or "virgin" adults, no or only low-level transcription of
vit and msp was detectable. We hypothesize that the transcription of the sex
specific genes is linked to endogenous factors, such as size, maturation of the
reproductive organs and/or fitness of the worms, and not to exogenous influences.
The maturation of worms appears to be linked, to some extent, to the expression
of the genes studied herein.
PMID- 22080852
TI - Multiplex RT-PCR assays for the simultaneous detection of both RNA and DNA
viruses infecting cassava and the common occurrence of mixed infections by two
cassava brown streak viruses in East Africa.
AB - Uniplex and multiplex reverse transcription-polymerase chain reaction (RT-PCR)
protocols were developed for the detection of cassava brown streak viruses
(CBSVs) in single and mixed infections with cassava mosaic begomoviruses (CMBs)
in a tropical crop plant, cassava (Manihot esculenta). CMBs contain ssDNA as
their genome (genus Begomovirus, family Geminiviridae) while CBSVs are made up of
positive sense ssRNA (genus Ipomovirus, family Potyviridae), and they cause the
economically important cassava mosaic and cassava brown streak diseases,
respectively, in sub-Saharan Africa. Diagnostic methodologies have long been
available for CMBs but they are limited for CBSVs especially in mixed infections.
In this study, the two CBSVs, Cassava brown streak virus (CBSV) and Cassava brown
streak Uganda virus (CBSUV) occurring singly or in mixed infection with CMBs,
African cassava mosaic virus and East African cassava mosaic virus were detected
in a single RT-PCR using both previously described and newly designed virus
specific primers. These protocols were highly efficient for detecting CBSVs
compared to the existing methods and have great potential to minimize sample
handling and contamination. As well as improving the diagnosis of cassava
viruses, the development of multiplex RT-PCR protocols have revealed the common
occurrence of mixed infections by CBSV and CBSUV in cassava fields of Tanzania
and Kenya, which was contrary to the common belief until recently that these two
viruses have existed separately. These protocols have implications for diagnosis
and epidemiological studies on cassava virus diseases in Eastern Africa.
PMID- 22080853
TI - Evaluation of ELISA for detection of rabies antibodies in domestic carnivores.
AB - Serological tests of pets have increased as many rabies-free countries have
amended their quarantine measures and adopted a scheme requiring rabies
vaccination followed by a serological test. A European directive requires the
measurement of neutralising antibodies as proof of protection to allow the free
movement of pets within the European Union and between third countries non listed
in the list C of regulation 998/2003 and European countries. At present, the
recommended neutralisation tests (FAVN test or RFFIT) are time-consuming,
expensive and require highly trained technicians as well as special laboratory
facilities. The rabies ELISA designed by BioPro was developed initially for use
for field samples from foxes to check the efficacy of oral vaccination campaigns
in Europe. In this study, the specificity, sensitivity and reliability of this
commercial rabies ELISA was evaluated for testing sera from dogs and cats
involved in international trade. The specificity evaluated in 315 unvaccinated
animals was 100%. Concordance of 86.2% was obtained when comparing BioPro ELISA
to the gold standard FAVN test in 701 samples from vaccinated dogs and cats. The
rabies ELISA developed recently can be considered a valuable method for the
assessment of rabies antibodies in vaccinated domestic carnivores in combination
with neutralisation tests.
PMID- 22080854
TI - Systemic enhancement of papaverine transdermal gel for erectile dysfunction.
AB - To enhance the systemic transdermal delivery of papaverine for the treatment of
erectile dysfunction, several factors that influence transdermal delivery of
papaverine HCl were studied. The effects of membrane types for in vitro
permeation study, human skin layers, solvent/cosolvent systems and the
penetration enhancers on the transdermal permeation of papaverine HCl were
investigated. A combination of caproic acid, ethanol and water in the volume
ratio of 50%:30%:20% was chosen as penetration enhancer and incorporated in two
gel bases: 18% Pluronic F-127 and 2% Carbopol 940. In vivo skin permeation
studies were performed with two loading doses (0.6% and 2%) in rabbits. The flux
and permeability coefficient of papaverine HCl through different human skin
layers suggested that the major barrier layer for papaverine HCl was residing
primarily in the stratum corneum. However, the viable epidermis and dermis layer
also contributed certain degrees of diffusion resistance. Differential Scanning
Calorimetry study showed that penetration enhancer exhibited a counter effect
with papaverine HCl on the temperature and enthalpy in both gels. In vitro drug
release study demonstrated significant increases in the steady-state flux,
permeability coefficient and enhancement ratio in these gels. Faster drug
transports and higher bioavailability were also observed in rabbits. Skin
irritation test performed in rabbits demonstrated a mild skin reaction with mean
PII scores of 2 and below; however the recovery was fast. In conclusion, caproic
acid, ethanol and water in the volume ratio of 50%:30%:20% is an effective
penetration enhancer to deliver papaverine HCl transdermally for systemic
absorption.
PMID- 22080855
TI - Role of medullary blood flow in the pathogenesis of renal ischemia-reperfusion
injury.
AB - PURPOSE OF REVIEW: Renal ischemia-reperfusion injury (IRI) is a common cause of
acute kidney injury (AKI). Alterations in renal medullary blood flow (MBF)
contribute to the pathogenesis of renal IRI. Here we review recent insights into
the mechanisms of altered MBF in the pathogenesis of IRI. RECENT FINDINGS:
Although cortical blood flow fully recovers following 30-45 min of bilateral
IRI, recent studies have indicated that there is a prolonged secondary fall in
MBF that is associated with a long-term decline in renal function. Recent
findings indicate that angiopoietin-1, atrial natriuretic peptide, heme oxygenase
1, and the gasotransmitters CO and H(2)S, may limit the severity of IRI by
preserving MBF. Additional studies have also suggested a role for cytochrome P450
derived 20-HETE in the postischemic fall in MBF. SUMMARY: Impaired MBF
contributes to the pathogenesis of renal IRI. Measurement of renal MBF provides
valuable insight into the underlying mechanisms of many renoprotective pathways.
Identification of molecules that preserve renal MBF in IRI may lead to new
therapies for AKI.
PMID- 22080856
TI - Adenosine and protection from acute kidney injury.
AB - PURPOSE OF REVIEW: Acute kidney injury (AKI) is a major clinical problem without
effective therapy. Development of AKI among hospitalized patients drastically
increases mortality and morbidity. With increases in complex surgical procedures
together with a growing elderly population, the incidence of AKI is rising. Renal
adenosine receptor manipulation may have great therapeutic potential in
mitigating AKI. In this review, we discuss renal adenosine receptor biology and
potential clinical therapies for AKI. RECENT FINDINGS: The four adenosine
receptor subtypes (A(1)AR, A(2A)AR, A(2B)AR, and A(3)AR) have diverse effects on
the kidney. The pathophysiology of AKI may dictate the specific adenosine
receptor subtype activation needed to produce renal protection. The A(1)AR
activation in renal tubules and endothelial cells produces beneficial effects
against ischemia and reperfusion injury by modulating metabolic demand,
decreasing necrosis, apoptosis, and inflammation. The A(2A)AR protects against
AKI by modulating leukocyte-mediated renal and systemic inflammation, whereas the
A(2B)AR activation protects by direct activation of renal parenchymal adenosine
receptors. In contrast, the A(1)AR antagonism may play a protective role in
nephrotoxic AKI and radiocontrast induced nephropathy by reversing vascular
constriction and inducing naturesis and diuresis. Furthermore, as the A(3)AR
activation exacerbates apoptosis and tissue damage due to renal ischemia and
reperfusion, selective A(3)AR antagonism may hold promise to attenuate renal
ischemia and reperfusion injury. Finally, renal A(1)AR activation also protects
against renal endothelial dysfunction caused by hepatic ischemia and reperfusion
injury. SUMMARY: Despite the current lack of therapies for the treatment and
prevention of AKI, recent research suggests that modulation of renal adenosine
receptors holds promise in treating AKI and extrarenal injury.
PMID- 22080857
TI - Pathogenesis of pseudohypoaldosteronism type 2 by WNK1 mutations.
AB - PURPOSE OF REVIEW: Pseudohypoaldosteronism type 2 (PHA2) is a rare autosomal
dominant form of human arterial hypertension, associated with hyperkalemia and
hyperchloremic metabolic acidosis. WNK1 and WNK4 are two of the genes mutated in
PHA2 patients. This review focuses on the mechanisms by which deletions of the
first intron of WNK1 found in PHA2 patients trigger the disease. RECENT FINDINGS:
The WNK1 gene gives rise to a ubiquitous kinase (L-WNK1) and to a shorter kinase
defective isoform, KS-WNK1 (for kidney-specific WNK1), expressed only in the
distal convoluted tubule (DCT) and connecting tubule. WNK1 first intron deletion
leads to overexpression of L-WNK1 in the DCT and ubiquitous ectopic expression of
KS-WNK1. The increased expression of L-WNK1 in the DCT results in increased
activity of the Na-Cl cotransporter (NCC) and thus hypervolemia and hypertension.
Contrarily, the mechanisms underlying the hyperkalemia and metabolic acidosis
remain unclear. SUMMARY: As particularly small doses of thiazide diuretics,
inhibitors of NCC activity, correct both the blood pressure and metabolic
disorders in PHA2 patients, it was believed that increased NCC was directly
responsible for all PHA2 features. Studies performed in mouse models of KS-WNK1
inactivation or WNK4-related PHA2, however, have revealed that the situation is
much more complex.
PMID- 22080859
TI - Sympathetic nervous system: role in hypertension and in chronic kidney disease.
AB - PURPOSE OF REVIEW: A number of cardiovascular disease have been shown to be
characterized by a marked increase in sympathetic drive to the heart and
peripheral circulation. This is the case for essential hypertension, congestive
heart failure, obesity, metabolic syndrome and chronic renal failure. This review
focuses on the most recent findings documenting the role of sympathetic neural
factors in the development and progression of the hypertensive state as well as
of target organ damage. It also reviews the participation of sympathetic neural
factors in the development of the earlier stages of renal failure. RECENT
FINDINGS: A marked increase in sympathetic neural discharge, as assessed via the
microneurographic technique, has been shown to occur in the predialytic stage of
chronic renal failure. Recent evidence, however, indicates that also in the
earlier clinical phases of kidney disease, sympathetic activation is detectable.
Further data show that sympathetic neural mechanisms participate in renal and/or
hypertensive disease progression, favouring the development of target organ
damage. Finally, recent findings indicate that the metabolic disarray frequently
complicating the high blood pressure state (metabolic syndrome, dislipidemia,
insulin resistance) may have as pathophysiological background a sympathetic
overdrive. Altogether these data represent the rationale for employing in
hypertension (and particularly in resistant hypertension) therapeutic
interventions such as carotid baroreceptor stimulation and renal denervation,
capable of exerting sympathoinhibitory effects. SUMMARY: The sympathetic nervous
system represents a major pathophysiological hallmark of both hypertension and
renal failure and is an important target for the therapeutic intervention.
PMID- 22080858
TI - The complex interplay between cyclooxygenase-2 and angiotensin II in regulating
kidney function.
AB - PURPOSE OF REVIEW: Cyclooxygenase-2 (COX-2) plays a critical role in modulating
deleterious actions of angiotensin II (Ang II) where there is an inappropriate
activation of the renin-angiotensin system (RAS). This review discusses the
recent developments regarding the complex interactions by which COX-2 modulates
the impact of an activated RAS on kidney function and blood pressure. RECENT
FINDINGS: Normal rats with increased COX-2 activity but with different intrarenal
Ang II activity because of sodium restriction or chronic treatment with
angiotensin-converting enzyme (ACE) inhibitors showed similar renal hemodynamic
responses to COX-2-selective inhibition (nimesulide) indicating independence from
the intrarenal Ang II activity. COX-2-dependent maintenance of medullary blood
flow was consistent and not dependent on dietary salt or ACE inhibition. In
contrast, COX-2 influences on sodium excretion were contingent on the prevailing
RAS activity. In chronic hypertensive models, COX-2 inhibition elicited similar
reductions in kidney function, but COX-2 metabolites contribute to rather than
ameliorate the hypertension. SUMMARY: The maintenance of renal hemodynamics
reflects direct and opposing effects of Ang II and COX-2 metabolites. The
antagonism in water and electrolyte reabsorption is dependent on the prevailing
intrarenal Ang II activity. The recent functional experiments demonstrate a
beneficial modulation of Ang II by COX-2 except in the presence of inflammation
promoted by hypertension, hyperglycemia, and oxidative stress.
PMID- 22080860
TI - Relationship between HLA-G gene polymorphism and the susceptibility of esophageal
cancer in Kazakh and Han nationality in Xinjiang.
AB - OBJECTIVE: To explore the association between polymorphism of the human leukocyte
antigen G (HLA-G) and susceptibility of esophageal carcinoma (EC) in Kazakh and
Han nationality in Xinjiang. METHODS: The 14 bp deletion/insertion (rs16375) and
0105N (rs41557518) of HLA-G genotyping were determined by PCR and PCR-RFLP,
respectively in 239 patients and 467 controls. RESULTS: There was a 2.69-fold
(P(c) = 0.04, 95% CI: 1.30-5.55) increased risk of developing EC in individuals
with the -14 bp/-14 bp genotype (rs16375) compared with those carrying +14 bp/+14
bp genotype in Kazakh after Bonferroni correction, there was no association of
0105N (rs41557518) both in Kazak and Han population. And there was a 2.82-fold
(P(c) = 0.04, 95% CI: 1.32-6.04) increased risk of developing EC in individuals
with -14 bp/-14 bp and C/C genotypes compared with those who had +14 bp/+14 bp
and C/C genotypes in Kazakh. CONCLUSIONS: The study demonstrates that EC is
associated with polymorphism of HLA-G14 bp in Chinese Kazak population. The 14 bp
deletion/insertion of HLA-G gene may play a role in EC susceptibility of Kazakh.
PMID- 22080861
TI - Unraveling the functional implications of GWAS: how T cell protein tyrosine
phosphatase drives autoimmune disease.
AB - Genome-wide association studies (GWAS) have identified a large number of SNPs
that are linked to human autoimmune diseases. However, the functional
consequences of most of these genetic variations remain undefined. T cell protein
tyrosine phosphatase (TCPTP, which is encoded by PTPN2) is a JAK/STAT and growth
factor receptor phosphatase that has been linked to the pathogenesis of type 1
diabetes, rheumatoid arthritis, and Crohn's disease by GWAS. In this issue of the
JCI, Wiede and colleagues have generated a T cell-specific deletion of TCPTP and
identified a novel role for this phosphatase as a negative regulator of TCR
signaling. These data provide new insight as to how noncoding PTPN2 SNPs
identified in GWAS could drive human autoimmune diseases.
PMID- 22080862
TI - Tbx20 regulates a genetic program essential to adult mouse cardiomyocyte
function.
AB - Human mutations in or variants of TBX20 are associated with congenital heart
disease, cardiomyopathy, and arrhythmias. To investigate whether cardiac disease
in patients with these conditions results from an embryonic or ongoing
requirement for Tbx20 in myocardium, we ablated Tbx20 specifically in adult
cardiomyocytes in mice. This ablation resulted in the onset of severe
cardiomyopathy accompanied by arrhythmias, with death ensuing within 1 to 2 weeks
of Tbx20 ablation. Accounting for this dramatic phenotype, we identified
molecular signatures that posit Tbx20 as a central integrator of a genetic
program that maintains cardiomyocyte function in the adult heart. Expression of a
number of genes encoding critical transcription factors, ion channels, and
cytoskeletal/myofibrillar proteins was downregulated consequent to loss of Tbx20.
Genome-wide ChIP analysis of Tbx20-binding regions in the adult heart revealed
that many of these genes were direct downstream targets of Tbx20 and uncovered a
previously undescribed DNA-binding site for Tbx20. Bioinformatics and in vivo
functional analyses revealed a cohort of transcription factors that, working with
Tbx20, integrated multiple environmental signals to maintain ion channel gene
expression in the adult heart. Our data provide insight into the mechanisms by
which mutations in TBX20 cause adult heart disease in humans.
PMID- 22080863
TI - T cell protein tyrosine phosphatase attenuates T cell signaling to maintain
tolerance in mice.
AB - Many autoimmune diseases exhibit familial aggregation, indicating that they have
genetic determinants. Single nucleotide polymorphisms in PTPN2, which encodes T
cell protein tyrosine phosphatase (TCPTP), have been linked with the development
of several autoimmune diseases, including type 1 diabetes and Crohn's disease. In
this study, we have identified TCPTP as a key negative regulator of TCR
signaling, which might explain the association of PTPN2 SNPs with autoimmune
disease. We found that TCPTP dephosphorylates and inactivates Src family kinases
to regulate T cell responses. Using T cell-specific TCPTP-deficient mice, we
established that TCPTP attenuates T cell activation and proliferation in vitro
and blunts antigen-induced responses in vivo. TCPTP deficiency lowered the in
vivo threshold for TCR-dependent CD8(+) T cell proliferation. Consistent with
this, T cell-specific TCPTP-deficient mice developed widespread inflammation and
autoimmunity that was transferable to wild-type recipient mice by CD8(+) T cells
alone. This autoimmunity was associated with increased serum levels of
proinflammatory cytokines and anti-nuclear antibodies, T cell infiltrates in non
lymphoid tissues, and liver disease. These data indicate that TCPTP is a critical
negative regulator of TCR signaling that sets the threshold for TCR-induced naive
T cell responses to prevent autoimmune and inflammatory disorders arising.
PMID- 22080864
TI - Activation of Rac1 by Src-dependent phosphorylation of Dock180(Y1811) mediates
PDGFRalpha-stimulated glioma tumorigenesis in mice and humans.
AB - Two hallmarks of glioblastoma multiforme, the most common malignant brain cancer
in humans, are aggressive growth and the ability of single glioma cells to
disperse throughout the brain. These characteristics render tumors resistant to
current therapies and account for the poor prognosis of patients. Although it is
known that oncogenic signaling caused by overexpression of genes such as PDGFRA
is responsible for robust glioma growth and cell infiltration, the mechanisms
underlying glioblastoma malignancy remain largely elusive. Here, we report that
PDGFRalpha signaling in glioblastomas leads to Src-dependent phosphorylation of
the guanine nucleotide exchange factor Dock180 at tyrosine 1811 (Dock180(Y1811))
that results in activation of the GTPase Rac1 and subsequent cell growth and
invasion. In human glioma cells, knockdown of Dock180 and reversion with an RNAi
resistant Dock180(Y1811F) abrogated, whereas an RNAi-resistant Dock180(WT)
rescued, PDGFRalpha-promoted glioma growth, survival, and invasion.
Phosphorylation of Dock180(Y1811) enhanced its association with CrkII and
p130(Cas), causing activation of Rac1 and consequent cell motility. Dock180 also
associated with PDGFRalpha to promote cell migration. Finally, phosphorylated
Dock180(Y1811) was detected in clinical samples of gliomas and various types of
human cancers, and coexpression of phosphorylated Dock180(Y1811), phosphorylated
Src(Y418), and PDGFRalpha was predictive of extremely poor prognosis of patients
with gliomas. Taken together, our findings provide insight into PDGFRalpha
stimulated gliomagenesis and suggest that phosphorylated Dock180(Y1811)
contributes to activation of Rac1 in human cancers with PDGFRA amplification.
PMID- 22080865
TI - Loss of nuclear pro-IL-16 facilitates cell cycle progression in human cutaneous T
cell lymphoma.
AB - Cutaneous T cell lymphomas (CTCLs) represent a heterogeneous group of non-Hodgkin
lymphomas that affect the skin. The pathogenesis of these conditions is poorly
understood. For example, the signaling mechanisms contributing to the
dysregulated growth of the neoplastic T cells are not well defined. Here, we
demonstrate that loss of nuclear localization of pro-IL-16 facilitates CTCL cell
proliferation by causing a decrease in expression of the cyclin dependent-kinase
inhibitor p27Kip1. The decrease in p27Kip1 expression was directly attributable
to an increase in expression of S-phase kinase-associated protein 2 (Skp2).
Regulation of Skp2 is in part attributed to the nuclear presence of the scaffold
protein pro-IL-16. T cells isolated from 11 patients with advanced CTCL, but not
those from healthy controls or patients with T cell acute lymphocytic leukemia (T
ALL), demonstrated reduction in nuclear pro-IL-16 levels. Sequence analysis
identified the presence of mutations in the 5' end of the PDZ1 region of pro-IL
16, a domain required for association of pro-IL-16 with the nuclear chaperone
HSC70 (also known as HSPA8). HSC70 knockdown led to loss of nuclear translocation
by pro-IL-16 and subsequent increases in Skp2 levels and decreases in p27Kip1
levels, which ultimately enhanced T cell proliferation. Thus, our data indicate
that advanced CTCL cell growth is facilitated, at least in part, by mutations in
the scaffold protein pro-IL-16, which directly regulates Skp2 synthesis.
PMID- 22080867
TI - Herpesvirus entry mediator regulates hypoxia-inducible factor-1alpha and
erythropoiesis in mice.
AB - Erythropoiesis, the production of red blood cells, must be tightly controlled to
ensure adequate oxygen delivery to tissues without causing thrombosis or stroke.
Control of physiologic and pathologic erythropoiesis is dependent predominantly
on erythropoietin (EPO), the expression of which is regulated by hypoxia
inducible factor (HIF) activity in response to low oxygen tension. Accumulating
evidence indicates that oxygen-independent mediators, including inflammatory
stimuli, cytokines, and growth factors, also upregulate HIF activity, but it is
unclear whether these signals also result in EPO production and erythropoiesis in
vivo. Here, we found that signaling through herpesvirus entry mediator (HVEM), a
molecule of the TNF receptor superfamily, promoted HIF-1alpha activity in the
kidney and subsequently facilitated renal Epo production and erythropoiesis in
vivo under normoxic conditions. This Epo upregulation was mediated by increased
production of NO by renal macrophages. Hvem-deficient mice displayed impaired Epo
expression and aggravated anemia in response to erythropoietic stress. These data
reveal that HVEM signaling functions to promote HIF-1alpha activity and Epo
production, and thus to regulate erythropoiesis. Furthermore, our findings
suggest that this molecular mechanism could represent a therapeutic target for
Epo-responsive diseases, including anemia.
PMID- 22080868
TI - Recall of false memories in individuals scoring high in schizotypy: memory
distortions are scale specific.
AB - BACKGROUND AND OBJECTIVES: Previous research has indicated abnormal semantic
activation in individuals scoring higher in schizotypy. In the current
experiment, semantic activation was examined by using the Deese-Roediger
McDermott paradigm of false memories. METHODS: Participants were assessed for
schizotypy using the Oxford-Liverpool Inventory of Feelings (OLIFE). Participants
studied lists of semantically related words in which a critical and highly
associated word was absent. Participants then recalled the list. RESULTS:
Participants high in Unusual Experiences and Cognitive Disorganization recalled
more critical non-presented words, weakly related studied words, and fewer
studied words than participants who scored low on these measures. LIMITATIONS:
Previous research using the cognitive-perceptual factor of the Schizotypy
Personality Questionnaire found reduced false memories, while the Unusual
Experiences subscale of the OLIFE was associated with more false memories. Both
scales cover similar unusual perceptual experiences and it is unclear why they
led to divergent results. CONCLUSIONS: The findings suggest that subtypes of
schizotypy are associated with abnormal semantic activation.
PMID- 22080866
TI - Hematopoietic AMPK beta1 reduces mouse adipose tissue macrophage inflammation and
insulin resistance in obesity.
AB - Individuals who are obese are frequently insulin resistant, putting them at
increased risk of developing type 2 diabetes and its associated adverse health
conditions. The accumulation in adipose tissue of macrophages in an inflammatory
state is a hallmark of obesity-induced insulin resistance. Here, we reveal a role
for AMPK beta1 in protecting macrophages from inflammation under high lipid
exposure. Genetic deletion of the AMPK beta1 subunit in mice (referred to herein
as beta1(-/-) mice) reduced macrophage AMPK activity, acetyl-CoA carboxylase
phosphorylation, and mitochondrial content, resulting in reduced rates of fatty
acid oxidation. beta1(-/-) macrophages displayed increased levels of
diacylglycerol and markers of inflammation, effects that were reproduced in WT
macrophages by inhibiting fatty acid oxidation and, conversely, prevented by
pharmacological activation of AMPK beta1-containing complexes. The effect of AMPK
beta1 loss in macrophages was tested in vivo by transplantation of bone marrow
from WT or beta1(-/-) mice into WT recipients. When challenged with a high-fat
diet, mice that received beta1(-/-) bone marrow displayed enhanced adipose tissue
macrophage inflammation and liver insulin resistance compared with animals that
received WT bone marrow. Thus, activation of AMPK beta1 and increasing fatty acid
oxidation in macrophages may represent a new therapeutic approach for the
treatment of insulin resistance.
PMID- 22080869
TI - Individual differences in trauma disclosure.
AB - BACKGROUND AND OBJECTIVES: Findings on disclosure and adjustment following
traumatic events have been mixed. Better understanding of individual differences
in disclosure may help us better understand reactions following trauma exposure.
In particular, studying disclosure patterns for those with and without
psychopathology and for different types of emotional experiences may help clarify
the relationship between disclosure, event emotionality, trauma exposure, and
PTSD. METHODS: In this study, 143 men and women with (n=67) and without (n=43)
chronic PTSD and without trauma exposure (n=33) provided information on
disclosure for a traumatic/severe life event, a negative event, and a positive
event. RESULTS: Individuals with PTSD reported greater difficulty disclosing
their traumatic event compared to those with trauma exposure no PTSD and those
with no-trauma exposure. However, individuals with PTSD reported disclosing the
traumatic event a similar number of times and with similar levels of detail to
those with trauma exposure but no PTSD. Both sexual and childhood trauma were
associated with greater disclosure difficulty. LIMITATIONS: Although control
event types (positive, negative) were selected to control for the passage of time
and for general disclosure style, they do not control for salience of the event
and results may be limited by control events that were not highly salient.
CONCLUSIONS: The present findings point to a dynamic conceptualization of
disclosure, suggesting that the differential difficulty of disclosing traumatic
events seen in individuals with PTSD is not simply a function of the amount of
disclosure or the amount of details provided.
PMID- 22080870
TI - Metacognitive therapy for body dysmorphic disorder patients in Iran:
acceptability and proof of concept.
AB - The purpose of the present study was to determine the effect of metacognitive
therapy (MCT) on symptoms of body dysmorphic disorder (BDD) and on symptoms of
thought-fusion, by means of a wait-list controlled clinical trial. Participants
were referred from dermatology and cosmetic surgery clinics in the city of
Isfahan, Iran, and 20 patients were selected on the basis of DSM-IV-TR diagnostic
criteria for BDD. They were randomly assigned to either the experimental or the
wait-list control group. The Yale-Brown Obsessive Compulsive Scale Modified for
Body Dysmorphic Disorder (BDD-YBOCS) and the Thought-Fusion Inventory (TFI) were
used as the outcome measures. The experimental group received 8 weekly
metacognitive intervention sessions. The control group was in the waiting-list
until the end of the follow-up. Measures were taken at pre-test, post-test (after
2 months) and follow-up (after 6-months). The results of analysis of variance
showed that MCT significantly reduced the symptoms of BDD and of thought-fusion,
compared to the wait-list. Effects on both outcome measures were maintained at 6
months follow-up.
PMID- 22080871
TI - Image-guided robotic surgery: update on research and potential applications in
urologic surgery.
AB - PURPOSE OF REVIEW: New methods of imaging and image-guidance technology have the
potential to provide surgeons with spatially accurate three-dimensional
information about the location and anatomical relationships of critical
subsurface structures and instrument position updated and displayed during the
performance of surgery. Robotic platforms and technology in various forms
continues to revolutionize surgery and will soon incorporate image guidance.
RECENT RESEARCH: Image-guided surgery (IGS) for abdominal and urologic
interventions presents complex engineering and surgical challenges along with
potential benefits to surgeons and patients. Key concepts such as registration,
localization, accuracy, and targeting error are necessary for surgeons to
understand and utilize the potential of IGS. Standard robotic surgeries, such as
partial nephrectomy and radical prostatectomy may soon incorporate IGS. SUMMARY:
Research continues to explore the potential for combining image guidance and
robotics to augment and improve a variety of surgical interventions.
PMID- 22080872
TI - Is robotic surgery cost-effective: no.
AB - PURPOSE OF REVIEW: Utilization of robotic surgery has increased dramatically in
recent years, but there are significant cost implications to acquisition and
utilization of robots. This review will evaluate the cost-effectiveness of using
robotics in urologic surgery. RECENT FINDINGS: This study will evaluate studies
comparing outcomes for open, laparoscopic and robotic procedures as well as costs
associated with these procedures. SUMMARY: Current studies have not found the
robotic approaches to be cost-effective. In order for the robot to be cost
effective, there needs to be an improvement in efficacy over alternative
approaches and a decrease in costs of the robot or instrumentation.
PMID- 22080873
TI - Revival of thermotherapy for benign prostatic hyperplasia.
AB - PURPOSE OF REVIEW: Transurethral resection of the prostate (TURP) has long been
held as the gold standard for treatment of benign prostatic hyperplasia (BPH);
however, there has been significant innovation in other less invasive alternative
treatments for BPH in recent years. BPH treatment guidelines now recommend
minimally invasive therapy be considered as a treatment option alongside TURP and
medical management. Our purpose is to review the current evidence supporting the
safety, effectiveness, and durability of transurethral microwave thermotherapy
(TUMT) as a minimal invasive technique. RECENT FINDINGS: Recent clinical studies
of TUMT have provided significant evidence regarding safety, efficacy, and
durability. TUMT has now become a minimally invasive office-based alternative to
both standard TURP and medical therapy in the treatment of bladder outlet
obstruction and lower urinatry tract symptoms due to BPH. SUMMARY: TUMT treatment
has improved with the advent of later generation devices. This well tolerated,
effective, and durable therapy for the treatment of BPH has definitively found
its place as one of the alternatives to TURP. Anestheisa-free outpatient
capability, lack of sexual side-effects, and avoidance of actual surgery are
attractive to patient and clinician alike. TUMT deserves reconsideration in
clinical practices as a suitable treatment alternative to TURP and medical
therapy.
PMID- 22080874
TI - Can noninvasive evaluation of benign prostatic obstruction be optimized?
AB - PURPOSE OF REVIEW: Lower urinary tract symptoms caused by benign prostatic
obstruction is a common disorder and the incidence is increasing with higher life
expectancy. The present article focuses on recently published methods to diagnose
bladder outlet obstruction and differ between benign obstruction and prostate
cancer in lower urinary tract symptoms patients. RECENT FINDINGS: Several new
ultrasound imaging techniques have been reported. Resistive index in the
prostatic artery, detrusor wall thickness and prostatic urethral angle all may
correlate with obstruction, but more studies are needed to establish their place
in clinical practice. Intravesical prostatic protrusion can predict obstruction
and may be considered for clinical use. Near infrared spectroscopy has to be
studied more to clarify its usefulness in clinical practice. There has been a
rapid development of novel serum and urine-based biomarkers for prostate cancer
in the last decade to differentiate between benign prostatic hyperplasia and
prostate cancer. The recent studies with the use of prostate-specific antigen and
urine prostate cancer gene 3 look promising. SUMMARY: Among promising new
techniques in the investigation of prostatic obstruction, intravesical prostatic
protrusion may be considered for clinical use. None of the methods described in
recent studies can fully replace urodynamic investigation. Novel biomarkers in
the future may help the clinicians to differentiate benign prostatic hyperplasia
from prostate cancer.
PMID- 22080875
TI - alpha-Blockers for benign prostatic hyperplasia: the new era.
AB - PURPOSE OF REVIEW: alpha1-Adrenoceptor blockers are the most frequently
prescribed medical therapy in the treatment of lower urinary tract symptom
suggestive of benign prostatic hyperplasia (LUTS/BPH). The purpose of this review
is to highlight the evolution of adrenoceptor blockers with emphasis on newly
approved drugs. RECENT FINDINGS: Over the past years new formulations of several
alpha1-adrenoceptor blockers were introduced to the market. Five long-acting
alpha1-blockers are currently approved by the Food and Drug Administration for
treatment of symptomatic LUTS/BPH: terazosin, doxazosin, tamsulosin, alfuzosin
and silodosin. Silodosin is the only adrenoceptor blocker that exhibits true
selectivity for the alpha1-adrenoceptor subtypes. This unique adrenoceptor
selectivity profile likely accounts for the very favorable cardiovascular safety
profile. SUMMARY: Tamsulosin, alfuzosin slow release and silodosin do not require
dose titration. Alfuzosin, terazosin, doxazosin and silodosin have all been shown
to be effective in relieving LUTS/BPH independent of prostate size. Low incidence
of orthostatic hypotension has been reported for silodosin, but abnormal
ejaculation is the most commonly reported adverse effect.
PMID- 22080876
TI - Surgeon-controlled robotic ureteral surgery.
AB - PURPOSE OF REVIEW: Surgeon-controlled, robotic-assisted, ureteral reconstructive
and ablative surgery is being performed routinely for both benign and malignant
pathology at centers possessing this technology in their armamentarium. The aim
of this review is to detail the options for surgeon-controlled robotic management
of ureteral pathology and evaluate the developments in the last 2 years. RECENT
FINDINGS: Surgeon-controlled robotic management of ureteric pathology involving
all parts of the ureter with varying cause has been reported. Proximally,
ureteral strictures and symptomatic retrocaval ureters have been repaired with
long-term follow-up demonstrating resolution of obstruction. Ureterolysis and
other mid-ureteral pathology have been treated with durable function results.
Transitional cell carcinoma of the renal pelvis or distal ureter has been
extirpated with successful oncologic outcomes. Reimplantation of refluxing
ureters in children has been demonstrated to provide similar results of open
surgery. SUMMARY: Surgeon-controlled, robotic-assisted ureteral surgery is well
tolerated, feasible, and effective for ablative and reconstructive indications
with minimal complications. Knowledge of anatomy, pathology, experience of
surgical team, and appropriate preoperative patient selection augmented with
proper port placement to provide excellent exposure is critical to provide
optimal outcomes.
PMID- 22080877
TI - Identifying clinical improvement in consolidation single-arm phase 2 trials in
patients with ovarian cancer in second or greater clinical remission.
AB - OBJECTIVE: Estimates of progression-free survival (PFS) from single-arm phase 2
consolidation/maintenance trials for recurrent ovarian cancer are usually
interpreted in the context of historical controls. We illustrate how the duration
of second-line therapy (SLT), the time on the investigational therapy (IT), and
patient enrollment plan can affect efficacy measures from maintenance trials and
might result in underpowered studies. METHODS: Efficacy data from 3 published
single-arm consolidation therapies in second remission in ovarian cancer were
used for illustration. The studies were designed to show an increase in estimated
median PFS from 9 to 13.5 months. We partitioned PFS as the sum of the duration
of SLT, treatment-free interval, and duration of IT. We calculated the
statistical power when IT is given concurrently with SLT or after SLT by varying
the start of IT. We compared the sample sizes required when PFS includes the time
on SLT versus PFS that starts after SLT at initiation of IT. RESULTS: Required
sample sizes varied with duration of SLT. If IT starts with initiation of SLT,
only 34 patients are needed to provide 80% power to detect a 33% hazard
reduction. In contrast, 104 patients are required for a single-arm study for 80%
power, if IT begins 7.5 months after SLT initiation. CONCLUSIONS: Designs of
nonrandomized consolidation trials that aim to prolong PFS must consider the
effect of the duration of SLT on the end point definition and on required sample
size. If IT is given concurrently with SLT, and after SLT, then SLT duration must
be restricted per protocol eligibility, so that a comparison with historical data
from other single-arm phase 2 studies is unbiased. If IT is given after SLT, the
duration of SLT should be taken into account in the design stage because it will
affect statistical power and sample size.
PMID- 22080878
TI - Prognostic significance of lymphovascular space invasion and nodal involvement in
intermediate- and high-risk endometrial cancer patients treated with curative
intent using surgery and adjuvant radiotherapy.
AB - OBJECTIVE: The aim of this study was to assess whether lymphovascular space
invasion (LVSI) and nodal status provide adequate prognostic information in
comparison with the entire set of traditional prognostic factors in intermediate-
and high-risk endometrial cancer patients treated and staged with primary surgery
and adjuvant radiotherapy. METHODS: Three hundred twenty-four previously
untreated high-intermediate- and high-risk endometrial cancer patients with FIGO
(International Federation of Gynecology and Obstetrics) stage I to IIIC;
endometrioid, serous, or clear cell histology; diagnosed between November 1995
and December 2006; who presented to Peter MacCallum Cancer Centre for adjuvant
radiotherapy were included in these analyses. All traditionally recognized
prognostic factors and newly created 4 pairs of combination of LVSI and nodal
status were studied with respect to survival and patterns of failure. RESULTS:
The median follow-up time was 4.8 years. Five-year failure-free survival for all
patients according to FIGO stage I, II, and III were 87.4%, 89.0%, and 62.4 %,
respectively. In multivariable analysis for relapse, positive LVSI had a hazard
ratio of 4.9 (P = 0.000), which increased to 8.8 (P = 0.004) in the presence of
positive nodes. For overall survival, only LVSI was significant, with a hazard
ratio of 3.02 (P = 0.003). In particular, in the presence of LVSI and nodes,
histological type, grade, and myometrial invasion were not significant
prognosticators for relapse or overall survival. CONCLUSIONS: This model enables
the separation of good prognosis patients even among poorly differentiated
endometrioid, serous, and clear cell carcinoma patients and can be used in
simplifying the staging of endometrial cancer and for selecting patients for high
risk endometrial cancer studies.
PMID- 22080879
TI - LY294002 and metformin cooperatively enhance the inhibition of growth and the
induction of apoptosis of ovarian cancer cells.
AB - BACKGROUND: The phosphoinositide 3 kinase (PI3K)/v-akt murine thymoma viral
oncogene homolog (AKT)/mammalian target of rapamycin (mTOR) pathway is frequently
aberrantly activated in ovarian cancer and confers the chemoresistant phenotype
of ovarian cancer cells. LY294002 (PI3K inhibitor) and metformin (5'-adenosine
monophosphate [AMP]-activated protein kinase [AMPK] activator) are 2 drugs that
were known to inhibit mTOR expression through the AKT-dependent and AKT
independent pathways, respectively. In this study, we explored the effectiveness
of LY294002 and metformin in combination on inhibition of ovarian cancer cell
growth. METHODS: Western blotting was used to detect the changes of PI3K/AKT/mTOR
and AMPK/acetyl-CoA carboxylase (ACC) signaling activities, cell cycle control,
and apoptosis. Cell growth was evaluated by cell proliferation, colony formation,
and soft agar assays. Flow cytometry was used to study cell cycle distribution
and cell death upon drug treatment. RESULTS: Our study showed that LY294002 and
metformin in combination could simultaneously enhance the repression of the
PI3K/AKT/mTOR pathway and the activation of the AMPK/ACC pathway. The downstream
target of AKT and AMPK, mTOR, was cooperatively repressed when the drugs were
used together. The cell cycle regulatory factors, p53, p27, and p21, were up
regulated. On the other hand, caspase 3 and poly (ADP-ribose) polymerase
activities involved in apoptosis were also activated. Cell growth assays
indicated that LY294002 and metformin could effectively inhibit ovarian cancer
cell growth. Flow cytometry analysis showed that the treatment of the 2 drugs
mentioned above induced cell cycle arrest at G1 phase and increased sub-G1
apoptotic cells. CONCLUSION: The combinational use of LY294002 and metformin can
enhance inhibition of the growth and induction of the apoptosis of ovarian cancer
cells. Our results may provide significant insight into the future therapeutic
regimens in ovarian cancer.
PMID- 22080880
TI - Cancer stem cell and embryonic development-associated molecules contribute to
prognostic significance in ovarian cancer.
AB - OBJECTIVES: Embryonic molecules and cancer stem cell signaling resemble each
other, and they organize cancer modality. We hypothesized that similar
immunohistochemical expressions between tumor spheroids and patients' samples
compared with clinical relevance would give an important clue in patients'
prognosis. METHODS: Immunohistochemical expression of c-kit, Notch1, Jagged1, and
Delta1 in 50 cases of primary ovarian tumors (10 endometrioid, 10 serous, 10
mucinous adenocarcinoma, 10 borderline serous, and 10 borderline mucinous tumors)
and MDAH-2774 spheroids were investigated. Results were compared in both
spheroids and tumor samples with morphologic parameters (histological grade) and
clinical data (age, stage, tumor size, and metastasis). RESULTS: High c-kit and
Notch1 immunoreactivity was shown in spheroids, but interestingly
immunoreactivity of these molecules in tumor samples was different from patients'
clinicopathological characteristics. In serous carcinoma, metastasis correlated
with Notch1 immunoexpression; in mucinous carcinoma, Jagged1 immunohistochemistry
correlated with grade, stage, and metastasis of tumor; in borderline serous and
mucinous tumors, Jagged1 correlated with high grade. Moreover, Jagged1 correlated
with stage and Notch1 with size in borderline mucinous tumor. Endometrioid
carcinoma statistics showed that there was a correlation between age and Notch1
expression. CONCLUSION: Notch1, Jagged1, and Delta1 expressions might be useful
markers for clinical prognosis of ovarian carcinomas; and Notch pathway, one of
the most intensively studied putative therapeutic targets, may be a useful marker
for cancer. Consequently, Jagged1 could be a marker for tumor grades and Notch1
as a marker for metastases.
PMID- 22080881
TI - Radical excision of vulval disease and multidisciplinary tissue flap
reconstruction: the belfast experience.
AB - OBJECTIVE: The aim of this study was to compare Belfast City Hospital's vulval
flap reconstructive surgery with recognized standards and published data to
facilitate modifications to practice. MATERIALS AND METHODS: Retrospective cohort
study involving women who underwent vulval reconstructive skin flap procedures
from January 1, 2004, through December 31, 2009. RESULTS: A total of 25 women
underwent 28 flaps; age range was 41 to 90 years and mean parity was 2.1.
Indications for surgery were recurrence of cancer in 11 (44%) of the 25 women,
benign disease in 6 women (24.0%), primary vulval cancer in another 6 women
(24.0%), and vulval intraepithelial neoplasia in 2 women. Thirteen women (52.0%)
within the study had undergone previous radical vulval excision. Wide local
excision was performed in 14 women (56.0%), with 5 undergoing radical vulvectomy
and 6 undergoing modified radical vulvectomy. There were 15 (53.6%) of the 28
lotus flaps, 6 (21.4%) rhomboid-type repairs, and 3 (10.7%) gracilus
flaps.Intensive care unit admission immediately after operation was required in 2
(8.0%) of the 25 women. Return to the operating theater was required in 5 cases
(20.0%). Hospital stay ranged from less than 1 week to greater than 6 weeks, the
mode being 8 to 14 days and mean being 21.5 days. A total of 16 (64.0%) of the 25
cases experienced wound infection with or without breakdown, with 12 cases
(48.0%) having wound infection. There were 10 cases (40.0%) of partial or
complete wound breakdown. Necrosis of wound (5 cases) and lymphocyst (2 cases)
were less common. Twelve women (48.0%) experienced some form of medical
postoperative complication(s), whereas 15 women (60.0%) had no long-term
complications. CONCLUSIONS: The rate of local flap procedure is higher than that
published elsewhere, whereas the postoperative complication rate is comparable
and adherent to accepted standards. Perioperative protocols have been reported to
improve complication rates, and this strategy has been adopted in Belfast.
PMID- 22080882
TI - Province wide clinical governance network for clinical audit for quality
improvement in endometrial cancer management.
AB - BACKGROUND: According to the hub-and-spoke model introduced in the Provincial
Healthcare System of Reggio Emilia, early endometrial cancer is treated in
peripheral low-volume hospitals (spokes) by general gynecologist, whereas more
complex cancers are treated by gynecological oncologists at the main hospital
(hub). OBJECTIVE: To guarantee a uniformly high standard of care to all patients
with endometrial cancer treated in hub and spoke hospitals of Reggio Emilia
Province. METHODS: The specialists of the 5 hospitals of Reggio Emilia Province
instituted an inter hospital and multidisciplinary oncology group to write common
and shared guidelines based on evidence-based medicine through the use of
clinical audit. They valued the process indicators before and after guidelines
introduction identifying the site of improvement and verifying the standard
achievement. RESULTS: Diagnostic hysteroscopy use increased significantly from
preguideline period, 53%, to postguideline period, 74%. Magnetic resonance use
and accuracy increased significantly from preguideline to postguideline periods:
8.1% to 35.3% and 37.3% to 74.7%, respectively. Laparoscopy use increased from
1.6% (preguideline) to 18.6 (postguideline). Early surgical complications
decreased from 16% (preguideline) to 9% (postguideline). Radiotherapy use
increased from 14.% (preguideline) to 32.3% (postguideline). CONCLUSION: It is
possible for a provincial oncology group to build an oncology network providing
an improvement in the assistance of patients with endometrial cancer through the
use of clinical audit. Clinical audit made it possible to obtain the full
attendance of specialists of various disciplines involved in the treatment of
endometrial cancer to optimize response time schematizing process.
PMID- 22080883
TI - Severe pelvic floor symptoms after cervical cancer treatment are predominantly
associated with mental and physical well-being and body image: a cross-sectional
study.
AB - OBJECTIVE: To identify associations between demographic, disease-related, and
psychological variables and severe distress from pelvic floor symptoms (PFSs)
after cervical cancer treatment. METHODS: This study was cross-sectional and
questionnaire based. We included patients with cervical cancer treated between
1997 and 2007 in the Academic Medical Center, Amsterdam. Pelvic floor symptoms
were assessed with urogenital distress inventory and defecatory distress
inventory. Scores were dichotomized into severe (>90th percentile) versus
nonsevere distress. Disease-related variables were extracted from medical files.
Psychological factors included mental and physical well-being, optimism, and body
image, which were assessed with standardized questionnaires. Univariate and
multivariate logistic regression analyses were performed. RESULTS: A total of 282
patients were included: 148 were treated with radical hysterectomy and pelvic
lymph node dissection, 61 patients were treated with surgery and adjuvant
radiotherapy, and 73 patients were treated with primary radiotherapy.
Demographic: Multivariate analyses showed no significant relation between
demographic variables and symptoms. Disease-related: None of these variables were
significantly associated in multivariate analyses. Psychosocial: In all treatment
groups, multivariate associations were found. In general, better mental and
physical well-being was associated with nonsevere PFSs. Increased body image
disturbance was associated with severe defecation symptoms. CONCLUSIONS: Few
associations were found between demographic and disease-related variables and
distress from PFS after cervical cancer treatment. However, better mental and
physical well-being is associated with nonsevere distress from urogenital and
defecation symptoms and more body image disturbance with severe PFSs. Improving
these factors might reduce distress from PFSs and should be a focus of future
research.
PMID- 22080884
TI - Do FIGO stage IA and small (<=2 cm) IB1 cervical adenocarcinomas have a good
prognosis and warrant less radical surgery?
AB - OBJECTIVES: There is a controversy regarding the optimal management of small
cervical adenocarcinomas, and more radical surgery is often undertaken compared
to similar size squamous carcinomas. We wished to determine the risk of
parametrial involvement and metastatic disease and the outcome in International
Federation of Gynecology and Obstetrics (FIGO) stage IA and small (<=2 cm) stage
IB1 cervical adenocarcinomas. METHODS: All women with a diagnosis of
International Federation of Gynecology and Obstetrics stages IA1, IA2, or IB1
cervical adenocarcinoma with a maximum tumor size of 2 cm were identified between
1999 and 2010 in Northern Ireland. A single pathologist reviewed all pathology
prospectively at a cancer center tumor board. RESULTS: A total of 74 women were
identified (mean age, 39 years; range, 25-72 years). In total, 36 women had stage
IA1, 9 women had stage IA2, and 29 women had stage IB1 cervical adenocarcinomas.
Surgical treatment ranged from local excision (cone or large loop excision of
transformation zone) to radical hysterectomy and pelvic lymph node dissection;
adjuvant therapy was not administered in any case. No parametrial involvement was
seen in the 36 women who underwent parametrial resection. No lymph node
metastasis was identified in the 45 women who underwent pelvic lymph node
dissection. Lymphovascular space invasion was identified in 6 cases. No tumor
recurrence or metastasis was noted during a mean follow-up of 35 months.
CONCLUSIONS: The optimal management of women with IA or small IB1 cervical
adenocarcinoma is controversial, and radical surgery is often undertaken. Our
data suggest that there is an extremely low risk of parametrial and lymph node
involvement with tumors 2 cm or smaller and a low recurrence rate. Less radical
surgery may be warranted for small cervical adenocarcinomas, and this should be
addressed by future studies.
PMID- 22080885
TI - Percutaneous insertion of peritoneal ports.
AB - OBJECTIVE: To describe a technique for image-guided percutaneous insertion of
peritoneal ports in patients without ascites who have undergone surgical
debulking for stage III ovarian cancer. MATERIALS AND METHODS: Between 2006 and
2010, 29 intraperitoneal ports were placed percutaneously in 29 patients who
presented after debulking surgery for stage III ovarian cancer. Ultrasound and
fluoroscopy guidance were used to assist in the port placement. RESULTS: We
demonstrated a technical success rate of 100% in 29 patients. The ports remained
in place for an average of 186 days; and during that time, only 2 complications
(6.9%) arose. One patient presented with kinking and looping of the catheter/port
reservoir connection, and the redundant loop was removed. The other patient
presented with a suspected wound infection over the port pocket, and the port was
removed. CONCLUSIONS: Placement of percutaneous intraperitoneal ports is feasible
with an acceptably low complication rate of 6.9% in patients without abdominal
ascites.
PMID- 22080887
TI - Management of the elderly patient with gynecologic cancer: report of the 2011
workshop in geriatric gynecologic oncology.
AB - Reflecting the worldwide aging trend and close association of aging with cancer,
geriatric oncology is now growing beyond its pioneer years. Nevertheless,
geriatric oncology in the gynecologic field is in the beginning stage; indeed,
there is no geriatric specialist who is trained in this particular field of
gynecologic oncology. Therefore, we held the first workshop in geriatric
gynecologic oncology. In this review, we summarize what we discussed at the
workshop and provide evidence-based recommendations for the diagnosis and
treatment of gynecologic cancer in elderly individuals.
PMID- 22080886
TI - Intraperitoneal chemotherapy for recurrent epithelial ovarian cancer is feasible
with high completion rates, low complications, and acceptable patient outcomes.
AB - OBJECTIVES: Three large randomized clinical trials have shown a survival benefit
for patients treated with intraperitoneal (IP) compared with intravenous
chemotherapy for advanced stage epithelial ovarian cancer (EOC). However, the use
of IP chemotherapy in recurrent EOC is controversial. The purpose of this study
was to determine outcomes, completion rates, and frequency of complications in
patients with platinum-sensitive recurrent EOC treated with IP chemotherapy.
METHODS: A retrospective, single-institution analysis of women who received IP
chemotherapy for recurrent EOC from January 2003 to April 2010 was conducted.
Study patients were identified from the Tumor Registry and office records.
Demographic factors, stage, histology, surgical findings, cytoreduction status,
and subsequent therapies were abstracted. Progression-free (PFS) and overall
survival (OS) were estimated by Kaplan-Meier methods. RESULTS: Fifty-six women
who received IP chemotherapy for their first EOC recurrence were identified. The
mean age of patients was 56.7 years (range, 40-79 y). Fifty-five patients (98.3%)
had previously completed at least 6 cycles of intravenous chemotherapy. Of all
patients, 87.5% were initially diagnosed with advanced stage disease (stage IIA
IV). All patients underwent secondary cytoreduction at the time of IP port
placement. Moreover, 67.9% of patients were considered optimally cytoreduced (<1
cm residual disease) at the end of the secondary debulking surgery. Forty-two
patients (75%) were able to successfully complete at least 6 cycles of IP
chemotherapy. Reasons for noncompletion were disease progression, allergic
reaction, renal failure, pain, severe nausea and vomiting, death, and patient
refusal. Six patients (10.7%) developed port complications including pain around
port site, port malfunction, and port erosion into small bowel. Median PFS since
the initiation of IP chemotherapy was 10.5 months (95% confidence interval, 7.5
16.4 months) and median OS was 51 months (95% confidence interval, 40.8-61.1
months). CONCLUSIONS: Intraperitoneal chemotherapy is a feasible option for
patients with recurrent EOC, with high completion rates, low frequency of
complications, and acceptable PFS and OS.
PMID- 22080888
TI - Impact of chemotherapy-induced nausea and vomiting on quality of life in
indonesian patients with gynecologic cancer.
AB - BACKGROUND: Quality of life (QoL) has become a major outcome in the treatment of
patients with cancer. This study is aimed at examining the impact of chemotherapy
induced nausea and vomiting on QoL of patients with gynecologic cancer in
Indonesia. METHODS: Chemotherapy-naive patients with gynecologic cancer, who were
treated with cisplatin at a dosage 50 mg/m or higher as monotherapy or as part of
combination chemotherapy regimens, were recruited in the Oncology Department, Dr.
Sardjito Hospital, Yogyakarta, Indonesia. Quality of life was assessed by using
the Indonesian version of the European Organization for Research and Treatment
for Cancer of Quality of Life Questionnaire and Short Form-36, administered
immediately before and on day 5 after chemotherapy administration. Patients used
a daily diary to record nausea and vomiting during 5 days after chemotherapy.
RESULTS: Most (74.9%) of the 179 patients experienced delayed emesis during the 5
days after chemotherapy despite prophylactic use of antiemetics. The delayed
nausea and emesis caused significant negative impact on patients' QoL. Nausea in
the delayed phase caused negative effects on patients' QoL. CONCLUSIONS: Patients
reported a negative impact on the QoL of delayed emesis after chemotherapy. Poor
prophylaxis of patients' nausea and vomiting after chemotherapy interferes with
patients' QoL. Medical and behavioral interventions may help to alleviate the
negative consequences of chemotherapeutic treatment in patients with gynecologic
cancers treated with suboptimal antiemetics.
PMID- 22080889
TI - Ten years' experience with centralized surgery of ovarian cancer in one health
region in Norway.
AB - BACKGROUND: Better outcome of advanced ovarian cancer after centralized surgery
has led to the recommendation for centralized surgery in a Norwegian health
region. Whether the practice pattern has changed according to this recommendation
has not been examined. OBJECTIVE: The objective of this study was to evaluate the
referral practice and treatment of ovarian cancer in a Norwegian health region
after the introduction of centralized surgery. METHODS: This was a retrospective,
population-based study, including all women undergoing surgery for primary
ovarian, tubal, and peritoneal cancer between 2000 and 2005, in Health Region IV
of Norway. Clinical data and data regarding treatment and 5-year follow-up were
analyzed. RESULTS: In total, 279 cases of ovarian, peritoneal, and tubal cancer
were included. Eighty-four percent underwent primary surgery at the teaching
hospital and 16% at the nonteaching hospitals. After an immediate rise in the
number of cases undergoing primary surgery at the teaching hospital after the
introduction of centralization in 1995, the percentage distribution between the
teaching and nonteaching hospitals was stable during the study period. The women
who underwent surgery at the nonteaching hospitals had a higher percentage of
early-stage disease and were at higher risk of reoperation for comprehensive
staging. CONCLUSIONS: Centralization of ovarian cancer surgery has been
successfully accomplished in a health region in Norway. The referral practice of
assumed advanced ovarian cancer cases shows satisfactory compliance with
centralization at 10 years after the implementation of centralized surgery.
PMID- 22080890
TI - Surgical and oncological outcome of total laparoscopic radical hysterectomy in
obese women with early-stage cervical cancer.
AB - OBJECTIVE: To evaluate the clinical experience of the total laparoscopic radical
hysterectomy (TLRH) for the surgical management of cervical cancer in obese (body
mass index [BMI] >30 kg/m) and nonobese (BMI <30 kg/m) women. METHODS: Data were
collected prospectively on intraoperative and postoperative parameters and
complications for all women undergoing a TLRH for cervical cancer. Patients were
classified as obese, BMI >30 kg/m, or nonobese, BMI <30 kg/m. Assessment of
surgical radicality was made by comparing the excision specimens in the 2 groups
with a cohort of open radical hysterectomy cases performed before the
introduction of the TLRH. RESULTS: A total of 58 women underwent a TLRH; 15
(25.9%) were obese and 43 (74.1%) were in the nonobese group. There was no
significant difference in intraoperative blood loss or median duration of surgery
between the obese and nonobese groups. The median hospital stay in both groups
was 3 days (range, 2-13 days). Four cases were converted to laparotomy (7%); all
were in the nonobese group. Postoperatively, 3 patients developed ischemic
ureterovaginal fistulae (5%) between days 5 and 7 after surgery; all were in the
nonobese group. There was no significant difference in the parametrial length,
maximum vaginal cuff length, and number of lymph nodes excised between the 2
groups. To date, there has been one recurrence during the median follow-up period
of 19 months (range, 3-42 months). She belonged to the nonobese group.
CONCLUSIONS: The TLRH is a surgically safe procedure for early-stage cervical
cancer. Obesity did not adversely affect the performance of TLRH or the
radicality of the excision. In obese women, TLRH should be the favored route of
surgery for all women who require a radical hysterectomy owing to its favorable
perioperative outcome and short hospital stay.
PMID- 22080891
TI - An occult invasive cervical cancer found after a simple hysterectomy: a 10-year
experience in a single institution.
AB - OBJECTIVE: The aim of this study was to identify patients who are at risk of a
recurrence and those needing adjuvant treatment by risk grouping in invasive
cervical cancer after a simple hysterectomy (SH). METHODS: During 2000-2009, 26
patients who underwent SH and were finally diagnosed with stages higher than IA1
were reviewed retrospectively. The American Joint Committee on Cancer (AJCC)
pathologic staging system was adopted. Based on the pathologic findings, the
criteria for risk scoring was set: 1 for depth of invasion (DOI) is between 3-5
mm, and 2 for DOI greater than 5 mm; 1 for longest diameter (LD) is between 0.7
20 mm, and 2 for LD greater than 20 mm; 1 for lymphovascular space invasion
positive; and 3 each for parametrium, resection margin, and lymph node positive.
The final score was calculated by summing up the risk scores. The receiver
operation characteristic curve was created to confirm the best cutoff value.
RESULTS: All patients were stage IA2 to IB2, of which the number of patients in
stages IA2, IB1, and IB2 were 1, 24, and 1, respectively. Eleven patients did not
receive any further treatment. Of the remaining 15 patients, 11 received
radiation therapy, 3 underwent concurrent chemoradiation therapy, and 1 received
chemotherapy alone. No patient underwent a radical parametrectomy. During a
median follow-up of 67 months (range, 9-122 months), 3 patients (11.5%) showed a
recurrence. Patient whose score was 1 to 3, 4 to 5, and 6 or higher was
classified into low-risk, intermediate-risk, and high-risk groups, respectively.
All patients in the low-risk group did not recur without any adjuvant treatment
(sensitivity, 100%; specificity 34.8-65.2%). CONCLUSIONS: Adjuvant treatment can
be omitted in low-risk group patients with invasive cervical cancer detected
after SH.
PMID- 22080892
TI - Effect of obesity on survival of women with epithelial ovarian cancer: a
systematic review and meta-analysis of observational studies.
AB - OBJECTIVE: Although obesity is shown to be a risk factor for epithelial ovarian
cancer, its role as a prognostic factor has been remained inconclusive. In this
study, available evidences on this matter to date have been assembled for a meta
analysis to determine the effect of obesity on the survival of patients with
epithelial ovarian cancer. MATERIALS AND METHODS: Eligible studies published up
to December 2010 were searched using MEDLINE (PubMed), EMBASE, and Cochrane
Central Register of Controlled Trials, and manual review of relevant bibliography
to look for additional studies was done. Adjusted hazard ratios (HRs) from
individual studies were pooled using a random-effects model. RESULTS: Ten cohort
studies of 331 screened articles were included in the final analysis. The meta
analysis showed overweight or obesity at early adulthood to be associated with
higher mortality among patients with ovarian cancer (HR, 1.60; 95% confidence
interval, 1.10-2.34). Among patients with advanced ovarian cancer, premorbid
obesity was associated with worse prognosis (HR, 1.45; 95% confidence interval,
1.09-1.93). However, there was no significant relationship between prognosis and
obesity around the time of diagnosis. CONCLUSIONS: This study suggests a possible
relationship between obesity at early adulthood and higher mortality among
patients with ovarian cancer. Further studies are needed to elucidate the harmful
effect of obesity on the survival of patients with ovarian cancer.
PMID- 22080893
TI - Diaphragmatic surgery during primary cytoreduction for advanced ovarian cancer:
peritoneal stripping versus diaphragmatic resection.
AB - BACKGROUND: Standard approach for medically stable advanced ovarian cancer
patients should be primary cytoreduction following platinum-based chemotherapy.
The aim of surgical effort should be the complete removal of all visible disease.
Our objective was to compare perioperative features, postoperative complications,
and secondarily oncological outcomes of patients who underwent diaphragmatic
stripping with those who underwent diaphragmatic resection for advanced ovarian
cancer. METHODS: One hundred twelve cases were identified, among them 79
underwent diaphragmatic stripping and 33 underwent diaphragmatic full-thickness
resection. Data collected included patients' age, all perioperative details and
pathological findings, International Federation of Gynecology and Obstetrics
stage, adjuvant therapy, and follow-up data. RESULTS: Larger residual tumors
(mean, 5.1 vs 1.6 mm, respectively; P < 0.01) but shorter operating time (25
minutes shorter operative time, P = 0.07) were observed in the stripping group.
Higher postoperative pleural effusions rates (63.6% vs 37.9%, P = 0.01), but no
differences in the remaining complications, were observed in the resection group.
After a mean of 31 months of follow-up, disease-free survival rates were 27.8% in
the stripping group and 39.4% in the resection group (P = 0.04). No significant
differences were observed for overall survival. CONCLUSIONS: Diaphragmatic
surgery at the time of primary cytoreductive surgery for advanced ovarian cancer
may contribute to the achievement of complete cytoreduction with low
perioperative complication rate; full-thickness resection is preferable if
peritoneum stripping will not achieve a complete removal of the disease.
PMID- 22080894
TI - Laparoscopic adnexal transposition: novel surgical technique.
AB - Treatment of some cancers diagnosed at an early stage with expectation of
prolonged survival has permitted the consideration of salvaging the reproductive
and hormonal function of premenopausal female patients. When radiation to the
pelvic area is part of treatment, this will almost always result in ovarian
failure. To protect the ovaries, an oophoropexy may be performed, which involves
moving the ovaries away from the radiation field. This procedure may be performed
via laparoscopy. Some women undergoing laparoscopic radical hysterectomy may also
be candidates for laparoscopic transposition. Because failure rates are still
reported to be high, we developed a novel technique to mobilize the adnexa, which
we present in this paper and attached movie.After separating the adnexa from the
uterus and developing the infundibulopelvic (IP) ligament, a retroperitoneal
tunnel is developed from the pelvis to the transposition opening laterally. The
adnexa are moved through this tunnel, avoiding torsion of the vessels, and are
brought through the opening back into the peritoneum. The adnexa are now fixed
securely to the posterolateral abdominal wall with nonabsorbable sutures.Several
issues permit better results using this technique. The IP ligament remains
retroperitoneal and itself is outside the field of radiation. There is no kinking
of the ovarian blood supply on the peritoneal fold. The location of the
transposition is way above the field of radiation, preventing scatter injury.
Even if one or both of the sutures fail, placement of the ovary will not change
because of the peritoneum it has been brought through. This and the final
location of the IP ligament retroperitoneally may enforce the ovary to it outside
of the radiation field and prevent possible migration of the ovary back to the
pelvis. This technique has advantages, which may offer the ovaries a better
chance to resume hormonal function.
PMID- 22080895
TI - A novel CRAd in combination with cisplatin enhanced the antitumor efficacy in
ovarian cancer.
AB - OBJECTIVES: The aim of this study was to investigate the combined effects of a
novel survivin promoter-based conditionally replicating adenovirus (CRAd-S.RGD)
plus cis-diamminedichloroplatinum (cisplatin, CDDP) in ovarian cancer in vitro
and in vivo. METHODS: The viability of human ovarian cancer cell line SKOV3 was
determined by MTT assay following the infection with different doses of CRAd
S.RGD, either alone or in combination with CDDP. The antitumor efficacies and
survival curves were evaluated at the end of the treatment regimens with the
subcutaneous administration of CRAd-S.RGD, CDDP, combined therapy of CRAd-S.RGD
plus CDDP, or phosphate-buffered saline in a SKOV3 xenograft animal model.
Furthermore, the apoptosis rate of tumor tissues in mice was determined
subsequent to the treatments. RESULTS: In vitro, the CRAd-S.RGD destroyed SKOV3
cells by oncolysis in a dose-dependent manner, and the viability of SKOV3 cells
was significantly lower in the combined-therapy group than that in the individual
therapy groups. In vivo, enhanced tumor inhibition and animal survival rates were
obtained in a synergistic manner with CRAd-S.RGD plus CDDP, as compared with the
treatment with CRAd-S.RGD or CDDP alone. There was an increase in the apoptosis
rate of the cells following the combined therapy. The results clearly
demonstrated that there was a synergistic effect in the combination of CRAd-S.RGD
and CDDP in increased therapeutic efficacy. Similar therapeutic efficacy could be
obtained with CRAd-S.RGD plus CDDP at 2 lower doses that minimized the drug
toxicity to host tissues. CONCLUSIONS: The strategy of CRAd-S.RGD in combination
with CDDP was a potential therapeutic modality for the therapy in ovarian cancer.
ABBREVIATIONS: CDDP - cisplatin, cis-diamminedichloroplatinum, CRAd -
conditionally replicating adenovirus, CRAd-survivin - the survivin promoter-based
conditionally replicating adenovirus, CRAd-S.RGD - CRAd-survivin-RGD4C, MOI -
multiplicity of infection, PBS - phosphate-buffered saline, PI - propidium
iodide.
PMID- 22080896
TI - Short-term serum deprivation confers sensitivity to taxanes in platinum-resistant
human ovarian cancer cells.
AB - BACKGROUND: Based on the evidences showing that serum deprivation provokes
apoptosis in a variety of cells, we have investigated the effect of serum
deprivation on drug sensitivity. METHODS: After human ovarian cancer cells were
preincubated in 0.5 % serum containing medium for 12 hours, cellular drug
sensitivities were determined by colony-forming assay. RESULTS: Serum deprivation
treatment resulted in significant increase in paclitaxel sensitivity by factors
of mean +/- SD, 148.6 +/- 28.1 and 10.1 +/- 1.0 (n = 3; P < 0.001) fold in
platinum-resistant C13 and CP70 cells, respectively. Similarly, serum deprivation
induced significant docetaxel sensitivity in these cell lines. However, no
enhancement effect of serum deprivation was observed in platinum-sensitive 2008
and A2780 cells. Serum deprivation did not have any effect on the sensitivities
to cisplatin, vincristin, and doxorubicin in all of these cells. More than 7-fold
increase of apoptotic cells were observed in C13 or CP70 cells when they were
treated by serum deprivation followed by paclitaxel compared with the treatment
of either serum deprivation or paclitaxel alone. Confocal laser microscopy using
rhodamine 123 and flow cytometric analysis with 3,3'-dihexyloxacarbocyanine
iodide revealed that serum deprivation decreased mitochondrial membrane potential
in C13 or CP70 cells, whereas no change was observed in 2008 and A2780 cells.
This indicates that serum deprivation induced depolarization specifically in
platinum-resistant cells. Electron microscopy revealed that serum deprivation
caused regeneration of mitochondrial matrix structure in C13 or CP70 cells where
mitochondria were usually destructed and disappeared. DISCUSSIONS: These results
indicate that serum deprivation confers taxane hypersensitivity specifically in
platinum-resistant cells by recovering their impaired mitochondrial functions.
The evidence might be clinically beneficial for the development of new
chemotherapeutic technology, particularly for the patients with platinum
resistant ovarian cancer.
PMID- 22080897
TI - IL-21 and IL-12 inhibit differentiation of Treg and TH17 cells and enhance
cytotoxicity of peripheral blood mononuclear cells in patients with cervical
cancer.
AB - OBJECTIVES: Interleukin 21 (IL-21) and IL-12 have been known to be effective
antitumor agents. In this study, we evaluated whether IL-21 in combination with
IL-12 could enhance the cytotoxicity of peripheral blood mononuclear cells
(PBMCs) in patients with cervical intraepithelial neoplasia III and cervical
cancer. METHODS: Peripheral blood mononuclear cells were isolated from peripheral
blood of cervical intraepithelial neoplasia III patients (n = 17) and cervical
cancer patients (n = 18). Peripheral blood mononuclear cells were cultured with
IL-2 in low concentration as control group. Interleukin 2-stimulated PBMCs were
cocultured with anti-human IL-21 neutralizing antibody, IL-21 alone, IL-12 alone,
and IL-21 plus IL-12, respectively, as test groups. The cytotoxicity of PBMCs
against SiHa tumor cells was examined by lactate dehydrogenase released assay.
CD4CD25FOXP3 T regulatory (Treg) cells and CD4IL-17A T helper 17 (TH17) cells
were analyzed by flow cytometry. Proliferation and apoptosis were detected by CCK
8 (cell counting kit 8) assay and flow cytometry, respectively. RESULTS: Compared
with controls, IL-21 and IL-12 significantly elevated PBMC cytotoxicity against
SiHa cells. Moreover, IL-21 plus IL-12 significantly elevated PBMC cytotoxicity
in comparison to IL-21 alone and IL-12 alone. We also found that IL-21 plus IL-12
significantly decreased Treg and TH17 cell proportion in comparison to controls.
Notably, IL-21 plus IL-12 significantly decreased TH17 cell proportion in
comparison to IL-21 alone. Both IL-21 and IL-12 significantly decreased the
apoptosis rate of PBMCs, whereas neither IL-21 nor IL-12 had significant effect
on PBMC proliferation. CONCLUSIONS: The combination of IL-21 and IL-12 could
efficiently stimulate PBMCs with cytotoxicity against SiHa cells, and the
possible mechanisms may be due to down-regulated Treg and TH17 cell
differentiation.
PMID- 22080899
TI - The list of prohibited substances and methods in sport: structure and review
process by the world anti-doping agency.
AB - The List of Prohibited Substances and Methods (the List) is the International
Standard that determines what is prohibited in sport in- and out-of-competition.
The official text of the List is produced by the World Anti-Doping Agency (WADA),
the international independent organization responsible for promoting,
coordinating and monitoring the fight against doping in sport. The drafting of
the annual List is a highly interactive and consultative process involving
scientific and medical experts in anti-doping, sport federations and governments.
In this article, the elements that compose the List as well as the process
behind its annual revision and update are presented.
PMID- 22080900
TI - Investigating the use of stimulants in out-of-competition sport samples.
AB - The List of Prohibited Substances and Methods (the List), an International
Standard published yearly by the World Anti-Doping Agency (WADA), determines
which substances and methods are prohibited in sport in- and out-of-competition.
Stimulants are included within drug class S.6 under the in-competition testing
section of the List. Athletes may be tempted to use stimulants as ergogenic aids
in-competition in order to temporarily improve their mental and/or physical
functions by increasing alertness, aggressiveness, motivation, locomotion, heart
rate, and reducing fatigue. The Prohibited List Expert Group, responsible for
the maintenance of the List, approved WADA funding for a two-year study to
determine whether athletes were also using stimulants to benefit from their
performance-enhancing effects during the training phase between competitions
(i.e., out-of-competition). This study, involving 11 WADA-accredited
laboratories, found that the use of stimulants by athletes during training was
not significantly prevalent (0.36% of positive findings), suggesting that this
issue does not, at the moment, pose a further challenge to the fight against
doping in sport. In addition, the study supports the current structure in the
Prohibited List that differentiates banned substances into the in- and out-of
competition classifications.
PMID- 22080901
TI - Identifying plasma glycerol concentration associated with urinary glycerol
excretion in trained humans.
AB - Glycerol has been used as a means to legitimately hyperhydrate the body in an
attempt to offset the deleterious effects of dehydration. It has the potential to
mask blood doping practices and as a result has been added to the WADA prohibited
substance list. The purpose of this study was to identify the plasma glycerol
concentration coinciding with urinary glycerol excretion. Twelve healthy, trained
male subjects completed five separate trials under resting conditions. For each
trial, subjects consumed a different glycerol dose (0.025, 0.05, 0.10, 0.15, or
0.20 g glycerol/kg LBM) of a 5% glycerol solution in order to determine at what
plasma glycerol concentration an increase in urine glycerol concentration becomes
apparent. Based on regression analysis, plasma glycerol concentrations > 0.327 +/
0.190 mmol/L and a glycerol dose > 0.032 +/- 0.010 g glycerol/kg LBM would be
associated with urinary glycerol excretion. There were significant linear
relationships between peak plasma glycerol concentration and time to reach peak
plasma glycerol concentration to the ingested glycerol doses. Our findings
illustrate the importance of considering the effect of urinary glycerol
excretion on legitimate hyperhydration regimens as well as suggesting that it is
possible to detect surreptitious use of glycerol as a masking agent through
urinary analysis.
PMID- 22080898
TI - The androgen receptor and its use in biological assays: looking toward effect
based testing and its applications.
AB - Steroid abuse is a growing problem among amateur and professional athletes.
Because of an inundation of newly and illegally synthesized steroids with minor
structural modifications and other designer steroid receptor modulators, there is
a need to develop new methods of detection which do not require prior knowledge
of the abused steroid structure. The number of designer steroids currently being
abused is unknown because detection methods in general are only identifying
substances with a known structure. The detection of doping is moving away from
merely checking for exposure to prohibited substance toward detecting an effect
of prohibited substances, as biological assays can do. Cell-based biological
assays are the next generation of assays which should be utilized by antidoping
laboratories; they can detect androgenic anabolic steroid and other human
androgen receptor (hAR) ligand presence without knowledge of their structure and
assess the relative biological activity of these compounds. This review
summarizes the hAR and its action and discusses its relevance to sports doping
and its use in biological assays.
PMID- 22080902
TI - High-performance sport, marijuana, and cannabimimetics.
AB - The prohibition on use of cannabinoids in sporting competitions has been widely
debated and continues to be a contentious issue. Information continues to
accumulate on the adverse health effects of smoked marijuana and the decrement of
performance caused by the use of cannabinoids. The objective of this article is
to provide an overview of cannabinoids and cannabimimetics that directly or
indirectly impact sport, the rules of sport, and performance of the athlete. This
article reviews some of the history of marijuana in Olympic and Collegiate sport,
summarizes the guidelines by which a substance is added to the World Anti-Doping
Agency Prohibited List, and updates information on the pharmacologic effects of
cannabinoids and their mechanism of action. The recently marketed cannabimimetics
Spice and K2 are included in the discussion as they activate the same receptors
as are activated by THC. The article also provides a view as to why the World
Anti-Doping Agency prohibits cannabinoid or cannabimimetic use incompetition and
should continue to do so.
PMID- 22080903
TI - Screening indicators of dehydroepiandosterone, androstenedione, and
dihydrotestosterone use: a literature review.
AB - Because of their perceived and reported effects on self-image, muscle
development, performance, and similar factors, anabolic-androgenic steroids (AAS)
and their precursors are among the most abused substances by professional,
amateur, and recreational athletes. However, AAS abuse is not limited to
athletes, but is also prevalent in the workplace, especially those professions in
which image, strength, and endurance are coveted attributes. The detection of
many steroids in biological specimens is analogous to the detection of an abused
drug such as cocaine. Identification of the parent drug or its characteristic
metabolite(s) in a donor's sample with a drug screening technique and
confirmation of the drug/metabolite with a suitable alternative technology
provides evidence of use. These analyses and subsequent interpretive scenarios
become far more complex when the ingested AAS is an endogenous compound such as
dehydroepiandrosterone (DHEA), androstenedione (Adione), or dihydrotestosterone
(DHT). These compounds and their metabolites are present in specimens such as
urine as a course of our natural endocrine function. Therefore, it becomes much
more challenging for the laboratory to establish testing and interpretative
paradigms that can distinguish "normal" urinary profiles of these steroids and
their metabolites from profiles indicative of exogenous use. Distinguishing
"normal" from "abnormal" urine profiles is particularly challenging during
screening when literally tens of steroids and their metabolites may be tested
simultaneously in a single chromatographic analysis. The purpose of this paper is
to review the relevant literature about DHEA, Adione, and DHT administration,
detection, and interpretation specifically as it relates to changes in the
urinary AAS profile that may be identified during the routine laboratory
screening of donor urine specimens.
PMID- 22080904
TI - Validation of a liquid chromatography-tandem mass spectrometry method for
quantification of glycopyrrolate in horse plasma.
AB - A rapid, sensitive, and specific ultra-high-performance liquid chromatography
with heated electrospray ionization-tandem mass spectrometry (UHPLC-HESI-MS-MS)
method to detect and quantify glycopyrrolate in horse plasma has been developed
and validated. We also determined glycopyrrolate in plasma after oral and
intravenous administration of clinically relevant doses to Thoroughbred horses.
Calibration was accomplished by weighted, linear regression analysis using a
deuterated analogue of glycopyrrolate as internal standard (IS). Glycopyrrolate
(GLY) and the IS (GLY-d(3)) were isolated from plasma matrices via weak cation
exchange using a simple solid-phase extraction technique. Chromatographic
analysis was achieved by reversed-phase UHPLC on a C(18) AcquityTM column.
Extracts were analyzed in positive electrospray ionization mode and precursor
and product ions were detected and quantified by MS-MS using a triple-stage
quadrupole (TSQ) instrument. The method was characterized by a linear range of
0.125-25 pg/mL (R(2) > 0.998), a lower limit of quantification of 0.125 pg/mL and
a lower limit of detection of 0.025 pg/mL. Recovery of GLY ranged from 78% to
96%, and intra- and interbatch precision were 3.3-14.4%CV and 3.4-14.4%CV,
respectively. Glycopyrrolate was stable in plasma for up to 170 days at -80
degrees C, through three freeze/thaw cycles, and for up to 48 h after extraction
under 20 degrees C autosampler conditions.
PMID- 22080905
TI - Liquid chromatography-tandem mass spectrometry screening method for the
simultaneous detection of stimulants and diuretics in urine.
AB - This study established a simultaneous screening method based on solid-phase
extraction and liquid chromatography-tandem mass spectrometry (LC-MS-MS) for the
detection of 23 stimulants and 23 diuretics in human urine. An electrospray
ionization source and multiple reaction monitoring were used for data
acquisition. All stimulants and diuretics were separated in less than 12.52 min.
The limits of detection were in the range of 25-500 ng/mL for stimulants and 25
125 ng/mL for diuretics. To evaluate the performance of this method, urine
samples were collected from 1627 athletes in Taiwan, and 7 positive samples were
found. This LC-MS-MS method not only meets the minimum required performance
limits set by the World Anti-Doping Agency but also provides a fast way to
analyze the authentic urine samples in doping control laboratories.
PMID- 22080906
TI - Choroid is thinner in inferior region of optic disks of normal eyes.
AB - PURPOSE: To determine the thickness of the choroid across the posterior pole of
normal eyes. METHODS: Twenty-eight clinic-based normal eyes (54.1 +/- 20.0 years,
-3.6 +/- 4.1 diopter) were studied. The macula and retina around the optic disk
were scanned with a spectral-domain optical coherence tomographic instrument. The
retinal mapping program with the enhanced depth imaging technique was used, and
the borders of the choroid were drawn manually in each optical coherence
tomographic image. A choroidal thickness map was constructed by the built-in
program, and the choroidal thickness in the different sectors of the Early
Treatment Diabetic Retinopathy Study grid was measured and compared. RESULTS: The
choroid inferior to the optic disk was significantly thinner than that in the
other sectors of the outer ring of the Early Treatment Diabetic Retinopathy Study
grid (superior, 196 +/- 62 MUm; inferior, 146 +/- 47 MUm; nasal, 183 +/- 80 MUm;
and temporal, 193 +/- 64 MUm, P < 0.001). The mean choroidal thickness at the
nasal sector of the macular region was significantly thinner than other regions
of the Early Treatment Diabetic Retinopathy Study grid (superior, 268 +/- 74 MUm;
inferior, 245 +/- 73 MUm; nasal, 190 +/- 68 MUm; temporal, 268 +/- 63 MUm; and
central, 258 +/- 88 MUm; P < 0.05). Choroidal thickness maps showed that the
thinner choroidal area spreads around the optic disk and the inferior part of the
posterior pole. CONCLUSION: The thinner choroid inferior to the optic disk may be
a natural anatomical architecture of normal eyes, and this area may be more
susceptible to hypoxia or to elevated intraocular pressures.
PMID- 22080907
TI - Toxicity and retinal penetration of infliximab in primates.
AB - PURPOSE: To evaluate the retinal penetration and toxicity of two doses of
intravitreal infliximab in primates. METHODS: Ten marmosets (Callithrix jacchus)
were given intravitreal injection of 100 MUg or 400 MUg of infliximab, and
balanced salt solution served as control. At baseline and after 24 hours (5
animals) and 7 days (the other 5), the eyes were examined by electroretinography.
They were then killed (at 24 hours and 7 days) and assessed by light microscopy
and transmission electron microscopy for toxicity and immunohistochemistry, using
a biotinylated anti-human immunoglobulin G, to evaluate retinal penetration.
RESULTS: There was no difference over 50% of the electroretinography b-wave
between baseline and the time points studied in all animals. Light and electron
microscopy, and electroretinography analysis, showed no signs of toxicity in any
of the animals. Strong presence of infliximab was observed in all retinal layers
7 days after intravitreal injection at both doses (100 and 400 MUg). CONCLUSION:
Infliximab at doses of 100 and 400 MUg seemed to cause no damage to the retina 24
hours and 7 days after its intravitreal injection, and deeply penetrated all its
layers, in primates. These results encourage future perspectives for the
treatment of chronic inflammatory diseases of the retina in humans.
PMID- 22080908
TI - Familial trends in a population with macular holes.
AB - PURPOSE: To determine if patients with macular hole report an increased family
history of macular hole compared with control patients and compare the report of
family history between patients with unilateral and bilateral macular holes.
METHODS: This was a multicenter case-control study. Charts of patients coded with
diagnosis of macular hole were reviewed, and the diagnosis of idiopathic full
thickness macular hole was ascertained in 166 patients. The control group
comprised 136 patients without macular hole or trauma who presented with senile
cataract. Family history was obtained from all patients through a telephone
interview. RESULTS: Six of 166 (3.6%) macular hole patients surveyed reported a
history of macular hole in a primary relative compared with none of 136 (0.0%)
control patients (odds ratio is infinity, with 95% confidence interval 1.295 to
infinity); however, this finding may be explained by confounders such as age and
number of family members. Two of the 142 (1.4%) patients with unilateral holes
versus 4 of the 24 (16.7%) patients with bilateral holes reported a family
history (odds ratio is 0.0714, with 95% confidence interval 0.0063 to 0.5537),
and this finding remains significant when logistic regression is performed to
evaluate variables of age and number of family members as potential confounders.
CONCLUSION: There is an increased report of familial occurrence of macular hole
in patients with macular holes compared with control patients; however, logistic
regression relates this finding to variables of age and number of family members.
Patients with bilateral macular holes are more likely to report a family history
of macular hole than patients with unilateral macular holes, and this finding
remains significant in the presence of age and number of family members. These
findings may suggest a familial component to macular hole.
PMID- 22080909
TI - Diagnostic and therapeutic challenges.
PMID- 22080910
TI - Thermal deformation of chandelier endoillumination probes exposed to uveal tissue
and blood.
AB - PURPOSE: The purpose of this study was to evaluate the characteristics and
thermal properties of a chandelier endoillumination probe under conditions that
may induce thermal damage. METHODS: Experimental evaluation of a surgical
ophthalmic instrument under ex vivo conditions. RESULTS: A 27-gauge dual-tip
chandelier endoillumination probe was exposed to air, saline, porcine uveal
tissue, and human blood using a Xenon light source at 100% intensity. No
alteration of probe tip morphology was observed in air or saline at 10-minute
exposure. After exposure to uveal tissue and blood, thermal melting of the probe
tip was noted at 10 minutes. Beam focus and intensity were observed to diminish
in the probe tips that underwent thermal melting. A thermal imaging device was
used to demonstrate increased thermal intensity from the probe tip that had been
covered with uveal tissue compared with a control tip in air. CONCLUSION: Thermal
melting of a chandelier fiber probe has been reported only once previously in the
literature after exposure to porcine Tenon capsular tissue. We report two
separate conditions that may induce thermal damage to a fiber optic probe
including encapsulation of uveal tissue at the probe tip and exposure to blood.
Vitreoretinal surgeons should be aware of this potential complication.
PMID- 22080911
TI - Ultra-wide-field angiography improves the detection and classification of
diabetic retinopathy.
AB - PURPOSE: To evaluate patients with diabetic retinopathy using ultra-wide-field
fluorescein angiography and to compare the visualized retinal pathology with that
seen on an overly of conventional 7 standard field (7SF) imaging. METHODS: Two
hundred and eighteen eyes of 118 diabetic patients who underwent diagnostic
fluorescein angiography using the Optos Optomap Panoramic 200A imaging system
were included. The visualized area of the retina, retinal nonperfusion, retinal
neovascularization, and panretinal photocoagulation were quantified by two
independent masked graders. The respective areas identified on the ultra-wide
field fluorescein angiography image were compared with an overly of a modified
7SF image as outlined in the Early Treatment Diabetic Retinopathy Study. RESULTS:
Ultra-wide-field fluorescein angiograms imaging, on average, demonstrated 3.2
times more total retinal surface area than 7SF. When compared with 7SF, ultra
wide-field fluorescein angiography showed 3.9 times more nonperfusion (P <
0.001), 1.9 times more neovascularization (P = 0.036), and 3.8 times more
panretinal photocoagulation (P < 0.001). In 22 eyes (10%), ultra-wide-field
fluorescein angiography demonstrated retinal pathology (including nonperfusion
and neovascularization) not evident in an 7SF overly. CONCLUSION: Compared with
conventional 7SF imaging, ultra-wide-field fluorescein angiography reveals
significantly more retinal vascular pathology in patients with diabetic
retinopathy. Improved retinal visualization may alter the classification of
diabetic retinopathy and may therefore influence follow-up and treatment of these
patients.
PMID- 22080912
TI - Changes in visual acuity and foveal photoreceptor integrity in eyes with chronic
cystoid macular edema associated with retinal vein occlusion.
AB - PURPOSE: To investigate the change in integrity and thickness of the foveal outer
retina in eyes with chronic cystoid macula edema associated with retinal vein
occlusion. METHODS: We reviewed retrospectively the medical records of 30 eyes of
30 patients who had chronic cystoid macula edema associated with retinal vein
occlusion and who had a follow-up of more than 12 months without any treatment.
Using optical coherence tomography, we assessed the thickness of the outer retina
and status of the junction between the inner and outer segments of the
photoreceptors and of the external limiting membrane in the fovea. RESULTS:
Visual acuity showed a correlation with thickness of the foveal outer retina (R =
-0.37) and with the detection of a line of the inner and outer segments of the
photoreceptors (R = 0.65) and that of an external limiting membrane (R = 0.75)
beneath the fovea. During the observation period (17.2 +/- 5.5 months), neither
visual acuity nor the condition of the foveal outer retina showed a significant
change, and in no eyes were previously detectable lines of the inner and outer
segments of the photoreceptors and external limiting membrane just beneath the
foveal cystoid space became undetectable. CONCLUSION: Even if a large cystoid
space is located in the fovea, its effect on deterioration of the underlying
foveal photoreceptor cells is not as strong as is generally thought.
PMID- 22080913
TI - Fundus autofluorescence in serpiginouslike choroiditis.
AB - PURPOSE: To report the fundus autofluorescence characteristics in serpiginouslike
choroiditis. METHODS: Twenty-nine patients with presumed tubercular
serpiginouslike choroiditis between November 2008 and January 2010 underwent
fundus autofluorescence imaging during the acute stage and at regular intervals
till the lesions healed. All patients received antitubercular therapy with oral
corticosteroids. The autofluorescence images were compared with color fundus
photography and fundus fluorescein angiography. The main outcome measure was
fundus autofluorescence characteristics of lesions during the course of the
disease. RESULTS: The pattern of fundus autofluorescence changed as the lesions
evolved from the acute to the healed stage. In acute stage, the lesions showed an
ill-defined halo of increased autofluorescence (hyperautofluorescence), giving it
a diffuse, amorphous appearance (Stage I, acute). As the lesions began to heal, a
thin rim of decreased autofluorescence (hypoautofluorescence) surrounded the
lesion, defining its edges. The lesions showed predominantly
hyperautofluorescence with stippled pattern (Stage II, subacute). With further
healing, the hypoautofluorescence progressed and the lesion appeared
predominantly hypoautofluorescent with stippled pattern (Stage III, nearly
resolved). On complete healing, the lesions became uniformly hypoautofluorescent
(Stage IV, completely resolved). CONCLUSION: Fundus autofluorescence highlighted
the areas of disease activity and was a quick imaging tool for monitoring the
course of lesions in serpiginouslike choroiditis.
PMID- 22080914
TI - Vitrectomy without face-down posturing for idiopathic macular holes.
AB - PURPOSE: To report the results of vitrectomy with internal limiting membrane
peeling and C3F8 tamponade for macular hole without postoperative face-down
posturing in 208 eyes. METHODS: The study included 208 eyes on an uncontrolled
retrospective review, undergoing pars plana vitrectomy with trypan blue-assisted
internal limiting membrane peeling and C3F8 tamponade, without subsequent face
down posturing. All patients were followed-up at 1 day, 1 week, 3 months, and 12
months postoperatively. Biomicroscopy and optical coherence tomography were used
to assess macular hole closure at 1 day, 1 week, 3 months, and 12 months
postoperatively. Pre- and postoperative visual acuity by Snellen chart was
compared. RESULTS: In 208 eyes with idiopathic macular hole, preoperative mean
visual acuity was 20/200 and final visual acuity was 20/40 (P = 0.00017).
Anatomic macular hole closure rate was 81.3%. CONCLUSION: In agreement with
published studies, combined phacovitrectomy without face-down posturing after
macular hole surgery seems effective and safe, showing anatomical improvement and
favorable best-corrected visual acuity. Isolating the macula from vitreous fluid
with a large long-lasting gas-fill may render postoperative posturing
unnecessary. Eliminating face-down posturing may increase patient acceptance and
compliance.
PMID- 22080916
TI - Can erectile function be predicted after prostate cancer treatment?
PMID- 22080915
TI - Metabolic function of a suboptimal transplanted islet mass in nonhuman primates
on rapamycin monotherapy.
AB - Although islet transplantation may restore insulin independence to individuals
with type 1 diabetes mellitus, most have abnormal glucose tolerance. We asked
whether the defective glucose tolerance is due to inadequate beta-cell mass or to
impaired insulin sensitivity. We performed metabolic studies on four cynomolgus
primates before inducing diabetes with streptozotocin (STZ), then again 2-3 weeks
after restoring insulin independence via intrahepatic islet transplantation
utilizing a calcineurin inhibitor-free immunosuppressive regimen (induction with
rabbit antithymocyte globulin and maintenance therapy with rapamycin). Engrafted
beta-cell mass was assessed by acute insulin and C-peptide responses to glucose
(AIR(glu) and ACR(glu)) and arginine (AIR(arg) and ACR(arg)). Insulin sensitivity
(S(I)) was determined in naive and transplanted primates from an intravenous
glucose tolerance test using the minimal model. alpha-Cell function was
determined by the acute glucagon response to arginine (AGR(arg)). Glucose
tolerance (K(g)) decreased from 4.1 +/- 0.5%/min in naive primates to 1.8 +/-
0.3%/min in transplanted primates (p < 0.01). Following transplantation, AIR(glu)
was 28.7 +/- 13.1 MUU/ml compared to 169.9 +/- 43.1 MUU/ml (p < 0.03) in the
naive condition, ACR(glu) was 14.5 +/- 6.0 ng/ml compared to 96.5 +/- 17.0 ng/ml
naive (p < 0.01), AIR(arg) was 29.1 +/- 13.1 MUU/ml compared to 91.4 +/- 28.2
MUU/ml naive (p < 0.05), and ACR(arg) was 1.11 +/- 0.51 ng/ml compared to 2.79 +/
0.77 ng/ml naive (p < 0.05). S(I) did not differ from naive to posttransplant
states. AGR(arg) was reduced in transplanted primates (349 +/- 118 pg/ml) when
compared to both naive (827 +/- 354 pg/ml) and post-STZ diabetic primates (1020
+/- 440 pg/ml) (p < 0.01 for both comparisons). These data suggest that impaired
glucose tolerance observed in islet transplant recipients is secondary to low
functional beta-cell mass and not to insulin resistance shortly after transplant.
Furthermore, improved glycemic control achieved via islet transplantation over
the diabetic state might be attained, in part, via reduced glucagon secretion.
PMID- 22080917
TI - Intramolecular signaling in tandem-GAF domains from PDE5 and PDE10 studied with a
cyanobacterial adenylyl cyclase reporter.
AB - The dimeric mammalian phosphodiesterases (PDEs) are regulated by N-terminal
domains. In PDE5, the GAF-A subdomain of a GAF-tandem (GAF-A and -B) binds the
activator cGMP and in PDE10 GAF-B binds cAMP. GAF-tandem chimeras of PDE5 and 10
in which the 36 aa linker helix between GAF-A and -B was swapped lost allosteric
regulation of a reporter adenylyl cyclase. In 16 consecutive constructs we
substituted the PDE10 linker with that from PDE5. An initial stretch of 10 amino
acids coded for isoform specificity. A C240Y substitution uncoupled cyclase
activity from regulation, whereas C240F, L or G did not. The C240Y substitution
increased basal activity to stimulated levels. Notably, over the next 12
substitutions basal cyclase activity decreased linearly. Further targeted
substitutions were based on homology modeling using the PDE2 structure. No
combination of substitutions within the initial 10 linker residues caused loss of
regulation. The full 10 aa stretch was required. Modeling indicated a potential
interaction of the linker with a loop from GAF-A. To interrupt H-bonding a
glycine substitution of the loop segment was generated. Despite reduction of
basal activity, loss of regulation was maintained. Possibly, the orientation of
the linker helix is determined by formation of the dimer at the initial linker
segment. Downstream deflections of the linker helix may have caused loss of
regulation.
PMID- 22080918
TI - 17-Hydroxy-jolkinolide B, a diterpenoid from Euphorbia fischeriana, inhibits
inflammatory mediators but activates heme oxygenase-1 expression in
lipopolysaccharide-stimulated murine macrophages.
AB - Jolkinolides are the main abietane-type diterpenoids isolated from the root of
Euphorbia fischeriana Steud. In the present study, we investigated in vitro anti
inflammatory activity of four structural analogs of jolkinolide in
lipopolysaccharide (LPS)-stimulated RAW264 macrophages. Among these jolkinolides,
17-hydroxy-jolkinolide B (HJB) exhibited the most potent inhibition of LPS
induced production of inflammatory mediators such as prostaglandin E(2) (PGE(2)),
nitric oxide (NO), and pro-inflammatory cytokines [interleukin-6 (IL-6) and tumor
necrosis factor-alpha (TNF-alpha)]. HJB could decrease LPS-induced protein levels
of cyclooxygenase-2 (COX-2) and inducible nitric oxide synthase (iNOS) and the
mRNA expressions of COX-2, iNOS, IL-6, and TNF-alpha in a concentration-dependent
manner. These inhibitory effects were caused by suppression of MAPK
phosphorylation and NF-kappaB activation. Furthermore, we demonstrated that HJB
strongly induced heme oxygenase-1 (HO-1) protein and mRNA expressions. These
findings suggest that HJB possesses anti-inflammatory actions in macrophages and
may provide a potential therapeutic approach for inflammatory disorders.
PMID- 22080919
TI - Cyclic electron flow plays an important role in photoprotection for the
resurrection plant Paraboea rufescens under drought stress.
AB - Resurrection plants could survive severe drought stress, but the underlying
mechanism for protecting their photosynthetic apparatus against drought stress is
unclear. Cyclic electron flow (CEF) has been documented as a crucial mechanism
for photoprotection in Arabidopsis and tobacco. We hypothesized that CEF plays an
important role in protecting photosystem I (PSI) and photosystem II (PSII)
against drought stress for resurrection plants. To address this hypothesis, the
effects of mild drought stress on light energy distribution in PSII and P700
redox state were examined in a resurrection plant Paraboea rufescens. Cyclic
electron flow was not activated below the photosynthetic photon flux density
(PPFD) of 400 MUmol m-2 s-1 in leaves without drought stress. However, CEF was
activated under low light in leaves with mild drought stress, and the effective
quantum yield of PSII significantly decreased. Meanwhile, non-photochemical
quenching (NPQ) was significantly stimulated not only under high light but also
under low light. Compared with the control, the fraction of overall P700 that
cannot be oxidized in a given state (PSI acceptor side limitation) under high
light was maintained at low level of 0.1 in leaves with water deficit, indicating
that the over-reduction of the PSI acceptor side was prevented by the significant
stimulation of CEF. Furthermore, methyl viologen could significantly increase the
PSII photo-inhibition induced by high light compared with chloramphenicol. These
results suggested that CEF is an important mechanism for protecting PSI and PSII
from drought stress in resurrection plants.
PMID- 22080920
TI - TGF-beta induces the expression of the adaptor Ndfip1 to silence IL-4 production
during iTreg cell differentiation.
AB - Mice deficient in the adaptor Ndfip1 develop inflammation at sites of
environmental antigen exposure. We show here that such mice had fewer inducible
regulatory T cells (iT(reg) cells). In vitro, Ndfip1-deficient T cells expressed
normal amounts of the transcription factor Foxp3 during the first 48 h of iT(reg)
cell differentiation; however, this expression was not sustained. Abortive Foxp3
expression was caused by production of interleukin 4 (IL-4) by Ndfip1(-/-) cells.
We found that Ndfip1 expression was transiently upregulated during iT(reg) cell
differentiation in a manner dependent on transforming growth factor-beta (TGF
beta). Once expressed, Ndfip1 promoted degradation of the transcription factor
JunB mediated by the E3 ubiquitin ligase Itch, thus preventing IL-4 production.
On the basis of our data, we propose that TGF-beta signaling induces Ndfip1
expression to silence IL-4 production, thus permitting iT(reg) cell
differentiation.
PMID- 22080922
TI - Peripheral arterial disease in women.
AB - Women with peripheral arterial disease (PAD) are not well identified in
cardiology practice, are undertreated, and have different relative risks for
development of PAD than men. Recognizing that PAD is considered a coronary artery
disease risk equivalent emphasizes that primary care physicians and cardiologists
need to be aggressive for screening and treatment of this disorder. This article
reviews the prevalence and risk factors for PAD in women, sex-based differences
in development of PAD, and current screening and treatment recommendations.
PMID- 22080921
TI - Harnessing of the nucleosome-remodeling-deacetylase complex controls lymphocyte
development and prevents leukemogenesis.
AB - Cell fate depends on the interplay between chromatin regulators and transcription
factors. Here we show that activity of the Mi-2beta nucleosome-remodeling and
histone-deacetylase (NuRD) complex was controlled by the Ikaros family of
lymphoid lineage-determining proteins. Ikaros, an integral component of the NuRD
complex in lymphocytes, tethered this complex to active genes encoding molecules
involved in lymphoid differentiation. Loss of Ikaros DNA-binding activity caused
a local increase in chromatin remodeling and histone deacetylation and
suppression of lymphoid cell-specific gene expression. Without Ikaros, the NuRD
complex also redistributed to transcriptionally poised genes that were not
targets of Ikaros (encoding molecules involved in proliferation and metabolism),
which induced their reactivation. Thus, release of NuRD from Ikaros regulation
blocks lymphocyte maturation and mediates progression to a leukemic state by
engaging functionally opposing epigenetic and genetic networks.
PMID- 22080923
TI - Hypoglycemia and adverse outcomes: marker or mediator?
AB - Multiple studies have shown that hypoglycemia is associated with increased
mortality and a variety of adverse outcomes. Whether hypoglycemia is a mediator
of adverse outcomes or simply represents a marker of critical illness has been
unclear until now. Based on observational data, spontaneous (but not iatrogenic)
hypoglycemia is associated with increased mortality during hospitalization for
acute myocardial infarction. In the recent ADVANCE trial of patients with
diabetes, intensive glucose lowering was associated with increased risk of
hypoglycemia. Hypoglycemia was, in turn, associated with increased risk of macro-
and microvascular events and death, and also with increased risk of noncardiac
adverse events, including disorders of the digestive, respiratory, and skin
systems. Based on available evidence, hypoglycemia does not appear to directly
lead to death or cardiovascular events and is likely a marker for more severe
illness and comorbidity burden. Nevertheless, continued efforts to avoid
hypoglycemia are clearly warranted.
PMID- 22080924
TI - The electrophysiological properties of ranolazine: a metabolic anti-ischemic drug
or an energy-efficient antiarrhythmic agent?
AB - Ranolazine, a newer anti-ischemic agent that appears to induce a more efficient
utilization of adenosine triphosphate at the cellular level, has been shown to be
clinically beneficial in patients with chronic stable angina. More recently, the
antiarrhythmic effects of the drug have been described in patients with acute
coronary syndromes, as well as in those with atrial fibrillation, when combined
with other agents. Experimentally, the predominant inhibitory effects on late
I(Na), I(Ca), I(Na-Ca), and I(Ks), with little or no effect on I(to) or I(K1),
have been demonstrated. Different experimental models have shown the potential
beneficial effect of the drug in both supraventricular and ventricular
arrhythmias. Interestingly, despite its potential prolongation of the QT
interval, ranolazine does not appear to induce ventricular arrhythmias in animal
models. Whether the antiarrhythmic effect is secondary to a more efficient energy
production by the cardiac cell or by its direct effect on ion channels is still
unclear. The effect of ranolazine on other ionic currents, as well as its
potential as a clinically relevant antiarrhythmic agent, still needs to be
studied.
PMID- 22080925
TI - Cardiac allograft vasculopathy.
AB - Cardiac allograft vasculopathy (CAV) is the most important cause of morbidity and
mortality following cardiac transplantation. CAV is largely mediated by
immunologic damage and infiltration of the endothelium, resulting in
proliferation of vascular smooth muscle cells and subsequent luminal narrowing.
There are various risk factors for the development and progression of CAV.
Coronary angiography is the gold standard for the diagnosis of CAV; intravascular
ultrasound also plays an important role. The management of CAV includes
immunosuppression, drugs that modify conventional coronary artery disease risk
factors, and percutaneous coronary intervention (PCI) or surgical
revascularization for severe obstructive lesions. Although revascularization with
PCI has a high immediate success rate, rates of in-stent restenosis are higher as
compared with PCI of native coronary arteries, although the advent of drug
eluting stents has somewhat improved in-stent restenosis rates. Thus, the only
definitive treatment of CAV is repeat transplantation. Randomized trials are
needed to determine the optimal immunosuppressive and conventional risk factor
modifying agents and revascularization strategies for patients who develop CAV.
PMID- 22080927
TI - The changing landscape of cardiovascular care.
PMID- 22080926
TI - Pending plans for payment alignment.
PMID- 22080928
TI - Takotsubo cardiomyopathy due to iatrogenic methadone withdrawal.
AB - Takotsubo cardiomyopathy is a syndrome characterized by transient apical
ballooning or reversible midventricular systolic dysfunction. Most cases occur in
postmenopausal women and are typically triggered by an acute medical illness or
emotional or physical stress. Its presentation is highly suggestive of myocardial
ischemia, but there is little or no evidence of epicardial coronary artery
disease. To our knowledge there are only three reported cases in the literature
of Takotsubo cardiomyopathy induced by opioid agonist withdrawal in adults; ours
is the first reported case of iatrogenic methadone withdrawal leading to
Takotsubo cardiomyopathy.
PMID- 22080929
TI - Cardiovascular mortality in chronic kidney disease patients undergoing
percutaneous coronary intervention.
PMID- 22080931
TI - Effects of microendoscopy-assisted reduction and screw fixation through a single
mini-incision on posterior cruciate ligament tibial avulsion fracture.
AB - INTRODUCTION: There are various surgical approaches for the treatment of
posterior cruciate ligament (PCL) injury-associated tibial fracture avulsion,
including arthroscopy-assisted surgery and open posterior surgery. However, none
of these treatments are perfect. We have established a simple procedure with
microendoscopy-assisted reduction and cannulated screw fixation for the treatment
of this disease through a single mini-incision. In this study, we delineated the
effects of this surgical approach for patients with PCL tibial avulsion fracture.
PATIENTS AND METHODS: We retrospectively reviewed 24 patients with acute PCL
tibial avulsion fracture treated via this method from 2004 to 2008. All the
patients were implanted with cannulated screws (AO/ASIF, 3.5, 4.0 or 4.5 mm in
diameter, 3-4 mm in length) for fixation by microendoscopy. The posterior drawer
test (PDT) and KT-2000 arthrometer examination were performed to evaluate knee
stability. The Lysholm knee scoring scale and the International Knee
Documentation Committee (IKDC) scoring scale were used to assess knee function.
Types and rates of complications and radiographic follow-up were reviewed for all
cases. RESULTS: 23 of 24 cases achieved knee stability by PDT and KT-2000
examination. The Lysholm's score was improved from 43.8 +/- 4.6 to 95.3 +/- 3.8.
The IKDC evaluation demonstrated an improved function in 17 cases with grade A, 6
with grade B, and 1 with grade C. No relevant complications were experienced by
any patient. CONCLUSIONS: Increased stability, functional improvement, and few
complications were observed in patients of PCL injury-associated tibial fracture
avulsion treated with the microendoscopy-assisted reduction and cannulated screw
fixation through a single mini-incision.
PMID- 22080932
TI - Posterior root tear fixation of the lateral meniscus combined with arthroscopic
ACL double-bundle reconstruction: technical note of a transosseous fixation using
the tibial PL tunnel.
AB - According to our observation in ACL reconstruction, we find root tears of the
posterior horn of the lateral meniscus as a common concomitant injury in ACL
deficient knees. This might be a consequence of initial trauma or of the
increased anterior-posterior translation of the tibia and an overload impact on
the posterior meniscus root in ACL-deficient knees. A tear of the posterior horn
of the medial meniscus causes a 25% increase in peak pressure in the medial
compartment compared with that found in the intact condition. The repair restores
the peak contact pressure to normal (Allaire et al. in J Bone Joint Surg Am
90(9):1922-1931, [2008]). A tear of the posterior horn of the lateral meniscus
might have similar consequences. We hypothesize the surgical anatomical
reattachment of the root at the tibia helping to restore knee joint kinematics
and helping to advance ACL-graft function. This article presents an
arthroscopical technique to reattach the posterior meniscus root in combination
with ACL double-bundle reconstruction. The procedure uses the tibial PL tunnel to
fix the meniscus suture.
PMID- 22080930
TI - The myriad roles of cyclic AMP in microbial pathogens: from signal to sword.
AB - All organisms must sense and respond to their external environments, and this
signal transduction often involves second messengers such as cyclic nucleotides.
One such nucleotide is cyclic AMP, a universal second messenger that is used by
diverse forms of life, including mammals, fungi, protozoa and bacteria. In this
review, we discuss the many roles of cAMP in bacterial, fungal and protozoan
pathogens and its contributions to microbial pathogenesis. These roles include
the coordination of intracellular processes, such as virulence gene expression,
with extracellular signals from the environment, and the manipulation of host
immunity by increasing cAMP levels in host cells during infection.
PMID- 22080933
TI - Hearing threshold levels at age 70 years (65-74 years) in the unscreened older
adult population of the United States, 1959-1962 and 1999-2006.
AB - OBJECTIVES: To provide hearing threshold percentiles from unscreened older adults
for creating new Annex B reference standards. DESIGN: Percentiles are calculated,
and 95% confidence intervals for medians from two U.S. surveys are compared
graphically. RESULTS: Median thresholds are lower (better) in the 1999-2006
National Health and Nutrition Examination Survey for men across all frequencies
except 1 kHz. Results for women are similar; however, there is more overlap in
confidence intervals across frequencies. CONCLUSIONS: The prevalence of hearing
impairment in older adults, age 70 years (65-74 years), is lower in 1999-2006
compared with 1959-1962, consistent with our earlier findings for younger adults.
PMID- 22080934
TI - Putative CENP-B paralogues are not present at mammalian centromeres.
AB - Although centromere protein B (CENP-B) is a highly conserved mammalian centromere
protein, its function remains unknown. The presence of the protein is required to
form artificial satellite DNA-based centromeres de novo, yet cenpb knockout mice
are viable for multiple generations with no mitotic or meiotic defects, and the
protein is not present at fully functional neocentromeres. Previous studies have
suggested that the presence of functionally redundant paralogues of CENP-B may
explain the lack of a phenotype in knockout mice, and the related Tigger-derived
(TIGD) family of proteins has been implicated as the most likely candidate for
such paralogues. Here, we describe an investigation of the centromere-binding
properties of the three TIGD proteins most highly related to CENP-B through
phylogenetic analysis through EGFP fusion studies and immunocytochemistry.
Although two of the three proteins bound to human centromeres with low affinity
when overexpressed as fusion proteins, the strongest candidate, TIGD3,
demonstrated no native centromeric binding when using raised antibodies, either
in human cells or in cenpb (-/-) mouse ES cells. We conclude that the existence
of functional CENP-B paralogues is highly unlikely and that CENP-B acts alone at
the centromere. Based on these data, we suggest a new, meiotic drive model of
CENP-B action during centromere repositioning in evolution.
PMID- 22080935
TI - Telomere-mediated truncation of barley chromosomes.
AB - Engineered minichromosomes offer an enormous opportunity to plant biotechnology
as they have the potential to simultaneously transfer and stably express multiple
genes. Following a top-down approach, we truncated endogenous chromosomes in
barley (Hordeum vulgare) by Agrobacterium-mediated transfer of T-DNA constructs
containing telomere sequences. Blocks of Arabidopsis-like telomeric repeats were
inserted into a binary vector suitable for stable transformation. After transfer
of these constructs into immature embryos of diploid and tetraploid barley,
chromosome truncation by T-DNA-induced de novo formation of telomeres could be
confirmed by fluorescent in situ hybridisation, primer extension telomere repeat
amplification and DNA gel blot analysis in regenerated plants. Telomere seeding
connected to chromosome truncation was found in tetraploid plants only,
indicating that genetic redundancy facilitates recovery of shortened chromosomes.
Truncated chromosomes were transmissible in sexual reproduction, but were
inherited at rates lower than expected according to Mendelian rules.
PMID- 22080936
TI - Experimental investigation of beam heating in a soft X-ray scanning transmission
X-ray microscope.
AB - A variable temperature sample holder with an operational range of 15 to 200
degrees C and an accuracy of +/-1 degrees C has been fabricated for scanning
transmission X-ray microscopes (STXM). Here we describe the device, and use it to
image the polycrystalline morphology of solid stearic acid and palmitic acid at
temperatures near their respective melting points as a means of checking for
possible sample heating caused by the focused X-ray beam. The melting points
observed in STXM were identical to those observed by conventional methods within
measurement uncertainty, even under the most extreme, high dose rate imaging
conditions investigated. The beam-induced temperature rise in the sample is
inferred to be below 1 degrees C for dose rates of up to 2.7 GGy/s.
PMID- 22080937
TI - Abundance and sources of hydrophilic and hydrophobic water-soluble organic carbon
at an urban site in Korea in summer.
AB - In this study, the characteristics of total water-soluble organic carbon (WSOC)
and isolated WSOC fractions were examined to gain a better understanding of the
pathway of organic aerosol production. 24 h PM(2.5) samples were collected during
the summer (July 28-August 28, 2009) at an urban site in Korea. A glass column
filled with XAD7HP resin was used to separate the filtered extracts into
hydrophilic (WSOC(HPI)) and hydrophobic (WSOC(HPO)) fractions. The origins of air
mass pathways arriving at the sampling site were mostly classified into three
types, those originating over the East Sea of Korea that passed over the eastern
inland urban and industrial regions (type I); those from the marine
(western/southwestern/southern marine) and passed over the national industrial
complex regions (type II); and those from northeastern China that passed through
North Korea and metropolitan areas of South Korea (type III). Measurements showed
an increase in the average WSOC fraction of total OC from the type II to III air
mass (53 to 64%) periods. Also, higher SO(4)(2-)/SO(x) (=SO(2) + SO(4)(2-)) was
observed in the type III air mass (0.70) than those in the types I (0.49) and II
(0.43). According to the average values of WSOC/OC and SO(4)(2-)/SO(x),
measurements suggest that the aerosols collected during the type III air mass
period were more aged or photo-chemically processed than those during the types I
and II air mass periods. The relationship between the SO(4)(2-)/SO(x) and WSOC/OC
(R(2) = 0.64) suggests that a significant fraction of the observed WSOC at the
site could be formed by an oxidation process similar to SO(4)(2-) aerosols,
probably the oxidation process using OH radicals, or in-cloud processing. The
photochemical production of WSOC(HPO) was also observed to significantly
contribute to the total OC.
PMID- 22080938
TI - Co-production of biomass and metabolites by cell retention culture of Leuconostoc
citreum.
AB - Cell retention culture of lactic acid bacterium Leuconostoc citreum was carried
out in a fermentor equipped with an internal ceramic filtration system to co
produce biomass and metabolites. The filtration system was composed of porous
ceramic filter module with pore size of 0.1 MUm and total surface area of 330
cm(2). High cell density cultivation of L. citreum was achieved within the
fermentor, while extracellular metabolites such as mannitol and D-lactic acid
were produced through the filter with high productivities. In batch culture of L.
citreum using a medium containing 50 g/L of glucose and 100 g/L of fructose, the
maximum optical density (OD) monitored at 660 nm was 13 with 65 g/L of mannitol
and 38 g/L of lactic acid. In cell retention culture of L. citreum with dilution
rate of 0.07 h(-1), OD increased to 75, which was 6 times higher than that in
batch culture. The concentrations of mannitol and lactic acid increased to 85 and
45 g/L, respectively, and were maintained throughout the cultivation to 105 h. By
increasing dilution rate to 0.13 h(-1), the productivities of mannitol and lactic
acid increased to 8.5 and 4.2 g/L/h, respectively, which were 2.7 to 3 times
higher than those in batch culture, suggesting that cell retention culture using
internal filtration system is highly effective for co-production of useful cell
biomass and various extracellular metabolites.
PMID- 22080939
TI - [Sleep disordered breathing and nonsustained ventricular tachycardia in patients
with chronic heart failure].
AB - BACKGROUND: Patients with chronic heart failure (CHF) have a high incidence of
sleep disordered breathing (SDB). It is assumed that patients with the
combination of CHF and SDB have more ventricular couplets and nonsustained
ventricular tachycardia (NSVT) than patients without SDB. METHODS: In 63
patients, 49 men and 14 women with chronic heart failure (EF < 45%), all-night
polysomnography and long-term-ECG were performed. Mean age was 59 +/- 15 years,
mean BMI 27 +/- 5 kg/m(2). 56% had an ischemic, 44% a nonischemic heart disease.
51% had heart insufficiency classification NYHA III. RESULTS: 42 of the 63
patients (67%) had sleep disordered breathing (SDB) with an AHI >=5/h. In 24
patients (38%) SDB was central, in 18 (29%) obstructive. More patients with SDB
than patients without SDB had NSVT (50% vs. 19%). Nocturnal frequency of NSVT in
patients with SDB was about twice as high as the rate observed during daytime
(0.48/h vs. 0.21/h). In patients without SDB there was no relevant difference
between day and night (0.23/h vs. 0.21/h). AHI correlated with NSVT (r = 0.329, p
< 0.01). Day/night comparison of couplets was 2.3/h vs. 1.9/h in SDB patients and
2.0/h vs. 1.6/h in patients without SDB. CONCLUSIONS: Patients with chronic heart
failure have a high prevalence of SDB. The combination of CHF and SDB predisposes
for nocturnal malignant ventricular arrhythmias.
PMID- 22080941
TI - Postoperative calcium supplementation in patients undergoing thyroidectomy.
AB - PURPOSE OF REVIEW: Postoperative hypocalcemia is one of the most common
complications following thyroidectomy. This review examines recent literature on
predictive factors for hypocalcemia, measurement of serum calcium and parathyroid
hormone (PTH) levels, and algorithms for supplementation with calcium and/or
vitamin D. RECENT FINDINGS: Risk factors for developing postthyroidectomy
hypocalcemia include hyperthyroidism, vitamin D deficiency, female sex,
substernal thyroid disease, and thyroid cancer, necessitating central neck
lymphadenectomy. Several studies have shown that routine postoperative oral
calcium and calcitriol supplementation results in lower rates of tetany. Recent
studies have focused on the predictive value of intraoperative and postoperative
serum PTH levels for the development of symptomatic hypocalcemia. Although the
exact timing and serum levels of PTH have been variable, studies have confirmed
that patients with very low postoperative PTH levels require oral calcitriol and
calcium supplementation. A societal-level cost-utility analysis examining the use
of routine vs. selective oral calcium and calcitriol supplementation found that
routine supplementation is more cost-effective, and is associated with improved
quality of life, irrespective of the surgeons' specific rates of hypocalcemia.
SUMMARY: Although some clinicians favor routine supplementation postoperatively,
others advocate selective supplementation, guided by postoperative PTH levels.
The optimal algorithm is unknown, although a recent cost-analysis study suggests
that routine supplementation may be favored at the societal level.
PMID- 22080942
TI - Neuroendocrine tumors of the pancreas.
AB - PURPOSE OF REVIEW: Pancreatic neuroendocrine tumors (PNETs) are rare tumors, with
an incidence of one per 100, 000 individuals per year, and they account for 1-2%
of all pancreatic neoplasms. PNETs are a heterogeneous group with varying
clinical presentation, tumor biology and prognosis. This article reviews the
current diagnostic strategy and treatment armamentarium for PNETs. Special
attention is paid to recent and ongoing developments in treatment, particularly
with regards to multimodality treatment and newer systemic therapies for
unresectable disease. RECENT FINDINGS: There has been significant progress in the
genetic understanding of hereditary syndromes in regards to PNETs, as well as in
the diagnosis and treatment of resectable and nonresectable PNETs. Whereas
surgical therapy remains the most advisable therapy for resectable neuroendocrine
tumors of the pancreas, there have been significant recent advances in systemic
therapy for those with unresectable disease. Results from recent clinical trials
examining mammalian target of rapamycin inhibitors and tyrosine kinase inhibitors
for unresectable disease are promising in expanding treatment options for
metastatic PNETs. SUMMARY: Neuroendocrine tumors of the pancreas are a
heterogeneous group of tumors with varying clinical presentation, tumor biology
and prognosis. Clinicians must be aware of the variety of manifestations of this
disease, as well as the role of systemic chemotherapy in treatment of
unresectable disease.
PMID- 22080943
TI - Current status of robotic thyroidectomy and neck dissection using a gasless
transaxillary approach.
AB - PURPOSE OF REVIEW: To describe refinements in surgical techniques using robotic
thyroidectomy and robotic modified radical neck dissection (MRND), and to discuss
the impact of such developments on thyroid cancer management, from oncological,
functional, and surgical viewpoints. RECENT FINDINGS: From 2009 to present, 23
reports, including three multicenter trials, on the conduct of robotic thyroid
surgery via a gasless transaxillary approach appeared. Twenty-two studies
discussed robotic thyroidectomy, whereas one described robotic MRND. These
clinical studies showed that robotic surgery afforded identical or superior
levels of surgical radicality and oncologic safety compared to use of
conventional open or endoscopic surgery in patients with thyroid carcinomas. In
such patients, the clinical benefits of robotic thyroidectomy include excellent
cosmetic results, reduced pain, improvement in swallowing function, and low
morbidity rates. From the viewpoint of surgeons, robotic surgery shortens the
surgical learning curve, and causes less musculoskeletal discomfort compared with
the conduct of open or endoscopic surgery. SUMMARY: The accumulated evidence to
date suggests that robotic thyroidectomy and MRND can benefit both patients and
surgeons.
PMID- 22080944
TI - SIRT1, metabolism and cancer.
AB - PURPOSE OF REVIEW: SIRT1 impacts upon diverse cellular processes via its roles in
the determination of chromatin structure, chromatin remodelling and gene
expression. This review covers the recent discoveries linking SIRT1 with the
regulation of mammalian metabolism and considers ways in which abnormal
metabolism in disease may, in turn, impact upon SIRT1 because of SIRT1's
functional dependency upon NAD. RECENT FINDINGS: Diverse signalling pathways are
integrated to regulate energy metabolism and homeostasis. Such pathways involve
intracellular networks and mitochondria, and also intercellular signalling within
and between tissues to co-ordinate adaptive metabolic responses within the
organism as a whole. Here, we outline the recent studies exploring the regulatory
links between SIRT1 and mitochondrial biogenesis, cellular redox and associated
metabolic pathways, and angiogenesis/Notch signalling. These links are effected
by the SIRT1-mediated deacetylation of transcriptional regulators and enzymes
with key roles in metabolism. SUMMARY: SIRT1 activity is directly coupled with
homeostasis and metabolism. SIRT1 is also a metabolic sensor. It follows that
disease-related metabolic abnormalities are likely to impinge upon SIRT1
functioning. Disease-related functions of SIRT1, in their turn, offer potential
targets for the development of novel SIRT1-based therapies. In cancer, for
example, the survival function of SIRT1 may reflect abnormal cancer metabolism
and identifies SIRT1 as a target for anticancer therapy.
PMID- 22080945
TI - Altered cancer cell metabolism in gliomas with mutant IDH1 or IDH2.
AB - PURPOSE OF REVIEW: IDH1/2 mutations occur in up to 70% of low-grade gliomas and
secondary glioblastomas. Mutation of these enzymes reduces the wildtype function
of the enzyme (conversion of isocitrate to alpha-ketoglutarate) while conferring
a new enzymatic function, the production of D-2-hydroxyglutarate (D-2-HG) from
alpha-ketoglutarate (alpha-KG). However, it is unclear how these enzymatic
changes contribute to tumorigenesis. Here, we discuss the recent studies that
demonstrate how IDH1/2 mutation may alter the metabolism and epigenome of
gliomas, how these changes may contribute to tumor formation, and opportunities
they might provide for molecular targeting. RECENT FINDINGS: Metabolomic studies
of IDH1/2 mutant cells have revealed alterations in glutamine, fatty acid, and
citrate synthesis pathways. Additionally, D-2-HG produced by IDH1/2 mutant cells
can competitively inhibit alpha-KG-dependent enzymes, including histone
demethylases and DNA hydroxylases, potentially leading to a distinct epigenetic
phenotype. Alterations in metabolism and DNA methylation present possible
mechanisms of tumorigenesis. SUMMARY: Recent attempts to improve outcomes for
glioma patients have resulted in incremental gains. Studies of IDH1/2 mutations
have provided mechanistic insights into tumorigenesis and potential avenues for
therapeutic intervention. Further study of IDH1/2 mutations might allow for
improved therapeutic strategies.
PMID- 22080946
TI - Advances in robotic adrenalectomy.
AB - PURPOSE OF REVIEW: We review the development of robotic adrenalectomy over the
last decade, focusing on specific technical advances in the last 18 months.
RECENT FINDINGS: The learning curve for robotic adrenalectomy, after which
conversion rates and operative times significantly decrease, is more than 20
cases even in surgeons with extensive laparoscopic experience. Two new uses of
the robot to extend traditional laparoscopic adrenalectomy have been highlighted
in recent studies. Posterior retroperitoneoscopic adrenalectomy can be aided by
robotic assistance, particularly in patients whose adrenal gland is located well
superior to the 12th rib, on the anterior surface of the kidney, or in the renal
hilum. Robotic assistance has also enabled cortical-sparing adrenalectomy which
may obviate the need for steroid hormone replacement in patients with multiple or
bilateral tumors. SUMMARY: Robot-assisted adrenalectomy can extend the
capabilities of traditional laparoscopy, particularly in regard to performing
posterior retroperitoneal and subtotal adrenalectomies.
PMID- 22080948
TI - Two populations of X-ray pulsars produced by two types of supernova.
AB - Two types of supernova are thought to produce the overwhelming majority of
neutron stars in the Universe. The first type, iron-core-collapse supernovae,
occurs when a high-mass star develops a degenerate iron core that exceeds the
Chandrasekhar limit. The second type, electron-capture supernovae, is associated
with the collapse of a lower-mass oxygen-neon-magnesium core as it loses pressure
support owing to the sudden capture of electrons by neon and/or magnesium nuclei.
It has hitherto been impossible to identify the two distinct families of neutron
stars produced in these formation channels. Here we report that a large, well
known class of neutron-star-hosting X-ray pulsars is actually composed of two
distinct subpopulations with different characteristic spin periods, orbital
periods and orbital eccentricities. This class, the Be/X-ray binaries, contains
neutron stars that accrete material from a more massive companion star. The two
subpopulations are most probably associated with the two distinct types of
neutron-star-forming supernova, with electron-capture supernovae preferentially
producing systems with short spin periods, short orbital periods and low
eccentricities. Intriguingly, the split between the two subpopulations is
clearest in the distribution of the logarithm of spin period, a result that had
not been predicted and which still remains to be explained.
PMID- 22080947
TI - Senescence surveillance of pre-malignant hepatocytes limits liver cancer
development.
AB - Upon the aberrant activation of oncogenes, normal cells can enter the cellular
senescence program, a state of stable cell-cycle arrest, which represents an
important barrier against tumour development in vivo. Senescent cells communicate
with their environment by secreting various cytokines and growth factors, and it
was reported that this 'secretory phenotype' can have pro- as well as anti
tumorigenic effects. Here we show that oncogene-induced senescence occurs in
otherwise normal murine hepatocytes in vivo. Pre-malignant senescent hepatocytes
secrete chemo- and cytokines and are subject to immune-mediated clearance
(designated as 'senescence surveillance'), which depends on an intact CD4(+) T
cell-mediated adaptive immune response. Impaired immune surveillance of pre
malignant senescent hepatocytes results in the development of murine
hepatocellular carcinomas (HCCs), thus showing that senescence surveillance is
important for tumour suppression in vivo. In accordance with these observations,
ras-specific Th1 lymphocytes could be detected in mice, in which oncogene-induced
senescence had been triggered by hepatic expression of Nras(G12V). We also found
that CD4(+) T cells require monocytes/macrophages to execute the clearance of
senescent hepatocytes. Our study indicates that senescence surveillance
represents an important extrinsic component of the senescence anti-tumour
barrier, and illustrates how the cellular senescence program is involved in
tumour immune surveillance by mounting specific immune responses against antigens
expressed in pre-malignant senescent cells.
PMID- 22080949
TI - Energetics and the evolution of human brain size.
AB - The human brain stands out among mammals by being unusually large. The expensive
tissue hypothesis explains its evolution by proposing a trade-off between the
size of the brain and that of the digestive tract, which is smaller than expected
for a primate of our body size. Although this hypothesis is widely accepted,
empirical support so far has been equivocal. Here we test it in a sample of 100
mammalian species, including 23 primates, by analysing brain size and organ mass
data. We found that, controlling for fat-free body mass, brain size is not
negatively correlated with the mass of the digestive tract or any other expensive
organ, thus refuting the expensive-tissue hypothesis. Nonetheless, consistent
with the existence of energy trade-offs with brain size, we find that the size of
brains and adipose depots are negatively correlated in mammals, indicating that
encephalization and fat storage are compensatory strategies to buffer against
starvation. However, these two strategies can be combined if fat storage does not
unduly hamper locomotor efficiency. We propose that human encephalization was
made possible by a combination of stabilization of energy inputs and a
redirection of energy from locomotion, growth and reproduction.
PMID- 22080950
TI - A novel recurrent mutation in MITF predisposes to familial and sporadic melanoma.
AB - So far, two genes associated with familial melanoma have been identified,
accounting for a minority of genetic risk in families. Mutations in CDKN2A
account for approximately 40% of familial cases, and predisposing mutations in
CDK4 have been reported in a very small number of melanoma kindreds. Here we
report the whole-genome sequencing of probands from several melanoma families,
which we performed in order to identify other genes associated with familial
melanoma. We identify one individual carrying a novel germline variant (coding
DNA sequence c.G1075A; protein sequence p.E318K; rs149617956) in the melanoma
lineage-specific oncogene microphthalmia-associated transcription factor (MITF).
Although the variant co-segregated with melanoma in some but not all cases in the
family, linkage analysis of 31 families subsequently identified to carry the
variant generated a log of odds (lod) score of 2.7 under a dominant model,
indicating E318K as a possible intermediate risk variant. Consistent with this,
the E318K variant was significantly associated with melanoma in a large
Australian case-control sample. Likewise, it was similarly associated in an
independent case-control sample from the United Kingdom. In the Australian
sample, the variant allele was significantly over-represented in cases with a
family history of melanoma, multiple primary melanomas, or both. The variant
allele was also associated with increased naevus count and non-blue eye colour.
Functional analysis of E318K showed that MITF encoded by the variant allele had
impaired sumoylation and differentially regulated several MITF targets. These
data indicate that MITF is a melanoma-predisposition gene and highlight the
utility of whole-genome sequencing to identify novel rare variants associated
with disease susceptibility.
PMID- 22080951
TI - Regulatory evolution through divergence of a phosphoswitch in the transcription
factor CEBPB.
AB - There is an emerging consensus that gene regulation evolves through changes in
cis-regulatory elements and transcription factors. Although it is clear how
nucleotide substitutions in cis-regulatory elements affect gene expression, it is
not clear how amino-acid substitutions in transcription factors influence gene
regulation. Here we show that amino-acid changes in the transcription factor
CCAAT/enhancer binding protein-beta (CEBPB, also known as C/EBP-beta) in the stem
lineage of placental mammals changed the way it responds to cyclic AMP/protein
kinase A (cAMP/PKA) signalling. By functionally analysing resurrected ancestral
proteins, we identify three amino-acid substitutions in an internal regulatory
domain of CEBPB that are responsible for the novel function. These amino-acid
substitutions reorganize the location of key phosphorylation sites, introducing a
new site and removing two ancestral sites, reversing the response of CEBPB to GSK
3beta-mediated phosphorylation from repression to activation. We conclude that
changing the response of transcription factors to signalling pathways can be an
important mechanism of gene regulatory evolution.
PMID- 22080952
TI - Basigin is a receptor essential for erythrocyte invasion by Plasmodium
falciparum.
AB - Erythrocyte invasion by Plasmodium falciparum is central to the pathogenesis of
malaria. Invasion requires a series of extracellular recognition events between
erythrocyte receptors and ligands on the merozoite, the invasive form of the
parasite. None of the few known receptor-ligand interactions involved are
required in all parasite strains, indicating that the parasite is able to access
multiple redundant invasion pathways. Here, we show that we have identified a
receptor-ligand pair that is essential for erythrocyte invasion in all tested P.
falciparum strains. By systematically screening a library of erythrocyte
proteins, we have found that the Ok blood group antigen, basigin, is a receptor
for PfRh5, a parasite ligand that is essential for blood stage growth.
Erythrocyte invasion was potently inhibited by soluble basigin or by basigin
knockdown, and invasion could be completely blocked using low concentrations of
anti-basigin antibodies; importantly, these effects were observed across all
laboratory-adapted and field strains tested. Furthermore, Ok(a-) erythrocytes,
which express a basigin variant that has a weaker binding affinity for PfRh5, had
reduced invasion efficiencies. Our discovery of a cross-strain dependency on a
single extracellular receptor-ligand pair for erythrocyte invasion by P.
falciparum provides a focus for new anti-malarial therapies.
PMID- 22080953
TI - Dendritic cells control lymphocyte entry to lymph nodes through high endothelial
venules.
AB - While patrolling the body in search of foreign antigens, naive lymphocytes
continuously circulate from the blood, through the lymph nodes, into the
lymphatic vessels and back to the blood. This process, called lymphocyte
recirculation, provides the body with effective immune surveillance for foreign
invaders and for alterations to the body's own cells. However, the mechanisms
that regulate lymphocyte recirculation during homeostasis remain incompletely
characterized. Here we show that dendritic cells (DCs), which are well known for
their role in antigen presentation to T lymphocytes, control the entry of naive
lymphocytes to lymph nodes by modulating the phenotype of high endothelial
venules (HEVs), which are blood vessels specialized in lymphocyte recruitment. We
found that in vivo depletion of CD11c(+) DCs in adult mice over a 1-week period
induces a reduction in the size and cellularity of the peripheral and mucosal
lymph nodes. In the absence of DCs, the mature adult HEV phenotype reverts to an
immature neonatal phenotype, and HEV-mediated lymphocyte recruitment to lymph
nodes is inhibited. Co-culture experiments showed that the effect of DCs on HEV
endothelial cells is direct and requires lymphotoxin-beta-receptor-dependent
signalling. DCs express lymphotoxin, and DC-derived lymphotoxin is important for
lymphocyte homing to lymph nodes in vivo. Together, our results reveal a
previously unsuspected role for DCs in the regulation of lymphocyte recirculation
during immune surveillance.
PMID- 22080954
TI - The circadian molecular clock creates epidermal stem cell heterogeneity.
AB - Murine epidermal stem cells undergo alternate cycles of dormancy and activation,
fuelling tissue renewal. However, only a subset of stem cells becomes active
during each round of morphogenesis, indicating that stem cells coexist in
heterogeneous responsive states. Using a circadian-clock reporter-mouse model,
here we show that the dormant hair-follicle stem cell niche contains coexisting
populations of cells at opposite phases of the clock, which are differentially
predisposed to respond to homeostatic cues. The core clock protein Bmal1
modulates the expression of stem cell regulatory genes in an oscillatory manner,
to create populations that are either predisposed, or less prone, to activation.
Disrupting this clock equilibrium, through deletion of Bmal1 (also known as
Arntl) or Per1/2, resulted in a progressive accumulation or depletion of dormant
stem cells, respectively. Stem cell arrhythmia also led to premature epidermal
ageing, and a reduction in the development of squamous tumours. Our results
indicate that the circadian clock fine-tunes the temporal behaviour of epidermal
stem cells, and that its perturbation affects homeostasis and the predisposition
to tumorigenesis.
PMID- 22080955
TI - Structure of full-length Drosophila cryptochrome.
AB - The cryptochrome/photolyase (CRY/PL) family of photoreceptors mediates adaptive
responses to ultraviolet and blue light exposure in all kingdoms of life. Whereas
PLs function predominantly in DNA repair of cyclobutane pyrimidine dimers (CPDs)
and 6-4 photolesions caused by ultraviolet radiation, CRYs transduce signals
important for growth, development, magnetosensitivity and circadian clocks.
Despite these diverse functions, PLs/CRYs preserve a common structural fold, a
dependence on flavin adenine dinucleotide (FAD) and an internal photoactivation
mechanism. However, members of the CRY/PL family differ in the substrates
recognized (protein or DNA), photochemical reactions catalysed and involvement of
an antenna cofactor. It is largely unknown how the animal CRYs that regulate
circadian rhythms act on their substrates. CRYs contain a variable carboxy
terminal tail that appends the conserved PL homology domain (PHD) and is
important for function. Here, we report a 2.3-A resolution crystal structure of
Drosophila CRY with an intact C terminus. The C-terminal helix docks in the
analogous groove that binds DNA substrates in PLs. Conserved Trp 536 juts into
the CRY catalytic centre to mimic PL recognition of DNA photolesions. The FAD
anionic semiquinone found in the crystals assumes a conformation to facilitate
restructuring of the tail helix. These results help reconcile the diverse
functions of the CRY/PL family by demonstrating how conserved protein
architecture and photochemistry can be elaborated into a range of light-driven
functions.
PMID- 22080956
TI - Neuronal filtering of multiplexed odour representations.
AB - Neuronal activity patterns contain information in their temporal structure,
indicating that information transfer between neurons may be optimized by temporal
filtering. In the zebrafish olfactory bulb, subsets of output neurons (mitral
cells) engage in synchronized oscillations during odour responses, but
information about odour identity is contained mostly in non-oscillatory firing
rate patterns. Using optogenetic manipulations and odour stimulation, we found
that firing rate responses of neurons in the posterior zone of the dorsal
telencephalon (Dp), a target area homologous to olfactory cortex, were largely
insensitive to oscillatory synchrony of mitral cells because passive membrane
properties and synaptic currents act as low-pass filters. Nevertheless, synchrony
influenced spike timing. Moreover, Dp neurons responded primarily during the
decorrelated steady state of mitral cell activity patterns. Temporal filtering
therefore tunes Dp neurons to components of mitral cell activity patterns that
are particularly informative about precise odour identity. These results
demonstrate how temporal filtering can extract specific information from
multiplexed neuronal codes.
PMID- 22080957
TI - Self-formation of functional adenohypophysis in three-dimensional culture.
AB - The adenohypophysis (anterior pituitary) is a major centre for systemic hormones.
At present, no efficient stem-cell culture for its generation is available,
partly because of insufficient knowledge about how the pituitary primordium
(Rathke's pouch) is induced in the embryonic head ectoderm. Here we report
efficient self-formation of three-dimensional adenohypophysis tissues in an
aggregate culture of mouse embryonic stem (ES) cells. ES cells were stimulated to
differentiate into non-neural head ectoderm and hypothalamic neuroectoderm in
adjacent layers within the aggregate, and treated with hedgehog signalling. Self
organization of Rathke's-pouch-like three-dimensional structures occurred at the
interface of these two epithelia, as seen in vivo, and various endocrine cells
including corticotrophs and somatotrophs were subsequently produced. The
corticotrophs efficiently secreted adrenocorticotropic hormone in response to
corticotrophin releasing hormone and, when grafted in vivo, these cells rescued
the systemic glucocorticoid level in hypopituitary mice. Thus, functional
anterior pituitary tissue self-forms in ES cell culture, recapitulating local
tissue interactions.
PMID- 22080958
TI - Abusive head trauma Part II: radiological aspects.
AB - Abusive head trauma (AHT) is a relatively common cause of neurotrauma in young
children. Radiology plays an important role in establishing a diagnosis and
assessing a prognosis. Computed tomography (CT), followed by magnetic resonance
imaging (MRI) including diffusion-weighted imaging (DWI), is the best tool for
neuroimaging. There is no evidence-based approach for the follow-up of AHT; both
repeat CT and MRI are currently used but literature is not conclusive. A full
skeletal survey according to international guidelines should always be performed
to obtain information on possible underlying bone diseases or injuries suspicious
for child abuse. Cranial ultrasonography is not indicated as a diagnostic
modality for the evaluation of AHT. If there is a suspicion of AHT, this should
be communicated with the clinicians immediately in order to arrange protective
measures as long as AHT is part of the differential diagnosis. CONCLUSION: The
final diagnosis of AHT can never be based on radiological findings only; this
should always be made in a multidisciplinary team assessment where all clinical
and psychosocial information is combined and judged by a group of experts in the
field.
PMID- 22080959
TI - Retinal dystrophies and gene therapy.
AB - Retinal dystrophies are inherited disorders of photoreceptor and retinal pigment
epithelial function that may result in severe visual impairment. Advances in
molecular genetics have helped identify many of the gene defects responsible, and
progress in gene transfer technology has enabled therapeutic strategies to be
developed and applied. The first human clinical trials of gene therapy for RPE65
associated retinal dystrophy have shown promising initial results and have helped
prepare the way for further trials of gene therapy for inherited retinal
disorders. The results of these trials will provide further insight into the
safety and efficacy of gene therapy for a range of currently untreatable and
debilitating eye disorders.
PMID- 22080960
TI - Magnetic response of conductance peak structure in junction-confined graphene
nanoribbons.
AB - We have numerically investigated the magnetic response of the conductance peak
structures in the transport gap of graphene nanoribbons. It is shown that the
magnetic field induces a number of new conductance peaks within the transport gap
of graphene nanoribbons confined by structural junctions. In addition, the
magnetic field causes a shift of the conductance peak position and broadening of
the peak width. This behaviour is due to the disappearance of zero conductance
dips at the junction as a result of breaking time-reversal symmetry. Such
behaviour is, however, not observed in the electronic transport of graphene
nanoribbons confined by potential barriers, i.e. p-n-junctions. Thus, the
magnetic response of conductance peaks may be used to distinguish the origin of
the conductance peak structure within the transport gap observed in the
experiments.
PMID- 22080961
TI - Duration and magnitude of hypotension and monocyte deactivation in patients with
community-acquired pneumonia.
AB - The objective was to examine the relationship of duration and magnitude of
arterial hypotension to subsequent cellular immune suppression and cytokinemia in
patients hospitalized with community-acquired pneumonia (CAP). We studied an
observational cohort of 525 subjects hospitalized after presenting to the
emergency department with radiographic and clinical signs of CAP. We compared the
duration and magnitude of hypotension, using the cardiovascular Sequential Organ
Failure Assessment (CV SOFA) subscore, to day 3 monocyte expression of human
leukocyte antigen-DR (mHLA-DR), a previously validated marker of cellular immune
suppression. A significant association of CV SOFA with decreased mHLA-DR
expression was present in univariate analysis (P < 0.001) and persisted after
adjustment for illness severity and other covariates (P = 0.01). With CV SOFA
separated into components of magnitude and duration, after covariate adjustment,
only duration was associated with day 3 mHLA-DR expression (P = 0.03). Levels of
key proinflammatory and anti-inflammatory cytokines (interleukin 6 [IL-6], IL-10,
tumor necrosis factor) increased with hypotension exposure and were also
associated with mHLA-DR expression. In patients admitted with CAP, arterial
hypotension over the first 3 days is associated with markers of monocyte
deactivation. The duration of exposure to hypotension may be more important than
the magnitude, and monocyte deactivation correlates with IL-6 and IL-10 release.
These results suggest that persistent hypotension might contribute to
immunosuppression following septic shock.
PMID- 22080962
TI - Self-reported mammography use following BRCA1/2 genetic testing may be
overestimated.
AB - Adherence to mammographic screening recommendations following BRCA1/2 testing is
generally assessed through self-reports. However, the validity of self-reported
mammography by women who had undergone BRCA1/2 genetic testing is still unknown.
This study aimed to assess the validity of self-reported mammography use in the
past 12 months among women who had undergone BRCA1/2 testing. Using a self
administered questionnaire, 307 women who never had cancer were asked 1 year
following BRCA1/2 test result disclosure whether they undergone a mammography in
the past 12 months. For each participant, this information was compared to that
provided by the Quebec Health Insurance Board administrative data set for
mammography claims during the same period, here considered as the gold standard.
Sensitivity (Sn), specificity (Sp), predictive values, and Cohen's kappa (kappa)
were calculated. The robustness of these estimates was assessed using sensitivity
analysis in which we varied the administrative data time lapses up to 18 months.
Overall, the agreement between self-reports and administrative data was 88%
(kappa = 0.74). Among the 180 participants who had a mammography according to the
administrative data, 172 adequately reported this information (Sn = 96%). Sp was
moderate (76%), meaning that 24% of those who did not have a mammography reported
one. Extending the time lapses to 18 months increased the Sp substantially (Sp =
90%). Self-report overestimates the use of mammography, mainly because women tend
to minimize the elapsed time since their last mammography. Self-reports should be
used cautiously to assess adherence to mammographic screening following BRCA1/2
testing.
PMID- 22080963
TI - Preemptive dosing of plerixafor given to poor stem cell mobilizers on day 5 of G
CSF administration.
AB - Plerixafor, given on day 4 of G-CSF treatment is more effective than G-CSF alone
in mobilizing hematopoietic progenitor cells. We tested a strategy of preemptive
plerixafor use following assessment of the peak mobilization response to 5 days
of G-CSF. Patients were eligible for plerixafor if, on day 5 of G-CSF, there were
<7 circulating CD34+ cells/MUL or if <1.3 * 10(6) CD34+ cells/kg were collected
on the first day of apheresis. Plerixafor (0.24 mg/kg s.c.) was given on day 5 of
G-CSF followed by apheresis on day 6. This was repeated for up to two additional
doses of plerixafor. The primary end point of the study was the percentage of
patients who collected at least 2 * 10(6) CD34+ cells/kg. Twenty candidates for
auto-SCT enrolled on the trial. The circulating CD34+ cell level increased a
median of 3.1 fold (range 1-8 fold) after the first dose of plerixafor and a
median of 1.2 fold (range 0.3-6.5 fold) after the second dose of plerixafor. In
all, 15 out of 20 (75%) patients achieved the primary end point. In conclusion,
the decision to administer plerixafor can be delayed until after the peak
mobilization response to G-CSF has been fully assessed.
PMID- 22080964
TI - Allo-SCT in a patient with CRMCC with aplastic anemia using a reduced intensity
conditioning regimen.
PMID- 22080965
TI - Durable complete remission after single agent decitabine in AML relapsing in
extramedullary sites after allo-SCT.
PMID- 22080966
TI - HLA-matched sibling stem cell transplantation in children with beta-thalassemia
with anti-thymocyte globulin as part of the preparative regimen: the Greek
experience.
AB - BU combined with CY, the preferred preparatory regimen for thalassemic patients,
is associated with a substantial incidence of graft rejection especially in
patients with advanced disease stage. This study retrospectively analyzes the
outcome of 75 consecutive pediatric patients with beta-thalassemia who underwent
HLA-matched sibling transplantation after anti-thymocyte globulin (ATG)
containing myeloablative conditioning regimens. With a median follow-up of 9
years (range 1-15 years), the overall survival (OS) and thalassemia free survival
(TFS) rates were 96% and 92%, respectively. Both the estimated TRM and the
cumulative incidence of rejection/failure were 4%. The cumulative incidences of
acute GVHD grade II-III and grade III were 20% and 5.3%, respectively. No patient
developed acute GVHD grade IV. Only two patients developed extensive chronic
GVHD. The estimated OS and TFS for patients with Class 1 and 2 disease according
to Pesaro criteria were 96.3% and 94.4%, whereas for patients with Class 3
disease they were 94.1% and 88.2%, respectively. In our series, the use of
myeloablative conditioning regimens, which include ATG for the transplantation of
thalassemic children from matched sibling donors, resulted in excellent outcomes
with very low incidences of TRM and rejection.
PMID- 22080967
TI - Successful treatment with plasma exchange for disseminated cidofovir-resistant
adenovirus disease in a pediatric SCT recipient.
PMID- 22080968
TI - Clofarabine and CY do not yield reliable engraftment of hematopoietic stem cells.
PMID- 22080969
TI - Potential prolongation of PFS in mantle cell lymphoma after R-HyperCVAD: auto-SCT
consolidation or rituximab maintenance.
AB - We retrospectively analyzed 44 patients undergoing first-line treatment for
mantle cell lymphoma with R-HyperCVAD, with or without rituximab (R) maintenance
or auto-SCT. The primary study end point was PFS; secondary end point was overall
survival.Median follow up for all patients was 3.3 years. Median age was 54
years, and 95% (n=42) were stage III or IV at diagnosis. In all, 17 patients
underwent consolidative auto-SCT and 12 patients received R maintenance. The
overall response rate was 95%, with 91% achieving complete response (CR). Median
PFS for all patients was 3.5 years. Median PFS was 2.3 years for patients treated
with R-HyperCVAD alone vs 3.9 years (P=0.02) with R-HyperCVAD+ R maintenance and
4.5 years (P=0.01) with R-HyperCVAD+ auto-SCT. For patients who did not achieve
CR at interim staging, PFS for R-HyperCVAD alone was 1.4 years vs not reached for
R-HyperCVAD+ consolidation (either R maintenance or auto-SCT) (P=0.02). PFS for
patients with CR at interim staging was 3.3 years vs not reached (P=0.04) after
consolidation. Our data suggest potential improvement in PFS when R-HyperCVAD is
consolidated with either R maintenance or auto-SCT. This benefit appears
particularly significant in those patients who do not achieve CR at interim
restaging.
PMID- 22080970
TI - Clinical effectiveness of hyperbaric oxygen therapy for BK-virus-associated
hemorrhagic cystitis after allogeneic bone marrow transplantation.
AB - Late-onset hemorrhagic cystitis (HC) after allogeneic hematopoietic stem cell
transplantation (HSCT) has been associated with BK virus (BKV). Antiviral drugs
are of limited efficacy and the optimal treatment for HC has not yet been
established. Hyperbaric oxygen (HBO) may benefit these patients. We, therefore,
retrospectively evaluated the effectiveness of HBO therapy in 16 patients with HC
after allogeneic HSCT. All 16 patients had macroscopic hematuria and BKV
infection. Patients received 100% oxygen in a hyperbaric chamber at 2.1
atmospheres for 90 min, 5 days per week, with a median 13 treatments (range, 4
84). Fifteen patients (94%) showed complete resolution of hematuria. Median
urinary DNA BKV titers declined after HBO (P<0.05). Patients started on HBO
earlier after diagnosis of HC responded sooner (P<0.05). HBO was generally well
tolerated and proved to be a reliable option for this difficult to manage
condition.
PMID- 22080972
TI - Tolerance to liver allograft after allogeneic hematopoietic cell transplantation
for severe aplastic anemia from the same HLA-matched sibling donor.
PMID- 22080971
TI - European data on stem cell mobilization with plerixafor in non-Hodgkin's
lymphoma, Hodgkin's lymphoma and multiple myeloma patients. A subgroup analysis
of the European Consortium of stem cell mobilization.
AB - The effectiveness of the novel hematopoietic stem cell mobilizing agent
plerixafor was evaluated in nationwide compassionate use programs in 13 European
countries. A total of 580 poor mobilizers with non-Hodgkin's lymphoma (NHL),
Hodgkin's lymphoma (HL) and multiple myeloma (MM) were enrolled. All patients
received plerixafor plus granulocyte CSF with or without chemotherapy. Overall,
the collection yield was significantly higher in MM patients (>2.0 * 10(6) CD34+
cells/kg: 81.6%; >5.0 * 10(6) CD34+ cells/kg: 32.0%) than in NHL patients (>2.0 *
10(6) CD34+ cells/kg: 64.8%; >5.0 * 10(6) CD34+ cells/kg: 12.6%; P<0.0001) and
also significantly higher in HL patients (>2.0 * 10(6) CD34+ cells/kg: 81.5%;
>5.0 * 10(6) CD34+ cells/kg: 22.2%) than in NHL patients (P=0.013). In a subgroup
analysis, there were no significant differences in mobilization success comparing
patients with diffuse large B-cell lymphoma, follicular lymphoma and mantle cell
lymphoma. Our data emphasize the role of plerixafor in poor mobilizers, but
further strategies to improve the apheresis yield especially in patients with NHL
are required.
PMID- 22080973
TI - Plerixafor in AL amyloidosis: improved graft composition and faster lymphocyte
recovery after auto-SCT in patient with end-stage renal-disease.
PMID- 22080974
TI - The proximodistal aggravation of colitis depends on substance P released from
TRPV1-expressing sensory neurons.
AB - BACKGROUND: Transient receptor potential vanilloid type-1 (TRPV1)-expressing
sensory neurons release neuropeptides such as substance P (SP) and calcitonin
gene-related peptide (CGRP), which play a crucial role in the pathomechanism of
experimental colitis. We investigated whether innervation density and
neuropeptide release were responsible for the proximodistal aggravation of murine
dextran-sulfate-sodium-salt (DSS) colitis. METHODS: Whole mount TRPV1/CGRP
immunostained mouse colon preparations were semiquantitatively analyzed. TRPV1
activation by capsaicin and acidic solution (pH 5.1) induced colonic CGRP/SP
release, measured by EIA. Single cell quantitative PCR was employed to measure
TRPV1 expression levels in DiI-labeled colonic dorsal root ganglion (DRG)
neurons. The proximodistal gradient of DSS colitis severity was investigated in
WT, CGRP(-/-), SP(-/-), and resiniferatoxin (RTX)-desensitized mice, employing
mouse endoscopy, histology, and body weight measurement. RESULTS: TRPV1/CGRP
positive nerve fiber density was increased in the distal colon wall. CGRP/SP
release induced by TRPV1 activation from the distal colon was greater than that
from the proximal colon. This gradient further increased in colitis. TRPV1 gene
expression increased in colonic DRGs projecting to the distal, compared to that
in colonic DRGs projecting to the proximal colon, and was further enhanced during
colitis. In contrast to WT and CGRP(-/-) mice, SP(-/-) and RTX-desensitized mice
showed amelioration of DSS colitis accompanied by a loss of the proximodistal
gradient of inflammation. CONCLUSIONS: The spatial correlation among increased
colonic innervation density, TRPV1 receptor expression, stimulated SP release,
and colitis severity suggested that TRPV1/SP-expressing sensory neurons should be
considered as a therapeutic target in human ulcerative colitis.
PMID- 22080978
TI - l-carbocisteine inhibits respiratory syncytial virus infection in human tracheal
epithelial cells.
AB - To examine the effects of l-carbocisteine on airway infection with respiratory
syncytial (RS) virus, human tracheal epithelial cells were pretreated with l
carbocisteine and infected with RS virus. Viral titer, virus RNA, and pro
inflammatory cytokine secretion, including interleukin (IL)-1 and IL-6, increased
with time after infection. l-carbocisteine reduced the viral titer in the
supernatant fluids, the amount of RS virus RNA, RS virus infection
susceptibility, and the concentration of pro-inflammatory cytokines induced by
virus infection. l-carbocisteine reduced the expression of intercellular adhesion
molecule (ICAM)-1, an RS virus receptor, on the cells. However, l-carbocisteine
had no effects on the expression of heparan sulfate, a glycosaminoglycan that
binds to the RS virus attachment protein, or on the amount of intracellular
activated-RhoA, isoform A of the Ras-homologous family, that binds to the RS
virus fusion protein. These findings suggest that l-carbocisteine may inhibit RS
virus infection by reducing the expression of ICAM-1. It may also modulate airway
inflammation during RS virus infection.
PMID- 22080979
TI - Real-time monitoring of blood carbon dioxide tension by fluorosensor.
AB - A new intravascular fluorosensor was developed and validated for inline
P(CO)2monitoring. The P(CO)2sensor was based on the fluorescent indicator 1
hydroxypyrene-3,6,8-trisulfonate. The P(CO)2sensor was then immersed in various
solutions in vitro and carotid artery bypass of rabbits in vivo for testing.
Changes of P(CO)2in solutions and blood were created by bubbling CO2/N2 and
hyperventilation/hypoventilation, respectively. The changes of fluorescent
intensity over P(CO)2 range of 14-150 mmHg was linear. The resolution of the
whole sensor system was 1 mmHg, with a bias +/- SD of -0.1 +/- 2.9 mmHg and
precision +/- SD of 2.1 +/- 1.9 mmHg. The sensor signal has been stable during
measurement for at least 25h and was insensitive to fluctuations of ions
concentration and osmosis at pathophysiological limits. The performance of the
sensor is in agreement with blood gas analyzer in a wide range of P(CO)2and it is
qualified for continuous intravascular measurement of blood P(CO)2at various
conditions.
PMID- 22080976
TI - Antioxidant therapies in traumatic brain and spinal cord injury.
AB - Free radical formation and oxidative damage have been extensively investigated
and validated as important contributors to the pathophysiology of acute central
nervous system injury. The generation of reactive oxygen species (ROS) and
reactive nitrogen species (RNS) is an early event following injury occurring
within minutes of mechanical impact. A key component in this event is
peroxynitrite-induced lipid peroxidation. As discussed in this review,
peroxynitrite formation and lipid peroxidation irreversibly damages neuronal
membrane lipids and protein function, which results in subsequent disruptions in
ion homeostasis, glutamate-mediated excitotoxicity, mitochondrial respiratory
failure and microvascular damage. Antioxidant approaches include the inhibition
and/or scavenging of superoxide, peroxynitrite, or carbonyl compounds, the
inhibition of lipid peroxidation and the targeting of the endogenous antioxidant
defense system. This review covers the preclinical and clinical literature
supporting the role of ROS and RNS and their derived oxygen free radicals in the
secondary injury response following acute traumatic brain injury (TBI) and spinal
cord injury (SCI) and reviews the past and current trends in the development of
antioxidant therapeutic strategies. Combinatorial treatment with the suggested
mechanistically complementary antioxidants will also be discussed as a promising
neuroprotective approach in TBI and SCI therapeutic research. This article is
part of a Special Issue entitled: Antioxidants and antioxidant treatment in
disease.
PMID- 22080980
TI - Proteomes of hard and soft near-isogenic wheat lines reveal that kernel hardness
is related to the amplification of a stress response during endosperm
development.
AB - Wheat kernel texture, a major trait determining the end-use quality of wheat
flour, is mainly influenced by puroindolines. These small basic proteins display
in vitro lipid binding and antimicrobial properties, but their cellular functions
during grain development remain unknown. To gain an insight into their biological
function, a comparative proteome analysis of two near-isogenic lines (NILs) of
bread wheat Triticum aestivum L. cv. Falcon differing in the presence or absence
of the puroindoline-a gene (Pina) and kernel hardness, was performed. Proteomes
of the two NILs were compared at four developmental stages of the grain for the
metabolic albumin/globulin fraction and the Triton-extracted amphiphilic
fraction. Proteome variations showed that, during grain development, folding
proteins and stress-related proteins were more abundant in the hard line compared
with the soft one. These results, taken together with ultrastructural
observations showing that the formation of the protein matrix occurred earlier in
the hard line, suggested that a stress response, possibly the unfolded protein
response, is induced earlier in the hard NIL than in the soft one leading to
earlier endosperm cell death. Quantification of the albumin/globulin fraction and
amphiphilic proteins at each developmental stage strengthened this hypothesis as
a plateau was revealed from the 500 degrees Cd stage in the hard NIL whereas
synthesis continued in the soft one. These results open new avenues concerning
the function of puroindolines which could be involved in the storage protein
folding machinery, consequently affecting the development of wheat endosperm and
the formation of the protein matrix.
PMID- 22080977
TI - Mutant huntingtin, abnormal mitochondrial dynamics, defective axonal transport of
mitochondria, and selective synaptic degeneration in Huntington's disease.
AB - Huntington's disease (HD) is a progressive, fatal neurodegenerative disease
caused by expanded polyglutamine repeats in the HD gene. HD is characterized by
chorea, seizures, involuntary movements, dystonia, cognitive decline,
intellectual impairment and emotional disturbances. Research into mutant
huntingtin (Htt) and mitochondria has found that mutant Htt interacts with the
mitochondrial protein dynamin-related protein 1 (Drp1), enhances GTPase Drp1
enzymatic activity, and causes excessive mitochondrial fragmentation and abnormal
distribution, leading to defective axonal transport of mitochondria and selective
synaptic degeneration. This article summarizes latest developments in HD research
and focuses on the role of abnormal mitochondrial dynamics and defective axonal
transport in HD neurons. This article also discusses the therapeutic strategies
that decrease mitochondrial fragmentation and neuronal damage in HD.
PMID- 22080982
TI - The brave new world of antiplatelet therapy: seeking clarity in a world of
increasing choice and complexity.
PMID- 22080981
TI - Identification and genetic characterization of a gibberellin 2-oxidase gene that
controls tree stature and reproductive growth in plum.
AB - Several dwarf plum genotypes (Prunus salicina L.), due to deficiency of unknown
gibberellin (GA) signalling, were identified. A cDNA encoding GA 2-oxidase
(PslGA2ox), the major gibberellin catabolic enzyme in plants, was cloned and used
to screen the GA-deficient hybrids. This resulted in the identification of a
dwarf plum hybrid, designated as DGO24, that exhibits a markedly elevated
PslGA2ox signal. Grafting 'Early Golden' (EG), a commercial plum cultivar, on
DGO24 (EG/D) enhanced PslGA2ox accumulation in the scion part and generated trees
of compact stature. Assessment of active GAs in such trees revealed that DGO24
and EG/D accumulated relatively much lower quantities of main bioactive GAs
(GA(1) and GA(4)) than control trees (EG/M). Moreover, the physiological function
of PslGA2ox was studied by determining the molecular and developmental
consequences due to ectopic expression in Arabidopsis. Among several lines, two
groups of homozygous transgenics that exhibited contrasting phenotypes were
identified. Group-1 displayed a dwarf growth pattern typical of mutants with a GA
deficiency including smaller leaves, shorter stems, and delay in the development
of reproductive events. In contrast, Group-2 exhibited a 'GA overdose' phenotype
as all the plants showed elongated growth, a typical response to GA application,
even under limited GA conditions, potentially due to co-suppression of closely
related Arabidopsis homologous. The studies reveal the possibility of utilizing
PslGA2ox as a marker for developing size-controlling rootstocks in Prunus.
PMID- 22080983
TI - Latest clinical data on testing for high on-treatment platelet reactivity.
AB - Antiplatelet therapy is the cornerstone of treatment for patients with acute
coronary syndromes and in those who are undergoing percutaneous coronary
intervention (PCI). Clopidogrel, a second-generation thienopyridine antiplatelet
agent, is currently used to prevent vascular complications in atherothrombotic
patients, to prevent stent thrombosis in patients undergoing PCI, and in the long
term prevention of cardiovascular and cerebrovascular events. Unfortunately,
despite treatment with clopidogrel, some patients continue to have cardiovascular
events. This may be due in part to a suboptimal response to the drug, with
minimal inhibition of platelet aggregation and/or high on-treatment platelet
reactivity. Point-of-care testing of clopidogrel response, together with a
reliable diagnostic cutoff, can identify patients with high on-treatment platelet
reactivity and optimize their clinical management. This article reviews the
impact of poor clopidogrel responsiveness on clinical outcomes, the major
clinical studies using VerifyNow P2Y12 Assay(r) (Accumetrics, San Diego, CA) to
assess on-clopidogrel platelet reactivity, and efforts to determine a reliable
cutoff.
PMID- 22080984
TI - Optimizing antiplatelet therapy following percutaneous coronary intervention:
clinical pathways for platelet function testing.
AB - Current guidelines recommend dual antiplatelet therapy (DAPT), which includes
aspirin and a platelet P2Y(12) adenosine diphosphate (ADP) receptor antagonist,
for treatment of patients with acute coronary syndrome and following percutaneous
coronary intervention (PCI). Although DAPT significantly reduces stent thrombosis
and major adverse cardiovascular events (MACE), there is considerable
interindividual variability in the degree of platelet inhibition achieved with
the most widely used ADP receptor antagonist, clopidogrel, and high on-treatment
platelet activity in the setting of clopidogrel therapy (hyporesponsiveness) is
associated with increased adverse cardiovascular events following PCI.
Personalized tailoring of antiplatelet therapy guided by patient management
algorithms and/or platelet function testing has the potential to reduce MACE and
stent thrombosis. This article outlines specific algorithms for using potent new
antiplatelet agents, such as prasugrel and ticagrelor, and platelet function
"test and treat-to-target" strategies to reduce adverse cardiovascular events
following PCI.
PMID- 22080985
TI - Platelet function testing in practice: a case study.
AB - Dual antiplatelet therapy with aspirin and a thienopyridine reduces ischemic
cardiovascular events following percutaneous coronary intervention. However,
despite this treatment, residual risk of ischemic events persists. Among other
factors, enhanced platelet reactivity after thienopyridine therapy is associated
with an increased risk of ischemic cardiovascular events. A heterogeneous and
variable patient response to the thienopyridine clopidogrel exists and has been
attributed to a number of genetic, pharmacologic, and clinical factors.
Developments in point-of-care platelet function testing allow for the assessment
of on-treatment platelet reactivity after thienopyridine therapy and thus
identify poor responders. We report two cases of stent thrombosis in which the
bedside rapid platelet function VerifyNow P2Y12 Assay(r) (Accumetrics, San Diego,
CA) was used to determine on-treatment platelet reactivity and identify potential
etiologies of the thrombotic events.
PMID- 22080986
TI - Current options in oral antiplatelet strategies during percutaneous coronary
interventions.
AB - Dual antiplatelet therapy (DAPT) with aspirin and a P2Y(12) receptor blocker is
the standard of care to prevent recurrent ischemic event occurrence in patients
undergoing percutaneous intervention. Glycoprotein IIb/IIIa receptor inhibitors
are used in addition to DAPT in the highest-risk clinical settings. The
persistent occurrence of ischemic events in the presence of DAPT and the
irrefutable demonstration of clopidogrel response variability are two potent
arguments against the widely practiced nonselective or "one-size-fits-all"
strategy of administering clopidogrel therapy and provides a strong rationale for
monitoring clopidogrel therapy. New, potent P2Y(12) inhibitors such as prasugrel
and ticagrelor are associated with greater platelet inhibition, faster onset of
action, and better overall clinical outcomes compared with clopidogrel, but are
associated with more non-surgery-related bleeding than clopidogrel. The
inhibition of the platelet thrombin receptor may provide additional benefits in
attenuating ischemic event occurrence in selected high-risk patients treated with
DAPT.
PMID- 22080987
TI - Antiplatelet therapy, cardiac surgery, and the risk of bleeding: the surgeon's
perspective.
AB - Antiplatelet therapy is widely accepted in the contemporary management of
patients with coronary syndromes. Effective platelet inhibition can cause an
increased risk of bleeding, which is more evident when patients are referred to
surgical coronary revascularization. The cardiac surgeon should be familiar with
all new antiplatelet drugs. In this article we compile the latest information
about antiplatelet therapy and its impact on cardiac surgery.
PMID- 22080988
TI - Correlation between transcranial motor and somatosensory-evoked potential
findings in cervical myelopathy or radiculopathy during cervical spine surgery.
AB - BACKGROUND: The correlation between electrophysiological recordings, MR images,
and physical findings in cervical stenosis and cervical myelopathy are still open
to debate. The goal of this study was to determine sensitivity of motor-evoked
potential (MEP) and somatosensory-evoked potential (SSEP) in detecting cervical
myelopathy and its correlates with the MRI findings in patients undergoing
cervical spine surgeries. METHOD: Transcranial motor-evoked potentials (TcMEPs)
and SSEPs were performed intraoperatively in 100 patients. The 'baseline'
recordings of TcMEPs and SSEPs were correlated with patient's physical findings
as well as the MR images. RESULTS: Posterior tibial and median nerves' scalp SSEP
latencies were increased in myelopathic patients compared to the radiculopathy
group. MEPs recorded from distal muscles such as abductor pollicis brevis and
adductor hallucis (AH) muscles were more likely to be absent in myelopathic
patients compared with the patients with only radiculopathy. The myelopathic
patients were more likely to have no response (NR) for the lower extremity SSEP
test compared with radiculopathy patients. CONCLUSION: MEP shows more sensitivity
toward detecting myelopathy which is correlated well with abnormal MR images.
PMID- 22080989
TI - Chronic stress enhances calcium mobilization and glutamate exocytosis in
cerebrocortical synaptosomes from mice.
AB - OBJECTIVES: Our previous study showed that acute restraint stress enhances
depolarization-induced increases in intrasynaptosomal free calcium (Ca(2+))
concentration ([Ca(2+)](i)) and Ca(2+)-dependent glutamate release in mouse
cerebrocortical nerve terminals (synaptosomes). In the present study, we
investigated the effects of chronic stress on [Ca(2+)](i) and glutamate release
in cerebrocortical synaptosomes from mice. METHODS: Male ddY strain mice were
randomly assigned to one of two experimental groups: control group and chronic
stressed group. Mice in the chronic stressed group were subjected to
immobilization stress for 2 hours daily for a period of 21 days. [Ca(2+)](i) and
glutamate release in cerebrocortical synaptosomes isolated from the mice were
determined by fura-2 fluorescence assay and enzyme-linked fluorometric assay,
respectively. RESULTS: Chronic stress caused a significant increase in resting
[Ca(2+)](i) and significantly enhanced the ability of the depolarizing agents
K(+) and 4-aminopyridine (4-AP) to increase [Ca(2+)](i). It also brought about a
significant increase in spontaneous (unstimulated) glutamate release and
significantly enhanced K(+)- and 4-AP-evoked Ca(2+)-dependent glutamate release.
Synaptosomes were more sensitive to the depolarizing agents at lower
concentrations following chronic stress than after acute stress. The pretreatment
of synaptosomes with a combination of omega-agatoxin IVA (a P-type Ca(2+) channel
blocker) and omega-conotoxin GVIA (an N-type Ca(2+) channel blocker) completely
suppressed the enhancements of [Ca(2+)](i) and Ca(2+)-dependent glutamate release
in chronic stressed mice. DISCUSSION: These results indicate that chronic stress
enhances depolarization-evoked glutamate release by increasing [Ca(2+)](i) via
stimulation of Ca(2+) entry through P- and N-type Ca(2+) channels, and that
chronic stress increases the sensitivity to depolarizing agents.
PMID- 22080990
TI - EEG non-linear feature extraction using correlation dimension and Hurst exponent.
AB - In this work, we evaluated the differences between epileptic electroencephalogram
(EEG) and interictal EEG by computing some non-linear features. Correlation
dimension (CD) and Hurst exponent (H) were calculated for 100 segments of
epileptic EEG and 100 segments of interictal EEG. A comparison was made between
epileptic EEG and interictal EEG in those non-linear parameters. Results show
that the mean values of CD are 2.64 for epileptic EEG and 4.55 for interictal
EEG. We also calculated approximate entropy (ApEn) of those EEG signals. The mean
values of ApEn are 0.90 for epileptic EEG and 4.55 for interictal EEG. The values
of CD and ApEn of epileptic EEG are generally lower than those of interictal EEG,
indicating less complexity of EEG signals during seizures. The mean values of
Hurst exponent are 0.19 for epileptic EEG and 0.29 for interictal EEG. Hurst
exponents for epileptic EEG and interictal EEG are both <0.5. This indicates that
both epileptic and interictal EEGs show long-range anticorrelation. The value of
Hurst exponent of epileptic EEG signals is lower than that of interictal EEG
signals, showing that the degree of anticorrelation of epileptic EEG signals is
larger than that of interictal EEG. Hence, the non-linear parameters such as CD
and Hurst exponent can help interpret epileptic and interictal EEGs and their
neurodynamics.
PMID- 22080991
TI - Neuroprotective effects of mesenchymal stem cell transplantation in animal model
of cerebellar degeneration.
AB - BACKGROUND: The cerebellum has been considered a key structure for the processes
involved in sensorimotor integration ultimately leading to motor planning and
execution of coordinated movement. Thus, motor deficits and behavioral changes
can be associated with cerebellar degeneration. METHODS: Here, the chemical
neurotoxin pyridine-2,3-dicarboxylic acid (quinolinic acid, QA) used to create
partially cerebellar degeneration in adult Wistar rats suitable for use in stem
cell transplantation studies. Stereotaxicaly administration of QA (0.2 mmol) in
the right cerebellar hemisphere (folia VI) caused noticeable motor disturbance in
all treated animals. Forty-eights hours after causing lesion, rat bone marrow
derived mesenchymal stem cells (MSCs) were transplanted into damaged cerebellar
hemisphere. We investigated the role of MSC transplantation in forms of motor and
non-motor learning that involves the cerebellum and its neuroprotective effects
in Purkinje cells loss. RESULTS: CM-Dil labeling showed that the transplanted
MSCs survived and migrated in the cerebellum 6 weeks after transplantation. The
MSC-transplanted group showed markedly improved functional performance on the
rotating rod test (P<=0.0001) and beam walking test (P<=0.0001) during 6 weeks
compared with the controls. For non-motor learning, we used passive avoidance
learning test in 3 weeks after transplantation. The results showed that MSC
transplantation prevented the development of memory deficit caused by cerebellar
degeneration (P<=0.001). Stereological analysis in 6 weeks after transplantation
showed that QA significantly decreases Purkinje cells in vehicle-treated rats and
MSC transplantation is neuroprotective and decreases Purkinje cell loss in MSC
treated rats (P<=0.0001). CONCLUSION: The results indicate that transplantation
of MSCs can significantly reduce the behavioral and neuroanatomical abnormalities
of these animals during 6 weeks after engraftment. According to results of this
assay, cell therapy by means of bone marrow-derived adult stem cells promises for
treatment of cerebellar diseases.
PMID- 22080992
TI - The course of dynamic cerebral autoregulation during cervical internal carotid
artery occlusion.
AB - OBJECTIVES: The selection of patients with cervical internal carotid artery
occlusion (ICAO) for extracranial-intracranial bypass surgery is based on
exhausted cerebrovascular reactivity to vasodilatory stimuli. However, a
spontaneous increase in this reactivity can occur with time, questioning the
ideal time for bypass surgery. In contrast, the natural course of dynamic
cerebral autoregulation is not known in these patients. METHODS: Patients with
cervical ICAO were examined at baseline and after a mean interval of 15 months.
Dynamic autoregulation was determined by transcranial Doppler sonography in both
middle cerebral arteries via respiratory-induced 0.1-Hz oscillations (phase,
available for n=47 patients) and correlation analysis between diastolic blood
pressure and Doppler signal (index Dx, n=55 patients). Pre-defined cut-off values
and repeatability measures of healthy controls were used to define significant
individual changes in autoregulation. RESULTS: Group mean comparisons between
studies were not significant for any autoregulation parameter. The intraclass
correlation coefficient between studies was high for phase (ipsilateral: 0.83;
contralateral: 0.74), and moderate for Dx (ipsilateral: 0.63; contralateral:
0.35). There was no clear trend for an improvement across cut-off values. A
significant individual improvement/deterioration in autoregulation occurred in
6%/6% for phase and 13%/9% for Dx. DISCUSSION: Dynamic autoregulation only rarely
improves during the course of ICAO. This finding should be considered when
deciding for or against a policy of delaying extracranial-intracranial bypass
surgery for reasons of a potentially improving hemodynamic status.
PMID- 22080993
TI - Luteolin reduces primary hippocampal neurons death induced by neuroinflammation.
AB - OBJECTIVES: This study examined whether luteolin may exert an anti-inflammatory
effect in microglia and may be neuroprotective by regulating microglia
activation. METHODS: We treated BV2 microglia with 1.0 MUg/ml lipopolysaccharide
(LPS) after incubation with luteolin for 1 hour, the nitric oxide (NO) levels
were determined by a Griess reaction, the inducible NO synthase (iNOS),
cyclooxygenase-2 (COX-2), tumor necrosis factor-alpha (TNF-alpha), and
interleukin 1beta (IL-1beta) mRNA expression were determined by real-time PCR
analysis, the iNOS and COX-2 protein induction were determined by Western blot
analysis, and the levels of prostaglandin E(2) (PGE(2)), TNF-alpha, and IL-1beta
were determined by enzyme-linked immunosorbent assay (ELISA) kits. Rat primary
hippocampal neurons were co-cultured with LPS-activated BV2 microglia with 20 MUM
luteolin for 24 hours, the hippocampal neurons viability was determined by 3-(4,5
dimethylthiazol-2-yl)-2,5-diphenyltetrazolium bromide (MTT) assay, and the number
of apoptotic hippocampal neurons was determined by immunofluorescence detection.
RESULTS: Luteolin significantly inhibited the expression of iNOS and COX-2 in LPS
induced BV2 microglia. Moreover, the compound down-regulated the proinflammatory
cytokines (TNF-alpha and IL-1beta) as well as the production of NO and PGE(2) in
these cells. When hippocampal neurons were co-cultured with LPS-stimulated BV2
microglia, the administration of 20 MUM luteolin increased the neurons viability
and reduced the number of apoptotic neurons. CONCLUSION: These data demonstrate
that anti-inflammatory activity of luteolin in microglia contributes to its
neuroprotective effect and suggest that it may have a potential therapeutic
application in the treatment of neurodegenerative diseases.
PMID- 22080994
TI - L-cysteine attenuates peroxynitrite-elicited cytotoxicity to spiral ganglion
neurons: possible relation to hearing loss.
AB - OBJECTIVES: The purpose of this work was to investigate whether L-cysteine was
able to protect spiral ganglion neurons (SGNs) against peroxynitrite (ONOO(-))
elicited toxicity. METHODS: The rat SGNs were isolated and cultured in this
work. Cell viability was assessed by 3-(4,5-dimethylthiazol-2-yl)-2,5
diphenyltetrazolium bromide (MTT) assay. The morphological changes were examined
under inverted phase contrast microscope. Cells underwent apoptosis were
determined by TdT-mediated dUTP nick end labeling (TUNEL) assay. Intracellular
glutathione (GSH) content, superoxide dismutase (SOD) activity and malonaldehyde
(MDA) level were detected by biochemical methods. Laser scanning confocal
microscope was employed to analyze cytosolic Ca(2+) concentration. RESULTS:
Results showed that ONOO(-) reduced the cell viability of SGNs in a time- and
dose-dependent manner. ONOO(-)-triggered cell damage was further confirmed via
apoptotic pathway rather than necrosis. Pretreatment with L-cysteine (5 mM) for
12 hours could almost completely rescue SGNs from ONOO(-)-induced damage. The
decrease in intracellular GSH content and SOD activity, as well as the increase
in MDA level induced by ONOO(-) were correspondingly antagonized by the
administration of L-cysteine. Furthermore, L-cysteine can significantly inhibit
elevation of Ca(2+) concentration induced by ONOO(-). DISCUSSION: Our findings
indicate that L-cysteine protects SGNs from ONOO(-)-induced damage via enhancing
the antioxidative activity and, suppressing the lipid peroxidation as well as the
release of cytosolic Ca(2+), thereby indicating that oxidation resistance was
useful to prevent audiological diseases initiated by oxidative stress.
PMID- 22080995
TI - Stent-assisted aneurysm coil embolization: safety and efficacy at a low-volume
center.
AB - BACKGROUND AND PURPOSE: To compare safety and efficacy of cerebral aneurysm
embolization with and without Neuroform stent placement at a low procedural
volume center. METHODS: Retrospective cohort study of unruptured aneurysm coil
embolization with and without Neuroform stent assistance over a period of 53
months at a center performing 14.4 interventions per year. Neuroform stent
assisted embolization was performed for 26 aneurysms in 25 patients (mean age:
61.3 years). Twelve patients (mean age: 64.3 years) with 12 unruptured aneurysms
were treated without stent support. Comparative analysis of complications,
angiographic findings, and clinical outcomes was performed. RESULTS: Procedural
complications for the Neuroform stent group was 7.69% (2/26) versus 8.34% (1/12)
for aneurysms without (P=0.7; OR: 1.1; CI: 0.09-13.35).The Modified Rankin Scale
(MRS) and Glasgow Outcome Scale (GOS) scores for all patients in the stent and
non-stent groups were 0 and 5, respectively. Three out of 20 aneurysms (15%) were
retreated for major recurrence in the stent group and two of 10 (20%) in the non
stent group (P=0.55; OR: 1.42; 95% CI: 0.20-10.23). At a mean follow-up of 16.9
months, persistent complete occlusion was observed in 36.8% (7/19) of aneurysms
with stent support. At a mean of 15.9-month follow-up in 10 non-stented
aneurysms, persistent complete occlusion was observed in 50% of aneurysms
(P=0.69; OR=2.3; 95% CI: 0.38-14.2). CONCLUSION: Neuroform stent-assisted coil
embolization at a low volume center is efficacious and does not increase
procedural risk with optimal operator experience.
PMID- 22080996
TI - Cerebrospinal fluid leak after microsurgical surgery in vestibular schwannomas
via retrosigmoidal craniotomy.
AB - OBJECTIVE: Cerebrospinal fluid (CSF) leak is still a common complication in
surgery of vestibular schwannoma, increasing morbidity and prolonging hospital
stay. Our single center study was performed to determine the incidences of CSF
leaks after microsurgical removal of vestibular schwannoma via a retrosigmoidal
approach with two different surgical closure techniques. METHODS: Between January
2003 and December 2009 in 81 patients, microsurgical tumor resection using a
suboccipital, retrosigmoidal approach was performed with an interdisciplinary ENT
and neurosurgical management was performed. In 41 cases, the dural closure was
done using a sandwich technique: subdural closure with TissuFleece(r)
respectively Spongostan(r), and after that dural suture and epidural Tachosil(r)
were fixed on. In 40 cases, the dura was sealed epidurally with Tachosil after
suture. In 65 cases, the posterior wall of the petrous bone was drilled. The
closure was performed using muscle and FibrinGlue(r). All patients had a minimal
follow-up of 1 year. RESULTS: Seven patients (8.6%) developed a CSF fistula.
Three patients (3.7%) underwent surgical procedure because of persisting CSF
fistula while in four cases (4.9%) spontaneous closure under lumbar drain was
observed. Comparing the different techniques of dural sealing, we found in 41
patients with sandwich technique three CSF leaks (7.3%) while there were four CSF
leaks (10%) in 40 patients with a single epidurally sealed dural closure
(P=0.69). No rhinorrhea or otorhinorrhea was observed. No intracranial infection
or meningitis in case of CSF leak occurred. CONCLUSION: Suture and occlusion of
the dura is an important step to prevent CSF leak and postoperative infection. By
comparing sandwich technique and single-layer dural sealing, no significant
difference could be shown.
PMID- 22080997
TI - Long-term antalgic effects of repetitive transcranial magnetic stimulation of
motor cortex and serum beta-endorphin in patients with phantom pain.
AB - OBJECTIVES: To assess the long-term analgesic effect of repetitive transcranial
stimulation (rTMS) on chronic phantom pain using high frequency stimulation and
to measure the serum beta-endorphin level pre- and post-rTMS. MATERIAL AND
METHODS: The study included 27 patients with unilateral amputation; all patients
had chronic phantom pain. The patients were classified into two groups. Seventeen
patients received 10 minutes real rTMS over the hand area of motor cortex (20 Hz,
10 second trains, intensity 80% of motor threshold) every day for five
consecutive days and 10 patients received sham stimulation. Pain was assessed
using a visual analogue scale (VAS) and the Leeds assessment of neuropathic
symptoms and signs (LANSS) scale, before and after the first, fifth sessions, one
and two months after the last session. Quantitative determination of serum beta
endorphin before and after five sessions was measured. RESULTS: There was no
significant difference between true and sham groups in the duration of illness,
VAS, LANSS scores and resting motor threshold in upper and lower limb amputation
at the base line. VAS and LANS scores of the patients who received real rTMS
decreased more over the course of the treatment through the different points of
follow-up (after five sessions, one and two months) than those who received sham
stimulation. Serum beta-endorphin was increased significantly after real
stimulation with no changes in patients received shame. Serum beta-endorphin
showed no significant correlation to Hamilton depression, anxiety, VAS and LANS
scores in true or sham groups before or after five sessions for rTMS. CONCLUSION:
These results confirm that five daily sessions of rTMS over motor cortex can
produce long lasting pain relief in patients with phantom pain and it might be
related to an elevation of serum beta-endorphin concentration.
PMID- 22080999
TI - Hemifacial spasm caused by cross type vascular compression.
AB - OBJECTIVES: The meatal segment of anterior inferior cerebellar artery usually
crosses over the gap between cranial nerves VII and VIII, and may compress the
cisternal portion (CP) of the facial nerve. This is defined as cross type
compression, which is easy to be neglected and thus leads to poor outcome. Here
our experience in treating patients of cross type hemifacial spasm (HFS) is
reported. METHODS: Twenty-one patients of HFS due to cross type compression were
treated with microvascular decompression (MVD) surgery with the aid of abnormal
muscle response monitoring. RESULTS: In addition to cross type compression at CP,
there were typical vascular compressions on the root exit zone and attached
segment in 20 cases. After MVD surgery, 17 patients were cured, 3 patients
achieved good resolution of spasm, and the other 1 patient got delayed
resolution. Three patients had postoperative transient hearing loss and/or
tinnitus. DISCUSSION: Even there are apparently typical vascular compressions at
proximal portion of the facial nerve, the surgeon should be aware that cross type
compression at the CP may co-exist. With the aid of abnormal muscle response
(AMR) monitoring, MVD is efficient for patients due to cross type compression.
PMID- 22080998
TI - Gait variability in Parkinson's disease: influence of walking speed and
dopaminergic treatment.
AB - OBJECTIVES: To study the effects of levodopa and walking speed on gait
variability in individuals with Parkinson's disease (PD). METHODS: Thirty-three
individuals with PD were studied. Their mean age was 70.61+/-9.23 year. The
average time since diagnosis was 9.65+/-5.80 year. Gait variability was studied
while 'OFF' and 'ON' dopaminergic medication when the subjects walked at their
usual and fastest speeds. RESULTS: Variability of step time, double support time,
stride length and stride velocity decreased significantly (P=0.037; P=0.037;
P=0.022; P=0.043, respectively) after dopaminergic treatment. When subjects
increased walking speed, the variability of stride length and stride velocity
decreased significantly (P=0.038 and P=0.004, respectively) both while 'OFF' and
'ON' levodopa. Increasing walking speed did not change the variability of step
time and double support time regardless of medication status. CONCLUSIONS:
Levodopa decreased gait variability in persons with PD. Stride length and stride
velocity variability appeared to be speed dependent parameters, whereas, the
variability of step time and double support time appeared to be speed independent
measures. Levodopa had positive effects on gait stability in PD.
PMID- 22081000
TI - Sonographic and electrophysiological detection in patients with carpal tunnel
syndrome.
AB - OBJECTIVES: To assess prospectively the significance of sonographic measurements
of the median nerve in the diagnosis of carpal tunnel syndrome (CTS), to look for
proper parameters and cutoff values for the sonographic diagnosis, and to
correlate with the electrophysiological findings. MATERIALS AND METHODS: This
study involved 30 patients, who were clinically diagnosed as CTS merely based on
their symptoms and signs; and 30 healthy volunteers were served as controls.
Eligible subjects underwent sonographic and electromyographic detection. RESULTS:
In the CTS patient group, the cross-sectional area (CSA) at the pisiform bone
level (CSA2) and the diameter (D) of the median nerve increased. When the cutoff
values of CSA2 and D were 0.105 cm(2) and 0.195 cm, the sensitivity, specificity
and accuracy of the diagnosis were 91.5, 94.5, 94.1%, and 90.7, 80.4, 86.5%,
respectively. Both CSA and D were negatively related to sensory conduction
velocity, while CSA was positively related to distal motor latency. CONCLUSION:
There is a good association of sonographic with electrophysiologic detection for
the diagnosis of CTS.
PMID- 22081001
TI - Lateralized alpha-motoneuron excitabilities during lying and standing of healthy
individuals in relation to parkinsonian rigidity.
AB - OBJECTIVES: To elucidate mechanisms of Parkinsonian rigidity by assessing
excitability of alpha-motoneurons innervating right and left soleus muscles in
healthy controls and Parkinson's disease (PD) patients with rigidities in the
right, left and both legs. METHODS: One group of 45 controls was recruited and
60 PD patients in three groups: rigidities, predominantly in the right, left and
both legs. H-reflex (H) and muscle response (M) were recorded from right and left
soleus muscles during stimulations of the posterior tibial nerve at the
popliteal fossa while lying and standing. The H/M ratio was taken as an index for
motoneuron excitability. RESULTS: Mean H/M ratios were significantly different on
the right and left sides, modified by postural changes in controls and PD
patients. Analysis of variance showed that in healthy subjects the H/M ratio
was: standing>lying (right), lying>standing (left). In right leg rigidity
patients, the H/M ratio was greatest during standing, and smallest during lying.
In left leg rigidity patients, the H/M ratios on the right and left sides were
equally independent of posture. In controls, left H/M>right while lying, left, but =40 years of age, English speaking,
cognitively intact, and having a diagnosis of stage III or IV tumor. Dyads were
randomized to a nurse-delivered symptom management intervention (N = 88) versus
the coach-led group (N = 81). Data were collected via telephone interviews at
baseline, 10, and 16 weeks. RESULTS: There was no significant main effect of the
problem-solving intervention on symptom assistance at 10 weeks, but there were
two significant interactions, between trial arm and depressive symptoms and
between baseline assistance with symptoms and relationship to the patient.
Caregivers with lower depressive symptoms were more likely (OR = 1.99, 95% CI =
1.45-2.76) to provide assistance at 10 weeks if they received the nurse-delivered
intervention. Spousal (versus non-spouses) caregivers who provided assistance at
baseline were less likely to provide assistance at 10 weeks (OR = 0.58, 95% CI
0.36-0.94). No significant trial arm effects were found on caregiver emotional
health, but assistance with greater number of symptoms was associated with worse
caregiver depressive symptoms (p < 0.01) and burden (impact on schedule, p <
0.01). CONCLUSIONS: Findings suggest that a nurse-delivered problem-solving
intervention increases family caregivers' level of assistance in symptom
management for caregivers with lower levels of depressive symptoms. Data also
suggest interventions focused solely on care recipient symptom management may not
be effective in improving caregivers' emotional health.
PMID- 22081057
TI - Evaluating adherence to recommended diets among cancer patients.
AB - PURPOSE: We examined dietary and health-related behaviors among Korean cancer
patients in the fourth Korean National Health and Nutrition Examination Survey.
METHODS: To compare the dietary and health-related behaviors among cancer
patients, patients who had recovered from cancer, and those who had never had
cancer, we obtained odds ratio (OR)s and 95% confidence interval (CI)s for the
adherence to American Institute for Cancer Research (AICR) recommendations or a
Dietary Approaches to Stop Hypertension (DASH)-style diet using the multivariate
polytomous logistic regression. RESULTS: A total of 103 cancer patients, 139
patients who had recovered from cancer, and 7,963 participants who had never had
cancer were included. Patients who had ever been diagnosed with cancer were more
likely to follow AICR recommendations or a DASH-style diet compared to those who
had never had cancer. Compared to bottom tertile of the AICR adherence score, ORs
(95% CIs) in the top tertile were 3.19 (1.86-5.46) for patients who recovered
from cancer and 3.34 (1.81-6.17) for cancer patients. For a DASH-style diet, we
found an OR of 2.26 (95% CI 1.28-3.99) for patients who recovered from cancer and
an OR of 1.60 (95% CI 0.89-2.89) for cancer patients, comparing top to bottom
tertiles. We also found that the degree of adherence among cancer patients was
stronger among ever smokers than never smokers. CONCLUSIONS: Korean patients who
had ever been diagnosed with cancer showed higher adherence to diets designed to
prevent cancer or high blood pressure than those who had never had cancer. Our
observations warrant further prospective studies to evaluate the association of
adherence to a healthy diet with survival and quality of life among Asian cancer
patients.
PMID- 22081058
TI - Do elderly patients with metastatic cancer have worse quality of life scores?
AB - PURPOSE: The purpose of this study is to compare self-reported quality of life
(QOL) scores in old and young patients with metastatic cancer using the European
Organization for Research and Treatment of Cancer (EORTC) QLQ-C15-PAL
questionnaire. MATERIALS AND METHODS: Patients receiving palliative radiotherapy
(RT) for bone metastases and brain metastases completed the QLQ-C15-PAL
questionnaire prior to treatment. Using multiple linear regression analysis, a
parametric test, the QLQ-C15-PAL scores were compared using 65 and 70 years as
cutoff ages. RESULTS: A total of 340 patients were referred for palliative RT for
bone metastases (n = 190) or brain metastases (n = 150). Physical functioning and
appetite were worse in the older group using either 65 or 70 years as the cutoff
age. Age-related differences in the QLQ-C15-PAL scores varied as a function of
age cutoff used and location of metastatic site irradiated. CONCLUSION: Based on
the (EORTC) QLQ-C15-PAL, elderly advanced cancer patients have a different QOL
profile. Similar observations have been reported with the (EORTC) QLQ-C30
questionnaire.
PMID- 22081059
TI - Children with cerebral palsy: racial disparities in functional limitations.
AB - BACKGROUND: Previous studies of the frequency of cerebral palsy in the United
States have found excess prevalence in black children relative to other groups.
Whether the severity of cerebral palsy differs between black and white children
has not previously been investigated. METHODS: A population-based surveillance
system in 4 regions of the United States identified 476 children with cerebral
palsy among 142,338 8-year-old children in 2006. Motor function was rated by the
Gross Motor Function Classification System and grouped into 3 categories of
severity. We used multiple imputation to account for missing information on motor
function and calculated the race-specific prevalence of each cerebral palsy
severity level. RESULTS: The prevalence of cerebral palsy was 3.7 per 1000 black
children and 3.2 per 1000 white children (prevalence odds ratio [OR] = 1.2 [95%
confidence interval = 1.0-1.4]). When stratified by severity of functional
limitation, the racial disparity was present only for severe cerebral palsy
(black vs. white prevalence OR=1.7 [1.1-2.4]). The excess prevalence of severe
cerebral palsy in black children was evident in term and very preterm birth
strata. CONCLUSION: Black children in the United States appear to have a higher
prevalence of cerebral palsy overall than white children, although the excess
prevalence of cerebral palsy in black children is seen only among those with the
most severe limitations. Further research is needed to explore reasons for this
disparity in functional limitations; potential mechanisms include racial
differences in risk factors, access to interventions, and under-identification of
mild cerebral palsy in black children.
PMID- 22081060
TI - Perfluorinated compounds and subfecundity in pregnant women.
AB - BACKGROUND: Perfluorinated compounds are ubiquitous pollutants; epidemiologic
data suggest they may be associated with adverse health outcomes, including
subfecundity. We examined subfecundity in relation to 2 perfluorinated compounds
perfluorooctane sulfonate (PFOS) and perfluorooctanoic acid (PFOA). METHODS: This
case-control analysis included 910 women enrolled in the Norwegian Mother and
Child Cohort Study in 2003 and 2004. Around gestational week 17, women reported
their time to pregnancy and provided blood samples. Cases consisted of 416 women
with a time to pregnancy greater than 12 months, considered subfecund. Plasma
concentrations of perfluorinated compounds were analyzed using liquid
chromatography-mass spectrometry. Adjusted odds ratios (ORs) and 95% confidence
intervals (CIs) were estimated for each pollutant quartile using logistic
regression. Estimates were further stratified by parity. RESULTS: The median
plasma concentration of PFOS was 13.0 ng/mL (interquartile range [IQR] = 10.3
16.6 ng/mL) and of PFOA was 2.2 ng/mL (IQR = 1.7-3.0 ng/mL). The relative odds of
subfecundity among parous women was 2.1 (95% CI = 1.2-3.8) for the highest PFOS
quartile and 2.1 (1.0-4.0) for the highest PFOA quartile. Among nulliparous
women, the respective relative odds were 0.7 (0.4-1.3) and 0.5 (0.2-1.2).
CONCLUSION: Previous studies suggest that the body burden of perfluorinated
compounds decreases during pregnancy and lactation through transfer to the fetus
and to breast milk. Afterward, the body burden may increase again. Among parous
women, increased body burden may be due to a long interpregnancy interval rather
than the cause of a long time to pregnancy. Therefore, data from nulliparous
women may be more informative regarding toxic effects of perfluorinated
compounds. Our results among nulliparous women did not support an association
with subfecundity.
PMID- 22081061
TI - Radon and skin cancer in southwest England: an ecologic study.
AB - BACKGROUND: Radon, a naturally occurring radioactive gas, is a carcinogen that
causes a small proportion of lung cancers among exposed populations. Theoretical
models suggest that radon may also be a risk factor for skin cancer, but
epidemiologic evidence for this relationship is weak. In this study, we
investigated ecologic associations between environmental radon concentration and
the incidence of various types of skin cancer. METHODS: We analyzed data for 287
small areas (postcode sectors) in southwest England for the years 2000-2004.
Poisson regression was used to compare registration rates of malignant melanoma,
basal cell carcinoma, and squamous cell carcinoma across mean indoor radon
concentrations from household surveys. Analyses were adjusted for potentially
confounding factors, including age, sex, population socioeconomic status, and
mean hours of bright sunshine. RESULTS: No association was observed between mean
postcode sector radon concentration and either malignant melanoma or basal cell
carcinoma registration rates. However, sectors with higher radon levels had
higher squamous cell carcinoma registration rates, with evidence of an exposure
response relationship. Comparing highest and lowest radon categories, postcode
sectors with mean radon >= 230 Bq/m(3) had registration rates 1.76 (95%
confidence interval = 1.46-2.11) times those with mean radon 0-39 Bq/m(3).
Associations persisted after adjustment for potential confounders. CONCLUSIONS:
This ecologic study suggests that environmental radon exposure may be a risk
factor for squamous cell carcinoma. Further study is warranted to overcome
ecologic design limitations and to determine whether this relationship is
generalizable to national and international settings.
PMID- 22081062
TI - Berkson's bias, selection bias, and missing data.
AB - Although Berkson's bias is widely recognized in the epidemiologic literature, it
remains underappreciated as a model of both selection bias and bias due to
missing data. Simple causal diagrams and 2 * 2 tables illustrate how Berkson's
bias connects to collider bias and selection bias more generally, and show the
strong analogies between Berksonian selection bias and bias due to missing data.
In some situations, considerations of whether data are missing at random or
missing not at random are less important than the causal structure of the missing
data process. Although dealing with missing data always relies on strong
assumptions about unobserved variables, the intuitions built with simple examples
can provide a better understanding of approaches to missing data in real-world
situations.
PMID- 22081064
TI - The gene encoding the melanin-concentrating hormone receptor 1 is associated with
schizophrenia in a Danish case-control sample.
AB - OBJECTIVE: The MCHR1 gene encoding the melanin-concentrating hormone receptor 1
is located on chromosome 22q13.2 and has previously been associated with
schizophrenia in a study of cases and controls from the Faroe Islands and
Scotland. Herein we report an association between variations in the MCHR1 gene
and schizophrenia, based on analyses of a larger sample and an increased number
of single nucleotide polymorphisms (SNPs) than used in the previous study.
METHODS: Eighteen SNPs in the MCHR1 gene region were genotyped in a Caucasian
case-control sample from Denmark consisting of 390 individuals with schizophrenia
and 814 control individuals. Sex-specific analysis and analysis of association
with antipsychotic treatment were performed. RESULTS: Five SNPs in the proximal
region of MCHR1 were significantly associated with schizophrenia. The
associations seemed to be sex specific, predominantly seen in men where one SNP
(rs133073) remained significant (P=0.003) after correction for multiple testing.
When combining the P values in the proximal region of MCHR1, the region-wise P
value was low (P=0.009) supporting that variations in this part of the gene is
associated with schizophrenia. Furthermore, the association was stronger in
patients responding to conventional and atypical antipsychotic medication except
clozapine. CONCLUSION: Our results suggest that MCHR1 may influence schizophrenia
susceptibility, in particular among men and patients responding to conventional
(nonclozapine) treatment.
PMID- 22081063
TI - Data mining approaches for genome-wide association of mood disorders.
AB - BACKGROUND: Mood disorders are highly heritable forms of major mental illness. A
major breakthrough in elucidating the genetic architecture of mood disorders was
anticipated with the advent of genome-wide association studies (GWAS). However,
to date few susceptibility loci have been conclusively identified. The genetic
etiology of mood disorders appears to be quite complex, and as a result,
alternative approaches for analyzing GWAS data are needed. Recently, a polygenic
scoring approach that captures the effects of alleles across multiple loci was
successfully applied to the analysis of GWAS data in schizophrenia and bipolar
disorder (BP). However, this method may be overly simplistic in its approach to
the complexity of genetic effects. Data mining methods are available that may be
applied to analyze the high dimensional data generated by GWAS of complex
psychiatric disorders. RESULTS: We sought to compare the performance of five data
mining methods, namely, Bayesian networks, support vector machine, random forest,
radial basis function network, and logistic regression, against the polygenic
scoring approach in the analysis of GWAS data on BP. The different classification
methods were trained on GWAS datasets from the Bipolar Genome Study (2191 cases
with BP and 1434 controls) and their ability to accurately classify case/control
status was tested on a GWAS dataset from the Wellcome Trust Case Control
Consortium. CONCLUSION: The performance of the classifiers in the test dataset
was evaluated by comparing area under the receiver operating characteristic
curves. Bayesian networks performed the best of all the data mining classifiers,
but none of these did significantly better than the polygenic score approach. We
further examined a subset of single-nucleotide polymorphisms (SNPs) in genes that
are expressed in the brain, under the hypothesis that these might be most
relevant to BP susceptibility, but all the classifiers performed worse with this
reduced set of SNPs. The discriminative accuracy of all of these methods is
unlikely to be of diagnostic or clinical utility at the present time. Further
research is needed to develop strategies for selecting sets of SNPs likely to be
relevant to disease susceptibility and to determine if other data mining
classifiers that utilize other algorithms for inferring relationships among the
sets of SNPs may perform better.
PMID- 22081065
TI - Management of detrusor external sphincter dyssynergia in neurogenic bladder.
AB - Spinal cord injury (SCI) affects 11.5 to 53.4 individuals per million of the
population in developed countries each year. SCI is caused by trauma, although it
can also result from myelopathy, myelitis, vascular disease or arteriovenous
malformations and multiple sclerosis. Patients with complete lesions of the
spinal cord between spinal cord level T6 and S2, after they recover from spinal
shock, generally exhibit involuntary bladder contractions without sensation,
smooth sphincter synergy, but with detrusor striated sphincter dyssynergia
(DESD). Those with lesions above spinal cord level T6 may experience, in
addition, smooth sphincter dyssynergia and autonomic hyperreflexia. DESD is a
debilitating problem in patients with SCI. It carries a high risk of
complications, and even life expectancy can be affected. Nearly half of the
patients with untreated DESD will develop deleterious urologic complications, due
to high intravesical pressures, resulting in urolithiasis, urinary tract
infection (UTI), vesicoureteral reflux (VUR), hydronephrosis, obstructive
uropathy, and renal failure. The mainstay of treatment is the use of
antimuscarinics and catheterization, but in those for whom this is not possible
external sphincterotomy has been a last resort option. External sphincterotomy is
associated with significant risks, including haemorrhage; erectile dysfunction
and the possibility of redo procedures. Over the last decade alternatives have
been investigated, such as urethral stents and intrasphincteric botulinum toxin
injection. In this review, we will cover neurogenic DESD, with emphasis on
definition, classifications, diagnosis and different therapeutic options
available.
PMID- 22081066
TI - Suppression of Myc oncogenic activity by nucleostemin haploinsufficiency.
AB - Nucleostemin (NS), a nucleolar GTPase, is highly expressed in stem/progenitor
cells and in most cancer cells. However, little is known about the regulation of
its expression. Here, we identify the NS gene as a novel direct transcriptional
target of the c-Myc oncoprotein. We show that Myc overexpression enhances NS
transcription in cultured cells and in pre-neoplastic B cells from EMU-myc
transgenic mice. Consistent with NS being downstream of Myc, NS expression
parallels that of Myc in a large panel of human cancer cell lines. Using
chromatin immunoprecipitation we show that c-Myc binds to a well-conserved E-box
in the NS promoter. Critically, we show NS haploinsufficiency profoundly delays
Myc-induced cancer formation in vivo. NS+/-EMU-myc transgenic mice have much
slower rates of B-cell lymphoma development, with life spans twice that of their
wild-type littermates. Moreover, we demonstrate that NS is essential for the
proliferation of Myc-overexpressing cells in cultured cells and in vivo: impaired
lymphoma development was associated with a drastic decrease of c-Myc-induced
proliferation of pre-tumoural B cells. Finally, we provide evidence that in cell
culture NS controls cell proliferation independently of p53 and that NS
haploinsufficiency significantly delays lymphomagenesis in p53-deficient mice.
Together these data indicate that NS functions downstream of Myc as a rate
limiting regulator of cell proliferation and transformation, independently from
its putative role within the p53 pathway. Targeting NS is therefore expected to
compromise early tumour development irrespectively of the p53 status.
PMID- 22081067
TI - EGFR signaling upregulates expression of microsomal prostaglandin E synthase-1 in
cancer cells leading to enhanced tumorigenicity.
AB - In this report we describe the contribution of prostaglandin E(2) (PGE(2))
derived from the inducible microsomal PGE-synthase type-1 (mPGES-1) to the
epidermal growth factor receptor (EGFR) oncogenic drive in tumor epithelial cells
and in tumor-bearing mice. EGFR stimulation upregulated expression of mPGES-1 in
HT-29, A431 and A549 cancer cells. Egr-1, a transcription factor induced by EGF,
mediated this response. The Egr-1 rise provoked the overexpression of mPGES-1
messenger and protein, and enhanced PGE(2) formation. These changes were
suppressed either by silencing Egr-1, or by upstream blockade of EGFR or ERK1/2
signals. Further, in a clonogenic assay on tumor cells, EGF induced a florid
tumorigenic phenotype, which regressed when mPGES-1 was silenced or knocked down.
EGF-induced mPGES-1 overexpression in epithelial cell reduced E-cadherin
expression, whereas enhancing that of vimentin, suggesting an incipient
mesenchymal phenotype. Additionally, inhibiting the EGFR in mice bearing the A431
tumor, the mPGES-1 expression and the tumor growth, exhibited a parallel decline.
In conclusion, these findings provide novel evidence that a tight cooperation
between the EGF/EGFR and mPGES-1 leads to a significant tumorigenic gain in
epithelial cells, and provide clues for controlling the vicious association.
PMID- 22081068
TI - Cancer-associated alteration of pericentromeric heterochromatin may contribute to
chromosome instability.
AB - Many tumors exhibit elevated chromosome mis-segregation termed chromosome
instability (CIN), which is likely to be a potent driver of tumor progression and
drug resistance. Causes of CIN are poorly understood but probably include prior
genome tetraploidization, centrosome amplification and mitotic checkpoint
defects. This study identifies epigenetic alteration of the centromere as a
potential contributor to the CIN phenotype. The centromere controls chromosome
segregation and consists of higher-order repeat (HOR) alpha-satellite DNA
packaged into two chromatin domains: the kinetochore, harboring the centromere
specific H3 variant centromere protein A (CENP-A), and the pericentromeric
heterochromatin, considered important for cohesion. Perturbation of centromeric
chromatin in model systems causes CIN. As cancer cells exhibit widespread
chromatin changes, we hypothesized that pericentromeric chromatin structure could
also be affected, contributing to CIN. Cytological and chromatin
immunoprecipitation and PCR (ChIP-PCR)-based analyses of HT1080 cancer cells
showed that only one of the two HORs on chromosomes 5 and 7 incorporate CENP-A,
an organization conserved in all normal and cancer-derived cells examined.
Contrastingly, the heterochromatin marker H3K9me3 (trimethylation of H3 lysine 9)
mapped to all four HORs and ChIP-PCR showed an altered pattern of H3K9me3 in
cancer cell lines and breast tumors, consistent with a reduction on the
kinetochore-forming HORs. The JMJD2B demethylase is overexpressed in breast
tumors with a CIN phenotype, and overexpression of exogenous JMJD2B in cultured
breast epithelial cells caused loss of centromere-associated H3K9me3 and
increased CIN. These findings suggest that impaired maintenance of
pericentromeric heterochromatin may contribute to CIN in cancer and be a novel
therapeutic target.
PMID- 22081069
TI - Atypical mechanism of NF-kappaB activation by TRE17/ubiquitin-specific protease 6
(USP6) oncogene and its requirement in tumorigenesis.
AB - The NF-kappaB transcription factor has a central role in diverse processes,
including inflammation, proliferation and cell survival, and its activity is
dysregulated in diseases such as autoimmunity and cancer. We recently identified
the TRE17/ubiquitin-specific protease 6 (USP6) oncogene as the first de
ubiquitinating enzyme to activate NF-kappaB. TRE17/USP6 is translocated and
overexpressed in aneurysmal bone cyst (ABC), a pediatric tumor characterized by
extensive bone degradation and inflammatory recruitment. In the current study, we
explore the mechanism by which TRE17 induces activation of NF-kappaB, and find
that it activates the classical NF-kappaB pathway through an atypical mechanism
that does not involve IkappaB degradation. TRE17 co-precipitates with IkappaB
kinase (IKK), and IKK activity is augmented in stable cell lines overexpressing
TRE17, in a USP-dependent manner. Optimal activation of NF-kappaB by TRE17
requires both catalytic subunits of IKK, distinguishing its mechanism from the
classical and non-canonical pathways, which require either IKKbeta or IKKalpha,
respectively. TRE17 stimulates phosphorylation of p65 at serine 536, a
modification that has been associated with enhanced transcriptional activity and
nuclear retention. Induction of S536 phosphorylation by TRE17 requires both
IKKalpha and IKKbeta, as well as the IKKgamma/NEMO regulatory subunit of IKK. We
further demonstrate that TRE17(long) is highly tumorigenic when overexpressed in
NIH3T3 fibroblasts, and that inhibition of NF-kappaB significantly attenuates
tumor formation. In summary, these studies uncover an unexpected signaling
mechanism for activation of classical NF-kappaB by TRE17. They further reveal a
critical role for NF-kappaB in TRE17-mediated tumorigenesis, and suggest that NF
kappaB inhibitors may function as effective therapeutic agents in the treatment
of ABC.
PMID- 22081070
TI - The nuclear receptor TR3 regulates mTORC1 signaling in lung cancer cells
expressing wild-type p53.
AB - The orphan nuclear receptor TR3 (NR41A and Nur77) is overexpressed in most lung
cancer patients and is a negative prognostic factor for patient survival. The
function of TR3 was investigated in non-small-cell lung cancer A549 and H460
cells, and knockdown of TR3 by RNA interference (siTR3) inhibited cancer cell
growth and induced apoptosis. The prosurvival activity of TR3 was due, in part,
to formation of a p300/TR3/ specificity protein 1 complex bound to GC-rich
promoter regions of survivin and other Sp-regulated genes (mechanism 1). However,
in p53 wild-type A549 and H460 cells, siTR3 inhibited the mTORC1 pathway, and
this was due to activation of p53 and induction of the p53-responsive gene
sestrin 2, which subsequently activated the mTORC1 inhibitor AMP-activated
protein kinase alpha (AMPKalpha) (mechanism 2). This demonstrates that the pro
oncogenic activity of TR3 in lung cancer cells was due to inhibition of p53 and
activation of mTORC1. 1,1-Bis(3'-indolyl)-1-(p-hydroxyphenyl)methane (DIM-C
pPhOH) is a recently discovered inhibitor of TR3, which mimics the effects of
siTR3. DIM-C-pPhOH inhibited growth and induced apoptosis in lung cancer cells
and lung tumors in murine orthotopic and metastatic models, and this was
accompanied by decreased expression of survivin and inhibition of mTORC1
signaling, demonstrating that inactivators of TR3 represent a novel class of
mTORC1 inhibitors.
PMID- 22081071
TI - Cathepsin D is partly endocytosed by the LRP1 receptor and inhibits LRP1
regulated intramembrane proteolysis.
AB - The aspartic protease cathepsin-D (cath-D) is a marker of poor prognosis in
breast cancer that is overexpressed and hypersecreted by human breast cancer
cells. Secreted pro-cath-D binds to the extracellular domain of the beta-chain of
the LDL receptor-related protein-1 (LRP1) in fibroblasts. The LRP1 receptor has
an 85-kDa transmembrane beta-chain and a noncovalently attached 515-kDa
extracellular alpha-chain. LRP1 acts by (1) internalizing many ligands via its
alpha-chain, (2) activating signaling pathways by phosphorylating the LRP1beta
chain tyrosine and (3) modulating gene transcription by regulated intramembrane
proteolysis (RIP) of its beta-chain. LRP1 RIP involves two cleavages: the first
liberates the LRP1 ectodomain to give a membrane-associated form, LRP1beta-CTF,
and the second generates the LRP1beta-intracellular domain, LRP1beta-ICD, that
modulates gene transcription. Here, we investigated the endocytosis of pro-cath-D
by LRP1 and the effect of pro-cath-D/LRP1beta interaction on LRP1beta tyrosine
phosphorylation and/or LRP1beta RIP. Our results indicate that pro-cath-D was
partially endocytosed by LRP1 in fibroblasts. However, pro-cath-D and ectopic
cath-D did not stimulate phosphorylation of the LRP1beta-chain tyrosine.
Interestingly, ectopic cath-D and its catalytically inactive (D231N)cath-D, and
pro-(D231N)cath-D all significantly inhibited LRP1 RIP by preventing LRP1beta-CTF
production. Thus, cath-D inhibits LRP1 RIP independently of its catalytic
activity by blocking the first cleavage. As cath-D triggers fibroblast outgrowth
by LRP1, we propose that cath-D modulates the growth of fibroblasts by inhibiting
LRP1 RIP in the breast tumor microenvironment.
PMID- 22081072
TI - Mice deficient in MIM expression are predisposed to lymphomagenesis.
AB - Missing in metastasis (MIM) is a member of newly emerged inverse Bin-Amphiphysin
Rvs (BAR) domain protein family and a putative metastasis suppressor. Although
reduced MIM expression has been associated with bladder, breast and gastric
cancers, evidence for the role of MIM in tumor progression remains scarce and
controversial. Herein we characterized a MIM knockout mouse strain and observed
that MIM-deficient mice often developed enlarged spleens. Autopsy and
histological analysis revealed that nearly 78% of MIM(-/-) mice developed tumors
with features similar to diffuse large B lymphoma during a period from 1 to 2
years. MIM(-/-) mice also exhibited abnormal distribution of B cells in lymphoid
organs with decrease in the spleen but increase in the bone marrow and the
peripheral blood. Furthermore, the bone marrow of MIM(-/-) mice contained a
higher percentage of pre-B2 cells but fewer immature B-cells than wild-type mice.
In response to CXCL13, a B-cell chemokine released from splenic stromal cells,
MIM-deficient B-cells did not undergo chemotaxis or morphological changes in
response to the chemokine and also did not internalize CXCR5, the receptor of
CXCL13. Microarray analyses demonstrated that MIM is the only member of the I-BAR
domain family that was highly expressed in human B cells. However, low or absent
MIM expression was common in either primary B-cell malignancies or established B
cell acute lymphocytic leukemia or lymphomas. Thus, our data demonstrate for the
first time an important role for MIM in B-cell development and suggest that
predisposition of MIM-null mice to lymphomagenesis may involve aberrant
interactions between B lineage cells and the lymphoid microenvironment.
PMID- 22081073
TI - Recruitment of RPL11 at promoter sites of p53-regulated genes upon nucleolar
stress through NEDD8 and in an Mdm2-dependent manner.
AB - Ribosomal proteins (RPs) activate the p53 tumour-suppressor protein upon
disruption of the nucleolus. However, the exact mechanisms for p53
transcriptional activation through RPs are not well understood. We show that the
RPL11 is rapidly but transiently recruited at promoter sites of p53-regulated
genes upon nucleolar stress induced by actinomycin D (ActD). Characterisation of
molecular events at p53 promoter sites shows that L11 is required for the
recruitment of p53 transcriptional co-activators p300/CBP and p53 K382
acetylation. We found that direct binding to Mdm2 E3 ligase and NEDDylation of
L11 are critical regulators for L11 promoter recruitment. Our data suggest that
binding of L11 to Mdm2 at the promoter results in relief from Mdm2-mediated
transcriptional repression of p53. Analysis of chromatin and RNA polymerase II
markers suggests that L11 is involved in the initiation step of transcriptional
activation. Furthermore, analysis of 36 ActD-induced genes shows that L11 and
NEDD8 are global regulators of the p53 activation response. The studies provide
insights on how nucleolar stress through L11 and NEDD8 can activate the
transcriptional activity of p53.
PMID- 22081075
TI - Bim must be able to engage all pro-survival Bcl-2 family members for efficient
tumor suppression.
AB - Overexpression of the transcriptional regulator Myc is thought to be the cause or
a contributing factor in the development of a large number of human lymphomas and
certain other cancers. Apoptotic cell death constitutes a tumor suppressive
mechanism, particularly in the context of Myc overexpression. Accordingly,
lymphoma development in EMU-Myc transgenic mice, which mimic the Myc/IgH
chromosomal translocation that causes Burkitt lymphoma, is accelerated by
concomitant overexpression of anti-apoptotic Bcl-2 family members or loss of pro
apoptotic BH3-only proteins, such as Bim. Bim binds with high affinity to all pro
survival Bcl-2-like proteins and can also interact with Bax/Bak, but it remains
unclear which of these interactions are critical for its tumor suppressive
function. We have previously generated knock-in mutant mice in which the BH3
region of Bim has been exchanged with that for Bad, Noxa or Puma so that it can
only bind to select pro-survival Bcl-2-like proteins: Bim(Bad) binding to Bcl-2,
Bcl-x(L) and Bcl-w, but not Mcl-1 or A1; Bim(Noxa) binding only to Mcl-1 and A1
and as a control, Bim(Puma), which can still bind all pro-survival Bcl-2-like
proteins. We have now inter-crossed these Bim mutant mice with EMU-Myc transgenic
mice, and found that both the Bim(Bad) and the Bim(Noxa) mutations but not the
Bim(Puma) mutation greatly accelerate Myc-induced lymphoma development and
increase leukemic burden. These results demonstrate that for optimal tumor
suppressive activity, Bim must be able to interact with all and not just select
pro-survival Bcl-2 family members.
PMID- 22081074
TI - PTTG induces EMT through integrin alphaVbeta3-focal adhesion kinase signaling in
lung cancer cells.
AB - Pituitary tumor transforming gene (PTTG) is a well-studied oncogene for its role
in tumorigenesis and serves as a marker of malignancy in several cancer types
including lung. In the present study, we defined the role of PTTG in actin
cytoskeleton remodeling, cell migration and induction of epithelial mesenchymal
transition (EMT) through the regulation of integrin alpha(V)beta(3)-FAK (focal
adhesion kinase) signaling pathway. Overexpression of PTTG through an adenovirus
vector resulted in a significant increase in the expression of integrins alpha(V)
and beta(3), a process that was reversed with the downregulation of PTTG
expression through the use of an adenovirus expressing PTTG-specific small
interfering RNA (siRNA). Western blot analysis of cells infected with adenovirus
PTTG cDNA resulted in increased FAK and enhanced expression of adhesion complex
molecules paxillin, metavincullin, and talin. Furthermore, downstream signaling
genes Rac1, RhoA, Cdc42 and DOCK180 showed upregulation upon PTTG overexpression.
This process was dependent on integrin alpha(V), as blockage by antagonist
echistatin (RGD peptide) or alpha(V)-specific siRNA resulted in a decrease in FAK
and subsequent adhesion molecules. Actin cytoskeleton disruption was detected as
a result of integrin-FAK signaling by PTTG as well as enhanced cell motility.
Taken together, our results suggest for the first time an important role of PTTG
in regulation of integrins alpha(V) and beta(3) and adhesion-complex proteins
leading to induction of EMT.
PMID- 22081076
TI - LIN28: a regulator of tumor-suppressing activity of let-7 microRNA in human
breast cancer.
AB - A tumor-suppressor gene, let-7 microRNA (miRNA) family, is often inactivated in
various human malignancies. LIN28 is a RNA-binding protein that has been well
characterized for regulation of let-7 maturation in undifferentiated embryonic
stem cells at post-transcriptional level. Oncogenic regulation of let-7 miRNAs
has been demonstrated in several human malignancies but their correlation with
LIN28 has not been studied in breast cancer. We therefore explored a possible
mechanism of tumorigenesis in breast carcinoma tissue via an alternation of let-7
miRNA precursor processing by LIN28 in this study. A total of 26 breast cancer
surgical pathology specimens were evaluated for LIN28 and LIN28B expression using
immunohistochemistry. We then isolated carcinoma cells in 21 cases using laser
capture microdissection, and the miRNAs from these samples were profiled using
PCR array analysis. LIN28 status was positively correlated with ERalpha, PR, and
Ki-67 status and inversely correlated with HER2 status. These results suggest the
possible involvement of LIN28 in regulation of sex steroid dependent cell
proliferation of breast carcinoma cells. We further demonstrated that expression
of let-7a, let-7c, let-7d (P=0.026) and let-7f (P=0.016) were inversely
correlated with those of LIN28. These results also suggest that LIN28 promotes
tumorigenic activity by suppressing let-7 miRNA maturation in breast carcinoma
cells.
PMID- 22081077
TI - Preimplantation genetic diagnosis for couples with a Robertsonian translocation:
practical information for genetic counseling.
AB - PURPOSE: To evaluate the proportions of abnormal and normal embryos detected by
preimplantation genetic diagnosis (PGD) of infertile couples of whom one was a
Robertsonian translocation (RT) carrier, and to provide practical information,
including details of reproductive outcomes, to aid in genetic counseling of such
couples. METHODS: We retrospectively analyzed all PGD cycles conducted to deal
with RT at our center between January 2000 and December 2009. Subject demographic
and clinical data were compared with the results of PGD. RESULTS: Employing PGD,
we conducted a total of 66 cycles on 34 couples of whom one was an RT carrier,
including 24 female and 10 male carriers. Of the 514 blastomeres tested, 161
(31.3%) were normal or balanced. Of the 57 cycles that included embryo transfer,
17 (29.8%) attained positivity for human chorionic gonadotropin (hCG). A total of
17 embryos were implanted and 16 babies, including two sets of twins, were born.
The takehome baby rate was 41.2% per couple and the loss rate 6.6%. Receiver
operating characteristic curve analysis showed that the proportion of alternate
embryos associated with a sensitivity of 70.6% for prediction of clinical
pregnancy following PGD was 0.31. Sex of the carrier and type of translocation
were not significantly associated with pregnancy outcomes. CONCLUSION: Couples
with RT may benefit from PGD; pregnancy success rate is improved and embryo loss
reduced. We found that about 30% of embryos were of normal or balanced
chromosomal constitution and that the percentage of normal or balanced embryos
was predictive of PGD outcome.
PMID- 22081080
TI - Magnetoelastic metamaterials.
AB - The study of advanced artificial electromagnetic materials, known as
metamaterials, provides a link from material science to theoretical and applied
electrodynamics, as well as to electrical engineering. Being initially intended
mainly to achieve negative refraction, the concept of metamaterials quickly
covered a much broader range of applications, from microwaves to optics and even
acoustics. In particular, nonlinear metamaterials established a new research
direction giving rise to fruitful ideas for tunable and active artificial
materials. Here we introduce the concept of magnetoelastic metamaterials, where a
new type of nonlinear response emerges from mutual interaction. This is achieved
by providing a mechanical degree of freedom so that the electromagnetic
interaction in the metamaterial lattice is coupled to elastic interaction. This
enables the electromagnetically induced forces to change the metamaterial
structure, dynamically tuning its effective properties. This concept leads to a
new generation of metamaterials, and can be compared to such fundamental concepts
of modern physics as optomechanics of photonic structures or magnetoelasticity in
magnetic materials.
PMID- 22081081
TI - Induction of coherent magnetization switching in a few atomic layers of FeCo
using voltage pulses.
AB - The magnetization direction of a metallic magnet has generally been controlled by
a magnetic field or by spin-current injection into nanosized magnetic cells. Both
these methods use an electric current to control the magnetization direction;
therefore, they are energy consuming. Magnetization control using an electric
field is considered desirable because of its expected ultra-low power consumption
and coherent behaviour. Previous experimental approaches towards achieving
voltage control of magnetization switching have used single ferromagnetic layers
with and without piezoelectric materials, ferromagnetic semiconductors,
multiferroic materials, and their hybrid systems. However, the coherent control
of magnetization using voltage signals has not thus far been realized. Also,
bistable magnetization switching (which is essential in information storage)
possesses intrinsic difficulties because an electric field does not break time
reversal symmetry. Here, we demonstrate a coherent precessional magnetization
switching using electric field pulses in nanoscale magnetic cells with a few
atomic FeCo (001) epitaxial layers adjacent to a MgO barrier. Furthermore, we
demonstrate the realization of bistable toggle switching using the coherent
precessions. The estimated power consumption for single switching in the ideal
equivalent switching circuit can be of the order of 10(4)k(B)T, suggesting a
reduction factor of 1/500 when compared with that of the spin-current-injection
switching process.
PMID- 22081082
TI - Fano-resonant asymmetric metamaterials for ultrasensitive spectroscopy and
identification of molecular monolayers.
AB - Engineered optical metamaterials present a unique platform for biosensing
applications owing to their ability to confine light to nanoscale regions and to
their spectral selectivity. Infrared plasmonic metamaterials are especially
attractive because their resonant response can be accurately tuned to that of the
vibrational modes of the target biomolecules. Here we introduce an infrared
plasmonic surface based on a Fano-resonant asymmetric metamaterial exhibiting
sharp resonances caused by the interference between subradiant and superradiant
plasmonic resonances. Owing to the metamaterial's asymmetry, the frequency of the
subradiant resonance can be precisely determined and matched to the molecule's
vibrational fingerprints. A multipixel array of Fano-resonant asymmetric
metamaterials is used as a platform for multispectral biosensing of nanometre
scale monolayers of recognition proteins and their surface orientation, as well
as for detecting chemical binding of target antibodies to recognition proteins.
PMID- 22081083
TI - Conductive dense hydrogen.
AB - Molecular hydrogen is expected to exhibit metallic properties under megabar
pressures. This metal is predicted to be superconducting with a very high
critical temperature, T(c), of 200-400 K, and it may acquire a new quantum state
as a metallic superfluid and a superconducting superfluid. It may potentially be
recovered metastably at ambient pressures. However, experiments carried out at
low temperatures, T<100 K, showed that at record pressures of 300 GPa, hydrogen
remains in the molecular insulating state. Here we report on the transformation
of normal molecular hydrogen at room temperature (295 K) to a conductive and
metallic state. At 200 GPa the Raman frequency of the molecular vibron strongly
decreased and the spectral width increased, evidencing a strong interaction
between molecules. Deuterium behaved similarly. Above 220 GPa, hydrogen became
opaque and electrically conductive. At 260-270 GPa, hydrogen transformed into a
metal as the conductance of hydrogen sharply increased and changed little on
further pressurizing up to 300 GPa or cooling to at least 30 K; and the sample
reflected light well. The metallic phase transformed back at 295 K into molecular
hydrogen at 200 GPa. This significant hysteresis indicates that the
transformation of molecular hydrogen into a metal is accompanied by a first-order
structural transition presumably into a monatomic liquid state. Our findings open
an avenue for detailed and comprehensive studies of metallic hydrogen.
PMID- 22081084
TI - Electric-field-assisted switching in magnetic tunnel junctions.
AB - The advent of spin transfer torque effect accommodates site-specific switching of
magnetic nanostructures by current alone without magnetic field. However, the
critical current density required for usual spin torque switching remains
stubbornly high around 10(6)-10(7) A cm(-2). It would be fundamentally
transformative if an electric field through a voltage could assist or accomplish
the switching of ferromagnets. Here we report electric-field-assisted reversible
switching in CoFeB/MgO/CoFeB magnetic tunnel junctions with interfacial
perpendicular magnetic anisotropy, where the coercivity, the magnetic
configuration and the tunnelling magnetoresistance can be manipulated by voltage
pulses associated with much smaller current densities. These results represent a
crucial step towards ultralow energy switching in magnetic tunnel junctions, and
open a new avenue for exploring other voltage-controlled spintronic devices.
PMID- 22081085
TI - All-silica nanofluidic devices for DNA-analysis fabricated by imprint of sol-gel
silica with silicon stamp.
AB - We present a simple and cheap method for fabrication of silica nanofluidic
devices for single-molecule studies. By imprinting sol-gel materials with a multi
level stamp comprising micro- and nanofeatures, channels of different depth are
produced in a single process step. Calcination of the imprinted hybrid sol-gel
material produces purely inorganic silica, which has very low autofluorescence
and can be fusion bonded to a glass lid. Compared to top-down processing of fused
silica or silicon substrates, imprint of sol-gel silica enables fabrication of
high-quality nanofluidic devices without expensive high-vacuum lithography and
etching techniques. The applicability of the fabricated device for single
molecule studies is demonstrated by measuring the extension of DNA molecules of
different lengths confined in the nanochannels.
PMID- 22081086
TI - Injection port silylation of gamma-hydroxybutyrate and trans-hydroxycrotonic
acid: conditions optimisation and characterisation of the di-tert
butyldimethylsilyl derivatives by GC-MS.
AB - Silylation is usually carried out on gamma-hydroxybutyrate (GHB) for its analysis
by Gas Chromatography/Mass Spectrometry (GCMS) and requires potentially long
incubation times before injection during which the derivatisation reagent and
derivatives (such as trimethyl-silyl compounds) can hydrolyse. Moreover,
alternative internal standards (IS) are often useful depending on sample
matrices, extraction/purification procedures, commercial availability and price.
This study evaluated the possibility of silylating GHB with an injection port
derivatisation procedure using N-methyl-N-[tert-butyldimethyl
silyl]trifluoroacetimide (MTBSTFA) with 1% tert-butyldimethylchlorosilane (TBCS)
as the derivatisation reagent, producing di-tert-butyldimethyl-silyl derivatives
as a novel means of analyzing GHB. In parallel, trans-hydroxycrotonic acid (t
HCA) was investigated as a potential IS for GHB quantification. Analyses were
carried out with a temperature programmable injector and the GHB(t-BDMS)(2) and t
HCA(t-BDMS)(2) derivatives were successfully produced, characterised and
derivatisation conditions optimised. t-HCA behaved very similarly to GHB through
the derivatisation processes and was used as the IS for the determination of
urinary endogenous GHB concentrations in human subjects where the method showed a
limit of detection of 0.049 MUg mL(-1), a limit of quantification of 0.162 MUg
mL(-1), and a limit of confirmation of 1.33 MUg mL(-1), suitable for
toxicological GHB concentration determination.
PMID- 22081087
TI - Wavelike deformation traveling on a carbon nanotube.
AB - The propagation of wavelike ripples on a carbon nanotube (CNT) induced by the
radial impact of a C(60) molecule is investigated by molecular dynamics
simulations. The ripples start at the impact point and spreads through the tube,
accompanied by energy transfer. The ripples would effectively reduce the local
energy concentration around the impact point. The propagation of ripples is
clearly affected by the diameter but is independent of the chirality of the CNT.
Noticeable diffraction occurs when the deformation ripples encounter obstacles or
narrow slits, which indicates that the propagation of ripples can be used to
detect defects in the CNT. This work provides new and exciting possibilities for
CNTs to serve as energy buffers, sensors and new nanoelectromechanical devices.
PMID- 22081088
TI - Stepping down asthma treatment: how and when.
AB - PURPOSE OF REVIEW: Guidelines suggest that asthma medication should be reduced
once asthma control is sustained. Moderate-dose inhaled corticosteroids (ICS) can
typically be reduced, but questions remain about the lowest effective ICS dose
and the role of non-ICS controllers in treatment reduction. Long-acting beta
agonist (LABA) safety concerns have created controversy about how to step down
patients on ICS/LABA therapy. This review will focus on the current status of
these issues. RECENT FINDINGS: Intermittent ICS treatment, often in fixed
combination with short-acting beta agonist, is an emerging strategy for control
of mild asthma. Addition of leukotriene modifiers, LABAs, and omalizumab to ICS
can allow for reduced ICS dosing. Doses of ICS that control symptoms may be
inadequate to control exacerbations. Reducing ICS dose before discontinuing LABAs
may be the more effective approach for patients on combination therapy. SUMMARY:
Use of non-ICS controllers allows for ICS dose reduction with superior outcomes.
Tapering of ICS prior to LABA discontinuation may be the favored approach for
patients on ICS/LABA therapy, but an understanding of long-term outcomes and
further safety data are required. The lowest ICS dose that adequately controls
both asthma impairment and risk remains to be determined.
PMID- 22081089
TI - Measures of asthma control.
AB - PURPOSE OF REVIEW: Over the past decade, the concept of asthma control as
distinct from asthma severity has been clearly defined. Well controlled asthma is
the goal of therapy in all asthma patients. This review is a comprehensive
description of the tools currently available for a methodical assessment of
different aspects of asthma control in clinical practice and research. RECENT
FINDINGS: Several questionnaires for assessing asthma control have been
extensively validated in adults. In children, validation data are less extensive.
Considerable overlap exists between asthma control measures and measures of
asthma-specific quality of life. Asthma-specific quality-of-life questionnaires
have been used as primary outcome measures in major clinical trials evaluating
asthma therapy. Biomarkers that reflect eosinophilic inflammation of the airways
are used as intermediate outcome measures to reflect the biological basis of
asthma control. There is some controversy, however, over which biomarkers are
best incorporated into therapeutic algorithms that attempt to achieve maximal
asthma control while minimizing treatment intensity. SUMMARY: In designing
clinical studies to evaluate different asthma therapies, researchers will find
this review to be a useful resource in terms of choosing the appropriate tool for
assessing asthma control. This is also a valuable resource for a methodical
assessment of response to asthma therapy in routine clinical care.
PMID- 22081090
TI - The indoor air and asthma: the role of cat allergens.
AB - PURPOSE OF REVIEW: The objective is to discuss recent progress in our
understanding of the role of the indoor environment in asthma, focusing on the
special role of cat allergens. RECENT FINDINGS: Sensitization to Fel d 1 is the
dominant event in inhalant responses to cat; however, there are also IgE
responses to the lipocalin (Fel d 4), to cat albumin (Fel d 2), and to the
oligosaccharide galactose-alpha-1,3-galactose (alpha-gal) on cat IgA (Fel d 5w)
and other molecules. The dose response and routes of sensitization for these
allergens are now thought to be diverse. It is important to remember that
exposure outside a house with a cat is sufficient to cause sensitization.
Furthermore, the only solid evidence about a role in asthma relates to Fel d 1.
Recently, it has been shown that tolerance associated with early exposure to cats
can persist to age 18 and that IgE to alpha-gal (on cat IgA) is not related to
asthma. In addition, a recent study of anti-IgE reinforces the evidence that IgE
antibodies to indoor allergens make a major contribution to asthma severity.
SUMMARY: Exposure to Fel d 1 in a home with a cat is far higher than the levels
necessary to induce an allergic (IgE antibody) response. In keeping with that,
children may develop tolerance, which can be long-lived. In addition, there is
increasing evidence that IgE antibodies to an inhalant allergen, such as Fel d 1,
dust mite, or cockroach, are causally related to lung inflammation and asthma.
PMID- 22081091
TI - Predicting asthma exacerbations in children.
AB - PURPOSE OF REVIEW: This review critically assesses recently published literature
on predicting asthma exacerbations in children, while also providing general
recommendations for future research in this field. RECENT FINDINGS: Current
evidence suggests that every effort should be made to provide optimal treatment
to achieve adequate asthma control, as this will significantly reduce the risk of
severe disease exacerbations. Children who have had at least one asthma
exacerbation in the previous year are at highest risk for subsequent
exacerbations, regardless of disease severity and/or control. Although several
tools and biomarkers to predict asthma exacerbations have been recently
developed, these approaches need further validation and/or have only had partial
success in identifying children at risk. SUMMARY: Although considerable progress
has been made, much remains to be done. Future studies should clearly
differentiate severe asthma exacerbations due to inadequate asthma control from
those occurring in children whose asthma is well controlled, utilize standardized
definitions of asthma exacerbations, and use a systematic approach to identify
the best predictors after accounting for the multiple dimensions of the problem.
Our ability to correctly predict the development of severe asthma exacerbations
in an individual child should improve in parallel with increased knowledge and/or
understanding of the complex interactions among genetic, environmental (e.g.
viral infections) and lifestyle (e.g. adherence to treatment) factors underlying
these events.
PMID- 22081092
TI - Fibroblast polarization is a matrix-rigidity-dependent process controlled by
focal adhesion mechanosensing.
AB - Cell elongation and polarization are basic morphogenetic responses to
extracellular matrix adhesion. We demonstrate here that human cultured
fibroblasts readily polarize when plated on rigid, but not on compliant,
substrates. On rigid surfaces, large and uniformly oriented focal adhesions are
formed, whereas cells plated on compliant substrates form numerous small and
radially oriented adhesions. Live-cell monitoring showed that focal adhesion
alignment precedes the overall elongation of the cell, indicating that focal
adhesion orientation may direct cell polarization. siRNA-mediated knockdown of 85
human protein tyrosine kinases (PTKs) induced distinct alterations in the cell
polarization response, as well as diverse changes in cell traction force
generation and focal adhesion formation. Remarkably, changes in rigidity
dependent traction force development, or focal adhesion mechanosensing, were
consistently accompanied by abnormalities in the cell polarization response. We
propose that the different stages of cell polarization are regulated by multiple,
PTK-dependent molecular checkpoints that jointly control cell contractility and
focal-adhesion-mediated mechanosensing.
PMID- 22081093
TI - A new cap for kinetochore fibre minus ends.
AB - In mitotic spindles, each sister chromatid is directly attached to a spindle pole
through microtubule bundles known as kinetochore fibres. Microspherule protein 1
(MCRS1) is now shown to support spindle assembly by localizing to the minus ends
of kinetochore fibres and protecting them from depolymerization.
PMID- 22081094
TI - K-fibre minus ends are stabilized by a RanGTP-dependent mechanism essential for
functional spindle assembly.
AB - Chromosome segregation requires the formation of K-fibres, microtubule bundles
that attach sister kinetochores to spindle poles. Most K-fibre microtubules
originate around the chromosomes through a non-centrosomal RanGTP-dependent
pathway and become oriented with the plus ends attached to the kinetochore and
the minus ends focused at the spindle poles. The capture and stabilization of
microtubule plus ends at the kinetochore has been extensively studied but very
little is known on how their minus-end dynamics are controlled. Here we show that
MCRS1 is a RanGTP-regulated factor essential for non-centrosomal microtubule
assembly. MCRS1 localizes to the minus ends of chromosomal microtubules and K
fibres, where it protects them from depolymerization. Our data reveal the
existence of a mechanism that stabilizes the minus ends of chromosomal
microtubules and K-fibres, and is essential for the assembly of a functional
bipolar spindle.
PMID- 22081095
TI - Transarterial endovascular treatment in the management of life-threatening intra-
and postoperative haemorrhages after otorhinolaryngological surgery.
AB - Management of life-threatening postsurgical bleeding is complex. If conservative
or surgical therapy is demanding, an endovascular treatment can be considered.
The goal of this study was to evaluate the outcome of endovascular approaches in
the diagnosis and therapy of otherwise intractable postoperative haemorrhages
with a study design of outcomes research. Charts of all patients with
postsurgical bleedings receiving endovascular treatment were reviewed for
clinical outcome, complications, and demographic data. 15 patients were
identified. They had rhinosurgery (12/15), tonsillectomy (2/15) or transoral
tumour debulking (1/15) prior to the endovascular procedure. In more than 70%,
the source of bleeding was directly located angiographically and subsequently
superselectively embolized. The remaining patients suffered from post
rhinosurgical epistaxis and underwent a bilateral embolization of the
sphenopalatine artery. All bleedings were successfully controlled and no
procedure-related complication was noted. In conclusion, endovascular treatment
of life-threatening postsurgical haemorrhages should be considered if the source
of bleeding is unknown or if surgery is difficult and may result in devastating
postoperative complications.
PMID- 22081096
TI - Tropomyosin sensitization in house dust mite allergic patients.
AB - The growing popularity and frequency of consumption of seafood is accompanied by
an increasing number of adverse reactions reported in literature. Allergic
reactions to seafood can generate a variety of symptoms ranging from a mild oral
allergy syndrome to keen anaphylactic reactions. Tropomyosin, the major shellfish
allergen is regarded to be responsible for clinical cross-reactivity to inhaled
house dust mites. The aim of the study was to investigate the prevalence of
sensitization to tropomyosin in house dust mite allergic patients in southern
Bavaria and to compare the results with allergic symptoms. Sera of house dust
mite allergic patients (positive skin prick test, allergen-specific IgE and
intranasal provocation) were screened for IgE antibodies to tropomyosin (Der p
10). Patients were contacted by phone to evaluate allergic symptoms when
consuming seafood. IgE antibodies to house dust mite tropomyosin (Der p 10) could
be found in 4 out of 93 sera (4.3%). Two of these four patients (50%) showed
itching and swelling of oral mucosa accompanied by bronchial obstruction after
consumption of shrimp. Two patients had no problems when eating seafood. None of
the seronegative patients complained about any health problems during or after
consumption of seafood. In conclusion, cross-reactivity to tropomyosin in house
dust mite allergic patients in southern Bavaria, Germany is rarer than suspected.
Beside the direct allergic reactions, a further part of reactions to seafood must
therefore be ascribed to other mechanisms such as intoxication or intolerance to,
e.g. additives in the food product.
PMID- 22081097
TI - Ear melanoma: influence of perichondrium involvement in evaluating surgical
strategy.
AB - Adequate treatment of melanomas of the external ear offers unique surgical
challenges because of the complex anatomical features of the auricle and the need
for proper oncologic aggressiveness, as well as for valid aesthetic results. In
this study, we evaluated nine different cases of melanoma of the auricle treated
in our Institute between 1994 and 2008. Every enrolled patient underwent surgical
excision, nonetheless reconstruction was performed with different surgical
techniques. In accordance with histological reports, we could observe the absence
of neoplastic cells in perichondral bone and in cartilagenous tissue, providing
further assurance concerning the importance of preserving the outer ear in
expectation of reconstruction. As a matter of fact, it has been proven that it is
possible to reconstruct the auricle while guaranteeing oncologic safety in
melanomas with a Breslow thickness >1 mm. Therefore, an excision preserving the
cartilagenous tissue, thus allowing an efficient full-thickness cutaneous
grafting may guarantee good functional and aesthetic results. In conclusion, the
suggested therapeutic management may be considered in <1 mm melanomas without
taking further unnecessary oncologic risks.
PMID- 22081098
TI - Biomarkers predicting malignant progression of laryngeal epithelial precursor
lesions: a systematic review.
AB - Some laryngeal epithelial precursor lesions progress to invasive carcinoma and
others do not. Routine light microscopic classification has limited value in
predicting the evolution of these lesions. This article reviews the experience to
date with the use of molecular markers for the prognostic evaluation of laryngeal
epithelial precursor lesions. We conducted a thorough review of the published
literature to identify those studies using biomarkers to predict malignant
progression of laryngeal epithelial precursor lesions. Of the 336 studies
identified in this systematic search, 15 met the inclusion criteria and form the
basis of this review. Limited studies suggest that certain biomarkers are
potentially reliable predictors of malignant progression including various
regulators of cell adhesion and invasion (e.g. FAK, cortactin, osteopontin, and
CD44v6) and proliferation-associated markers such as TGF-betaRII and Kv3.4. The
predictive value of these markers, however, has yet to be confirmed in large
scale prospective studies. Although the cell cycle-related proteins are the most
frequently studied markers, none have been consistently reliable across multiple
studies. The absence of standardization in methodologies, test interpretation,
and other parameters may contribute to study inconsistencies. Various biomarkers
have proved to have potential prognostic value and could be clinically relevant.
The utility and prognostic power of these biomarkers should be confirmed in
large, well-designed, standardized prospective studies.
PMID- 22081099
TI - Observational clinical study in juvenile-adult glycogenosis type 2 patients
undergoing enzyme replacement therapy for up to 4 years.
AB - The objective of this study was to describe a large Italian cohort of patients
with late-onset glycogen storage disease type 2 (GSDII) at various stages of
disease progression and to evaluate the clinical effectiveness of alglucosidase
alpha enzyme replacement therapy (ERT). Previous studies showed in late-onset
patients ERT efficacy against placebo and variable response in uncontrolled
studies. Seventy-four juvenile or adult GSDII patients were treated with ERT in a
multicenter open label, non-randomized study, from 12 months up to 54 months.
Recombinant human alpha glucosidase (rh-GAA) was injected by intravenous route at
20 mg/kg every second week. Patients were divided into three groups according to
ERT duration: Group A received treatment for 12-23 months (n = 16), Group B for
24-35 months (n = 14), and Group C for more than 36 months (n = 44). Clinical
assessment included a 6-min walk test (6MWT), forced vital capacity (FVC), the
Walton and Gardner-Medwin score, the number of hours of ventilation, body mass
index, echocardiography and blood creatine kinase (CK). Included in our cohort
were 33 males and 41 females (M:F = 0.8:1), with a mean age at first symptoms of
28.3 years (range 2-55 years) and a mean age of 43 years at study entry (range 7
72 years). Seven wheelchair bound patients, as well as 27 patients requiring
ventilation support, were included. After treatment we could observe an increase
in distance walked on the 6MWT in the large majority of patients (48/58; 83%),
with an overall mean increase of 63 m (from 320 +/- 161 to 383 +/- 178 m). After
treatment in the majority of patients FVC was improved or unchanged (45/69; 65%).
In ventilated patients we observed an improvement in average number of hours off
the ventilator (from 15.6 to 12.1 h). Six patients stopped mechanical ventilation
and two others started it. The effect of therapy was not related to ERT duration.
Nine of 64 patients (13%) that underwent to echocardiography showed a variable
degree of cardiac hypertrophy (left ventriculum or septum), and a positive effect
was observed after 36 months of ERT in one adult case. Discontinuation of
treatment occurred in four patients: one drop-off case, one patient died for a
sepsis after 34 months of treatment and two patients stopped ERT for worsening of
general clinical condition. Mild adverse effects were observed in four cases
(5%). This study represents the largest cohort of late-onset GSDII patients
treated with ERT, and confirm a positive effect of treatment. These results,
obtained in a large case series on therapy, indicate a favourable effect of ERT
therapy, even in more advanced stage of the disease.
PMID- 22081100
TI - Functional neuroanatomy underlying the clinical subcategorization of minimally
conscious state patients.
AB - Patients in a minimally conscious state (MCS) show restricted signs of awareness
but are unable to communicate. We assessed cerebral glucose metabolism in MCS
patients and tested the hypothesis that this entity can be subcategorized into
MCS- (i.e., patients only showing nonreflex behavior such as visual pursuit,
localization of noxious stimulation and/or contingent behavior) and MCS+ (i.e.,
patients showing command following).Patterns of cerebral glucose metabolism were
studied using [(18)F]-fluorodeoxyglucose-PET in 39 healthy volunteers (aged 46 +/
18 years) and 27 MCS patients of whom 13 were MCS- (aged 49 +/- 19 years; 4
traumatic; 21 +/- 23 months post injury) and 14 MCS+ (aged 43 +/- 19 years; 5
traumatic; 19 +/- 26 months post injury). Results were thresholded for
significance at false discovery rate corrected p < 0.05.We observed a metabolic
impairment in a bilateral subcortical (thalamus and caudate) and cortical (fronto
temporo-parietal) network in nontraumatic and traumatic MCS patients. Compared to
MCS-, patients in MCS+ showed higher cerebral metabolism in left-sided cortical
areas encompassing the language network, premotor, presupplementary motor, and
sensorimotor cortices. A functional connectivity study showed that Broca's region
was disconnected from the rest of the language network, mesiofrontal and
cerebellar areas in MCS- as compared to MCS+ patients.The proposed
subcategorization of MCS based on the presence or absence of command following
showed a different functional neuroanatomy. MCS- is characterized by preserved
right hemispheric cortical metabolism interpreted as evidence of residual sensory
consciousness. MCS+ patients showed preserved metabolism and functional
connectivity in language networks arguably reflecting some additional higher
order or extended consciousness albeit devoid of clinical verbal or nonverbal
expression.
PMID- 22081101
TI - Neurolathyrism: two Ethiopian case reports and review of the literature.
AB - Neurolathyrism is a toxic myelopathy caused by ingestion of the Lathyrus sativus
grasspea. An irreversible acute to subacute spastic paraparesis or quadriparesis
ensues. Despite public education, new cases of this preventable disease still
occur. Two Ethiopian cases of neurolathyrism are reported to illustrate the
disease, followed by a literature review. Two teenage male farmers from the same
village developed irreversible spastic myelopathy following L. sativus ingestion.
There was no sensory, sphincter or bulbar dysfunction. Likely causative factors
identified were increased consumption of L. sativus prior to and following
disease onset, heavy physical exertion and male gender, similar to those reported
in the literature. Neurolathyrism is an entirely preventable neurotoxic
myelopathy with permanent disability accrued. Treatment is symptomatic. Because
of personal disability and subsequent socioeconomic effects, this disease
warrants further public health measures to prevent occurrence. Education,
avoidance of the grasspea and measures to reduce toxin burden are possible
methods.
PMID- 22081102
TI - Striatal hypermetabolism in limbic encephalitis.
AB - Striatal hypermetabolism on 18FDG-PET scan is a neuroradiological finding that
has been described in association with autoimmune disorders such as Sydenham
chorea, lupus or antiphospholipid syndrome. Here, we report three patients with
non-paraneoplastic limbic encephalitis characterized by 18FDG-PET hypermetabolism
of both striata, in contrast with diffuse hypometabolism in the rest of the
brain. All patients developed subacute dementia, and antibodies to voltage-gated
potassium channels were found in all cases. Brain metabolism and neurological
status improved within a few months of immunosuppressive therapy. The finding of
striatal hypermetabolism on 18FDG-PET images could thus be highly indicative of
limbic encephalitis associated with anti-neuropil antibodies. It could be of
significant help in the diagnosis of this rare and treatable condition, and may
also provide a useful marker of disease outcome.
PMID- 22081103
TI - Focal pseudohypertrophy of the left hemi-tongue.
PMID- 22081104
TI - Intratumoural lymph vessel density is related to presence of lymph node
metastases and separates encapsulated from infiltrative papillary thyroid
carcinoma.
AB - Papillary thyroid carcinoma (PTC) gives frequently rise to nodal metastases via
lymphatic vessels while follicular thyroid carcinoma (FTC) metastasises mainly
via blood vessels to lung and bones. The follicular variant of PTC (FVPTC)
encompasses the infiltrative subtype (I-FVPTC), which shares most of the features
of classic PTC (CPTC), and the encapsulated subtype (E-FVPTC), which appears to
be related to minimally invasive FTC. In an attempt to contribute to the
understanding of the aforementioned differences, we evaluated intratumoural and
peritumoural lymph vessels density (LVD), using the immunomarker D2-40 in a
series of E-FVPTC, I-FVPTC, and CPTC with known BRAF and RAS status. None of the
E-FVPTC cases presented extra-thyroid extension, lymph vessel invasion or nodal
metastases, at variance with I-FVPTC and CPTC cases. The BRAF V600E mutation was
detected in 8.3% of E-FVPTC, 25.0% of I-FVPTC and in 40.7% of CPTC, while N-RAS
Q61R mutation was detected only in 10.3% of FVPTC cases. Only one case of E-FVPTC
(8.3%) had intratumoural D2-40-stained vessels in contrast to their presence in
76.5% of the cases of I-FVPTC. Intratumoural LVD determined by D2-40 expression
correlated with the occurrence of extra-thyroid extension, lymph vessel invasion
and lymph node metastases in PTC cases. At variance with intratumoural LVD,
peritumoural LVD was not associated with any clinic-pathological or molecular
feature, being similar in E-FVPTC, I-FVPTC and CPTC. Our study highlights the
role of intratumoural lymph vessels in PTC nodal metastisation and reinforces the
importance of distinguishing E-FVPTC from I-FVPTC regarding invasiveness,
metastatic pattern and molecular profile.
PMID- 22081105
TI - T cell/histiocyte-rich large B-cell lymphoma: an update on its biology and
classification.
AB - T cell/histiocyte-rich large B-cell lymphoma (THRLBCL), originally considered an
uncommon variant of Diffuse Large B-Cell Lymphoma (DLBCL), is recognized by the
World Health Organisation as a separate clinicopathological entity since 2008. It
predominantly affects middle aged men often presenting with advanced stage
disease frequently involving spleen, liver and bone marrow at time of diagnosis.
According to the WHO, this lymphoma is morphologically characterized by less than
10% of large neoplastic B cells in a background of abundant T cells and
frequently histiocytes. Differentiating THRLBCL from other lymphoproliferative
disorders such as Nodular Lymphocyte Predominant Hodgkin Lymphoma (NLPHL) and
Lymphocyte-Rich classical Hodgkin lymphoma (LRcHL) is important from a clinical
point of view and can be achieved in most cases, given adequate biopsy specimens,
by careful morphological and immunohistochemical evaluation of both the
neoplastic cells as well as the nonneoplastic stromal component. According to
this WHO definition, THRLBCL is still considered a clinically heterogeneous
entity, though it is noted that especially the cases containing numerous
histiocytes behave aggressively and show resistance to current therapies for
DLBCL. Gene expression profiling studies of THRLBCL provided evidence for a
prominent role for this histiocytic component that is important for a tolerogenic
host immune response in which they may assist neoplastic cells in escaping the T
cell-mediated immune surveillance. Therefore, reserving the diagnosis of THRLBCL
to cases containing a large proportion of histiocytes might be relevant, as
modulating their activity could provide new therapeutic options.
PMID- 22081106
TI - Cyclooxygenase-2 expression in esophageal epithelium before and after
photodynamic therapy for Barrett's esophagus with high-grade dysplasia or
intramucosal carcinoma.
AB - Cyclooxygenase-2 expression is upregulated in Barrett's esophagus and esophageal
adenocarcinoma. Photodynamic therapy using porfimer sodium can result in ablation
of dysplasia and intramucosal carcinoma, eradication of Barrett's esophagus, and
restitution of squamous epithelium. The aim of this study was to determine the
effect of photodynamic therapy on cyclooxygenase-2 expression in esophageal
epithelium. Paired pre- and post-photodynamic therapy biopsy samples from the
same anatomical levels of 20 individuals who had undergone photodynamic therapy
for Barrett's esophagus with high-grade dysplasia and/or intramucosal carcinoma
were immunostained using a cyclooxygenase-2 monoclonal antibody. Cyclooxygenase-2
expression was graded in squamous epithelium, Barrett's esophagus, and neoplasia
(if present) as follows: grade 0 (no staining), grade 1 (staining in 1-10% of
cells), grade 2 (staining in 11-90% of cells), and grade 3 (staining in >90% of
cells). Pre-photodynamic therapy median cyclooxygenase-2 expression was grade 2
(range 1-3) in neoplastic foci and grade 1 (range 1-3) in nondysplastic Barrett's
esophagus (P=0.0009 for pairwise comparison). With the exception of a few cells
staining in the basal epithelial layers, median cyclooxygenase-2 expression was
graded as 0 (similar to controls) in both pre-photodynamic therapy squamous
epithelium and post-photodynamic therapy neosquamous epithelium. This was
significantly lower when compared to either neoplastic foci (P<0.0001) or
nondysplastic Barrett's esophagus (P<0.0001) pre-photodynamic therapy. Notably,
in four patients with post-photodynamic therapy recurrent neoplasia,
cyclooxygenase-2 expression returned to elevated levels. Cyclooxygenase-2
expression is elevated in Barrett's esophagus with high-grade dysplasia or
intramucosal carcinoma prior to photodynamic therapy. Following successful
photodynamic therapy, cyclooxygenase-2 expression in neosquamous epithelium
returns to a low baseline level similar to that observed in native esophageal
squamous epithelium. Post-photodynamic therapy neoplastic recurrence is
associated with elevated cyclooxygenase-2 expression. Prospective studies should
determine whether cyclooxygenase inhibitors have a role as adjuvant therapy to
prevent recurrence of Barrett's esophagus following endoscopic therapy.
PMID- 22081108
TI - Functional and structural studies of the nucleotide excision repair helicase XPD
suggest a polarity for DNA translocation.
AB - The XPD protein is a vital subunit of the general transcription factor TFIIH
which is not only involved in transcription but is also an essential component of
the eukaryotic nucleotide excision DNA repair (NER) pathway. XPD is a superfamily
2 5'-3' helicase containing an iron-sulphur cluster. Its helicase activity is
indispensable for NER and it plays a role in the damage verification process.
Here, we report the first structure of XPD from Thermoplasma acidophilum (taXPD)
in complex with a short DNA fragment, thus revealing the polarity of the
translocated strand and providing insights into how the enzyme achieves its 5'-3'
directionality. Accompanied by a detailed mutational and biochemical analysis of
taXPD, we define the path of the translocated DNA strand through the protein and
identify amino acids that are critical for protein function.
PMID- 22081107
TI - Limiting replication initiation factors execute the temporal programme of origin
firing in budding yeast.
AB - Eukaryotic chromosomes are replicated from multiple origins that initiate
throughout the S-phase of the cell cycle. Why all origins do not fire
simultaneously at the beginning of S-phase is not known, but two kinase
activities, cyclin-dependent kinase (CDK) and Dbf4-dependent kinase (DDK), are
continually required throughout the S-phase for all replication initiation
events. Here, we show that the two CDK substrates Sld3 and Sld2 and their binding
partner Dpb11, together with the DDK subunit Dbf4 are in low abundance in the
budding yeast, Saccharomyces cerevisiae. Over-expression of these factors is
sufficient to allow late firing origins of replication to initiate early and
together with deletion of the histone deacetylase RPD3, promotes the firing of
heterochromatic, dormant origins. We demonstrate that the normal programme of
origin firing prevents inappropriate checkpoint activation and controls S-phase
length in budding yeast. These results explain how the competition for limiting
DDK kinase and CDK targets at origins regulates replication initiation kinetics
during S-phase and establishes a unique system with which to investigate the
biological roles of the temporal programme of origin firing.
PMID- 22081109
TI - Inhibition of autophagy by TAB2 and TAB3.
AB - Autophagic responses are coupled to the activation of the inhibitor of NF-kappaB
kinase (IKK). Here, we report that the essential autophagy mediator Beclin 1 and
TGFbeta-activated kinase 1 (TAK1)-binding proteins 2 and 3 (TAB2 and TAB3), two
upstream activators of the TAK1-IKK signalling axis, constitutively interact with
each other via their coiled-coil domains (CCDs). Upon autophagy induction, TAB2
and TAB3 dissociate from Beclin 1 and bind TAK1. Moreover, overexpression of TAB2
and TAB3 suppresses, while their depletion triggers, autophagy. The expression of
the C-terminal domain of TAB2 or TAB3 or that of the CCD of Beclin 1
competitively disrupts the interaction between endogenous Beclin 1, TAB2 and
TAB3, hence stimulating autophagy through a pathway that requires endogenous
Beclin 1, TAK1 and IKK to be optimally efficient. These results point to the
existence of an autophagy-stimulatory 'switch' whereby TAB2 and TAB3 abandon
inhibitory interactions with Beclin 1 to engage in a stimulatory liaison with
TAK1.
PMID- 22081110
TI - Regulation of translocation polarity by helicase domain 1 in SF2B helicases.
AB - Structurally similar superfamily I (SF1) and II (SF2) helicases translocate on
single-stranded DNA (ssDNA) with defined polarity either in the 5'-3' or in the
3'-5' direction. Both 5'-3' and 3'-5' translocating helicases contain the same
motor core comprising two RecA-like folds. SF1 helicases of opposite polarity
bind ssDNA with the same orientation, and translocate in opposite directions by
employing a reverse sequence of the conformational changes within the motor
domains. Here, using proteolytic DNA and mutational analysis, we have determined
that SF2B helicases bind ssDNA with the same orientation as their 3'-5'
counterparts. Further, 5'-3' translocation polarity requires conserved residues
in HD1 and the FeS cluster containing domain. Finally, we propose the FeS cluster
containing domain also provides a wedge-like feature that is the point of duplex
separation during unwinding.
PMID- 22081111
TI - Synthetic biology approach to reconstituting the ubiquitylation cascade in
bacteria.
AB - Covalent modification of proteins with ubiquitin (Ub) is widely implicated in the
control of protein function and fate. Over 100 deubiquitylating enzymes rapidly
reverse this modification, posing challenges to the biochemical and biophysical
characterization of ubiquitylated proteins. We circumvented this limitation with
a synthetic biology approach of reconstructing the entire eukaryotic Ub cascade
in bacteria. Co-expression of affinity-tagged substrates and Ub with E1, E2 and
E3 enzymes allows efficient purification of ubiquitylated proteins in milligram
quantity. Contrary to in-vitro assays that lead to spurious modification of
several lysine residues of Rpn10 (regulatory proteasomal non-ATPase subunit), the
reconstituted system faithfully recapitulates its monoubiquitylation on lysine 84
that is observed in vivo. Mass spectrometry revealed the ubiquitylation sites on
the Mind bomb E3 ligase and the Ub receptors Rpn10 and Vps9. Forster resonance
energy transfer (FRET) analyses of ubiquitylated Vps9 purified from bacteria
revealed that although ubiquitylation occurs on the Vps9-GEF domain, it does not
affect the guanine nucleotide exchanging factor (GEF) activity in vitro. Finally,
we demonstrated that ubiquitylated Vps9 assumes a closed structure, which blocks
additional Ub binding. Characterization of several ubiquitylated proteins
demonstrated the integrity, specificity and fidelity of the system, and revealed
new biological findings.
PMID- 22081112
TI - Nausea and vomiting after breast cancer surgery, and relationship with tumor
receptor status.
AB - BACKGROUND: Breast surgery is associated with frequent post-operative nausea and
vomiting (PONV). Studies have suggested that hormonal status affects PONV.
Estrogen has been implicated in many emetic syndromes. Estrogen receptor (ER) and
progesterone receptor (PR) status in breast tissue are hormonally affected.
Kakugawa et al., in 2007, found a clear trend toward higher serum level of
estrone, estradiol, and dehydroepiandrosterone sulfate in post menopausal women
with PR-positive cancer. PURPOSE: To investigate the possibility of an
association between ER and/or PR status of breast tumor and incidence of PONV
after breast cancer surgery. METHODS: This observational study included 315
female patients undergoing major breast surgery. Relevant patient data, and intra
operative and postoperative details were noted. Incidence of PONV was noted using
the PONV score. Patients were divided into two age groups: less than or equal to
50 years and more than 50 years of age. The ER and/or PR status of the patient
was unknown to the investigator until the final analysis. RESULTS: Use of the chi
squared test revealed no association between ER and/or PR and total PONV.
Patients below 50 years had higher incidence of total PONV (p = 0.023). In
patients above 50 years, the incidence of PONV was higher in the ER-positive
group (p = 0.018). CONCLUSION: The incidence of PONV is higher for patients below
50 years of age. The positive association between ER positivity and PONV in
patients above 50 years of age could be attributed to the altered hormonal milieu
in these patients and should be investigated further.
PMID- 22081113
TI - Epidrum((r)): a new device to identify the epidural space with an epidural Tuohy
needle.
AB - Epidrum((r)) is an optimal pressure, loss of resistance device for identifying
the epidural space. We investigated the usefulness of Epidrum versus the loss of
resistance or hanging drop techniques while performing epidural anesthesia.
Eighty adult patients who were scheduled for elective surgery under lumbar
epidural anesthesia were randomized into two groups. The first group (Epidrum
group) consisted of 40 adult patients who were scheduled for epidural anesthesia
using Epidrum. The second group (control group) consisted of 40 adult patients
who were scheduled for epidural anesthesia using the loss of resistance or
hanging drop technique. We recorded the time required to identify the epidural
space and outcomes of epidural catheterization. The attending anesthesiologists
were also questioned regarding the ease of control of the Tuohy needle and of
epidural space identification with each method. The time required to perform
epidural anesthesia was significantly shorter in the Epidrum group than in the
control group [28 s (10-76) vs. 90 s (34-185); median (interquartile range)] (p <
0.05). Tuohy needle control was significantly easier in the Epidrum group than in
the control group (p < 0.05). Epidrum is useful for performing epidural
anesthesia quickly while obtaining good Tuohy needle control.
PMID- 22081114
TI - Utility of longitudinal paramedian view of ultrasound imaging for middle thoracic
epidural anesthesia in children.
AB - PURPOSE: The risk of nerve injury for pediatric thoracic epidural block increases
stress for anesthesiologists. The purpose of this study was to investigate the
usefulness of longitudinal ultrasound imaging for thoracic epidural block (T5-T6
or T6-T7) in anesthetized children scheduled for the Nuss procedure. METHODS:
Neuraxial structure in the longitudinal paramedian section was observed using
ultrasound imaging before epidural puncture (US group, n = 10). In the control
group, usual epidural block without ultrasound was performed. Attempts were made
to observe epidural catheterization in ultrasound imaging in three cases.
RESULTS: Patient age ranged from 5 to 7 years. Time for epidural block in the US
group [100 (77-116) s; median value (95% confidence interval)] was significantly
shorter than that in the control group [165 (130-206) s; P = 0.001]. The
difficulty score was significantly lower in the US group than in the control
group (P < 0.001). Epidural catheterization was observed in all three cases in
which the catheter manipulated the dura mater ventrally. There was a high
correlation (r = 0.98, P < 0.001) between needle depth and ultrasound estimation
of the skin-dura distance in the US group. CONCLUSION: We concluded that
longitudinal paramedian ultrasound imaging could reduce performance time and the
difficulty for anesthesiologists during epidural block.
PMID- 22081115
TI - Patients' goals related to health and function in the first 13 months after
allogeneic stem cell transplantation.
AB - PURPOSE: Patient participation in goal setting and decision making is a core
component of the rehabilitation process, but there is little information on what
patients want to achieve after allogeneic stem cell transplantation (allo-SCT).
The aim of this study was to describe adult patients' perceptions of goals
related to health and function, as well as self-perceived limitations and
facilitating strategies in the first 13 months after allo-SCT. METHODS: Fifteen
patients with a median age of 44 years (range, 22-65 years) were interviewed on
one occasion during the first year after allo-SCT. Data were analysed using
qualitative content analysis. RESULTS: Results showed that patients felt that
time after allo-SCT largely concerned: "to be healthy" and "to participate in a
normal life". Some patients felt it was easy to set goals while others found it
difficult. Most described goals had a long-term character. Patients were faced
with a wide variety of limitations of which a few did not link to a described
goal. Several facilitating strategies were described that either had or could
help patients to reach their goals. CONCLUSIONS: Our results indicate that
assistance with setting achievable goals, including individualised strategies and
support from health care professionals to realise the goals, may assist in the
rehabilitation to restore health and function after allo-SCT.
PMID- 22081116
TI - Three-dimensional C-arm computed tomography reformation combined with
fluoroscopic-guided sacroplasty for sacral metastases.
AB - The aim of this retrospective study was to evaluate a sacroplasty technique,
using three-dimensional C-arm CT reformation combined with fluoroscopic guidance
for patients with severe painful sacral metastases. We studied the data of seven
patients (average age 55.7 years) treated through trans-sacroiliac joint approach
with the technique. Patients with additional thoracolumbar osteolytic metastases
(five out of seven) also received concomitant vertebroplasty accordingly.
Subjective significant pain relief was reported with visual analogue scale
reduction >=4 in all seven patients at 1 month after procedure, six out of seven
at 3 months, and five out of six at 6 months. Pain recurrence was reported in two
patients at 3 and 6 months follow-up, respectively, associated with their
clinical evidence of tumor progression. One patient died from underlying disease
unrelated with the procedure. Sacroplasty under three-dimensional C-arm CT
reformation combined with fluoroscopic guidance was a feasible, safe, and
minimally invasive procedure that could provide both the precise control of
needle placement and cement injection with one imaging system.
PMID- 22081117
TI - Gastrointestinal symptoms after pelvic radiotherapy: a national survey of
gastroenterologists.
AB - PURPOSE: Seventeen thousand patients receive treatment with radical pelvic
radiotherapy annually in the UK. Up to 50% develop significant gastrointestinal
symptoms. The National Cancer Survivorship Initiative has identified access to
specialist medical care for those with complications after cancer as one of their
four key needs. We aimed to determine the current practice of British
gastroenterologists with regards to chronic gastrointestinal symptoms after
pelvic radiotherapy. METHODS: A questionnaire was developed and sent up to a
maximum of five times to all UK consultant gastroenterologists. RESULTS: Eight
hundred sixty-six gastroenterologists were approached and 165 (20%) responded.
Sixty-one percent saw one to four patients annually with bowel symptoms after
radiotherapy. Eighteen percent rate the current treatments as effective "often"
or "most of the time". Forty-seven percent of gastroenterologists consider
themselves "confident with basic cases", with 11% "confident in all cases". Fifty
nine percent thinks a gastroenterologist with a specialist interest should manage
these patients. Although only 29% thinks a specific service is required for these
patients, 34% rates the current service as inadequate. The ideal service was
considered to be gastroenterology-led, multidisciplinary and regional. Low
referral rates, poor evidence-base and poor funding are cited as reasons for the
current patchy services. CONCLUSIONS: The low response rate contrasts with that
from a parallel survey of clinical oncologists. This may reflect the opinion that
radiation-induced bowel toxicity is not a significant issue, which may be because
only a small proportion of patients are referred to gastroenterologists. The
development of new, evidence-based gastroenterology-led services is considered
the optimal way to meet the needs of these patients.
PMID- 22081118
TI - The effects on pain and activity of daily living caused by crusted exudation in
patients with head and neck cancer treated with cetuximab and radiotherapy.
AB - PURPOSE: To date, the specific role of "in-field" crusting exudation on pain and
on activity of daily living (ADL) in head and neck cancer (HNSCC) patients
undergoing treatment with cetuximab and radiochemotherapy has been neglected. The
purpose of the study was to evaluate the role of crusting exudation on the
severity of pain and ADL METHODS: Thirty-seven of the 45 HNSCC patients enrolled
in the alternating radiotherapy, chemotherapy, and cetuximab trial were evaluated
in this study. The main radiodermatitis signs (the intensity of erythema, the
extension of dry, and moist desquamation and of necrosis)--including crusting
exudation severity--pain, ADL, and radiodermatitis scores were registered at
least weekly during and after treatment. The correlation between crusting
exudation and pain or ADL was evaluated. RESULTS: The "in-field" crusting
exudation score seemed to have the strongest correlation with pain (Spearman's
rho = 0.897; p < 0.001) and the most intense influence on it (Co-B = 0.715; 95%
C.I. = 0.643-0.787). However, it seemed to have a weaker correlation with ADL
than the other clinical radiodermatitis signs. CONCLUSIONS: Crusts have the
strongest correlation with pain in patients with Cetuximab-related radiation
dermatitis. Moreover, the presence of crusts can lead operators to misclassify
dermatitis as score 4, causing unnecessary delays or interruptions in treatment.
PMID- 22081119
TI - Do patients' information needs decrease over the course of radiotherapy?
AB - PURPOSE: We aimed to investigate if cancer patients' information needs decrease
during radiotherapy and if so, which patient, consultation and radiation
oncologist characteristics are associated with a decrease in information needs
over time. METHODS: In this longitudinal study, patients (n = 104) completed a
baseline questionnaire a week before the initial radiotherapy consultation,
immediately following this initial consultation, and 1 week prior to the first
follow-up visit, which took place on average 3-5 weeks after the initial visit.
Besides information needs, measured by the Information Preference for
Radiotherapy Patients scale, the questionnaire assessed patient, consultation and
radiation oncologist characteristics. RESULTS: Information needs decreased over
time, but remained at a high level. Being religious, being male, having low
health literacy and higher perceived involvement during the consultation were all
statistically significantly associated to a decrease in information needs on
specific domains (e.g. procedures or side effects). CONCLUSIONS: Cancer patients'
information needs decline between the initial consultation and the first follow
up visit, but remain high. It is therefore advised to investigate the patients'
information needs at every radiotherapy visit and not rely on giving information
just once. Furthermore, radiation oncologists should check if the information
given at first consultation is understood and remembered. By those means,
tailored information giving becomes possible.
PMID- 22081120
TI - Nanosphere templated metallic grating assisted enhanced fluorescence.
AB - In this paper, enhanced fluorescence from a silver film coated nanosphere
templated grating is presented. Initially, numerical simulation was performed to
determine the plasmon resonance wavelength by varying the thickness of the silver
film on top of a monolayer of 400 nm nanospheres. The simulation results are
verified experimentally and tested for enhancing fluorescence from fluorescein
isothiocyanate whose excitation wavelength closely matches with the plasmon
resonance wavelength of the substrate with 100 nm silver film over nanosphere.
The 12 times enhancement in the intensity is attributed to the local field
enhancement in addition to the excitation of surface plasmon polaritons along the
surface.
PMID- 22081122
TI - Neuromuscular electrical stimulation versus traditional therapy in patients with
Parkinson's disease and oropharyngeal dysphagia: effects on quality of life.
AB - This study compares the effects of traditional logopedic dysphagia treatment with
those of neuromuscular electrical stimulation (NMES) as adjunct to therapy on the
quality of life in patients with Parkinson's disease and oropharyngeal dysphagia.
Eighty-eight patients were randomized over three treatment groups. Traditional
logopedic dysphagia treatment and traditional logopedic dysphagia treatment
combined with NMES at sensor or motor level stimulation were compared. At three
times (pretreatment, post-treatment, and 3 months following treatment), two
quality-of-life questionnaires (SWAL-QOL and MD Anderson Dysphagia Inventory) and
a single-item Dysphagia Severity Scale were scored. The Functional Oral Intake
Scale was used to assess the dietary intake. After therapy, all groups showed
significant improvement on the Dysphagia Severity Scale and restricted positive
effects on quality of life. Minimal group differences were found. These effects
remained unchanged 3 months following treatment. No significant correlations were
found between dietary intake and quality of life. Logopedic dysphagia treatment
results in a restricted increased quality of life in patients with Parkinson's
disease. In this randomized controlled trial, all groups showed significant
therapy effects on the Dysphagia Severity Scale and restricted improvements on
the SWAL-QOL and the MDADI. However, only slight nonsignificant differences
between groups were found.
PMID- 22081121
TI - Protective potential of MMR vaccine against complete Freund's adjuvant-induced
inflammation in rats.
AB - The aim of the present study was to investigate the effect of MMR vaccine on
inflammation which was induced by complete Freund's adjuvant (CFA) in male
Sprague-Dawley rats. Rats were randomly divided into the control, CFA, MMR and
CFA + MMR groups. Inflammatory symptoms such as paw oedema was measured in CFA
injected rats' paw. Body weight changes and alterations in some haematological
parameters and oxidative stress markers following CFA injection were checked. In
CFA-inflammed rats, there was a significant increase in rat paw thickness and
decrease in body weight increment. MMR exhibited a significant anti-inflammatory
effect as manifested by reduction in paw thickness and normal gain in body weight
when administered 1 week prior to induction of inflammation. The altered
haematological parameters (TLC) and oxidative stress markers (MDA, GSH, SOD) in
the inflammed rats were significantly brought back to near normal by MMR
treatment. In conclusion, MMR vaccine showed a reduction in rat paw thickness and
it could significantly normalize the haematological and biochemical abnormalities
in CFA-induced inflammatory pain model in rats. Our data suggested that MMR could
be a potential protective agent against certain types of inflammatory pain.
Further histopathological and radiological studies are required to confirm the
possibility of developing novel therapeutic vaccines against some forms of
arthritis.
PMID- 22081123
TI - Study of the reaction 2-(p-nitrophenyl)ethyl bromide + OH- in dimeric micellar
solutions.
AB - The dehydrobromination reaction 2-(p-nitrophenyl)ethyl bromide + OH- was
investigated in several alkanediyl-alpha-omega-bis(dodecyldimethylammonium)
bromide, 12-s-12,2Br- (with s = 2, 3, 4, 5, 6, 8, 10, 12) micellar solutions, in
the presence of NaOH 5 * 10-3 M. The kinetic data were quantitatively
rationalized within the whole surfactant concentration range by using an equation
based on the pseudophase ion-exchange model and taking the variations in the
micellar ionization degree caused by the morphological transitions into account.
The agreement between the theoretical and the experimental data was good in all
the dimeric micellar media studied, except for the 12-2-12,2Br- micellar
solutions. In this case, the strong tendency to micellar growth shown by the 12-2
12,2Br- micelles could be responsible for the lack of accordance. Results showed
that the dimeric micelles accelerate the reaction more than two orders of
magnitude as compared to water.
PMID- 22081124
TI - Sonomyographic responses during voluntary isometric ramp contraction of the human
rectus femoris muscle.
AB - This paper aims to investigate the relationship between torque and muscle
morphological change, which is derived from ultrasound image sequence and termed
as sonomyography (SMG), during isometric ramp contraction of the rectus femoris
(RF) muscle, and to further compare SMG with the electromyography (EMG) and
mechanomyography (MMG), which represent the electrical and mechanical activities
of the muscle. Nine subjects performed isometric ramp contraction of knee up to
90% of the maximal voluntary contraction (MVC) at speeds of 45, 22.5 and 15%
MVC/s, and EMG, MMG and ultrasonography were simultaneously recorded from the RF
muscle. Cross-sectional area, which was referred to as SMG, was automatically
extracted from continuously captured ultrasound images using a newly developed
image tracking algorithm. Polynomial regression analyses were applied to fit the
EMG/MMG/SMG-to-torque relationships, and the regression coefficients of EMG, MMG,
and SMG were compared. Moreover, the effect of contraction speed on SMG/EMG/MMG
to-torque relationships was tested by pair-wise comparisons of the mean
relationship curves at different speeds for EMG, MMG and SMG. The results show
that continuous SMG could provide important morphological parameters of
continuous muscle contraction. Compared with EMG and MMG, SMG exhibits different
changing patterns with the increase of torque during voluntary isometric ramp
contraction, and it is less influenced by the contraction speed.
PMID- 22081125
TI - Change of muscle activation patterns in uphill cycling of varying slope.
AB - In the present study, we quantitatively described and compared lower extremity
neuromuscular patterns during level cycling (LC), 10 and 20% uphill cycling (UC).
We hypothesized that both the timing and intensity of activity of selected lower
extremity muscles will differ between steep (but not moderate slope) UC condition
and LC. Twelve trained mountain bikers performed an experimental test with three
different cycling conditions (level, 10% slope and 20% slope) with EMG monitoring
of eight lower extremity muscles. Significant changes (p < 0.05) in muscle
activation timing during 20% UC compared to LC (15 degrees later onset and 39
degrees earlier offset) were observed in m. rectus femoris (RF). Range of
activity during 20% UC compared to LC was also significantly (p < 0.05) modified
in m. vastus medialis, m. vastus lateralis (8 degrees and 5 degrees shorter)
and m. biceps femoris (BF; 17 degrees longer). Furthermore, a reduction of EMG
activity level was observed for RF and m. tibialis anterior (TA) during 20% UC
compared to LC (25 and 19%; p < 0.05), while the opposite effect was observed for
m. gluteus maximus (GM; 12%; p < 0.05). Peak cross-correlation coefficients in
all cycling conditions for all muscles were high (all coefficients >= 0.83). We
have shown that altered body orientation during steep, but not moderate, slope UC
significantly modified the timing and intensity of several lower extremity
muscles, the most affected being those that cross the hip joint and TA. The
observed modifications in neuromuscular patterns during 20% UC could have a
significant effect on lower extremity joint kinetics and cycling efficiency.
PMID- 22081126
TI - Cyanine fluorophore derivatives with enhanced photostability.
AB - Fluorescence applications requiring high photostability often depend on the use
of solution additives to enhance fluorophore performance. Here we demonstrate
that the direct or proximal conjugation of cyclooctatetraene (COT), 4-nitrobenzyl
alcohol (NBA) or Trolox to the cyanine fluorophore Cy5 dramatically enhanced
fluorophore photostability without otherwise affecting its native spectral
characteristics. Such conjugation is a powerful means of improving the robustness
of fluorescence-based applications demanding long-lived, nonblinking fluorescence
emission.
PMID- 22081127
TI - Embryonic stem cell-based mapping of developmental transcriptional programs.
AB - The study of developmentally regulated transcription factors by chromatin
immunoprecipitation and deep sequencing (ChIP-seq) faces two major obstacles:
availability of ChIP-grade antibodies and access to sufficient number of cells.
We describe versatile genome-wide analysis of transcription-factor binding sites
by combining directed differentiation of embryonic stem cells and inducible
expression of tagged proteins. We demonstrate its utility by mapping DNA-binding
sites of transcription factors involved in motor neuron specification.
PMID- 22081128
TI - Mechanism of nanoparticle actuation by responsive polymer brushes: from
reconfigurable composite surfaces to plasmonic effects.
AB - The mechanism of nanoparticle actuation by stimuli-responsive polymer brushes
triggered by changes in the solution pH was discovered and investigated in detail
in this study. The finding explains the high spectral sensitivity of the
composite ultrathin film composed of a poly(2-vinylpyridine) (P2VP) brush that
tunes the spacing between two kinds of nanoparticles-gold nanoislands immobilized
on a transparent support and gold colloidal particles adsorbed on the brush. The
optical response of the film relies on the phenomenon of localized surface
plasmon resonances in the noble metal nanoparticles, giving rise to an extinction
band in visible spectra, and a plasmon coupling between the particles and the
islands that has a strong effect on the band position and intensity. Since the
coupling is controlled by the interparticle spacing, the pH-triggered swelling
shrinking transition in the P2VP brush leads to pronounced changes in the
transmission spectra of the hybrid film. It was not established in the previous
publications how the actuation of gold nanoparticles within a 10-15 nm
interparticle distance could result in the 50-60 nm shift in the absorbance
maximum in contrast to the model experiments and theoretical estimations of
several nanometer shifts. In this work, the extinction band was deconvoluted into
four spectrally separated and overlapping contributions that were attributed to
different modes of interactions between the particles and the islands. These
modes came into existence due to variations in the thickness of the grafted
polymeric layer on the profiled surface of the islands. In situ atomic force
microscopy measurements allowed us to explore the behavior of the Au particles as
the P2VP brush switched between the swollen and collapsed states. In particular,
we identified an interesting, previously unanticipated regime when a particle
position in a polymer brush was switched between two distinct states: the
particle exposed to the surface of the collapsed layer and the particle engulfed
by the swollen brush. On average, the characteristic distance between the
particles and the islands increased upon the brush swelling. The observed
behavior was a result of the anchoring of the particles to polymeric chains that
limited the particles' vertical motion range. The experimental findings will be
used to design highly sensitive optical nanosensors based on a polymer-brush
modulated interparticle plasmon coupling.
PMID- 22081129
TI - Laboratory testing of anticoagulants: the present and the future.
AB - This review provides an update on laboratory testing and monitoring for existing
and emerging anticoagulants, starting with an overview of haemostasis and the
routine coagulation tests currently employed within most haemostasis
laboratories, including the prothrombin time (PT)/international normalised ratio
(INR) and the activated partial thromboplastin time (APTT). Current anticoagulant
therapy and laboratory monitoring is then discussed in terms of benefits and
limitations, followed by a similar brief discussion of the new and emerging
anticoagulants. The main focus, however, is laboratory testing related to vitamin
K antagonists, heparin, lepirudin and the new agents dabigatran etexilate and
rivaroxaban. Although the newer agents do not require laboratory monitoring,
laboratory testing will occasionally be required, and pathology laboratories
should become proactive in developing appropriate strategies. The tests most
likely to fulfill this role are the ecarin clotting time (or chromogenic
alternatives), and the chromogenic anti-Xa assay. Nevertheless, the dilute
Russell viper venom time (dRVVT) assay may provide another alternative, and
existing routine tests are also likely to be utilised for the foreseeable future,
potentially also for laboratory testing of the new anticoagulants, albeit perhaps
in modified form.
PMID- 22081130
TI - Activation of beta-catenin is a late event in the pathogenesis of nephroblastomas
and rarely correlated with genetic changes of the APC gene.
AB - AIMS: Activation of beta-catenin has been identified as a possible mechanism for
the development of nephroblastomas. In our study we investigated whether this
activation occurs already in precursor lesions of nephroblastomas, called
nephrogenic rests (NRs). Inactivation of the adenomatous polyposis coli (APC)
protein is an important regulatory mechanism of activating beta-catenin. We
clarified the role of APC by assessing loss of heterozygosity (LOH) and possible
mutations within the genomic region. METHODS: Activation of beta-catenin was
examined by immunohistochemistry identifying nuclear translocation. Two
polymorphic loci of the APC gene were investigated for LOH and sequence analysis
was performed for the mutation cluster region of the APC gene on formalin fixed,
paraffin embedded samples. RESULTS: Four of the 18 nephroblastomas available for
immunohistochemistry exhibited nuclear staining of beta-catenin, but none of the
NRs. Analysis of LOH revealed 14 homozygous samples, 10 heterozygous tumours and
six tumours exhibiting LOH of the APC gene. One blastema-type nephroblastoma
showed nuclear localisation of beta-catenin in conjunction with LOH of the APC
gene. Analysis of 12 nephroblastomas revealed no sequence aberration. CONCLUSION:
Our results indicate that nuclear activation of beta-catenin is a late event in
the tumorigenesis of nephroblastomas coinciding in some tumours with LOH of the
APC gene.
PMID- 22081131
TI - SLP-2 overexpression could serve as a prognostic factor in node positive and HER2
negative breast cancer.
AB - AIMS: This study aimed to evaluate the utility as a prognostic factor of SLP-2 on
the outcome of breast cancer patients. METHODS: We performed immunohistochemical
analysis to examine the SLP-2 expression in a large panel of invasive breast
cancer samples. RESULTS: Of the 496 samples, 261 showed overexpression of SLP-2.
Importantly, there were significant associations between SLP-2 overexpression and
tumour size (p = 0.002), lymph node/distant metastases, clinical stage (p <
0.001), HER2/neu expression (p = 0.003). In addition, there were obvious
differences in levels of SLP-2 expression within four molecular subtypes of
breast cancer (p = 0.011). High level SLP-2 expression was shown in tumour
samples of HER2 and luminal B subtypes, and low level SLP-2 expression was shown
in luminal A and triple negative subtypes, suggesting that overexpression of SLP
2 was closely correlated with HER2/neu expression, and that both SLP-2 and
HER2/neu can play a role in lymph node/distant metastases of breast cancers. Thus
lymph node status, HER2/neu and SLP-2 high-level expression can act as
independent prognostic factors. CONCLUSIONS: There is an obvious link between SLP
2 and HER2/neu expression. Overexpression of SLP-2 is associated with poorer
total survival, especially in lymph node positive coupled with HER2/neu negative
patients.
PMID- 22081132
TI - Mutational analysis of tumour suppressor gene NF2 in common solid cancers and
acute leukaemias.
AB - AIMS: Germline mutation of NF2 gene is a feature of neurofibromatosis type 2
familial cancer syndrome. Also, somatic point mutations of NF2 mutation have been
reported in tumours originated from nerve structures. A recent study revealed
that NF2 gene was mutated in renal cell carcinoma (RCC) as well, suggesting a
possibility that NF2 gene might be somatically mutated in other human cancers.
The aim of this study was to explore whether NF2 genes are somatically mutated,
and contribute to tumorigenesis in common human cancers. METHODS: For this, we
analysed the entire coding region of NF2 gene in 45 colorectal carcinomas, 45
gastric, 45 breast, 45 lung, 45 hepatocellular (HCC), 45 prostate carcinomas, and
45 acute leukaemias by a single-strand conformation polymorphism assay. RESULTS:
Overall, we found NF2 mutations in one HCC (1/45; 2.2%) (hepatitis B virus
related HCC), one lung carcinoma (1/45; 2.2%) (squamous cell carcinoma), and one
acute leukaemia (1/45; 2.2%) (acute myelogenous leukaemia minimally
differentiated). All of the mutations were missense mutations that would
substitute amino acids in the NF2 protein (p.A238 V, p.A451T and p.R467K).
CONCLUSION: Our data indicate that somatic mutation of NF2 gene is not prevalent
in common human cancers, and its mutation somatically occurs in a minor fraction
of HCC, lung cancer and acute leukaemia. These data suggest that somatic mutation
of NF2 tumour suppressor gene may not play a central role in development of
common cancers.
PMID- 22081133
TI - 3-Glycidoxypropyltrimethoxysilane mediated in situ synthesis of noble metal
nanoparticles: application to hydrogen peroxide sensing.
AB - The in situ synthesis is reported of noble metal nanoparticles via 3
glycidoxypropyltrimethoxysilane mediated reduction of 3
aminopropyltrimethoxysilane treated metal salts during sol-gel processing. The
method described involves the synthesis of uniform spherical nanoparticles of
gold, silver and palladium with controlled size that can be directly utilized for
thin film preparation. A detailed study of the synthesis and application of gold
nanoparticles to the electrochemical detection of hydrogen peroxide was carried
out and reveals that the amplification of hydrogen peroxide sensing is size
dependent. In addition, these nanoparticles exhibit excellent compatibility
towards composite preparation. As an example, a nanocomposite with Prussian Blue
(PB) is synthesized and found to be useful for the fabrication of chemically
modified electrodes (CME). The resulting CME shows dramatic improvement in the
electrochemistry of PB with gradual enhancement in electrocatalytic efficiency
towards hydrogen peroxide sensing. The nanocomposite is used to study the direct
and horseradish peroxidase (HRP)-catalyzed reduction of hydrogen peroxide. The
results recorded for hydrogen peroxide analysis show an improvement in
sensitivity and limit of detection on decreasing the size of gold nanoparticles
in all cases.
PMID- 22081134
TI - Properties of L-type bovine spongiform encephalopathy in intraspecies passages.
AB - The origin and transmission routes of atypical bovine spongiform encephalopathy
(BSE) remain unclear. To assess whether the biological and biochemical
characteristics of atypical L-type BSE detected in Japanese cattle (BSE/JP24) are
conserved during serial passages within a single host, 3 calves were inoculated
intracerebrally with a brain homogenate prepared from first-passaged BSE/JP24
affected cattle. Detailed immunohistochemical and neuropathologic analysis of the
brains of second-passaged animals, which had developed the disease and survived
for an average of 16 months after inoculation, revealed distribution of
spongiform changes and disease-associated prion protein (PrP(Sc)) throughout the
brain. Although immunolabeled PrP(Sc) obtained from brain tissue was
characterized by the presence of PrP plaques and diffuse synaptic granular
accumulations, no stellate-type deposits were detected. Western blot analysis
suggested no obvious differences in PrP(Sc) molecular mass or glycoform pattern
in the brains of first- and second-passaged cattle. These findings suggest
failures to identify differences in mean incubation period and biochemical and
neuropathologic properties of the BSE/JP24 prion between the first and second
passages in cattle.
PMID- 22081135
TI - Spatial distribution of putative growth factors in the guinea pig placenta and
the effects of these factors, plasma, and bile on the growth and chemotaxis of
Campylobacter jejuni.
AB - The pregnant guinea pig is an effective model for studying abortifacient
Campylobacter spp, and previous experiments have demonstrated that C. jejuni
IA3902 has a marked predilection for the subplacenta while sparing the placental
disc in this species. In the study described here, the growth and chemotaxis of
IA3902 and a reference strain (NCTC 11168) are compared in the presence of
subplacental and placental factors, as well as bile and plasma, from pregnant and
nonpregnant guinea pigs. Both strains grew better in subplacental versus
placental disc tissue extracts at 24 hours; however, only IA3902 maintained this
enhancement at 48 hours. Histochemistry and lectin histochemistry were used to
localize mucin, iron, and l-fucose within the placental unit. Mucin was most
abundant in subplacental lacunae, the junctional zone, and visceral yolk sac
placenta, while iron was most abundant in the placental disc, and L-fucose
containing surface glycans were limited to the visceral yolk sac placenta. These
3 individual factors, along with progesterone and estradiol, were evaluated for
effects on growth and chemotaxis of C. jejuni. Mucin, iron, and L-fucose were
growth promoting, while l-fucose was also chemoattractive for both strains.
Progesterone, estradiol, and pregnant guinea pig plasma did not affect growth or
chemotaxis, and no difference was observed when bile from pregnant and
nonpregnant animals was compared. These findings demonstrate the presence of
specific factors within the guinea pig placental unit that drive chemotaxis and
enhance growth of C. jejuni, shedding light on potential mechanisms underlying
the fetoplacental tropism observed with this strain.
PMID- 22081136
TI - P/S ligands derived from carbohydrates in Rh-catalyzed hydrosilylation of
ketones.
AB - Reported is the synthesis of a number of diastereomerically pure cationic Rh(I)
complexes I starting from phosphinite thioglycosides. These complexes were used
in the asymmetric hydrosilylation of prochiral ketones. The reactivity and
enantioselectivity of the reaction was shown to be dependent on the pyranose
ring, the substituent at the sulfur atom, the hydroxylic protective groups and
most significantly on the alkene co-ligand.
PMID- 22081137
TI - Profilin1 is required for glial cell adhesion and radial migration of cerebellar
granule neurons.
AB - Cerebellar granule neurons (CGNs) exploit Bergmann glia (BG) fibres for radial
migration, and cell-cell contacts have a pivotal role in this process.
Nevertheless, little is known about the mechanisms that control CGN-BG
interaction. Here we demonstrate that the actin-binding protein profilin1 is
essential for CGN-glial cell adhesion and radial migration. Profilin1 ablation
from mouse brains leads to a cerebellar hypoplasia, aberrant organization of
cerebellar cortex layers and ectopic CGNs. Conversely, neuronal progenitor
proliferation, tangential migration of neurons and BG morphology appear to be
independent of profilin1. Our mouse data and the mapping of developmental
neuropathies to the chromosomal region of PFN1 suggest a similar function for
profilin1 in humans.
PMID- 22081138
TI - Teaching and textbooks by Jacobs Howy.
PMID- 22081139
TI - Protein synthesis and translational control: at eye level with the ribosome.
AB - At the EMBO Conference on 'Protein Synthesis and Translational Control' held in
Heidelberg in September 2011, scientists shared their latest findings on the
structure and function of the ribosome, mRNA-specific regulation of translation
and the numerous quality control mechanisms that ensure accurate protein
synthesis.
PMID- 22081140
TI - Dictyostelium chemotaxis: essential Ras activation and accessory signalling
pathways for amplification.
AB - Central to chemotaxis is the molecular mechanism by which cells exhibit directed
movement in shallow gradients of a chemoattractant. We used Dictyostelium mutants
to investigate the minimal requirements for chemotaxis, and identified a basal
signalling module providing activation of Ras at the leading edge, which is
sufficient for chemotaxis. The signalling enzymes PI3K, TorC2, PLA2 and sGC are
not required for Ras activation and chemotaxis to folate or to steep gradients of
cAMP, but they provide a memory of direction and improved orientation of the
cell, which together increase the sensitivity about 150-fold for chemotaxis in
shallow cAMP gradients.
PMID- 22081141
TI - Mechanistic implications for LDL receptor degradation from the PCSK9/LDLR
structure at neutral pH.
AB - The protein PCSK9 (proprotein convertase subtilisin/kexin type 9) is a key
regulator of low-density lipoprotein receptor (LDLR) levels and cardiovascular
health. We have determined the crystal structure of LDLR bound to PCSK9 at
neutral pH. The structure shows LDLR in a new extended conformation. The PCSK9 C
terminal domain is solvent exposed, enabling cofactor binding, whereas the
catalytic domain and prodomain interact with LDLR epidermal growth factor(A) and
beta-propeller domains, respectively. Thus, PCSK9 seems to hold LDLR in an
extended conformation and to interfere with conformational rearrangements
required for LDLR recycling.
PMID- 22081143
TI - A new target for personalized medicine.
PMID- 22081142
TI - Superinfection in malaria: Plasmodium shows its iron will.
AB - After the bite of a malaria-infected mosquito, the Plasmodium sporozoite infects
liver cells and produces thousands of merozoites, which then infect red blood
cells, causing malaria. In malaria-endemic areas, several hundred infected
mosquitoes can bite an individual each year, increasing the risk of
superinfection. However, in infants that are yet to acquire immunity,
superinfections are infrequent. We have recently shown that blood-stage
parasitaemia, above a minimum threshold, impairs the growth of a subsequent
sporozoite infection of liver cells. Blood-stage parasites stimulate the
production of the host iron-regulatory factor hepcidin, which redistributes iron
away from hepatocytes, reducing the development of the iron-dependent liver
stage. This could explain why Plasmodium superinfection is not often found in
young nonimmune children. Here, we discuss the impact that such protection from
superinfection might have in epidemiological settings or in programmes for
controlling malaria, as well as how the induction of hepcidin and redistribution
of iron might influence anaemia and the outcome of non-Plasmodium co-infections.
PMID- 22081144
TI - CD8alphaalpha and -alphabeta isotypes are equally recruited to the immunological
synapse through their ability to bind to MHC class I.
AB - Bimolecular fluorescence complementation was used to engineer CD8 molecules so
that CD8alphaalpha and CD8alphabeta dimers can be independently visualized on the
surface of a T cell during antigen recognition. Using this approach, we show that
CD8alphaalpha is recruited to the immunological synapse almost as well as
CD8alphabeta, but because the kinase Lck associates preferentially with
CD8alphabeta in lipid rafts, CD8alphaalpha is the weaker co-receptor. During
recognition of the strong CD8alphaalpha ligand H2-TL, CD8alphaalpha is
preferentially recruited. Thus, recruitment of the two CD8 species correlates
with their relative binding to the available ligands, rather than with the co
receptor functions of the CD8 species.
PMID- 22081146
TI - Keratometry evaluations with the Pentacam high resolution in comparison with the
automated keratometry and conventional corneal topography.
AB - PURPOSE: To determine the reliability and repeatability of keratometry (K)
measurements obtained with the Pentacam high resolution (HR), automated
keratometry, and corneal topography systems. METHODS: The right eyes of 46
healthy subjects were examined prospectively. Keratometry measurements in the
flat (Kf) and steep (Ks) meridians were taken by 2 independent investigators with
the Pentacam HR (Oculus, Wetzlar, Germany) followed by automated
keratorefractometry (KR-8100; Topcon, Tokyo, Japan), and corneal topography (TMS
4; Tomey, Erlangen, Germany). RESULTS: The mean K readings of the Pentacam HR,
automated keratometry, and corneal topography were 43.40/43.34 diopter (D),
43.99/43.98 D, and 43.80/43.83 D, respectively. The difference between the values
was statistically significant (P < 0.0001, repeated measures analysis of
variance). Strong significant correlation was observed between the Pentacam HR
and keratometry (Kf: r = 0.952/0.954; Ks: r = 0.845, Spearman rank test), and
Pentacam HR and corneal topography (Kf: r = 0.933/0.930; Ks: r = 0.838/0.829) (P
< 0.0001). No significant difference was presented between the 2 investigators
for any of the instruments (P = 0.215-0.983). Moreover, high correlation was
found between the K readings of the observers (interoperator intraclass
correlation coefficients ranged from 0.95 to 0.99). CONCLUSIONS: The Pentacam HR
provided reliable K measurements in clinical practice in comparison with an
automated keratometer and a corneal topographer. Based on the results, for
patient follow-up, one keratometry device is recommended.
PMID- 22081145
TI - Dual-isotope SPECT imaging of striatal dopamine: a comparative study between
never-treated and haloperidol-treated first-episode schizophrenic patients.
AB - The aim of this dual-isotope SPECT imaging study was to evaluate striatal
dopamine transporter (DAT) and D2 receptor availability in first-episode never
treated and haloperidol-treated schizophrenic patients and whether the
availability is associated with psychopathology. Twenty-four inpatients with a
first acute schizophrenic episode were enrolled in the study; 12 of these
patients were treated with haloperidol for 2 weeks before dual-isotope SPECT was
performed, whereas the other 12 patients underwent the SPECT evaluation directly
after enrollment. Twelve healthy control persons were also recruited and
evaluated with the dual-isotope SPECT protocol. Psychopathology was assessed by
the Positive and Negative Syndrome Scale and other scales. D2-radioligand binding
did not differ between drug-naive patients and the control group but was
significantly lower in the haloperidol-treated group. DAT availability was also
significantly lower in the haloperidol patients than in the other two groups and
differed significantly between drug-naive, positive-syndrome-type patients and
healthy controls. The data obtained with the new dual-isotope SPECT technique
reveal a direct effect of haloperidol at the D2 and DAT receptor level.
PMID- 22081147
TI - Microkeratome-assisted superficial anterior lamellar keratoplasty for anterior
stromal corneal opacities after penetrating keratoplasty.
AB - PURPOSE: To describe the surgical technique and report the outcomes of patients
treated with microkeratome-assisted superficial anterior lamellar keratoplasty
for anterior stromal corneal opacities developing after penetrating keratoplasty
(PK). METHODS: All patients with post-penetrating keratoplasty anterior stromal
opacities treated with microkeratome-assisted superficial anterior lamellar
keratoplasty between July 2005 and June 2007 were reviewed. A 130-MUm superficial
keratectomy was performed, followed by the placement of an appropriately sized
donor graft, which was secured with overlay sutures. Refraction, corneal
topography, and uncorrected and best-corrected visual acuities (UCVA, BCVA,
respectively) were noted at each examination. RESULTS: Nine eyes of 8 consecutive
patients were identified. Causes of anterior stromal opacities included dystrophy
recurrence (n = 3), post-photorefractive keratectomy haze (n = 2), and scarring
after stromal melt (n = 4). BCVA improved in all 9 eyes at final follow-up, and 7
of 9 eyes achieved >=20/40 within the first month. Average follow-up period was
28 +/- 3.9 months. Refractive astigmatism also improved by an average of 0.7
diopters. CONCLUSIONS: Superficial anterior lamellar keratoplasty is a viable and
effective alternative to repeat PK in treating anterior stromal scars. It avoids
open-globe surgery and exposure to endothelial rejection associated with repeat
PK, and visual rehabilitation is considerably quicker.
PMID- 22081148
TI - Intradescemetic air bubble trapping during deep anterior lamellar keratoplasty.
AB - We describe a case of a 52-year-old man in whom the appearance of an air bubble
developed, trapped within the Descemet membrane (DM), that occurred during a
planned deep anterior lamellar keratoplasty using the "big bubble" technique.
This situation can occur because Descemet membrane's anatomic structure can be
opened by the gas dissection; this complication may result in unanticipated
results during and after this type of surgery. Surgeons should be aware of this
specific risk, so they will recognize it when it occurs. Optical coherence
tomography (OCT) imaging and surgical management are presented.
PMID- 22081149
TI - Small-incision Descemet stripping automated endothelial keratoplasty: a
comparison of small-incision tissue injector and forceps techniques.
AB - PURPOSE: To describe a novel, small-incision, no-fold Descemet stripping
automated endothelial keratoplasty (DSAEK) graft injector and to compare
complications, visual acuity, and endothelial cell loss with a forceps technique.
METHODS: An Institutional Review Board-approved, interventional, nonrandomized,
consecutive case series analysis of 175 eyes undergoing DSAEK for Fuchs dystrophy
and bullous keratopathy. The injector arm is prospective, and the forceps arm is
retrospective. Seventy grafts were performed with a DSAEK graft injector, and 105
grafts were performed using a small-incision forceps technique. Preoperative and
postoperative visual acuities at 3 and 6 months, 6-month endothelial cell counts,
and complications, including graft dislocation, failure, and rejection, were
recorded. Fifty-seven of 232 eyes met exclusion criteria for previous incisional
corneal or glaucoma surgery. RESULTS: There were 4 eyes (5.7%) in the injector
group and 29 eyes (27.6%) in the forceps group that required a re-bubble
procedure because of graft detachment. One graft (1.4%) failed in the injector
group and 7 grafts (6.5%) failed in the forceps group. Excluding eyes with other
ocular comorbidities (43), in the injector group 74% were 20/40 or better at 6
months and 100% were 20/60 or better. In the forceps group, 72% were 20/40 or
better at 6 months and 98% were 20/60 or better. Six-month postoperative
endothelial cell counts were available for 84 (46 injector and 38 forceps) eyes,
with an average cell loss of 28.3% in the injector group and 44.1% in the forceps
group. CONCLUSIONS: DSAEK is an effective treatment of endothelial dysfunction.
Surgical technique is important to limit endothelial cell loss and prevent
complications, such as graft dislocation. The injector device has several
advantages over the trifold forceps technique, including decreased endothelial
cell loss, graft dislocation rate, and graft failure rate, and it reduces the
DSAEK learning curve. DSAEK graft injectors likely will have a role in the future
of endothelial keratoplasty.
PMID- 22081150
TI - Randomized controlled trial of subconjunctival bevacizumab injection in impending
recurrent pterygium: a pilot study.
AB - PURPOSE: To investigate the efficacy and safety of subconjunctival bevacizumab
injection for the treatment of impending recurrent pterygium. METHODS: A
prospective, randomized, single-masked, controlled trial was conducted in 80
patients with impending recurrent pterygium. Patients were randomized into 4
groups using random tables: 20 patients served as a control and treatment groups
received a single intralesional injection of 1.25 mg (20 patients, group 1), 2.5
mg (20 patients, group 2), or 3.75 mg (20 patients, group 3) of bevacizumab.
Topical 0.1% fluorometholone and ocular lubricant were administered 4 times daily
for 1 month in all groups. Severity of impending recurrent pterygium graded by
photographic assessment (at baseline, 3 days, 1 week, and 2, 4, 8, and 12 weeks
after treatment) and true recurrence were the main outcome measures. RESULTS: At
3 days, the conjunctival injection significantly decreased in all treatment
groups (P < 0.01). A significant decrease in the conjunctival injection was still
observed until 2 and 4 weeks in only groups 2 and 3, respectively (P < 0.05). The
conjunctival hyperemia appeared to increase back to baseline at later time
points. No significant difference in the mean severity score among the groups was
observed. True recurrence was found in 62 patients with no statistically
significant difference among the groups. No serious ocular or systemic adverse
events were seen. CONCLUSIONS: A single subconjunctival bevacizumab injection
seems to only partially and transiently decrease conjunctival vascularization in
impending recurrent pterygium in a dose-dependent manner. This treatment does not
cause regression or reduce the recurrent rate of impending recurrent pterygium.
PMID- 22081151
TI - Ocular surface reconstruction after exposure to high concentrations of antiseptic
solutions.
AB - PURPOSE: To report the clinical course in accidental exposure of the cornea to
antiseptic solutions in 3 cases. METHODS: Observational case series. Exposure to
20% chlorhexidine gluconate solution was observed in 2 cases and to 10%
benzalkonium chloride solution in 1 case. RESULTS: Not only superficial damage
but also toxicity to corneal endothelial cells leading to corneal edema was
observed in all 3 cases. Epithelial transplantation was performed: autologous
cultivated limbal epithelial transplantation (auto-CLET), conjunctivolimbal
autograft (CLAU), or living-related cultivated limbal epithelial transplantation
(lr-CLET). A stable ocular surface was achieved, although exposure to high
concentrations of antiseptic solutions caused severe anterior chamber
inflammation; cataract and glaucoma developed in 2 cases, requiring further
surgical treatment. CONCLUSIONS: Exposure to high concentrations of antiseptics
causes both ocular surface and intraocular damage. Epithelial transplantation
enables restoration of a stable ocular surface. However, management of
intraocular consequences, including secondary glaucoma and corneal endothelial
damage, still remains a challenge in the prognosis of such cases.
PMID- 22081152
TI - Corneal cross-linking in patients with radial keratotomy: short-term follow-up.
AB - PURPOSE: To record visual and refractive data in eyes with previous radial
keratotomy (RK) and corneal instability undergoing corneal cross-linking (CXL).
METHODS: Case series of eyes with RK and refractive instability undergoing CXL
with riboflavin and UV-A radiation. The following variables were recorded before
3 and 6 months after CXL: sphere, spherical equivalent (SE), uncorrected visual
acuity (UCVA), best spectacle-corrected visual acuity (BSCVA), topographic
astigmatism, mean topographic K (Km), pachymetry, and Q values. RESULTS: Seven
eyes of 4 patients with pre-CXL variables included mean sphere [-0.7 +/- 3.2
diopters (D)], astigmatism (2.5 +/- 1.17 D), SE (-2.1 +/- 3.1 D), UCVA (0.3 +/-
2.0), BSCVA (0.63 +/- 0.36), Km (38.75 +/- 5.74 D), pachymetry (522 +/- 36.8
MUm), and Q (1.73 +/- 1.34). Three months after CXL, mean sphere was +1.0 +/- 3.0
D (P = 0.00); astigmatism, 2.5 +/- 1.2 D (P = 0.95); SE, 0.3 +/- 2.2 D (P =
0.008); UCVA, 0.41 +/- 2.7 (P = 0.22); BSCVA, 0.80 +/- 0.27 (P = 0.14); Km, 37.5
+/- 5.7 D (P = 0.3); pachymetry, 491 +/- 33.74 MUm (P = 0.00); and Q, 2.27 +/-
1.8 (P = 0.22). Six months after CXL, mean sphere was 0.53 +/- 3.5 D (P = 0.00);
astigmatism, 1.62 +/- 1.76 D (P = 0.13); SE, 0.52 +/- 2.9 D (P = 0.023); UCVA,
0.60 +/- 2.0 (P = 0.018); BSCVA, 0.9 +/- 0.15 (P = 0.03); Km, 38.3 +/- 4.10 D (P
= 0.64); and Q, 1.7 +/- 0.78 (P = 0.80). CONCLUSIONS: Short-term follow-up of RK
patients treated with CXL showed clinical and statistically significant
improvement.
PMID- 22081153
TI - Myopic LASIK in psychiatric patients.
AB - PURPOSE: To evaluate the surgical results obtained in patients with psychiatric
disorders who underwent myopic laser in situ keratomileusis. (LASIK). METHODS:
Retrospective study of 156 eyes of 82 patients who underwent LASIK to correct a
myopic spherical equivalent (SE) at Clinica Baviera-Instituto Oftalmologico
Europeo, Bilbao, Spain. All procedures were performed with the Technolas Keracor
217 Z excimer laser. Patients had a preoperative diagnosis of bipolar disorder
(79 eyes of 42 patients), schizophrenia (61 eyes of 32 patients), or obsessive
compulsive disorder (16 eyes of 8 patients). Before surgery, the average sphere
of the series was -3.92 +/- 2.24 D in the first group, -3.45 +/- 2.03 D in the
second group, and -3.39 +/- 1.97 D in the third group. We surveyed the patients
to analyze subjective assessment from the patient's perspective. RESULTS: At the
last available visit after treatment, we obtained an SE of +/-0.50 D in 85.71% of
eyes with bipolar disorder, 88.52% of eyes with schizophrenia, and 93.75% of eyes
with obsessive-compulsive disorder. The efficacy index was 1.00 +/- 0.20 in the
first group, 1.03 +/- 0.21 in the second group, and 1.00 +/- 0.07 in the third
group. No eye lost 2 lines or more of best spectacle-corrected visual acuity.
CONCLUSIONS: We found that patients with compensated psychiatric disorders, of
which the surgeon was aware, achieved excellent results after surgery, with no
remarkable complications. Patients tolerated the procedures well and were
satisfied.
PMID- 22081154
TI - Clinical and histopathological outcomes of subconjunctival triamcinolone
injection for the treatment of acute ocular alkali burn in rabbits.
AB - PURPOSE: To evaluate the efficacy and safety of subconjunctival injection of
triamcinolone in the treatment of acute ocular alkali burn in rabbits. METHODS:
Two groups of 5 rabbits were subjected to alkali burn (1 N NaOH). One group was
treated with 1 subconjunctival injection of 0.3 mL of triamcinolone and the other
with 1 subconjunctival injection of 0.3 mL of 0.9% saline. The affected corneas
were observed for vascularization and opacity approximately 10 minutes after the
burn and also after 7, 14, and 21 days. Photographs were taken for observation
and statistical analyses. At all time intervals, the corneas were classified
according to predetermined scores. Twenty-one days after the treatment, the
animals were anesthetized, and their eyes were enucleated and processed for
histopathology. RESULTS: Greater vascularization and opacity appeared in the
animals that were treated with saline than in those treated with subconjunctival
triamcinolone (vascularization: 7 days, P = 0.0107; 14 days, P = 0.0099; and 21
days, P = 0.0088; opacity: 7 days, P = 0.0079; 14 days, P = 0.0112; and 21 days,
P = 0.0255). These results were also compatible with the morphological and
statistical analyses, which revealed a more intense inflammatory process in the
group treated with saline (P = 0.0317). No complications, such as corneal
melting, perforation, or infection, were observed. CONCLUSIONS: Subconjunctival
injection of triamcinolone may be a therapeutic option for the treatment of acute
ocular burn because it reduced the corneal inflammatory process, opacity, and
vascularization, with no apparent clinical changes in the general state of the
animal.
PMID- 22081155
TI - Treatment of fungal keratitis from Fusarium infection by corneal cross-linking.
AB - PURPOSE: To evaluate the efficacy of corneal cross-linking (CXL) (riboflavin-UV
A) as a simple therapy in Fusarium keratitis. METHODS: Twenty-four rabbits were
systemically anesthetized, and the stromata of their right corneas were
inoculated with Fusarium solani [10(5) colony-forming units (CFU) per
milliliter]. Rabbits were divided into 2 groups: one was treated with CXL 72
hours after infection and the other did not receive any treatment (control). All
eyes in both the groups were examined before (days 0 and 3) and after (day 7) CXL
treatment. The eyes were enucleated, and corneal buttons were sent for
microbiological and histological examinations. RESULTS: All animals developed
Fusarium keratitis; there was no statistically significant difference between
groups before treatment (day 0, P = 0.397 and day 3, P = 0.702). After CXL
treatment, the difference in clinical scores on day 7 between groups was
statistically significant (P = 0.00); the CXL group showed significant lower
clinical score. The CXL group had 22.45 +/- 5.09 CFU/g compared with 42.5 +/-
3.12 CFU/g in the control group; this difference was statistically significant (P
= 0.01). In the 3 buttons of the control group, similar amounts of Fusarium
hyphae and inflammatory cells were observed. In 2 of the 3 buttons analyzed from
the CXL group, fewer Fusarium hyphae, inflammatory cells, and nonspecific stromal
changes were observed compared with the control group. CONCLUSIONS: Treatment of
fungal keratitis with CXL seems to be effective in decreasing the intensity and
severity of infectious keratitis by F. solani. This therapy may be useful as a
coadjuvant in the medical treatment of resistant infections.
PMID- 22081156
TI - Cytokinesis remnants define first neuronal asymmetry in vivo.
AB - Polarization of a neuron begins with the appearance of the first neurite, thus
defining the ultimate growth axis. Unlike late occurring polarity events (such as
axonal growth), very little is known about this fundamental process. We show here
that, in Drosophila melanogaster neurons in vivo, the first membrane deformation
occurred 3.6 min after precursor division. Clustering of adhesion complex
components (Bazooka (Par-3), cadherin-catenin) marked this place by 2.8 min after
division; the upstream phosphatidylinositol 4,5-bisphosphate, by 0.7 min after
division; and the furrow components RhoA and Aurora kinase, from the time of
cytokinesis. Local DE-cadherin inactivation prevented sprout formation, whereas
perturbation of division orientation did not alter polarization from the
cytokinesis pole. This is, to our knowledge, the first molecular study of initial
neuronal polarization in vivo. The mechanisms of polarization seem to be defined
at the precursor stage.
PMID- 22081157
TI - Flexible, foldable, actively multiplexed, high-density electrode array for
mapping brain activity in vivo.
AB - Arrays of electrodes for recording and stimulating the brain are used throughout
clinical medicine and basic neuroscience research, yet are unable to sample large
areas of the brain while maintaining high spatial resolution because of the need
to individually wire each passive sensor at the electrode-tissue interface. To
overcome this constraint, we developed new devices that integrate ultrathin and
flexible silicon nanomembrane transistors into the electrode array, enabling new
dense arrays of thousands of amplified and multiplexed sensors that are connected
using fewer wires. We used this system to record spatial properties of cat brain
activity in vivo, including sleep spindles, single-trial visual evoked responses
and electrographic seizures. We found that seizures may manifest as recurrent
spiral waves that propagate in the neocortex. The developments reported here
herald a new generation of diagnostic and therapeutic brain-machine interface
devices.
PMID- 22081159
TI - Experience-dependent plasticity of mature adult-born neurons.
AB - The adult olfactory bulb and hippocampus are continuously supplied with newborn
neurons that are thought to possess a capacity for plasticity only at a young
neuronal age, mainly during the early stages of integration into the network. We
find that the two main types of adult-born neurons in the mouse olfactory bulb
undergo experience-dependent plasticity long after maturation and integration, as
evidenced by stabilization of synaptic turnover rates. Thus, the potential time
window for plasticity of adult-born neurons extends well into maturity.
PMID- 22081158
TI - Leptin regulates the reward value of nutrient.
AB - We developed an assay for quantifying the reward value of nutrient and used it to
analyze the effects of metabolic state and leptin. In this assay, mice chose
between two sippers, one of which dispensed water and was coupled to optogenetic
activation of dopaminergic (DA) neurons and the other of which dispensed natural
or artificial sweeteners. This assay measured the reward value of sweeteners
relative to lick-induced optogenetic activation of DA neurons. Mice preferred
optogenetic stimulation of DA neurons to sucralose, but not to sucrose. However,
the mice preferred sucralose plus optogenetic stimulation versus sucrose. We
found that food restriction increased the value of sucrose relative to sucralose
plus optogenetic stimulation, and that leptin decreased it. Our data suggest that
leptin suppresses the ability of sucrose to drive taste-independent DA neuronal
activation and provide new insights into the mechanism of leptin's effects on
food intake.
PMID- 22081160
TI - Inactivity-induced increase in nAChRs upregulates Shal K(+) channels to stabilize
synaptic potentials.
AB - Long-term synaptic changes, which are essential for learning and memory, are
dependent on homeostatic mechanisms that stabilize neural activity. Homeostatic
responses have also been implicated in pathological conditions, including
nicotine addiction. Although multiple homeostatic pathways have been described,
little is known about how compensatory responses are tuned to prevent them from
overshooting their optimal range of activity. We found that prolonged inhibition
of nicotinic acetylcholine receptors (nAChRs), the major excitatory receptors in
the Drosophila CNS, resulted in a homeostatic increase in the Drosophila alpha7
(Dalpha7)-nAChR. This response then induced an increase in the transient A-type
K(+) current carried by Shaker cognate L (Shal; also known as voltage-gated K(+)
channel 4, Kv4) channels. Although increasing Dalpha7-nAChRs boosted miniature
excitatory postsynaptic currents, the ensuing increase in Shal channels served to
stabilize postsynaptic potentials. These data identify a previously unknown
mechanism for fine tuning the homeostatic response.
PMID- 22081161
TI - Nictation, a dispersal behavior of the nematode Caenorhabditis elegans, is
regulated by IL2 neurons.
AB - Many nematodes show a stage-specific behavior called nictation in which a worm
stands on its tail and waves its head in three dimensions. Here we show that
nictation is a dispersal behavior regulated by a specific set of neurons, the IL2
cells, in C. elegans. We established assays for nictation and showed that
cholinergic transmission was required for nictation. Cell type-specific rescue
experiments and genetic ablation experiments revealed that the IL2 ciliated head
neurons were essential for nictation. Intact cilia in IL2 neurons, but not in
other ciliated head neurons, were essential, as the restoration of the
corresponding wild-type gene activity in IL2 neurons alone in cilia-defective
mutants was sufficient to restore nictation. Optogenetic activation of IL2
neurons induced nictation, suggesting that signals from IL2 neurons are
sufficient for nictation. Finally, we demonstrated that nictation is required for
transmission of C. elegans to a new niche using flies as artificial carriers,
suggesting a role of nictation as a dispersal and survival strategy under harsh
conditions.
PMID- 22081162
TI - Sympathetic magic and gambling: adherence to the law of contagion varies with
gambling severity.
AB - This study assessed adherence to the law of contagion by 118 undergraduate
students (39 males). Participants were students who played a slot machine game
after viewing a prior player who seemed to be winning ("lucky" condition) or
losing ("unlucky" condition). Adherence to the law of contagion was assessed by
the selection of the coin holder used by a "lucky" prior player and the avoidance
of the coin holder used by an "unlucky" prior player. Contagion varied directly
with scores on the Problem Gambling Severity Index and scores on the
Luck/Perseverance subscale of the Gamblers' Belief Questionnaire (Steenbergh et
al. in Psychol Addict Behav 16(2):143-149, 2002). Gamblers high in problem
severity chose the "lucky" coin holder and avoided the "unlucky" coin holder
significantly more than gamblers low in problem severity. Problem gamblers,
therefore, exhibit evidence of magical thinking related to the transfer of a
"lucky" essence. The same was the case for individuals with a strong level of
belief that sheer continuation in gambling (luck perseverance) results in success
and for individuals who believe that luck is a personal rather than a situational
characteristic. All three variables (problem gambling severity, luck perseverance
and personal luck) had direct effects on behavior reflecting irrational magical
thinking. A belief that knowledge or skill has a role in successful gaming was
unrelated to magical thinking. These findings suggest potential foci for
cognitive interventions with problem gamblers and those with non-skill based
evidence of irrational thinking.
PMID- 22081163
TI - Is there still a need for strengthening optometrist referral and general public
awareness regarding cataract even in developed countries?
PMID- 22081164
TI - Nephrotic-range proteinuria in a child with retinoic acid syndrome.
AB - BACKGROUND: All-trans retinoic acid (ATRA) is a vitamin A derivative that is used
in combination with chemotherapy to treat acute promyelocytic leukemia (APL). A
serious complication of ATRA is retinoic acid syndrome (RAS), which is
characterized by an inflammatory reaction with capillary leakage and myeloid cell
tissue invasion that presents with cardiopulmonary symptoms and occasionally
acute kidney injury. CASE-DIAGNOSIS/TREATMENT: We report the case of a 3-year-old
child with APL who developed transient nephrotic-range proteinuria (max urine
protein:creatinine ratio 8.6) during two episodes of RAS while on ATRA therapy.
ATRA was temporarily discontinued and the patient was treated with a 3-day course
of dexamethasone during each episode. He maintained normal renal function
throughout and the proteinuria completely resolved. CONCLUSIONS: This is the
first reported occurrence of nephrotic-range proteinuria in a child treated with
ATRA. Nephrologists should be aware that RAS is a serious complication of ATRA
that may lead to proteinuria.
PMID- 22081166
TI - The effect of family size on spanish simple and complex words.
AB - This study presents the results of three experiments in which the Family Size
(FS) effect is explored. The first experiment is carried out with no prime on
simple words. The second and third experiments are carried out with morphological
priming on complex words. In the first experiment a facilitatory effect of FS is
observed: high FS targets produced faster responses than low FS targets. However,
an inhibitory effect of Stem-FS is observed in the second experiment: low Stem-FS
targets produced faster responses than high Stem-FS targets. In the third
experiment a facilitatory effect is observed when the Affix-FS is manipulated:
high Affix-FS targets produced faster responses than low Affix-FS targets.
Overall data confirms that the effect of FS plays an important role in lexical
access in Spanish. The results also show that the effect of FS is modulated by
the lexical nature of the prime (lexical or sublexical) and by the number of
candidates activated. Finally, it is suggested that the nonwords employed were
decisive in obtaining the results mentioned.
PMID- 22081165
TI - Treatment of children with Henoch-Schonlein purpura nephritis with mycophenolate
mofetil.
AB - BACKGROUND: Henoch-Schonlein purpura (HSP) can progress to Henoch-Schonlein
purpura nephritis (HSPN), and the most effective management remains unclear. Our
aim was to evaluate the efficacy of mycophenolate mofetil (MMF) for treating
pediatric patients with HSPN and nephrotic-range proteinuria. METHODS: Twelve
children, seven boys and five girls, mean age 8.33 (range 6-12) years at the time
of HSPN diagnosis with nephrotic-range proteinuria, were treated with MMF. All
patients failed steroid treatment, and mean proteinuria at the time of MMF
initiation was 5.6 g/d. MMF dosage ranged from 20 to 25 mg/kg per day. Patients
also received an angiotensin-converting enzyme inhibitor (cliazapril) at MMF
initiation. Mean follow-up was 3.9 (range 2.3-5.5) years. RESULTS: All patients
responded to MMF at a mean of 2.5 (range 1-4 months). Among the 12 patients, MMF
was administered for 10 months in five, 12 months in six, and 15 months in one.
At last follow-up, all patients had negative proteinuria and normal renal
function, and no relapses were noted. No serious adverse effects of MMF were
noted in any patient. CONCLUSION: MMF is useful for treating pediatric patients
with HSPN and nephrotic-range proteinuria.
PMID- 22081167
TI - Interactions among Glomus irregulare, arbuscular mycorrhizal spore-associated
bacteria, and plant pathogens under in vitro conditions.
AB - Arbuscular mycorrhizal (AM) fungi interact with bacteria (AM fungi-associated
bacteria, AMB) in the mycorrhizosphere. We previously identified a set of AMB
that enhance AM fungal colonization, plant growth, and inhibit pathogens. Here,
we used transformed carrot root cultures in a two-compartment plate system for
further in vitro studies on interactions taking place among Glomus irregulare
(syn.Glomus intraradices), AMB, and plant pathogens. We found that exudates of G.
irregulare stimulated growth of all ten AMB isolates tested in multi-well plates.
AMB growth stimulation was observed also during co-cultivation of three of these
AMB with G. irregulare in the hyphal compartment. In addition, co-cultivation
stimulated growth of G. irregulare hyphae and spore production, as well as G.
irregulare root colonization. GC/MS analysis in a preliminary screening of
metabolites revealed differences in concentrations of several identified but also
unidentified compounds in G. irregulare hyphal exudates. Exudates in presence of
three different AMB isolates co-cultivated with G. irregulare contained several
additional compounds that differed in amount compared with G. irregulare alone.
The results indicate that G. irregulare exudates contain carbohydrates, amino
acids, and unidentified compounds that could serve as a substrate to stimulate
AMB growth. With regard to effects on plant pathogens, growth inhibition of
Rhizoctonia solani, Verticillium dahliae, and Pectobacterium carotovorum ssp.
carotovorum was evident in the presence of the AMB isolates tested together with
the G. irregulare exudates. These in vitro studies suggest that G. irregulare and
AMB stimulate growth of each other and that they together seem to provide an
additive effect against growth of both fungal and bacterial pathogens.
PMID- 22081169
TI - Prenatal nutrition among rural Bangladeshi pregnant women.
AB - The expected outcome of pregnancy is a healthy mother with a healthy child. The
single most important care which could prevent the negative outcomes of pregnancy
is Antenatal Care (ANC). Proper and timely antenatal care can significantly
reduce the risks of maternal mortality. In pregnancy, total cost is about 80,000
Kcal, and above normal energy requirements. To find out prenatal nutrition an
exploratory study was carried out in seven villages of the Ward-2 of Jamtoil
Union of Kamarkhand Upazila under Sirajganj District. Thirty pregnant women of
different trimesters, gravida and parity had been studied employing the methods
and techniques of "Ethnographic Field Work." Mean daily calorie consumption of
the Key Informants (KIs) was 1480.49 Kcal without reference to their religious
affiliation, family resource base, education, occupation, gravidity, parity and
duration of pregnancy. This is indicated that the mean calorie intake of the Key
Informants did not meet not only their prenatal nutritional need but also their
requirement during pre-pregnancy period. It was observed that food intake was in
no way different from that of the non-pregnant status. Antenatal care of rural
inhabitants analyzed almost exclusively from biomedical perspectives, its
cultural, socio-economic, gender, ecological and other relevant perspectives are
mostly ignored. In order to have safe motherhood up through compliance of
prenatal advice, nutritional one in particular, these factors should be taken
into consideration.
PMID- 22081168
TI - Paralemniscal TIP39 is induced in rat dams and may participate in maternal
functions.
AB - The paralemniscal area, situated between the pontine reticular formation and the
lateral lemniscus in the pontomesencephalic tegmentum contains some
tuberoinfundibular peptide of 39 residues (TIP39)-expressing neurons. In the
present study, we measured a 4 times increase in the level of TIP39 mRNA in the
paralemniscal area of lactating mothers as opposed to nulliparous females and
mothers deprived of pups using real-time RT-PCR. In situ hybridization
histochemistry and immunolabeling demonstrated that the induction of TIP39 in
mothers takes place within the medial paralemniscal nucleus, a
cytoarchitectonically distinct part of the paralemniscal area, and that the
increase in TIP39 mRNA levels translates into elevated peptide levels in dams.
The paralemniscal area has been implicated in maternal control as well as in pain
perception. To establish the function of induced TIP39, we investigated the
activation of TIP39 neurons in response to pup exposure as maternal, and formalin
injection as noxious stimulus. Both stimuli elicited c-fos expression in the
paralemniscal area. Subsequent double labeling demonstrated that 95% of neurons
expressing Fos in response to pup exposure also contained TIP39 immunoreactivity
and 91% of TIP39 neurons showed c-fos activation by pup exposure. In contrast,
formalin-induced Fos does not co-localize with TIP39. Instead, most formalin
activated neurons are situated medial to the TIP39 cell group. Our data indicate
that paralemniscal neurons may be involved in the processing of maternal and
nociceptive information. However, two different groups of paralemniscal neurons
participate in the two functions. In particular, TIP39 neurons may participate in
the control of maternal functions.
PMID- 22081170
TI - Feeding practices and nutritional status of children under two years of age.
AB - A descriptive cross sectional study was done in the department of Pediatrics
Mymensingh Medical College Hospital. The purpose of the study was to assess
breast feeding pattern, complementary feeding pattern, types of complementary
foods and also to assess the nutritional status and to detect any relationship
with the nutritional status and the feeding practices. Mothers with their
children aged less than 2 years were included and very sick children, mother less
children, handicapped children were excluded. Four hundred (400) consecutive
children were enrolled from eight upazillas of Mymensingh district who were
selected randomly. Out of 400 children, 214 children (53.5%) were male and 186
children (47.5%) were female and M:F was 1.2:1. Exclusive breastfeeding rate was
41.5%. Pre-lacteal feeding rate were 30.7% and most common pre-lacteal foods were
honey and sugar water. Colostrum was given in 69.3% children. Breast feeding
continued at the time of interview was 58.1%. Complementary feeding started in
time in 35.8%, early weaning in 44.5% children. Type of complementary food was
mainly luta (rice powder mixed with boiled water and sugar only) in 38.8% and
khichuri (rice, pulses, soybean oil) in 19.5%. Bottle feeding rate was 31.30%.
Around 43% children were underweight and 10.25% children were severely
underweight and common in between 12 to 23 months of age group. Around 29%
children were stunted among 11.25% were severely stunted. About 13.5% children
were wasted and among them 2.5% were severely wasted. Stunting prevalent over the
age of 9 months and wasting started after 6 months of age. Malnutrition was
common in partially breast fed and early weaning with carbohydrate rich food.
PMID- 22081171
TI - Intra-vaginal use of misoprostol for induction of labour in intrauterine death.
AB - This prospective study was done in the Department of Obstetric & Gynaecology in
Mymensingh Medical College & Hospital during the period of February 2006 to
January 2007, to assess the efficacy of vaginal misoprostol for induction of
labour in intrauterine foetal death cases and to detect any intrapartum or
postpartum complications. For this study, 50 cases of IUD were selected among
admitted patients who were diagnosed by detailed history, clinical examination
and by USG. Fifty microgram of misoprostol was given per vaginally, which was
repeated 4 hours interval upto effective uterine contraction to a maximum six
doses. All the informations were recorded in a predesigned structured data
collection sheet and data had been interpreted through appropriate statistical
analysis. In this study, 46% patients were within 18-25 years of age and
gestational age between 28-37 weeks was 80%. Regarding causes of IUD, commonest
was idiopathic (52%), next was gestational hypertension, pre-eclampsia, impending
eclampsia (28%). Most of the patients (80%) had no history of antenatal checkup
and belongs to below average socioeconomic status. Most case (64%) had less
Bishop's score (<3) & all cases had unfavourable cervix, score <6. Vaginal
delivery was 98% and 2% needed caesarean section. Mean induction delivery
interval was 11.8 hours. Induction delivery interval was within 6-23 hours and
66% cases needed 2-3 doses of vaginal misoprostol. Complications were found in a
minor group of patients. Nausea, vomiting, occurred in 12% of cases. Others were
fever (2%), shivering (6%), PPH (4%), chorioamniotitis (2%) etc. Vaginal
misoprostol for cervical ripening and labour induction is very effective and
shorten the time of induction delivery interval. On the other hand, misoprostol
is quite cheap, easy to administer, well tolerability and less side effects.
PMID- 22081172
TI - Correlation between clinical presentation, peroperative finding and
histopathological report in acute appendicitis.
AB - Acute appendicitis is usually encountered clinically as acute abdomen. Typical
cases are easy to diagnose, but sometimes it is very difficult to make a
diagnosis in atypical cases. The objective of the study was to determine the
diagnostic accuracy in patient of clinically diagnosed acute appendicitis. This
prospective study conducted in Mymensingh medical college hospital on 1136
patients presented with acute abdomen and clinically diagnosed as acute
appendicitis from July 2004 to June 2010. Emergency appendicectomy was done in
all consecutive patients after relevant investigation. Intraoperative findings
along with histopathological reports were compared with clinical diagnosis. On
the basis of histopathological report, 85.65% were found to have acute
appendicitis with misdiagnosis in rest of the subjects requiring unnecessary
explorations. Negative exploration was more in emergency than office hour. This
may be due to diagnostic inaccuracy and decision-making in the management of the
acute appendicitis. Management errors can be significantly reduced by accurate
preoperative diagnosis of acute appendicitis by improving clinical skill and
appropriate investigations.
PMID- 22081173
TI - Superiority of measuring apolipoprotein B to conventional lipid profile
predicting risk of acute coronary syndrome in young people.
AB - The purpose of this study is to compare the importance and its superiority of
apolipoprotein B (apoB) over conventional lipid profile for predicting risk of
acute coronary syndrome in young people of Bangladesh. This case-control study
was carried out in Department of Cardiology, of Mymensingh Medical College
Hospital within the period from June 2009 to May 2010. A total 50 case of 18-45
years of age with first attack of acute coronary syndrome admitted in coronary
care unit and 50 healthy controls of same age and sex distribution were studied.
Twenty five (50.0%) of the studied case had hyper apoB condition, those low
density lipoprotein cholesterol (LDL-C) level was normal, thus conventional lipid
profile underestimated the risk. Among the controls 23(46.0%) with high LDL-C had
hyper apoB condition. Hyper-ApoB in these controls may cause acute coronary
syndrome in future. The present study shows significant association of
apolipoprotein B as an independent determinant and estimation of apoB other than
conventional lipid profile may be an alternative tool for predicting risk of
development of acute coronary syndrome in young people.
PMID- 22081174
TI - Postoperative pain relief following inguinal hernia repair in children by wound
infiltration with levobupivacaine.
AB - The present study has been designed to compare the postoperative pain relief for
inguinal hernia repair in children through wound infiltration with
levobupivacaine with that provided by paracetamol administration per rectaly.
This interventional study was carried out in the Department of Paediatric
surgery, Mymensingh Medical College Hospital, Mymensingh and Dhaka Medical
College Hospital, Dhaka, during the period from January 2009 to September 2010. A
total of 120 patients were included in this study. Among them 60 patients in
Group-A (study group) where post incisional wound infiltration with
levobupivacain after inguinal herniotomy and before skin closure was done and
60 patients in Group-B (control group) where paracetamol was given per rectally
after anesthesia induction. Both groups were followed up post operatively for 23
hours. In Group A maximum analgesic period was 8.30 hours and minimum analgesic
period was 5.30 hours. On the other hand in Group B maximum analgesic period was
6.50 hours and minimum analgesic period was 4.50 hours. Duration of post
operative analgesia between two groups of the patients were significant [p<0.01].
Post incisional wound infiltration with levobupivacain has significantly better
efficacy to rectal administration of paracetamol with respect to providing pain
relief following inguinal hernia repair in children. Longer duration of analgesic
action is more achieved in the Levobupivacaine group.
PMID- 22081175
TI - Nonspirometric aspects of pulmonary function in subjects with dust industries.
AB - Bangladesh is a developing country. Many workers are involved in different
industries which are polluted with dust e.g. saw mill, rice mill, jarda factory
etc. The present study may give a preliminary idea about the lung function status
of those workers. The study has been designed to analyze the lung function status
of those workers by measuring TCO2 aiming to recommend necessary steps to
improved the quality of life of workers of dust industry in our socioeconomic
context. This study was to evaluate pulmonary functional status of those workers
who are exposed to dust in industries like saw mill 26.00 mmol/l, rice mill 31.10
mmol/l and jarda factory 22.11 mmol/l. In all groups p values were >0.1 which was
not significant except jarda factory worker in which p value was <0.001 and it
was highly significant. This study was carried out to increase the awareness of
the workers and to reduce the cost of employment.
PMID- 22081176
TI - Different doses of atropine on heart rate fluctuations during reversal of
neuromuscular blockade.
AB - It was a prospective comparative clinical study carried out in the Department of
Anaesthesia, Combined Military Hospital (CMH), Dhaka, to evaluate the heart rate
changes during reversal of neuromuscular blockade by using 3 different doses of
atropine (in a mixture with neostigmine) and thereby detect comparatively safer
dose. Sixty patients of ASA (American Society of Anesthesiologists) grade I and
II physical status were divided equally into 3 groups. Neostigmine 0.05 mg/kg
body weight mixed with atropine 0.02, 0.015 and 0.01 mg/kg body weight given
intravenously in group A, B and C respectively during reversal. The 3 groups were
compared in age, sex, body weight, and ASA grades, but there was no significant
difference (p>0.05) between 3 groups. It is revealed that in Group C (atropine at
a dose of 0.01 mg/kg with neostigmine), heart rate affected very little but
salivary and tracheobronchial secretions were more. One of them had laryngospasm
which was managed conservatively. In Group B (who received atropine 0.015 mg/kg)
incidence of tachycardia observed was less than Group A (who received atropine
0.02 mg/kg). The patients of this group also had some salivary secretions but
less than group C. Tachycardia was most marked in Group A but had minimum
salivary secretions. Statistical analysis of heart rate changes in different
times among the 3 Groups was significant (p<0.05). It was highly significant
(p<0.01) in 1 minute after reversal. So relatively lower dose (0.015 mg/kg) of
atropine with neostigmine than the conventional dose (0.02mg/kg) can be used to
decrease tachycardia during reversal of neuromuscular blockade especially in
haemodynamically unstable patients.
PMID- 22081177
TI - Role of ETT to diagnose coronary artery disease.
AB - The purpose of the study to predict the coronary arterial disease by exercise
treadmill scoring system and to see the correlation of angiographic extent of
coronary artery disease by high treadmill score in our country. The present
prospective study carried out in the department of cardiology, Bangabandhu Sheikh
Mujib Medical University, University Cardiac Centre during the period of January
2006 to July 2006. Based on inclusion and exclusion criteria a total of 80
patient of chronic stable angina or chest pain evaluation having positive ETT who
were admitted in university cardiac centre in Bangabandhu Sheikh Mujib Medical
University and underwent coronary angiogram within one month were the study
population. Among 80 subjects 60(75%) were male and 20(25%) were female and male:
female ratio 3:1 Mean age of male subject 51.37+/-9.08 years and mean age of
female subjects were 43.75+/-7.67 years. Three quarter of the subject had the
history of typical anginal pain followed by 21.3% atypical and 3.8% pain of non
anginal origin. All the risk factors like, dyslipidemia (90%), HTN (68.8%) past
smoker (45%), current smoker (32.5%), diabetes (36.3%), family history of
coronary artery disease (25%) and sudden death of first degree relatives (8.8%);
dyslipidemia was the highest percentage. Among 80 subjects more than one third
35% (28) of the subjects were observed to be normal by angiogram, 28.8% (23) had
SVD, 16.3% (13) DVD and 20% (16) TVD. Approximately 70% of the significant
stenosis was predicted as having high probability of 30.8% as intermediate
probability and none as low probability with exercise test scores. Multi-vessel
coronary artery disease were predicted by high probability exercise test score
82.8%. Thus high probability score needs urgent coronary angiogram.
PMID- 22081178
TI - Comparative study between combination of famciclovir and prednisolone with
prednisolone alone in acute Bell's palsy.
AB - The antiviral drug acyclovir or its analogue, valacyclovir, has been applied in
various trials on Bell's palsy with inconsistent results. We compared the
therapeutic effect of famciclovir plus prednisolone with prednisolone alone, in
patients with Bell's palsy. In a randomized, prospective trial, 68 patients were
randomized to treatment with famciclovir and prednisolone (34 patients) or
prednisolone alone (34 patients). All patients underwent supportive therapy.
Severity of Bell's palsy was evaluated using the House-Brackmann scale (HBS).
Follow-up was done after 1 week, 1 month and 3 months, with complete recovery
defined as House-Brackmann grade I. The analysis revealed that recovery rates at
month 1 and 3 were significantly higher in combination group than that of
prednisolone only group (94.1% vs. 61.8% and 97.1% vs. 74.5% respectively). Again
recovery from mild to moderate (HBS-II, III, IV) Bell's palsy occurred completely
at month 3 and that of severe (HBS-V, VI) Bell's palsy was two-third of the
patients. Again in severe Bell's palsy combination treatment increased the chance
of complete recovery more than 10-fold than that of steroid only. The study
results suggest that better outcome for Bell's palsy patients occurred if they
were treated with prednisolone and famciclovir combination instead of
prednisolone alone. In fact a considerable number of patients were benefited from
additional antiviral therapy with famciclovir.
PMID- 22081179
TI - Serum hyaluronic acid as a predictor of fibrosis in chronic hepatitis B and C
virus infection.
AB - Chronic hepatitis B and C virus infection can lead to cirrhosis and
hepatocellular carcinoma. Several studies investigated the diagnostic and
prognostic value of some biochemical markers to detect the hepatic fibrosis and
found a correlation between serum markers and hepatic fibrosis. Among them serum
hyaluronic acid (HA) has been identified as a potential marker of fibrosis or
cirrhosis in different studies. A prospective study in 60 subjects was conducted
to evaluate the association between serum HA and hepatic fibrosis. Thirty
consecutive patients with chronic HBV or HCV infection undergoing liver biopsy
were studied. Sera were obtained for HA using enzyme linked protein binding
assay. Patients with hepatic fibrosis had higher serum HA concentration compared
with healthy subjects (236.65 +/- 227.07 vs. 23.32 +/- 14.22 respectively,
p<0.001). Correlation was found between high serum HA concentration and
increasing degree of hepatic fibrosis (R-0.322 and p<0.041). This study had shown
a good correlation between serum HA and different stages of hepatic fibrosis. So
serum HA may be used as a useful marker of hepatic fibrosis.
PMID- 22081180
TI - Outcome of pregnancy in patients with congenital heart diseases.
AB - This prospective study was conducted to evaluate the outcome of pregnancies in
women with congenital heart diseases. In this study 50 pregnant women age between
20-45 years with congenital heart diseases were included. Twenty two (44%) were
presented with atrial septal defect, 12(24%) with ventricular septal defect,
5(10%) were with patent ductus arteriosus, 6(12%) with Fallot's tetralogy, 2(4%)
with pulmonary stenosis, 2(4%) with Eisenmenger syndrome, 1(2%) with
dextrocardia. Shortness of breath (60%) was the main presenting complaint. Normal
vaginal delivery (52%) was done in majority of cases. Spontaneous abortion
occurred in 16% of pregnancies. Major complications were heart failure 16%,
arrhythmias 21%, cardiovascular mortality 4%, preeclampsia 4%, and eclampsia 2%.
Premature birth 16%, fetal demise 4%, neonatal death 2% and cardiac anomaly at
birth 2% were also observed. The outcome of pregnancy in women with congenital
heart diseases is favourable with considerable maternal and neonatal
complications.
PMID- 22081181
TI - Management of differentiated thyroid carcinoma.
AB - The study was done to determine the clinical, radiological and histopathological
characteristics along with the management outcome of differentiated thyroid
carcinoma. This Retrospective study included sixty patients with differentiated
thyroid carcinoma presented to Department of Otolaryngology and Head-Neck Surgery
at Dhaka Medical College Hospital and Apollo Hospitals Dhaka from June 2006 to
December 2008. The data of each patient included age, sex, presenting symptoms
and signs, provisional diagnosis, preoperative investigations, operation notes,
histopathological examination and state at follow up. This study included 28
males and 32 females. The mean age was 42.7 years. Maximum patients presented at
4th decade. The commonest presentation was thyroid swelling followed by lateral
neck swelling. Detailed clinical assessment before operative treatment has been
done for all patients. Fifty five patients (91.66%) presented with single nodule.
Distant metastasis was found in 2 cases. All patients underwent fine needle
aspiration cytology which was conclusive in 38 patients (63.33%). All the sixty
patients underwent surgical excision; either total thyroidectomy or completion
thyroidectomy. Neck dissection was performed in 8 patients. All patients received
postoperative radio-iodine. Fifty one cases were papillary carcinoma and 9 cases
were follicular carcinoma. Except for one case with local recurrence the
remaining cases were disease free on follow up (up to 10-40 months). One patient
died with bone metastasis 2 years after operation. Of all thyroid cancers,
majority cases are papillary cancer (85%). In contrast to other cancers, thyroid
cancer is almost always curable. Most thyroid cancers grow slowly and are
associated with a very favorable prognosis. Early diagnosis and treatment of the
same is strongly advisable.
PMID- 22081182
TI - Forgotten urological stent.
AB - "Forgotten" Ureteral stent DJ stent related complication is not uncommon even in
the era of modern urology in the developed world. In this context we have
undertaken a retro-prospective study in relation to its various causes,
complications, management and prevention of forgotten stents in a single teaching
institute. The study period was from January'04 to December'09. The sample size
was 60. The effect of "forgotten stent" in our study reflects mild UTI to various
complications including severe renal failure. We had approached all of our cases
judiciously using various modalities of minimal access endourological techniques
either alone or in combinations with successful outcome. The study concluded that
it is vary easy to prevent "forgotten stent" and so its complications. However if
we confront such unwanted complications that could be managed successfully with
the use of endourological techniques. We did not use other techniques like
laparoscopy and open surgery in this study.
PMID- 22081183
TI - Prevalence of stroke above forty years.
AB - Number of elderly persons gradually increased in Bangladesh due to improved
health awareness and health care. Age is the single most important risk factor
for stroke. This study aims at finding the prevalence of stroke in a Bangladeshi
population aged forty years and above. The cases of stroke were ascertained in
two phases of door-to-door survey. In phase-I, trained interviewers performed
face-to-face interview with subjects for the detection stroke cases using the
World Health Organization (WHO) screening protocol for neurological diseases. In
phase-II, subjects suspected to have a stroke underwent a clinical evaluation for
diagnosis or exclusion of stroke by a neurological team. The study involved 15627
participants aged 40 years and above. A total of 47 participants found to have
stroke, expressing an overall prevalence rate of 3.00 per 1000 (95% CI 0.95 to
2.45). Prevalence of stroke were 2.0, 3.0, 2.0, 10.0, and 10.0 per 1000 within
age groups of 40-49 years, 50-59 years, 60-69 years, 70-79 years and 80 years and
above age group respectively. Prevalence of stroke in people with age range 70-79
years compared to 40-49 years age range is 4.988 (95% CI 2.309 to 10.77) times
and people with age range >=80 years compared to 40-49 years age range is 4.798
(95% CI 1.597 to14.416) times. Prevalence was higher among men in comparison with
women. The male: female ratio is 3.44:2.41 per 1000 respectively. Bangladeshi
male populations in rural areas are found to have stroke more than urban people.
A large community based study should be undertaken to further confirm the result
of this present study.
PMID- 22081184
TI - Fluctuation of pain by weather change in musculoskeletal disorders.
AB - In order to find out the fluctuation of pain by weather change, a descriptive
cross-sectional study was conducted among 138 individuals having musculoskeletal
disorders (MSDs) attending the out patient department (OPD) of Physical Medicine
and Rehabilitation, Bangabandhu Sheikh Mujib Medical University (BSMMU) Hospital,
Dhaka, during March 2004 to June 2004. Data were collected by face to face
interview employing a pre-tested interview schedule containing structured
questions. Among 138 respondents, male were predominant (52.2%). Mean age of the
respondents was 39.42+/-10.79 years, while the most common age group was found as
'31 to 40 years'. By occupation, majority were housewives (40.58%), followed by
businessmen (29.71%), service holder (15.22%), laborer (7.97%), and students
(6.52%). The primary sites of pain were back and low back (38.4%), knee (24.6%),
leg (8.7%), ankle and heel (8.0%), hand and wrist (6.5%), neck (5.8%), shoulder
(5.8%), and elbow (2.2%). Highest number (47.8%) patients reported aching pain,
while one fifth (20.3%) of them experienced burning pain. About 36.2 percent
respondents mentioned 'prolonged standing' as the main cause of pain aggravation,
while almost half (48.6%) of the patients perceived that 'application of heat'
was the key relieving factor of their pain. About two third (63%) of the
respondents were sensitive to weather change; among them 56.3 percent reported
that their pain increased during cold weather. Moreover, more than two third
(67.4%) study-patients experienced deterioration of pain due to seasonal
variation; of them 59.1 percent reported that their pain was exacerbated in
winter season. Of all respondents, less than one third (30.4%) experienced
aggravation of pain due to lunar change; of them majority (85.7%) experienced
increased pain during dark fortnights. Our study concluded that weather change
might have an important role in fluctuation of pain among individuals having
musculoskeletal disorders.
PMID- 22081185
TI - Morphological pattern of glomerular diseases in adult nephrotic syndrome.
AB - Nephrotic syndrome can result from a large number of glomerular lesions. The
primary or idiopathic nephrotic syndrome is a condition which develops as a
result of primary glomerular disorders of the kidney. From July 2005 to June
2007, renal biopsies were done in all patients of adult nephrotic syndrome. Renal
biopsies were evaluated by light and immunofluorescence microscopy. Out of 74
renal biopsies primary nephrotic syndrome was 70. Male was 64.9% and; 35.1% was
female patients. Average age was (33.14+/-11.70) years. The main morphological
pattern was Mesangialproliferative glomerulonephritis 36.48% followed by
membranoproliferative glomerulonephritis 20.27%. Membranous nephropathy (10.81%)
was not much common in our country.
PMID- 22081186
TI - Diagnosis of breast lump by fine needle aspiration cytology and mammography.
AB - Breast carcinoma is the most common malignant tumour and the leading cause of
death from cancer in women. A large number of patients in Bangladesh have been
suffering from breast cancer. Now-a-days, Fine needle aspiration cytology (FNAC)
is being performed as a pre-operative test to evaluate breast lump. FNAC is cost
effective and can prevent unnecessary surgery. As FNAC became more reliable in
diagnosing malignancy and thereby the use of frozen-section histology had been
reduced by about 80%. But erroneous diagnosis is more common with FNAC than with
histopathology. However, mammography can identify breast cancers too small to
palpate on physical examination and theoretically beneficial to diagnose even
noninvasive lesions. Present study aimed to see the accuracy of FNAC and
mammography in the diagnosis of palpable breast lumps and to study their
correlation. In this study 222 patients were included in the study and FNAC was
done in all the patients. Mammography was done in 112 cases. Among these 112
patients 32 cases were found malignant. Histopathology was done in total 89
cases. Among 112 patients who were underwent mammography only 43 were found for
histopathology. Finally, 36 cases were found malignant. Fibroadenoma is mostly
found in below 20 years group and malignancy is mostly occurring in older age
group. Mammography shows total 8 false positive and 5 false negative cases. FNAC
shows only 1 false positive and 1 false negative case. On analysis mammography
showed 82.76% sensitivity, 90.36% specificity, 75% Positive predictive value
(PPV), 93.75% Negative predictive value (NPV) and 88.39% accuracy. FNAC showed
97.22% sensitivity, 99.46% specificity, 97.220% PPV, 99.46% NPV and 99.095%
accuracy. Mammography was found to be less sensitive, specific and accurate in
the diagnosis of breast lump though there is highly significant correlation among
them. However, the study has shown a much higher performance of FNAC than other
previous studies indicating the improved skill in cytological diagnosis to a
satisfactory level.
PMID- 22081187
TI - Physical training induced resting bradycardia and its association with cardiac
autonomic nervous activities.
AB - Regular physical exercise causes resting bradycardia. This exercise-induced
resting bradycardia may be associated with exercise-induced changes in Cardiac
autonomic nervous activities (CANA). Power Spectral Analysis (PSA) of Heart rate
variability (HRV) is one of the most promising new techniques to quantify CANA.
Regular physical exercise induced bradycardia is associated with exercise-induced
adaptation in CANA. To observe the HRV parameters by frequency domain method
(PSA), in male adolescent athletes in order to find out the influence of regular
physical exercise on resting heart rate (HR) and CANA. The cross sectional study
was carried out on 62 adolescent male athletes aged 12-18 years (group B), in the
Department of Physiology, Bangabandhu Sheikh Mujib Medical University from 1st
July 2007 to 30th June 2008. For comparison 30 age, sex and socioeconomic
condition matched apparently healthy sedentary subjects (group A) were also
studied. The study group was selected from the BKSP (Bangladesh Krira Shikka
Prothistan, Savar, Dhaka) and the control from a residential school of Dhaka
city. HRV parameters were assessed by Polygraph (Polyrite D, version 2.2). For
statistical analysis Independent-Samples t-test was done as applicable. Resting
mean HR was significantly (p<0.001) lower in the athletes. The mean value of
Total (variance), VLF, LF and HF power was significantly (p<0.001) higher in
athletes than that of non-athetes. Regular physical exercise-induced resting
bradycardia is associated with exercise-induced adaptation in cardiac autonomic
nervous activities.
PMID- 22081188
TI - Parental knowledge, attitude and practice related to blindness of children in
some selected Upazilla of Bangladesh.
AB - Early detection of blind children at the household and community level is
critical in reducing the global burden of visual impairment and childhood
blindness. The aim of the study is to identify a range of potential issues
relating to parental awareness and perceptions of common eye diseases affecting
children. It was a descriptive and cross sectional study. Parents were recruited
from four selected Upazillas ('pouroshoba' - 25% and rural - 75%) in the Naogaon
district of Bangladesh. The method used in this study to assess parental
knowledge and belief was by means of a questionnaire. The selected subjects were
interviewed in detail using a structured questionnaire. It is mentionable that
among common eye disease, about three-fourth of the parents informed that vitamin
A deficiency was the leading cause of blindness and more than one quarter
believed that eye infection was the important cause of childhood blindness. Very
few reported that injury in eye was the cause of childhood blindness. Analysis
of respondents of this study revealed that half of the parents believed that
childhood cataract is untreatable. Approximately 90% those surveyed were unaware
of schooling systems for blind children and only 5% sought treatment from an
ophthalmologist. This study also demonstrates that the health seeking behavior of
parents and their extended families is poor. The mean age of the parents was
32.5+/-9.3 years, about 75% of parents had education up to primary level, and
only 3.7% of them had graduation degree and above. The findings reinforce the
necessity of parental awareness of common eye diseases in children and the
importance of seeking timely advice including treatment based on informed
decisions.
PMID- 22081189
TI - Ondansetron versus granisetron in the prevention of chemotherapy induced nausea
and vomiting in children with acute lymphoblastic leukemia.
AB - Effect of ondansetron and granisetron were evaluated in sixty (60) children (age
4-11 years) irrespective of sex, diagnosed case of acute lymphoblastic leukemia
(ALL) who received high dose methotrexate and did not receive any antiemetic 24
hours prior to HDMTX. This was a prospective, randomized, double-blind, single
center study. Of 60 children, 30 received oral ondansetron (4mg) and rest 30
granisetron (1mg) half an hour before therapy. Drugs were randomly allocated with
appropriate code. The patients were followed up from day 1 to day 5 of therapy.
Episodes of nausea and vomiting were recorded and scorings was done every 24
hours following chemotherapy. No significant difference was found between two
groups according to acute emesis (Day-1) (p=0.053). In day two and day three it
was significant (p<0.05). In day four it was significant (p=0.002). Early
chemotherapy induced nausea and vomiting (CINV) were controlled 90% in children
who received granisetron and 70% in children who received ondansetron. Delayed
(Day 2-4) CINV were controlled in 80% of children who received granisetron and
43.4% who received ondansetron (p<0.05). Granisetron group required additional
doses only 3.3% cases and ondanseton group 30% cases on the second day (p<0.05).
Result was significant between two groups. About 36.7% patients had episodes of
nausea on day four of chemotherapy in ondansetron group and it was only 3.3% in
granisetron group due to adverse effects of antiemetic drug itself (p=0.001).
Maximum episodes of vomiting were found on the second day in ondansetron group
33.3% and in granisetron group 3.3% (p=0.003). Though adverse effects like
headache, constipation, abdominal pain and loose motion were common in both group
of children but their number was much less in children who received granisetron.
On second day of therapy score of nausea and vomiting was maximum in ondansetron
and minimum in granisetron treated on day 4 and the result was significant. So,
to prevent acute and delayed CINV in children with ALL, oral graniseteron can be
considered as more effective and well tolerated with minimum adverse effects
compared with ondansetrons.
PMID- 22081190
TI - Effect of low dose levodopa on motor outcome of different types of stroke.
AB - This case control study interventional study was carried out in Neurology out
patient department of Bangabandhu Sheikh Mujib Medical University (BSMMU) from
January 2004 to June 2005. The aim of the study was to investigate the effect of
low dose levodopa on motor outcome of patients with stroke both ischaemic and
haemorrhagic. A total of 97 patients of stroke confirmed by computerized
tomography (CT) scan of brain were included in the study. Of them 51 were treated
with levodopa 125mg (L group) and physiotherapy while 46 patients (NL group) were
treated only with physiotherapy without levodopa. The patients were followed up
every 2 weeks interval for 8 weeks. Motor outcome was measured in Rivermead
Mobility Index (RMI). Comparison was made between L & NL group irrespective of
stroke types at the end of 4th visit. Also the effect of levodopa was assessed
separately in ischaemic & haemorrhaegic stroke. The results of the study showed
that the age of stroke patients was 54.70+/-15.07 years in L group & 58.10+/
14.69 years in NL group. Ischaemic stroke patients were 72% and haemorrhagic
stroke patients were 28%. The mean increase RMI score in L group irrespective of
stroke subtypes was 6.9 while in NL group was 3.0. The increase in motor outcome
was significantly higher in levodopa group than non-levodopa group (p<0.001).
Similarly motor outcome measured in RMI score were significantly better
individually in ischaemic & haemorrhagic stroke patients of L group than NL
(p<0.001). So, the study result concludes that low dose levodopa improves motor
outcome in patient with stroke both ischaemic and haemorrhagic.
PMID- 22081191
TI - Prevalence and antimicrobial susceptibility of enterococcus species isolated from
clinical specimens.
AB - Multidrug-resistant enterococci are emerging as a leading nosocomial pathogen.
Knowledge of the antimicrobial resistance profile is essential to formulate
treatment guidelines for infection caused by enterococci. A total of 200
enterococcal strains were isolated from various clinical specimens from May 2009
to April 2010 in the Department of Microbiology, Bangladesh Institute of Health
Sciences Hospital, Mirpur, Dhaka, Bangladesh. They were speciated and an
antimicrobial susceptibility pattern was determined. E. faecalis (75.5%) was the
most common species in all clinical specimens followed by E. faecium (7.5%) and
E. duranes (3.5%). Polymicrobial infection was found in 24(12%) of the cases. The
maximum susceptibility was observed with vancomycin (100%) followed by linezolid
(96%), imipenem and nitrofurantoin (95%). About 30% high level aminoglycoside
resistance (HLAR) was found in this study.
PMID- 22081192
TI - Risk factors of hepatitis B virus infection in children.
AB - Hepatitis B infection (HBV) is prevalent worldwide. Overall prevalence is about 3
percent in Bangladesh. Treatments of chronic HBV infection by antiviral drugs are
costly and outcome of treatment is also restricted. Therefore risk factors
identification is the logical & rational approach to prevent HBV infection. This
case control study aimed to find out certain risk factors related to HBV
infection in children. It was conducted on patients attending the Department of
Paediatric Gastroenterology & Nutrition and Department of Virology of BSMMU and
Khidmah Hospital, Dhaka. A total of one hundred cases and one hundred suitably
matched controls were studied between October 2007 to May 2009.Variables used for
the purpose of the study were younger age, use of mosquito nets, history of
undergoing surgical procedures, history of taking intravenous drug and blood
transfusion, maternal infection, dental procedures and abrasion during hair cut
in barber shops. Chi-square test was done to measure the level of significance.
Odds ratio was calculated to correlate the disease risk. Backward conditional
logistic regression was also done for multivariate analysis. The study result
showed that the frequency of HBV infection among the study population was higher
(62.0%) among children of 7 to 12 years age group. In younger age group (0-6
years) prevalence was 18.0%. Respondents who did not use mosquito net during
sleep on a regular basis had higher risk of developing HBV infection than those
who used it regularly (p value 0.028). Children who underwent surgical procedures
for any reason were significantly associated with HBV infection (p value 0.005).
Intravenous drug use (p value 0.001), mother to child transmission (p value
0.001) and history of abrasion during hair cut in barber shops (p value 0.04)
were also identified as significant risk factors. No significant association was
observed with blood transfusion (p value 0.138) and dental procedures (p value
0.315). From this study it may be concluded that irregular use of mosquito nets,
history of surgical procedures, history of parenteral medication, transmission
from infected mothers and abrasion during hair cut in barber shops are the
probable important risk factors of HBV infection in children.
PMID- 22081193
TI - Disseminated tuberculosis presenting as acute coronary syndrome.
AB - Tuberculosis is a major public health problem in Bangladesh. Though tuberculosis
is common but acute myopericarditis can rarely be caused by tuberculosis
infection. A case of disseminated tuberculosis presenting with features of acute
coronary syndrome is presenting here. A 26 years old man was admitted for severe
central chest pain for 2 days and fever for 2 months. His ECG showed ST segment
elevation in chest leads, V1 to V4 with elevated Troponin I and high ESR. Chest X
Ray depicted an enlarged cardiac shadow. Echocardiography demonstrated multiple
dynamic cavitary lesions involving interventricular septum as well as anterior
wall of the left ventricle within myocardium with moderate pericardial effusion
with trivial mitral regurgitation. A CT scan of chest with contrast revealed
multiple calcific communicating cavities within endocardium and myocardium
involving interventricular septum and anterior wall of the left ventricle of
heart and multiple cavitary lesions in the mid zone of left lung with bilateral
mild pleural effusion. The patient made an excellent recovery on management of
acute coronary syndrome and on antitubercular therapy.
PMID- 22081194
TI - Pancreatic lipomatosis with massive steatorrhea.
AB - Fat replacement of the exocrine pancreas is a rare cause of exocrine pancreatic
failure. We report a case of 32-year-old man with weight loss and massive
steatorrhea in whom abdominal computed tomography (CT) was diagnostic of
pancreatic lipomatosis. The diagnosis was confirmed by needle aspiration
cytological (FNAC) examination. Then oral pancreatic enzyme replacement in
association with cimetidine led to a marked reduction of steatorrhea and weight
gain. In this report it is emphasized that this lipomatosis can be demonstrated
by non-invasive technique using abdominal CT-scan.
PMID- 22081195
TI - Osteopetrosis.
AB - A 15 years old Bangladeshi boy presented with hepatosplenomegaly, anaemia,
multiple fractures (symptomatic and asymptomatic) without jaundice was
investigated. Laboratory findings revealed leukoerythroblastic blood picture with
reduced haemoglobin (7.7 gm/dl). Skeletal survey showed generalized increased
bone density, sclerosed medulary space, Rugger-Jersey spine and diploic space
filled with dense materials. Overlapping clinical features of both intermediate
autosomal recessive and adult autosomal dominant variety of osteopetrosis were
found in this patient but diagnosis were made on the basis of typical
radiological finding which was mostly consistent with the adult autosomal
dominant variety. The patient was treated conservatively and specialist
consultation was taken in managing bony abnormalities. This patient was
discharged with advised of subsequent follow-up.
PMID- 22081196
TI - Primary disseminated MDR-TB in a Bangladeshi man: a silent and emerging clinical
problem for clinicians.
AB - A 26 years old Bangladeshi man experienced sudden gross haematuria for 10 days
when he was working in Italy in June'07 that was resolved spontaneously. Six
months' later he again developed haematuria and was admitted into an Italian
hospital for its evaluation and management. In the mean time, he developed low
grade fever, cough, back pain, spinal angulations, walking difficulty. His X-ray
chest postero-anterior view revealed cavitary lesions in upper zones of both lung
fields. Intravenous Urography (IVU) was done which revealed hydronephrosis and
hydroureter of left kidney. Magnetic Resonance Imaging (MRI) of dorso-lumber
spine revealed collapse of D10-12 and L4-5 vertebral bodies with perivertebral
and epidural abscess. Sputum and urine smear for Acid-Fast-Bacilli (AFB)
demonstrated the organisms on Z-N stain and AFB culture and sensitivity
demonstrated that it was resistant to isoniazide, Rifampicin and streptomycin and
diagnosed as primary disseminated multi-drug Resistant tuberculosis (MDR-TB).
Second-line drugs were started in Italy on 15th January'08 and were continued
thereafter in National Institute of Diseases of the Chest and Hospital (NIDCH). A
ureteric stent which was given in Italy to get relief of hydro-ureter was removed
in Dhaka. A neurosurgery (costo-transversectomy with decompression of spine) was
done in Bangabandhu Sheikh Mujib Medical University (BSMMU), Dhaka and patient
get relief of back pain paresthesia. Lastly, his sputum and urine AFB smear and
AFB culture became negative. So, primary disseminated MDR-TB with renal, spinal
and pulmonary involvement was diagnosed in this Bangladeshi man who had a sputum
AFB culture and sensitivity during his initial diagnostic work-up in Italy. It's
an alarming case that demonstrated necessity of sputum AFB culture and
sensitivity during initial diagnostic work-up.
PMID- 22081197
TI - Tuberculosis of knee joint and tubercular pyomyositis of gastrocnemius muscle.
AB - Extra-spinal musculoskeletal tuberculosis (TB) is rare and tubercular pyomyositis
is extremely rare. Tuberculosis of the knee-joint is a haematogenous infection
secondary to a focus of active disease elsewhere in the body which may not be
found. Tubercular pyomyositis usually caused by invasion from the adjacent
structures rather than a secondary spread. Here we describe a 40 years old male
patient who presented to us with pain in the right knee joint for one and half
years and pain and swelling of right calf muscle for fifteen days. He was
eventually diagnosed as a case of tuberculosis of the right knee joint and
tubercular pyomyositis of right gastrocnemius muscle on the basis of fine needle
aspiration from the right calf that showed caseation necrosis with clusters of
epithelial cells despite absence of systemic symptoms, the absence of other foci
of active tuberculosis and a normal chest radiograph.
PMID- 22081198
TI - Bilateral, symmetrical and extensive cerebral calcification in
pseudohypoparathyroidism.
AB - Pseudohypoparathyroidism (PHP) is a heterogeneous group of disorders
characterized by hypocalcemia, hyperphosphatemia, increased serum concentration
of parathyroid hormone (PTH), and insensitivity to the biological activity of
PTH. Pseudohypoparathyroidism is rare sporadic or autosomal dominant disorder
having several subtypes (type Ia, Ib, Ic, type II). We report a case who was 27
years old married lady having two children presented in the summer season 2009.
Her trousseau's sign was positive, serum calcium 5.5 mg/dl, PTH 137 pgm/ml and CT
scan of brain shows bilateral and symmetrical calcification. She had occasional
doubtful seizure and headache for 7 years. Initially clinicians could not reach
the diagnosis due to inadequate investigations.
PMID- 22081199
TI - Colles' fracture - is it a signal of osteoporosis?
AB - Asymptomatic post-menopausal osteoporosis is common but some-times associated
with pain and deformity. Symptomatic osteoporosis is usually associated with
fracture. A 59 years old post-menopausal woman presented with a history of acute
low-back-pain. She had menopause for 12 years. She gave history of colles'
fracture at about two years back. Her mother died as consequences of femoral neck
fracture. MRI of vertebral spine showed demineralization with partial collapse of
D6,7,12 and L1 vertebra. Dual energy X-ray absorptiometry of vertebra showed BMD
T-score of -4.5. Patient was managed with IV infusion of zoledronic acid, oral
intake of vitamin D and calcium supplements and with regular non-weight-bearing
exercises. Her condition improved gradually. During post-menopausal period, every
women must be aware of osteoporosis and any fracture in that time must be
evaluated to rule out osteoporosis.
PMID- 22081200
TI - Papillon-Lefevre syndrome.
AB - Papillon-Lefevre syndrome is a very rare syndrome of autosomal recessive
inheritance characterized by palmoplanter hyperkeratosis of the skin and severe
periodontal disease extending to destruction of the alveolar bone surrounding
deciduous and permanent teeth as they erupt leading to precocious loss of
dentition. Although the exact pathogenesis of this syndrome is still unknown
immunologic, microbiologic, and genetic bases have been proposed. Here we report
a case of Papillon-Lefevre syndrome. The patient had generalized plaque
accumulation along with halitosis, mobile teeth with periodontal pocket with pus
exudation. Blood & biochemical report was within normal limit with a low CD3+ and
CD4+.
PMID- 22081201
TI - An overview of Brucellosis.
AB - Brucellosis is the most important zoonotic disease caused by Brucella species
comprising Gram negative, facultative, intracellular pathogens. The true
incidence of human brucellosis is unknown for most countries of the world
including Bangladesh. But brucellosis is not uncommon in our country. Due to its
increasing incidence in many countries of the world it is an important issue now
days. Domestic animals such as cattle, goats, sheep, pigs, camel, buffalo and
dogs serve as a reservoir hosts. Transmission of brucellosis to humans occurs
through the consumption of infected, unpasteurized animal milk and milk products,
through direct contact with infected animal parts, through ruptures of skin and
mucous membranes and through the inhalation of infected aerosolized particles.
Due to variability of clinical features and limited availability of laboratory
facilities, the disease remains largely under-reported. Early and specific
diagnosis is important to ensure a favourable outcome regarding this zoonotic
disease.
PMID- 22081202
TI - Guillian-Barre syndrome.
AB - Guillian-Barre syndrome (GBS) is the most common cause of acute flaccid
paralysis. All age groups can be affected, more common in elderly. Campylobacter
jejuni, a major cause of bacterial gastroenteritis worldwide has become
recognized as a most frequent antecedent pathogen for GBS. A prospective case
controlled study showed, positive C. Jejuni serology was found in an
unprecedented high frequency of 57% as compared to 8% in family controls and 3%
in control patients with other neurological diseases. In GBS there is molecular
mimicry between epitops found in the cell walls of some micro-organisms and
gangliosides in schwann cell membrane. Diagnosis is mainly clinical. The mainstay
of treatment of GBS is supportive care and prevention of complications.
Respiratory failure and autonomic dysfunction are the common causes of death from
GBS. Plasma exchange and intravenous immunoglobulin therapy shorten the duration
of ventilation and improve prognosis. Overall, prognosis of GBS is good. Eighty
percent of patients recover completely within 3-6 months, 4% die, and the
remainder suffers residual neurological disability. GBS may be prevented by
development of a vaccine against C. Jejuni. Early and specific diagnosis is
important to ensure a favourable outcome.
PMID- 22081203
TI - Ad-hoc percutaneous coronary intervention and staged percutaneous coronary
intervention.
AB - Percutaneous coronary intervention (PCI) is a catheter based procedure where a
catheter system is introduced through a systemic artery under local anesthesia in
a stenotic coronary artery by controlled inflation of a distensible balloon. At
early period, PCI was done in a separate session following coronary angiogram
(Staged PCI) and it was more costly and hospital stay was long. As the
expertization and laboratory facilities improved, the health care providers think
about cost, hospital stay and patient convenience. So, to reduce the cost and
patient preferred more PCI being done immediately following diagnostic
catheterization (Ad-hoc PCI). Subsequently this Ad-hoc procedure becomes popular
and now most of the PCI are Ad-hoc PCI worldwide. Rate of combined procedure (Ad
hoc) progressively increased from 54% in 1990 to 88% in 2000 with a significant
decrease in rate of complications. In the initial study of Ad-hoc PCI suggested
that Ad-hoc PCI should be done in selected group of patients as there was some
potential risk factors (e.g. Multivessel diseases, unstable angina, aortic valve
disease, and recent infarction or thrombolytic therapy) for Ad-hoc procedure and
some (e.g. Older age, multivessel PTCA and complex lesion PTCA) for staged
procedure. But recent studies showed that no significant difference in respect of
safety and efficacy between Ad-hoc and staged PCI. Previous studies, in the era
of balloon angioplasty from 1985 to 1995, Haraphonges et al. (1988), Rozenman et
al. (1995) and Kimmel et al. (1997) suggested that an ad hoc approach is safe,
with potentially more complications in patients with unstable angina pectoris or
other high-risk factors. However, these reports have been limited to
observational studies representing single institution experiences with small
samples and inclusion of patients who underwent emergency PCI procedures. In
Bangladesh a prospective observational study was done among 120 patients to
compare the outcome of Ad-hoc and staged PCI and high angiographic, procedural
and clinical success rate with minimum complications were observed in Ad-hoc PCI
in comparison to Staged PCI.
PMID- 22081204
TI - The role of neuropsychological assessment in the functional outcomes of children
with ADHD.
AB - The value of evidence-based services is now recognized both within clinical
communities and by the public at large. Increasingly, neuropsychologists must
justify the necessity of often costly and time-consuming neuropsychological
assessments in the diagnosis and treatment of common childhood disorders, such as
Attention-deficit/Hyperactivity Disorder (ADHD). Published medical guidelines and
prominent researchers, however, have argued against the need for formal
neuropsychological assessment of ADHD. The present review examines the literature
on developmental outcomes in childhood ADHD, with emphasis on the utility of
formal neuropsychological assessment among children diagnosed and treated in
primary care settings. The review yields three central findings: 1) adherence to
published diagnostic guidelines for ADHD is poor among pediatric and primary care
physicians; 2) ADHD most often co-exists with other disorders, thus diagnoses
made without formal psychometric assessment can be incomplete or incorrect,
ultimately increasing treatment costs; and, 3) untreated children with ADHD, and
those who have untreated comorbidities, are at greater risk for poor outcomes in
social, academic, vocational, and practical settings. The available literature
suggests that neuropsychological assessment provides information that can
potentially reduce risks for poor outcomes and improve quality of life among
children with ADHD. Controlled studies directly examining the impact of
neuropsychological assessments in improving outcomes among children with ADHD are
needed.
PMID- 22081205
TI - Amerindians normalized waist circumference and obesity diagnosis standarized by
biochemical and HLA data.
AB - Metabolic syndrome (MS) and obesity are principal causes of morbidity all over
the World, particularly for their association to cardiovascular risk. Amerindians
are often living in countries and remote areas with unavailable sophisticated
diagnoses methodologies. However, waist-circumference is a reliable and easy to
record parameter of visceral obesity and MS. Waist circumference normal values
are not yet established in Amerindians: South Asian and Japanese values have been
recommended for Amerindian use. The purpose of this study is to objectively
define for the first time the waist circumference measure cut-off points for
Amerindians. A total of 303 unrelated Amerindian adults recently immigrated to
Madrid were studied; they were healthy, since they were questioned and tested as
appropriate for blood donation. Waist-circumference was measured in these
voluntary blood donors after written consent. Chosen subjects for study had HLA
quasi-specific Amerindian genes and not gained weight since their relatively
short time living in Spain. Amerindians with Type I or II diabetes or family
antecedents were removed from the study. The biochemical parameter used to define
normality for MS was the reliable serum HDL-cholesterol levels, whose values are
diet independent. A Receiver Operating Characteristic analysis was used to
compare the predictive validity and to find out the optimal cut-off points of
waist circumference normal values. Cut-off points were <=88.5 cm in males and
<=82.5 cm in females; these values were close to the median values (88 and 82.2
cm, respectively). Obtained waist circumference values recorded here in normal
Amerindians are different to those previously recommended indirectly (those of
South Asian/Japanese populations). These parameters may be of great value for
American countries health care in order to predict and control MS and its
cardiovascular complications. Other countries having a heavy Amerindian
immigration (i.e.: USA, Spain) may also benefit for establishing specific
Preventive Medicine programs.
PMID- 22081206
TI - Swallowing, nutrition and patient-rated functional outcomes at 6 months following
two non-surgical treatments for T1-T3 oropharyngeal cancer.
AB - PURPOSE: Altered fractionation radiotherapy with concomitant boost (AFRT-CB) may
be considered an alternative treatment for patients not appropriate for
chemoradiation (CRT). As functional outcomes following AFRT-CB have been
minimally reported, this exploratory paper describes the outcomes of patients
managed with AFRT-CB or CRT at 6 months post-treatment. METHODS: Using a cross
sectional analysis design, functional outcomes of 14 AFRT-CB and 17 CRT patients
with T1-T3 oropharyngeal cancers were explored at 6 months post-treatment.
Clinical and instrumental swallow assessments, weight and nutritional status, and
the functional impact of treatment were examined. RESULTS: Inferior outcomes were
observed for the CRT patients on the RBHOMS (p = 0.03) which was reflected in
diet and fluid restrictions with 18% of the CRT group requiring modified fluids
and diets. Although a trend (p = 0.07) was noted for increased lingual deficits
and aspiration risk for fluids in the CRT group, no other significant differences
were observed. Both groups experienced an average of 10 kg weight loss and
reported reduced general and swallowing-related function. CONCLUSIONS: These
preliminary data suggest functional outcomes following AFRT-CB and CRT were
largely comparable at 6 months post-treatment. Treatment intensification in any
form may contribute to impaired function which requires multidimensional
intervention. Larger cohort investigations with systematic methodology are needed
to further examine these initial findings.
PMID- 22081207
TI - Increased oxidative stress in preschool children exposed to passive smoking.
AB - OBJECTIVES: To study the effect of passive cigarette smoking on plasma oxidative
and antioxidative status in passive smoking preschool children and to compare
them with controls. METHODS: Thirty-four passive smoking (five to 50 cigarettes
per day) preschool children (study group) and 32 controls who had never been
exposed to cigarette smoke were randomly chosen from children aged from 4 to 6
years. Urinary cotinine and plasma indicators of oxidative and antioxidative
status, i.e., total oxidant status (TOS), total antioxidant capacity (TAC), and
oxidative stress index (OSI), were determined. RESULTS: Mean environmental
cigarette consumption was 22+/-13 cigarettes per day in passive smoking children.
Mean urinary cotinine levels were 77.6+/-41.4 ng/mL and 11.9+/-2.3 ng/mL in the
study and control groups, respectively (p < 0.001). Mean plasma TAC levels were
0.95+/-0.13 mmol Trolox equivalent/L and 1.01+/-0.09 mmol Trolox equivalent/L,
respectively (p = 0.039). Mean plasma TOS levels were 28.6+/-7.9 umol H2O2
equivalent/L and 18.5+/-6.3 umol H2O2 equivalent/L, respectively (p < 0.001).
Mean OSI levels were 3.08+/-0.98 arbitrary units and 1.84+/-0.64 arbitrary units,
respectively (p < 0.001). A small amount of cigarette smoke (five to 10
cigarettes per day) causes considerable oxidative stress. There were significant
correlations between number of cigarettes consumed and oxidant status and OSI
levels. CONCLUSIONS: Passive smoke is a potent oxidant in preschool children. Its
deleterious effects are not limited just to heavy passive smoking, but also occur
with exposure to small amounts of smoke.
PMID- 22081210
TI - Dependence on the F0F1-ATP synthase for the activities of the hydrogen-oxidizing
hydrogenases 1 and 2 during glucose and glycerol fermentation at high and low pH
in Escherichia coli.
AB - Escherichia coli has four [NiFe]-hydrogenases (Hyd); three of these, Hyd-1, Hyd-2
and Hyd-3 have been characterized well. In this study the requirement for the
F(0)F(1)-ATP synthase for the activities of the hydrogen-oxidizing hydrogenases
Hyd-1 and Hyd-2 was examined. During fermentative growth on glucose at pH 7.5 an
E. coli F(0)F(1)-ATP synthase mutant (DK8) lacked hydrogenase activity. At pH 5.5
hydrogenase activity was only 20% that of the wild type. Using in-gel activity
staining, it could be demonstrated that both Hyd-1 and Hyd-2 were essentially
inactive at these pHs, indicating that the residual activity at pH 5.5 was due to
the hydrogen-evolving Hyd-3 enzyme. During fermentative growth in the presence of
glycerol, hydrogenase activity in the mutant was highest at pH 7.5 attaining a
value of 0.76 U/mg, or ~50% of wild type activity, and Hyd-2 was only partially
active at this pH, while Hyd-1 was inactive. Essentially no hydrogenase activity
was measured at pH 5.5 during growth with glycerol. At this pH the mutant had a
hydrogenase activity that was maximally only ~10% of wild type activity with
either carbon substrate but a weak activity of both Hyd-1 and Hyd-2 could be
detected. Taken together, these results demonstrate for the first time that the
activity of the hydrogen-oxidizing hydrogenases in E. coli depends on an active
F(0)F(1)-ATP synthase during growth at high and low pH.
PMID- 22081209
TI - SOD1 and mitochondria in ALS: a dangerous liaison.
AB - Mutant Cu,Zn superoxide dismutase (mutSOD1) is found in a subset of patients with
familial amyotrophic lateral sclerosis (ALS), a fatal progressive paralysis due
to loss of motor neurons. In the present article, we review existing evidence
linking the expression of mutSOD1 to the many facets of mitochondrial dysfunction
in ALS, with a focus on recent studies suggesting that the association and
misfolding of the mutant protein (and possibly of the wild type protein as well)
within these organelles is causally linked to their functional and structural
alterations. Energy deficit, calcium mishandling and oxidative stress are
paralleled by alteration in mitochondrial motility, dynamics and turnover and
most probably lead to mitochondria-dependent cell death. Thus, the development of
new, selective mitochondria-targeted therapies may constitute a promising
approach in the treatment of SOD1-linked ALS.
PMID- 22081211
TI - A comparative assessment of mitochondrial function in epimastigotes and
bloodstream trypomastigotes of Trypanosoma cruzi.
AB - Trypanosoma cruzi is a hemoflagellate protozoan that causes Chagas' disease. The
life cycle of T. cruzi is complex and involves different evolutive forms that
have to encounter different environmental conditions provided by the host.
Herein, we performed a functional assessment of mitochondrial metabolism in the
following two distinct evolutive forms of T. cruzi: the insect stage epimastigote
and the freshly isolated bloodstream trypomastigote. We observed that in
comparison to epimastigotes, bloodstream trypomastigotes facilitate the entry of
electrons into the electron transport chain by increasing complex II-III
activity. Interestingly, cytochrome c oxidase (CCO) activity and the expression
of CCO subunit IV were reduced in bloodstream forms, creating an "electron
bottleneck" that favored an increase in electron leakage and H(2)O(2) formation.
We propose that the oxidative preconditioning provided by this mechanism confers
protection to bloodstream trypomastigotes against the host immune system. In this
scenario, mitochondrial remodeling during the T. cruzi life cycle may represent a
key metabolic adaptation for parasite survival in different hosts.
PMID- 22081212
TI - Molecular differential expression of voltage-gated sodium channel alpha and beta
subunit mRNAs in five different mammalian cell lines.
AB - Voltage-gated sodium channels are composed of one alpha subunit and one or more
auxiliary beta subunits. A standard reverse transcription-polymerase chain
reaction assay was used to detect the mRNAs encoding for seven alpha subunits
(Nav1.1, Nav1.2, Nav1.3, Nav1.4, Nav1.5, Nav1.6, Nav1.9) and for the two non
covalently linked beta1 and beta3 auxiliary subunits in five different cell lines
from rat, mouse and human origin. A semi-quantitavive RT-PCR analysis allowed to
evaluate in each cell line, the relative expression level of each NaCh subunit
previously detected. The expression profile of the cell lines was compared with
that obtained from rat and mouse neural, skeletal muscle and cardiac tissues.
This data provide a standard for the study of the modulation of the sodium
channel expression in mammalian excitable tissues.
PMID- 22081213
TI - Mapping nanomechanical properties of live cells using multi-harmonic atomic force
microscopy.
AB - The nanomechanical properties of living cells, such as their surface elastic
response and adhesion, have important roles in cellular processes such as
morphogenesis, mechano-transduction, focal adhesion, motility, metastasis and
drug delivery. Techniques based on quasi-static atomic force microscopy
techniques can map these properties, but they lack the spatial and temporal
resolution that is needed to observe many of the relevant details. Here, we
present a dynamic atomic force microscopy method to map quantitatively the
nanomechanical properties of live cells with a throughput (measured in
pixels/minute) that is ~10-1,000 times higher than that achieved with quasi
static atomic force microscopy techniques. The local properties of a cell are
derived from the 0th, 1st and 2nd harmonic components of the Fourier spectrum of
the AFM cantilevers interacting with the cell surface. Local stiffness, stiffness
gradient and the viscoelastic dissipation of live Escherichia coli bacteria, rat
fibroblasts and human red blood cells were all mapped in buffer solutions. Our
method is compatible with commercial atomic force microscopes and could be used
to analyse mechanical changes in tumours, cells and biofilm formation with sub-10
nm detail.
PMID- 22081214
TI - One- and two-dimensional photonic crystal microcavities in single crystal
diamond.
AB - Diamond is an attractive material for photonic quantum technologies because its
colour centres have a number of outstanding properties, including bright single
photon emission and long spin coherence times. To take advantage of these
properties it is favourable to directly fabricate optical microcavities in high
quality diamond samples. Such microcavities could be used to control the photons
emitted by the colour centres or to couple widely separated spins. Here, we
present a method for the fabrication of one- and two-dimensional photonic crystal
microcavities with quality factors of up to 700 in single crystal diamond. Using
a post-processing etching technique, we tune the cavity modes into resonance with
the zero phonon line of an ensemble of silicon-vacancy colour centres, and we
measure an intensity enhancement factor of 2.8. The controlled coupling of colour
centres to photonic crystal microcavities could pave the way to larger-scale
photonic quantum devices based on single crystal diamond.
PMID- 22081215
TI - Ripple induced changes in the wavefunction of graphene: an example of a
fundamental symmetry breaking.
AB - Ideally, graphene may be regarded as a strictly 2-D structure. However, as it
exists in a 3-D world, perturbations often distort this ideal 2-D structure.
Under a variety of conditions graphene has been shown to develop ripples, which
may have undesirable consequences for a variety of properties of graphene, such
as electron transport. In addition to this, it has been speculated that ripples
may be an intrinsic property of graphene, and it has also been suggested that
unlocking the secrets of these ripples could be useful in the search for (an
understanding of) the elusive Higgs boson. However, ripples in graphene can only
be avoided, or utilized, if they can be reproducibly detected. Here we explore
the most fundamental aspect of these ripples, that is, the effect of a static
ripple structure on various properties of large graphene nanoflakes. We find that
the mechanical, thermodynamic and electronic properties are unaltered by this
fundamental rippling, but this spontaneous symmetry breaking induces a
significant change in the structure of the wavefunction. This profound effect
occurs only at the most basic level, but it should be, in principle,
experimentally observable.
PMID- 22081216
TI - Response shift in patients with multiple sclerosis: an application of three
statistical techniques.
AB - OBJECTIVE: With the evolution of theory and methods for detecting recalibration,
reprioritization, and reconceptualization response shifts, the time has come to
evaluate and compare the current statistical detection techniques. This
manuscript presents an overview of a cross-method validation done on the same
patient sample. METHODS: Three statistical techniques were used: Structural
Equation Modeling, Latent Trajectory Analysis, and Recursive Partitioning and
Regression Tree modeling. The study sample (n = 3,008) was drawn from the North
American Research Committee on Multiple Sclerosis (NARCOMS) Registry to represent
patients soon after diagnosis, classified as having either a self-reported
relapsing, progressive, or stable disease trajectory. Patient-reported outcomes
included the disease-specific Performance Scales and the Patient-Derived Disease
Steps, and the generic SF-12v2 measure. RESULTS: Small response shift effect
sizes were detected by all of the methods. Recalibration response shift was
detected by Structural Equation Modeling, Recursive Partitioning Regression Tree
demonstrated patterns consistent with all three types of response shift, and
Latent Trajectory Analysis, although unable to distinguish types of response
shift, did detect response shift in less than 1% of the sample. CONCLUSION: The
methods and their findings were discussed for operationalization,
interpretability, assumptions, ability to use all data points from the study
sample, limitations, and strengths. Directions for future research are discussed.
PMID- 22081217
TI - Validity and reliability of Persian version of Chronic Liver Disease
Questionnaire (CLDQ).
AB - PURPOSE: The aim of this study is to test the psychometric properties of the
Persian version of the Chronic Liver Disease Questionnaire (CLDQ) in Iranian
candidates for liver transplantation. METHOD: One hundred and fifty-five
consecutive adult patients awaiting liver transplantation completed the Persian
version of CLDQ and the short-form health survey (SF-36). The etiology of
cirrhosis, Child Pugh classification and Model for End stage Liver Disease (MELD)
scores were taken from medical records. RESULTS: The scaling success rate for
convergent validity was 100% for all domains, and the success rate for item
discriminant validity was 95.8% (139/145). The internal consistency (Cronbach
alpha) for the domains ranged from 0.65 to 0.89. Multitrait-multimethod
correlation matrix and factor analysis revealed that the CLDQ and SF-36 measure
different constructs of quality of life. CONCLUSION: The Persian version of the
CLDQ, a disease-specific questionnaire for measuring health-related quality of
life, is accepted by liver transplantation candidates with adequate reliability
and validity. There is no significant correlation of Child Pugh classification
and MELD score with quality of life.
PMID- 22081218
TI - 'All the burden on all the carers': exploring quality of life with family
caregivers of Huntington's disease patients.
AB - BACKGROUND: Existing research suggests that family caregivers of persons with
Huntington's disease face a unique series of problems, linked to the complex
nature of the disease. There is little research that explicitly investigates the
impact of HD on the quality of life (QoL) of the family caregiver. The purpose of
this study was to explore the quality of life issues for family carers of
Huntington's disease patients in a focus group setting. METHODS: Participants
were recruited via a Huntington's Disease Association (HDA) family conference
day. Six semi-directed focus groups (n = 47) explored disease-specific aspects of
QoL that were deemed important to family carers of this carer group. Data were
analysed using Interpretative Phenomenological Analysis (IPA). RESULTS: Analysis
of the focus group data identified four superordinate themes: 'Levels of
Support', 'Dissatisfaction with Caregiving Role', 'Practical Aspects of Caring'
and 'Feelings and Emotional Well-being'. CONCLUSIONS: These data provide evidence
that QoL is compromised in many ways for HD family carers. The carers in this
study often negated their own needs as their caregiving role overwhelmed them and
'took over' their lives.
PMID- 22081220
TI - The National Center for Biomedical Ontology.
AB - The National Center for Biomedical Ontology is now in its seventh year. The goals
of this National Center for Biomedical Computing are to: create and maintain a
repository of biomedical ontologies and terminologies; build tools and web
services to enable the use of ontologies and terminologies in clinical and
translational research; educate their trainees and the scientific community
broadly about biomedical ontology and ontology-based technology and best
practices; and collaborate with a variety of groups who develop and use
ontologies and terminologies in biomedicine. The centerpiece of the National
Center for Biomedical Ontology is a web-based resource known as BioPortal.
BioPortal makes available for research in computationally useful forms more than
270 of the world's biomedical ontologies and terminologies, and supports a wide
range of web services that enable investigators to use the ontologies to annotate
and retrieve data, to generate value sets and special-purpose lexicons, and to
perform advanced analytics on a wide range of biomedical data.
PMID- 22081219
TI - The National Alliance for Medical Image Computing, a roadmap initiative to build
a free and open source software infrastructure for translational research in
medical image analysis.
AB - The National Alliance for Medical Image Computing (NA-MIC), is a multi
institutional, interdisciplinary community of researchers, who share the
recognition that modern health care demands improved technologies to ease
suffering and prolong productive life. Organized under the National Centers for
Biomedical Computing 7 years ago, the mission of NA-MIC is to implement a robust
and flexible open-source infrastructure for developing and applying advanced
imaging technologies across a range of important biomedical research disciplines.
A measure of its success, NA-MIC is now applying this technology to diseases that
have immense impact on the duration and quality of life: cancer, heart disease,
trauma, and degenerative genetic diseases. The targets of this technology range
from group comparisons to subject-specific analysis.
PMID- 22081221
TI - The Center for Computational Biology: resources, achievements, and challenges.
AB - The Center for Computational Biology (CCB) is a multidisciplinary program where
biomedical scientists, engineers, and clinicians work jointly to combine modern
mathematical and computational techniques, to perform phenotypic and genotypic
studies of biological structure, function, and physiology in health and disease.
CCB has developed a computational framework built around the Manifold Atlas, an
integrated biomedical computing environment that enables statistical inference on
biological manifolds. These manifolds model biological structures, features,
shapes, and flows, and support sophisticated morphometric and statistical
analyses. The Manifold Atlas includes tools, workflows, and services for
multimodal population-based modeling and analysis of biological manifolds. The
broad spectrum of biomedical topics explored by CCB investigators include the
study of normal and pathological brain development, maturation and aging,
discovery of associations between neuroimaging and genetic biomarkers, and the
modeling, analysis, and visualization of biological shape, form, and size. CCB
supports a wide range of short-term and long-term collaborations with outside
investigators, which drive the center's computational developments and focus the
validation and dissemination of CCB resources to new areas and scientific
domains.
PMID- 22081222
TI - Simbios: an NIH national center for physics-based simulation of biological
structures.
AB - Physics-based simulation provides a powerful framework for understanding
biological form and function. Simulations can be used by biologists to study
macromolecular assemblies and by clinicians to design treatments for diseases.
Simulations help biomedical researchers understand the physical constraints on
biological systems as they engineer novel drugs, synthetic tissues, medical
devices, and surgical interventions. Although individual biomedical investigators
make outstanding contributions to physics-based simulation, the field has been
fragmented. Applications are typically limited to a single physical scale, and
individual investigators usually must create their own software. These conditions
created a major barrier to advancing simulation capabilities. In 2004, we
established a National Center for Physics-Based Simulation of Biological
Structures (Simbios) to help integrate the field and accelerate biomedical
research. In 6 years, Simbios has become a vibrant national center, with
collaborators in 16 states and eight countries. Simbios focuses on problems at
both the molecular scale and the organismal level, with a long-term goal of
uniting these in accurate multiscale simulations.
PMID- 22081223
TI - Using systems and structure biology tools to dissect cellular phenotypes.
AB - The Center for the Multiscale Analysis of Genetic Networks (MAGNet,
http://magnet.c2b2.columbia.edu) was established in 2005, with the mission of
providing the biomedical research community with Structural and Systems Biology
algorithms and software tools for the dissection of molecular interactions and
for the interaction-based elucidation of cellular phenotypes. Over the last 7
years, MAGNet investigators have developed many novel analysis methodologies,
which have led to important biological discoveries, including understanding the
role of the DNA shape in protein-DNA binding specificity and the discovery of
genes causally related to the presentation of malignant phenotypes, including
lymphoma, glioma, and melanoma. Software tools implementing these methodologies
have been broadly adopted by the research community and are made freely available
through geWorkbench, the Center's integrated analysis platform. Additionally,
MAGNet has been instrumental in organizing and developing key conferences and
meetings focused on the emerging field of systems biology and regulatory
genomics, with special focus on cancer-related research.
PMID- 22081224
TI - iDASH: integrating data for analysis, anonymization, and sharing.
AB - iDASH (integrating data for analysis, anonymization, and sharing) is the newest
National Center for Biomedical Computing funded by the NIH. It focuses on
algorithms and tools for sharing data in a privacy-preserving manner.
Foundational privacy technology research performed within iDASH is coupled with
innovative engineering for collaborative tool development and data-sharing
capabilities in a private Health Insurance Portability and Accountability Act
(HIPAA)-certified cloud. Driving Biological Projects, which span different
biological levels (from molecules to individuals to populations) and focus on
various health conditions, help guide research and development within this
Center. Furthermore, training and dissemination efforts connect the Center with
its stakeholders and educate data owners and data consumers on how to share and
use clinical and biological data. Through these various mechanisms, iDASH
implements its goal of providing biomedical and behavioral researchers with
access to data, software, and a high-performance computing environment, thus
enabling them to generate and test new hypotheses.
PMID- 22081225
TI - A translational engine at the national scale: informatics for integrating biology
and the bedside.
AB - Informatics for integrating biology and the bedside (i2b2) seeks to provide the
instrumentation for using the informational by-products of health care and the
biological materials accumulated through the delivery of health care to conduct
discovery research and to study the healthcare system in vivo. This complements
existing efforts such as prospective cohort studies or trials outside the
delivery of routine health care. i2b2 has been used to generate genome-wide
studies at less than one tenth the cost and one tenth the time of conventionally
performed studies as well as to identify important risk from commonly used
medications. i2b2 has been adopted by over 60 academic health centers
internationally.
PMID- 22081226
TI - Distribution of prolactin receptors suggests an intraductal role for prolactin in
the mouse and human mammary gland, a finding supported by analysis of signaling
in polarized monolayer cultures.
AB - Despite the important role of prolactin (PRL) in mammary gland development and
function, little is known about the distribution of the different forms of the
prolactin receptor (PRLR) under various physiological circumstances. Here, the
distribution of the long (LF) and the short (S3 in mouse) receptor common to both
mice and rats was determined by immunofluorescence on frozen sections of virgin,
pregnant and lactating mouse mammary gland. Myoepithelial cells were consistently
and intensely stained for both receptors. For luminal cells at all stages (ducts
and alveoli), a large proportion of PRLR staining was unexpectedly present on the
apical face. In the non-lactating state, no basal staining of luminal cells was
detectable. During lactation, a proportion of both receptors moved to the
basolateral surface. In vitro, HC11 cells showed constitutive expression of LF
but expression of S3 only upon the formation of adherent junctions. Tight
junction formation was accelerated by incubation in pseudo-phosphorylated PRL, as
measured by transepithelial resistance and the expression and placement of the
tight junction protein, zonula occludens-1. Once an intact monolayer had formed,
all LF and S3 receptors were apical (akin to the non-lactating state) and only
apical application of PRL activated the Jak2-STAT5 and ERK pathways. By contrast,
basolateral application of PRL resulted in a reduction in basal ERK
phosphorylation, suggesting an involvement of a dual specificity protein
phosphatase. Normal human breast samples also showed apical PRLRs. These results
demonstrate important contextual aspects of PRL-PRLR interactions with
implications for the analysis of the role of PRL in breast cancer.
PMID- 22081227
TI - Predicting phenotypic variation in yeast from individual genome sequences.
AB - A central challenge in genetics is to predict phenotypic variation from
individual genome sequences. Here we construct and evaluate phenotypic
predictions for 19 strains of Saccharomyces cerevisiae. We use conservation-based
methods to predict the impact of protein-coding variation within genes on protein
function. We then rank strains using a prediction score that measures the total
sum of function-altering changes in different sets of genes reported to influence
over 100 phenotypes in genome-wide loss-of-function screens. We evaluate our
predictions by comparing them with the observed growth rate and efficiency of 15
strains tested across 20 conditions in quantitative experiments. The median
predictive performance, as measured by ROC AUC, was 0.76, and predictions were
more accurate when the genes reported to influence a trait were highly connected
in a functional gene network.
PMID- 22081229
TI - Parallel bacterial evolution within multiple patients identifies candidate
pathogenicity genes.
AB - Bacterial pathogens evolve during the infection of their human host(1-8), but
separating adaptive and neutral mutations remains challenging(9-11). Here we
identify bacterial genes under adaptive evolution by tracking recurrent patterns
of mutations in the same pathogenic strain during the infection of multiple
individuals. We conducted a retrospective study of a Burkholderia dolosa outbreak
among subjects with cystic fibrosis, sequencing the genomes of 112 isolates
collected from 14 individuals over 16 years. We find that 17 bacterial genes
acquired nonsynonymous mutations in multiple individuals, which indicates
parallel adaptive evolution. Mutations in these genes affect important pathogenic
phenotypes, including antibiotic resistance and bacterial membrane composition
and implicate oxygen-dependent regulation as paramount in lung infections.
Several genes have not previously been implicated in pathogenesis and may
represent new therapeutic targets. The identification of parallel molecular
evolution as a pathogen spreads among multiple individuals points to the key
selection forces it experiences within human hosts.
PMID- 22081228
TI - Genome-wide association study identifies FCGR2A as a susceptibility locus for
Kawasaki disease.
AB - Kawasaki disease is a systemic vasculitis of unknown etiology, with clinical
observations suggesting a substantial genetic contribution to disease
susceptibility. We conducted a genome-wide association study and replication
analysis in 2,173 individuals with Kawasaki disease and 9,383 controls from five
independent sample collections. Two loci exceeded the formal threshold for genome
wide significance. The first locus is a functional polymorphism in the IgG
receptor gene FCGR2A (encoding an H131R substitution) (rs1801274; P = 7.35 * 10(
11), odds ratio (OR) = 1.32), with the A allele (coding for histadine) conferring
elevated disease risk. The second locus is at 19q13, (P = 2.51 * 10(-9), OR =
1.42 for the rs2233152 SNP near MIA and RAB4B; P = 1.68 * 10(-12), OR = 1.52 for
rs28493229 in ITPKC), which confirms previous findings(1). The involvement of the
FCGR2A locus may have implications for understanding immune activation in
Kawasaki disease pathogenesis and the mechanism of response to intravenous
immunoglobulin, the only proven therapy for this disease.
PMID- 22081230
TI - Partial adenosine A1 receptor agonists for cardiovascular therapies.
AB - Adenosine, a purine nucleoside, is present in all cells in tightly regulated
concentrations. It has many different physiological effects in the whole body and
in the heart. Adenosine activates four G protein-coupled receptors A1, A2a, A2b,
and A3. Activation of myocardial A1 receptors has been shown to inhibit a variety
of myocardial pathologies associated with ischemia and reperfusion injury,
including stunning, arrhythmogenesis, coronary and ventricular dysfunction, acute
myocardial infarction, apoptosis, and chronic heart failure, implying several
options for new cardiovascular therapies for diseases, like angina pectoris,
control of cardiac rhythm, ischemic injury during an acute coronary syndrome, or
heart failure. However, the main issue of using full A1 receptor agonists in such
indications is the broad physiologic spectrum of cardiac and extracardiac
effects. Desired A1 receptor-mediated protective and regenerative cardiovascular
effects might be counter-regulated by unintended side effects when considering
full A1 receptor agonists. These effects can be overcome by partial A1 agonists.
Partial A1 agonists can be used to trigger only some of the physiological
responses of receptor activation depending on endogenous adenosine levels and on
receptor reserve in different tissues. CV-Therapeutics reported the
identification of a partial A1 receptor agonist CVT-3619, and recently, another
partial A1 receptor agonist VCP28 was published. Both compounds are adenosine
derivatives. Adenosine-like A1 receptor agonists often have the drawback of a
short half-life and low bioavailability, making them not suitable for chronic
oral therapy. We identified the first non-adenosine-like partial A1 receptor
agonist(s) with pharmacokinetics optimal for oral once daily treatment and
characterized the qualities of the partial character of the A1 receptor
agonist(s) in preclinical and clinical studies.
PMID- 22081231
TI - Ocular and oral grading of mucous membrane pemphigoid.
AB - BACKGROUND: A variety of methods have been described for grading ocular mucous
membrane pemphigoid (MMP), each with their own limitations. In contrast, there
are no reported grading systems for involvement of the oral mucosa. We wished to
evaluate two ocular (one established and one proposed) and an oral mucosal
grading system for MMP. METHODS: Patients with MMP were assessed by three
ophthalmologists and two oral medicine physicians. Ocular disease was graded
using the system described by Rowsey and a proposed system based on measurement
of vertical depth and horizontal width measured from the bulbar conjunctival
aspect. Oral assessment used a 'mucosal disease severity score' originally
described for lichen planus, in which 17 areas of the mouth are scored for
involvement, together with a pain score. Levels of agreement were evaluated using
Fleiss' Kappa Statistic (k). RESULTS: Forty-four patients with MMP encompassing
mild to severe disease were included. Good levels of agreement were observed
between observers for both vertical (k:0.86) (upper 95% CI: 1.03 mm) and
horizontal (k:0.80) (upper 95% CI: 3.01 mm) involvement for the proposed ocular
system and the Rowsey system (k: 0.83) (upper 95% confidence interval: 3.19 mm).
There was a high coefficient of determination (R(2)) between the ocular grading
systems (0.81, p < 0.01). Oral grading showed excellent levels of agreement (k:
0.71) between observers. There was no significant association between the
severity of oral and ocular disease using described grading systems. CONCLUSIONS:
The proposed grading systems for both oral and ocular involvement in MMP are easy
to use, and show good agreement between observers. The proposed ocular system
correlates well with a currently used system, and overcomes some of the
difficulties encountered with existing systems. For the individual patient,
changes greater than 1.5 mm (vertical) and 3 mm (horizontal) are significant.
This may increase our ability to detect change or disease progression. Although
the risk of ocular involvement in patients with only oral involvement has been
demonstrated, the severity of oral and ocular disease are not well-correlated,
due in part to an absence of an ocular disease activity score.
PMID- 22081233
TI - XANES and XPS investigations of the local structure and final-state effects in
amorphous metal silicates: (ZrO2)(x)(TiO2)(y)(SiO2)(1-x-y).
AB - Amorphous quaternary [(ZrO(2))(x)(TiO(2))(y)(SiO(2))(1-x-y)] and ternary
[(ZrO(2))(x)(SiO(2))(1-x)] silicates were synthesized using a sol-gel method and
examined via XPS and XANES. Metal silicates are important industrial materials,
though structural characterization is complicated because of their amorphous
nature. Hard (Ti K- and Zr K-edge) and soft (Ti L(2,3)-edge) X-ray XANES spectra
suggest the Ti and Zr coordination numbers in the quaternary silicates remain
constant as the metal identity or total metal content (x, y, or x + y in the
chemical formula) is varied. XPS core-line spectra from the quaternary silicates
show large decreases in Ti 2p(3/2), Zr 3d(5/2), Si 2p(3/2), and O 1s binding
energies due to increasing final-state relaxation with greater next-nearest
neighbour substitution of Si for less-electronegative Ti/Zr, which was confirmed
by analysis of the O Auger parameter. These decreases in binding energy occur
without any changes in the ground-state energies (e.g., oxidation state) of these
atoms, as examined by Ti L(2,3)-edge, Si L(2,3)-edge, and O K-edge XANES. Because
most spectroscopic investigations are concerned with ground-state properties,
knowledge of the contributions from final-state effects is important to
understand the spectra from materials of interest.
PMID- 22081232
TI - Proteomic profiling of human retinal pigment epithelium exposed to an advanced
glycation-modified substrate.
AB - PURPOSE: The retinal pigment epithelium (RPE) and underlying Bruch's membrane
undergo significant modulation during ageing. Progressive, age-related
modifications of lipids and proteins by advanced glycation end products (AGEs) at
this cell-substrate interface have been implicated in RPE dysfunction and the
progression to age-related macular degeneration (AMD). The pathogenic nature of
these adducts in Bruch's membrane and their influence on the overlying RPE
remains unclear. This study aimed to identify alterations in RPE protein
expression in cells exposed to AGE-modified basement membrane (AGE-BM), to
determine how this "aged" substrate impacts RPE function and to map the
localisation of identified proteins in ageing retina. METHODS: Confluent ARPE-19
monolayers were cultured on AGE-BM and native, non-modified BM (BM). Following 28
day incubation, the proteome was profiled using 2-dimensional gel electrophoresis
(2D), densitometry and image analysis was employed to map proteins of interest
that were identified by electrospray ionisation mass spectrometry (ESI MS/MS).
Immunocytochemistry was employed to localise identified proteins in ARPE-19
monolayers cultured on unmodified and AGE-BM and to analyze aged human retina.
RESULTS: Image analysis detected altered protein spot densities between treatment
groups, and proteins of interest were identified by LC ESI MS/MS which included
heat-shock proteins, cytoskeletal and metabolic regulators. Immunocytochemistry
revealed deubiquitinating enzyme ubiquitin carboxyterminal hydrolase-1 (UCH-L1),
which was upregulated in AGE-exposed RPE and was also localised to RPE in human
retinal sections. CONCLUSIONS: This study has demonstrated that AGE-modification
of basement membrane alters the RPE proteome. Many proteins are changed in this
ageing model, including UCHL-1, which could impact upon RPE degradative capacity.
Accumulation of AGEs at Bruch"s membrane could play a significant role in age
related dysfunction of the RPE.
PMID- 22081234
TI - Urinary and dialysate losses of vitamin D-binding protein in children on chronic
peritoneal dialysis.
AB - BACKGROUND: Vitamin D deficiency is widely prevalent in chronic kidney disease
[CKD] patients. The aim of our study was to determine whether losses of vitamin D
binding protein [VDBP] in urine and dialysate contribute to circulating 25
hydroxyvitamin D [25OHD] levels in chronic peritoneal dialysis [PD] patients.
METHODS: Dialysate, serum, and urine VDBP levels were measured in 16 children on
PD and compared with serum and urine VDBP in ten CKD4-5 patients. Serum VDBP
levels were correlated with total circulating 25OHD and peritoneal VDBP losses.
RESULTS: The mean age of the study population was 9.4 +/- 3.8 years and the
median time on dialysis 7.5 (1-18) months. In CKD4-5 patients, urinary VDBP
losses were >300-fold higher than seen in age-matched healthy children and
correlated with urinary albumin loss (p = 0.0008). There was a significant
correlation between serum VDBP and total dialysate and urine losses of VDBP (p =
0.03, r = -0.53). Dialysate VDBP losses correlate with dialysate albumin loss (p
= 0.01). VDBP losses in the long daytime dwell were higher than in the overnight
drain (p = 0.04). Serum VDBP levels were lower in children with a longer dialysis
vintage (p = 0.0004, r = -0.77). In PD patients, the mean total loss of VDBP in
dialysate and urine was 1.91 +/- 1.6 MUmol/day, equivalent to ~7% of the total
circulating level of VDBP in healthy controls. There was no correlation between
25(OH)D and VDBP. CONCLUSIONS: Peritoneal VDBP losses mirror both dialysate and
urinary albumin losses, and are associated with a longer dialysis vintage but do
not contribute to vitamin D deficiency in children on PD.
PMID- 22081235
TI - Applied patent RFID systems for building reacting HEPA air ventilation system in
hospital operation rooms.
AB - RFID technology, an automatic identification and data capture technology to
provide identification, tracing, security and so on, was widely applied to
healthcare industry in these years. Employing HEPA ventilation system in hospital
is a way to ensure healthful indoor air quality to protect patients and
healthcare workers against hospital-acquired infections. However, the system
consumes lots of electricity which cost a lot. This study aims to apply the RFID
technology to offer a unique medical staff and patient identification, and
reacting HEPA air ventilation system in order to reduce the cost, save energy and
prevent the prevalence of hospital-acquired infection. The system, reacting HEPA
air ventilation system, contains RFID tags (for medical staffs and patients),
sensor, and reacting system which receives the information regarding the number
of medical staff and the status of the surgery, and controls the air volume of
the HEPA air ventilation system accordingly. A pilot program was carried out in a
unit of operation rooms of a medical center with 1,500 beds located in central
Taiwan from Jan to Aug 2010. The results found the air ventilation system was
able to function much more efficiently with less energy consumed. Furthermore,
the indoor air quality could still keep qualified and hospital-acquired infection
or other occupational diseases could be prevented.
PMID- 22081236
TI - A model for simulation and patient-specific visualization of the tissue volume of
influence during brain microdialysis.
AB - Microdialysis can be used in parallel to deep brain stimulation (DBS) to relate
biochemical changes to the clinical outcome. The aim of the study was to use the
finite element method to predict the tissue volume of influence (TVI(max)) and
its cross-sectional radius (r (TVImax)) when using brain microdialysis, and
visualize the TVI(max) in relation to patient anatomy. An equation based on
Fick's law was used to simulate the TVI(max). Factorial design and regression
analysis were used to investigate the impact of the diffusion coefficient,
tortuosity and loss rate on the r (TVImax). A calf brain tissue experiment was
performed to further evaluate these parameters. The model was implemented with
pre-(MRI) and post-(CT) operative patient images for simulation of the TVI(max)
for four patients undergoing microdialysis in parallel to DBS. Using
physiologically relevant parameter values, the r (TVImax) for analytes with a
diffusion coefficient D = 7.5 * 10-6 cm2/s was estimated to 0.85 +/- 0.25 mm. The
simulations showed agreement with experimental data. Due to an implanted gold
thread, the catheter positions were visible in the post-operative images. The
TVI(max) was visualized for each catheter. The biochemical changes could thereby
be related to their anatomical origin, facilitating interpretation of results.
PMID- 22081237
TI - Gender differences in predicting antisocial behaviors: developmental consequences
of physical and relational aggression.
AB - This study investigated gender differences in the relationship of early physical
and relational aggression to later peer rejection and overt and covert antisocial
behaviors. Significant gender differences were found indicating physically
aggressive boys were more likely than girls to experience later peer rejection.
Early physical aggression was related to later overt antisocial behavior for boys
and girls, and more strongly for girls than for boys. Early relational aggression
was not associated with later forms of antisocial behavior. In the context of
early physical aggression, for boys and girls peer rejection generally served to
increment risk for later overt and covert antisocial behavior in an additive
fashion. The data suggest some gender specificity in the social risk processes
associated with the development of early overt and covert antisocial behaviors.
PMID- 22081238
TI - Sexual dimorphism in clock genes expression in human adipose tissue.
AB - BACKGROUND: This study was carried out to investigate whether sex-related
differences exist in the adipocyte expression of clock genes from subcutaneous
abdominal and visceral fat depots in severely obese patients. METHODS: We
investigated 16 morbidly obese patients, eight men and eight women (mean age 45
+/- 20 years; mean BMI 46 +/- 6 kg/m(2)), undergoing laparoscopic gastric bypass
surgery. Biopsies were taken as paired samples [subcutaneous and visceral adipose
tissue (AT)] at the beginning of the surgical process at 11:00 h in the morning.
Metabolic syndrome features such as waist circumference, plasma glucose,
triglycerides, total cholesterol, high-density lipoprotein cholesterol (HDL-C),
and low-density lipoprotein cholesterol (LDL-C) were also studied. The expression
of clock genes (PER2, BMAL1, and CRY1) was measured by quantitative real-time
PCR, Western blot, and immunohistochemical analysis. RESULTS: Gene expression was
significantly higher in women than in men for the three genes studied in both ATs
(P < 0.05). In visceral fat, these differences were more marked. (P < 0.001).
Western blot analysis partially confirmed these results since statistical
differences were observed for PER2 in both ATs and for CRY1 in subcutaneous
adipose tissue. There were no differences in BMAL1 protein expression.
Interestingly, clock gene expression level was correlated with LDL-C and HDL-C (P
< 0.05). Moreover, we found significant associations with body fat mass in women
and with age in men. CONCLUSIONS: Clock genes expression is sex dependent in
human adipose tissue from morbidly obese subjects and correlates to a decreased
in metabolic syndrome-related traits. These preliminary results make necessary to
go deep into the knowledge of the molecular basis of the sexual dimorphism in
chronobiology.
PMID- 22081239
TI - Learning curve of thoracic pedicle screw placement using the free-hand technique
in scoliosis: how many screws needed for an apprentice?
AB - PURPOSE: The purpose of this study is to evaluate the learning curve of thoracic
pedicle screw (TPS) placement of an inexperienced apprentice in scoliosis with
the free-hand technique. METHODS: The patients with scoliosis who underwent TPS
inserted with the free-hand technique by the apprentice under the direction of a
chief surgeon were included in this study. The TPS placement by the apprentice
was evaluated by examining the assessed position in chronological subgroups of 30
screws. The TPS position was assessed on the postoperative computed tomography
(CT) scan images using Zdichavsky grading evaluation system and pedicle breach.
The rates of good and dangerous screw placement and the rates of pedicle breaches
in each apprentice subgroup were compared with those in the chief surgeon group.
RESULTS: Thirty-eight patients with 311 TPS were retrospectively analyzed in our
study. Of all screws, 154 pedicle screws were inserted by the apprentice, and
were divided chronologically into five subgroups. The rates of dangerous
placement performed by the apprentice in the first two subgroups were 26.7 and
23.3%, respectively, and were significantly higher than 9.1% by the chief surgeon
(P < 0.05). Meanwhile, the breach rate was 46.6% in subgroup 1 and 50.0% in
subgroup 2, and was significantly higher than 29.3% in chief surgeon (P < 0.05).
Furthermore, after the first 60 TPS placements, the assessed rates in apprentice
reached to a stable level, and no significant difference could be found among the
subgroups (subgroup 3, 4 and 5) and the chief surgeon group (P > 0.05).
CONCLUSIONS: For an apprentice, an experience of at least 60 screw placements
under the direction of an experienced surgeon is needed for inserting the TPS in
scoliosis using the free-hand technique independently.
PMID- 22081240
TI - Appendix stump closure with titanium clips in laparoscopic appendectomy.
AB - PURPOSE: Different techniques, including clips, have been used to close the stump
in laparoscopic appendectomy. The aim was to investigate the results after
application of a newly developed titanium clip for this operation. METHODS: From
June 2008 to February 2010, 104 patients from two different hospitals undergoing
laparoscopic appendectomy were included in this prospective study. Closure of the
appendix base was generally intended with a titanium double-shanked clip (DS
Clip). The variables of interest were intra-and postoperative complications,
operation time and hospital stay. Furthermore, an evaluation of the clip's
practicability by the surgeon was performed using a standardised questionnaire.
RESULTS: In 104 patients screened intraoperatively, four patients had to be
excluded as the operating surgeon felt that the clip was not adequate for closing
the stump, generally because of severe inflammation of the base of the appendix
with involvement of the caecum. One patient developed an intra-abdominal abscess
which had to be drained interventionally; no reoperations were necessary. The
overall complication rate, the operation time and the hospital stay were well
comparable with other devices for appendix stump closure such as staplers, loops
or polymeric clips. The practicability of the clip was mainly rated as excellent
or good by the operating surgeons. CONCLUSION: This study suggests that the
presented titanium DS-Clip is a safe and cost-effective technique for securing
the appendix base in laparoscopic appendectomy. The application is easy and can
be learned quickly, making it a good option also for teaching hospitals.
PMID- 22081241
TI - Preadolescents' and parents' dietary coping efficacy during behavioral family
based weight control treatment.
AB - Developmentally relevant high-risk dietary situations (e.g., parties where
tempting foods are available) may influence overweight youth's weight control, as
they increase risk for overeating. Better self-efficacy for coping with these
situations-which preadolescents may learn from their parents-could foster
successful weight control. Overweight preadolescents (N = 204) ages 7-12 years
(67% female), each with one parent, separately completed the Hypothetical High
Risk Situation Inventory (HHRSI) pre- and post-weight loss treatment. The HHRSI
assesses temptation to overeat and confidence in refraining from overeating in
response to four high-risk dietary scenarios. Participants generated coping
strategies for each scenario. Coping strategies and confidence increased and
temptation decreased from pre- to post-weight loss treatment. Parents' increase
in confidence from pre- to post-treatment was associated with preadolescents' and
parents' weight loss. Tailoring treatments to enhance parents' coping skills
(e.g., building strategies, targeting high temptation/low confidence scenarios)
may maximize preadolescents' weight control.
PMID- 22081242
TI - Reply to "how to diagnose Mycoplasma pneumoniae etiology in a child with
pneumonia".
PMID- 22081243
TI - The process of identifying, solving and preventing drug related problems in the
LIMM-study.
AB - OBJECTIVE: To avoid negative effects of drug treatment and need for additional
medical care, drug treatment must be individualised. Our research group has
developed a model for clinical pharmacy which improves several aspects of the
patient's drug treatment. This study describes the process behind these
improvements, i.e. drug related problems identified by pharmacists within a
clinical pharmacy service. SETTING: Three wards at a department of internal
medicine. METHOD: Pharmacists performed systematic interventions during the
patient's hospital stay, aiming to identify, solve and prevent drug related
problems in the elderly. Identified drug related problems were put forward to the
health care team and discussed. Information on identified problems, and their
outcomes was collected and analysed. A questionnaire was used to evaluate the
health care personnel's attitudes towards the process. MAIN OUTCOME MEASURE: The
number of drug related problems identified by the clinical pharmacists, the
proportion of problems discussed with the physicians, the proportion of problems
adjusted by the physicians and whether pharmacists and physicians prioritised any
subgroup of drug related problems when choosing which problems to address.
Finally, we wanted to evaluate the health care personnel's attitudes towards the
model. RESULTS: In total, 1,227 problem were identified in 190 patients. The
pharmacists discussed 685 (55.8%) of the identified problems with the physicians
who accepted 438 (63.9%) of the suggestions. There was no significant difference
in which subgroup to put forward and which to adjust. There was a high response
rate (84%) to the questionnaire, and the health care personnel estimated the
benefits to be very high, both for the patients and for themselves. CONCLUSION:
The process for identifying, solving and preventing drug related problems was
good and the different types of problems were considered equally important. The
addition of a clinical pharmacy service was considered very useful. This suggests
that the addition of our clinical pharmacy service to the hospital setting add
skills of great importance.
PMID- 22081244
TI - The prevalence of chromosomal abnormalities in subgroups of infertile men.
AB - BACKGROUND: The prevalence of chromosomal abnormalities is assumed to be higher
in infertile men and inversely correlated with sperm concentration. Although
guidelines advise karyotyping infertile men, karyotyping is costly, therefore it
would be of benefit to identify men with the highest risk of chromosomal
abnormalities, possibly by using parameters other than sperm concentration. The
aim of this study was to evaluate several clinical parameters in azoospermic and
non-azoospermic men, in order to assess the prevalence of chromosomal
abnormalities in different subgroups of infertile men. METHODS: In a
retrospective cohort of 1223 azoospermic men and men eligible for ICSI treatment,
we studied sperm parameters, hormone levels and medical history for an
association with chromosomal abnormalities. RESULTS: The prevalence of
chromosomal abnormalities in the cohort was 3.1%. No association was found
between chromosomal abnormalities and sperm volume, concentration, progressive
motility or total motile sperm count. Azoospermia was significantly associated
with the presence of a chromosomal abnormality [15.2%, odds ratio (OR) 7.70, P <
0.001]. High gonadotrophin levels were also associated with an increased
prevalence of chromosomal abnormalities (OR 2.96, P = 0.013). Azoospermic men
with a positive andrologic history had a lower prevalence of chromosomal
abnormalities than azoospermic men with an uneventful history (OR 0.28, P =
0.047). In non-azoospermic men, we found that none of the studied variables were
associated with the prevalence of chromosomal abnormalities. CONCLUSIONS: We show
that the highest prevalence of chromosomal abnormalities is found in
hypergonadotrophic azoospermic men with an uneventful andrologic history.
PMID- 22081245
TI - Effect of infertility treatment and pregnancy-related hormones on breast cell
proliferation in vitro.
AB - BACKGROUND: Breast cancer development involves a series of mutations in a
heterogeneous group of proto-oncogenes/tumor suppressor genes that alter mammary
cells to create a microenvironment permissive to tumorigenesis. Exposure to
hormones during infertility treatment may have a mutagenic effect on normal
mammary epithelial cells, high-risk breast lesions and early-stage breast
cancers. Our goal was to understand the association between infertility treatment
and normal and cancerous breast cell proliferation. METHODS: MCF-10A normal
mammary cells and the breast cancer cell lines MCF-7 [estrogen receptor (ER)
positive, well differentiated] and HCC 1937 (ER-negative, aggressive, BRCA1
mutation) were treated with the weak ER activator clomiphene citrate and hormones
that are increased during infertility treatment. Direct effects of treatment on
cell proliferation and colony growth were determined. RESULTS: While clomiphene
citrate had no effect on MCF-10A cells or MCF-7 breast cancer cells, it decreased
proliferation of HCC 1937 versus untreated cells (P= 0.003). Estrogen had no
effect on either MCF-10A or HCC 1937 cells but, as expected, increased cell
proliferation (20-100 nM; P<=0.002) and colony growth (10-30 nM; P< 0.0001) of
MCF-7 cells versus control. Conversely, progesterone decreased both proliferation
(P= 0.001) and colony growth (P= 0.01) of MCF-10A cells, inhibited colony size of
MCF-7 cells (P= 0.01) and decreased proliferation of HCC 1937 cells (P= 0.008)
versus control. hCG (100 mIU/ml) decreased both proliferation (P <= 0.01) and
colony growth (P <= 0.002) of all three cell lines. CONCLUSIONS: Although these
data are preclinical, they support possible indirect estrogenic effects of
infertility regimens on ER-positive breast cancer cells and validate the
potential protective effect of pregnancy-related exposure to hCG.
PMID- 22081246
TI - Impact of 'LH activity' supplementation on serum progesterone levels during
controlled ovarian stimulation: a systematic review.
AB - BACKGROUND: The influence of LH on serum progesterone rise during gonadotrophin
stimulation is a matter of debate. The purpose of this analysis was to assess the
impact of supplementation with 'LH activity' products on serum progesterone
changes before hCG administration in GnRH analog-treated women. METHODS: A
computerized literature search was performed to identify studies comparing FSH
treatment alone to those that provided supplementation with 'LH activity' using
hMG, recombinant (r)LH (rLH) or hCG in GnRH analog protocols. Data regarding
stimulation regimens were extracted from those that reported serum progesterone
levels at the time of hCG in order to assess the specific role of LH activity
products. RESULTS: Serum progesterone determination at the time of hCG
administration was performed in 34 out of 108 studies comparing the effects of
FSH alone or in combination with LH activity products. In a vast majority, no
significant difference in serum progesterone could be found between stimulation
regimens. However, in four studies where LH activity (three hMG and one rLH) was
administered from the beginning of ovarian stimulation, serum P-values were
significantly decreased. In contrast, in two studies where LH activity (hCG) was
provided during the late follicular phase, serum P-values were significantly
increased. Analysis of confounding factors showed that the intensity of ovarian
stimulation is the most important determining factor to explain serum
progesterone elevation at the time of hCG administration, CONCLUSIONS: This
systematic review shows that providing LH activity supplementation in combination
with FSH during ovarian stimulation does not have a consistent effect on serum
progesterone concentrations at the time of hCG administration. However, these
data also suggest that, in accordance with physiological concept, the timing of
LH activity administration could influence the impact on serum progesterone
changes.
PMID- 22081247
TI - Family-based analysis of susceptibility loci for polycystic ovary syndrome on
chromosome 2p16.3, 2p21 and 9q33.3.
AB - BACKGROUND: Polycystic ovary syndrome (PCOS) is a complex endocrine-metabolic
disorder. A previous genome-wide association study (GWAS) identified five single
nucleotide polymorphisms (SNPs) which were independently associated with PCOS in
Han Chinese. To overcome population stratification, a family-based analysis was
conducted to validate whether these five SNPs are associated with PCOS. METHODS:
A total of 276 family trios (828 participants) having a proband with PCOS were
included in the family-based study. The transmission disequilibrium test (TDT)
was used to analyze the association between PCOS and five SNPs rs13429458,
rs12478601, rs13405728, rs10818854 and rs2479106 in three susceptible loci
2p16.3, 2p21 and 9q33.3. RESULTS: A positive association was observed for the SNP
rs13429458 (P= 3.74 * 10(-5)). CONCLUSIONS: TDT confirms that SNP rs13429458, in
the THADA gene, is significantly associated with risk of PCOS. This family-based
analysis enhances our previous case-control GWAS and provides further support for
the role of susceptibility loci in PCOS.
PMID- 22081248
TI - Development of clinical priority access criteria for assisted reproduction and
its evaluation on 1386 infertile couples in New Zealand.
AB - BACKGROUND: In New Zealand ranking patients for elective, publicly funded
procedures uses clinical priority access criteria (CPAC). A CPAC to prioritize
patients seeking assisted reproductive technology (ART) was developed in 1997 and
implemented nationwide in 2000. This study describes the development of the ART
CPAC tool and its evaluation on 1386 couples referred to a single tertiary
service from 1998 to 2005. METHODS: A total of 48 health professionals and
consumers assisted in criteria development. A score between 0 and 100 points was
calculated for each couple and those who reached >=65 points were eligible for
publicly funded ART. Couples beneath the treatment threshold were placed on
active review; the review being the date the score was calculated to reach the
treatment threshold. Couples who would never be eligible or who were on active
review were offered private treatment. Treatments and outcomes (spontaneous and
treatment dependent live birth pregnancies) were used to evaluate the criteria.
RESULTS: Three social criteria (duration infertility, number of children and
sterilization status) and two objective criteria (diagnosis and female age)
formed the priority score. Of the evaluated couples, 643 (46%) were eligible
within 1 year of referral (Group 1), 451 (33%) >1-5 years from referral (Group 2)
and 292 (21%) couples were never eligible (Group 3). The predominant ART was IVF.
A total of 480 couples had at least one IVF treatment with 404 (84%) having
publicly funded treatment. A total of 762 (55%) women gave birth, 473 from
treatment and 289 spontaneously. Group 1 had more pregnancies from treatment
while Group 2 had most pregnancies overall being mainly from spontaneous
pregnancies. Compared with Group 3 cases the hazard ratio using time to
spontaneous live birth pregnancy for Group 1 couples was significantly lower,
0.51 (95% confidence interval 0.36-0.74) and for Group 2 cases significantly
higher, 1.86, (1.35-2.58). Treatments using ART were evaluated for the three
eligibility groups, with the never eligible divided into women age <40 (Group 3a)
and woman age >=40 at referral (Group 3b). Compared with Group 1 cases the hazard
ratio to treatment dependent live birth pregnancy was similar for Groups 2 and 3a
but significantly lower for Group 3b (0.37, 0.14-0.90). CONCLUSIONS: The clinical
priority access score was able to discriminate between the chance of pregnancy
with and without treatment and those offered and not offered treatment. The CPAC
is a useful model for informing the allocation of public funding for ART in other
countries.
PMID- 22081249
TI - Localization of angiogenic growth factors and their receptors in the human
endometrium throughout the menstrual cycle and in recurrent miscarriage.
AB - BACKGROUND: Angiogenesis is a key feature of endometrial development.
Inappropriate endometrial vascular development has been associated with recurrent
miscarriage (RM) with increased amounts of perivascular smooth muscle cells
surrounding them. METHODS: In the current study, we have used
immunohistochemistry to study temporal and spatial expression of a series of
angiogenic growth factors (AGFs) and their receptors; vascular endothelial growth
factor (VEGF)-A, VEGF-C, VEGF-D, VEGF-R1, VEGF-R2, VEGF-R3, platelet-derived
growth factor (PDGF)-BB, PDGF-Ralpha, PDGF-Rbeta, transforming growth factor
(TGF)-beta1, TGF-betaRI, TGF-betaRII, angiopoietin (Ang)-1, Ang-2 and Tie-2, in
the proliferative, early secretory and mid-late secretory phase endometrium from
control women as well as in the mid-late secretory phase of women with a history
of RM. The AGFs and their receptors studied were immunostained and assessed
separately in stromal, vascular smooth muscle, endothelial and glandular
epithelial cells. Laser capture microdissection and real-time RT-PCR were used to
confirm expression patterns observed by immunohistochemistry. RESULTS: Most AGFs
investigated showed both temporal and spatial expression patterns in normal
cycling endometrium. In addition, immunostaining intensity for several AGFs was
altered in women with a history of RM, particularly in vascular smooth muscle
cells (VSMCs). VSMC expression of TGF-beta1, VEGF-R1 and VEGF-R2 was increased
while expression of PDGF-BB, TGF-betaRI, TGF-betaRII, Ang-2, VEGF-A and VEGF-C
was reduced. CONCLUSIONS: This study confirms that the cycling endometrium is a
highly angiogenic tissue and that this process is likely to be altered in women
with a history of RM and may contribute to the aetiology of this condition.
PMID- 22081250
TI - CFTR mutations in men with congenital bilateral absence of the vas deferens
(CBAVD): a systemic review and meta-analysis.
AB - BACKGROUND: Numerous studies have reported CFTR mutations in CBAVD (congenital
bilateral absence of the vas deferens) patients, but their results are not
completely consistent. Here, we present a systemic review and meta-analysis with
emphasis on clarifying further the genetic association of CFTR mutations with
CBAVD. METHODS: We searched the MEDLINE database until March, 2011 for eligible
articles reporting CFTR mutations in CBAVD. Relevant data from each included
study were abstracted by two independent reviewers. The overall frequency of CFTR
mutations in CBAVD and the odds ratio (OR) for common specific alleles were
pooled under random-effect or fixed-effect model as appropriate. Subgroup
analysis was performed by ethnicity, and potential heterogeneity and bias were
both assessed. RESULTS: Among CBAVD patients, 78% had at least one CFTR mutation,
46% having two and 28% only one. Moreover, the common heterozygous F508del/5T and
F508del/R117H were observed in 17 and 4% of CBAVD cases respectively, and the
allele frequency in CBAVD was 17% for F508del, 25% for 5T and 3% for R117H.
Subgroup analysis indicated an increased frequency of cases with two mutations in
Caucasian patients than in Non-Caucasian (68 versus 50%, P= 0.012), but no
differences for cases with at least one mutation (88 versus 77%, P= 0.163) or
with only one mutation (17 versus 25%, P= 0.115). Caucasian patients had higher
F508del frequency, but lower 5T frequency, than Non-Caucasian (22 versus 8%, P=
0.001; 20 versus 31%, P= 0.009). Summary OR was 9.25 for 5T [95% confidence
interval (CI) 7.07-12.11, P= 0.000], with moderate heterogeneity (I(2)= 49.20%,
P= 0.019) and evident bias (Egger's test, P= 0.005), and it was 19.43 for
5T/(TG)12_13 (95% CI 10.48-30.03, P= 0.000) without any evidence of heterogeneity
(I(2)= 0.1%, P= 0.391) and bias (Egger's test, P= 0.160). The OR for 5T/(TG)12_13
was significantly higher than that for 5T allele (P= 0.000). CONCLUSIONS: In
summary, our results demonstrate a high frequency of CFTR mutations in CBAVD
patients, and these exhibit evident ethnic differences. In addition, 5T allele
and 5T/(TG)12_13 may contribute to the increased risk for CBAVD, with the 5T
penetrance probably being modulated by adjacent (TG)12_13.
PMID- 22081251
TI - Human embryonic development after blastomere removal: a time-lapse analysis.
AB - BACKGROUND: Blastomere biopsy of human embryos is performed for preimplantation
genetic diagnosis (PGD). The impact on further development is largely unexplored,
though studies on mice suggest an influence on the hatching process. The
objective of this study was to evaluate the effect of blastomere biopsy on early
human embryonic development using time-lapse analysis. METHODS: Embryos from
couples undergoing PGD treatment or IVF/ICSI were included. In the PGD group, 56
human embryos had one blastomere biopsied. As controls, 53 non-biopsied IVF/ICSI
embryos were selected. All embryos were cultured until 5 days after fertilization
in a time-lapse incubator (EmbryoScopeTM). Images of embryos were acquired every
20 min. Time-points of key embryonic events were registered, and development in
the two groups was compared. RESULTS: Duration of the biopsied cell-stage in the
PGD group was longer than in the control group (P < 0.001), causing biopsied
embryos to reach subsequent embryonic stages until hatching at significantly
later time-points (P(compaction) < 0.001; P(morula) < 0.001; P(earlyblast) <
0.001; P(fullblast) = 0.01), but with unchanged intervals. Embryos in the PGD
group started hatching at the same time-point as the control group, but had a
smaller diameter (P < 0.001), and a thicker zona pellucida (P < 0.001) when
hatching. Time-lapse videos revealed that in the control group, expansion of the
blastocyst caused continuous thinning of zona pellucida until the blastocyst
hatched, whereas in the PGD group the blastocyst hatched through the opening in
zona pellucida artificially introduced prior to the biopsy. CONCLUSIONS: We find
that blastomere biopsy prolongs the biopsied cell-stage, possibly caused by a
delayed compaction and alters the mechanism of hatching.
PMID- 22081252
TI - Advanced renal mass imaging: diffusion and perfusion MRI.
AB - Computed tomography (CT) is considered the imaging modality of choice in
evaluation of renal lesions. The advantages of magnetic resonance imaging (MRI)
compared to CT include superior soft tissue contrast, avoidance of ionizing
radiation and iodinated contrast media, and the possibility of performing
functional and advanced imaging techniques such as diffusion-weighted (DWI) and
perfusion-weighted imaging (PWI). Although the traditional role of MRI in the
evaluation of renal mass is primarily that of a problem-solving tool, DWI and PWI
are expanding the role of MRI in management of renal cell cancers. DWI and PWI
have shown considerable promise not only in renal lesion detection and
characterization as benign or malignant, but also in assessment of renal cell
cancer subtype and nuclear grade. Furthermore, these techniques have the
potential to assist with tailoring patient- and disease-specific management by
providing surgical planning in patients with localized renal cell cancer and
assessing treatment response in patients with advanced renal cell cancer
undergoing targeted chemotherapy.
PMID- 22081253
TI - Techniques of biliary reconstruction following bile duct resection (with video).
AB - In several clinical situations, including resection of malignant or benign
biliary lesions, reconstruction of the biliary system using the Roux-en-Y jejunum
limb has been adopted as the standard procedure. The basic technique and the
procedural knowledge essential for most gastroenterological surgeons are
described in this article, along with a video supplement. Low complication rates
involving anastomotic insufficiency or stricture can be achieved by using proper
surgical techniques, even following small bile duct reconstruction. Using the
ropeway method to stabilize the bile duct and jejunal limb allows precise mucosa
to-mucosa anastomosis with interrupted sutures of the posterior row of the
anastomosis. Placement of a transanastomotic stent tube is the second step. The
final step involves suturing the anterior row of the anastomosis. In contrast to
the lower extrahepatic bile duct, the wall of the hilar or intrahepatic bile duct
can be recognized within the fibrous connective tissue in the Glissonean pedicle.
The portal side of the duct should be selected for the posterior wall during
anastomosis owing to its thickness. Meticulous inspection to avoid overlooking
small bile ducts could decrease the chance of postoperative intractable bile
leakage. In reconstruction of small or fragile branches, a transanastomotic stent
tube could work as an anchor for the anastomosis.
PMID- 22081254
TI - Identification of novel hybrids between Cryptococcus neoformans var. grubii VNI
and Cryptococcus gattii VGII.
AB - Cryptococcus neoformans and Cryptococcus gattii are pathogenic yeasts causing
meningoencephalitis in immunocompromised and immunocompetent hosts. The fungus is
typically haploid, and sexual reproduction occurs normally between individuals
with opposite mating types, alpha and a. C. neoformans var. grubii (serotype A)
is comprised of molecular types VNI, VNII, and VNB, and C. neoformans var.
neoformans (serotype D) contains the molecular type VNIV. Additionally, diploid
or aneuploid AD hybrids (VNIII) have been reported. C. gattii contains the
molecular types VGI, VGII, VGIII, and VGIV, which encompass both serotypes B and
C. To identify possible hybrid strains, URA5-RFLP analysis was performed on 350
globally obtained clinical, environmental, and veterinary isolates. Four clinical
isolates from cerebrospinal fluid showed combination patterns of C. neoformans
var. grubii and C. gattii: Brazil (n = 2), Colombia (n = 1), and India (n = 1).
These strains were monokaryotic and diploid or aneuploid. M13 PCR fingerprinting
showed that they contained fragments of both proposed parental groups. Luminex
IGS genotyping identified these isolates as hybrids with two different molecular
type combinations: three VNI/VGII and one VNI/VGI. Blue color development on CGB
agar was delayed in three isolates and absent in one. C. gattii-specific PCR
confirmed the presence of C. gattii in the hybrids. CAP59 allele-specific PCR
revealed that all the hybrids contained both serotype A and B alleles.
Determination of mating-type allelic patterns by PCR revealed that the isolates
were alphaA aB. This is the first study discovering novel natural hybrids between
C. neoformans molecular type VNI and C. gattii molecular type VGII.
PMID- 22081255
TI - Paracoccidioidal infection in HIV patients at an endemic area of
paracoccidioidomycosis in Brazil.
AB - The association between paracoccidioidomycosis (PCM) and AIDS is relatively rare
in contrast to the higher incidence of other systemic mycosis. The explanation
may be that AIDS is still predominantly an urban disease, and the PCM is endemic
in Latin American rural areas. The aim of this study was to detect the prevalence
of Paracoccidioides brasiliensis infection in HIV-positive patients at an endemic
area of paracoccidioidomycosis in Brazil. Skin test with purified 43 kD
glycoprotein (gp43) was performed in 90 HIV/AIDS patients. The prevalence found
was 12.2% and it may be even greater, considering that HIV/AIDS patients may not
respond to the intradermal test, which depends on cellular immunity for its
positivity.
PMID- 22081256
TI - Clopidogrel tapering as a strategy to attenuate platelet rebound phenomenon in
patients with bare-metal stents.
AB - Early clustering of adverse cardiovascular events after abrupt cessation of
clopidogrel has been reported in patients with acute coronary syndromes. A
platelet rebound phenomenon may contribute to this increased thrombotic risk and
a gradual drug tapering may attenuate this proposed platelet effect. Accordingly,
we aimed to assess the effect of clopidogrel tapering on platelet reactivity.
Twenty patients who underwent elective percutaneous coronary interventions with
bare metal stents receiving 3 months of clopidogrel therapy (75 mg daily) were
randomized to either of two discontinuation strategies: (1) Off group-abrupt drug
cessation or (2) Tapering group-receiving clopidogrel 75 mg every other day for 4
weeks duration. Light transmission aggregometry, induced by ADP (5 and 10 MUM)
and collagen, was measured at four time-points (at baseline and 2, 4 and 6 weeks
after randomization). In the off group, there was an early rise in platelet
reactivity at 2 weeks after abrupt drug cessation compared to baseline, as
measured by ADP 5 MUmol/l (39.6 +/- 2.8 vs. 67.9 +/- 6.0, P < 0.001). The
tapering regimen suppressed this rebound platelet aggregation by ADP 5 MUmol/l at
2 weeks (P = 0.001) and 4 weeks (P = 0.001). Similar results were found with ADP
10 MUmol/l and collagen agonists. Abrupt cessation of clopidogrel results in an
early rise in platelet aggregability in patients with BMS that is attenuated by a
tapering regimen. Clopidogrel administration every other day may achieve similar
levels of platelet inhibition as full dose therapy. Further investigations
evaluating clopidogrel tapering strategies and their potential clinical impact
are warranted.
PMID- 22081257
TI - Growth hormone treatment in adults with Prader-Willi syndrome: the Scandinavian
study.
AB - Prader-Willi syndrome (PWS) is characterized by short stature, muscular
hypotonia, cognitive dysfunction, and hyperphagia usually leading to severe
obesity. Patients with PWS share similarities with growth hormone deficiency
(GHD). Few studies have dealt with growth hormone (GH) treatment in PWS adults.
The purpose of the Scandinavian study was to evaluate the effects of GH on body
composition, lipid and glucose metabolism, physical performance and safety
parameters in adults with PWS. Twenty-five women and 21 men with PWS were
randomized to treatment with GH or placebo during 1 year followed by 2 years of
open labeled GH treatment. At baseline 1/3 had normal BMI, six patients severe
GHD, ten impaired glucose tolerance and seven diabetes. At 1 year insulin-like
growth factor I (IGF-I) SDS had increased by 1.51 (P < 0.001) and body
composition improved in the GH treated group. Visceral fat decreased by 22.9 ml
(P = 0.004), abdominal subcutaneous fat by 70.9 ml (P = 0.003) and thigh fat by
21.3 ml (P = 0.013), whereas thigh muscle increased 6.0 ml (P = 0.005). Lean body
mass increased 2.25 kg (P = 0.005), and total fat mass decreased 4.20 kg (P <
0.001). The positive effects on body composition were maintained after 2 years of
GH treatment. Peak expiratory flow increased by 12% (P < 0.001) at 2 years of GH
treatment. Lipid and glucose metabolism were unchanged, however, three patients
developed diabetes at 2 years of GH treatment. In conclusion GH treatment had
beneficial effects on the abnormal body composition without serious adverse
events making it a logic treatment option in adults with PWS.
PMID- 22081258
TI - A comprehensive, computer-model-based approach for diagnosis and treatment of
complex acid-base disorders in critically-ill patients.
AB - We have developed a computer-model-based approach to quantitatively diagnose the
causes of metabolic acid-base disorders in critically-ill patients. We use an
interstitial-plasma-erythrocyte (IPE) model that is sufficiently detailed to
accurately calculate steady-state changes from normal in fluid volumes and
electrolyte concentrations in a given patient due to a number of causes of acid
base disorders. Normal fluid volumes for each patient are determined from their
sex, height and weight using regression equations derived from measured data in
humans. The model inputs (electrolyte masses and volumes) are altered to simulate
the laboratory chemistry of each critically-ill patient. In this process, the
model calculates changes in body-fluid volumes, osmolality and yields the
individual values of IPE base excess (BE(IPE)) attributed to changes due to: (1)
fluid dilution/contraction, (2) gain or loss of Cl(-), (3) hyper- or
hypoalbuminemia, (4) presence of unmeasured ions, (5) gain of lactate, (6) gain
or loss of phosphate, (7) gain or loss of calcium and magnesium, (8) gain or loss
of potassium and (9) gain or loss of sodium. We use critically-ill patient data
to show how our new approach is more informative and much simpler to interpret as
compared to the approaches of Siggaard-Andersen or Stewart. We demonstrate how
the model can be used at the bedside to diagnose acid-base disorders and suggest
appropriate treatment. Hence, this new approach gives clinicians a new tool for
diagnosing disorders and specifying fluid-therapy options for critically-ill
patients.
PMID- 22081259
TI - Capability of a new paediatric oesophageal Doppler monitor to detect changes in
cardiac output during testing of external pacemakers after cardiac surgery.
AB - BACKGROUND: The Cardio QPTM oesophageal Doppler monitor measures the velocity
time integral of the blood flow in the descending aorta. Based on system
integrated normograms of the aortic cross-sectional area of a paediatric
population, the cardiac output is calculated and displayed. OBJECTIVE: Evaluation
of the capability of the Cardio QPTM to detect changes in cardiac output during
desynchronizing ventricular pacing (VVI) in children after cardiac surgery.
PATIENTS: Eleven children (6 female, 5 male) with epicardial pacemaker electrodes
admitted to the paediatric intensive care unit (PICU) after corrective surgery
for congenital heart defects. Mean age: 6.3 (2.1-15.0) months, mean body weight:
5.3 (3.5-7.8) kg. INTERVENTIONS: After baseline measurements of cardiac output
(base I), we performed 3 steps, each lasting 5 min: (1) ventricular pacing (VVI),
(2) baseline (base II) recording, (3) atrial pacing (AOO). We measured the
effects on haemodynamic parameters and blood gases as well as on the measured
cardiac output. RESULTS: Ventricular pacing, with atrio-ventricular dyssynchrony,
led to a significant drop in blood pressure and central venous saturation.
Cardiac output parameters showed a decrease in stroke volume (SV) from 4.9+/-2.2
to 4.2+/-2.1 ml (P = 0.005) and cardiac index (CI) (2.6+/-1.1-2.1+/-0.8
ml/min/m(2)) (P = 0.009) during ventricular pacing. Cardiac index and
haemodynamic parameters during atrial stimulation did not show significant
changes from baseline. CONCLUSION: The Cardio QPTM seems to be capable of
detecting slight changes in cardiac output.
PMID- 22081260
TI - Whether ideal free or not, predatory mites distribute so as to maximize
reproduction.
AB - Ideal free distribution (IFD) models predict that animals distribute themselves
such that no individual can increase its fitness by moving to another patch. Many
empirical tests assume that the interference among animals is independent of
density and do not quantify the effects of density on fitness traits. Using two
species of predatory mites, we measured oviposition as a function of conspecific
density. Subsequently, we used these functions to calculate expected
distributions on two connected patches. We performed an experimental test of the
distributions of mites on two such connected patches, among which one had a food
accessibility rate that was twice as high as on the other. For one of the two
species, Iphiseius degenerans, the distribution matched the expected
distribution. The distribution also coincided with the ratio of food
accessibility. The other species, Neoseiulus cucumeris, distributed itself
differently than expected. However, the oviposition rates of both species did not
differ significantly from the expected oviposition rates based on experiments on
single patches. This suggests that the oviposition rate of N. cucumeris was not
negatively affected by the observed distribution, despite the fact that N.
cucumeris did not match the predicted distributions. Thus, the distribution of
one mite species, I. degenerans, was in agreement with IFD theory, whereas for
the other mite species, N. cucumeris, unknown factors may have influenced the
distribution of the mites. We conclude that density-dependent fitness traits
provide essential information for explaining animal distributions.
PMID- 22081261
TI - Phenotypic plasticity facilitates resistance to climate change in a highly
variable environment.
AB - Increased summer drought will exacerbate the regeneration of many tree species at
their lower latitudinal and altitudinal distribution limits. In vulnerable
habitats, introduction of more drought-tolerant provenances or species is
currently considered to accelerate tree species migration and facilitate forest
persistence. Trade-offs between drought adaptation and growth plasticity might,
however, limit the effectiveness of assisted migration, especially if
introductions focus on provenances or species from different climatic regions. We
tested in a common garden experiment the performance of Pinus sylvestris
seedlings from the continental Central Alps under increased temperatures and
extended spring and/or summer drought, and compared seedling emergence, survival
and biomass allocation to that of P. sylvestris and closely related Pinus nigra
from a Mediterranean seed source. Soil heating had only minor effects on seedling
performance but high spring precipitation doubled the number of continental P.
sylvestris seedlings present after the summer drought. At the same time, twice as
many seedlings of the Mediterranean than the continental P. sylvestris provenance
were present, which was due to both higher emergence and lower mortality under
dry conditions. Both P. sylvestris provenances allocated similar amounts of
biomass to roots when grown under low summer precipitation. Mediterranean
seedlings, however, revealed lower phenotypic plasticity than continental
seedlings under high precipitation, which might limit their competitive ability
in continental Alpine forests in non-drought years. By contrast, high variability
in the response of individual seedlings to summer drought indicates the potential
of continental P. sylvestris provenances to adapt to changing environmental
conditions.
PMID- 22081262
TI - Determination of new prediction formula for nasal continuous positive airway
pressure in Turkish patients with obstructive sleep apnea syndrome.
AB - BACKGROUND: Race/ethnicity may play an important role in determining body size,
severity of obstructive sleep apnea syndrome (OSAS), and effective continuous
positive airway pressure (CPAP) (Peff). Turkey is composed of different ethnic
groups. Therefore, the aims of this study were to determine new prediction
formula for CPAP (Ppred) in Turkish OSAS patients, validate performance of this
formula, and compare with Caucasian and Asian formulas. METHODS: Peff of 250
newly diagnosed moderate-to-severe OSAS patients were calculated by in-laboratory
manual titration. Correlation and multiple linear regression analysis were used
to model effects of ten anthropometric and polysomnographic variables such as
neck circumference (NC) and oxygen desaturation index (ODI) on Peff. New formula
was validated in different 130 OSAS patients and compared with previous formulas.
RESULTS: The final prediction formula was [Formula: see text]. When Peff of
control group was assessed, it was observed that mean Peff was 8.39 +/- 2.00
cmH(2)O and Ppred was 8.23 +/- 1.22 cmH(2)O. Ppred was within +/-3 cmH(2)O of
Peff in 96.2% patients. Besides, Peff was significantly correlated with new
formula, and prediction formulas developed for Caucasian and Asian populations (r
= 0.651, p < 0.001, r = 0.648, p < 0.001, and r = 0.622, p < 0.001,
respectively). CONCLUSIONS: It is shown that level of CPAP can be successfully
predicted from our prediction formula, using NC and ODI and validated in Turkish
OSAS patients. New equation correlates with other formulas developed for
Caucasian and Asian populations. Our simple formula including ODI, marker of
intermittent hypoxia, may be used easily in different populations.
PMID- 22081264
TI - Automatic subarachnoid space segmentation and hemorrhage detection in clinical
head CT scans.
AB - PURPOSE: The subarachnoid space (SAS) lies between the arachnoid membrane and the
pia mater of the human brain, normally filled with cerebrospinal fluid (CSF).
Subarachnoid hemorrhage (SAH) is a serious complication of neurological disease
that can have high mortality and high risk of disability. Computed tomography
(CT) head scans are often used for diagnosing SAH which may be difficult when the
hemorrhage is small or subtle. A computer-aided diagnosis system from CT images
is thus developed to augment image interpretation. METHODS: Supervised learning
using the probability of distance features of several landmarks was employed to
recognize SAS. For each CT image, the SAS was approximated in four steps: (1)
Landmarks including brain boundary, midsagittal plane (MSP), anterior and
posterior intersection points of brain boundary with the MSP, and superior point
of the brain were extracted. (2) Distances to all the landmarks were calculated
for every pixel in the CT image, and combined to construct a high-dimensional
feature vector. (3) Using head CT images with manually delineated SAS as training
dataset, the prior probabilities of distances for pixels within SAS and non-SAS
were computed. (4) Any pixel of a head CT scan in the testing dataset was
classified as an SAS or non-SAS pixel in a Bayesian decision framework based on
its distance features. RESULTS: The proposed method was validated on clinical
head CT images by comparison with manual segmentation. The results showed that
the automated method is consistent with the gold standard. Compared with elastic
registration based on grayscale information, the proposed method was less
affected by grayscale variation between normal controls and patients. Compared
with manual delineation, the average spatial overlap, relative overlap, and
similarity index were, respectively, 89, 63, and 76% for the automatic SAS
approximation of the 69 head CT scans tested. The proposed method was tested for
SAH detection and yielded a sensitivity of 100% and a specificity of 92%.
CONCLUSION: Automated SAH detection with high sensitivity was shown feasible in a
prototype computer-aided diagnosis system. The proposed method may be extended
for computer-aided diagnosis of several CSF-related diseases relevant to SAS
abnormalities.
PMID- 22081263
TI - Transfusion risk in cancer patients with chemotherapy-induced anemia when
initiating darbepoetin alfa therapy at a baseline hemoglobin level of <9 g/dL
versus 9 to <10 g/dL versus >= 10 g/dL: an exploratory analysis of a phase 3
trial.
AB - Darbepoetin alfa (DA) is an erythropoiesis-stimulating agent (ESA) approved for
treating chemotherapy-induced anemia (CIA). Safety concerns have prompted changes
to the ESA-product information, which now recommends initiating ESAs at
hemoglobin (Hb) levels < 10 g/dL (US) or <= 10 g/dL (EU). The present exploratory
analysis of a DA trial examined how baseline-Hb levels at ESA initiation affect
transfusion rates, Hb response, and safety outcomes in CIA patients. Data were
retrospectively analyzed from a phase 3 trial of CIA patients randomised to 500
mcg DA every 3 weeks (Q3 W) or to 2.25 mcg/kg DA weekly (QW) for 15 weeks. In the
current analysis, data were reanalyzed by baseline-Hb categories of <9 g/dL (n =
126), 9 to <10 g/dL (n = 225), and >= 10 g/dL (n = 354). The Q3 W and QW groups
were combined. Transfusion rates were highest in the <9 g/dL baseline-Hb group in
all time periods examined. The Kaplan-Meier percentage (95% CI) of patients
achieving Hb >= 10 g/dL was 68% (59, 78) and 88% (82, 92) in the <9 g/dL and 9 to
<10 g/dL baseline-Hb groups, respectively. With lower baseline-Hb, incidence of a
>= 1 g/dL-Hb rise in 14 days progressively decreased. Incidence of venous
thromboembolic events was similar in all baseline-Hb groups and similar between
patients with or without a >= 1 g/dL-Hb rise in 14 days. Overall, transfusion
risk increased and Hb response decreased at lower baseline-Hb levels in this
exploratory analysis. When following ESA-product information to initiate ESAs at
Hb <= 10 g/dL, the greatest benefit may be achieved when initiating close to 10
g/dL. Prospective studies are needed to further examine this hypothesis.
PMID- 22081265
TI - Large soft tissue osteochondroma of the heel: a case report and literature
review.
AB - Soft tissue osteochondromas are the rare tumors of the foot. We present an
unusual case of a 30-year-old woman who had a large osteochondroma originating
from the soft tissue in the heel region. She made an uneventful recovery
following excision. No recurrence was noted at 36 months follow-up. To the best
of authors' knowledge, such presentation has not been reported before in the
English language-based medical literature.
PMID- 22081266
TI - Comment on: Successful treatment of methicillin-resistant Staphylococcus aureus
mitral valve endocarditis with sequential linezolid and telavancin monotherapy
following daptomycin failure.
PMID- 22081267
TI - Comment on: AbaR4 replaces AbaR3 in a carbapenem-resistant Acinetobacter
baumannii isolate belonging to global clone 1 from an Australian hospital.
PMID- 22081268
TI - Could liposomal amphotericin B (L-AMB) lock solutions be useful to inhibit
Candida spp. biofilms on silicone biomaterials?
AB - OBJECTIVES: Candida infections associated with catheters remain difficult to
manage. Antifungal lock strategies could be a therapeutic option when the device
is difficult to remove or in combination with systemic treatment to increase
efficacy. This study deals with the antibiofilm potential of liposomal
amphotericin B (L-AMB) used as a lock solution to inhibit Candida albicans,
Candida glabrata and Candida parapsilosis biofilms in vitro. METHODS: Biofilms
aged 12 h and 5 days were formed on silicone catheters. L-AMB (200 or 1000 mg/L)
was added to biofilms and catheters were incubated for 4, 12 or 24 h at 37
degrees C. L-AMB was then removed by washing. The metabolic activity of yeasts
was assessed by the XTT method up to 48 h after the end of the locks to evaluate
the persistence of the antibiofilm activity. Controls without antifungal were
used as references to calculate the inhibition percentages induced by L-AMB lock
solutions. RESULTS: L-AMB (200 and 1000 mg/L) inhibited, for up to 48 h, C.
albicans and C. glabrata biofilms by >70%, regardless of the lock duration. The
activity of L-AMB (200 mg/L) against C. parapsilosis mature biofilms was lower
and less sustained, especially for 4 h locks. CONCLUSIONS: L-AMB (1000 mg/L) lock
solutions strongly inhibited Candida spp. in young and mature biofilms for up to
48 h after the end of the lock. However, overall eradication of the biofilm was
not obtained using 1000 mg/L L-AMB as a single lock. These results suggest the
usefulness of systemic treatment combined with an L-AMB lock to control Candida
spp. biofilms associated with catheters.
PMID- 22081269
TI - Motion effects on SUV and lesion volume in 3D and 4D PET scanning.
AB - To assess the effect of lesion motion and respiration rate on Standardised Uptake
Value (SUV) and the ability of 4D PET to restore any loss in SUV and distortion
of lesion volume on two PET/CT systems. A Perspex phantom with four cylindrical
reservoirs filled with (18)F-FDG was used in this study. The cylinders measured
5, 10, 15, and 20 mm in diameter. A GE Discovery STE8 (GE Medical Systems
Milwaukee, WI) and a Siemens Biograph 64/40 (Siemens Medical Solutions, Erlangen,
Germany) scanner was used to acquire a stationary un-gated PET scan of the
phantom. Multiple 10 min list mode 4D PET scans were acquired using the Varian
RPM on the GE camera and the Anzai Gating system on the Siemens camera. The
phantom was scanned at five different respiratory rates and motion amplitudes in
a sinusoidal fashion, 15 RPM/1 cm, 15 RPM/2 cm, 15 RPM/4 cm, 30 RPM/2 cm and 7.5
RPM/2 cm (RPM-respirations per minute). Each scan was reconstructed into ten bins
and as an un-gated static image. The SUVmax, SUVmean and volume were measured for
all four reservoirs using Siemens TrueD analysis software. With increasing lesion
movement the SUVmax and SUVmean decreased and the volume increased with the
SUVmax in the smallest lesion underestimated by up to a factor of four. The
SUVmax, SUVmean and volume were mostly recovered using 4D imaging regardless of
amount of lesion displacement. The larger lesions showed better count recovery
and volume correction than the smaller lesions. The respiratory rate had no
effect of SUV or volume. Un-gated imaging of moving lesions decreases apparent
SUV in small lesions significantly and overestimates volumes. 4D PET scanning
recovers most of the apparent loss in SUV and distortion of volumes.
PMID- 22081270
TI - A novel population balance model to investigate the kinetics of in vitro cell
proliferation: part I. Model development.
AB - In biotechnology and biomedicine reliable models of cell proliferation kinetics
need to capture the relevant phenomena taking place during the mitotic cycle. To
this aim, a novel mathematical model helpful to investigate the intrinsic
kinetics of in vitro culture of adherent cells up to confluence is proposed in
this work. Specifically, the attention is focused on the simulation of
proliferation (increase of cell number) and maturation (increase of cell size and
DNA content) till contact inhibition eventually takes place inside a Petri dish.
Accordingly, the proposed model is based on a population balance (PB) approach
that allows one to quantitatively describe cell cycle progression through the
different phases the cells of the entire population experienced during their own
life. In particular, the proposed model has been developed as a 2D, multi-staged,
and unstructured PB, by considering a different sub-population of cells for any
single phase of the cell cycle. These sub-populations are discriminated through
cellular volume and DNA content, that both increase during the mitotic cycle. The
adopted mathematical expressions of the transition rates between two subsequent
phases and the temporal increase of cell volume and DNA content are thoroughly
analyzed and discussed with respect to those ones available in the literature.
Specifically, the corresponding uncertainties and pitfalls are pointed out, by
also taking into account the difficulties and the limitations involved in the
quantitative measurements currently practicable for these biological systems. A
novel mathematical expression for contact inhibition in line with the PB model
developed is also formulated, along with a proper comparison between modeled and
measurable DNA distributions. The strategy for a reliable, independent tuning of
the adjustable parameters involved in the proposed model along with its numerical
solution is outlined in Part II of this work, where it is also shown how it can
be profitably used to gain a deeper insight into the phenomena involved during
cell cultivation under microgravity conditions.
PMID- 22081271
TI - Rehabilitation using high-intensity physical training and long-term return-to
work in cancer survivors.
AB - INTRODUCTION: Due to large and increasing numbers of cancer survivors, long-term
cancer-related health issues have become a major focus of attention. This study
examined the relation between a high-intensity physical rehabilitation program
and return-to-work in cancer survivors who had received chemotherapy. METHODS:
The intervention group, consisting of 72 cancer survivors from one hospital (8
men and 64 women, mean age 49 years), followed an 18-weeks rehabilitation program
including strength and interval training, and home-based activities. An age
matched control group, consisting of 38 cancer survivors (9 men and 29 women),
was recruited from two other hospitals. They received only standard medical care.
All subjects were evaluated during a telephone interview on employment issues,
conducted at +/-3 years after diagnosis. The main outcomes were change in working
hours per week and time until return-to-work. RESULTS: Patients in the
intervention group showed significant less reduction in working hours per week [
5.0 h/week vs. -10.8 h/week (P = .03)]. Multivariate analyses showed that the
training intervention, the age of patients, and the number of working hours pre
diagnosis could explain the improvement in long-term participation at work. Time
until (partial) return-to-work was 11.5 weeks for the intervention group versus
13.2 weeks for the control group (P = .40). On long-term follow-up, 78% of the
participants from the intervention group versus 66% from the control group had
returned to work on the pre-diagnosis level of working hours (P = .18).
CONCLUSION: Rehabilitation using high-intensity physical training is useful for
working patients to minimize the decreased ability to work resulting from cancer
and its treatment.
PMID- 22081272
TI - Locked intramedullary femoral nailing without fracture table or image
intensifier.
AB - The present retrospective study aims to evaluate the outcome in 41 patients of
femoral shaft fractures, who had closed intramedullary nailing in lateral
decubitus position without fracture table or image intensifier. Mean age was 33.2
(range, 18-70) years. The cannulated reamer in proximal fragment (as
intramedullary joystick) and Schanz screw in the distal fragment (as percutaneous
joystick) were simultaneously used to assist closed reduction of the fracture
without the use of image intensifier. Closed reduction was successful in 38
patients. Open reduction was required in 3 patients. Schanz screw was used for
closed reduction in 12 patients. Average number of intra-operative radiographic
exposures was 4.4. Two patients had exchange nailing using large diameter nails.
One patient had nonunion. Angular and rotatory malalignments were observed in
seven patients. We are of the opinion that the present technique is a safe and
reliable alternative to achieve closed locked intramedullary nailing and is best
suited to stable, less comminuted (Winquist-Hansen types I and II) diaphyseal
fractures of the femur.
PMID- 22081273
TI - Pituitary immunoexpression of ghrelin in anorexia nervosa.
AB - Ghrelin, an orexigenic hormone, is known to occur in the normal anterior
pituitary where its physiologic role is uncertain but may include promotion of
appetite. We sought to investigate anticipated differences in adenohypophysial
and neurohypophysial ghrelin immunoexpression between normal subjects and
patients with anorexia nervosa who had succumbed to complications of the disease.
We hypothesized that the glands of anorexia nervosa patients would show relative
diminished action in ghrelin content. The study included 12 autopsy-derived
pituitaries of anorexia nervosa and 10 control glands. The streptavidin-biotin
peroxidase complex method and double immunohistochemical staining method were
used to determine which cell types expressed both ghrelin and adenohypophysial
hormones. Nontumorous control pituitaries were also obtained at autopsy. In
anorexia nervosa and control adenohypophyses, ghrelin was mainly localized in
somatotrophs and to a lesser extent in corticotrophs and gonadotrophs. Ghrelin
accumulated within nerve fibers and Herring bodies in the neurohypophysis and
pituitary stalk. In the controls, ghrelin expression was apparent in only a few
cases. It was mild and only along few nerve fibers. In the adenohypophyses of
anorexia nervosa patients, ghrelin was not depleted. It appears that in these
patients, ghrelin is transported in excess from the hypothalamic neurohypophysial
tract to the neurohypophysis.
PMID- 22081274
TI - Radioactive iodine (131I) therapy for differentiated thyroid cancer in Japan:
current issues with historical review and future perspective.
AB - Radioactive iodine (RAI, (131)I) has been used as a therapeutic agent for
differentiated thyroid cancer (DTC) with over 50 years of history. Recently, it
is now attracting attention in medical fields as one of the molecular targeting
therapies, which is known as targeted radionuclide therapy. Radioactive iodine
therapy (RIT) for DTC, however, is now at stake in Japan, because Japan is
confronting several problems, including the recent occurrence of the Great East
Japan Disaster (GEJD) in March 2011. RIT for DTC is strictly limited in Japan and
requires hospitalization. Because of strict regulations, severe lack of medical
facilities for RIT has become one of the most important medical problems, which
results in prolonged waiting time for Japanese patients with DTC, including those
with distant metastasis, who wish to receive RIT immediately. This situation is
also due to various other factors, such as prolonged economic recession, super
aging society, and subsequent rapidly changing medical environment. In addition,
due to the experience of atomic bombings in Hiroshima and Nagasaki, Japanese
people have strong feeling of "radiophobia". There is fear that GEJD and related
radiation contamination may worsen this feeling, which might be reflected in more
severe regulation of RIT. To overcome these difficulties, it is essential to
collect and disclose all information about the circumstances around this therapy
in Japan. In this review, we would like to look at this therapy through several
lenses, including historical, cultural, medical, and socio-economic points of
view. We believe that clarifying the problems is sure to lead to the resolution
of this complicated situation. We have also included several recommendations for
future improvements.
PMID- 22081275
TI - Use of an oral effervescent agent in the evaluation of gastric 67Ga uptake.
AB - OBJECTIVE: Gastric uptake of (67)Ga may be observed in patients with no obvious
gastric lesions, as well as those with gastric malignancy. The aim of this study
was to investigate whether the use of an effervescent agent aids in evaluating
gastric (67)Ga uptake. METHODS: Twenty patients having or suspected of having
gastric uptake on whole-body (67)Ga scintigrams were studied. Anterior abdominal
images were obtained at baseline and after the oral intake of the effervescent
agent (gas contrast image). The presence or absence of malignant gastric uptake
was judged visually using the baseline image or gas contrast image. The judgment
was compared with the clinical diagnosis, and the clinical usefulness of the gas
contrast technique was assessed. RESULTS: In all patients, successful distension
of the stomach was indicated in the gas contrast image. Clinical assessment
showed gastric lesions in six patients (gastric involvement of lymphoma in 3,
primary gastric lymphoma in 2, and adenocarcinoma in 1). The gas contrast image
yielded accurate judgments of malignant gastric uptake in all patients except one
with adenocarcinoma. Imaging after gastric distension induced by the oral
effervescent agent contributed to excluding malignant gastric uptake in eight
patients and demonstrating malignant gastric uptake in four patients.
CONCLUSIONS: Benign gastric uptake may complicate the assessment of gastric
lesions in (67)Ga scintigraphy. Additional spot imaging after oral intake of an
effervescent agent can aid in evaluating malignant gastric lesions through
gastric distension.
PMID- 22081276
TI - Revisiting backward recall and benchmark memory effects: a reply to Bireta et al.
(2010).
AB - When participants are asked to recall lists of items in the reverse order, known
as backward recall, several benchmark memory phenomena, such as the word length
effect, are abolished (Bireta et al. Memory & Cognition 38:279-291, 2010). Bireta
et al. (Memory & Cognition 38:279-291, 2010) suggested that in backward recall,
reliance on order retention is increased at the expense of item retention,
leading to the abolition of item-based phenomena. In a subsequent study, however,
Guerard and Saint-Aubin (in press) showed that four lexical factors known to
modulate item retention were unaffected by recall direction. In a series of five
experiments, we examined the source of the discrepancy between the two studies.
We revisited the effects of phonological similarity, word length, articulatory
suppression, and irrelevant speech, using open and closed pools of words in
backward and forward recall. The results are unequivocal in showing that none of
these effects are influenced by recall direction, suggesting that Bireta et al.'s
(Memory & Cognition 38:279-291, 2010) results are the consequence of their
particular stimuli.
PMID- 22081277
TI - Differences in the strength of distractor inhibition do not affect distractor
response bindings.
AB - Distractor inhibition and distractor-response binding were investigated in two
experiments by analyzing distractor repetition benefits and their interaction
with response repetition effects in a sequential-priming paradigm. Distractor
repetition benefits were larger for distractors that were incompatible with the
to-be-executed response (task-related distractors) than for distractors that were
not assigned to a response (neutral distractors), indicating that the strength of
distractor inhibition was a function of response interference for the
distractors. In contrast, the distractor-response bindings were found to be of
equal strength for both task-related and neutral distractors. Thus, differences
in the strengths of distractor inhibition did not affect the integration of
distractors with responses into event files. Instead, our results suggest that
distractor-response binding and distractor inhibition are independent mechanisms
that are recruited for the automatization of behavior and action control.
PMID- 22081278
TI - Tropical and unusual infections of the musculoskeletal system.
PMID- 22081279
TI - Diagnostic radiology in the tropics: technical considerations.
AB - An estimated two thirds of the world's population is currently without access to
diagnostic radiology services, and most of them live in resource-limited tropical
regions with harsh environments. Most patients are diagnosed and treated in
poorly equipped government-funded hospitals and clinics that have insufficiently
trained staff and are barely operational. Any available imaging equipment is
likely to be functioning suboptimally and be poorly maintained. The root of the
problem is usually a lack of know-how and a quality culture, combined with
insufficient basic equipment and infrastructure. Radiological imaging is an
essential aspect of primary care and used in the critical diagnosis and
management of trauma, tuberculosis, pneumonia, acquired immunodeficiency
syndrome, cancer, and other respiratory and abdominal diseases. Considerations
such as quality management and infrastructure, personnel, equipment, and
radiation protection and safety are important to ensure the proper functioning
and rational use of a diagnostic radiology facility in the tropics.
PMID- 22081280
TI - Tuberculous osteomyelitis and spondylodiscitis.
AB - Tuberculosis (TB) is no longer a disease limited to developing nations and is
still a major cause of significant morbidity and mortality worldwide. The
indolent clinical presentation, emergence of multidrug-resistant mycobacteria,
and association with human immunodeficiency virus infection poses obstacles for
early diagnosis and management. Compared with the other forms of TB,
musculoskeletal involvement is relatively rare. Tuberculous spondylitis is the
most common form of musculoskeletal TB and accounts for ~50% of cases.
Extraspinal musculoskeletal TB shows a predilection for large weightbearing
joints, long bones, and the skull. This article reviews the radiologic features
of diverse forms of osseous TB and the diagnostic value of the different imaging
techniques. It also reviews the imaging differential diagnoses, including other
infections and malignancies/metastases. Conventional radiography is of key value
in the diagnosis of musculoskeletal TB. Computed tomography, magnetic resonance
imaging, and bone scintigraphy also play key roles in the early detection of
disease and in demonstrating the extent of disease process and soft tissue
involvement. Because delay in treatment significantly reduces the cure rate and
increases the rate of complications and morbidity, early radiological diagnosis
of TB is of paramount importance for appropriate management.
PMID- 22081281
TI - Tuberculosis arthritis and tenosynovitis.
AB - The incidence of extrapulmonary tuberculosis (TB) has been rising due to the
increasing number of immunosuppressed patients. Musculoskeletal system accounts
for 25% of extrapulmonary TB. Most of the musculoskeletal TB involves the spine.
TB of peripheral joints and tendons occur infrequently, but if untreated, it can
cause serious joint and tendon destruction as well as spread of the infection to
the surrounding bursa, muscle, and other soft tissues. The diagnosis of TB of
joints and tendons is difficult due to the nonspecific clinical manifestations
and imaging features. Concurrent active pulmonary TB is present in <50% of the
patients. A positive chest radiographic finding or a positive tuberculin test
supports the diagnosis, but negative results do not exclude diagnosis. Although
imaging features of TB of joints and tendons are nonspecific, certain findings
such as relatively preserved joint space, juxta-articular osteoporosis, cold
abscesses, para-articular soft tissue calcification, and rice bodies are
suggestive of TB infection. Familiarity with these imaging features can help in
making an early diagnosis and facilitating proper management.
PMID- 22081282
TI - Musculoskeletal brucellosis.
AB - Brucellosis is a zoonosis of worldwide distribution caused by small gram-negative
nonencapsulated coccobacilli of the genus Brucella. It is characterized by a
granulomatous reaction in the reticuloendothelial system. Because it affects
several organs and tissues, it may have various clinical manifestations.
Musculoskeletal involvement is one of the most common locations, and the
frequency of bone and joint (osteoarticular) involvement of brucellosis varies
between 10% and 85%. Osteoarticular involvement includes spondylitis,
sacroiliitis, osteomyelitis, peripheral arthritis, bursitis, and tenosynovitis.
The most common osteoarticular finding in children is monoarticular arthritis,
mostly located in the knees and hips; whereas in adults, sacroiliitis is the most
frequent. Imaging studies, including radiography, computed tomography (CT),
magnetic resonance (MR) imaging, and bone scintigraphy, have been used for
diagnosis. Radiography is limited to evaluating the focal form of spinal
brucellosis and advanced disease at the joints. CT and bone scintigraphy have
limited value because of their inadequate soft tissue resolution. MR imaging is
the method of choice to assess the extent of disease and follow up the treatment
response. However, MR imaging has a low specificity to predict the exact cause of
an osteoarticular lesion, and in case of arthralgia or symptoms of osteomyelitis
or spondylodiscitis, the index of suspicion should be high in regions where the
disease is endemic.
PMID- 22081283
TI - Musculoskeletal melioidosis.
AB - Melioidosis is an infectious disease caused by Burkholderia pseudomallei, mostly
affecting patients in Southeast Asia and northern Australia. The disease has been
increasingly recognized around the world due to the increased levels of travel
and population movement. Clinical manifestations of melioidosis range from
fulminant septicemic illness to an indolent local infection. The disease often
involves multiple organs, including the lung, spleen, liver, and other visceral
organs. Musculoskeletal infection is usually seen as a part of multiorgan
involvement, but localized musculoskeletal involvement may occur. The most common
manifestation of musculoskeletal melioidosis is septic arthritis, followed by
osteomyelitis, pyomyositis, and soft tissue abscesses. The clinical and
radiological manifestations of musculoskeletal melioidosis are nonspecific, and
the diagnosis needs a high level of suspicion. Associated infection of lungs and
visceral organs is suggestive of melioidosis. The disease requires special
laboratory facilities and treatment. Inappropriate or inadequate treatment leads
to high mortality rate or long-term relapse of the disease. The causative
organism of melioidosis, clinical manifestations, and imaging features of
musculoskeletal melioidosis are reviewed.
PMID- 22081284
TI - Tropical pyomyositis and necrotizing fasciitis.
AB - Pyomyositis is a purulent infection of skeletal muscle that arises from
hematogenous spread, usually with abscess formation. Necrotizing fasciitis is a
more severe, rapidly progressive infection involving the superficial and deep
fascia with necrosis and fluid collections that can be life threatening if left
untreated. Both conditions may coexist, and concomitant cellulitis is often seen.
A high incidence of these diseases occurs in the tropics, but they are
increasingly being seen in temperate countries, due in part to their association
with immunodeficiency conditions such as human immunodeficiency virus (HIV)
infection, diabetes mellitus, and organ transplantation. This article aims to
familiarize physicians with these entities, review their clinical manifestations
and imaging features, and highlight the role of imaging in the management of
patients with these conditions.
PMID- 22081285
TI - Musculoskeletal fungal infections.
AB - Fungal infections of the musculoskeletal system are uncommon. They are often
found in immunosuppressed or patients with the acquired immunodeficiency syndrome
or in patients with a history of travel to an endemic region. Infections often
present with multifocal chronic osteomyelitis or chronic mono- or polyarthritis
resembling osteoarticular tuberculosis. A clinical clue to the correct diagnosis
is the presence of overlying skin sinuses. Radiologists can suggest the correct
diagnosis with a good clinical history, although a biopsy and/or fungal culture
is usually necessary before beginning treatment.
PMID- 22081286
TI - Musculoskeletal coccidioidomycosis.
AB - Coccidioidomycosis (valley fever) is a systemic fungal infection caused by soil
fungi, Coccidioides species, and is associated with significant morbidity and
mortality. This infection is endemic in northern Mexico, the southwestern United
States, and parts of Central and South America. The risk factors include
ethnicity (especially African and Pacific Island ancestry), male gender, and
immunosuppression. The primary infection occurs in lungs, but fewer than 40% of
patients are symptomatic. Fewer than 1% of infections result in disseminated
disease, which may involve any organ. Skeletal infection occurs in 10 to 50% of
these patients and is frequently multicentric with axial skeleton involvement.
The diagnosis can be confirmed by culture of the organism or visual detection
from cytological or histological specimens obtained from sites of disease. A
presumptive diagnosis can be made in patients with a compatible illness and a
positive serologic test. Imaging plays a significant role in diagnosis and follow
up treatment of musculoskeletal coccidioidomycosis and includes radiography,
magnetic resonance imaging, computed tomography, and bone scintigraphy. Skeletal
coccidioidomycosis is difficult to treat and frequently requires a combination of
medical therapy and surgical debridement. Medical therapy must be continued for a
prolonged period of time, potentially for a lifetime in some patients.
PMID- 22081287
TI - Musculoskeletal hydatid disease.
AB - Hydatid disease is an infectious disease caused by the larval stage of the
parasitic tapeworm Echinococcosis granulosus. Its distribution is worldwide.
Although hydatid disease can develop in almost any part of the body, it is most
commonly found in the liver and lung. Musculoskeletal involvement is rare. The
radiological appearance of the hydatid disease of musculoskeletal system mimics
tumors and other inflammatory conditions. Therefore preoperative diagnosis of
musculoskeletal hydatid disease is sometimes difficult clinically and
radiologically. On radiography, different radiographic changes may occur. In
cases of osteolytic and inflammatory changes, it may mimic any variant of
nonspecific or specific osteomyelitis. Bone erosion and destruction may lead to
almost complete osteolysis, bone may distort, and on occasion, its radiologic
appearances may be confused with those of a malignant bone tumor. Computed
tomography (CT) is more accurate in delineating the area of destruction. The
primary role of CT and magnetic resonance imaging is in the recognition of
extraosseous spread of the hydatid disease within the soft tissues. This article
reviews the pathological basis and the clinical and imaging features of
musculoskeletal hydatid disease.
PMID- 22081288
TI - Musculoskeletal infection in acquired immunodeficiency syndrome.
AB - Musculoskeletal infection is one of the common manifestations of patients
infected with human immunodeficiency virus and acquired immunodeficiency
syndrome. With immune deficiency, patients are susceptible to a variety of
nonopportunistic and opportunistic infections that can result in significant
morbidity and mortality. Infection can involve any anatomical compartments
resulting in infectious arthritis, osteomyelitis, pyomyositis, and soft tissue
and skin infection. Imaging plays an important role in the early diagnosis and
treatment planning for these patients. This article reviews the clinical
manifestations of musculoskeletal infection together with reported causative
organisms. We discuss the role of imaging and present radiological examples.
PMID- 22081289
TI - Musculoskeletal complications of severe acute respiratory syndrome.
AB - The severe acute respiratory syndrome (SARS) was a highly infectious pneumonia
that emerged in southern China early in 2003. A large number of SARS patients
experienced large joint arthralgia, although this was, for the most part, not
associated with any abnormality on magnetic resonance imaging. The main
musculoskeletal complications of SARS were osteonecrosis and reduced bone mass,
and these arose not from the disease per se but as a sequel to treatment of SARS
with high-dose steroids. SARS patients were almost universally steroid naive with
no other known predisposition to osteonecrosis. Prevalence of osteonecrosis in
SARS patients treated with steroids ranged from 5% to 58%. Osteonecrosis most
commonly affected the proximal femur and femoral condyles and was most strongly
related to cumulative steroid dose and duration of steroid therapy. Osteonecrosis
risk was <1% in patients receiving <3 g and 13% in patients receiving >3 g
cumulative prednisolone-equivalent dose. Most osteonecrotic lesions tended to
improve with a reduction in lesion volume over a follow-up period of 5 years. The
relative reduction in osteonecrotic lesion volume was greatest for smaller
lesions.
PMID- 22081290
TI - Imaging-guided biopsy in musculoskeletal infections.
AB - Biopsies may be required in patients suspected to have musculoskeletal infections
to confirm the diagnosis and also to identify the causative organism. Imaging
guided biopsies have gained increased acceptance to obtain various types of
tissues for diagnosis. Under image guidance, biopsies are done percutaneously,
usually under local anesthesia. They are relatively safe, and complications are
significantly less compared with open biopsies. In this article, we review the
planning, indications, technique, and complications of imaging-guided
percutaneous biopsy performed for musculoskeletal infections.
PMID- 22081291
TI - Creatine and pyruvate prevent behavioral and oxidative stress alterations caused
by hypertryptophanemia in rats.
AB - It is known that the accumulation of tryptophan and its metabolites is related to
brain damage associated with both hypertryptophanemia and neurodegenerative
diseases. In this study, we investigated the effect of tryptophan administration
on various parameters of behavior in the open-field task and oxidative stress,
and the effects of creatine and pyruvate, on the effect of tryptophan. Forty, 60
day-old male Wistar rats, were randomly divided into four groups: saline,
tryptophan, pyruvate + creatine, tryptophan + pyruvate + creatine. Animals
received three subcutaneous injections of tryptophan (2 MUmol/g body weight each
one at 3 h of intervals) and/or pyruvate (200 MUg/g body weight 1 h before
tryptophan), and/or creatine (400 MUg/g body weight twice a day for 5 days before
tryptophan twice a day for 5 days before training); controls received saline
solution (NaCl 0.85%) at the same volumes (30 MUl/g body weight) than the other
substances. Results showed that tryptophan increased the activity of the animals,
suggesting a reduction in the ability of habituation to the environment.
Tryptophan induced increase of TBA-RS and total sulfhydryls. The effects of
tryptophan in the open field, and in oxidative stress were fully prevented by the
combination of creatine plus pyruvate. In case these findings also occur in
humans affected by hypertryptophanemia or other neurodegenerative disease in
which tryptophan accumulates, it is feasible that oxidative stress may be
involved in the mechanisms leading to the brain injury, suggesting that creatine
and pyruvate supplementation could benefit patients affected by these disorders.
PMID- 22081292
TI - Inpatient warfarin management: pharmacist management using a detailed dosing
protocol.
AB - Hospitalized patients receiving anticoagulants such as warfarin are at increased
risk for adverse events because of difficulties maintaining a therapeutic
international normalized ratio (INR). We sought to determine whether a detailed
warfarin dosing protocol administered by pharmacists with minimal physician
oversight significantly reduced the proportion of hospitalized patients with a
supratherapeutic INR. We conducted a prospective, nonrandomized trial with
patients on cardiology, internal medicine, and family medicine inpatient services
who received at least 1 dose of warfarin while hospitalized. The baseline group
included 293 patients, and the intervention group comprised 217 patients.
Baseline characteristics were similar in each group, except that more patients
received antibiotics in the intervention group. The defect rate (INR > 5 after
receiving warfarin) in the baseline group was significantly higher than in the
intervention group (7.85 vs. 1.85%). Conversely, the percentage of patients with
an INR less than 1.7 after 4 warfarin doses was lower in the intervention
patients, indicating overall improvement in therapeutic levels. Dosing
discussions were required between the pharmacist and a physician for only 6% of
intervention patients. The protocol effectively reduced overanticoagulation
without increasing under anticoagulation during hospitalization and reduced the
need for close physician oversight.
PMID- 22081293
TI - Current status on plasma biomarkers for acute mesenteric ischemia.
AB - Clinical diagnosis of acute mesenteric ischemia is difficult. The aim of this
review is to provide current status on the search for an accurate plasma
biomarker for acute mesenteric ischemia. A search using the medical subject
heading terms marker and mesenteric ischemia or intestinal ischemia or superior
mesenteric artery occlusion or mesenteric venous thrombosis in the Medline and
Embase databases from 1980 to 2011. Studies without a control group or a control
group consisted of healthy individuals (human studies), or studies on intestinal
reperfusion were excluded. Twenty animal and twelve human studies were
identified. In human studies, the studied series of patients had a control group
that had a need of laparotomy (n = 2), suspected acute mesenteric ischemia (n =
7), acute abdomen (n = 2) or systemic inflammatory response syndrome (n = 1). D:
dimer has been found to be the most consistent highly sensitive early marker, but
specificity was low. The follow-up study on alpha-glutathione S-transferase
yielded inferior sensitivity and accuracy than the preliminary study, clearly
questioning the value of this marker. Intestinal fatty acid binding globulin (I
FABP) and D: -lactate are both interesting markers, but the results were
conflicting. Different cut-off levels have been used in the studies on I-FABP.
The encouraging preliminary result of cobalt-albumin and urinary FABP as an
accurate marker needs to be addressed in other study populations. The early
clinical and laboratory diagnosis of intestinal ischemia remains a challenge.
None of the proposed plasma-derived tests for acute mesenteric ischemia has as
yet entered routine clinical practice. The proposed biomarkers need to be
evaluated in a prospective clinical research project in patients with acute
abdomen.
PMID- 22081294
TI - Relationship between preoperative radial artery and postoperative arteriovenous
fistula blood flow in hemodialysis patients.
AB - BACKGROUND: It is recommended that arteriovenous fistula (AVF) blood flow should
be more than 425 ml/min before cannulation. However, the relationship between
preoperative radial artery flow (RAF) and postoperative AVF blood flow has still
not been examined. METHODS: Sixty-one patients with end-stage kidney disease
(ESKD) were examined. They had an AVF prepared at Juntendo University Hospital
from July 2006 through August 2007. Preoperative RAF and postoperative AVF blood
flows were measured by ultrasonography. RESULTS: AVF blood flow gradually
increased after the operation. AVF blood flow was significantly correlated with
preoperative RAF. When preoperative RAF exceeded 21.4 ml/min, AVF blood flow rose
to more than 425 ml/min. The postoperative AVF blood flow in the group with RAF
of more than 20 ml/min was significantly higher than that in those with less than
20 ml/min. Preoperative RAF of less than 20 ml/min had a significantly high risk
of primary AVF failure within 8 months compared with that of more than 20 ml/min.
CONCLUSIONS: It appears that measurement of RAF by ultrasonography is useful for
estimating AVF blood flow postoperatively and can predict the risk of
complications in ESKD patients.
PMID- 22081295
TI - Evaluation of growth in low-body-weight kidney transplant Egyptian children: 25
year experience.
AB - BACKGROUND: Identification of problems associated with kidney transplantation in
low-body-weight children is an essential step toward improving graft function and
patient survival as well as quality of life. PATIENTS AND METHODS: This study
comprised 63 renal transplant children weighing 25 kg or less at time of renal
transplantation. All children received a living donor renal allotransplant
between December 1984 and March 2009. These children were retrospectively
evaluated regarding their survival, graft survival as well as physical growth.
RESULTS: Our patient and graft survival rates at 1, 5 and 10 years were 98.4%,
96.8% and 96.8%, and 94.9%, 82.6% and 58.4%, respectively. Significant risk
factors for growth retardation post renal transplant were identified and included
older age at time of transplant (p=0.019), female sex (p=0.010), retarded growth
at time of transplant (p=0.011, by univariate analysis, and p=0.028, by
multivariate analysis), incidence of chronic rejection (p=0.012), higher steroid
cumulative dose (p=0.013) and graft dysfunction (p=0.009, by multivariate
analysis). CONCLUSION: The current final height of low-body-weight transplant
Egyptian children has remained suboptimal. The management of growth retardation
posttransplant is multifactorial and should start early before transplantation,
with optimal care of growth in children with chronic kidney disease. Moreover,
expedited transplantation, whenever indicated, and optimization of posttransplant
graft function with minimal steroid exposure are essential factors which were
shown to be possible using immunosuppression based on tacrolimus plus
mycophenolate mofetil, after basiliximab induction.
PMID- 22081296
TI - GM-CSF contributes to prompt healing of ecthyma gangrenosum lesions in kidney
transplant recipient.
AB - BACKGROUND: Ecthyma gangrenosum (EG) is an unusual, potentially fatal cutaneous
disease, commonly associated with Pseudomonas aeruginosa septicemia. CASE REPORT:
We report the case of a 61-year-old man admitted to the Nephrology Department for
fever, leukopenia and inguinal and scrotal painful lesions. Physical examination
revealed inguinal and scrotal macules, nodules, blisters and ulcers with central
necrosis. P. aeruginosa was isolated from an ulcer. EG was diagnosed. Because of
the severe leukopenia, granulocyte-macrophage colony-stimulating factor (GM-CSF)
was administered until the white blood cell count significantly increased. Based
on antibiogram, intravenous ceftazidime and teicoplanin were given for 11 days.
Cutaneous manifestations were completely healed in about 2 months. CONCLUSION: We
suggest that the combination of GM-CSF with appropriate antibiotics can resolve
EG and avoid or minimize the risk of septicemia in immunosuppressed patients.
PMID- 22081297
TI - Tandem high-dose chemotherapy and autologous stem cell transplantation for
anaplastic ependymoma in children younger than 3 years of age.
AB - The present study evaluates the feasibility and effectiveness of tandem high-dose
chemotherapy and autologous stem cell transplantation (HDCT/autoSCT) in very
young children with anaplastic ependymoma. We aimed both to improve survival and
to avoid unacceptable late adverse effects of radiation therapy (RT) by avoiding
or deferring RT until 3 years of age. Five consecutive patients younger than 3
years of age with anaplastic ependymoma were enrolled from April 2006 to November
2008. Tandem HDCT/autoSCT was given following six cycles of induction
chemotherapy. RT was either not given or deferred until 3 years of age if the
patient was in complete response after tandem HDCT/autoSCT. Median age at
diagnosis was 16 (range 12-28) months. Four patients had significant residual
tumor (>1.5 cm(2)) after initial surgery, and three had leptomeningeal seeding.
Toxicities during induction chemotherapy and tandem HDCT/autoSCT were manageable.
No tumor progressed during induction chemotherapy and tandem HDCT/autoSCT, and RT
was thus avoided or deferred until 3 years of age in all patients. All patients
are alive at median follow-up of 45 (range 31-62) months from diagnosis, although
tumor progressed in one patient. No significant endocrine dysfunction occurred
except for hypothyroidism in one patient. Cognitive function was also acceptable
in all patients but one who had significant neurologic injury during surgery. Our
results indicate that treatment with tandem HDCT/autoSCT is feasible in very
young children with anaplastic ependymoma and may improve the survival of
patients with acceptable long-term toxicity.
PMID- 22081298
TI - Imaging of human mesenchymal stromal cells: homing to human brain tumors.
AB - Human mesenchymal stromal cells (hMSC) can be used as a drug delivery vehicle for
the treatment of GBM. However, tracking the migration and distribution of these
transplanted cells is necessary to interpret therapeutic efficacy. We compared
three labeling techniques for their ability to track the migration of
transplanted hMSC in an orthotopic mouse xenograft model. hMSC were labeled with
three different imaging tags (fluorescence, luciferase or ferumoxide) for imaging
by fluorescence, bioluminescence or magnetic resonance imaging (MRI),
respectively. hMSC were labeled for all imaging modalities without the use of
transfection agents. The labeling efficacy of the tags was confirmed, followed by
in vitro and in vivo migration assays to track hMSC migration towards U87 glioma
cells. Our results confirmed that the labeled hMSC retained their migratory
ability in vitro, similar to unlabeled hMSC. In addition, labeled hMSC migrated
towards the U87 tumor site, demonstrating their retention of tumor tropism. hMSC
tumor tropism was confirmed by all three imaging modalities; however, MRI
provides both real time assessment and the high resolution needed for clinical
studies. Our findings suggest that ferumoxide labeling of hMSC is feasible, does
not alter their migratory ability and allows detection by MRI. Non invasive
tracking of transplanted therapeutic hMSC in the brain will allow further
development of human cell based therapies.
PMID- 22081299
TI - High rate of infection control with one-stage revision of septic knee prostheses
excluding MRSA and MRSE.
AB - BACKGROUND: The rate of infection control for one-stage revision of infected knee
arthroplasties is unclear as are the factors influencing infection control. Such
factors include duration of infection and the type of infected prosthesis.
QUESTIONS/PURPOSES: We therefore determined: (1) the rate of infection control
with one-stage revision of septic knee prostheses, (2) the clinical knee scores
that can be achieved, (3) whether the duration of infection or the type of
prosthesis influence the level of infection control, and (4) whether different
types of prostheses influence the knee scores. METHODS: We retrospectively
reviewed prospectively collected data from 63 patients who underwent one-stage
revisions of septic knee endoprostheses (six unicondylar, 37 primary total knee
replacement prostheses, and 20 hinged knee endoprostheses) between 2004 and 2006.
All were treated locally and systemically with microorganism-specific
antibiotics. For this study we excluded patients with Methicillin-resistant
Staphylococcus aureus and Methicillin-resistant Staphylococcus epidermidis or
unknown microorganisms. The patients were examined for infection every 3 months
and Oxford and Knee Society scores were assessed at the same time. The minimum
followup was 24 months (mean followup, 36 months; range, 24-70 months). RESULTS:
None of the patients with replacement unicondylar and primary total knee
replacement prostheses had recurrence of infection. Three of the 20 patients with
the hinged infected knee prostheses had recurrences; these three patients had
chronic infections and had undergone two to three revision operations during at
least a 5-year period. The likelihood of infection control was influenced by the
duration of infection. The mean Knee Society knee score 24 months after surgery
was 72 points (range, 20-98 points), the Knee Society function score was 71
points (range, 10-100 points), and the Oxford-12 knee score was 27 points (range,
13-44 points). CONCLUSIONS: One-stage revision of septic knee prostheses achieved
an infection control rate of 95% and higher knee scores than reported for two
stage revisions. Higher rates of recurrent infection appeared to be associated
with long-term chronic infections of hinged prostheses.
PMID- 22081300
TI - Bone screws have advantages in repair of experimental osteochondral fragments.
AB - BACKGROUND: Cartilage defects are created on intraarticular osteochondral
fragments at the entrance holes of fixation devices when these fragments are
fixed to the original sites. Conventional fixation devices hinder repair of these
defects and there is a latent risk of secondary osteoarthritis. We therefore
developed a novel fixation device system consisting of bone screws made of
cortical bone for osteochondral fragments to improve repair of these surface
defects. QUESTIONS/PURPOSES: We asked whether bone screws had advantages over
poly-L-lactic acid (PLLA) screws in terms of (1) gross assessment of the surface,
(2) volume and histologic quality of the repair tissue, and (3) biomechanical
assessment of the tissue stiffness. METHODS: We examined gross morphology,
microCT, histology, and stiffness of the repaired tissue with PLLA (n = 32) and
bone (n = 32) screws in a rabbit model of osteochondral fracture, compared with
normal controls (n = 16). RESULTS: Gross morphology and histology revealed better
quality with bone screws than with PLLA screws. Mean repaired volumes in microCT
were 70.6% +/- 14% with bone screws and 50.3% +/- 15% with PLLA screws. Average
stiffness values for PLLA screws, bone screws, and normal cartilage were 1.67 +/-
0.54 N/mm, 2.63 +/- 0.42 N/mm, and 3.15 +/- 0.49 N/mm, respectively. CONCLUSIONS:
Our results show better repaired tissue was observed for quality and quantity
when chondral fractures were treated with bone screws than when treated with PLLA
screws. CLINICAL RELEVANCE: Bone screws made of cortical bone may have
applications in clinical situations for the fixation of intraarticular
osteochondral fragments.
PMID- 22081301
TI - Chronic inhibition of endoplasmic reticulum stress and inflammation prevents
ischaemia-induced vascular pathology in type II diabetic mice.
AB - Endoplasmic reticulum (ER) stress and inflammation are important mechanisms that
underlie many of the serious consequences of type II diabetes. However, the role
of ER stress and inflammation in impaired ischaemia-induced neovascularization in
type II diabetes is unknown. We studied ischaemia-induced neovascularization in
the hind-limb of 4-week-old db - /db- mice and their controls treated with or
without the ER stress inhibitor (tauroursodeoxycholic acid, TUDCA, 150 mg/kg per
day) and interleukin-1 receptor antagonist (anakinra, 0.5 ug/mouse per day) for 4
weeks. Blood pressure was similar in all groups of mice. Blood glucose, insulin
levels, and body weight were reduced in db - /db- mice treated with TUDCA.
Increased cholesterol and reduced adiponectin in db - /db- mice were restored by
TUDCA and anakinra treatment. ER stress and inflammation in the ischaemic hind
limb in db - /db- mice were attenuated by TUDCA and anakinra treatment. Ischaemia
induced neovascularization and blood flow recovery were significantly reduced in
db - /db- mice compared to control. Interestingly, neovascularization and blood
flow recovery were restored in db - /db- mice treated with TUDCA or anakinra
compared to non-treated db - /db- mice. TUDCA and anakinra enhanced eNOS-cGMP,
VEGFR2, and reduced ERK1/2 MAP-kinase signalling, while endothelial progenitor
cell number was similar in all groups of mice. Our findings demonstrate that the
inhibition of ER stress and inflammation prevents impaired ischaemia-induced
neovascularization in type II diabetic mice. Thus, ER stress and inflammation
could be potential targets for a novel therapeutic approach to prevent impaired
ischaemia-induced vascular pathology in type II diabetes.
PMID- 22081302
TI - Queen volatiles as a modulator of Tetragonisca angustula drone behavior.
AB - Tetragonisca angustula mating occurs during the virgin queen nuptial flight,
usually in the presence of a drone congregation area (DCA). The presence of
virgin queen pheromone is considered the trigger for DCA establishment, although
this has not been demonstrated experimentally. We established meliponaries, in
different habitats, with T. angustula virgin queens during the main drone
reproduction period. Eight DCAs were observed in urban areas, and all established
outside or near colonies containing at least one virgin queen. The accumulation
of drones in the DCAs occurred from 08:00 to 18:00 h and over 3-35 days. The
number of drones in DCAs ranged from 60 to 2,000. In field trials, drones were
attracted to virgin queens and also, unexpectedly, to physogastric queens.
Volatiles collected from both virgin and physogastric queens elicited strong
electoantennogram (EAG) responses from drones. Virgin and physogastric queen
volatiles were qualitatively similar, but quantitatively different, in chemical
composition. The queen's abdomen was the principal source of these compounds.
Isopropyl hexanoate (IPH), the most abundant compound in virgin queen volatiles
and one of the most abundant in physogastric queen volatiles, was identified as
one of the compounds that elicited EAG responses and was demonstrated to attract
drones in a field test.
PMID- 22081303
TI - Role of androgen receptor CAG repeat polymorphism length in hypothalamic
progesterone sensitivity in hyperandrogenic adolescent girls.
PMID- 22081304
TI - Severity of inducible myocardial ischemia predicts incident acute coronary
syndromes in asymptomatic individuals with a family history of premature coronary
artery disease.
AB - BACKGROUND: Although the severity of inducible ischemia provides incremental
prognostic information in persons with known or suspected coronary artery disease
(CAD), its significance for predicting long-term CAD outcomes in apparently
healthy populations is unknown. This study was designed to evaluate the presence
and degree of myocardial ischemia in asymptomatic siblings of persons with
premature CAD <60 years of age and to determine its significance for predicting
incident acute coronary syndromes (ACS) during follow-up of 5 to 25 years.
METHODS: Siblings (n = 1,287, age 30-59 years, 55% female) were screened for
traditional risk factors, underwent exercise treadmill testing with nuclear
perfusion imaging, and were followed for the development of ACS (mean follow-up
11.6 +/- 5.1 years). The severity of ischemia was assessed by semiquantitative
methods using the standard 17-segment model and then categorized by the percent
maximal summed stress score as none (0%), minimal (1% to <5%), mild (5% to 10%),
moderate (10% to 15%), or severe (>=15%). RESULTS: ACS occurred in 132 subjects
(10.3%) and included sudden cardiac death (n = 13), acute MI (n = 62), and
unstable angina with revascularization (n = 57). The presence of no (88%),
minimal (6%), mild (5%), and moderate/severe (1%) ischemia was associated with an
ACS incidence of 8.3%, 19.7%, 25.0%, and 38.9%, respectively (P < .0001 for
trend). Kaplan-Meier event-free survival analyses by myocardial ischemia severity
categories showed that even minimal and mild myocardial ischemia were associated
with greater ACS incidence detectable as early as 2 years after baseline. A Cox
proportional hazard model, adjusted for risk factors and follow-up time, showed
that each 5% increment in the severity of ischemia resulted in a 77% increase in
the hazard of incident ACS (P < .001). CONCLUSION: Inducible myocardial ischemia
is prevalent in asymptomatic siblings of persons with early onset CAD. Most
ischemia is minimal or mild in severity, and although the severity of ischemia is
associated with the risk of ACS in a graded fashion, the presence of even minimal
and mild perfusion defects predicts worse CAD outcomes in this population.
PMID- 22081305
TI - A highly elastic and adhesive gelatin tissue sealant for gastrointestinal surgery
and colon anastomosis.
AB - BACKGROUND: We describe the development of a highly elastic and adhesive surgical
tissue sealant, based on photochemically crosslinked gelatin, for sealing sutured
incisions in the gastrointestinal (GI) tract in a rabbit surgical model and in a
canine colon anastomosis study. METHODS: The study included in vitro assessment
of mechanical parameters of the tissue sealant and in vivo analysis of burst
strength and histology at 24 h, 3 days and 7 days post surgery, in a rabbit
model, to assess progress of wound healing at the suture sites. Utility of this
sealant to repair and seal a lower colonic resection and anastomosis procedure in
a canine model was also investigated. RESULTS: We show that a photopolymerised
gelatin tissue sealant provides effective sealing of GI incisions and facilitates
wound healing with no evidence of inflammation up to 28 days post-surgery.
Blending of derivatised gelatin with underivatised gelatin allowed tuning of
elasticity and elastic modulus of the photopolymerised sealant to suit surgical
applications. High tissue adhesive strength was maintained at all blend ratios
and exceeded 100 kPa. CONCLUSIONS: This highly elastic and adhesive
photopolymerised gelatin tissue sealant offers a number of advantages over
currently available sealants suitable for GI surgical procedures.
PMID- 22081306
TI - An examination of the thermodynamics of fusion, vaporization, and sublimation of
ibuprofen and naproxen by correlation gas chromatography.
AB - The vaporization enthalpies of (S)-ibuprofen and (S)-naproxen measured by
correlation gas chromatography at T = 298.15 K are reported and compared with
literature values. Adjustment of the fusion enthalpies of (RS)- and (S)-ibuprofen
and (S)-naproxen to T = 298.15 K and combined with the vaporization enthalpy of
the (S)-enantiomer of both ibuprofen and naproxen also at T = 298.15 K resulted
in the sublimation enthalpies of both (S)-enantiomers. On the assumption that the
vaporization enthalpy of the racemic form of ibuprofen is within the experimental
uncertainty of the chiral form, the sublimation enthalpy of racemic ibuprofen was
also evaluated. The vaporization and sublimation enthalpies compare favorably to
the most of the literature values for the racemic form of ibuprofen but differ
from the value reported for chiral ibuprofen. The literature values of (S)
naproxen are somewhat smaller than the values measured in this work. The
following vaporization enthalpies were measured for (S)-ibuprofen and (S)
naproxen, respectively: DeltaH(vap) (298.15 K), 106.0 +/- 5.5, 132.2 +/- 5.0
kJ.mol(-1) . Sublimation enthalpies of 122.7 +/- 5.6 and 155.2 +/- 7.1 kJ.mol(-1)
were calculated for the (S)-enantiomers of ibuprofen and naproxen and a value of
128.9 +/- 5.8 kJ.mol(-1) was estimated for the racemic form of ibuprofen.
PMID- 22081307
TI - Lactobacillus plantarum and Lactobacillus buchneri as expression systems:
evaluation of different origins of replication for the design of suitable shuttle
vectors.
AB - The objectives of this study were to establish transformation protocols for
Lactobacillus plantarum CD033 and Lactobacillus buchneri CD034, two industrial
silage strains and to test the influence of selected origins of replication on
plasmid copy number, plasmid stability, and plasmid incompatibility in these
strains. Electro-transformation protocols were optimized by examination of the
influence of different electroporation solutions and cell wall weakening agents
on transformation efficiency. Using Lithium acetate as cell wall weakening agent,
we could achieve transformation efficiencies of 8 * 10(4) transformants per 1 MUg
DNA for L. buchneri CD034 which is to our knowledge the highest described for
this species up to now. In order to test feasibility of previously described
origins of replication derived from Bacillus subtilis, L. plantarum, Lactococcus
lactis, and two novel L. buchneri CD034 plasmids to drive replication in our two
selected Lactobacillus strains, six shuttle vectors were constructed. Results
indicate that, in terms of stable propagation and high gene copy numbers (up to
238 copies/chromosome), the most suitable origins of replication for the
construction of expression vectors for the selected silage strains were the ones
derived from the novel L. buchneri CD034 plasmids.
PMID- 22081308
TI - Obstructive sleep apnea and the metabolic syndrome.
PMID- 22081309
TI - CD15+/CD16low human granulocytes from terminal cancer patients: granulocytic
myeloid-derived suppressor cells that have suppressive function.
AB - Myeloid-derived suppressor cells (MDSCs) are a subpopulation of myeloid cells
with immunosuppressive function whose numbers are increased in conditions such as
chronic infection, trauma, and cancer. Unlike murine MDSCs defined as CD11b(+)/Gr
1(+), there are no specific markers for human MDSCs. The goal of this study was
to delineate a specific human MDSCs subpopulation in granulocytes from terminal
cancer patients and investigate its clinical implications. Here, we show that the
CD15(+)/CD16(low) subset was increased in terminal cancer patients compared with
healthy donors (P = 0.009). Phorbol 12-myristate 13-acetate-activated
granulocytes (CD16(low)/CD66b(++)/CD15(+)) that have a phenotype similar to MDSCs
from cancer patients, effectively suppressed both proliferation and cytotoxicity
of normal T cells. Among cancer patients, T-cell proliferation was highly
suppressed by granulocytes isolated from terminal cancer patients with a high
proportion of CD15(+)/CD16(low) cells. Patients with low peripheral blood levels
of CD15(+)/CD16(low) cells had significantly longer survival than those with high
levels (P = 0.0011). Patients with higher levels of CD15(+)/CD16(low) also tended
to have poor performance status (P = 0.05). These data suggest that
CD15(+)/CD16(low) granulocytes found in terminal cancer patients may play a role
in the progression of cancer by inhibiting tumor immunity.
PMID- 22081310
TI - Podoplanin expression during dysplasia-carcinoma sequence in the oral cavity.
AB - Human podoplanin, a type-1 transmembrane sialomucin-like glycoprotein, is
involved in cell migration, tumor cell invasion, and metastasis. However, the
role of the protein in squamous cell carcinoma (SCC) has been unclear and
immunohistochemical reactivity for podoplanin differs from organ-to-organ. In the
present study, immunohistochemical and molecular biological analyses were
performed to examine the importance of podoplanin expression in oral precancerous
and cancerous lesions and metastases. We immunohistochemically investigated the
expression of podoplanin in 103 precancerous lesions, 69 primary oral squamous
cell carcinomas (OSCCs), and 32 metastases, and that of E-cadherin and vimentin
in primary OSCCs with metastasis. Furthermore, human OSCC-derived cell lines
preincubated with fibrous growth factor-basic, epidermal growth factor (EGF), and
tumor growth factor-beta1 were subjected to real-time reverse transcription
polymerase chain reaction. Immunoreactivity for podoplanin was detected in 89
(86.4%) of the precancerous lesions and the intensity was correlated with the
degree of epithelial dysplasia (P = 0.016). Enhanced podoplanin expression was
observed in 66 (95.7%) of the OSCCs and was significantly associated with a poor
pathologic grade of differentiation (P = 0.020). Epithelial-mesenchymal
transition was observed in 18 (58.1%) of the primary OSCCs with metastasis to
regional lymph nodes. Messenger RNA for podoplanin was markedly increased after
treatment with EGF in three OSCC cell lines. The present findings suggest that
podoplanin is associated with tumor development via the oral dysplasia-carcinoma
sequence and could be involved in a signaling pathway governing tumor growth and
invasion in OSCC.
PMID- 22081311
TI - SOCS5 and SOCS6 have similar expression patterns in normal and cancer tissues.
AB - In the present study, we investigated mRNA expression patterns of both SOCS5 and
SOCS6 in various normal and cancer tissues using a commercially available Cancer
Profiling Array. We found that SOCS5 and SOCS6 had similar expression patterns in
most cancer and healthy individuals, suggesting that these two genes are
transcriptionally co-regulated. Tissue-specific up- or down-regulation of SOCS5
and SOCS6 was observed in several cancer tissues. Most importantly, thyroid gland
cancer tissues exhibited large reductions of both SOCS5 and SOCS6 expressions. In
addition, mRNA and protein levels of SOCS6 were down-regulated in liver cancer
tissues. The results from our study may contribute to understanding SOCS5 and
SOCS6 expression regulation in various cancer tissues, and show that these two
factors may be used for diagnosing cancer.
PMID- 22081312
TI - Purification and biochemical characterization of an organic-solvent-tolerant
thioredoxin from dromedary pancreas.
AB - We purified to homogeneity and characterized a heat stable thioredoxin which
catalyzes thiol/disulfide exchange reaction, for the first time from dromedary
pancreas. The purification involved heat and acidic treatment (90 degrees C; pH
2.5), precipitation by ammonium sulphate and ethanol, respectively followed by
sequential column chromatography reverse HPLC column, and it resulted in an
apparently pure protein after a 217-fold purification with a final yield of 55%
of the initial thioredoxin activity. The thioredoxin preparation obtained was
homogeneous as judged by polyacrylamide gel electrophoresis and the presence of
valine as the only NHt-terminal amino acid. MALDI-TOF mass spectrometry revealed
that the protein has a molecular mass of 11,302.9 Da. The first 40 amino-acid
residues at the N-terminal extremity of purified DrTrx was determined by
automatic Edman degradation and showed a high sequence homology with known
Thioredoxin. It contained he tetrapeptide-Cys-Gly-Pro-Cys-, which constitutes the
active site of mammalian thioredoxins. DrTrx activity was compatible with the
presence of organic solvents and the maximum activity appeared at pH 7.5 using
the insulin precipitation assay. Thioredoxin stability in the presence of organic
solvents, as well as in acidic and alkaline pHs and at high temperatures makes it
a good candidate for its application in pharmaceutical and food industry.
PMID- 22081313
TI - Isolation of galectin-1 from human platelets: its interaction with actin.
AB - Galectins are a family of animal lectins defined by their beta-galactoside
binding specificity and a consensus sequence in their carbohydrate-recognition
domain. Galectin-1 (Gal-1) is expressed as a non-covalently linked homodimer
present in a variety of tissues. Here we describe its isolation from human
platelets by a procedure involving ionic exchange chromatography and affinity
chromatography on lactose-agarose. Platelet Gal-1 co-purifies with actin, forming
an actin-Gal-1 complex which does no dissociate even after treatment with sodium
dodecyl sulfate. The presence of both proteins was confirmed by Western blot and
by trypsin digestion followed by mass spectrometry identification. By
hemagglutination assays we studied the response of recombinant Gal-1/actin, mixed
and pre-incubated in different proportions, and then tested against neuraminidase
treated rabbit red blood cells. The complex formation was confirmed by confocal
microscopy, showing that both proteins co-localised in resting platelets as well
as in thrombin-activated ones. These results suggest that endogenous Gal-1 forms
an intracellular complex with monomeric actin and that, after platelet
activation, Gal-1 could play a role in the polymerization-depolymerization
process of actin, which concludes in platelet aggregation.
PMID- 22081314
TI - Are ICSI adolescents at risk for increased adiposity?
AB - BACKGROUND: Puberty is a critical period for the development of cardio-metabolic
disturbances, including a more central body fat distribution. It is still unclear
if IVF and more specifically ICSI, can permanently and detrimentally affect body
fat accumulation in the human offspring. Therefore, adiposity and body fat
distribution in 14-year-old adolescents born after ICSI were investigated.
METHODS: Body composition data, including anthropometry (weight, height and BMI),
skinfold thicknesses (peripheral: triceps and biceps skinfolds; central: supra
iliacal and subscapular skinfolds; total: sum of the four skinfolds) and
circumferences (waist, mid-upper arm) were compared between 217 ICSI singletons
(116 boys, 101 girls) and 223 singletons (115 boys, 108 girls) born after
spontaneous conception (SC). ICSI teenagers were part of a previously published
ICSI cohort followed since birth; SC controls were recruited from schools in the
surroundings. RESULTS: Among all boys, no differences in body composition
measurements were found between the ICSI and SC group, taking into account
confounding variables. In boys with more advanced pubertal stages, a
significantly higher sum of peripheral skinfolds was found in the ICSI group
compared with the SC group (difference 3.5 mm, 95% confidence interval 0.3-6.6).
In girls, peripheral adiposity assessed by skinfolds and mid-upper arm
circumference, and central adiposity assessed by skinfolds and waist
circumference as well as total adiposity assessed by BMI, the sum of four
skinfold thicknesses and skinfold-derived body fat percentage were significantly
higher in the ICSI group compared with the SC group, taking into account
confounding variables (all P< 0.05). Neither parental nor early life factors
could explain the differences. CONCLUSIONS: We found that pubertal ICSI girls
were more prone to central, peripheral and total adiposity compared with their SC
counterparts. ICSI adolescents with advanced pubertal stages showed more
peripheral adiposity. Continued monitoring of body fat patterns in adolescents
born after fertility treatment is mandatory in order to assess their risk for
developing obesity and its related adverse health effects in adulthood.
PMID- 22081315
TI - Concerted evolution of satellite DNA in Sarcocapnos: a matter of time.
AB - SarkOne is a genus-specific satellite-DNA family, isolated from the genomes of
the species of the genus Sarcocapnos. This satellite DNA is composed of repeats
with a consensus length of 855 bp and a mean G+C content of 52.5%. We have
sequenced a total of 189 SarkOne monomeric repeats belonging to a total of seven
species of the genus Sarcocapnos. The comparative analysis of these sequences
both at the intraspecific and the interspecific levels have revealed divergence
patterns between species are proportional to between-species divergence according
to the phylogeny of the genus. Our study demonstrates that the molecular drive
leading to the concerted-evolution pattern of this satellite DNA is a time
dependent process by which new mutations are spreading through genomes and
populations at a gradual pace. However, time is a limiting factor in the
observation of concerted evolution in some pairwise comparisons. Thus, pairwise
comparisons of species sharing a recent common ancestor did not reveal nucleotide
sites in transitional stages higher than stage III according to the Strachan's
model. By contrast, there was a gradation in the percentage of upper transition
stages (IV, V, VI) the more phylogenetically distant the species were. In
addition, closely related species shared a high number of polymorphic sites, but
these types of sites were not common when comparing more distant species. All
these data are discussed in the light of current life-cycle models of satellite
DNA evolution.
PMID- 22081316
TI - Effect of adaptation strategies when feeding fresh cassava foliage on intake and
physiological responses of lambs.
AB - The objective of the experiment was to study different adaptation strategies to
avoid HCN intoxication when feeding fresh cassava foliage to sheep. Twenty-four
Phan Rang lambs (initial weight = 19.6 kg at 5.5 months of age) were used in the
study. The four experimental diets contained guinea grass (Panicum maximum)
supplemented with concentrate at 1.5% of body weight (BW) as dry matter (DM)
(control) or supplemented with fresh cassava foliage (FCF) that was introduced
into the diet with an adaptation period of 0 (FCF-0), 7 (FCF-7) or 21 (FCF-21)
days before reaching the target feeding level of 2% of BW. The average intake of
FCF expressed as DM was not different amongst the supplemented treatments and
ranged from 1.4 to 1.5% of BW but gradually increased during the first 7 days
without any adaptation. The hydrogen cyanide consumed varied from 5.1 to 5.4
mg/kg BW and no difference between treatments with cassava foliage in the diet
was found. The live weight gain was significantly higher in the treatments
control and FCF-7 compared to FCF-21. No significant differences in heart rate,
respiration rate and rumen movement were found between diets. The thiocyanate
concentration in the urine of the lambs increased concomitantly with the increase
in fresh cassava foliage offered during the first part of the experiment. In
conclusion, an adaptation period of approximately 7 days seems to be favourable
in combined diets where cassava foliage is offered in quantities up to 2% of BW.
This level of intake could enhance the intake and LWG of the lambs without any
documented effects on heart rate, respiration rate or rumen movements.
PMID- 22081317
TI - Biodiversity and prevalence of parasites of domestic pigeons (Columba livia
domestica) in a selected semiarid zone of South Khorasan, Iran.
AB - Five species of nematodes and cestodes were collected from alimentary canals of
pigeons: the nematodes were two species: Ascaridia colombae (16.66%) and Hadjelia
truncata (1.96%), while the cestodes were Cotugnia digonopora (13.79%),
Raillietina magninumida (18.62%) and Raillietina achinobothridia (32.35%); fecal
examination revealed: Eimeria spp. (40.19%) and Cryptosporidium oocysts (2.94%);
and blood smears showed: Haemoproteus cloumbae (47/05%); in mouth, throat and
larynx: Trichomonans gallinae (57.84%); four species of ectoparasites were
collected from feathers and subcutaneous nodules as follows: feathers:
Pseudolynchia canariensis (63.72%), Columbicola columbae (79.41%), Menopen
gallinea (44.11%); subcutaneous nodules: Laminosioptes cysticola (1.96%). From
the parasitic fauna identified in this study, it is imperative to institute an
integrated parasitic control through constant changing of litter, regular use of
antihelminthics, anticoccidials and dusting of birds with pesticides.
PMID- 22081318
TI - Management, productivity and livelihood effects on Kenyan smallholder dairy farms
from interventions addressing animal health and nutrition and milk quality.
AB - We aimed to describe the management and productivity of this group of smallholder
dairy farmers in Kenya at the beginning of an intervention program and to
document relevant observed changes in the 3rd year of the intervention. A 3-year
intervention program, focused on management of animal health and nutrition, and
milk quality, was implemented by one Kenyan and two Canadian non-governmental
organizations (one university based) to help improve the milk production and
livelihoods of Kenyan smallholder dairy farmers (primarily women). Thirty farmers
were enrolled and completed questionnaires at the start and end of the project.
Focus groups were also conducted to obtain qualitative information on livelihood
effects from the program. In 2004, 70% of the eligible youngstock (more than 15
months of age) were pregnant, and cows had a long average days-in-milk of 240
days. External parasites, poor hygiene, and long claws were not uncommon among
cows, and 37% and 20% of the farms reported clinical intestinal parasitism and
diarrhea in youngstock. In 2006, there were significant improvements in the
proportion of farms planting high-protein forages, farms using better milking
procedures, and on-farm milk storage methods. The reported mastitis incidence
rate fell from 0.55 to 0.20 cases/cow-year (p < 0.01), and the average number of
cows and youngstock significantly increased from 1.5 and 0.9 to 2.9 and 2.6,
respectively. There were reported improvements in the livelihoods among the
member families. The partnership-based intervention program significantly
improved management and productivity of this group of smallholder dairy farmers
in rural Kenya, leading to reported livelihood benefits.
PMID- 22081319
TI - Reproductive performance in sows in relation to Japanese Encephalitis Virus
seropositivity in an endemic area.
AB - Japanese Encephalitis Virus (JEV) is considered an important reproductive
pathogen in pigs. Most studies of the reproductive impact of JEV have been
conducted in areas where the disease occurs in seasonal epidemics. In this study,
the associations between seropositivity for JEV, measured with an IgG ELISA, and
the number of piglets born alive and stillborn were investigated in a tropical
area endemic for JEV in Vietnam. Sixty percent of sows from four farms in the
Mekong delta of Vietnam were seropositive to JEV and the Odds Ratio for a sow
being infected was highest (6.4) in sows above 3.5 years (95% confidence interval
2.2-18.3). There was an association between increasing Optical Density (OD)
values from the ELISA and the number of stillborn piglets in sows less than 1.5
years, but no effect of seropositivity could be shown when all sows were studied.
OD values had an effect (p = 0.04) on the number of piglets born alive in the
statistical analysis only when interacting with the effect of the breeds. An
increase in mean OD value of the herd was correlated (p < 0.0001) with an
increase in the number of piglets born alive. In this study, there was evidence
of a negative association between seropositivity for JEV and the reproductive
performance only in sows less than 1.5 years in endemic areas. This could be
explained by a year-round infection with the virus, which would lead to immunity
in many gilts before their first pregnancy. This, in turn, may imply that JEV
infection in pigs is of minor importance for the reproductive performance in
endemic areas.
PMID- 22081320
TI - Allopregnanolone potentiates the glutamate-mediated seizures induced by 4
aminopyridine in rat hippocampus in vivo.
AB - Excitatory and inhibitory neurotransmission in the central nervous system can be
modulated by neurosteroids. We previously found that in rat hippocampal slices
allopregnanolone (3alpha-hydroxy-5alpha-pregnan-20-one), a positive GABA(A)
receptor modulator, suppresses the epileptic discharges induced by 4
aminopyridine (4-AP), a convulsant K(+) channel blocker that stimulates glutamate
release. Here, we tested the action of allopregnanolone on the epileptogenic and
excitotoxic effects of the intrahippocampal administration of 4-AP in vivo. Drugs
were perfused by a microdialysis cannula-electrode in the dorsal hippocampus and
the EEG was recorded. Extracellular levels of aspartate, glutamate and GABA were
analyzed by HPLC in the microdialysis fractions, and 24 h after the experiment
the hippocampus was studied histologically. 4-AP induced intense epileptic
discharges, increased the extracellular levels of aspartate, glutamate, and GABA
by 383, 420, and 245%, respectively, and produced a notable neurodegeneration in
CA1 and CA3 areas. Allopregnanolone administration alone did not affect the
electrical activity, amino acids levels or cellular morphology, but when co
infused with 4-AP incremented 55-77% the duration of the epileptic discharges,
and potentiated 32-49% the release of glutamate in comparison with 4-AP alone.
The 4-AP-induced neurodegeneration was not modified by allopregnanolone. The NMDA
receptor antagonist MK-801 protected against the epilepsy and neurodegeneration
produced by 4-AP, and allopregnanolone did not affect this protection. We
conclude that, differently from the observations in vitro, allopregnanolone
potentiated the stimulatory effect of 4-AP on glutamate release and that this may
explain the potentiation of the epileptogenic effect of 4-AP in vivo.
PMID- 22081321
TI - Protein deprivation attenuates Hsp expression in fat tissue.
AB - For ruminants, dietary protein is the first limiting component to the utilization
of low-quality forage. Throughout gestation, low-protein intake may result in
prenatal programming that causes various metabolic disturbances and physiological
modulations to dams and their developing embryos. We studied the effect of long
term low-protein diet (LPD) on physiological, biochemical, and molecular
parameters of the energy status in gestating beef cows. LPD resulted in
significant reductions in feed intake and heart rate and promoted a negative
retained energy status already after 3 weeks. Elevated levels of plasma
creatinine and non-esterified fatty acids indicate endogenous degradation of fat
and protein as a response to the demands in energy and nitrogen. Increasing
levels of beta-hydroxybutyrate confirmed the negative energy status obtained by
the physiological measurements. At the molecular level, subcutaneous fat, Hsp90,
Hsp70, and proteasome subunits decreased significantly after 3 months on LPD, in
parallel with an increase of adipocyte fatty acid-binding protein. These results
may indicate a decrease in turn-over of proteins, at the cost of induced
lipolysis, and suggest that the response to protein deprivation, when examined in
an energy-storing tissue, includes downregulation of the constitutive heat shock
proteins involved in the protein degradation pathway of energy production and
upregulation of tissue-specific genes such as those involved in energy production
from fat degradation.
PMID- 22081322
TI - Simultaneous transcatheter aortic and mitral valve-in-valve implantation in a
patient with degenerated bioprostheses and high surgical risk.
AB - Transcatheter valve-in-valve implantation is evolving as a promising alternative
to reoperative valve replacement in selected high-risk patients, considering the
increasing need for redo surgery due to bioprosthetic degeneration in the future.
Reoperative double valve replacements are particularly associated with an
elevated surgical risk. The transapical access provides the opportunity to
approach the aortic and mitral valves during one intervention. We report the case
of a successful transcatheter valve-in-valve implantation in the aortic and
mitral position within a single procedure.
PMID- 22081323
TI - Inflammatory response in transapical transaortic valve replacement.
AB - OBJECTIVE: Transapical aortic valve implantation (TA-AVI) has become a fast
growing alternative to conventional aortic valve replacement (cAVR) particularly
for patients burdened with serious comorbidities. We investigated whether the
inflammatory response triggered by TA-AVI reflects the less invasive nature of
this procedure. METHOD: In this prospective observational study 25 patients
undergoing aortic valve replacement (AVR; 15 cAVR and 10 TA-AVI) were included.
Serial plasma cytokine concentrations (IL-6, IL-8, and IL-10) were measured by
commercially available enzyme-linked immunosorbent assay kits at six different
time points before, during, and after surgery. RESULTS: Plasma levels of all
three cytokines increased during and after both procedures and returned to
baseline before the patient's discharge. Peak values of IL-6 were 258 +/- 113
pg/mL in AVR patients versus 111 +/- 101 pg/mL in TA-AVI patients and were
reached 12 hours after surgery. For IL-8, peak values were 51 +/- 29 pg/mL 1 hour
after surgery in AVR patients versus 15 +/- 20 pg/mL on wound closure in TA-AVI
patients. Plasma levels of IL-6 and IL-8 were significantly reduced in the TA-AVI
group as compared with cAVR. IL-10 is markedly activated in both groups yet its
induction is more prominent in AVR patients with peak values of 51 +/- 28 pg/mL
for AVR versus 24 +/- 18 pg/mL for TA-AVI on wound closure. CONCLUSION: TA-AVI
compared with cAVR results in a significant reduction but not elimination of a
systemic inflammatory response, which is attributable to cardiopulmonary bypass
dependent and bypass-independent factors.
PMID- 22081324
TI - Decarboxylation of ferulic acid to 4-vinyl guaiacol by Streptomyces setonii.
AB - 4-vinyl guaiacol (3-methoxy 4-hydroxystyrene) can be obtained by decarboxylation
of ferulic acid by the strain Streptomyces setonii ATCC 39116. The formation of
this metabolite was favoured by microaerobic conditions and the culture medium
employed, increasing progressively the product concentration from 543.3 up to
885.1 mg/l when aeration level was diminished, reaching a highest volumetric
productivity of 70.4 mg/l h and a product yield of 1.11 mol/mol. The identity of
the metabolite was confirmed by gas chromatography-mass spectrometry. A metabolic
study of ferulic acid and the main degradation products (ferulic acid, 4-vinyl
guaiacol, protocatechuic acid, vanillyl alcohol, vanillic acid and vanillin)
suggested that ferulic acid was the only substrate capable to be transformed into
4-vinyl guaiacol by this strain of S. setonii.
PMID- 22081325
TI - Adding value to the oil cake as a waste from oil processing industry: production
of lipase and protease by Candida utilis in solid state fermentation.
AB - Olive oil cake is a by-product from the olive oil processing industry and can be
used for the lipase and protease production by Candida utilis in solid state
fermentation. Different carbon and nitrogen sources were evaluated, and the
results showed that the supplementation of the substrate with maltose and starch
as carbon sources and yeast extract as a nitrogen source significantly increased
the lipase production. The best results were obtained with maltose, whereas
rather low lipase and protease activities were found with glucose and oleic acid.
Response surface methodology and a five-level-three-factor central composite
rotatable design were used to evaluate the effects of the initial moisture
content, inoculum size and fermentation time on both lipase and protease activity
levels. A lipase activity value of ~25 U g(-1) and a protease activity value of
110 U g(-1) were obtained under the optimized fermentation conditions. An
alkaline treatment of the substrate appeared to be efficient, leading to
increases of 39% and 133% in the lipase and protease production, respectively.
The results showed that the olive cake could be a good source for enzyme
production by solid state fermentation.
PMID- 22081326
TI - Development of Saccharomyces cerevisiae producing higher levels of sulfur dioxide
and glutathione to improve beer flavor stability.
AB - Sulfur compounds, such as sulfite (SO(2)), hydrogen sulfide (H(2)S), and
glutathione (GSH), play different roles in beer flavor stability. SO(2) and GSH
have antiaging effects which are helpful to improve the flavor stability of beer,
whereas H(2)S is undesirable to beer flavor because of its unpleasant aroma.
Here, we report the development of Saccharomyces cerevisiae which produces higher
levels of SO(2) and GSH but lower level of H(2)S to improve beer flavor stability
by nongenetic engineering approaches. After two rounds of UV mutagenesis coupled
with specific plate screening methods, one promising mutant named MV16 was
obtained. Compared with the original strain, the SO(2) and GSH production of MV16
in fermenting liquor increased by 31% and 30.2%, respectively, while H(2)S
content decreased by 74.9%, and the DPPH radical clearance and the resistance
staling value of beer fermented by MV16 increased by 24.6% and 33.0%,
respectively. The antioxidizability of the mutant was improved significantly. The
strategy adopted in our study could be used to obtain S. cerevisiae of improved
antiaging properties, and the mutant would be safe for public use.
PMID- 22081327
TI - Purification and characterization of a mannose recognition lectin from
Oreochromis niloticus (tilapia fish): cytokine production in mice splenocytes.
AB - The aim of this work was to purify and partially characterize a mannose
recognition lectin from Nile tilapia (Oreochromis niloticus) serum, named OniL.
OniL was isolated through precipitation with ammonium sulfate and affinity
chromatography (Concanavalin A-Sepharose 4B). In addition, we evaluated
carbohydrate specificity, sodium dodecyl sulfate polyacrylamide gel
electrophoresis (SDS-PAGE) profiles, and in vitro immunomodulatory activity on
mice splenocyte experimental cultures through cytotoxic assays and cytokine
production. The ammonium sulfate fraction F2 showed the highest specific
hemagglutinating activity (331) and was applied to affinity matrix. Adsorbed
proteins (OniL) were eluted with methyl-alpha-D: -mannopyranoside. OniL, a 17-kDa
protein by SDS-PAGE constituted by subunits of 11 and 6.6 kDa, showed highest
affinity for methyl-alpha-D: -mannopyranoside and D: -mannose. Immunological
assays, in vitro, showed that OniL did not show cytotoxicity against splenocytes,
induced higher IFN-gamma production and lower IL-10 as well as nitrite release.
In conclusion, OniL lectin was successfully purified and showed a preferential
Th1 response in mice splenocytes.
PMID- 22081328
TI - Determination of dimethyl phthalate in environment water samples by a highly
sensitive indirect competitive ELISA.
AB - Recent controversy over the discovery of clouding agents containing the banned
chemical di(2-ethylhexyl) phthalate in beverages in 2011 in Taiwan has caused
public concerns. For the detection of dimethyl phthalate (DMP) in environment
water samples, an indirect competitive enzyme-linked immunosorbent assay (ic
ELISA) was developed in this paper. Dimethyl 4-aminophthalate (4-DMAP) was
covalently attached to bovine serum albumin as immunogen by a diazotization
method. The conjugation of DMAP and ovalbumin as coating antigen was obtained in
the same way. Polyclonal antibody was obtained from New Zealand white rabbits.
Under the optimized conditions, DMP was detected in the concentration range of
0.02-419 ng/mL with a detection limit of 0.01 ng/mL. The proposed method has been
applied to the analysis of river water, lake water, and rain water samples.
Satisfactory recoveries were obtained ranging from 90.6% to 105.5%. The cross
reactivities of the anti-DMP antibody to seven structurally related phthalate
esters were below 10%. The data demonstrated that the ic-ELISA method described
in our study is a simple, sensitive, and specific method and showed that this
assay is a reliable tool to detect DMP in water samples.
PMID- 22081329
TI - An optimum fermentation model established by genetic algorithm for
biotransformation from crude polydatin to resveratrol.
AB - Natural resveratrol is widely used in medicine, food, and cosmetic because of its
pharmacological properties. Due to its low content in plants, this study was
conducted to increase the yield of resveratrol by microorganism transformation.
Fungi Aspergillus niger AN-2436 was employed in biotransformation to produce
resveratrol from polydatin, and genetic algorithm (GA) was used to optimize the
fermentation conditions. A transformation ratio of higher than 95% was achieved
in the following conditions: culture temperature of 30.3 degrees C, inoculum
size of 20% (v/v), rotating speed of 147 rpm, and cultivation time of 36 h.
Compared with the polydatin absorbance under the experimental conditions obtained
by single-factor, orthogonal experiments and average absorbance, the GA provides
the optimum experimental conditions, under which the largest transformation rate
was achieved. The final transformation product obtained was identified as
resveratrol, and it was proved by high-performance liquid chromatography,
infrared, mass spectrometry, and nuclear magnetic resonance.
PMID- 22081330
TI - Production and characteristics of the whole-cell lipase from organic solvent
tolerant Burkholderia sp. ZYB002.
AB - The thermostable and organic solvent tolerant whole-cell lipase (WCL) was
produced by Burkholderia sp. ZYB002 with broad spectrum organic solvent
tolerance. The production medium of the WCL was primarily optimized, which
resulted in the maximum activity of 22.8 U/mL and the 5.1-fold increase of the
WCL yield. The optimized culture medium was as follows (% w/v or v/v): soybean
meal 2, soybean oil 0.5, manganese sulfate 0.1, K(2)HPO(4) 0.1, olive oil 0.5,
initial pH 6.0, inoculum density 2, liquid volume 35 mL in 250-mL Erlenmeyer
flask, and incubation time 24 h. The biochemical characterization of the WCL from
Burkholderia sp. ZYB002 was determined, and the results showed that the optimal
pH and temperature for lipolytic activity of the WCL was 8.0 and 65 degrees C,
respectively. The WCL was stable at temperature up to 70 degrees C for 1 h and
retained 79.2% of its original activity. The WCL was highly stable in the pH
range from 3.0 to 8.5 for 6 h. Ca(2+), K(+), Na(+), NO (3) (-) , etc. ions
stimulated its lipolytic activity, whereas Zn(2+) ion caused inhibition effect.
The WCL was also relatively stable in n-butanol at a final concentration of 50%
(v/v) for 24 h. However, the WCL was strongly inhibited in Triton X-100 at a
final concentration of 10% (v/v). The WCL with thermal resistance and organic
solvent tolerance showed its great potential in various green industrial chemical
processes.
PMID- 22081331
TI - A laccase of Fomes durissimus MTCC-1173 and its role in the conversion of
methylbenzene to benzaldehyde.
AB - A laccase has been purified from the liquid culture growth medium containing
bagasse particles of Fomes durissimus. The method involved concentration of the
culture filtrate by ultrafiltration and anion exchange chromatography on diethyl
aminoethyl cellulose. The sodium dodecyl sulphate-polyacrylamide gel
electrophoresis (SDS-PAGE) and native polyacrylamide gel electrophoresis both
gave single protein band indicating that the enzyme preparation was pure. The
molecular mass of the purified laccase determined from SDS-PAGE analysis was 75
kDa. Using 2,6-dimethoxyphenol as the substrate, the determined K (m) and k (cat)
values of the laccase are 182 MUM and 0.35 s(-1), respectively, giving a k
(cat)/K (m) value of 1.92 * 10(3) M(-1) s(-1). The pH and temperature optimum
were 4.0 and 35 degrees C, respectively. The purified laccase has yellow colour
and does not show absorption band around 610 nm found in blue laccases. Moreover,
it transformed methylbenzene to benzaldehyde in the absence of mediator
molecules, property exhibited by yellow laccases.
PMID- 22081332
TI - Genotypes and allele frequencies of angiotensin-converting enzyme (ACE)
insertion/deletion polymorphism among Bahraini population with type 2 diabetes
mellitus and related diseases.
AB - Insertion/deletion (I/D) polymorphism, of a 287-bp Alu repetitive sequence in
intron 16 of the angiotensin-converting enzyme (ACE) gene has been shown to be
associated with different types of diseases and has been widely investigated in
different populations with different ethnic origins. Various reports were
published suggesting inter-ethnic variations in the frequency of allelic forms of
the ACE gene. The goal of this study was to test the distribution of alleles and
the different genotypes of ACE (I/D) polymorphism in Bahraini subjects and
compare the results with those obtained from other population studies. The
Bahraini population is an Arabic peninsula population with a high prevalence of
T2DM and hypertension. A total of 560 unrelated Bahraini individuals were
recruited in this study and the presence (insertion)/absence (deletion) (I/D)
polymorphism of a 287-bp Alu1 element inside intron 16 of the ACE gene was done
by PCR-based assays and the presence or absence of the genotypes were analyzed by
the gel electrophoresis. The distribution of II, ID, and DD genotypes showed
differences among Bahraini subjects, and the frequency of the D allele was
significantly (P < 0.05) higher in the studied group. The results obtained for
the D allele are consistent with those obtained from previous studies among
Arabs, Africans, and Caucasians, but differs significantly (P < 0.05) from those
in Japanese and Chinese, thus proving the ethnic variation in the distribution of
the ACE alleles in different populations.
PMID- 22081333
TI - Diverse H. pylori strains, IL-10 promoter polymorphisms with high morbidity of
gastric cancer in Hexi area of Gansu Province, China.
AB - In Hexi area of Gansu Province, people have a higher susceptibility of gastric
cancer than people in the rest area of China. There is substantial geographic
variation in the incidence of gastric cancer. In this article, the present author
explored the roles of H. pylori infection and IL-10 promoter polymorphisms in
development of gastric cancer in this area. A total of 304 participants were
admitted to our study, and they were divided into two groups: control group and
case group. Blood samples from all subjects were collected for gene extraction
using DNA extraction kits. IL-10 polymorphisms were determined by SNaPshot
Multiplex. To test H. pylori infection and its typing H. pylori antibody
Immunoblotting Kits were used. This research suggested that environmental factor
played an important role in the pathogenesis of gastric carcinoma in the area, H.
pylori infection increased the risk of gastric cancer (OR = 2.612, 95% CI 1.636
4.170) and subject with H. pylori I-type positive was at significantly higher
risk for progression to gastric cancer (OR = 4.712, 95% CI 2.656-8.537). For
subjects with the ATA/GCC or GCC/GCC haplotype of the IL-10-1082/-819/-592
polymorphism relative to the ATA/ATA haplotype group, the risk of gastric cancer
development was significantly increased. It has been demonstrated that the
presence of IL-10-819 C alleles and IL-10-592 C alleles was associated with an
increased risk for gastric cancer development in H. pylori-infected patients and
IL-10 promoter polymorphisms and H. pylori have a synergistic effect on gastric
cancer in Hexi population.
PMID- 22081334
TI - Risk of obesity and type 2 diabetes with tumor necrosis factor-alpha 308G/A gene
polymorphism in metabolic syndrome and coronary artery disease subjects.
AB - Tumor Necrosis Factor-alpha (TNF-alpha) has been implicated in the pathogenesis
of insulin resistance and obesity. The increased expression of TNF-alpha in
adipose tissue is known to induce insulin resistance, and a polymorphism at
position -308 in the promoter region of TNF-alpha gene may lead to its increased
transcription in adipocytes. The objective of this work was to determine the role
of TNFalpha-308G/A gene polymorphism in metabolic syndrome (MetS) and coronary
artery disease (CAD) with obesity and type 2 diabetes mellitus (T2DM). A total of
250 MetS and 224 CAD patients and 214 controls were studied. TNFalpha-308G/A
polymorphism was detected from the whole blood genomic DNA using PCR
amplification refractory mutation system. The 2 * 2 contingency tables and
multiple regression analysis were used for determining the association of
genotypes with obesity and type 2 diabetes mellitus (T2DM) in MetS and CAD
subjects. In CAD subjects with T2DM, the AG genotypes showed a very strong
association (P < 0.0001; OR 0.194, 95%CI 0.103-0.365). In CAD subjects with
obesity, the AA (P = 0.049; OR 2.449) and AG genotypes showed a strong
association (P < 0.0001; OR 0.206). In both males and females, AG genotype and G
allele (P < 0.0001) showed a strong association with T2DM. In MetS subjects with
T2DM, there was a strong association with AG (P = 0.002; OR 4.483) as well as
AA+AG genotypes (P = 0.002; OR 4.255). The AA and AG genotype (P = 0.001; OR
5.497) in males showed a strong 4.6- and 5.4-fold risks, respectively, with
obesity. In females, only AG genotype showed a strong 4.5-fold risk with obesity
(P = 0.001). In MetS subjects with obesity, the AA genotype (P = 0.043; OR 3.352)
as well as AG showed a very strong association (P = 0.001; OR 5.011). The AG
genotypes showed a high 3.5-fold risk with T2DM in females (P = 0.011). In CAD
subjects, AG genotype showed a protective effect in both obese males and females
(P < 0.0001). Heterozygous TNFalpha-308G/A gene variant may be an important risk
factor for MetS with T2DM and obesity in both males and females, but may have a
protective role in CAD subjects with obesity and T2DM. A allele may be an
important risk factor for MetS and CAD with obesity as well as CAD subjects with
T2DM.
PMID- 22081335
TI - Tumor staging and grading: a primer.
AB - Cancer staging and grading are used to predict the clinical behavior of
malignancies, establish appropriate therapies, and facilitate exchange of precise
information between clinicians. The internationally accepted criteria for cancer
staging, the tumor-node-metastasis (TNM) system, includes: (1) tumor size and
local growth (T); (2) extent of lymph node metastases (N); and (3) occurrence of
distant metastases (M). Clinical stage is established before initiation of
therapy and depends on the physical examination, laboratory findings, and imaging
studies. Pathologic stage is determined following surgical exploration of disease
spread and histological examination of tissue. The TNM classification system has
evolved over 50 years to accommodate increasing knowledge about cancer biology.
Efforts are ongoing to keep the system both synchronized with the most
sophisticated cancer technology and simple for ease of clinician/patient use.
Upcoming molecular technologies, such as genomic and proteomic profiling of
tumors, microRNA profiling, and even ex vivo living tumor tissue treatment, could
improve the current TNM staging system. This chapter describes the current TNM
system using breast, lung, ovarian, and prostate cancer examples.
PMID- 22081336
TI - Clinical trial design in the age of molecular profiling.
AB - The accelerating science of molecular profiling has necessitated a rapid
evolution in clinical trial design. Traditional clinical research begins with
Phase I studies to characterize dose-limiting toxicities and defines maximally
tolerated doses of drugs in small numbers of patients. Traditional Phase II
studies test these drugs at the doses discovered during Phase I drug development
in small numbers of patients evaluating efficacy and safety. Phase III studies
test new therapies to demonstrate improved activity or improved tolerability
compared with a standard of care regimen or a placebo. The rapid advances in the
understanding of signal transduction, and the identification of new potential
diagnostic and therapeutic targets, now require the design and implementation of
molecular clinical trials that are very different than traditional Phase I, II,
or III trials. The main differentiating factor is the use of a molecular end
point to stratify a subset of patients to receive a specific treatment regimen.
This chapter focuses on the issues surrounding (a) the definition of clinical end
points and the assessment of tumor response; (b) clinical trial design models to
define the targeted pathway; and (c) the need for appropriate biomarkers to
monitor the response.
PMID- 22081337
TI - Personalized medicine: ethics for clinical trials.
AB - Modern ethical codes in medicine were developed following World War II to provide
respect for persons, beneficence, and justice in clinical research. Clinical
trial medicine involves greater scrutiny than most research activities. In every
instance, clinical trials have institutional review boards to ensure the medical
procedure under study complies with regulatory requirements, privacy, informed
consent, good practices, safety monitoring, adverse events reporting, and is free
of conflicting interests. Mandatory training in medical ethics for all clinical
staff is becoming more common, and at some institutions, knowledgeable patient
advocates play a watchdog role. In personalized medicine, each patient becomes a
clinical trial of one, based on the uniqueness of the person's illness and the
relatively tailored treatment. These features imply a shared responsibility
between the patient and the researchers because uncertainty exists over the
outcome for each individual patient. This chapter introduces ethical
considerations using case studies, with historical context, and describes general
ethical guidelines for initiating a clinical trial.
PMID- 22081338
TI - Reduction of preanalytical variability in specimen procurement for molecular
profiling.
AB - Despite the tremendous perceived value, and the predicted high abundance, of
disease-associated tissue biomarkers, the number of biomarkers that have been
validated for routine clinical use is very low. The major roadblock has been the
sample-to-sample variability and perishability of biomolecules in tissue. A chief
source of variability is biomolecule perturbation caused by sample handling, the
time delays following procurement, and the method of preservation. Living tissue
that has been separated from its blood supply during surgical procurement goes
through defined stages of reactive changes preceding death, beginning with
oxidative, hypoxic, and metabolic stress. These reactive fluctuations in the
tissue biomolecules can occur within 20 min postexcision, and can significantly
distort the levels of critical diagnostic and prognostic biomolecules. Depending
on the delay time ex vivo, and manner of handling, protein biomarkers such as
signal pathway phosphoproteins will be elevated or suppressed in a manner that
does not represent the biomarker levels at the time of excision. Based on
analysis of phosphoproteins, one of the most labile tissue protein biomarkers, we
set forth tissue procurement guidelines for clinical research. We further propose
the future use of a multipurpose fixative solution designed to stabilize,
preserve and maintain proteins, nucleic acids, and tissue architecture.
PMID- 22081339
TI - The human side of cancer biobanking.
AB - The future success of translational research is critically dependent on the
procurement and availability of high-quality tissue specimens linked to accurate
histopathologic and clinical information about the individual banked specimen.
The international community has awakened to this critical need only recently.
Three major roadblocks have hindered the success of previous biobank consortiums:
(1) Ethical issues surrounding patient consent and ownership of intellectual
property, (2) Failure to properly preserve the molecular content of the tissue,
and failure to reliably document clinical data linked to the specimen, and (3)
Management issues: inadequate funding, competition for use of the tissue,
inadequate personnel and facilities, and absence of dedicated database software.
This chapter reviews these critical roadblocks and discusses international
efforts to provide strategies to implement high-quality biobanks.
PMID- 22081340
TI - Introduction to genomics.
AB - The science of genomes: only within the past few decades have scientists
progressed from the analysis of a single or a small number of genes at once to
the investigation of thousands of genes, going from the study of the units of
inheritance to the investigation of the whole genome of an organism. The science
of the genomes, or "genomics," initially dedicated to the determination of DNA
sequences (the nucleotide order on a given fragment of DNA), has promptly
expanded toward a more functional level--studying the expression profiles and the
roles of both genes and proteins. The aim of the chapter is to review some basic
assumptions and definitions that are the fabric of genomics, and to elucidate key
concepts and approaches on which genomics rely.
PMID- 22081341
TI - Genomic profiling: cDNA arrays and oligoarrays.
AB - The introduction of microarray technology, which is a multiplexed hybridization
based process, allows simultaneous analysis of a large number of nucleic acid
transcripts. This massively parallel analysis of a cellular genome will become
essential for guiding disease diagnosis and molecular profiling of an individual
patient's tumor. Nucleic acid based microarrays can be used for: gene expression
profiling, single-nucleotide polymorphisms (SNPs) detection, array-comparative
genomic hybridizations, comparisons of DNA-methylation status, and microRNA
evaluation.A multitude of commercial platforms are available to construct and
analyze the microarrays. Typical workflow for a microarray experiment is:
preparation of cDNA or gDNA, array construction, hybridization, fluorescent
detection, and analysis. Since many sources of variability can affect the outcome
of one experiment and there is a multitide of microarray platforms available,
microarray standards have been developed to provide industry-wide quality control
and information related to each microarray. In this chapter, we review array
construction, methodologies, and applications relevant to molecular profiling.
PMID- 22081342
TI - Genome-wide methylation profiling in archival formalin-fixed paraffin-embedded
tissue samples.
AB - New technologies allow for genome-scale measurement of DNA methylation. In an
effort to increase the clinical utility of DNA methylation as a biomarker, we
have adapted a commercial bisulfite epigenotyping assay for genome-wide
methylation profiling in archival formalin-fixed paraffin-embedded pathology
specimens. This chapter takes the reader step by step through a biomarker
discovery experiment to identify phenotype-correlated DNA methylation signatures
in routine pathology specimens.
PMID- 22081343
TI - An overview of microRNA methods: expression profiling and target identification.
AB - MicroRNAs (miRNAs) are small, single-stranded RNA molecules encoded by genes that
are transcribed from DNA but not translated into protein (noncoding RNA). The
ability of miRNA to regulate the expression of, as yet, an unknown quantity of
targets has recently become an area of huge interest to researchers studying many
different areas in many species. Identifying miRNA targets provides functional
insights and strategies for therapy. Furthermore, the recent advent of high
throughput methods for profiling miRNA expression and for the identification of
miRNA targets has ushered in a new era in the research of gene regulation. miRNA
profiling further adds a new dimension of information for the molecular profiling
of disease. Summarized herein are the methods used to query the expression of
miRNAs at both an individual and global level. We have also described modern
computational approaches to identifying miRNA target transcripts.
PMID- 22081344
TI - Antibody validation by Western blotting.
AB - Validation of antibodies is an integral part of translational research,
particularly for biomarker discovery. Validation is essential to show the
specificity of the reagent (antibody) and to confirm the identity of the protein
biomarker, prior to implementing the biomarker in clinical studies.Antibody
validation is the procedure in which a single antibody is thoroughly assayed for
sensitivity and specificity. Although a plethora of commercial antibodies exist,
antibody specificity must be thoroughly demonstrated using a complex biological
sample, rather than a recombinant protein, prior to use in clinical translational
research. In the simplest iteration, antibody specificity is determined by the
presence of a single band in a complex biological sample, at the expected
molecular weight, on a western blot.Numerous western blotting procedures are
available, spanning the spectrum of single blots to multiplex blots, with images
and quantitation generated by manual or automated systems. The basic principles
of western blotting are (a) separation of protein mixtures by gel
electrophoresis, (b) transfer of the proteins to a blot, (c) probing the blot for
a protein or proteins of interest, and (d) subsequent detection of the protein by
chemiluminescent, fluorescent, or colorimetric methods. This chapter focuses on
the chemiluminescent detection of proteins using a manual western blotting system
and a vacuum-enhanced detection system (SNAP i.d.TM, Millipore).
PMID- 22081345
TI - Laser capture microdissection: Arcturus(XT) infrared capture and UV cutting
methods.
AB - Laser capture microdissection (LCM) is a technique that allows the precise
procurement of enriched cell populations from a heterogeneous tissue under direct
microscopic visualization. LCM can be used to harvest the cells of interest
directly or can be used to isolate specific cells by ablating the unwanted cells,
resulting in histologically enriched cell populations. The fundamental components
of laser microdissection technology are (a) visualization of the cells of
interest via microscopy, (b) transfer of laser energy to a thermolabile polymer
with either the formation of a polymer-cell composite (capture method) or
transfer of laser energy via an ultraviolet laser to photovolatize a region of
tissue (cutting method), and (c) removal of cells of interest from the
heterogeneous tissue section. Laser energy supplied by LCM instruments can be
infrared (810 nm) or ultraviolet (355 nm). Infrared lasers melt thermolabile
polymers for cell capture, whereas ultraviolet lasers ablate cells for either
removal of unwanted cells or excision of a defined area of cells. LCM technology
is applicable to an array of applications including mass spectrometry, DNA
genotyping and loss-of-heterozygosity analysis, RNA transcript profiling, cDNA
library generation, proteomics discovery, and signal kinase pathway profiling.
This chapter describes the unique features of the Arcturus(XT) laser capture
microdissection instrument, which incorporates both infrared capture and
ultraviolet cutting technology in one instrument, using a proteomic downstream
assay as a model.
PMID- 22081346
TI - Antibody microarrays: analysis of cystic fibrosis.
AB - Cystic fibrosis (CF) is the most common autosomal recessive disease in the USA
and Europe, whose life-limiting phenotype is manifest on epithelial cells
throughout the body. The principal cause of morbidity and mortality is a
massively proinflammatory condition in the lung. The mutation responsible for
most cases of CF is [DeltaF508]CFTR. However, the penetrance of the disease is
quite variable, and adverse events leading to hospitalization cannot be easily
predicted. Thus, there is a strong need for prognostic endpoints that might serve
to identify impending clinical problems long before they happen. Our approach has
been to search for proteomic signatures in easily accessed biological fluids that
might identify the molecular basis for adverse events. We describe here a
workflow that begins with patient-derived bronchial brush biopsies and progresses
to analysis of serum and plasma from patients on antibody microarrays.
PMID- 22081347
TI - Tissue microarrays as a tool in the discovery and validation of predictive
biomarkers.
AB - The tissue microarray (TMA) is the embodiment of high-throughput pathology. The
platform combines tens to hundreds of tissue samples on a single microscope slide
for interrogation with routine molecular pathology tools. TMAs have enabled the
rapid and cost-effective screening of biomarkers for diagnostic, prognostic, and
predictive utility. Most commonly applied to the field of oncology, the TMA has
accelerated the development of new biomarkers, and is emerging as an essential
tool in the discovery and validation of tissue biomarkers for use in personalized
medicine. This chapter provides an overview of TMA technology and highlights the
advantages of using TMAs as tools toward rapid introduction of new biomarkers for
clinical use.
PMID- 22081348
TI - Reverse-phase protein microarrays.
AB - Cancer is the consequence of intra- and extracellular signaling network
deregulation that derives from alteration of genetic and proteomic cellular
homeostasis. Mapping the individual molecular circuitry of a patient's tumor
cells is the starting point for rational personalized therapy.While genes and RNA
encode information about cellular status, proteins are considered the engine of
the cellular machine, as they are the effective elements that drive cellular
functions, such as proliferation, migration, differentiation, and apoptosis.
Consequently, investigations of the cellular protein network are considered a
fundamental tool to understand cellular functions. In the last decades,
increasing interest has been focused on the improvement of new technologies for
proteomic analysis. In this context, reverse-phase protein microarrays (RPMAs)
have been developed to study and analyze posttranslational modifications that are
responsible for principal cell functions and activities. This innovative
technology allows the investigation of protein activation as a consequence of
protein-protein interaction or biochemical reactions, such as phosphorylation,
glycosylation, ubiquitination, protein cleavage, and conformational
alterations.Intracellular balance is carefully conserved by constant
rearrangements of proteins through the activity of a series of kinases and
phosphatases. Therefore, knowledge of the key cellular signaling cascades reveal
information regarding the cellular processes driving a tumor's growth (such as
cellular survival, proliferation, invasion, and cell death) and response to
treatment.Alteration to cellular homeostasis, driven by elaborate intra- and
extracellular interactions, has become one of the most studied fields in the era
of personalized medicine and targeted therapy. RPMA technology is a valid tool
that can be applied to protein analysis of several diseases for the potential to
generate protein interaction and activation maps that lead to the identification
of critical nodes for individualized or combinatorial target therapy.
PMID- 22081349
TI - Serum low-molecular-weight protein fractionation for biomarker discovery.
AB - Protein biomarkers provide the key diagnostic information for the detection of
disease, risk of disease progression, and a patient's likely response to drug
therapy. Potential biomarkers exist in biofluids, such as serum, urine, and
cerebrospinal fluid. Unfortunately, discovering and validating protein biomarkers
are hindered by the presence of high-molecular-weight proteins, such as serum
albumin and immunoglobulins, which comprise 90% of the proteins present in these
samples. High-abundance, high-molecular-weight proteins mask the low-molecular
weight (LMW) proteins and peptides using conventional protein detection methods.
Candidate biomarkers are believed to exist in very low concentrations and
comprise less than 1% of serum proteins, and may be highly labile as well.
Therefore, it is imperative to isolate and enrich LMW proteins from complex
mixtures for biomarker discovery. This chapter describes a continuous -elution
electrophoresis method, based on molecular weight sieving, to isolate specific
molecular weight fractions for mass spectrometric, western blotting, or protein
array analysis.
PMID- 22081350
TI - Mass spectrometry-based biomarker discovery.
AB - Discovery of candidate biomarkers within the entire proteome is one of the most
important and challenging goals in proteomic research. Mass spectrometry-based
proteomic is a modern and promising technology for semiquantitative and
qualitative assessment of proteins, enabling protein sequencing and
identification with exquisite accuracy and sensitivity. For mass spectrometry
analysis, protein extractions from tissues of interest or body fluids with
subsequent protein fractionation represent an important and unavoidable step in
the workflow for biomarker discovery. The aim of our chapter is to provide
practical lab procedures for sample digestion and protein fractionation for
subsequent mass spectrometry analysis.
PMID- 22081351
TI - Mitochondrial proteome: toward the detection and profiling of disease associated
alterations.
AB - Existing at the heart of cellular energy metabolism, the mitochondrion is
uniquely positioned to have a major impact on human disease processes. Examples
of mitochondrial impact on human pathology abound and include etiologies ranging
from inborn errors of metabolism to the site of activity of a variety of toxic
compounds. In this review, the unique aspects of the mechanisms related to the
mitochondrial proteome are discussed along with an overview of the literature
related to mitochondrial proteomic exploration. The review includes discussion of
potential areas for exploration and advantages of applying proteomic techniques
to the study of mitochondria.
PMID- 22081352
TI - Adult neural stem cells: isolation and propagation.
AB - Individualized therapy using adult stem cells constitutes a revolutionary vision
for molecular medicine of the future. The field of stem cell biology has
accelerated dramatically such that it now appears feasible to treat an individual
patient's disease with native or modified stem cells collected from the same
patient. Neurodegenerative disease is a high-priority goal for stem cell therapy
due to the tremendous clinical urgency to reduce the worldwide suffering
associated with this class of diseases. This chapter focuses on adult neural stem
cells as a prototype for the general field of adult stem cell therapy. Studies of
the origin and function of neural stem cells reveals that the adult brain can
generate new neurons. This finding provides the rationale for the therapeutic
application of adult neural stem cells to treat neuronal damage or loss.
Experimental progress in treating Parkinson's disease is discussed in some detail
as an example of one of the most promising areas for adult neural stem cell
therapy. Methods for neural stem cell isolation and propagation are included.
PMID- 22081353
TI - Evanescent-wave field imaging: an introduction to total internal reflection
fluorescence microscopy.
AB - Advancements in technology and computational power in recent years have directly
impacted modern microscopy through improvements in light detection, imaging
software platforms, as well as integration of complex hardware systems. These
successes have allowed for mainstream utilization of previously complex
microscopic techniques such as total internal reflection fluorescence (TIRF)
microscopy, revealing many aspects of cell biology not previously appreciated.
Through the restriction of illumination to areas of cell-coverslip interfaces in
combination with modern detectors, TIRF microscopy allows researchers in the life
sciences a glimpse of dynamic cellular phenomena with resolutions never before
achieved.This chapter provides a basic overview to the concept of TIRF microscopy
and some considerations to setting up this technique in the lab.
PMID- 22081354
TI - Construction and hyperspectral imaging of quantum dot lysate arrays.
AB - The emerging field of proteomic molecular profiling will be driven by new
technologies that can measure dozens to hundreds of proteins from a small sample
input from a patient's biopsy. Lysate arrays, or reverse-phase protein
microarrays, provide a platform for complex mixtures of proteins extracted from
cells and tissues to be directly immobilized onto a solid support (such as a
biochip with protein binding capacity) in diminutive volumes (picoliter-to
nanoliter). The proteins are spotted using precision robotics and then
quantitatively assayed using primary antibodies; important posttranslational
modifications, such as phosphorylations that are important for protein
activation, may also be assayed to provide an estimate of the regulation of
cellular signaling. Until recently, chromogenic signals and fluorescence (using
organic fluorophores) detection were two strategies relied upon for signal
detection. Emerging regents such as quantum dots (Qdot(r) nanocrystals; QD) are
now employed for improved performance. QD embody a more versatile detection
system because the robust signals may be time averaged and the narrow spectral
emissions enable many protein targets to be quantified within the same lysate
spot. Previously, we found that commercially available pegylated, streptavidin
conjugated QD were effective detection agents, with low-background affinities to
spurious components within heterogeneous protein mixtures. Hyperspectral imaging
allows the simultaneous detection of the different colored QD reagents within a
single lysate spot. Here, we described the construction and imaging of QD lysate
arrays. This technology is an emerging, enabling tool within the exciting,
clinically oriented field of clinical tissue proteomics.
PMID- 22081355
TI - Microarray data analysis: comparing two population means.
AB - Scientists employing microarray profiling technology to compare sample sets
generate data for a large number of endpoints. Assuming the experimental design
minimized sources of bias, and the analytical technology was reliable, precise,
and accurate, how does the experimentalist determine which endpoints are
meaningfully different between the groups? Comparison of two population means for
individual analysis measurements is the most common statistical problem
associated with microarray data analysis. This chapter focuses on the hands-on
procedures using SAS software to describe how to choose statistical methods to
find the statistically significantly different endpoints between two groups of
data generated from reverse phase protein microarrays. The four methods outlined
are: (a) two-sample t-test, (b) Wilcoxon rank sum test, (c) one-sample t-test,
and (d) Wilcoxon signed rank test. Two sample t-test is used for two
independently normally distributed groups. One-sample t-test is used for a
normally distributed difference of paired observations. Wilcoxon rank sum test is
considered a nonparametric version of the two-sample t-test, and Wilcoxon signed
rank test is considered a nonparametric version of the one-sample t-test.
PMID- 22081356
TI - Bioinformatics/biostatistics: microarray analysis.
AB - The quantity and complexity of the molecular-level data generated in both
research and clinical settings require the use of sophisticated, powerful
computational interpretation techniques. It is for this reason that bioinformatic
analysis of complex molecular profiling data has become a fundamental technology
in the development of personalized medicine. This chapter provides a high-level
overview of the field of bioinformatics and outlines several, classic
bioinformatic approaches. The highlighted approaches can be aptly applied to
nearly any sort of high-dimensional genomic, proteomic, or metabolomic
experiments. Reviewed technologies in this chapter include traditional clustering
analysis, the Gene Expression Dynamics Inspector (GEDI), GoMiner (GoMiner), Gene
Set Enrichment Analysis (GSEA), and the Learner of Functional Enrichment (LeFE).
PMID- 22081357
TI - Structure-based functional design of drugs: from target to lead compound.
AB - Proteomic and genomic discoveries have identified vast numbers of new drug
targets for investigation. In the quest to discover drugs that modulate the
function of these targets, identification of small-molecule drug leads is one of
the earliest steps. Structure-based drug design has emerged as a valuable,
inexpensive, and rapid computational resource that identifies lead compounds that
are complementary to the structure of the target. Leads identified through this
process are biologically evaluated and "hit compounds" with affinity and activity
are further optimized. This chapter introduces the process of structure-based
drug design, including preparation of the ligand database, preparation of the
target structure, docking and scoring, and evaluation.
PMID- 22081358
TI - Personalized medicine: changing the paradigm of drug development.
AB - Despite an increased investment in research and development, there has been a
steady decline in the number of drugs brought to market over the past 40 years.
The tools of personalized medicine are refining diseases into molecular
categories, and future therapeutics may be dictated by a patient's molecular
profile relative to these categories. The adoption of a personalized medicine
approach to drug development may improve the success rate by minimizing
variability during each phase of the drug development process. This chapter
describes the current paradigm of drug development and then discusses how
molecular profiling/personalized medicine might be used to improve upon this
paradigm.
PMID- 22081359
TI - Grant writing tips for translational research.
AB - All investigators face the same challenge - the highly competitive nature of the
grant review process. Innovation alone is not enough to ensure grant supported
funding. Applied clinical research requires a diverse collaborative team of
investigators with specialized skills, a supportive clinical research
environment, and access to clinical material. In addition, ethical limitations,
and lack of animal models for many diseases, prevent direct mechanistic
experiments that are possible using in vitro systems or animal models. Therefore,
specific granting mechanisms and program initiatives target translational
research studies. This chapter provides grant writing tips and lists resources
that may prove helpful for new investigators seeking research funding in support
of translational research, biobanking, and research utilizing molecular
biomarkers.
PMID- 22081360
TI - Inventions and patents: a practical tutorial.
AB - Patents are designed to protect and encourage creativity and innovation.
Patenting a biomedical discovery can be a requirement before a pharmaceutical
company or biotech entity will invest in the lengthy and costly clinical testing
necessary to achieve patient benefit. Although scientists and clinicians are well
versed in research publication requirements, patent descriptions and claims are
formatted in a manner quite different from a research paper. Patents require (a)
a series of logical statements clearly delineating the boundaries of the novel
aspects of the invention and (b) sufficient disclosure of the invention so that
it can be reproduced by others. Patents are granted only for inventions that meet
three conditions: novelty, nonobviousness, and usefulness. This chapter provides
basic guidelines and definitions of technology transfer: inventions,
inventorship, and patent filing, which are summarized using a question and answer
format.
PMID- 22081361
TI - Regulatory approval pathways for molecular diagnostic technology.
AB - This chapter describes the basic categories for regulatory approval to
sell/market a molecular profiling technology. The US Food and Drug Administration
regulates and provides guidance, for marketing in vitro diagnostic devices (IVD).
Three different paths currently exist for obtaining Food and Drug Administration
(FDA) approval of an IVD: (a) If the new test can be shown to be substantially
equivalent to an existing predicate test on the market, then the 510(k) is the
regulatory path for new device approval. (b) If your new diagnostic technology
cannot be considered substantially equivalent to an existing technology, and will
be used to make a critical medical decision concerning the diagnosis, treatment,
or medical management, then the premarket approval (PMA) is the regulatory path
of choice. (c) If no predicate device exists and the test is of low or moderate
risk, it may be eligible for a de novo reclassification. If the test is done "in
house," in the designated laboratory only, for a patient sample that is sent to
the laboratory from an outside physician's office or medical facility, then the
test can be potentially marketed under "home brew" guidelines (also known as
laboratory developed tests) regulated under the Clinical Laboratory Improvement
Amendments (CLIA). The Centers for Medicare and Medicaid Services (CMS) assumes
primary responsibility for financial management operations of the CLIA program,
but the categorization of commercially marketed in vitro diagnostic tests under
CLIA is the responsibility of the FDA. Definitions, guidelines, information
sources, and instructions for data requirements are outlined for each regulatory
pathway.
PMID- 22081362
TI - Small business development for molecular diagnostics.
AB - Molecular profiling, which is the application of molecular diagnostics technology
to tissue and blood -specimens, is an integral element in the new era of
molecular medicine and individualized therapy. Molecular diagnostics is a fertile
ground for small business development because it can generate products that meet
immediate demands in the health-care sector: (a) Detection of disease risk, or
early-stage disease, with a higher specificity and sensitivity compared to
previous testing methods, and (b) "Companion diagnostics" for stratifying
patients to receive a treatment choice optimized to their individual disease.
This chapter reviews the promise and challenges of business development in this
field. Guidelines are provided for the creation of a business model and the
generation of a marketing plan around a candidate molecular diagnostic product.
Steps to commercialization are outlined using existing molecular diagnostics
companies as learning examples.
PMID- 22081363
TI - Recent progress in treatment of pulmonary arterial hypertension due to congenital
heart disease.
PMID- 22081364
TI - Rosuvastatin blocks hERG current and prolongs cardiac repolarization.
AB - Blocking of the potassium current I(Kr) [human ether-a-go-go related gene (hERG)]
is generally associated with an increased risk of long QT syndrome (LQTS). The 3
hydroxy-3-methyl-glutaryl-coenzyme A reductase inhibitor, rosuvastatin, is a
methanesulfonamide derivative, which shows structural similarities with several
I(Kr) blockers. Hence, we assessed the effects of rosuvastatin on cardiac
repolarization by using in vitro, ex vivo, and in vivo models. Patch clamp
experiments on hERG-transfected human embryonic kidney (HEK) 293 cells
established the potency of rosuvastatin to block hERG [half maximal inhibitory
concentration (IC(50) ) = 195 nM]. We showed in isolated guinea pig hearts that
195 nM rosuvastatin prolonged (basic cycle length of 250 ms; p < 0.05) the
monophasic action potential duration at 90% repolarization (MAPD(90) ) by 11 +/-
1 ms. Finally, rosuvastatin (10 mg/kg, intraperitoneal) prolonged corrected QT
interval (QTc) in conscious and unrestrained guinea pigs from 201 +/- 1 to 210 +/
2 ms (p < 0.05). Thus, rosuvastatin blocks I(Kr) and prolongs cardiac
repolarization. In additional experiments, we also show that hERG blockade in HEK
293 cells was modulated by coexpression of efflux [breast cancer resistance
protein (BCRP), multidrug resistance gene (MDR1)] and influx [organic anion
transporting polypeptide (OATP) 2B1] transporters involved in the disposition and
cardiac distribution of the drug. Genetic polymorphisms observed for BCRP, MDR1,
and OATP2B1, and IC(50) determined for hERG blocking lead us to propose that some
patients may be at risk of rosuvastatin-induced LQTS.
PMID- 22081365
TI - Measuring pain impact versus pain severity using a numeric rating scale.
AB - BACKGROUND: Routine assessments of pain using an intensity numeric rating scale
(NRS) have improved documentation, but have not improved clinical outcomes. This
may be, in part, due to the failure of the NRS to adequately predict patients'
preferences for additional treatment. OBJECTIVE: To examine whether patients'
illness perceptions have a stronger association with patient treatment
preferences than the pain intensity NRS. DESIGN: Single face-to-face interview.
PARTICIPANTS: Outpatients with chronic, noncancer, musculoskeletal pain. MAIN
MEASURES: Experience of pain was measured using 18 illness perception items.
Factor analysis of these items found that five factors accounted for 67.1% of the
variance; 38% of the variance was accounted for by a single factor labeled "pain
impact." Generalized linear models were used to examine how NRS scores and
physical function compare with pain impact in predicting preferences for highly
effective/high-risk treatment. KEY RESULTS: Two hundred forty-nine subjects
agreed to participate. Neither NRS nor functioning predicted patient preference
(NRS: chi2 = 1.92, df = 1, p = 0.16, physical functioning: chi2 = 2.48, df = 1, p
= 0.11). In contrast, pain impact was significantly associated with the
preference for a riskier/more effective treatment after adjusting for age,
comorbidity, efficacy of current medications and numeracy (chi2 = 4.40, df = 1, p
= 0.04). CONCLUSIONS: Tools that measure the impact of pain may be a more
valuable screening instrument than the NRS. Further research is now needed to
determine if measuring the impact of pain in clinical practice is more effective
at triggering appropriate management than more restricted measures of pain such
as the NRS.
PMID- 22081366
TI - Genetic characterization of Escherichia coli O157:H7 strains isolated from the
one-humped camel (Camelus dromedarius) by using microarray DNA technology.
AB - From the Camelidae family members, several serotypes of Escherichia coli (E.
coli) have recently been isolated from diarrhoeic and non-diarrhoeic faecal
samples. To date Shiga toxin-producing E. coli (STEC) strains have never been
typed in one-humped camel (Camelus dromedarius). In the present study, two E.
coli O157:H7 strains isolated from sick dromedaries were investigated. Virulence
gene profiles were determined using a custom E. coli virulence DNA microarray,
composed of 70-mer oligonucleotide probes targeting 264 virulence or related
genes of known E. coli pathotypes. Both strains displayed positive hybridization
signals for the Locus of enterocyte effacement (LEE) gene probes (ler, eae, espA,
espB, tir genes), two Shiga toxin probes (stx1 and stx2), the O157 O-antigen
specific probe, various virulence plasmid (pO157) probes like katP in addition to
other accessory virulence genes characterized in STEC.
PMID- 22081367
TI - Effectiveness of AFLPs and retrotransposon-based markers for the identification
of Portuguese grapevine cultivars and clones.
AB - Grapevine germplasm, including 38 of the main Portuguese cultivars and three
foreign cultivars, Pinot Noir, Pinot Blanc and Chasselas, used as a reference,
and 37 true-to-type clones from the Alvarinho, Arinto, Loureiro, Moscatel Galego
Branco, Trajadura and Vinhao cultivars were studied using AFLP and three
retrotransposon-based molecular techniques, IRAP, REMAP and SSAP. To study the
retrotransposon-based polymorphisms, 18 primers based on the LTR sequences of
Tvv1, Gret1 and Vine-1 were used. In the analysis of 41 cultivars, 517 IRAP,
REMAP, AFLP and SSAP fragments were obtained, 83% of which were polymorphic. For
IRAP, only the Tvv1Fa primer amplified DNA fragments. In the REMAP analysis, the
Tvv1Fa-Ms14 primer combination only produced polymorphic bands, and the Vine-1
primers produced mainly ISSR fragments. The highest number of polymorphic
fragments was found for AFLP. Both AFLP and SSAP showed a greater capacity for
identifying clones, resulting in 15 and 9 clones identified, respectively.
Together, all of the techniques allowed for the identification of 54% of the
studied clones, which is an important step in solving one of the challenges that
viticulture currently faces.
PMID- 22081368
TI - Promise of factor Xa inhibition in acute coronary syndromes.
AB - Drugs that inhibit factor Xa have been shown to reduce mortality and morbidity in
acute coronary syndromes (ACS). Presently, factor Xa inhibition is most often
achieved indirectly with the heparins and, increasingly, fondaparinux. Despite
effective anticoagulation with indirect factor Xa inhibition there remains
considerable mortality and morbidity in ACS. The recently developed direct factor
Xa inhibitors (the xabans) appear to offer promise as alternatives to the
heparins. We review the evidence behind indirect and direct factor Xa inhibition
in non-ST-segment elevation ACS, ST-segment elevation myocardial infarction, and
with percutaneous coronary intervention.
PMID- 22081369
TI - Recent trends of the emission characteristics from the road construction
industry.
PMID- 22081370
TI - Chemometric evaluation for the relation of BCR sequential extraction method and
in vitro gastro-intestinal method for the assessment of metal bioavailability in
contaminated soils in Turkey.
AB - INTRODUCTION: A chemometric evaluation has been done to classify metal ions in
soils and to determine whether or not the gastric and intestinal phases of a
physiologically based extraction test bear any relation to any of the phases of
the four-stage Community Bureau of Reference (BCR) extraction protocol. MATERIALS
AND METHODS: Nine trace analytes (As, Ba, Cd, Cr, Cu, Mn, Ni, Pb and Zn) were
determined in extracts obtained from the BCR sequential extraction procedure as
well as from in vitro gastro-intestinal experiments. The results showed that high
As, Pb, Zn and Cd concentrations were found in these soils. Principal component
analysis (PCA) and linear discriminant analysis were used as classification
techniques. Stepwise multiple linear regression analysis was applied to the data
set to determine how the bioaccessibility of a metal is linked to the
operationally defined fractions of metal speciation in soil. RESULTS AND
DISCUSSION: This analysis showed that the metal concentrations in the intestinal
and gastric extracts are mainly dependent on the concentrations found in BCR
phases 1 and 2 for each metal ion except for Cr, which was mainly dependent on
the concentrations found in BCR phase 3. From the chemometric technique of
correlation analysis, it was concluded that the metals extracted using BCR phases
1 and 2 are more likely to be bioaccessible, i.e. are also extracted by gastric
and intestinal digestion solutions. When the correlation and PCA results were
interpreted together, it indicated that the bioaccessiblity of Zn, Pb, Mn and Cd
were higher than As, Ba, Cr, Ni and Cu for these soils.
PMID- 22081371
TI - Use of inorganic and organic wastes for in situ immobilisation of Pb and Zn in a
contaminated alkaline soil.
AB - PURPOSE: This study aims to examine whether addition of immobilising agents to a
sandy, alkaline (pH = 8.1) soil, which had been contaminated with Pb and Zn by
airborne particles from a Pb/Zn smelter, would substantially reduce metal
bioavailability. METHODS: The effectiveness of five waste materials (blast
furnace (BF) slag, alum water treatment (WT) sludge, red mud, sugar mill mud and
green waste compost) as metal immobilising agents was evaluated by incubating
them with a contaminated soil for a period of 12 months at rates of 5% and 10%
(w/w), after which, Rhodes grass was grown in the soils in a greenhouse study.
RESULTS: Additions of WT sludge, BF slag and red mud reduced CaCl(2), CH(3)COOH,
HCl and EDTA-extractable Zn but compost and mill mud had no appreciable
immobilising effects. Additions of all amendments reduced levels of CaCl(2),
CH(3)COOH and HCl-extractable Pb although concentrations of EDTA-extractable Pb
remained unchanged. A sequential extraction procedure showed that additions of
mill mud and compost increased the percentage of total Pb and Zn present in the
oxidisable fraction whilst additions of the other materials increased the
percentage present in the residual fraction. Rhodes grass yields were promoted
greatly by additions of red mud, compost and particularly mill mud, and yields
were negatively correlated with tissue Pb concentrations and extractable Pb.
CONCLUSIONS: Red mud was the most effective material for lowering extractable Pb
and Zn levels simultaneously while mill mud and compost were notably effective
for Pb. A field evaluation in the study area is justified.
PMID- 22081372
TI - Bacterial community profiles from sediments of the Anacostia River using
metabolic and molecular analyses.
AB - BACKGROUND AIM AND SCOPE: Though the tidal Anacostia River, a highly polluted
riverine system, has been well characterized with regard to contaminants, its
overall resident bacterial populations have remained largely unknown. Improving
the health of this system will rely upon enhanced understanding of the diversity
and functions of these communities. Bacterial DNA was extracted from archived
(AR, year 2000) and fresh sediments (RE, year 2006) collected from various
locations within the Anacostia River. Using a combination of metabolic and
molecular techniques, community snapshots of sediment bacterial diversity and
activity were produced. RESULTS: Employing Biolog EcoPlates, metabolic analysis
of RE sediments from July revealed similar utilization of amines, amino acids,
carbohydrates, carboxylic acids, and polymers at all sites. Normalized optical
density measurements demonstrated that for most compounds, utilizations were
similar though when differences did occur, the downstream site was enhanced
compared to one or both of the upstream sites. Using denaturing gradient gel
electrophoresis, bacterial diversity fingerprints of operational taxonomic units
(OTUs) were obtained. Dendograms of the banding patterns revealed qualitative
relationships as well as differences between replicate samples from similar
sites. Replicates from the AR sites shared several common OTUs, while RE sites
were more varied. Species richness and Shannon diversity indices generally
increased with increasingly downstream locations, and were significant for the AR
sediments (analysis of variance, P < 0.0001). Carbon and nitrogen content and
concentration of fine grain sediment (<63 MUm) were positively correlated with
OTU richness (r (2) = 0.37, P = 0.0008; r (2) = 0.45, P < 0.0001; r (2) = 0.48, P
= 0.001, respectively). CONCLUSIONS: This study demonstrated that the bacterial
communities from all regions sampled were not only metabolically active with the
capacity to utilize several different compounds as energy sources but also were
genetically diverse. This study is the first to focus on the overall bacterial
community, providing insight into this vital component of stream ecosystems.
Understanding the bacterial components of aquatic systems such as the Anacostia
River will increase our knowledge of the overall structure and function of the
ecological communities in polluted systems, subsequently enhancing our ability to
improve the health of this important tidal river.
PMID- 22081373
TI - Lentivirus-delivered Kruppel-like factor 8 small interfering RNA inhibits gastric
cancer cell growth in vitro and in vivo.
AB - We found that the transcription factor Kruppel-like factor 8 (KLF8) was highly
expressed in gastric cancer tissues and cell lines compared with adjacent
noncancerous regions and gastric epithelial mucosa cells. We employed a
lentivirus-mediated RNAi technique to knockdown KLF8 expression in gastric cancer
cell line SGC7901 and observed its effects on cell growth in vitro and in vivo.
Knockdown of KLF8 inhibited SGC7901 cell proliferation, promoted cell apoptosis,
inhibited the tumorigenicity of SGC7901 cells, and significantly decreased tumor
growth when the cells were injected into nude mice. These results indicated that
overexpression of KLF8 may influence the biological behavior of SGC7901 gastric
cancer cells. Knockdown of KLF8 expression by lentivirus-delivered siRNA may be
useful as a therapeutic agent for the treatment of gastric cancer.
PMID- 22081374
TI - Reduced expression of DNA repair genes (XRCC1, XPD, and OGG1) in squamous cell
carcinoma of head and neck in North India.
AB - Squamous cell carcinoma of head and neck (SCCHN) is the sixth most common cancer
globally, and in India, it accounts for 30% of all cancer cases. Epidemiological
studies have shown a positive association between defective DNA repair capacity
and SCCHN. The underlying mechanism of their involvement is not well understood.
In the present study, we have analyzed the relationship between SCCHN and the
expression of DNA repair genes namely X-ray repair cross-complementing group 1
(XRCC1), xeroderma pigmentosum group D (XPD), and 8-oxoguanine DNA glycosylase
(OGG1) in 75 SCCHN cases and equal number of matched healthy controls.
Additionally, levels of DNA adduct [8-hydroxyguanine (8-OHdG)] in 45 SCCHN cases
and 45 healthy controls were also determined, to ascertain a link between mRNA
expression of these three genes and DNA adducts. The relative expression of
XRCC1, XPD, and OGG1 in head and neck cancer patients was found to be
significantly low as compared to controls. The percent difference of mean
relative expression between cases and controls demonstrated maximum lowering in
OGG1 (47.3%) > XPD (30.7%) > XRCC1 (25.2%). A negative Spearmen correlation
between XRCC1 vs. 8-OHdG in cases was observed. In multivariate logistic
regression analysis (adjusting for age, gender, smoking status, and alcohol use),
low expression of XRCC1, XPD, and OGG1 was associated with a statistically
significant increased risk of SCCHN [crude odds ratios (ORs) (95%CI) OR 2.10;
(1.06-4.17), OR 2.76; (1.39-5.49), and 5.24 (2.38-11.52), respectively]. In
conclusion, our study demonstrated that reduced expression of XRCC1, XPD, and
OGG1 is associated with more than twofold increased risk in SCCHN.
PMID- 22081375
TI - Altered expression of circadian clock genes in head and neck squamous cell
carcinoma.
AB - Head and neck squamous cell carcinoma (HNSCC) means a group of cancers developed
from the upper aerodigestive tract, and 90% of them are squamous cell carcinomas.
HNSCC is the tenth most commonly diagnosed form of cancer in males worldwide, but
it is the seventh most common cause of cancer-related death. The circadian clock
regulates daily rhythmic variations in various physiologic processes including
sleep and activity, appetite, hormone levels, metabolism, and gene expression.
Many recent studies have demonstrated that the disruption of circadian rhythm is
associated with cancer development and tumor progression, such as chronic myeloid
leukemia, hepatocellular carcinoma, endometrial carcinoma, and breast cancer.
However the direct links between aberrant circadian clock gene expression and
human malignancies, including HNSCC, remain largely unknown. In this study, the
expression profiles of nine circadian clock genes of cancer tissue and
noncancerous part from 40 patients of HNSCC were investigated. The expression of
PER1, PER2, PER3, CRY1, CRY2, CKIepsilon, and BMAL1 showed significant
downregulation in the cancer tissues (p < 0.005). Downregulated PER3, CRY2, and
BMAL1 expression was correlated with more advanced cancer stages (p < 0.05).
Downregulated PER3 and upregulated TIM expression correlated with larger tumor
size (p < 0.05), and lower expression of PER3 correlated with deeper tumor
invasion (p < 0.05). Poor survival was related to lower expression of PER1 (p <
0.05) and PER3 (p < 0.01). These results indicate a possible association of
circadian clock gene, especially PER3, expression with the pathogenesis of HNSCC.
PMID- 22081376
TI - COX-2 and survivin reduction may play a role in berberine-induced apoptosis in
human ductal breast epithelial tumor cell line.
AB - Berberine is an isoquinoline alkaloid that has several pharmacological effects
such as antiinflammatory, antimicrobial, apoptosis-inducing and anticancer
effects. It has been illustrated that the antiinflammatory effect is mediated by
suppressing the nuclear factor-kappa B (NF-kappaB) that activates expression of
some antiinflammatory and antiapoptotic proteins including cyclooxygenase-2(COX
2), inducible nitric oxide synthase (iNOS) and survivin; therefore, berberine may
induce apoptosis by reducing antiinflammatory and antiapoptotic agents, which
suggest the relationship between antiinflammatory and apoptosis pathways. For
further illustration of the mechanism of berberine action, the human ductal
breast epithelial tumor cell line (T47D cell line) was treated with different
concentrations of berberine (25-100 MUM/ml). Berberine in 50 MUM/ml had the most
reducing effect on cell viability and inducing of apoptosis. The level of COX-2,
iNOS and survivin proteins decreased in berberine-treated cells; however,
treatment of the cells with aspirin and aminoguanidine (AG), COX-2 and iNOS
inhibitors, respectively, showed that despite the cell growth-reducing effect of
aspirin, AG did not have a significant effect on cell viability. On the other
hand, with the attention to reduction in survivin protein level in berberine
treated cells, the results suggest that the apoptotic effect of berberine may be
mediated by reduction in both of the COX-2 and survivin in T47D cell line, while
the iNOS does not play any effective role in berberine-induced apoptosis.
PMID- 22081377
TI - Is severe sepsis associated with new-onset atrial fibrillation and stroke?
PMID- 22081379
TI - Cost function analysis for solid waste management: a developing country
experience.
AB - The need for improved cost planning methods for solid waste management (SWM) is
particularly strong in emerging economies where problems are severe, expectations
for improvements are high, but finances are constrained. Estimating cost
functions is suggested as an improved cost planning method. The research uses
1999 data from 298 Indian municipalities, covering over 140 million people.
Stepwise multiple regression involving eight predictor variables was conducted on
costs to detect any statistically significant correlations. The average costs on
either a per tonne or per capita basis are most influenced by the total number of
staff employed per capita or per tonne. The results are believed to be due to
labour costs being such a high fraction of total costs in developing countries.
Due to high variability in labour intensity between municipalities the data
showed no clear correlation between per tonne or per capita costs and population,
indicating no economy-of-scale. The data used here are subject to significant
conjecture over their quality and age; however, the unique nature of the study
should help future researchers investigating costs in emerging economies.
PMID- 22081378
TI - Incident stroke and mortality associated with new-onset atrial fibrillation in
patients hospitalized with severe sepsis.
AB - CONTEXT: New-onset atrial fibrillation (AF) has been reported in 6% to 20% of
patients with severe sepsis. Chronic AF is a known risk factor for stroke and
death, but the clinical significance of new-onset AF in the setting of severe
sepsis is uncertain. OBJECTIVE: To determine the in-hospital stroke and in
hospital mortality risks associated with new-onset AF in patients with severe
sepsis. DESIGN AND SETTING: Retrospective population-based cohort of California
State Inpatient Database administrative claims data from nonfederal acute care
hospitals for January 1 through December 31, 2007. PATIENTS: Data were available
for 3,144,787 hospitalized adults. Severe sepsis (n = 49,082 [1.56%]) was defined
by validated International Classification of Diseases, Ninth Revision, Clinical
Modification (ICD-9-CM) code 995.92. New-onset AF was defined as AF that occurred
during the hospital stay, after excluding AF cases present at admission. MAIN
OUTCOME MEASURES: A priori outcome measures were in-hospital ischemic stroke (ICD
9-CM codes 433, 434, or 436) and mortality. RESULTS: Patients with severe sepsis
were a mean age of 69 (SD, 16) years and 48% were women. New-onset AF occurred in
5.9% of patients with severe sepsis vs 0.65% of patients without severe sepsis
(multivariable-adjusted odds ratio [OR], 6.82; 95% CI, 6.54-7.11; P < .001).
Severe sepsis was present in 14% of all new-onset AF in hospitalized adults.
Compared with severe sepsis patients without new-onset AF, patients with new
onset AF during severe sepsis had greater risks of in-hospital stroke (75/2896
[2.6%] vs 306/46,186 [0.6%] strokes; adjusted OR, 2.70; 95% CI, 2.05-3.57; P <
.001) and in-hospital mortality (1629 [56%] vs 18,027 [39%] deaths; adjusted
relative risk, 1.07; 95% CI, 1.04-1.11; P < .001). Findings were robust across 2
definitions of severe sepsis, multiple methods of addressing confounding, and
multiple sensitivity analyses. CONCLUSION: Among patients with severe sepsis,
patients with new-onset AF were at increased risk of in-hospital stroke and death
compared with patients with no AF and patients with preexisting AF.
PMID- 22081380
TI - Assessment of pharmaceutical waste management at selected hospitals and homes in
Ghana.
AB - The practice of use and disposal of waste from pharmaceuticals compromises the
safety of the environment as well as representing a serious health risk, as they
may accumulate and stay active for a long time in the aquatic environment. This
article therefore presents the outcome of a study on pharmaceutical waste
management practices at homes and hospitals in Ghana. The study was conducted at
five healthcare institutions randomly selected in Ghana, namely two teaching
hospitals (hospital A, hospital B), one regional hospital (hospital C), one
district hospital (hospital D) and one quasi-governmental hospital (hospital E).
Apart from hospital E which currently has a pharmaceutical waste separation
programmr as well as drug return programme called DUMP (Disposal of Unused
Medicines Program), all other hospitals visited do not have any separate
collection and disposal programme for pharmaceutical waste. A survey was also
carried out among the general public, involving the questioning of randomly
selected participants in order to investigate the household disposal of unused
and expired pharmaceuticals. The results from the survey showed that more than
half of the respondents confirmed having unused, left-over or expired medicines
at home and over 75% disposed of pharmaceutical waste through the normal waste
bins which end up in the landfills or dump sites.
PMID- 22081381
TI - Measuring the efficiency of a healthcare waste management system in Serbia with
data envelopment analysis.
AB - In 2007, the Serbian Ministry of Health initiated specific activities towards
establishing a workable model based on the existing administrative framework,
which corresponds to the needs of healthcare waste management throughout Serbia.
The objective of this research was to identify the reforms carried out and their
outcomes by estimating the efficiencies of a sample of 35 healthcare facilities
engaged in the process of collection and treatment of healthcare waste, using
data envelopment analysis. Twenty-one (60%) of the 35 healthcare facilities
analysed were found to be technically inefficient, with an average level of
inefficiency of 13%. This fact indicates deficiencies in the process of
collection and treatment of healthcare waste and the information obtained and
presented in this paper could be used for further improvement and development of
healthcare waste management in Serbia.
PMID- 22081382
TI - The effect of kaolin on the combustion of demolition wood under well-controlled
conditions.
AB - In an attempt to look at means for reduction of corrosion in boilers, combustion
experiments are performed on demolition wood with kaolin as additive. The
experiments were performed in a multi-fuel reactor with continuous feed of
pellets and by applying staged air combustion. A total characterization of the
elemental composition of the fuel, the bottom ash and some particle size stages
of fly ash was performed. This was done in order to follow the fate of some of
the problematic compounds in demolition wood as a function of kaolin addition and
other combustion-related parameters. In particular chlorine and potassium
distribution between the gas phase, the bottom ash and the fly ash is reported as
a function of increased kaolin addition, reactor temperature and air staging.
Kaolin addition of 5 and 10% were found to give the least aerosol load in the fly
ash. In addition, the chlorine concentration in aerosol particles was at its
lowest levels for the same addition of kaolin, although the difference between 5
and 10% addition was minimal. The reactor temperature was found to have a minimal
effect on both the fly ash and bottom ash properties.
PMID- 22081383
TI - Estimation of construction and demolition waste volume generation in new
residential buildings in Spain.
AB - The management planning of construction and demolition (C&D) waste uses a single
indicator which does not provide enough detailed information. Therefore the
determination and implementation of other innovative and precise indicators
should be determined. The aim of this research work is to improve existing C&D
waste quantification tools in the construction of new residential buildings in
Spain. For this purpose, several housing projects were studied to determine an
estimation of C&D waste generated during their construction process. This paper
determines the values of three indicators to estimate the generation of C&D waste
in new residential buildings in Spain, itemizing types of waste and construction
stages. The inclusion of two more accurate indicators, in addition to the global
one commonly in use, provides a significant improvement in C&D waste
quantification tools and management planning.
PMID- 22081384
TI - The major autolysin Acm2 from Lactobacillus plantarum undergoes cytoplasmic O
glycosylation.
AB - The major autolysin Acm2 from the probiotic strain Lactobacillus plantarum WCFS1
contains high proportions of alanine, serine, and threonine in its N-terminal so
called AST domain. It has been suggested that this extracellular protein might be
glycosylated, but this has not been experimentally verified. We used high
resolution liquid chromatography-tandem mass spectrometry (LC-MS/MS) to study the
possible occurrence of glycans on peptides generated from lactobacillary surface
proteins by protease treatment. This approach yielded five glycopeptides in
various glycoforms, all derived from the AST domain of Acm2. All five
glycopeptides contained the hydroxy-amino acids serine and threonine, suggesting
that Acm2 is O-glycosylated. By using lectin blotting with succinylated wheat
germ agglutinin, and by comparing the wild-type strain with an Acm2-negative
derivative (NZ3557), we found that the attached N-acetylhexosamines are most
likely N-acetylglucosamines (GlcNAc). NZ3557 was further used as a genetic
background to express an Acm2 variant lacking its secretion signal, resulting in
intracellular expression of Acm2. We show that this intracellular version of Acm2
is also glycosylated, indicating that the GlcNAc modification is an intracellular
process.
PMID- 22081385
TI - Genome sequences and phylogenetic analysis of K88- and F18-positive porcine
enterotoxigenic Escherichia coli.
AB - Porcine enterotoxigenic Escherichia coli (ETEC) continues to result in major
morbidity and mortality in the swine industry via postweaning diarrhea. The key
virulence factors of ETEC strains, their serotypes, and their fimbrial components
have been well studied. However, most studies to date have focused on plasmid
encoded traits related to colonization and toxin production, and the chromosomal
backgrounds of these strains have been largely understudied. Here, we generated
the genomic sequences of K88-positive and F18-positive porcine ETEC strains and
examined the phylogenetic distribution of clinical porcine ETEC strains and their
plasmid-associated genetic content. The genomes of porcine ETEC strains UMNK88
and UMNF18 were both found to contain remarkable plasmid complements containing
known virulence factors, potential novel virulence factors, and antimicrobial
resistance-associated elements. The chromosomes of these strains also possessed
several unique genomic islands containing hypothetical genes with similarity to
classical virulence factors, although phage-associated genomic islands dominated
the accessory genomes of these strains. Phylogenetic analysis of 78 clinical
isolates associated with neonatal and porcine diarrhea revealed that a limited
subset of porcine ETEC lineages exist that generally contain common toxin and
fimbrial profiles, with many of the isolates belonging to the ST10, ST23, and
ST169 multilocus sequencing types. These lineages were generally distinct from
existing human ETEC database isolates. Overall, most porcine ETEC strains appear
to have emerged from a limited subset of E. coli lineages that either have an
increased propensity to carry plasmid-encoded virulence factors or have the
appropriate ETEC core genome required for virulence.
PMID- 22081386
TI - Involvement of the global Crp regulator in cyclic AMP-dependent utilization of
aromatic amino acids by Pseudomonas putida.
AB - The phhAB operon encodes a phenylalanine hydroxylase involved in the conversion
of L-phenylalanine into L-tyrosine in Pseudomonas putida. The phhAB promoter is
transcribed by RNA polymerase sigma-70 and is unusual in that the specific
regulator PhhR acts as an enhancer protein that binds to two distant upstream
sites (-75 to -92 and -132 to -149). There is an integration host factor (IHF)
binding site that overlaps the proximal PhhR box, and, consequently, IHF acts as
an inhibitor of transcription. Use of L-phenylalanine is compromised in a crp
deficient background due to reduced expression from the phhAB promoter.
Electrophoretic mobility shift assays and DNase I footprinting assays reveal that
Crp binds at a site centered at -109 only in the presence of cyclic AMP (cAMP).
We show, using circular permutation analysis, that the simultaneous binding of
Crp/cAMP and PhhR bends DNA to bring positive regulators and RNA polymerase into
close proximity. This nucleoprotein complex promotes transcription from phhA only
in response to L-phenylalanine.
PMID- 22081387
TI - Deletion of sigma(54) (rpoN) alters the rate of autolysis and biofilm formation
in Enterococcus faecalis.
AB - Transcription initiation is a critical step in bacterial gene regulation and is
often controlled by transcription regulators. The alternate sigma factor
(sigma(54)) is one such regulator that facilitates activator-dependent
transcription initiation and thus modulates the expression of a variety of genes
involved in metabolism and pathogenesis in bacteria. This study describes the
role of sigma(54) in the nosocomial pathogen Enterococcus faecalis. Biofilm
formation is one of the important pathogenic mechanisms of E. faecalis, as it
elevates the organism's potential to cause surgical site and urinary tract
infections. Lysis of bacterial cells within the population contributes to biofilm
formation by providing extracellular DNA (eDNA) as a key component of the biofilm
matrix. Deletion of rpoN rendered E. faecalis resistant to autolysis, which in
turn impaired eDNA release. Despite the significant reduction in eDNA levels
compared to the parental strain, the rpoN mutant formed more robust biofilms as
observed using laser scanning confocal microscopy and Comstat analysis,
indicating and emphasizing the presence of other matrix components. Initial
adherence to a polystyrene surface was also enhanced in the mutant. Proteinase K
treatment at early stages of biofilm development significantly reduced the
accumulation of biofilm by the rpoN mutant. In conclusion, our data indicate that
other factors in addition to eDNA might contribute to the overall composition of
the enterococcal biofilm and that the regulatory role of sigma(54) governs the
nature and composition of the biofilm matrix.
PMID- 22081388
TI - Newly identified genetic variations in common Escherichia coli MG1655 stock
cultures.
AB - We have recently identified seven mutations in commonly used stocks of the
sequenced Escherichia coli strain MG1655 which do not appear in the reference
sequence. The mutations are likely to cause loss of function of the glpR and crl
genes, which may have serious implications for physiological experiments using
the affected strains.
PMID- 22081389
TI - Loss of elongation factor P disrupts bacterial outer membrane integrity.
AB - Elongation factor P (EF-P) is posttranslationally modified at a conserved lysyl
residue by the coordinated action of two enzymes, PoxA and YjeK. We have
previously established the importance of this modification in Salmonella stress
resistance. Here we report that, like poxA and yjeK mutants, Salmonella strains
lacking EF-P display increased susceptibility to hypoosmotic conditions,
antibiotics, and detergents and enhanced resistance to the compound S
nitrosoglutathione. The susceptibility phenotypes are largely explained by the
enhanced membrane permeability of the efp mutant, which exhibits increased uptake
of the hydrophobic dye 1-N-phenylnaphthylamine (NPN). Analysis of the membrane
proteomes of wild-type and efp mutant Salmonella strains reveals few changes,
including the prominent overexpression of a single porin, KdgM, in the efp mutant
outer membrane. Removal of KdgM in the efp mutant background ameliorates the
detergent, antibiotic, and osmosensitivity phenotypes and restores wild-type
permeability to NPN. Our data support a role for EF-P in the translational
regulation of a limited number of proteins that, when perturbed, renders the cell
susceptible to stress by the adventitious overexpression of an outer membrane
porin.
PMID- 22081390
TI - Cj1386 is an ankyrin-containing protein involved in heme trafficking to catalase
in Campylobacter jejuni.
AB - Campylobacter jejuni, a microaerophilic bacterium, is the most frequent cause of
human bacterial gastroenteritis. C. jejuni is exposed to harmful reactive oxygen
species (ROS) produced during its own normal metabolic processes and during
infection from the host immune system and from host intestinal microbiota. These
ROS will damage DNA and proteins and cause peroxidation of lipids. Consequently,
identifying ROS defense mechanisms is important for understanding how
Campylobacter survives this environmental stress during infection. Construction
of a DeltaCj1386 isogenic deletion mutant and phenotypic assays led to its
discovery as a novel oxidative stress defense gene. The DeltaCj1386 mutant has an
increased sensitivity toward hydrogen peroxide. The Cj1386 gene is located
directly downstream from katA (catalase) in the C. jejuni genome. A
DeltakatADelta Cj1386 double deletion mutant was constructed and exhibited a
sensitivity to hydrogen peroxide similar to that seen in the DeltaCj1386 and
DeltakatA single deletion mutants. This observation suggests that Cj1386 may be
involved in the same detoxification pathway as catalase. Despite identical KatA
abundances, catalase activity assays showed that the DeltaCj1386 mutant had a
reduced catalase activity relative to that of wild-type C. jejuni. Heme
quantification of KatA protein from the DeltaCj1386 mutant revealed a significant
decrease in heme concentration. This indicates an important role for Cj1386 in
heme trafficking to KatA within C. jejuni. Interestingly, the DeltaCj1386 mutant
had a reduced ability to colonize the ceca of chicks and was outcompeted by the
wild-type strain for colonization of the gastrointestinal tract of neonate
piglets. These results indicate an important role for Cj1386 in Campylobacter
colonization and pathogenesis.
PMID- 22081391
TI - Thiosulfate reduction in Salmonella enterica is driven by the proton motive
force.
AB - Thiosulfate respiration in Salmonella enterica serovar Typhimurium is catalyzed
by the membrane-bound enzyme thiosulfate reductase. Experiments with quinone
biosynthesis mutants show that menaquinol is the sole electron donor to
thiosulfate reductase. However, the reduction of thiosulfate by menaquinol is
highly endergonic under standard conditions (DeltaE degrees ' = -328 mV).
Thiosulfate reductase activity was found to depend on the proton motive force
(PMF) across the cytoplasmic membrane. A structural model for thiosulfate
reductase suggests that the PMF drives endergonic electron flow within the enzyme
by a reverse loop mechanism. Thiosulfate reductase was able to catalyze the
combined oxidation of sulfide and sulfite to thiosulfate in a reverse of the
physiological reaction. In contrast to the forward reaction the exergonic
thiosulfate-forming reaction was PMF independent. Electron transfer from formate
to thiosulfate in whole cells occurs predominantly by intraspecies hydrogen
transfer.
PMID- 22081392
TI - Evidence that the folate-dependent proteins YgfZ and MnmEG have opposing effects
on growth and on activity of the iron-sulfur enzyme MiaB.
AB - The folate-dependent protein YgfZ of Escherichia coli participates in the
synthesis and repair of iron-sulfur (Fe-S) clusters; it belongs to a family of
enzymes that use folate to capture formaldehyde units. Ablation of ygfZ is known
to reduce growth, to increase sensitivity to oxidative stress, and to lower the
activities of MiaB and other Fe-S enzymes. It has been reported that the growth
phenotype can be suppressed by disrupting the tRNA modification gene mnmE. We
first confirmed the latter observation using deletions in a simpler, more defined
genetic background. We then showed that deleting mnmE substantially restores MiaB
activity in ygfZ deletant cells and that overexpressing MnmE with its partner
MnmG exacerbates the growth and MiaB activity phenotypes of the ygfZ deletant.
MnmE, with MnmG, normally mediates a folate-dependent transfer of a formaldehyde
unit to tRNA, and the MnmEG-mediated effects on the phenotypes of the DeltaygfZ
mutant apparently require folate, as evidenced by the effect of eliminating all
folates by deleting folE. The expression of YgfZ was unaffected by deleting mnmE
or overexpressing MnmEG or by folate status. Since formaldehyde transfer is a
potential link between MnmEG and YgfZ, we inactivated formaldehyde detoxification
by deleting frmA. This deletion had little effect on growth or MiaB activity in
the DeltaygfZ strain in the presence of formaldehyde, making it unlikely that
formaldehyde alone connects the actions of MnmEG and YgfZ. A more plausible
explanation is that MnmEG erroneously transfers a folate-bound formaldehyde unit
to MiaB and that YgfZ reverses this.
PMID- 22081393
TI - A-type carrier protein ErpA is essential for formation of an active formate
nitrate respiratory pathway in Escherichia coli K-12.
AB - A-type carrier (ATC) proteins of the Isc (iron-sulfur cluster) and Suf (sulfur
mobilization) iron-sulfur ([Fe-S]) cluster biogenesis pathways are proposed to
traffic preformed [Fe-S] clusters to apoprotein targets. In this study, we
analyzed the roles of the ATC proteins ErpA, IscA, and SufA in the maturation of
the nitrate-inducible, multisubunit anaerobic respiratory enzymes formate
dehydrogenase N (Fdh-N) and nitrate reductase (Nar). Mutants lacking SufA had
enhanced activities of both enzymes. While both Fdh-N and Nar activities were
strongly reduced in an iscA mutant, both enzymes were inactive in an erpA mutant
and in a mutant unable to synthesize the [Fe-S] cluster scaffold protein IscU. It
could be shown for both Fdh-N and Nar that loss of enzyme activity correlated
with absence of the [Fe-S] cluster-containing small subunit. Moreover, a slowly
migrating form of the catalytic subunit FdnG of Fdh-N was observed, consistent
with impeded twin arginine translocation (TAT)-dependent transport. The highly
related Fdh-O enzyme was also inactive in the erpA mutant. Although the Nar
enzyme has its catalytic subunit NarG localized in the cytoplasm, it also
exhibited aberrant migration in an erpA iscA mutant, suggesting that these
modular enzymes lack catalytic integrity due to impaired cofactor biosynthesis.
Cross-complementation experiments demonstrated that multicopy IscA could
partially compensate for lack of ErpA with respect to Fdh-N activity but not Nar
activity. These findings suggest that ErpA and IscA have overlapping roles in
assembly of these anaerobic respiratory enzymes but demonstrate that ErpA is
essential for the production of active enzymes.
PMID- 22081394
TI - Structural insights into the catalytic mechanism of Escherichia coli
selenophosphate synthetase.
AB - Selenophosphate synthetase (SPS) catalyzes the synthesis of selenophosphate, the
selenium donor for the biosynthesis of selenocysteine and 2-selenouridine
residues in seleno-tRNA. Selenocysteine, known as the 21st amino acid, is then
incorporated into proteins during translation to form selenoproteins which serve
a variety of cellular processes. SPS activity is dependent on both Mg(2+) and
K(+) and uses ATP, selenide, and water to catalyze the formation of AMP,
orthophosphate, and selenophosphate. In this reaction, the gamma phosphate of ATP
is transferred to the selenide to form selenophosphate, while ADP is hydrolyzed
to form orthophosphate and AMP. Most of what is known about the function of SPS
has derived from studies investigating Escherichia coli SPS (EcSPS) as a model
system. Here we report the crystal structure of the C17S mutant of SPS from E.
coli (EcSPS(C17S)) in apo form (without ATP bound). EcSPS(C17S) crystallizes as a
homodimer, which was further characterized by analytical ultracentrifugation
experiments. The glycine-rich N-terminal region (residues 1 through 47) was found
in the open conformation and was mostly ordered in both structures, with a
magnesium cofactor bound at the active site of each monomer involving conserved
aspartate residues. Mutating these conserved residues (D51, D68, D91, and D227)
along with N87, also found at the active site, to alanine completely abolished
AMP production in our activity assays, highlighting their essential role for
catalysis in EcSPS. Based on the structural and biochemical analysis of EcSPS
reported here and using information obtained from similar studies done with SPS
orthologs from Aquifex aeolicus and humans, we propose a catalytic mechanism for
EcSPS-mediated selenophosphate synthesis.
PMID- 22081395
TI - Benzoate mediates repression of C(4)-dicarboxylate utilization in "Aromatoleum
aromaticum" EbN1.
AB - Diauxic growth was observed in anaerobic C(4)-dicarboxylate-adapted cells of
"Aromatoleum aromaticum" EbN1 due to preferred benzoate utilization from a
substrate mixture of a C(4)-dicarboxylate (succinate, fumarate, or malate) and
benzoate. Differential protein profiles (two-dimensional difference gel
electrophoresis [2D DIGE]) revealed dynamic changes in abundance for proteins
involved in anaerobic benzoate catabolism and C(4)-dicarboxylate uptake. In the
first active growth phase, benzoate utilization was paralleled by maximal
abundance of proteins involved in anaerobic benzoate degradation (e.g., benzoyl
coenzyme A [CoA] reductase) and minimal abundance of DctP (EbA4158), the
periplasmic binding protein of a predicted C(4)-dicarboxylate tripartite ATP
independent periplasmic (TRAP) transporter (DctPQM). The opposite was observed
during subsequent succinate utilization in the second active growth phase. The
increased dctP (respectively, dctPQM) transcript and DctP protein abundance
following benzoate depletion suggests that repression of C(4)-dicarboxylate
uptake seems to be a main determinant for the observed diauxie.
PMID- 22081396
TI - Distinct roles of beta-galactosidase paralogues of the rumen bacterium Mannheimia
succiniciproducens.
AB - Mannheimia succiniciproducens, a rumen bacterium belonging to the family
Pasteurellaceae, has two putative beta-galactosidase genes, bgaA and bgaB,
encoding polypeptides whose deduced amino acid sequences share 56% identity with
each other and show approximately 30% identity to the Escherichia coli gene for
LacZ. The M. succiniciproducens bgaA (MsbgaA) gene-deletion mutant was not able
to grow on lactose as the sole carbon source, suggesting its essential role in
lactose metabolism, whereas the MsbgaB gene-deletion mutant did not show any
growth defect on a lactose medium. Furthermore, the expression of the MsbgaA gene
was induced by the addition of lactose in the growth medium, whereas the MsbgaB
gene was constitutively expressed independently of a carbon source. Biochemical
characterization of the recombinant proteins revealed that MsBgaA is more
efficient than MsBgaB in hydrolyzing o-nitrophenyl-beta-d-galactopyranoside and p
nitrophenyl-beta-d-galactopyranoside. MsBgaA was highly specific for the
hydrolysis of lactose, with a catalytic efficiency of 46.9 s(-1) mM(-1). However,
MsBgaB was more efficient for the hydrolysis of lactulose than lactose, and the
catalytic efficiency was 10.0 s(-1) mM(-1). Taken together, our results suggest
that the beta-galactosidase paralogues of M. succiniciproducens BgaA and BgaB
play a critical role in lactose metabolism and in an unknown but likely specific
function for rumen bacteria, respectively.
PMID- 22081397
TI - Perturbation of FliL interferes with Proteus mirabilis swarmer cell gene
expression and differentiation.
AB - Proteus mirabilis is a dimorphic, motile bacterium often associated with urinary
tract infections. Colonization of urinary tract surfaces is aided by swarmer cell
differentiation, which is initiated by inhibition of flagellar rotation when the
bacteria first contact a surface. Mutations in fliL, encoding a flagellar
structural protein with an enigmatic function, result in the inappropriate
production of differentiated swarmer cells, called pseudoswarmer cells, under
noninducing conditions, indicating involvement of FliL in the surface sensing
pathway. In the present study, we compared the fliL transcriptome with that of
wild-type swarmer cells and showed that nearly all genes associated with motility
(flagellar class II and III genes) and chemotaxis are repressed. In contrast,
spontaneous motile revertants of fliL cells that regained motility yet produced
differentiated swarmer cells under noninducing conditions transcribed flagellar
class II promoters at consistent levels. Expression of umoA (a known regulator of
swarmer cells), flgF, and flgI increased significantly in both swarmer and
pseudoswarmer cells, as did genes in a degenerate prophage region situated
immediately adjacent to the Rcs phosphorelay system. Unlike swarmer cells,
pseudoswarmers displayed increased activity, rather than transcription, of the
flagellar master regulatory protein, FlhD(4)C(2), and analyses of the fliL parent
strain and its motile revertants showed that they result from mutations altering
the C-terminal 14 amino acids of FliL. Collectively, the data suggest a
functional role for the C terminus of FliL in surface sensing and implicate UmoA
as part of the signal relay leading to the master flagellar regulator
FlhD(4)C(2), which ultimately controls swarmer cell differentiation.
PMID- 22081398
TI - E622, a miniature, virulence-associated mobile element.
AB - Miniature inverted terminal repeat elements (MITEs) are nonautonomous mobile
elements that have a significant impact on bacterial evolution. Here we
characterize E622, a 611-bp virulence-associated MITE from Pseudomonas syringae,
which contains no coding region but has almost perfect 168-bp inverted repeats.
Using an antibiotic coupling assay, we show that E622 is transposable and can
mobilize an antibiotic resistance gene contained between its borders. Its
predicted parent element, designated TnE622, has a typical transposon structure
with a three-gene operon, consisting of resolvase, integrase, and exeA-like
genes, which is bounded by the same terminal inverted repeats as E622. A broader
genome level survey of the E622/TnE622 inverted repeats identified homologs in
Pseudomonas, Salmonella, Shewanella, Erwinia, Pantoea, and the cyanobacteria
Nostoc and Cyanothece, many of which appear to encompass known virulence genes,
including genes encoding toxins, enzymes, and type III secreted effectors. Its
association with niche-specific genetic determinants, along with its persistence
and evolutionary diversification, indicates that this mobile element family has
played a prominent role in the evolution of many agriculturally and clinically
relevant pathogenic bacteria.
PMID- 22081399
TI - In the NadR regulon, adhesins and diverse meningococcal functions are regulated
in response to signals in human saliva.
AB - The Neisseria meningitidis regulator NadR was shown to repress expression of the
NadA adhesin and play a major role in NadA phase-variable expression. In this
study, we identified through microarray analysis over 30 genes coregulated with
nadA in the NadR mutant and defined members of the NadR regulon through in vitro
DNA-binding assays. Two distinct types of promoter architectures (I and II) were
identified for NadR targets, differing in both the number and position of NadR
binding sites. All NadR-regulated genes investigated were found to respond to 4
hydroxyphenylacetic acid (4HPA), a small molecule secreted in human saliva, which
was previously demonstrated to induce nadA expression by alleviating NadR
dependent repression. Interestingly, two types of NadR 4HPA responsive activities
were found on different NadR targets corresponding to the two types of genes
identified by different promoter architectures: while NadA and the majority of
NadR targets (type I) are induced, only the MafA adhesins (type II) are
corepressed in response to the same 4HPA signal. This alternate behavior of NadR
was confirmed in a panel of strains in response to 4HPA and after incubation in
saliva. The in vitro NadR binding activity at type I and type II promoter regions
is differentially affected by 4HPA, suggesting that the nature of the NadR
binding sites may define the regulation to which they will be subjected. We
conclude that NadR coordinates a broad transcriptional response to signals
present in human saliva, mimicked in vitro by 4HPA, enabling the meningococcus to
adapt to the relevant host niche.
PMID- 22081400
TI - Functions of the duplicated hik31 operons in central metabolism and responses to
light, dark, and carbon sources in Synechocystis sp. strain PCC 6803.
AB - There are two closely related hik31 operons involved in signal transduction on
the chromosome and the pSYSX plasmid in the cyanobacterium Synechocystis sp.
strain PCC 6803. We studied the growth, cell morphology, and gene expression in
operon and hik mutants for both copies, under different growth conditions, to
examine whether the duplicated copies have the same or different functions and
gene targets and whether they are similarly regulated. Phenotype analysis
suggested that both operons regulated common and separate targets in the light
and the dark. The chromosomal operon was involved in the negative control of
autotrophic events, whereas the plasmid operon was involved in the positive
control of heterotrophic events. Both the plasmid and double operon mutant cells
were larger and had division defects. The growth data also showed a regulatory
role for the chromosomal hik gene under high-CO(2) conditions and the plasmid
operon under low-O(2) conditions. Metal stress experiments indicated a role for
the chromosomal hik gene and operon in mediating Zn and Cd tolerance, the plasmid
operon in Co tolerance, and the chromosomal operon and plasmid hik gene in Ni
tolerance. We conclude that both operons are differentially and temporally
regulated. We suggest that the chromosomal operon is the primarily expressed copy
and the plasmid operon acts as a backup to maintain appropriate gene dosages.
Both operons share an integrated regulatory relationship and are induced in high
light, in glucose, and in active cell growth. Additionally, the plasmid operon is
induced in the dark with or without glucose.
PMID- 22081401
TI - The prrAB two-component system is essential for Mycobacterium tuberculosis
viability and is induced under nitrogen-limiting conditions.
AB - The Mycobacterium tuberculosis prrA-prrB (Rv0903c-Rv0902c) two-component
regulatory system is expressed during intracellular growth in human macrophages
and is required for early intracellular multiplication in murine macrophages,
suggesting its importance in establishing infection. To better understand the
function of the prrA-prrB two-component system, we defined the transcriptional
characteristics of the prrA and prrB genes during exponential and stationary
growth and upon exposure to different environmental stresses and attempted to
generate a prrA-prrB deletion mutant. The prrA and prrB genes constitute an
operon and are cotranscribed during logarithmic growth, with transcriptional
levels decreasing in stationary phase and during hypoxia. Despite the
transcriptional differences, PrrA protein levels remained relatively stable
throughout growth and in hypoxia. Under conditions of nitrogen limitation, prrAB
transcription was induced, while acidic pH stress and carbon starvation did not
significantly alter transcript levels. Deletion of the prrAB operon on the
chromosome of M. tuberculosis H37Rv occurred only in the presence of an episomal
copy of the prrAB genes, indicating that this two-component system is essential
for viability. Characterization of the prrAB locus in M. tuberculosis Mt21D3, a
previously described prrA transposon mutant, revealed that this strain is not a
true prrA knockout mutant. Rather, Tn5367 transposon insertion into the prrA
promoter only decreased prrA and prrB transcription and PrrA levels in Mt21D3
compared to those in the parental Mt103 clinical strain. These data provide the
first report describing the essentiality of the M. tuberculosis prrAB two
component system and reveal insights into its potential role in mycobacterial
growth and metabolism.
PMID- 22081403
TI - Relative bioavailability of manganese proteinate for broilers fed a conventional
corn-soybean meal diet.
AB - An experiment was conducted to investigate the bioavailability of organic
manganese proteinate (Mn) relative to inorganic Mn sulfate for broilers fed a
conventional corn-soybean meal basal diet. A total of 448-day-old Arbor Acres
commercial male chicks were fed the Mn-unsupplemented basal diet (control) or
basal diet supplemented with 60, 120, or 180 mg Mn/kg from each Mn source. At 21
days of age, heart tissue was excised for testing DM, Mn concentration, manganese
superoxide dismutase (MnSOD) activity, and MnSOD mRNA level. The Mn
concentration, MnSOD activity, and MnSOD mRNA level in heart tissue increased (P
< 0.01) linearly as dietary manganese concentration increased. Based on slope
ratios from multiple linear regressions of the above three indices on added Mn
level, there was no significant difference (P > 0.21) in bioavailability between
Mn proteinate and Mn sulfate for broilers in this experiment.
PMID- 22081402
TI - Deficiency in riboflavin biosynthesis affects tetrapyrrole biosynthesis in
etiolated Arabidopsis tissue.
AB - Tetrapyrrole biosynthesis is controlled by multiple environmental and endogenous
cues. Etiolated T-DNA insertion mutants were screened for red fluorescence as
result of elevated levels of protochlorophyllide and four red fluorescent in the
dark (rfd) mutants were isolated and identified. rfd3 and rfd4 belong to the
group of photomorphogenic cop/det/fus mutants. rfd1 and rfd2 had genetic lesions
in RIBA1 and FLU encoding the dual-functional protein GTP cyclohydrolase II/3,4
dihydroxy-2-butanone-4-phosphate synthase and a negative regulator of
tetrapyrrole biosynthesis, respectively. RIBA1 catalyses the initial reaction of
the metabolic pathway of riboflavin biosynthesis and rfd1 contains reduced
contents of riboflavin and the flavo-coenzymes FMN and FAD. Transcriptome
analysis of rfd1 revealed up-regulated genes encoding nucleus-localized factors
involved in cytokinin signalling and numerous down-regulated LEA genes as well as
an auxin-inducible GH3 gene. Alteration of cytokinin metabolism of rfd1was
confirmed by elevated contents of active forms of cytokinin and stimulated
expression of an ARR6::GUS reporter construct. An etiolated quadruple ckx
(cytokinin oxidase) mutant with impaired cytokinin degradation as well as
different knockout mutants for the negative AUX/IAA regulators shy2-101 (iaa3),
axr2-1 (iaa7) and slr-1 (iaa14) showed also excessive protochlorophyllide
accumulation. The transcript levels of CHLH and HEMA1 encoding Mg chelatase and
glutamyl-tRNA reductase were increased in rfd1 and the AUX/IAA loss-of-function
mutants. It is proposed that reduced riboflavin synthesis impairs the activity of
the flavin-containing cytokinin oxidase, increases cytokinin contents and de
represses synthesis of 5-aminolevulinic acid of tetrapyrrole metabolism in
darkness. As result of the mutant analyses, the antagonistic cytokinin and auxin
signalling is required for a balanced tetrapyrrole biosynthesis in the dark.
PMID- 22081404
TI - Chromium, selenium, and zinc multimineral enriched yeast supplementation
ameliorates diabetes symptom in streptozocin-induced mice.
AB - Chromium, selenium, and zinc malnutrition has been implicated in the pathogenesis
of diabetic mellitus. This study aims to investigate the effects of novel
multiminerals-enriched yeast (MMEY) which are minerals supplementation containing
elevated levels of chromium, selenium, and zinc simultaneously in a diabetic
animal model. Streptozocin-induced diabetic male Balb/c mice (n = 80) were
randomly divided into diabetes control group and three treatment groups. They
were administrated oral gavages with low, medium, or high doses of MMEY,
respectively. Meanwhile, healthy male Balb/c mice (n = 40) of the same body
weight were randomly assigned into normal control group and high dose of MMEY
control group. After 8 weeks duration of treatment, the animals were sacrificed
by cervical dislocation. Serum glucose concentrations, lipid profiles,
oxidative/antioxidant, and immunity status were determined. No significant
adverse effects were observed in the high-dose MMEY control group. Treatment of
the diabetic mice with medium- or high-dose MMEY significantly decreased serum
glucose, triglyceride, total cholesterol, and malondialdehyde and increased high
density lipoprotein cholesterol, glutathione, and the activities of superoxide
dismutase and glutathione peroxidase. In addition, MMEY ameliorated the
pathological damage of the pancreatic islets, elevated the thymus or spleen
coefficient, and increased the expressions of interleukin-2 and -4 in spleen
lymphocytes compared with unsupplemented diabetic mice. In conclusion, these
results indicate that supplemental MMEY inhibits hyperglycemia, abates oxidative
stress, modulates disorders of lipid metabolism, and reduces the impairment of
immune function in diabetic mice; especially notable are the protective effects
of medium doses of MMEY on the islet cells of diabetic mice.
PMID- 22081405
TI - Zinc upregulates the expression of osteoprotegerin in mouse osteoblasts MC3T3-E1
through PKC/MAPK pathways.
AB - Zinc is an essential element for bone formation; however, its role in osteoblast
has not been well understood. In the present study, we hypothesized that zinc
could increase osteogenetic function by stimulating osteoblast proliferation and
osteoprotegerin (OPG) activity. To test this hypothesis, osteoblastic MC3T3-E1
cells were cultured and treated with various concentrations of zinc (0, 10, 30,
50, 70, 110, 130, and 150 MUM) for 24 and 48 h. 3-[4,5-dimethylthiazol-2-y]-2,5
diphenyltetrazolium bromide assay showed that cell proliferation was
significantly stimulated with 50 MUM zinc treatment. Furthermore, under the same
treatment condition, OPG expression was significantly increased as evidenced by
the results of RT-PCR and ELISA. However, the zinc-induced OPG expression was
significantly attenuated when MC3T3-E1 cells were co-treated with either protein
kinase C (PKC) inhibitor, GF109203X, or the Inhibitor of mitogen-activated
extracellular signal-regulated kinase 1 (MEK1), PD98059. Moreover, OPG expression
was further increased when MC3T3-E1 cells were treated with PMA (the activator of
protein of kinase C) in the presence of zinc. These results suggested that zinc
would increase osteogenic function by stimulating PKC and MAPK signaling
pathways.
PMID- 22081406
TI - Regulation of [3H]d-aspartate release by the 5-F(2t)-isoprostane and its 5-epimer
in isolated bovine retina.
AB - We have evidence that 15-F2-isoprostanes (15-F2-IsoPs) regulate excitatory
neurotransmitter release in ocular tissues. Although 5-F2-IsoPs are abundantly
produced in mammals, their pharmacological actions on neurotransmitter release
remain unknown. In the present study, we compared the effect of the 5-F2-IsoP
epimer pair, 5-F(2t)-IsoP (C5-OH in beta-position) and 5-epi-5-F(2t)-IsoP (C5-OH
in alpha-position), on K+-evoked [3H]D-aspartate release in isolated bovine
retina. We further examined the role of prostanoid receptors on the inhibitory
action of 5-epi-5-F(2t)-IsoP on [3H]D-aspartate overflow. Isolated bovine retina
were prepared for studies of K+-evoked release of [3H]D-aspartate using the
superfusion method. 5-epi-5-F(2t)-IsoP (0.01 nM to 1 MUM), attenuated K+-evoked
[3H]D-aspartate release in a concentration-dependent manner, with the inhibitory
effect of 26.9% (P < 0.001; IC25 = 0.2 MUM) being achieved at 1 MUM
concentration. Its 5-(S)-OH-epimer, 5-F(2t)-IsoP (0.1 nM-1 MUM), exhibited an
inhibitory biphasic action, yielding a maximal response of 35.7% (P < 0.001) at
10 nM concentration of the drug (IC25 value of 3 nM). Although the prostanoid
receptor antagonists, AH 6809 (10 MUM; EP1-3/DP) and BAY-u3405 (10 MUM; DP/Tx)
exhibited no effect on 5-epi-5-F(2t)-IsoP (10 nM-1 MUM)-mediated inhibition, SC
19220 (1 MUM; EP1) completely reversed 5-epi-5-F(2t)-IsoP (0.1 MUM and 1 MUM)
induced attenuation of K+-evoked [3H]D-aspartate release. Similarly, both SC
51322 (10 MUM; EP1 and AH 23848 (1 MUM; EP4) reversed the inhibitory action
elicited by 5-epi-5-F(2t)-IsoP (0.1 MUM) on the neurotransmitter release. We
conclude that the 5-F2-IsoP epimer pair, 5-F(2t)-IsoP and 5-epi-5-F(2t)-IsoP,
attenuate K+-induced [3H]D-aspartate release in isolated bovine retina presumably
via prostanoid receptor dependent mechanisms. The trans-orientation of the
allylic hydroxyl group at position C5 accounts for the apparent biphasic response
exhibited by 5-F(2t)-IsoP on excitatory neurotransmitter release.
PMID- 22081407
TI - Culturable bacterial communities on leaf sheaths and panicles of rice plants in
Japan.
AB - Culturable bacterial communities on rice plants were investigated from 2001 to
2003. In total, 1,394 bacterial isolates were obtained from the uppermost leaf
sheaths at 1 month before heading time and from leaf sheaths and panicles at
heading time. The average culturable bacterial population on the leaf sheaths was
larger at heading time than at 1 month previously. Furthermore, the population
was significantly larger on panicles than on leaf sheaths, suggesting that the
bacterial population is influenced by the organs of rice plants. Larger
proportions of bacteria were obtained from the macerates of leaf sheaths after
washing with phosphate buffer, and most culturable bacteria were verified to
inhabit the inside or inner surface, rather than the outer surface, of the
tissues. Verification of the bacterial composition based on 16S rRNA gene
sequences revealed that genera of Sphingomonas, Microbacterium, Methylobacterium,
and Acidovorax tended to be dominant colonizers on leaf sheaths, whereas
Pseudomonas and Pantoea were isolated mainly from the panicles, indicating that
leaf sheaths and panicles harbor distinct communities. Furthermore, the richness
of bacterial genera was less on both leaf sheaths and panicles at heading time
compared with that observed 1 month before heading time. Phylogenetic analyses
using bacterial isolates belonging to the four dominant genera inhabiting leaf
sheaths at heading time revealed that particular bacterial groups in each genus
colonized the leaf sheaths.
PMID- 22081408
TI - Dietary shifts and human health: cancer and cardiovascular disease in a
sustainable world.
AB - INTRODUCTION: Increasing evidence suggests that optimal food choice is critical
for sizable prevention of western diseases such as cardiovascular disease and
cancer. The Mediterranean diet is an important step in this direction. Moreover,
substantially lower rates of Western disease, even compared to Mediterranean
countries, have been observed among hunter-gatherers and other non-western
populations (Lindeberg 2010). Observational studies and controlled trials support
the notion that an evolutionary perspective is helpful when designing food models
for optimal human health. DISCUSSION: However, sustainable health for the
individual patient is not enough: environmental sustainability must also be
considered. Are fish and fruit sustainable for everyone? Are starchy root
vegetables a better option than cereal grains? Is locally produced meat an
underestimated wholesome food? These and other questions need to be addressed in
order to cut greenhouse gases and the consumption of (blue) water and
nonrenewable energy.
PMID- 22081409
TI - Acute brain damage induced by acetaminophen in mice: effect of diphenyl
diselenide on oxidative stress and mitochondrial dysfunction.
AB - Organoselenium compounds exhibit antioxidant activity, as well as a variety of
biological activities, with potential pharmacological and therapeutic
applications. The aim of this study was to investigate the effect of diphenyl
diselenide (PhSe)(2) in reversing oxidative brain damage and mitochondrial
dysfunction caused by administration of acetaminophen (APAP) in mice. Mice
received a toxic dose of APAP, followed by a dose of (PhSe)(2) 1 h later. Four
hours after the administration of APAP, plasma was withdrawn from the mice and
used for biochemical assays of aspartate aminotransferase (AST) and alanine
aminotransferase (ALT) as markers of hepatotoxicity. Brain homogenate was
examined to determine oxidative stress. Isolated brain mitochondria were examined
to quantify mitochondrial transmembrane's electrical potential and mitochondrial
swelling and to estimate reactive oxygen species (ROS) production. APAP
administration caused an increase in plasma ALT and AST activities. APAP
administration also caused a significant increase in the levels of thiobarbituric
acid reactive substances (TBARS) and dichlorofluorescein oxidation in brain
homogenate. Similarly, mitochondrial swelling and ROS production increased after
APAP administration. APAP treatment also caused a decrease in Na(+), K(+)- ATPase
activity and in mitochondrial membrane potential. These alterations observed in
the brain of APAP-treated mice were restored by (PhSe)(2). Glutathione levels
were decreased by APAP, but (PhSe)(2) did not reverse this change. Treatment with
(PhSe)(2) after APAP administration can reverse the neurotoxicity caused by a
single toxic dose of APAP. The neuroprotective effect of (PhSe)(2) is likely
associated with its antioxidant properties.
PMID- 22081410
TI - The effect of coenzyme Q10 supplementation on partner pregnancy rate in infertile
men with idiopathic oligoasthenoteratozoospermia: an open-label prospective
study.
AB - OBJECTIVE: It has been shown that coenzyme Q(10) (CoQ(10)) supplementation in men
with idiopathic oligoasthenoteratozoospermia (OAT) results in improved semen
parameters. In present study, we evaluated the effects of coenzyme CoQ(10)
supplementation on semen parameters and pregnancy rates in infertile men with
idiopathic OAT. PATIENTS AND METHODS: Two hundred and eighty-seven infertile men
with idiopathic OAT were recruited in this study. These patients were treated
with CoQ(10) 300 mg orally twice daily for 12 months. Two semen analyses and
determination of resting levels of sex hormones were done in all participants.
Patients were followed up for another 12 months after CoQ(10) discontinuation.
RESULTS: Mean sperm concentration, sperm progressive motility, and sperm with
normal morphology improved significantly after 12-month CoQ(10) therapy by 113.7,
104.8, and 78.9%, respectively (all Ps < 0.05). The overall pregnancy rate was
34.1% within a mean of 8.4 +/- 4.7 months. CONCLUSIONS: CoQ(10) supplementation
improves semen quality with beneficial effect on pregnancy rate.
PMID- 22081411
TI - The quality of life of mothers of children with monosymptomatic enuresis
nocturna.
AB - BACKGROUND: The purpose of this study was to assess the effect of monosymptomatic
nocturnal enuresis (MNE) on the quality of life of the mothers. METHODS: Mothers
who have a child with MNE (n = 60) and mothers who have a child without any
health problems (n = 90) were included in the study. Groups were similar for
background variables (child's age, gender, and number of siblings; mother's age
and marital status; and economic status of families and presence of health
insurance). The key question was to determine whether or not the difference
between the two groups in terms of the quality of life. All mothers underwent
Short-Form Health Survey (SF-36) Questionnaire, Spielberg's State-Trait Anxiety
Inventory (STAI), and the Beck Depression Inventory (BDI). RESULTS: All SF-36
subscales except social functioning were significantly lower in mothers of
enuretic children. The trait-anxiety score, the subcomponent of the STAI, was
higher in mothers who have a child with enuresis nocturna (P < 0.001). The mean
BDI score was higher in enuretic group (P < 0.001). There was no significant
correlation between SF-36, STAI, and BDI scores and child's age, gender, number
of siblings, and mother's age. CONCLUSION: This study shows that the quality of
life of the mothers was negatively affected by having a child with MNE.
PMID- 22081412
TI - Establishing the phylogenetic origin, history, and age of the narrow endemic
Viola guadalupensis (Violaceae).
AB - PREMISE OF THE STUDY: Climate change and shifts in land use are two major threats
to biodiversity and are likely to disproportionately impact narrow endemics.
Understanding their origins and the extent of their genetic diversity will enable
land managers to better conserve these unique, highly localized gene pools. Viola
guadalupensis is a narrow endemic of the Guadalupe Mountains (west Texas, USA).
Its affinities within Viola section Chamaemelanium have been the subject of some
debate. Furthermore, the polyploid and presumably reticulate relationships within
this section remain largely unknown. METHODS: We counted chromosomes for V.
guadalupensis. Phylogenies for the chloroplast trnL-F region and the low-copy
nuclear gene GPI for 24 Viola taxa were generated and used to produce a polyploid
phylogenetic network. Divergence dates were obtained by fossil calibration. KEY
RESULTS: Meiotic chromosome counts revealed that V. guadalupensis is tetraploid
(n = 12), and the presence of two GPI homoeologs further suggested
allotetraploidy. Phylogenetic reconstructions showed that it originated through
hybridization between unidentified members of subsection Canadenses (paternal
parent) and subsection Nuttallianae (maternal parent). A fossil-calibrated
relaxed clock dating analysis of GPI estimated the maximum age of V.
guadalupensis to be 8.6 (5.7-11.6) Myr, suggesting the species evolved after the
Guadalupe Mountains formed 12-13 Ma. CONCLUSIONS: Viola guadalupensis originated
by intersubsectional hybridization followed by polyploidization. Within section
Chamaemelanium, this phenomenon has occurred repeatedly in the last 9 Myr (at
least for V. bakeri, V. douglasii, V. glabella, and V. sempervirens).
Consequences for the systematics of the section are discussed.
PMID- 22081413
TI - Subcellular targeting and biosynthesis of cyclotides in plant cells.
AB - PREMISE OF THE STUDY: The cyclotide kalata B1 is found in the leaves of
Oldenlandia affinis and is a potent insecticidal and nematocidal molecule. This
peptide is cleaved from a precursor protein, Oak1, and ligation of the N- and C
termini occurs to form a continuous peptide backbone. The subcellular location of
the excision and cyclization reactions is unknown, and there is debate as to
which enzyme catalyzes the event. To determine where in the plant cell Oak1 is
processed, we prepared constructs encoding GFP (green fluorescent protein) linked
to the cyclotide precursor Oak1. METHODS: The GFP constructs were transiently
expressed in the leaves of Nicotiana benthamiana, and GFP fluorescence was
observed in living cells using confocal microscopy. A Fei Mao (FM) styryl dye was
infiltrated into whole leaves that were still growing and expressing GFP
constructs, enabling the plasma membrane and the tonoplast to be highlighted for
visualization of the vacuole in living cells. KEY RESULTS: The full length Oak1
precursor directed GFP to the vacuole, suggesting that excision and cyclization
of the cyclotide domain occurs in the vacuole where the cyclotides are then
stored. The N-terminal propeptide and N-terminal repeat of Oak1 were both
sufficient to target GFP to the vacuole, although the C-terminal propeptide,
which is essential for cyclization, was not a targeting signal. CONCLUSIONS: The
vacuolar location of cyclotides supports our hypothesis that the vacuolar
processing enzyme, asparaginyl endoproteinase, has a pivotal role in excision and
cyclization from cyclotide precursors.
PMID- 22081414
TI - Convergent evolution of a complex fruit structure in the tribe Brassiceae
(Brassicaceae).
AB - PREMISE OF STUDY: Many angiosperms have fruit morphologies that result in seeds
from the same plant having different dispersal capabilities. A prime example is
found in the Brassiceae (Brassicaceae), which has many members with segmented or
heteroarthrocarpic fruits. Since only 40% of the genera are heteroarthrocarpic,
this tribe provides an opportunity to study the evolution of an ecologically
significant novelty and its variants. METHODS: We analyzed nuclear (PHYA) and
plastid (matK) sequences from 66 accessions using maximum parsimony, maximum
likelihood, and Bayesian inference approaches. The evolution of heteroarthrocarpy
and its variants was evaluated using maximum parsimony and maximum likelihood
ancestral state reconstructions. KEY RESULTS: Although nuclear and plastid
phylogenies are incongruent with each other, the following findings are
consistent: (1) Cakile, Crambe, Vella, and Zilla lineages are monophyletic; (2)
the Nigra lineage is not monophyletic; and (3) within the Cakile clade, Cakile,
Didesmus, and Erucaria are paraphyletic. Despite differences in the matK and PHYA
topologies at both deep and shallow nodes, similar patterns of morphological
evolution emerge. Heteroarthrocarpy, a complex morphological trait, has evolved
multiple times across the tribe. Moreover, there are convergent transitions in
dehiscence capabilities and fruit disarticulation across the tribe. CONCLUSIONS:
We present the first explicit analysis of fruit evolution within the Brassiceae,
which exemplifies evolutionary lability. The repeated loss and gain of segment
dehiscence and disarticulation suggests conservation in the genetic pathway
controlling abscission with differential expression across taxa. This study
provides a strong foundation for future studies of mechanisms underlying
variation in dispersal capabilities of Brassiceae.
PMID- 22081415
TI - Competition between cytotypes changes across a longitudinal gradient in Centaurea
stoebe (Asteraceae).
AB - PREMISE OF THE STUDY: Polyploidy resulting from whole genome duplication has
contributed to the adaptive evolution of many plant species. However, the
conditions necessary for successful polyploid evolution and subsequent
establishment and persistence in sympatry with diploid progenitors are often
quite limited. One condition thought to be necessary for establishment is a
substantial competitive superiority of the polyploid. METHODS: We conducted a
pairwise competition experiment using diploid and tetraploid cytotypes of
Centaurea stoebe L. to determine whether (1) tetraploids have greater competitive
ability than diploids, (2) cytotypes from mixed-cytotype populations have more
balanced competitive abilities than single-cytotype populations, and (3)
competitive abilities change along a longitudinal gradient. KEY RESULTS: Across
sampling localities, tetraploids did not produce greater aboveground biomass than
diploids but suffered from greater intracytotypic competition. Tetraploids
allocated greater biomass belowground than diploids, regardless of competition
treatment, and had greater performance for traits associated with long-term
persistence (bolted more frequently and produced more accessory rosettes).
Competitive ability of tetraploids did not differ between single- and mixed
cytotype populations but varied along a longitudinal gradient. Tetraploids were
stronger intercytotypic competitors in Western Europe (Switzerland and Germany)
than in Eastern Europe (Hungary and Slovakia), which indicates that cytotype
coexistence may be more likely in Eastern Europe, the proposed origin of
tetraploids, than in Western Europe. CONCLUSIONS: Our study addresses the
importance of examining competitive interactions between cytotypes across their
distributional range, as competitive interactions were not consistent across
sampling localities.
PMID- 22081416
TI - [Transurethral enucleation of bladder paraganglioma].
AB - Paraganglioma of the urinary bladder is a rare neoplasm that derives from
ganglion cells located in the bladder wall and may morphologically simulate a
urothelial carcinoma. The authors present the case of a vesical paraganglioma
incidentally detected by ultrasound, and entirely removed by transurethral
approach from detrusorial wall. Conventional approaches include surgery (partial
cystectomy) or laparoscopic procedures, with inspection by transurethral
endoscopy; in this case we wanted to avoid the combined approach considering the
lesion diameter and the possibility of an easy removal.
PMID- 22081417
TI - [Laparoscopic adrenalectomy in giant masses].
AB - BACKGROUND: Laparoscopic adrenalectomy is considered the treatment of choice in
the surgical management of the most majority of the adrenal diseases.
Nevertheless, one of the much discussed topics is the dimensional cut-off for the
laparoscopic treatment and it is not clear if laparoscopy should be used in large
adrenal masses.Introduction. Laparoscopic adrenalectomy is the goal standard in
benign adrenal masses smaller than 6 cm, while its advantages in masses larger
than this cut-off and in malignant lesions is still discussed. MATERIALS AND
METHODS: We present six cases of laparoscopic adrenalectomy since November 2008
for masses between 7 and 15 cm; 4 men and 2 women. 3 right and 3 left. A complete
adrenal endocrinological evaluation demonstrated that the lesions were not
secreting tumors. All patients were studied with CT scan.The technique was
performed using a flank approach with a 45 degrees tilt. We used 5 trocars in
patients who had the masses on the right side, and 4 in those who had the lesions
on the left side. After creating an adequate pneumoperitoneum through an open
access, the posterior peritoneum cutting, mobilization of the colon, medial
dissection of the adrenal gland, and ligation of the main adrenal vein were
performed. The adrenal gland was carefully dissected by Ultracision. The mass was
extracted by endobag through an additional subcostal port. The mean operative
time was 120 minutes. Blood loss was about 50 cc. The drainage was removed on day
2 after surgery and the patient was discharged on day 3. No postoperative
complication occurred. The anatomopathologic exam gave evidence of myelolipoma
and hemorrhagic cyst. DISCUSSION: The benefits of the laparoscopic approach are
widely demonstrated and consist of a shorter hospital stay, reduced morbility,
decreased analgesic requirement, and reduced intraoperative blood loss. One of
the most discussed topics is the dimensional cut-off and it is not clear if the
laparoscopy approach should be used in large adrenal masses (considering the
longer operative time and increasing blood loss). Many surgeons performed
laparoscopic adrenalectomy for masses of up to 13 cm, thus demonstrating that
this procedure is safe and effective. A limitation of laparoscopic approach for
adrenal giant masses is the increased risk to treat an adrenal cortical
carcinoma. CONCLUSIONS: Our experience, supported by the literature, demonstrates
that the laparoscopic adrenalectomy is a feasible and effective surgical
technique also in the case of giant masses. Preoperative diagnosis has a
predominant role to determine the contraindication of this technique (invasive
adrenal carcinoma).
PMID- 22081418
TI - [A case of intravesical migration of an intrauterine device detected 14 years
later].
AB - We report the case of a patient arrived because of urological symptoms
characterized by terminal hematuria, strangury and dysuria due to migration into
the bladder of an intrauterine contraceptive device (IUD) placed 14 years before
and penetrated into the bladder with formation of lithiasis. These cases have
already been described in literature, but the particular feature of this case is
that the patient had been pregnant and had a eutocic delivery, she never
voluntarily removed the IUD and nor was it found during a gynecologic
laparoscopy. The patient underwent the surgical removal of the IUD and of the
bladder stone, without detecting adjacent connective routes between uterus and
bladder.
PMID- 22081419
TI - [Thrombosed aneurysm of a segmental renal artery branch. Diagnostic and
therapeutic approach].
AB - INTRODUCTION: We present the case of a 37-year-old man with a thrombosed aneurysm
of a segmental branch of the left renal artery, which was diagnosed after a
radiological investigation for colic-like pain, and treated conservatively with
endovascular approach. MATERIALS AND METHODS: After repeated episodes of colic
like pain in his left side with normal ultrasound of the urinary tract, A.R. (37
years old) undergoes a CT urogram of the abdomen, which shows a complete
thrombosis of the middle third of the left renal artery, which is associated with
hypoperfusion of the lower middle third of the kidney with suspected ischemia of
the lower pole. In confirmation of the previous clinical scenario, we proceed
with a urgent angiography, which identifies a pseudo-aneurysm, partially
thrombosed, of the segmental branch of the left renal artery at the lower middle
pole. During the hospitalization, the clinical picture is complicated by an
unstable arterial hypertension associated with headache and nausea. A renal
scintigraphy confirms a severe impairment of the renal function mainly at the
level of the middle third of the lower left kidney. The total glomerular
filtration rate sec. Gates was equal to 64.3 mL/min with a percentage breakdown
of the global renal function of 28% to the right and 72% to the left. The
location of the vascular defect argues for endovascular intervention in the
attempt to preserve the remaining renal parenchyma. We proceed with a standard
angiography with selective access to the left renal artery with a catheter via
femoral artery Cobra 5Fx80 TERUSMO cm. The tortuosity of the thrombus and the
angle of the aneurysm site prevent, despite several attempts, the passage of the
guide wire for a possible stenting and fibrinolysis. We opt for the placement of
5 spirals at the aneurysm (Boston Soft GDC-10 SR 360 7mm x 15cm), in order to
preserve the residual parenchyma, excluding the aneurysmal artery at risk of
rupture and extent of the thrombus. RESULTS: Immediately after the procedure, the
clinical picture remained stable with complete remission of painful symptoms and
with a good blood pressure control. At about 6 months, the renal scintigraphy
shows a filtered global impairment of 70%, 30% for the left kidney, a slight
improvement over the previous controls. The blood pressure remains within the
limits with amlodipine 5 mg. CONCLUSIONS: Renal artery aneurysms are uncommon and
occur in approximately 0.09% of the general population. The etiopathogenesis at a
young age is often dysplastic in nature and the diagnosis is made incidentally or
during evaluation of related symptoms, being asymptomatic until they become
complicated. Their treatment is proposed to prevent complications such as rupture
or thrombosis. Given the extreme variability of presentation, the surgical
technique, traditional or endoscopic, is at the surgeon's discretion. In our
case, we opted for a conservative approach since the degree of renal parenchyma
impairment and the patient's hemodynamic condition allowed to.
PMID- 22081420
TI - Ex vivo models for training in endourology: construction of the model and
simulation of training procedures.
AB - BACKGROUND: Training in endourology by ex vivo models is an effective tool for
unskilled urologists to get qualification. Urologists have different kinds of
training models at urological conferences, but, in order to get skilled, they
need models available at their own department. METHODS: The article describes how
to build an ex vivo porcine model to train on the main endourological procedures.
RESULTS: Questionnaires filled by the trainers attending the courses at our
department, over the last three years, have proved the high degree of
satisfaction about this kind of training system. CONCLUSIONS: Validation studies
about these models still lack. The growing interest about them requires
randomized controlled validation studies in the future, including large numbers
of participants.
PMID- 22081421
TI - [Multiple stones in atypical heterotopic reservoir in a patient with renal
transplant: endourologic resolution].
AB - BACKGROUND: Urolithiasis is a frequent complication in a heterotopic reservoir
and the surgical management could be a difficult problem. Open surgery is not
recommended in patients with multiple previous surgeries. A less invasive
technique, such as the endourologic procedures, would allow high stone-free rate
and low surgical morbidity. INTRODUCTION: Stone formation in the reservoir is a
well-known complication of urinary diversion. The incidence of lithiasis in
patients with continent urinary diversion is reported as 12-52.5%. Most patients
will have multiple physical factors, such as immobility, need for self
catheterization and poor urine drainage, so that it is not certain that an
intestinal reservoir is the cause of stones on its own. The management of
urolithiasis in continent urinary diversion can be challenging and could be a
difficult problem to solve. A less invasive technique, such as the endourologic
procedures, is desiderable, especially in patients with kidney transplant and low
immune defence. MATERIALS AND METHODS: We present the case of a 59-year-old woman
with previous history of spina bifida and with neurogenic bladder. At a pediatric
age, she underwent incontinent urinary diversion using a sigmo-colic conduit. For
several years she had been suffering from kidney stones and recurrent urinary
infections, which led to a left nephrectomy for pyonephrosis, subsequent
deterioration of renal function and dialysis. In 2004, we performed an atypical
continent and self-catheterizable reservoir using the previous colic conduit
detubularized and ileum-cecal tract with Mitrofanoff system conduit of 14 Fr
size. Finally, kidney transplant was carried out as last surgical procedure.
Recently she has come to our attention for multiple and large reservoir stones.
SURGICAL TECHNIQUE: preliminary exploration of the continent pouch with flexible
cystoscope. Percutaneous access with Endovision degrees direct control through
the afferent conduit with 8 Fr flexible ureteroscope. Dilation of percutaneous
tract with pneumatic balloon and positioning 30 Fr Amplats sheet. Lithotripsy,
with ultrasound and ballistic sources, was performed and the residual fragments
were removed with grasping. At the end of the procedure, after controlling the
complete clearance with flexible nephroscope and X-ray, a percutanous 12 Fr
catheter and a 12 Fr Foley in the Mitrofanoff conduit were inserted. RESULTS: No
fever or increase serum creatinine were observed in the post-operative time. On
day 3, we removed the percutaneous foley and after 7 days we performed a
cystography with a normal pouch configuration; no leakage or residual fragments
were observed. The woman was discarge and returned to usual self-catheterization.
The first 3-month post-operative control was regular; no infections or pain were
reported. CONCLUSIONS: In special cases, like this one, the percutaneous
procedure is preferred to open surgery for a best control of the pouch and a
simple complete clearence of the fragments.
PMID- 22081422
TI - [Endoscopic treatment with Wallgraft stenting of complete iatrogenic iliac
ureteral injury in a high-risk surgical patient].
AB - BACKGROUND: Injury of the ureter is a potential complication of any difficult
abdominopelvic surgical procedure, with an incidence ranging between 0.5% and 10%
in most series. The treatment depends on the severity and the place of the
lesion. The severe mid and upper ureteral injuries usually require complex
treatment procedures, which can be contraindicated in case of severe
comorbidities with high anesthesiologic risk. We report our experience in the
endoscopic treatment with Wallgraft stenting of complete iatrogenic iliac
ureteral injury in a high-risk surgical patient. METHODS: A 74-year-old female
patient was admitted at our department due to a complete lesion of the right
iliac ureter following right iliac artery aneurysm repair with iatrogenic ileal
injury. The patient was in coma, affected by severe respiratory insufficiency,
sepsis and uroperitoneum. These conditions contraindicated a general anesthesia
encumbering the positioning of a nephrostomy, and influenced our indication of
Wallgraft stent placement. The Wallgraft endoprosthesis (10 x 50 mm) is a self
expanding super-alloy metallic high flexible stent covered by PET, which can be
placed at the level of the lesion using fluoroscopy after introducing an
angiographic catheter and guidewire. This procedure is usually indicated in the
treatment of vascular lesions. RESULTS: After positioning the Wallgraft stent,
pyelography showed a complete closure of the lesion. The patient's clinical
condition improved quickly and remained stable at a follow-up of 45 months.
CONCLUSIONS: Although the Wallgraft stent positioning cannot be considered as a
standard treatment for all the complete ureteral lesions, it can be proposed in
the management of some patients with severe comorbidities, which do not allow any
other approach.
PMID- 22081423
TI - Surgery and target agents for renal cell carcinoma treatment: the path between
proper interaction.
AB - BACKGROUND: Renal cell carcinoma accounts for 3% of all solid tumors and
currently causes about 3,500 deaths/year in the UK. Once an orphan disease, it
has undergone an impressive change in its natural history with an improvement in
overall survival, thanks to the development of new target agents. INTRODUCTION:
In its management, renal cell carcinoma has been treated with both surgical and
medical approaches. Nowadays, many more drugs are available, especially in the
metastatic setting, so that we should reconsider the peculiar role of surgery and
its interaction with target agents. CONCLUSIONS: Cytoreductive nephrectomy still
plays a major role in the management of the disease, though no really solid data
have been still obtained. Adjuvant and neoadjuvant settings, instead, are still
under evaluation, especially new adjuvant therapies involving the numerous target
agents we have. Finally, metastasectomy has a controversial role, with some
evidence of more efficacy than the medical treatment, though it shows too many
biases to be considered certain. The picture that comes out suggests a complex
frame, in which we have great power to act, but in which we need to better
comprehend the interactions that could be created between surgery and medical
therapies, to achieve an optimal multimodal treatment for renal cell carcinoma.
PMID- 22081424
TI - Proteomic characterization of the late and persistent effects of cadmium at low
doses on the rat liver.
AB - To investigate the late and persistent effects of cadmium (Cd) at low doses on
the liver and its potential mechanisms, male Wistar rats were given i.p.
injection of Cd as CdCl2 at 20 nmol kg(-1) body weight every other day for 4
weeks. At weeks 20, 44 and 52, the livers from Cd-treated and age-matched control
rats were examined pathologically and biochemically. Chronic exposure of rats to
Cd at low doses induced mild pathological changes and persistent oxidative damage
as well as cell proliferation. Hepatic proteins were analyzed with two
dimensional electrophoresis (2-DE) and mass spectrometry. More than 1000 protein
spots were detected by 2-DE. Ten proteins were distinguishable between Cd-treated
and age-matched control groups at week 52 week after Cd treatment. Two of them
were significantly down-regulated: prohibitin (PHB) and d-dopachrome tautomerase
(DDT). By western blotting the down-regulated expression of PHB and DDT in the
livers of Cd-treated rats was confirmed in both early (week 20) and late (week
52) time points. To further examine the down-regulation of antioxidant status in
the Cd-treated livers, other common antioxidants, including superoxide dismutase
and glutathione and one metal detoxification specific protein metallothionein,
were also detected and found to be decreased, particularly at the late stage.
These results suggest that mild histopathological changes, persistent oxidative
damage and cell proliferation remained at the late stages (weeks 44-52) after
rats were exposed to low-dose Cd. These persistent changes may be associated with
the persistent down-regulation of cellular antioxidant systems.
PMID- 22081425
TI - MiR-34 modulates Caenorhabditis elegans lifespan via repressing the autophagy
gene atg9.
AB - Evidence for a regulatory role of the miR-34 family in senescence is growing.
However, the exact role of miR-34 in aging in vivo remains unclear. Here, we
report that a mir-34 loss-of-function mutation in Caenorhabditis elegans markedly
delays the age-related physiological decline, extends lifespan, and increases
resistance to heat and oxidative stress. We also found that RNAi against
autophagy-related genes, atg4, bec-1, or atg9, significantly reversed the
lifespan-extending effect of the mir-34 mutants. Furthermore, miR-34a inhibits
Atg9A expression at the post-transcriptional level in vitro, and the miR-34a
binding sequences in the 3'-UTR of Atg9A contributes to the modulation of Atg9A
expression by miR-34a. Our results demonstrate that the C. elegans mir-34
mutation extends lifespan by enhancing autophagic flux in C. elegans, and that
miR-34 represses autophagy by directly inhibiting the expression of the autophagy
related proteins Atg9 in mammalian cells.
PMID- 22081426
TI - A multimeasure approach to investigating affective appraisal of social
information in Williams syndrome.
AB - People with Williams syndrome (WS) have been consistently described as showing
heightened sociability, gregariousness, and interest in people, in conjunction
with an uneven cognitive profile and mild to moderate intellectual or learning
disability. To explore the mechanisms underlying this unusual social-behavioral
phenotype, we investigated whether individuals with WS show an atypical appraisal
style and autonomic responsiveness to emotionally laden images with social or
nonsocial content. Adolescents and adults with WS were compared to chronological
age-matched and nonverbal mental age-matched groups in their responses to
positive and negative images with or without social content, using measures of
self-selected viewing time (SSVT), autonomic arousal reflected in pupil dilation
measures, and likeability ratings. The participants with WS looked significantly
longer at the social images compared to images without social content and had
reduced arousal to the negative social images compared to the control groups. In
contrast to the comparison groups, the explicit ratings of likeability in the WS
group did not correlate with their SSVT; instead, they reflected an appraisal
style of more extreme ratings. This distinctive pattern of viewing interest,
likeability ratings, and autonomic arousal to images with social content in the
WS group suggests that their heightened social drive may be related to atypical
functioning of reward-related brain systems reflected in SSVT and autonomic
reactivity measures, but not in explicit ratings.
PMID- 22081427
TI - Glomerular expression of apelin and its association with proteinuria.
AB - OBJECTIVE: To investigate the distribution and expression of apelin in kidney of
adriamycin (ADR)-induced nephrotic rats, and to explore the possible association
of apelin expression with the development of proteinuria. METHODS:
Immunohistochemical staining for apelin was used to examine the expression and
distribution of apelin in kidney of adriamycin (ADR)-induced nephrotic rats at 7,
14, 21 and 28 d after adriamycin injection. The level of serum apelin at 7, 14,
21 and 28 d after ADR injection was also determined with ELISA methods. Moreover
the correlation of urine protein and glomerular expression of apelin was
analyzed. RESULTS: The apelin protein was immunohistochemically detected along
glomerular basement membrane (GBM) in the kidney of rats and significantly
increased compared with normal control rats after ADR injection. The levels of 24
h proteinuria and serum apelin were also elevated after ADR injection. Moreover
the production of proteinuria was correlated positively with the expression of
apelin in kidney tissue (rs = 0.9427, P < 0.01). CONCLUSIONS: The glomerular
expression of apelin increased significantly in ADR rats, which is correlated
with urine protein.
PMID- 22081428
TI - History of growth hormone therapy.
AB - The first human to receive GH therapy was in 1956; it was of bovine origin and
was given for 3 wk for metabolic balance studies revealing no effects. By 1958,
three separate laboratories utilizing different extraction methods retrieved hGH
from human pituitaries, purified it and used for clinical investigation. By 1959
presumed GHD patients were being given native hGH collected and extracted by
various methods. Since 1 mg of hGH was needed to treat one patient per day, >360
human pituitaries were needed per patient per year. Thus, the availability of hGH
was limited and was awarded on the basis of clinical research protocols approved
by the National Pituitary Agency (NPA) established in 1961. hGH was dispensed and
injected on a milligram weight basis with varied concentrations between batches
from 0.5 units/mg to 2.0 units/mg of hGH. By 1977 a centralized laboratory was
established to extract all human pituitaries in the US, this markedly improved
the yield of hGH obtained and most remarkably, hGH of this laboratory was never
associated with Creutzfeld-Jacob disease (CJD) resulting from the injection of
apparently prior- contaminated hGH produced years earlier. However, widespread
rhGH use was not possible even if a pituitary from each autopsy performed in the
US was collected, this would only permit therapy for about 4,000 patients. Thus,
the mass production of rhGH required the identification of the gene structure of
the hormone, methodology that began in 1976 to make insulin by recombinant
technology. Serendipity was manifest in 1985 when patients who had received hGH
years previously were reported to have died of CJD. This led to the
discontinuation of the distribution and use of hGH, at a time when a synthetic
rhGH became available for clinical use. The creation of a synthetic rhGH was
accompanied by unlimited supplies of hGH for investigation and therapy. However,
the appropriate use and the potential abuse of this hormone are to be dealt with.
The illegitimate use of rhGH, unequivocally the abuse by athletes is, and should
be, of primary concern to society and should be halted. The abuse of prescribing
rhGH in an attempt to retard the aging process also should receive attention.
PMID- 22081430
TI - New evidence for a role of MICA in the pathogenesis of systemic lupus
erythematosus: comment on the article by Yoshida et al.
PMID- 22081429
TI - An emerging role of degrading proteinases in hypertension and the metabolic
syndrome: autodigestion and receptor cleavage.
AB - One of the major challenges for hypertension research is to identify the
mechanisms that cause the comorbidities encountered in many hypertensive
patients, as seen in the metabolic syndrome. An emerging body of evidence
suggests that human and experimental hypertensives may exhibit uncontrolled
activity of proteinases, including the family of matrix metalloproteinases,
recognized for their ability to restructure the extracellular matrix proteins and
to play a role in hypertrophy. We propose a new hypothesis that provides a
molecular framework for the comorbidities of hypertension, diabetes, capillary
rarefaction, immune suppression, and other cell and organ dysfunctions due to
early and uncontrolled extracellular receptor cleavage by active proteinases. The
proteinase and signaling activity in hypertensives requires further detailed
analysis of the proteinase expression, the mechanisms causing proenzyme
activation, and identification of the proteinase substrate. This work may open
the opportunity for reassessment of old interventions and development of new
interventions to manage hypertension and its comorbidities.
PMID- 22081431
TI - Conditional deletion of Stat3 in mammary epithelium impairs the acute phase
response and modulates immune cell numbers during post-lactational regression.
AB - Mammary gland regression following weaning (involution) is associated with
extensive cell death and the acquisition of an inflammatory signature.
Characterizing the interplay between mammary epithelial cells, the re-emerging
stroma and immune cells has implications for the understanding of the
pathogenesis of pregnancy-associated breast cancer. Stat3 has a role in
orchestrating cell death and involution, and we sought to determine whether
expression of Stat3 by the mammary epithelium also influences the innate immune
environment and inflammatory cell influx in the gland. We examined mice in which
Stat3 is conditionally deleted only in the mammary epithelium. Distinct sets of
genes associated with the acute phase response and innate immunity are markedly
up-regulated during first phase involution in a Stat3-dependent manner. During
second phase involution, chitinase 3-like 1, which has been associated with wound
healing and chronic inflammatory conditions, is dramatically up-regulated by
Stat3. Also at this time, the number of mammary macrophages and mast cells
increases per unit area, and this increase is impaired in the absence of
epithelial Stat3. Furthermore, expression of arginase-1 and Ym1, markers of
alternatively activated macrophages, is significantly decreased in the absence of
Stat3, whilst iNOS, a marker associated with classically activated macrophages,
shows significantly increased expression in the Stat3-deleted glands. Thus, Stat3
is a key transcriptional regulator of genes associated with innate immunity and
wound healing and influences mammary macrophage and mast cell numbers. The
presence of epithelial Stat3 appears to polarize the macrophages and epithelial
cells towards an alternatively activated phenotype, since in the absence of
Stat3, the gland retains a phenotype associated with classically activated
macrophages. These findings have relevance to the study of pregnancy-associated
breast cancer and the role of Stat3 signalling in recruitment of alternatively
activated tumour-associated macrophages in breast cancer.
PMID- 22081432
TI - Two length variants of the microsatellite FH2295 as markers for body size of
female Portuguese water dogs.
AB - Genetic studies in purebred Portuguese water dogs (PWD) have previously
identified genetic loci controlling skeleton size. The FH2295 genetic marker was
reported to control 43.6% of the size variation in this breed. In the present
study, we amplified and sequenced the genomic DNA from female PWD of different
sizes in the region of the FH2295 genetic marker. Polymerase chain reaction (PCR)
products of 700 and 800 bp were generated and sequencing revealed the presence of
a microsatellite marker including either 5 or 24 repeats of the tetranucleotide
sequence "CTTT". Dogs were divided into groups based on their genotypes:
homozygote for the short allele (II) or homozygote for the long allele (BB) or
heterozygote (IB). The smallest dogs were homozygous with 24 repeats and the
largest dogs were homozygous with five repeats. Genetic transmission of the
microsatellite marker appears to follow Mendelian laws since all puppies born to
a homozygous small dog genotyped "BB" included one or two "B" allele. We applied
a PCR method to characterize the sequence of the previously identified dog
genetic marker FH2295 and propose that the length of the microsatellite
identified could be used as a predictor for the body size of female PWD.
PMID- 22081433
TI - Characterization of the hepatic cellular uptake of alpha(1) -acid glycoprotein
(AGP), part 1: a peptide moiety of human AGP is recognized by the hemoglobin beta
chain on mouse liver parenchymal cells.
AB - Human alpha(1) -acid glycoprotein (AGP), a serum glycoprotein, is known to have
anti-inflammatory activity. We recently reported that AGP was mainly incorporated
into the liver in mice via a receptor-mediated pathway, although the mechanism
for this was largely unknown. The objective of this study was to identify the
specific cellular surface protein that recognizes the peptide moiety of AGP.
Pharmacokinetic studies of (111) In-AGP and (111) In -recombinant glycan
deficient AGP (rAGP) in mice demonstrated that both AGPs are mainly distributed
to the liver and kidney, but hepatic and renal uptake clearance of rAGP was
higher than that for AGP. Hepatic uptake of rAGP was inhibited in the presence of
100-fold excess of unlabeled AGP, indicating that the hepatic uptake of rAGP
shared a common route with that of AGP and that it recognized the peptide moiety
of AGPs. In ligand blotting analyses using crude cellular membrane fraction of
mice liver, a band corresponding to a 16 kDa protein was observed to bind to both
AGPs. Interestingly, matrix-assisted laser desorption ionization-time-of-flight
mass spectrometry MALDI-TOF-MS and western blotting analyses indicated that this
16 kDa protein is the hemoglobin beta-chain (HBB). It, therefore, appears that
HBB is associated with the hepatic uptake of AGP via a direct interaction with
its peptide moiety.
PMID- 22081434
TI - Pruritus in primary myelofibrosis: clinical and laboratory correlates.
AB - Recent clinical trials with JAK or mammalian target of rapamycin (mTOR)
inhibitors in primary myelofibrosis (PMF) have identified pruritus as one of the
most treatment-responsive disease traits. However, little is known about the
prevalence of pruritus in PMF or its clinical and laboratory correlates. Among
566 consecutive patients with PMF seen at our institution, the presence or
absence of pruritus was documented in 90 (16%) and 146 (26%) patients,
respectively. Patients with pruritus were less likely to express MPLW515 (0% vs.
10%; P = 0.02) or leukopenia (8% vs. 24%; P = 0.002). The latter association was
more pronounced in the absence of JAK2 or MPL mutations. Pruritus also clustered
with marked leukocytosis (23% vs. 11%; P = 0.01) and JAK2V617F (71% vs. 59%; P =
0.08). Pruritus did not correlate with karyotype (P = 0.33), risk category per
the Dynamic International Prognostic Scoring System (DIPSS)-plus (P = 0.37),
DIPSS-plus-adjusted survival (P = 0.41), or leukemic transformation (P = 0.13).
Plasma levels of 20 cytokines, which are known to be abnormally expressed in PMF,
including IL-1b, IL-2R, IL-6, IL-8, and VEGF, were measured in 63 informative
cases and showed no correlations with history of pruritus. We conclude that
pruritus is relatively frequent in PMF and is prognostically irrelevant. The
pathogenesis of PMF-associated pruritus is not necessarily linked to
proinflammatory cytokines but may instead involve molecules that are either
granulocyte-derived or influence granulopoiesis. The apparently differential
effect of MPL vs. JAK2 mutations on pruritus requires further investigation.
PMID- 22081435
TI - Biowaiver monographs for immediate-release solid oral dosage forms: quinine
sulfate.
AB - The biowaiver approach permits evaluation of bioequivalence (BE) using a set of
laboratory tests, obviating the need for expensive and time-consuming
pharmacokinetic BE studies provided that both the active pharmaceutical
ingredient and the formulations can meet the specified criteria. In the present
monograph, the biowaiver-relevant data including solubility and permeability
data, therapeutic use and therapeutic index, pharmacokinetic properties, reported
excipient interactions, and BE/bioavailability studies of quinine sulfate are
itemized and discussed. Quinine sulfate has borderline solubility characteristics
and, on the whole, is highly permeable. Thus, depending on the jurisdiction, it
is assigned to Biopharmaceutics Classification System class I or II. Although
these characteristics would suggest a low risk of bioinequivalence among oral
quinine products, a recent pharmacokinetic study showed bioinequivalence of two
products. Even though quinine does not, strictly speaking, fit the definition of
a narrow therapeutic index drug, it shows dose-related and, in some cases,
irreversible side effects and toxicities at concentrations not far above the
therapeutic concentration range. Taking all relevant aspects into consideration,
a biowaiver cannot be recommended for new quinine immediate-release multisource
products or major post-approval changes of already marketed quinine products, and
in such cases, BE should be evaluated using an in vivo BE study.
PMID- 22081436
TI - Expression of Th17 cells in breast cancer tissue and its association with
clinical parameters.
AB - Th17 cells are newly identified effector CD4(+) T cells, which play an active
role in inflammation and autoimmune diseases and may be relevant for anti-tumor
defenses. In the present study, we examined expression of Th17 cells in specimens
of breast cancer tissue and its association with clinical, pathology, and
immunological parameters. Expression rates of Th17 and T regulatory (Treg) cells
in breast cancer and normal (i.e. non-cancerous) tissue were evaluated using flow
cytometry in 30 patients with breast carcinoma. Further, expression of
interleukin-17 (IL-17), interleukin-1beta (IL-1beta), and interleukin-6 (IL-6) in
breast cancer tissue was evaluated by immunohistochemical staining. Associations
between Th17 expression and other parameters were analyzed by multiple linear
regression analysis. We observed that expression of Th17 cells was significantly
higher in breast cancer compared to normal breast tissue. Further, expressions of
IL-17, IL-1beta, and IL-6 in cancer tissue positively correlated with expression
of Th17 cells. In addition, there was a negative association between the numbers
of Th17 cells and TNM stage, blood vessel invasion, and increased numbers of
metastatic lymph nodes. Finally, expression of Th17 was not associated with
expression of Treg. In conclusion, Th17 cells appear to be involved in anti-tumor
immune responses and are associated with a more favorable prognosis.
PMID- 22081437
TI - TNF-alpha increases bone marrow mesenchymal stem cell migration to ischemic
tissues.
AB - The objective of this study was to analyze the influence of TNF-alpha on rat
mesenchymal stem cells (MSCs) and to assess feasibility of MSC transplantation to
repair ischemic injury. In this study, adhesion molecules and cell specific
surface markers on MSCs were measured after exposure to different concentrations
of TNF-alpha. MSCs stimulated with varying concentrations of TNF-alpha were
cultured with aortic endothelial cells, and the adhesion rate was measured. MSCs
were then stimulated with an optimum concentration of TNF-alpha as determined in
vitro, and injected intravenously into rats with ischemic hind limb injury. The
number of MSCs in muscle samples from the ischemic area was counted. The results
showed that (1) TNF-alpha induced a concentration-dependent increase in VCAM-1
expression in MSCs, whereas the expression of L-selectin, ICAM-1 and VLA-4 did
not change significantly. Expression of MSC-specific antigens was unchanged. (2)
MSCs pretreated with 10 ng/ml TNF-alpha showed significantly increased adhesion
to endothelial cells in vitro, and accumulated to a greater extent in the areas
of ischemic damage in rat hind limbs. We were able to conclude that TNF-alpha has
no effect on expression of MSC-specific markers, but can increase the expression
of VCAM-1 on rat MSCs. Suitable concentrations of TNF-alpha can promote MSC
adhesion to endothelial cells and migration to damaged tissue.
PMID- 22081438
TI - Complications of implantable venous access devices in patients with sickle cell
disease.
AB - Implantable venous access devices (VADs) are used in sickle cell disease (SCD)
for patients with poor venous access to facilitate chronic blood transfusions and
manage acute complications. We attempted to define the frequency of bloodstream
infections (BSI) and thrombosis in adults and children with SCD and VADs. We
performed a single-institution, retrospective review of VAD-associated infection
and thrombosis in patients with SCD. Thirty-two patients (median age 20 years,
range, 1-59) had 86 VADs placed (median, 2.7 VADs per patient, range, 1-7) with a
total of 41,292 catheter days (median, 1,376 days; range, 323-3,999). Mean
catheter lifespan in adults (691 days +/- 123) was not significantly higher than
children (614 days +/- 154). A total of 66 VAD-associated BSI (1.59 infections
per 1,000 catheter days) occurred in 17 of 32 (53%) patients. Children with VADs
had fewer BSI (3 of 10; 30%) than adults (14 of 22; 64%, P = 0.08). 24 catheter
associated thromboses (0.49 thromboses per 1,000 catheter days) occurred in 10 of
32 (41%) of patients. Children also had fewer VAD-associated-thrombosis (1 of 10;
10%) than adults (9 of 22; 40%, P = 0.08). In conclusion, the use of VADs in SCD
was linked to a significant rate of infection and thrombosis.
PMID- 22081439
TI - A linear mixed model for predicting a binary event from longitudinal data under
random effects misspecification.
AB - The use of longitudinal data for predicting a subsequent binary event is often
the focus of diagnostic studies. This is particularly important in obstetrics,
where ultrasound measurements taken during fetal development may be useful for
predicting various poor pregnancy outcomes. We propose a modeling framework for
predicting a binary event from longitudinal measurements where a shared random
effect links the two processes together. Under a Gaussian random effects
assumption, the approach is simple to implement with standard statistical
software. Using asymptotic and simulation results, we show that estimates of
predictive accuracy under a Gaussian random effects distribution are robust to
severe misspecification of this distribution. However, under some circumstances,
estimates of individual risk may be sensitive to severe random effects
misspecification. We illustrate the methodology with data from a longitudinal
fetal growth study.
PMID- 22081440
TI - Sleep problems and risk of fibromyalgia: longitudinal data on an adult female
population in Norway.
AB - OBJECTIVE: Sleep problems are common among patients with fibromyalgia (FM).
However, it is not known whether poor sleep is a contributing factor in FM or a
consequence of the illness. The aim of the current study was to prospectively
investigate the association between self-reported sleep problems and risk of FM
among adult women. METHODS: We longitudinally studied 12,350 women who did not
have FM, musculoskeletal pain, or physical impairments at baseline (1984-1986). A
generalized linear model was used to calculate the adjusted relative risk (RR) of
FM at followup in 1995-1997. RESULTS: Incident FM was reported by 327 women at
followup. A dose-dependent association was found between sleep problems and risk
of FM (P for trend<0.001), with an adjusted RR of 3.43 (95% confidence interval
[95% CI] 2.26-5.19) among women who reported having sleep problems often or
always, compared to women who never experienced sleep problems. Age-stratified
analysis showed that women age>=45 years who reported having sleep problems often
or always had an adjusted RR of 5.41 (95% CI 2.65-11.05), whereas the
corresponding RR for women ages 20-44 years who reported having sleep problems
often or always was 2.98 (95% CI 1.76-5.05). CONCLUSION: These prospective data
indicate a strong dose-dependent association between sleep problems and risk of
FM. The association is somewhat, although not significantly, stronger in middle
aged and older women than in younger women.
PMID- 22081441
TI - Effect of short- and long-term strength exercise on cardiac oxidative stress and
performance in rat.
AB - Increase in heart metabolism during severe exercise facilitates production of ROS
and result in oxidative stress. Due to shortage of information, the effect of
chronic strength exercise on oxidative stress and contractile function of the
heart was assessed to explore the threshold for oxidative stress in this kind of
exercise training. Male Wistar rats (80) were divided into two test groups
exercised 1 and 3 months and two control groups without exercise. Strength
exercise was carried by wearing a Canvas Jacket with weights and forced rats to
lift the weights. Rats were exercised at 70% of maximum lifted weight 6
days/week, four times/day, and 12 repetitions each time. Finally, the hearts of
ten rats/group were homogenized and MDA, SOD, GPX, and catalase (CAT) were
determined by ELISA method. In other ten rats/group, left ventricle systolic and
end diastolic pressures (LVSP and LVEDP) and contractility indices (LVDP and
+dp/dt max) and relaxation velocity (-dp/dt max) were recorded. The coronary
outflow was collected. Short- and long-term strength exercise increased heart
weight and heart/BW ratio (P < 0.05). In the 3-month exercise group, basal heart
rate decreased (P < 0.05). LVEDP did not change but LVDP, +dp/dt max, -dp/dt max,
and coronary flow significantly increased in both exercise groups (P < 0.05).
None of MDA or SOD, GPX, and CAT significantly changed. The results showed that
sub-maximal chronic strength exercise improves heart efficiency without increase
in oxidative stress index or decrease in antioxidant defense capacity. These
imply that long-time strength exercise up to this intensity is safe for cardiac
health.
PMID- 22081442
TI - Different regulation role of myostatin in differentiating pig ADSCs and MSCs into
adipocytes.
AB - Myostation (MSTN), which is primarily expressed in muscle, plays an important
role in myogenic and adipogenic cells. However, there is little information about
whether MSTN displays different roles between adipose-derived stem cells (ADSCs)
and muscle satellite cells (MSCs). The two kinds of cells can both exist in the
muscle and differentiate into adiposities. In this research, we isolated ADSCs
and MSCs from porcine fat tissues and semitendinosus muscle, respectively, to
investigate the effect of MSTN on the adipogenesis of those cells. ADSCs and MSCs
were treated with recombinant human MSTN during the induction of adipogenesis or
before the induction of differentiation. Then, we evaluated adipogenesis by Oil
Red O staining and assessed the expression patterns of adipocyte-specific fatty
acid binding protein (aP2) and peroxisome proliferator-activated receptor (PPAR)
gamma using real-time polymerase chain reaction methods. Our results indicated
that the treatment with MSTN before or during the induction of differentiation in
MSCs could both inhibit the adipogenesis. However, the treatment with MSTN only
during the induction of differentiation in ADSCs could suppress the adipogenesis.
Those results showed that MSTN had different roles in the adipogenesis of ADSCs
and MSCs. It can shed new light on the origin of adipocyte located in muscle.
PMID- 22081443
TI - The role of diffusion tensor imaging in the study of cognitive aging.
AB - This chapter gives an overview of the role that diffusion tensor MRI (DTI) can
play in the study of cognitive decline that is associated with advancing age. A
brief overview of biological injury processes that impinge on the aging brain is
provided, and their overall effect on the integrity of neural architecture is
described. Cognitive decline associated with aging, and white matter connectivity
degradation as a biological substrate for that decline, is then described. We
then briefly describe the technology of DTI as a means for in vivo, non-invasive
interrogation of white matter connectivity, and relate it to FLAIR, a more
traditional MRI method for assessing white matter injury. We then survey the
existing findings on relationships between aging-associated neuropathological
processes and DTI measurements on one hand; and relationships between DTI
measurements and late-life cognitive function on the other. We conclude with a
summary of current research directions in relation to DTI studies of cognitive
aging.
PMID- 22081445
TI - Prognostic significance of CD56 antigen expression in patients with acute myeloid
leukemia.
AB - The aims of this study were to investigate the frequency and prognostic relevance
of CD56 expression in patients with acute myeloid leukemia (AML) and to compare
the importance of CD56 expression with standard prognostic factors, such as age,
leukocytosis, cytogenetic abnormalities and performance status. We analyzed the
data of 184 newly diagnosed patients with non-promyelocytic AML and a follow-up
of 36 months. The median patient age was 58 years, with a range of 18-79. CD56+
antigen was recorded in 40 patients (21.7%). CD56 + was the most significant risk
factor for OS: P = 0.05. The most significant factor for a poor rate of CR was
age >= 55 years (P = 0.001). CD56 positivity had no significant influence on CR
rate, but it was the most significant risk factor for disease-free survival (P =
0.005). The CD56 antigen is an independent prognostic risk factor, and its
presence should be measured regularly for a better prognostic assessment of
patients with AML.
PMID- 22081446
TI - Computed tomography scanning facilitates the diagnosis of sacroiliitis in
patients with suspected spondylarthritis: results of a prospective multicenter
French cohort study.
AB - OBJECTIVE: To assess the performance of computed tomography (CT) scanning for
ascertaining sacroiliitis in patients with suspected spondylarthritis (SpA).
METHODS: The Echography in Spondylarthritis French cohort consists of 489
patients with suspected SpA. At baseline, all patients underwent clinical
examination, HLA-B typing, and pelvic radiography. Pelvic CT scanning was
performed if sacroiliitis on radiography was considered uncertain or if patients
presented with buttock pain duration of >6 months. A set of 100 paired
radiographs and CT scans was read in a blinded manner by 2 radiologists, and the
kappa coefficient was used to assess their interreader reliability. One of the
radiologists read the 173 available pairs of radiographs and CT scans performed
at baseline. RESULTS: After training, interreader reliability was moderate for
sacroiliitis grading on radiographs (kappa = 0.59), excellent on CT scans (kappa
= 0.91), and excellent for ascertaining sacroiliitis on both radiographs (kappa =
1) and CT scans (kappa = 0.96). The first and second readers considered the
quality of imaging to be excellent in 66% and 67%, respectively, of the
radiographs (kappa = 0.88) and in 93% and 92%, respectively, of the CT scans
(kappa = 0.93). Concordance between radiographs and CT scans was low for
sacroiliitis grading (kappa = 0.08) or ascertainment (kappa = 0.16). Definite
sacroiliitis was ascertained on radiographs in 6 patients (3.5%) (confirmed by CT
scans in 4 patients) and on CT scans in 32 patients (18.5%). A history of uveitis
was associated with definite sacroiliitis on radiographs (P = 0.04) and CT scans
(P < 0.0001). CONCLUSION: Definite sacroiliitis was underestimated by
radiography, as compared to CT scanning. CT scanning should facilitate the
diagnosis of ankylosing spondylitis in patients with suspected SpA.
PMID- 22081447
TI - Robust discrimination of glioblastomas from metastatic brain tumors on the basis
of single-voxel (1)H MRS.
AB - This article investigates methods for the accurate and robust differentiation of
metastases from glioblastomas on the basis of single-voxel (1)H MRS information.
Single-voxel (1)H MR spectra from a total of 109 patients (78 glioblastomas and
31 metastases) from the multicenter, international INTERPRET database, plus a
test set of 40 patients (30 glioblastomas and 10 metastases) from three different
centers in the Barcelona (Spain) metropolitan area, were analyzed using a robust
method for feature (spectral frequency) selection coupled with a linear-in-the
parameters single-layer perceptron classifier. For the test set, a parsimonious
selection of five frequencies yielded an area under the receiver operating
characteristic curve of 0.86, and an area under the convex hull of the receiver
operating characteristic curve of 0.91. Moreover, these accurate results for the
discrimination between glioblastomas and metastases were obtained using a small
number of frequencies that are amenable to metabolic interpretation, which should
ease their use as diagnostic markers. Importantly, the prediction can be
expressed as a simple formula based on a linear combination of these frequencies.
As a result, new cases could be straightforwardly predicted by integrating this
formula into a computer-based medical decision support system. This work also
shows that the combination of spectra acquired at different TEs (short TE, 20-32
ms; long TE, 135-144 ms) is key to the successful discrimination between
glioblastomas and metastases from single-voxel (1)H MRS.
PMID- 22081449
TI - Fair and just or just fair? Examining models of government--not-for-profit
engagement under the Australian Social Inclusion Agenda.
AB - This paper explores the interrelationship between two contemporary policy
debates: one focused on the social determinants of health and the other on social
(inclusion) policy within contemporary welfare regimes. In both debates,
academics and policy makers alike are grappling with the balance between
universal and targeted policy initiatives and the role of local 'delivery'
organizations in promoting health and social equality. In this paper, we discuss
these debates in the context of a recent social policy initiative in Australia:
the Social Inclusion Agenda. We examine two proposed models of engagement between
the government and the not-for-profit welfare sector for the delivery of social
services. We conclude that the two models of engagement currently under
consideration by the Australian government have substantially different outcomes
for the health of disadvantaged communities and the creation of a more socially
inclusive Australia.
PMID- 22081448
TI - beta-Catenin determines upper airway progenitor cell fate and preinvasive
squamous lung cancer progression by modulating epithelial-mesenchymal transition.
AB - Human lung cancers, including squamous cell carcinoma (SCC) are a leading cause
of death and, whilst evidence suggests that basal stem cells drive SCC initiation
and progression, the mechanisms regulating these processes remain unknown. In
this study we show that beta-catenin signalling regulates basal progenitor cell
fate and subsequent SCC progression. In a cohort of preinvasive SCCs we
established that elevated basal cell beta-catenin signalling is positively
associated with increased disease severity, epithelial proliferation and reduced
intercellular adhesiveness. We demonstrate that transgene-mediated beta-catenin
inhibition within keratin 14-expressing basal cells delayed normal airway repair
while basal cell-specific beta-catenin activation increased cell proliferation,
directed differentiation and promoted elements of early epithelial-mesenchymal
transition (EMT), including increased Snail transcription and reduced E-cadherin
expression. These observations are recapitulated in normal human bronchial
epithelial cells in vitro following both pharmacological beta-catenin activation
and E-cadherin inhibition, and mirrored our findings in preinvasive SCCs.
Overall, the data show that airway basal cell beta-catenin determines cell fate
and its mis-expression is associated with the development of human lung cancer.
PMID- 22081450
TI - Hemosiderin-containing plasma cells.
PMID- 22081451
TI - The role of atomic level steric effects and attractive forces in protein folding.
AB - Protein folding into tertiary structures is controlled by an interplay of
attractive contact interactions and steric effects. We investigate the balance
between these contributions using structure-based models using an all-atom
representation of the structure combined with a coarse-grained contact potential.
Tertiary contact interactions between atoms are collected into a single broad
attractive well between the C(beta) atoms between each residue pair in a native
contact. Through the width of these contact potentials we control their tolerance
for deviations from the ideal structure and the spatial range of attractive
interactions. In the compact native state dominant packing constraints limit the
effects of a coarse-grained contact potential. During folding, however, the broad
attractive potentials allow an early collapse that starts before the native local
structure is completely adopted. As a consequence the folding transition is
broadened and the free energy barrier is decreased. Eventually two-state folding
behavior is lost completely for systems with very broad attractive potentials.
The stabilization of native-like residue interactions in non-perfect geometries
early in the folding process frequently leads to structural traps. Global mirror
images are a notable example. These traps are penalized by the details of the
repulsive interactions only after further collapse. Successful folding to the
native state requires simultaneous guidance from both attractive and repulsive
interactions.
PMID- 22081452
TI - Effect of lipopolysaccharide on alteration of phospholipids and their fatty acid
composition in spleen and thymus by in vitro metabolic labeling.
AB - Lipopolysaccharide (LPS) is an endotoxin, a potent stimulator of immune response
and induction of LPS leads to acute lung injury (ALI)/acute respiratory distress
syndrome (ARDS). ARDS is a life-threatening disease worldwide with a high
mortality rate. The immunological effect of LPS with spleen and thymus is well
documented; however the impact on membrane phospholipid during endotoxemia has
not yet been studied. Hence we aimed to investigate the influence of LPS on
spleen and thymus phospholipid and fatty acid composition by [(32)
P]orthophosphate labeling in rats. The in vitro labeling was carried out with
phosphate-free medium (saline). Time course, LPS concentration-dependent, pre-
and post-labeling with LPS and fatty acid analysis of phospholipid were
performed. Labeling studies showed that 50 ug LPS specifically altered the major
phospholipids, phosphatidylcholine and phosphatidylglycerol in spleen and
phosphatidylcholine in thymus. Fatty acid analysis showed a marked alteration of
unsaturated fatty acids/saturated fatty acids in spleen and thymus leading to
immune impairment via the fatty acid remodeling pathway. Our present in vitro
lipid metabolic labeling study could open up new vistas for exploring LPS-induced
immune impairment in spleen and thymus, as well as the underlying mechanism.
PMID- 22081453
TI - Passages 2012.
PMID- 22081455
TI - Heating-induced phase transition of bupropion hydrobromide polymorphs.
AB - Crystal phase transition and isothermal crystallization kinetics of bupropion
hydrobromide is studied by thermal analysis, X-ray powder diffractometry, and
scanning electron microscopy. As well known, bupropion hydrobromide has two
stable polymorphic forms, form I and form II, during the production. Here it is
found that form II will convert into form I with thermal treatment. Avrami
exponent n is evaluated to be around 2.0 in the range 170 degrees C-190 degrees
C, which indicates that the phase transition is a process of one-dimensional
nucleation growth. This viewpoint is also confirmed by microscope morphology
study. In addition, phase-transition active energy is calculated to be 239.4
kJ/mol, which means that a high energy barrier needs to be overcome to start up
the phase transition.
PMID- 22081456
TI - The agreement of left ventricular function parameters between (99m)Tc-tetrofosmin
gated myocardial SPECT and gated myocardial MRI.
AB - OBJECTIVE: The aim is to compare and evaluate the agreement of quantification of
left ventricular functional parameters obtained by two different methods, (99m)Tc
tetrofosmin gated myocardial perfusion SPECT (MPS) and cardiac magnetic resonance
imaging (CMR). METHODS: Ten healthy male volunteers participated. Gated MPS data
were acquired using 32 frames, which were also combined into 16- and 8-frame data
set for the investigation. Gated CMR data were acquired using 8, 16 and 32-frame
for the different sets. All examinations were conducted in resting and at
exercise conditions. Quantitative measurements of end-diastolic volume (EDV), end
systolic volume (ESV), left ventricular ejection fraction (LVEF), peak ejection
rate (PER), peak filling rate (PFR) and time to peak filling (TTPF) were done for
each study, respectively. Finally, we evaluated the concordance of parameters
between gated MPS and gated CMR by % difference and Bland-Altman plot analysis.
RESULTS: LVEF showed favorable concordance in both rest and exercise conditions
(% differences were around 10%). PER, PFR and TTPF also showed good concordances
in rest conditions, under 32-frame gated collections particularly (% differences
were around 10%). In exercise conditions, although the concordances were
relatively good, certain variances were noted (% differences were around 20-25%).
Regarding left ventricular volumes, the concordance were worse in both conditions
(% differences were around 30-40%). CONCLUSIONS: In quantifying of left
ventricular function parameter, gated CMR provides similar quantitative values
comparing with gated MPS except for ventricular volumes in rest conditions. In
contrast, there were certain variations except for LVEF in exercised
examinations. When we follow patients by the same cardiac parameters with CMR and
MPS, using parameters across the two modalities proved to be possible under rest
condition. However, it is limited at exercise condition.
PMID- 22081457
TI - A nuclear power plant accident in Fukushima: what should we do?
PMID- 22081459
TI - A multicenter phase II study of darinaparsin in relapsed or refractory Hodgkin's
and non-Hodgkin's lymphoma.
PMID- 22081458
TI - Solution versus gas-phase modification of peptide cations with NHS-ester
reagents.
AB - A comparison between solution and gas phase modification of primary amine sites
in model peptide cations with N-hydroxysuccinimide (NHS) ester reagents is
presented. In all peptides, the site of modification in solution was directed to
the N-terminus by conducting reactions at pH=5, whereas for the same peptides, a
lysine residue was preferentially modified in the gas phase. The difference in
pKa values of the N-terminus and epsilon-amino group of the lysine allows for a
degree of control over sites of protonation of the peptides in aqueous solution.
With removal of the dielectric and multiple charging of the peptide ions in the
gas phase, the accommodation of excess charge can affect the preferred sites of
reaction. Interaction of the lone pair of the primary nitrogen with a proton
reduces its nucleophilicity and, as a result, its reactivity towards NHS-esters.
While no evidence for reaction of the N-terminus with sulfo-NHS-acetate was noted
in the model peptide cations, a charge inversion experiment using
bis[sulfosuccinimidyl] suberate, a cross-linking reagent with two sulfo-NHS-ester
functionalities, showed modification of the N-terminus. Hence, an unprotonated N
terminus can serve as a nucleophile to displace NHS, which suggests that its lack
of reactivity with the peptide cations is likely due to the participation of the
N-terminus in solvating excess charge.
PMID- 22081461
TI - An unusual case of lumbar paravertebral miositis ossificans mimicking muscular
skeletal tumor.
AB - Several lesions have clinical and radiological characteristics mimicking muscular
skeletal tumor. Myositis ossificans usually presents a typical pattern making
biopsy unnecessary; nevertheless, in rare cases, neoplasm must be ruled out.
Biopsy is often sufficient to allow a diagnosis and a correct related treatment,
but, unfortunately, sometimes it may lead to erroneous treatment. We report an
unusual case of a lumbar paravertebral mass that had an MRI aspect similar to a
chondrosarcoma, a histology pattern based on biopsy compatible with neurinoma and
a definitive diagnosis of myosistis ossificans.
PMID- 22081462
TI - [The reception of the PPmP by readers and scientists].
PMID- 22081463
TI - [Developmental psychopathology of narcissistic disorders].
AB - For the development of pathological narcissism, personality traits - partially
genetically caused and derived from childhood experiences - play an important
role. Current studies on the developmental psychopathology of narcissistic
disorders are discussed with reference to the development of the self in
children. Different developmental pathways underlie the grandiose (overt) and the
vulnerable (covert) type of narcissistic disorders which are shown in 2 possible
models. In these models parental admiration and coldness as well as dominant and
manipulative behaviour lead to an overt subtype of narcissism, the experience of
maltreatment and an increased sensitivity for negative experiences lead to a
covert subtype of narcissism.
PMID- 22081464
TI - [Psychological complaints by academic level among students seeking counseling].
AB - There is a lack of detailed surveys on the mental health of students. German
university counseling centers have faced increasing demand, which is primarily
due to the newly introduced Bachelor/Master system. The data of 251 students who
consulted the Leipzig psychotherapeutic counseling center were examined with
regard to complaints, symptoms and interpersonal problems. Significant
differences were observed with respect to usage of the counseling center and
interpersonal problems. Master's degree and state examination candidates sought
counsel most often. Bachelor's degree students were least likely to seek help.
Magister and Bachelor candidates showed a higher level of symptoms and
interpersonal problems. Depression and work disruptions were the concerns
mentioned most frequently. The findings should be examined further using
additional samples. It should be clarified whether the likelihood of usage also
differs with other forms of psychological help.
PMID- 22081465
TI - [Assessment of communication skills with an OSCE among first year medical
students].
AB - In order to determine if first year medical students of a Medical Psychology and
Medical Sociology course have adopted basic physician patient communication
skills, we developed an appropriate Objective Structured Clinical Examination
(OSCE). The parcours took place in a pretest condition (n=182) and in the
following semester under test condition, now relevant for passing the course
(n=181). Reliability of the OSCE reached a medium degree (alpha=0.55/0.50).
Results of written examinations and OSCE scores were weakly correlated. The
correlation between task competence and patient-centeredness was higher in the
pretest than under test condition. Female students mostly achieved higher scores
than male students. Nearly all of the students (92%/97%) were in favor of
continuing this examination. Physician-patient communication is a process of high
complexity, but it can be tested by OSCE. Relevance for passing the course seems
to enhance the learning behaviour.
PMID- 22081466
TI - [Prevalence, comorbidity and severity of psychosomatic disorders in outpatients
with Turkish migration background].
AB - In this study the prevalence and comorbidity of mental disorders were examined
for the first time with the Structured Clinical Interview for DSM-IV (SCID-I) in
a consecutive sample of Turkish speaking patients (n=51). The symptom severity of
the depressiveness was measured with the Beck Depression Inventory (BDI), of the
somatoform complaints with the Screening for Somatoform Symptoms (SOMS) and of
the posttraumatic stress disorder (PTSD) with the Essen Trauma Inventory (ETI).
The most common current diagnoses were the somatization disorder (41.2%; n=21), a
single episode of major depression (37.3%; n=19) and the PTSD (31.4%; n=16). In
80.4% (n=41) of the patients at least one comorbid mental disorder was
documented. In comparison with German reference values the Turkish patients
showed a significant higher severity of the depressive and posttraumatic, however
not of the somatoform symptomatology.
PMID- 22081467
TI - [Diagnostic accuracy of the McLean Screening Instrument for borderline
personality disorder in an inpatient sample who seek a disorder-specific
treatment].
AB - Borderline personality disorder (BPD) is considered as the most prevalent
personality disorder in an inpatient setting. The McLean Screening Instrument for
Borderline Personality Disorder (MSI-BPD) was developed to identify individuals
with BPD reliably, valid and economically. To examine the discriminatory ability
and diagnostic efficiency of the German version of the MSI-BPD in a sample with
patients who meet probable BPD and seek a disorder-related inpatient treatment
program. Based on a sample (N=298) containing 178 BPD-patients (60%), the area
under the curve was AUC=0.70 (CI 95%: 0.647. 84% of individuals with BPD (sensitivity) and 39% of individuals
without BPD were identified correctly (specificity). Results suggest that a self
rated screening measure as the MSI-BPD should not be used in a sample with
patients who meet probable BPD.
PMID- 22081468
TI - [Goodbye to the single sided test].
PMID- 22081469
TI - [Interdisciplinary training course Psychocardiology in primary care].
PMID- 22081470
TI - The excess burden of osteoarthritis in the province of Ontario, Canada.
AB - OBJECTIVE: Little is known about the burden of osteoarthritis (OA) in Canada.
This study was undertaken to estimate the excess burden of OA in Ontario, the
largest province in Canada. METHODS: The records of Ontarian respondents to the
Canadian Community Health Survey (CCHS) who provided consent to data linkage were
linked to the Ontario Health Insurance Program physician claims database and the
Discharge Abstract Database Inpatient and Day Procedure databases. Patients with
OA (n = 1,474) were identified using CCHS 1.1 and the physician claims database.
To determine the excess burden of OA, a control group matched by age, sex, and
rural/urban status was created, with 3 controls per case (n = 4,422).
Sociodemographic and medical characteristics, health-related quality of life, and
1-year physician, day (outpatient) procedure, and hospitalization costs were
compared between the 2 groups. Regression analyses were performed to identify
predictors of medical characteristics, health utility, and cost. RESULTS: The
mean age of the OA patients and the control subjects was 66 years, and 74% of all
study subjects were women. Several differences were observed between patients
with OA and subjects without OA in terms of socioeconomic and medical
characteristics. On a scale of 0-1, the mean utility value associated with OA was
0.68, compared to 0.84 for the control group (P < 0.0001), representing a utility
decrement of 0.16. The 1-year physician, outpatient procedure, and
hospitalization costs were significantly higher in the OA group than in the non
OA group ($2,233 Canadian versus $1,033 Canadian, respectively; P < 0.0001).
CONCLUSION: These results indicate that the excess burden of OA in Ontario is
considerable.
PMID- 22081471
TI - The effect of an educational intervention on meperidine use in Nova Scotia,
Canada: a time series analysis.
AB - PURPOSE: To evaluate the impact of a prescriber focused individual educational
and audit-feedback intervention undertaken by the Nova Scotia Prescription
Monitoring Program (NSPMP) in March/April 2007 to reduce meperidine use. METHOD:
The NSPMP records all prescriptions for controlled substances dispensed in
community pharmacies in Nova Scotia, Canada. Oral meperidine use from 1 July 2005
to 31 December 2009 was examined using NSPMP data. Monthly totals for the
following were obtained: number of individual patients who filled at least one
meperidine prescription, number of prescriptions, and number of tablets
dispensed. Data were analyzed graphically to observe overall trends. The
intervention effect was estimated on the logarithmic scale with autocorrelations
over time modeled by an integrated autoregressive moving average model for each
outcome measure. RESULTS: An overall trend toward decreasing use from July 2005
to December 2009 was apparent for all three outcome measures. The intervention
was associated with a statistically significant reduction in meperidine use,
after adjusting for the overall long-term trend. Compared with the pre
intervention period, the monthly number of patients declined by 12% (p < 0.001;
95% confidence interval [CI] = 5%-18%), prescriptions by 10% (p < 0.001; 95%CI =
3%-17%), and tablets by 13.5% (p < 0.001, 95%CI = 6%-29%) in the post
intervention period. CONCLUSION: Given the risks associated with meperidine,
determining that this intervention successfully reduced meperidine use is
encouraging. This study highlights the potential for using population data such
as the NSPMP to evaluate the effectiveness of population-level interventions to
improve medication use, including professional, organizational, financial, and
regulatory initiatives.
PMID- 22081472
TI - Abnormal or delayed development of the posterior membranous area of the brain:
anatomy, ultrasound diagnosis, natural history and outcome of Blake's pouch cyst
in the fetus.
AB - OBJECTIVES: To review the normal and pathological development of the posterior
membranous area (PMA) in the fetal brain, to define sonographic criteria with
which to diagnose a Blake's pouch cyst (BPC) in the fetus and to review the
ultrasound features, associations and outcome of 19 cases of BPC seen at our
center over the last 5 years. METHODS: We conducted a MEDLINE search using the
terms 'Blake's pouch', with or without 'fourth ventricle' or '4(th) ventricle',
with or without 'roof' and identified articles describing normal and/or abnormal
development of the PMA, whether or not they were cited in the limited clinical
literature on BPC. A description of the normal and abnormal development of BPC
was derived by collating these articles. The clinical retrospective study
included 19 cases of posterior fossa anomalies with a final diagnosis of BPC seen
at our institution. The following variables were assessed: referral indication,
gestational age at diagnosis, ultrasound and magnetic resonance imaging (MRI)
findings, associated anomalies, natural history and pregnancy and neonatal
outcome. A transvaginal three-dimensional (3D) ultrasound examination was
performed in all cases and 15 cases underwent MRI. To confirm the diagnosis,
postnatal MRI, transfontanellar ultrasound or autopsy were available in all
cases. RESULTS: Among the 19 cases reviewed, referral indications were: suspicion
of vermian abnormality in 11 (58%) cases and other non-central nervous system
anomaly in eight (42%) cases. Sonographically, all cases showed the following
three signs: 1) normal anatomy and size of the vermis; 2) mild/moderate anti
clockwise rotation of the vermis; 3) normal size of the cisterna magna. On 3D
ultrasound, the upper wall of the cyst was clearly visible in 11/19 cases, with
choroid plexuses on the superolateral margin of the cyst roof. On follow-up, the
BPC had disappeared by 24-26 gestational weeks in six of the 11 cases which did
not undergo termination of pregnancy (TOP), and remained unaltered until birth in
the other five cases. There were associated anomalies in eight (42%) cases, in
five of which this consisted of or included congenital heart disease. Karyotype
was available in 14 cases, two of which were abnormal (both trisomy 21).
Regarding pregnancy outcome, there were eight (42%) TOPs, two (10%) neonatal
deaths and nine (48%) survivors. One neonate, in whom the BPC had disappeared by
the time of birth, had obstructive hydrocephaly confirmed. Another neonate was
diagnosed with Down syndrome after birth. Excluding the Down syndrome baby,
neurodevelopmental outcome was normal at the time of writing in all eight cases.
CONCLUSIONS: Based on our analysis of ultrasound features, we propose that for
BPC to be diagnosed in a fetus the following three criteria should be fulfilled:
1) normal anatomy and size of the vermis; 2) mild/moderate anti-clockwise
rotation of the vermis; 3) normal size of the cisterna magna. Furthermore, we
found that BPC can undergo delayed fenestration at 24-26 weeks in more than 50%
of cases. Finally, it seems that BPC shows a risk of association with
extracardiac anomalies (heart defects in particular) and, to a lesser extent,
trisomy 21.
PMID- 22081473
TI - Yield of routine molecular analyses in colorectal cancer patients <=70 years to
detect underlying Lynch syndrome.
AB - Although early detection of Lynch syndrome (LS) is important, a considerable
proportion of patients with LS remains unrecognized. We aimed to study the yield
of LS detection by routine molecular analyses in colorectal cancer (CRC) patients
until 70 years of age. We prospectively included consecutive CRC patients <=70
years. Tumour specimens were analysed for microsatellite instability (MSI),
immunohistochemical mismatch-repair protein expression and MLH1-promoter
methylation. Tumours were classified as either: (a) likely caused by LS; (b)
sporadic microsatellite-unstable (MSI-H); or (c) microsatellite-stable (MSS).
Predictors of LS were determined by multivariable logistic regression. A total of
1117 CRC patients (57% males, median age 61 years) were included. Fifty patients
(4.5%, 95% CI 3.4-5.9) were likely to have LS, and 71 had a sporadic MSI-H tumour
(6.4%, 95% CI 5.1-8.0). Thirty-five patients likely to have LS (70%) were aged >
50 years. A molecular profile compatible with LS was detected in 10% (15/144) of
patients aged <=50, in 4% (15/377) of those aged 51-60 and in 3% (20/596) of
patients > 61 years. Compared to MSS cases, patients likely to have LS were
significantly younger (OR 3.9, 95% CI 1.7-8.7) and more often had right-sided
CRCs (OR 14, 95% CI 6.0-34). In conclusion, molecular screening for LS in CRC
patients <=70 years leads to identification of a molecular profile compatible
with LS in 4.5% of patients, with most of them not fulfilling the age criterion
(<=50 years) routinely used for LS assessment. Routine use of MSI testing may be
considered in CRC patients up to the age of 70 years, with a central role for the
pathologist in the selection of patients.
PMID- 22081474
TI - Scheduled infliximab monotherapy to prevent recurrence of Crohn's disease
following ileocolic or ileal resection: a 3-year prospective randomized open
trial.
AB - BACKGROUND: Infliximab (IFX) is effective for remission induction and maintenance
of Crohn's disease (CD). This trial assessed the efficacy of scheduled
maintenance IFX monotherapy to prevent postoperative CD recurrence. METHODS:
Thirty-one CD patients who had ileocolic resection within the past 4 weeks were
randomly assigned to scheduled IFX at 5 mg/kg intravenously every 8 weeks for 36
months (n = 15) or without IFX (control, n = 16). All patients were treated
without immunomodulator or corticosteroid following surgery. The primary and
secondary endpoints were remission rates at 12 and 36 months, defined as CD
Activity Index (CDAI) <=150, an International Organization for the Study of
Inflammatory Bowel Disease (IOIBD) score <2, and C-reactive protein (CRP) <0.3
mg/dL. Additionally, endoscopic recurrences at 12 and 36 months were evaluated.
RESULTS: At 12 and 36 months, 100%, and 93.3% of patients in the IFX group were
in remission (IOIBD <2), respectively vs. 68.8% and 56.3% in the control arm (P <
0.03). Similarly, 86.7% and 86.7% of patients in the IFX group maintained
serological remission (CRP <0.3 mg/dL) vs. 37.5% and 37.5% in the control arm (P
< 0.02). Further, the IFX group achieved higher endoscopic remission at 12
months, 78.6% vs. 18.8% (P = 0.004). However, in the Kaplan-Meier survival
analysis the CDAI scores between the two arms were not significantly different
either at 12 or at 36 months. No adverse event (AE) was observed. CONCLUSIONS: An
early intervention with IFX monotherapy should prevent clinical, serological, and
endoscopic CD recurrence following ileocolic resection. Thiopurine naivety and
eliminating the initial loading dose of IFX might minimize serious AEs.
PMID- 22081475
TI - Systemic mastocytosis with associated clonal hematological non-mast-cell lineage
disease: a case review.
PMID- 22081476
TI - A temperature-dependent conformational change of NADH oxidase from Thermus
thermophilus HB8.
AB - Using molecular dynamics simulations and steady-state fluorescence spectroscopy,
we have identified a conformational change in the active site of a thermophilic
flavoenzyme, NADH oxidase from Thermus thermophilus HB8 (NOX). The enzyme's far
UV circular dichroism spectrum, intrinsic tryptophan fluorescence, and apparent
molecular weight measured by dynamic light scattering varied little between 25
and 75 degrees C. However, the fluorescence of the tightly bound FAD cofactor
increased approximately fourfold over this temperature range. This effect appears
not to be due to aggregation, unfolding, cofactor dissociation, or changes in
quaternary structure. We therefore attribute the change in flavin fluorescence to
a temperature-dependent conformational change involving the NOX active site.
Molecular dynamics simulations and the effects of mutating aromatic residues near
the flavin suggest that the change in fluorescence results from a decrease in
quenching by electron transfer from tyrosine 137 to the flavin.
PMID- 22081477
TI - Cloning and extracellular expression of inulin fructotransferase from
Arthrobacter aurescens SK 8.001 in E. coli.
AB - BACKGROUND: Difructose anhydride (DFA) III is a natural and low-calorie
sweetener. It stimulates the absorption of calcium and other minerals. Inulin
fructotransferase (IFTase; EC 4.2.2.18), catalysing inulin hydrolysis to DFA III,
is considered to be the most promising enzyme for the production of DFA III.
RESULTS: IFTase gene from Arthrobacter aurescens SK 8.001 was cloned and
sequenced. Transformant with native IFTase signal peptide was a useful system for
extracellular over-expression of IFTase, and its extracellular IFTase activity
reached 81.0 U mL(-1) . This value was 4.1-fold of that obtained with A.
aurescens SK 8.001 for IFTase production. The recombinant IFTase was purified to
electrophoretical homogeneity and characterized. The enzyme showed maximum
activity at pH 6.0 and 55 degrees C, and retained 81.3% of its initial activity
after incubation at 60 degrees C for 4 h. CONCLUSION: IFTase gene from A.
aurescens SK 8.001 was cloned, sequenced and over-expressed in E. coli. IFTase
was reported for the first time to be over-expressed extracellularly. The
recombinant IFTase was purified and characterized, and shown to be a good
candidate for potential application in DFA III production.
PMID- 22081478
TI - Novel furosemide cocrystals and selection of high solubility drug forms.
AB - Furosemide was screened in cocrystallization experiments with pharmaceutically
acceptable coformer molecules to discover cocrystals of improved physicochemical
properties, that is high solubility and good stability. Eight novel equimolar
cocrystals of furosemide were obtained by liquid-assisted grinding with (i)
caffeine, (ii) urea, (iii) p-aminobenzoic acid, (iv) acetamide, (v) nicotinamide,
(vi) isonicotinamide, (vii) adenine, and (viii) cytosine. The product crystalline
phases were characterized by powder x-ray diffraction, differential scanning
calorimetry, infrared, Raman, near IR, and (13) C solid-state NMR spectroscopy.
Furosemide-caffeine was characterized as a neutral cocrystal and furosemide
cytosine an ionic salt by single crystal x-ray diffraction. The stability of
furosemide-caffeine, furosemide-adenine, and furosemide-cytosine was comparable
to the reference drug in 10% ethanol-water slurry; there was no evidence of
dissociation of the cocrystal to furosemide for up to 48 h. The other five
cocrystals transformed to furosemide within 24 h. The solubility order for the
stable forms is furosemide-cytosine > furosemide-adenine > furosemide-caffeine,
and their solubilities are approximately 11-, 7-, and 6-fold higher than
furosemide. The dissolution rates of furosemide cocrystals were about two times
faster than the pure drug. Three novel furosemide compounds of higher solubility
and good phase stability were identified in a solid form screen.
PMID- 22081479
TI - A structural and functional dissection of the cardiac stress response factor MS1.
AB - MS1 is a protein predominantly expressed in cardiac and skeletal muscle that is
upregulated in response to stress and contributes to development of hypertrophy.
In the aortic banding model of left ventricular hypertrophy, its cardiac
expression was significantly upregulated within 1 h. Its function is postulated
to depend on its F-actin binding ability, located to the C-terminal half of the
protein, which promotes stabilization of F-actin in the cell thus releasing
myocardin-related transcription factors to the nucleus where they stimulate
transcription in cooperation with serum response factor. Initial attempts to
purify the protein only resulted in heavily degraded samples that showed distinct
bands on SDS gels, suggesting the presence of stable domains. Using a combination
of combinatorial domain hunting and sequence analysis, a set of potential domains
was identified. The C-terminal half of the protein actually contains two
independent F-actin binding domains. The most C-terminal fragment (294-375),
named actin binding domain 2 (ABD2), is independently folded while a proximal
fragment called ABD1 (193-296) binds to F-actin with higher affinity than ABD2
(KD 2.21 +/- 0.47 MUM vs. 10.61 +/- 0.7 MUM), but is not structured by itself in
solution. NMR interaction experiments show that it binds and folds in a
cooperative manner to F-actin, justifying the label of domain. The architecture
of the MS1 C-terminus suggests that ABD1 alone could completely fulfill the F
actin binding function opening up the intriguing possibility that ABD2, despite
its high level of conservation, could have developed other functions.
PMID- 22081480
TI - Test of the month: The chromogenic antifactor Xa assay.
AB - As the number of anticoagulant drugs increases and new ones are brought to
market, the utility of the routine screening coagulation tests of today--namely
the prothrombin time and activated partial thromboplastin time--will be
significantly reduced in many clinical situations. Although the new
anticoagulants are designed to require less frequent monitoring, it is imperative
that the proper test is selected in situations where monitoring is needed. In
addition, tests that are designed for the new generation of drugs may be
informative in certain situations for monitoring the anticoagulants that have
been in use for many years. Here, we present the chromogenic antifactor Xa assay
and demonstrate its utility and its limitations in monitoring three anticoagulant
drugs (unfractionated heparin, low molecular weight heparin, and fondaparinux) as
well as one emerging anticoagulant, rivaroxaban.
PMID- 22081481
TI - Efficacy and safety of saxagliptin in drug-naive Asian patients with type 2
diabetes mellitus: a randomized controlled trial.
AB - BACKGROUND: Few studies have assessed the use of new oral anti-diabetic agents in
Asian populations. This study assesses the efficacy and safety of saxagliptin
versus placebo in Asian patients with type 2 diabetes mellitus (T2DM). MATERIALS
AND METHODS: Five hundred sixty-eight drug-naive adult patients with T2DM and
glycated haemoglobin levels (HbA(1c)) of 7.0-10.0% (53-86 mmol/mol) were
randomized 1 : 1 to receive saxagliptin 5 mg daily or placebo. Efficacy endpoints
included changes from baseline to week 24 in HbA(1c) , fasting plasma glucose
(FPG), post-prandial glucose area under the curve from 0 to 180 min (PPG AUC(0
180)), and the proportion of patients achieving HbA(1c) <7.0% (53 mmol/mol).
Adverse events (AEs) and serious AEs (SAEs) were evaluated. RESULTS: Saxagliptin
provided statistically significant adjusted mean decreases from baseline to week
24 compared with placebo, respectively, in HbA(1c) (-0.84% [-9 mmol/mol] versus
0.34% [-4 mmol/mol]; p < 0.0001), FPG (-0.90 versus -0.17 mmol/L; p < 0.0001),
and PPG AUC(0-180) (-417 versus -235 mmol . min/L; p = 0.0010). A significantly
greater proportion of patients achieved a therapeutic glycaemic response (HbA(1c)
<7.0% [53 mmol/mol]) with saxagliptin (45.8%) versus placebo (28.8%; p < 0.0001).
The proportions of patients who experienced >=1 AE (excluding hypoglycaemia) was
43.3% for saxagliptin and 35.6% for placebo. Few patients in either treatment
group experienced an SAE (2.8%, saxagliptin; 1.4%, placebo). A low proportion of
patients reported hypoglycaemic events (1.8%, saxagliptin; 0.7%, placebo).
CONCLUSIONS: Saxagliptin improved glycaemic control and was well tolerated in
drug-naive Asian patients with T2DM.
PMID- 22081482
TI - Exploiting sparsity to accelerate noncontrast MR angiography in the context of
parallel imaging.
AB - Noncontrast techniques for peripheral MR angiography are receiving renewed
interest because of safety concerns about the use of gadolinium in patients with
renal insufficiency. One class of techniques involves subtraction of dark-blood
images acquired during fast systolic flow from bright-blood images obtained
during slow diastolic flow. The goal of this work was to determine whether the
inherent sparsity of the difference images could be exploited to achieve greater
acceleration without loss of image quality in the context of generalized
autocalibrating partially parallel acquisition (GRAPPA). It is shown that noise
amplification at high acceleration factors can be reduced by performing
subtraction on the raw data, before calculation of the GRAPPA weights, rather
than on the final magnitude images. Use of the difference data to calculate the
GRAPPA weights decreases the geometry factor (g-factor), because the difference
data represent a sparse image set. This demonstrates an inherent property of
GRAPPA and does not require the use of compressed sensing. Application of this
approach to highly accelerated data from healthy volunteers resulted in similar
depiction of large arteries to that obtained with low acceleration and standard
reconstruction. However, visualization of very small vessels and arterial
branches was compromised.
PMID- 22081483
TI - Proteome of formalin-fixed paraffin-embedded pancreatic ductal adenocarcinoma and
lymph node metastases.
AB - Pancreatic ductal adenocarcinoma (PDAC) is a major cause of cancer-related death,
largely due to metastatic disease. To better understand PDAC metastatic spread
and identify novel therapeutic targets, we analysed the proteome of primary
tumours and matched lymph node (LN) metastases. As frozen specimens of metastatic
lesions are scarce, we examined formalin-fixed paraffin-embedded (FFPE) tissues.
This poses technical challenges because of the cross-linkages induced by
fixation. Using laser capture microdissection (PALM system), we isolated
malignant epithelia from seven FFPE primary PDAC tumours and matched LN
metastases. Following dissection, samples were analysed in duplicate using
Multidimensional Protein Identification Technology (MudPIT); this resulted in the
identification of 1504 proteins, 854 of which were common to all samples
analysed. Comparison of the obtained proteins with data from previous proteomics
studies on pancreatic tissue, pancreatic juice, serum, and urine resulted in a
less than 30% overlap, indicating that our study has substantially expanded the
current database of proteins expressed in this malignancy. Statistical analysis
further showed that 115/854 proteins (13.5%) were significantly differentially
expressed (g-value >= 3.8). Two proteins, S100P and 14-3-3 sigma, with highly
significant g-values were confirmed to be significantly differentially expressed
(S100P: p = 0.05 and 14-3-3 sigma: p < 0.001) in a larger series of 55 cases of
matched primary PDAC and LN metastases using immunohistochemistry. Thus, laser
capture microdissection of FFPE tissue coupled with downstream proteomic analysis
is a valid approach for the investigation of metastatic PDAC. This is the first
study to establish and compare the protein composition of primary PDAC and
matched LN metastases, and has resulted in the identification of several
potential epithelial-specific therapeutic targets, including 14-3-3 sigma and
S100P.
PMID- 22081484
TI - Los(T) in long-term care: empirical evidence from German data 2000-2009.
AB - Using microdata, that is, representative samples of 114,403 German long-term care
dependants (LTCDs) observed from 2000 to 2009, we give a comprehensive insight
into the length of stay (LOS) in long-term care (LTC). Furthermore, this paper
evaluates the effects of longevity on the LOS, thus revisiting the debate on the
validity of the competing theories of compression or expansion of morbidity in
LTC. The analysis finds significant effects on the LOS when AGE is controlled
for, albeit do not confirm the time-to-death hypothesis. However, controlling for
ASSESSMENT LEVEL suggests an improved health status of LTCDs over time, thus
supporting the time-to-death hypothesis. An analysis of the mortality rates of
LTCDs is to give insight into the opposing results. But the regression of
mortality shows a divergence in the development of mortality rates for different
disability levels. This is evidence to suggest that the 'improved' health status
in LTC is not only due to actual changes in the health status, but also a
consequence of political intervention.
PMID- 22081485
TI - First-trimester diagnosis of cleft lip and palate using three-dimensional
ultrasound.
AB - OBJECTIVE: To determine whether systematic examination of primary and secondary
palates using three-dimensional (3D) ultrasound aids in the identification of
orofacial clefts in the first trimester. METHODS: 3D datasets were acquired
prospectively from women undergoing first-trimester ultrasound screening for
aneuploidy. Multiplanar mode display was used for offline analysis of (1) the
primary palate in the coronal plane at the base of the retronasal triangle and
(2) the secondary palate by virtual navigation in the axial plane. In addition,
3D datasets from three fetuses with a cleft palate diagnosed in the first
trimester were retrospectively identified and included randomly in the study
group. RESULTS: A total of 240 3D datasets from 237 pregnancies (including three
sets of twins), 89% of which were obtained transabdominally and 11%
transvaginally, were examined independently by three operators. The quality of
the 3D datasets was classified subjectively as good, fair and poor in 76%, 20%
and 4% of cases, respectively. Seven fetuses had an orofacial cleft; all involved
both the primary palate and the secondary palate. Using 3D offline analysis, the
primary palate was classified as intact in 229 (95%), cleft in nine (4%) and
indeterminate in two (1%). Seven of the nine fetuses suspected to have a cleft
affecting the primary palate had the cleft confirmed at birth or at postmortem
examination (false-positive rate 0.9% (2/231)). The secondary palate was
classified as intact in 217 (90%), cleft in six (3%) and indeterminate in 17
(7%). Clefts of the secondary palate were confirmed in all six suspected cases
and missed in one, which was diagnosed at 16 weeks. The visualization rate was
affected by the quality of the 3D dataset (P < 0.001) and gestational age at
evaluation (P < 0.01). CONCLUSION: In our series, all cases of clefting of the
primary palate and 86% of cases involving the secondary palate were visualized
using 3D ultrasound with a satisfactory false-positive rate. Virtual navigation
of the fetal palate using the multiplanar mode display seems to be useful in the
diagnosis of clefting in the first trimester.
PMID- 22081486
TI - Facile and large-scale fabrication of anisometric particles from fibers
synthesized by colloid-electrospinning.
AB - A new top-down approach is proposed to form large amounts of anisometric
particles. Multicompartment fibers that present different domains composed of
silica nanoparticles and larger polystyrene nanoparticles are fabricated by
colloid-electrospinning and are subsequently calcinated and broken. The obtained
fibers containing voids are subsequently cut via sonication to yield anisometric
particles. It is shown that the majority of the fibers can be broken at the
voids.
PMID- 22081487
TI - Retrospective analysis of weekly intravenous immunoglobulin prophylaxis versus
intravenous immunoglobulin by IgG level monitoring in hematopoietic stem cell
transplant recipients.
AB - Patients undergoing allogeneic hematopoietic stem cell transplant (allo HCT) have
a higher incidence of infections partly due to secondary hypogammaglobulinemia.
We evaluated the role of IVIG in allo HCT patients who received prophylactic IVIG
200 mg/kg once weekly regardless of IgG level (Group 1, n = 115) compared with
patients who received IVIG based on IgG level <400 mg/dL (Group 2, n = 114).
Primary endpoints were the utilization of IVIG, incidence of veno-occlusive
disease (VOD), graft-versus-host disease (GVHD), and documented infections within
the first 100 days after allo HCT. Patients in both groups were similar except
for a higher number of matched unrelated donor (MUD) transplants in Group 2 (62
vs. 41, P = 0.01). There were no significant differences in the incidence all
grades of GVHD (55 vs. 50), VOD (2 vs. 0) or infections in the two groups except
for a higher incidence of para-influenza infections in group 1 (9 vs. 0, P =
0.003) coinciding with the flu season. We recommend monthly monitoring of IgG
level and replacement only if IgG level is <400 mg/dL.
PMID- 22081488
TI - Compressibility of binary powder formulations: investigation and evaluation with
compaction equations.
AB - The purpose of this work was to investigate and evaluate the powder
compressibility of binary mixtures containing a well-compressible compound
(microcrystalline cellulose) and a brittle active drug (paracetamol and mefenamic
acid) and its progression after a drug load increase. Drug concentration range
was 0%-100% (m/m) with 10% intervals. The powder formulations were compacted to
several relative densities with the Zwick material tester. The compaction force
and tensile strength were fitted to several mathematical models that give
representative factors for the powder compressibility. The factors k and C
(Heckel and modified Heckel equation) showed mostly a nonlinear correlation with
increasing drug load. The biggest drop in both factors occurred at far regions
and drug load ranges. This outcome is crucial because in binary mixtures the drug
load regions with higher changeover of plotted factors could be a hint for an
existing percolation threshold. The susceptibility value (Leuenberger equation)
showed varying values for each formulation without the expected trend of decrease
for higher drug loads. The outcomes of this study showed the main challenges for
good formulation design. Thus, we conclude that such mathematical plots are
mandatory for a scientific evaluation and prediction of the powder compaction
process.
PMID- 22081489
TI - Long-term outcome of pomalidomide therapy in myelofibrosis.
AB - Ninety-four Mayo Clinic patients with myelofibrosis (MF) participated in two
consecutive clinical trials of pomalidomide (0.5-3.5 mg/day), with or without
prednisone. Overall anemia response was 27% and increased to 53% in JAK2V617F
positive patients with <10 cm palpable splenomegaly and <5% circulating blasts;
response rate was 0% in mutation-negative patients with either >=10 cm
splenomegaly or >=5% circulating blasts (P = 0.0001). Median duration of anemia
response was 16 months. Treatment effect on splenomegaly was negligible. To date,
pomalidomide therapy has been discontinued in 86 (91%) patients at a rate of 68%
at 1 year and 89% at 2 years. Grade 1 sensory neuropathy developed in 4 (13%) of
30 patients treated for >=1 year. Risk-adjusted survival in pomalidomide-treated
primary MF patients (n = 72) was similar to their counterparts not exposed to the
drug (n = 471; P = 0.19). Long-term follow-up of pomalidomide treatment in MF
reveals palliative value for a select group of patients and treatment-emergent
sensory neuropathy.
PMID- 22081490
TI - Clients' and therapists' views of the therapeutic alliance: similarities,
differences and relationship to therapy outcome.
AB - To better understand how clients' and therapists' views of the therapeutic
alliance differ and overlap, this study investigated, first, the components of
the alliance that are relevant to the therapy participants; second, their
relationship to post-therapy outcome; and third, the relationships between
participants' alliance constructs. To identify participants' views, exploratory
factor analyses were performed on clients' (n = 176) and therapists' (n = 133
observations) ratings of the Working Alliance Inventory (short form), the Helping
Alliance Questionnaire and the California Psychotherapy Alliance Scales and
conducted both on each measure separately and on the three measures combined. The
results of the separate analyses indicated in general poor correspondence between
the participant-derived components and each measure's a priori constructs.
Results of the joint analyses suggested that clients view the alliance in terms
of six basic components (Collaborative Work Relationship, Productive Work, Active
Commitment, Bond, Non-disagreement on Goals/Tasks and Confident Progress), five
of which were found to predict client-rated and/or therapist-rated post-therapy
outcome. Results for therapists suggested four basic components (Collaborative
Work Relationship, Therapist Confidence & Dedication, Client Commitment &
Confidence, Client Working Ability), of which three predicted post-therapy
outcome. Findings of significant, but modest to low moderate, correlations
between several client and therapist joint factors suggested that despite
similarities, the therapy partners' views of the alliance differ in important
ways. Compared with therapists, clients appear to place greater emphasis on
helpfulness, joint participation in the work of therapy and negative signs of the
alliance. Implications of these findings are discussed. KEY PRACTITIONER MESSAGE:
Therapists should not assume that their views of the therapeutic relationship and
therapeutic work are shared by their clients and are encouraged to seek the
client's feedback. Therapists may benefit from conveying that the client's
perspective on problems and relevant work is valued and that they are working
with the client as a team. Therapists may need to explicitly address how the
therapeutic work is helpful and conducive to desired changes.
PMID- 22081493
TI - Illuminating the early signaling pathway of a fungal light-oxygen-voltage
photoreceptor.
AB - Circadian clocks are molecular timekeepers encountered in a wide variety of
organisms, which allow to adapt the cell's metabolism and behavior to the daily
and seasonal periods. Their function is regulated by light-sensing proteins,
among which Vivid, a light-oxygen-voltage (LOV) sensitive domain of the fungus
Neurospora crassa, constitutes one of the most prominent examples. Although the
major photochemical and structural changes during the photocycle of this
photosensor have been elucidated through experimental means, its signal
transduction pathway is still poorly resolved at the molecular level. In this
article, we show through molecular dynamics simulation that the primary steps
after adduct formation involve a switch of Gln182 in vicinity of the chromophore
FAD (flavin-adenine-dinucleotide), followed by a coupling between the Ibeta- and
Hbeta-strands through H-bond formation between Gln182 and Asn161 as well as
subsequent weakening of the H-bonding interaction between the Ibeta- and Abeta
strands. These processes then induce a reorientation of the Abeta-Bbeta-loop with
respect to the protein core as well as a simultaneous contraction of the
partially unfolded alpha-helix onto the alpha-Abeta-linker at the Ncap. Finally,
we demonstrate through additional dimer simulations that the light-induced
conformational changes, observed in the monomeric case, play a decisive role in
controlling the dimerization tendency of Vivid with its partner domains and that
the light-state homodimer shows a much larger affinity for aggregation than the
dark state.
PMID- 22081494
TI - Bioactivity of short-chain aliphatic ketones against adults of the granary
weevil, Sitophilus granarius (L.).
AB - BACKGROUND: The granary weevil, Sitophilus granarius (L.), is one of the most
damaging pests of stored grains, causing severe quantitative and qualitative
losses. Sustainable control means, alternative to the commonly used fumigants and
broad-spectrum contact insecticides, are urgently needed owing to legislative
limits, the development of resistant insect strains and increasing consumer
demand for safe food. Short-chain aliphatic ketones, known to be emitted by
cereal grains and previously identified as repellents to adult granary weevils,
were evaluated for their ability to disrupt insect orientation towards wheat
grains and as possible natural fumigants. RESULTS: In behavioural bioassays, 2
pentanone, 2-hexanone, 2-heptanone and 2,3-butanedione significantly reduced
insect orientation towards odours of wheat grains, with 2-hexanone and 2
heptanone being the most active. In fumigation tests, all compounds were
effective in killing weevil adults, but they performed differently according to
chemical structure, speed of action and presence of wheat grains. In the presence
of grains, the highest fumigant toxicity was shown by 2-pentanone (LC(50) = 8.4
+/- 1.0 mg L(-1)) after 24 h exposure, and by 2-pentanone (LC(50) = 4.5 +/- 0.3
mg L(-1)), 2-heptanone (LC(50) = 7.1 +/- 0.3 mg L(-1) ) and 2-hexanone (LC(50) =
8.1 +/- 0.6 mg L(-1)) 1 week after the treatment end. CONCLUSION: Short-chain
aliphatic ketones have potential for applications in IPM programmes for the
granary weevil because of their behaviour-altering activity and fumigant
toxicity.
PMID- 22081495
TI - Molecular cytogenetic evaluation of the mechanism of genotoxic potential of
amsacrine and nocodazole in mouse bone marrow cells.
AB - The mechanism of genotoxic potential of the cancer chemotherapeutic drugs
amsacrine and nocodazole in mouse bone marrow was investigated using a
micronucleus test complemented by fluorescence in situ hybridization assay with
mouse centromeric and telomeric DNA probes. In animals treated with different
doses of amsacrine (0.5-12 mg kg(-1) ), the frequencies of micronucleated
polychromatic erythrocytes increased significantly after treatment with 9 and 12
mg kg(-1) . A statistically significant increase in micronuclei frequency was
also detected for 75 mg kg(-1) nocodazole (two exposures, spaced 24 h apart).
Both compounds caused significant suppressions of erythroblast proliferation at
higher doses. Furthermore, the present study demonstrated for the first time that
amsacrine has high incidences of clastogenicity and low incidences of
aneugenicity whereas nocodazole has high incidences of aneugenicity and low
incidences of clastogenicity during mitotic phases in vivo. The assay also showed
that chromosomes can be enclosed in the micronuclei before and after centromere
separation. Therefore, the clinical use of these genotoxic drugs must be weighed
against the risks of the development of chromosomal aberrations in cancer
patients and medical personnel exposed to drug regimens that include these
chemicals.
PMID- 22081496
TI - Interpretability and importance of functionals in competing risks and multistate
models.
AB - The basic parameters in both survival analysis and more general multistate
models, including the competing risks model and the illness-death model, are the
transition hazards. It is often necessary to supplement the analysis of such
models with other model parameters, which are all functionals of the transition
hazards. Unfortunately, not all such functionals are equally meaningful in
practical contexts, even though they may be mathematically well defined. We have
found it useful to check whether the functionals satisfy three simple principles,
which may be used as criteria for practical interpretability.
PMID- 22081497
TI - Spironolactone and colitis: increased mortality in rodents and in humans.
AB - BACKGROUND: Crohn's disease causes intestinal inflammation leading to intestinal
fibrosis. Spironolactone is an antifibrotic medication commonly used in heart
failure to reduce mortality. We examined whether spironolactone is antifibrotic
in the context of intestinal inflammation. METHODS: In vitro, spironolactone
repressed fibrogenesis in transforming growth factor beta (TGF-beta)-stimulated
human colonic myofibroblasts. However, spironolactone therapy significantly
increased mortality in two rodent models of inflammation-induced intestinal
fibrosis, suggesting spironolactone could be harmful during intestinal
inflammation. Since inflammatory bowel disease (IBD) patients rarely receive
spironolactone therapy, we examined whether spironolactone use was associated
with mortality in a common cause of inflammatory colitis, Clostridium difficile
infection (CDI). RESULTS: Spironolactone use during CDI infection was associated
with increased mortality in a retrospective cohort of 4008 inpatients (15.9% vs.
9.1%, n = 390 deaths, P < 0.0001). In patients without liver disease, the
adjusted odds ratio (OR) for inpatient mortality associated with 80 mg
spironolactone was 1.99 (95% confidence interval [CI]: 1.51-2.63) In contrast to
the main effect of spironolactone mortality, multivariate modeling revealed a
protective interaction between liver disease and spironolactone dose. The
adjusted OR for mortality after CDI was 1.96 (95% CI: 1.50-2.55) for patients
without liver disease on spironolactone vs. 1.28 (95% CI: 0.82-2.00) for patients
with liver disease on spironolactone when compared to a reference group without
liver disease or spironolactone use. CONCLUSIONS: We propose that discontinuation
of spironolactone in patients without liver disease during CDI could reduce
hospital mortality by 2-fold, potentially reducing mortality from CDI by 35,000
patients annually across Europe and the U.S.
PMID- 22081498
TI - Factors influencing bolus dwell times in healthy older adults assessed
endoscopically.
AB - OBJECTIVES/HYPOTHESIS: Scant data exist on normal bolus dwell time assessed
during flexible endoscopic evaluation of swallowing (FEES). The purpose of this
study was to examine bolus dwell time in healthy older adults. Because it has
been previously reported that some healthy older adults aspirate, we also sought
to determine if bolus dwell time varied as a function of aspiration status. STUDY
DESIGN: Prospective. METHODS: Seventy-six healthy volunteers from the seventh,
eighth, and ninth decades of life participated. Dwell times were analyzed via
FEES as a function of pharyngeal location, liquid type, delivery method, puree
type, viscosity, age, and gender. RESULTS: Longer dwell times were evidenced with
the eldest participants, straw delivery, and the smallest volume. Adults in the
ninth decade were 4.8 (P = .01) and 3.8 (P = .02) times more likely to have
longer dwell times at the vallecula and 7.1 (P = .002) and 3.8 (P = 0.02) at the
pyriform sinus than those in the seventh and eighth decades, respectively. Longer
dwell times at the vallecula and pyriform sinuses were 2 and 2.38 times (P <
.0001) more likely for straw than cup delivery, respectively. Boluses of 5 mL
were 1.5 times (P < .05) more likely to result in longer dwell times than larger
volumes. Bolus dwell times did not significantly differ as a function of
aspiration status. CONCLUSIONS: Advanced age, straw delivery, and small volumes
yielded longer dwell times. These variables should be considered before
diagnosing an abnormal bolus dwell time in elder patients.
PMID- 22081499
TI - Immunoregulatory defect in patients with active Crohn's disease.
PMID- 22081500
TI - Neuropathology of sporadic Parkinson's disease: evaluation and changes of
concepts.
AB - Parkinson's disease (PD), one of the most frequent neurodegenerative disorders,
is no longer considered a complex motor disorder characterized by extrapyramidal
symptoms, but a progressive multisystem or-more correctly-multiorgan disease with
variegated neurological and nonmotor deficiencies. It is morphologically featured
not only by the degeneration of the dopaminergic nigrostriatal system,
responsible for the core motor deficits, but by multifocal involvement of the
central, peripheral and autonomic nervous system and other organs associated with
widespread occurrence of Lewy bodies and dystrophic Lewy neurites. This results
from deposition of abnormal alpha-synuclein (alphaSyn), the major protein marker
of PD, and other synucleinopathies. Recent research has improved both the
clinical and neuropathological diagnostic criteria of PD; it has further provided
insights into the development and staging of alphaSyn and Lewy pathologies and
has been useful in understanding the pathogenesis of PD. However, many challenges
remain, for example, the role of Lewy bodies and the neurobiology of axons in the
course of neurodegeneration, the relation between alphaSyn, Lewy pathology, and
clinical deficits, as well as the interaction between alphaSyn and other
pathologic proteins. Although genetic and experimental models have contributed to
exploring the causes, pathomechanisms, and treatment options of PD, there is
still a lack of an optimal animal model, and the etiology of this devastating
disease is far from being elucidated.
PMID- 22081501
TI - Solid dispersions of alpha-mangostin improve its aqueous solubility through self
assembly of nanomicelles.
AB - alpha-Mangostin is an oxygenated heterocyclic xanthone with remarkable
pharmacological properties, but poor aqueous solubility and low oral
bioavailability hinder its therapeutic application. This study sought to improve
the compound's solubility and study the mechanism underlying solubility
enhancement. Solid dispersions of alpha-mangostin were prepared in
polyvinylpyrrolidone (PVP) by solvent evaporation method and showed substantial
enhancement of alpha-mangostin's solubility from 0.2 +/- 0.2 MUg/mL to 2743 +/-
11 MUg/mL. Fourier transform infrared spectroscopy and differential scanning
calorimetry indicated interaction between alpha-mangostin and PVP. Transmission
electron microscopy and dynamic light scattering showed self-assembly of round
anionic nanomicelles with particle size in the range 99-127 nm. Powder X-ray
diffraction indicated conversion of alpha-mangostin from crystalline into
amorphous state, and scanning electron microscopy showed the presence of highly
porous powder. Studies using the fluorescent probe pyrene showed that the
critical micellar concentration is about 77.4 +/- 4 MUg/mL. Cellular uptake of
nanomicelles was found to be mediated via endocytosis and indicated intracellular
delivery of alpha-mangostin associated with potent cytotoxicity (median
inhibitory concentration of 8.9 +/- 0.2 MUg/mL). Improved solubility, self
assembly of nanomicelles, and intracellular delivery through endocytosis may
enhance the pharmacological properties of alpha-mangostin, particularly antitumor
efficacy.
PMID- 22081502
TI - Novel 3D hexapod computer-assisted orthopaedic surgery system for closed
diaphyseal fracture reduction.
AB - BACKGROUND: Long-bone fractures are very common in trauma centers. The
conventional Arbeitsgemeindschaft fur Osteosynthesefragen (AO) technique
contributes to most fracture healing problems, and external fixation technology
also has several disadvantages, so new techniques are being explored. METHOD: A
novel hexapod computer-assisted fracture reduction system based on a 3D-CT image
reconstruction process is presented for closed reduction of long-bone diaphyseal
fractures. A new reduction technique and upgraded reduction device are described
and the whole system has been validated. RESULTS: Ten bovine femoral fracture
models were used with random fracture patterns. Tests results were as follows:
residual deviation 1.24 + 0.65 mm for the axial deflection, 1.19 + 0.37 mm for
the translation, 2.34 + 1.79 degrees for the angulation, and 2.83 + 0.9 degrees
for the rotation. CONCLUSION: The reduction mechanism has the advantages of high
positioning, reduction and computer accuracy, and intra-operative stability for
both patients and surgical team. With further investigation, it could be applied
in many kinds of long-bone diaphyseal fractures.
PMID- 22081503
TI - Determination of salbutamol and salbutamol glucuronide in human urine by means of
liquid chromatography-tandem mass spectrometry.
AB - The determination of salbutamol and its glucuronide in human urine following the
inhalative and oral administration of therapeutic doses of salbutamol
preparations was performed by means of direct urine injection utilizing liquid
chromatography-tandem mass spectrometry (LC-MS/MS) and employing d(3)-salbutamol
and d(3)-salbutamol glucuronide as internal standards. Unconjugated salbutamol
was detected in all administration study urine samples. Salbutamol concentrations
following inhalation were commonly (99%) below 1000 ng/ml whereas values after
oral administration frequently (48%) exceeded this threshold. While salbutamol
glucuronide was not detected in urine samples collected after inhalation of the
drug, 26 out of 82 specimens obtained after oral application contained salbutamol
glucuronide with a peak value of 63 ng/ml. The percentage of salbutamol
glucuronide compared to unconjugated salbutamol was less than 3%. Authentic
doping control urine samples indicating screening results for salbutamol less
than 1000 ng/ml, showed salbutamol glucuronide concentrations between 2 and 6
ng/ml, whereas adverse analytical findings resulting from salbutamol levels
higher than 1000 ng/ml, had salbutamol glucuronide values between 8 and 15 ng/ml.
The approach enabled the rapid determination of salbutamol and its glucuronic
acid conjugate in human urine and represents an alternative to existing
procedures since time-consuming hydrolysis or derivatization steps were omitted.
Moreover, the excretion of salbutamol glucuronide in human urine following the
administration of salbutamol was proven.
PMID- 22081504
TI - FLI1 is a novel ETS transcription factor involved in gene fusions in prostate
cancer.
AB - To characterize the pattern of ETS rearrangements and to uncover novel ETS fusion
genes, we analyzed 200 prostate carcinomas (PCa) with TaqMan low-density arrays
(TLDAs), followed by selective analyses with fluorescence in situ hybridization
(FISH), RT-PCR, and sequencing. Besides confirming the recurrent presence of ERG,
ETV1, ETV4, and ETV5 rearrangements, we here report FLI1 as the fifth ETS
transcription factor involved in fusion genes in prostate cancer. Outlier
expression of the FLI1 gene was detected by TLDAs in one PCa that showed relative
overexpression of FLI1 exons 4:5 as compared with FLI1 exons 2:3. A structural
rearrangement was found using FISH probes flanking the FLI1 gene and RT-PCR and
sequencing analyses showed fusion of SLC45A3 exon 1 with FLI1 exon 3.
Interestingly, we found four cases with two different ETS rearrangements in the
index tumor, thus revealing intratumor genetic heterogeneity. Correlation
analysis with clinico-pathological data showed association of ERG rearrangements
with locally advanced disease (pT3, P = 0.007) and MYC overexpression (P =
0.001), and association of ETV1 rearrangements with PTEN downregulation (P =
0.015). We report that FLI1 is a novel ETS transcription factor involved in gene
fusions in prostate cancer and that intratumor genetic heterogeneity of ETS
rearrangements can occasionally be found in index primary tumors.
PMID- 22081506
TI - Tunable lasing from a cholesteric liquid crystal film embedded with a liquid
crystal nanopore network.
AB - Continuous tuning of lasing wavelength is achieved in cholesteric liquid crystal
lasers by embedding a network of nanopores with an average size of 10 nm filled
with liquid crystals inside a polymerized matrix with helical order. The device
possesses both high transparency and a fast response time because the tuning is
driven by local reorientation of the liquid crystal molecules in the nanopores.
PMID- 22081505
TI - Traumatic stress in acute leukemia.
AB - OBJECTIVE: Acute leukemia is a condition with an acute onset that is associated
with considerable morbidity and mortality. However, the psychological impact of
this life-threatening condition and its intensive treatment has not been
systematically examined. In the present study, we investigate the prevalence and
correlates of post-traumatic stress symptoms in this population. METHODS:
Patients with acute myeloid, lymphocytic, and promyelocytic leukemia who were
newly diagnosed, recently relapsed, or treatment failures were recruited at a
comprehensive cancer center in Toronto, Canada. Participants completed the
Stanford Acute Stress Reaction Questionnaire, Memorial Symptom Assessment Scale,
CARES Medical Interaction Subscale, and other psychosocial measures. A
multivariate regression analysis was used to assess independent predictors of
post-traumatic stress symptoms. RESULTS: Of the 205 participants, 58% were male,
mean age was 50.1 +/- 15.4 years, 86% were recently diagnosed, and 94% were
receiving active treatment. The mean Stanford Acute Stress Reaction Questionnaire
score was 30.2 +/- 22.5, with 27 of 200 (14%) patients meeting criteria for acute
stress disorder and 36 (18%) for subsyndromal acute stress disorder. Post
traumatic stress symptoms were associated with more physical symptoms, physical
symptom distress, attachment anxiety, and perceived difficulty communicating with
health-care providers, and poorer spiritual well-being (all p < 0.05).
CONCLUSIONS: The present study demonstrates that clinically significant symptoms
of traumatic stress are common in acute leukemia and are linked to the degree of
physical suffering, to satisfaction with relationships with health-care
providers, and with individual psychological characteristics. Longitudinal study
is needed to determine the natural history, but these findings suggest that
intervention may be indicated to alleviate or prevent traumatic stress in this
population.
PMID- 22081507
TI - Abnormal eating behaviour in people with a specific phobia of vomiting
(emetophobia).
AB - OBJECTIVE: The aim of this study was to explore the eating behaviour in people
with a specific phobia of vomiting (SPOV), and whether those identified as
restricting their food had a greater degree of psychopathology and impairment
than those who do not restrict their food. METHOD: We recruited 94 participants
with SPOV. They were divided into those who reported restricting their food (SPOV
R) (n = 32) because of fear of vomiting and those who did not restrict their food
(SPOV-NR) (n = 62). RESULTS: People with SPOV frequently have abnormal eating
behaviours to reduce the perceived risk of vomiting. Only 3.7% had a body mass
index (BMI) of less than 17.5, and 8.5% had a BMI of less than 18.5. The SPOV-R
group had significantly higher frequency of psychopathology and abnormal eating
behaviours than the SPOV-NR group. DISCUSSION: Abnormal eating behaviour, BMI,
and the degree of food restriction are important factors in the assessment of
SPOV.
PMID- 22081508
TI - Signal amplification by magnetic force on polydiacetylene supramolecules for
detection of prostate cancer.
AB - A method in which a permanent magnet is introduced onto polydiacetylene (PDA)
vesicle chips is introduced for enhancement of the fluorescence of PDA vesicles.
This strategy can be applied to general antibody-based PDA vesicle chips to
detect clinically important biomarkers for disease diagnosis.
PMID- 22081510
TI - Familial adenomatous polyposis, suspected HNPCC, and Crohn's disease: two cases.
PMID- 22081509
TI - Matriptase protects against experimental colitis and promotes intestinal barrier
recovery.
AB - BACKGROUND: Matriptase is a membrane-anchored serine protease encoded by
suppression of tumorigenicity-14 (ST14) that is required for epithelial barrier
homeostasis. However, its functional role in inflammatory bowel disease (IBD) is
unexplored. METHODS: Matriptase expression in control, Crohn's disease, and
ulcerative colitis tissue specimens was studied by quantitative polymerase chain
reaction (qPCR) and immunostaining. Matriptase function was investigated by
subjecting St14 hypomorphic and control littermates to dextran sodium sulfate
(DSS)-induced colitis and by siRNA silencing in cultured monolayers. Mice were
analyzed for clinical, histological, molecular, and cellular effects. RESULTS:
Matriptase protein and ST14 mRNA levels are significantly downregulated in
inflamed colonic tissues from Crohn's disease and ulcerative colitis patients.
Matriptase-deficient St14 hypomorphic mice administered DSS for 7 days followed
by water without DSS for 3 days develop a severe colitis, with only 30% of the
St14 hypomorphic mice surviving to day 14, compared with 100% of control
littermates. Persistent colitis in surviving St14 hypomorphic mice was associated
with sustained cytokine production, an inability to recover barrier integrity,
and enhanced claudin-2 expression. Cytokines implicated in barrier disruption
during IBD suppress matriptase expression in T84 epithelial monolayers and
restoration of matriptase improves barrier integrity in the cytokine-perturbed
monolayers. CONCLUSIONS: These data demonstrate a critical role for matriptase in
restoring barrier function to injured intestinal mucosa during colitis, which is
suppressed by excessive activation of the immune system. Strategies to enhance
matriptase-mediated barrier recovery could be important for intervening in the
cycle of inflammation associated with IBD.
PMID- 22081511
TI - Estimating the prevalence of dementia: cognitive screening in Glasgow nursing
homes.
AB - OBJECTIVES: The purpose of this study is to establish existing levels of dementia
in nursing homes. DESIGN: The design was a randomised sample of every sixth
nursing home resident in Glasgow City. Cognitive testing of 341 residents was
carried out using the Standardised Mini Mental State Examination (SMMSE). For
clients who had difficulty participating, the Functional Assessment Staging Tool
(FAST) was used. Existing dementia diagnoses were compared with the prevalence
indicated through cognitive testing. MAIN OUTCOME MEASURES: Standardised Mini
Mental State Examination and the FAST were used. SETTING: This study was
conducted in the nursing homes in the Glasgow City Council Local Authority,
Scotland, UK (N = 49). Forty-eight homes participated in the study and one
declined. PARTICIPANTS: The participants were residents of the nursing homes in
Glasgow City, including those in existing dementia units (sample = 422 of
population 2532); 19 declined and 403 participated. RESULTS: Two hundred thirty
four (58%) residents already had a diagnosis of dementia written in their care
plans. One hundred twenty-eight residents (31.8%) without diagnosis of dementia
in their care plans scored within the range of possible dementia (less than 24/30
on the SMMSE). A group of 55 (13.6%) residents had no diagnosis of dementia but
had some type of cognitive impairment recorded in their notes and scored within
the range of possible dementia on the SMMSE. CONCLUSION: Existing levels of
dementia diagnosis are 58%. This study finds an additional 31.8% of residents
without a diagnosis of dementia who score within the range of possible dementia,
giving a ceiling of 89.8%. It appears that underdiagnosis of dementia exists
within this 31.8% group.
PMID- 22081512
TI - Determination of pelvic orientation from sparse ultrasound data for THA operated
in the lateral position.
AB - BACKGROUND: B-mode ultrasound imaging has the potential to replace conventional
percutaneous digitization of bony landmarks in navigated total hip arthroplasties
(THAs). For THAs operated in the lateral position, only one side of the pelvis is
freely accessible. This paper presents a new ultrasound to statistical shape
model (SSM) registration method for operating the pelvis in the lateral position,
based on sparse ultrasound images, which does not interfere with the clinical
routine in terms of redraping and repositioning the patient. METHODS: It is
proposed to use the mid-sagittal plane of the pelvis to symmetrically reflect
data derived from sparse B-mode ultrasound images of the ipsilateral patient side
to the contralateral side. A SSM of the pelvis is registered to the bilaterally
available data without additional information on the pubic tubercle region.
RESULTS: The feasibility and robustness of the proposed method were evaluated by
comprehensive in silico studies and laboratory experiments with plastic and dry
cadaveric pelvises, showing a mean error of 3.48 +/- 1.10 degrees for
anteversion angle and 1.26 +/- 1.62 degrees for inclination angle. CONCLUSION:
Experimental results demonstrate that the symmetry property of the pelvis could
be used for registration in the lateral position without accessing the error
prone pubic tubercle landmarks.
PMID- 22081513
TI - Angiogenic and antiangiogenic factors before and after resolution of maternal
mirror syndrome.
AB - Mirror syndrome is a rare condition that involves fetal hydrops, placentomegaly
and severe maternal edema. The pathogenesis of this syndrome mimics endothelial
dysfunction observed in pre-eclampsia. We report a case of maternal mirror
syndrome caused by bilateral fetal hydrothorax that resolved after intrauterine
pleuroamniotic shunt placement. At the time of the clinical manifestation there
was an antiangiogenic state similar to that seen in pre-eclampsia, which resolved
after fetal treatment. Our findings suggest that mirror syndrome is a
manifestation of a broad spectrum of pathological conditions that induces an
antiangiogenic state.
PMID- 22081514
TI - Aurelia aurita-Cnidarian with a prominent medusiod stage.
AB - Aurelia aurita has a complex life cycle that consists of several stages including
alternating generations of medusa and polyps, huge sexual, and tiny asexual
stages. Cnidarian is thought to possess two tissue layers: endoderm (gastroderm)
and ectoderm, which are separated by mesoglea in medusa. The determination of the
composition of the A. aurita jellyfish mesoglea was performed. New protein
"mesoglein" was determined as one of the main components of mesoglea. Mesoglein
is synthesized by mesogleal cells (Mc), which are populated A. aurita mesoglea as
a high molecular mass precursor. Mc are involved in the formation of
noncollagenous "elastic" fibers. Deduced amino acid sequence of mesoglein
contains Zona Pellucida (ZP) domain and Delta/Serrate/Lag-2 domain. According to
reverse transcription PCR, mesoglein is expressed in the mature medusa
exclusively in the Mc. The sperm binding to the ZP is particularly important for
successful fertilization. Antibodies against mesoglein stain the plate in the
place of contact of germinal epithelium and oocyte. The structure found was named
the "contact plate." The contact plate could be the precursor of the ZP. All our
data suggest that Mc and, probably, the whole mesoglea originate from the
epidermis (ectoderm). Computer search for mesoglein relatives reveals
Nematostella and Trichoplax proteins as predicted ORFs, indicating that ZP
proteins are quite ancient purchase in the evolution.
PMID- 22081515
TI - NHLBI asthma guidelines: no benefit for patients?
PMID- 22081516
TI - Mapping of homozygous deletions in verified esophageal adenocarcinoma cell lines
and xenografts.
AB - Human esophageal adenocarcinoma (EAC) cell lines and xenografts are powerful
tools in the search for genetic alterations because these models are composed of
pure human cancer cell populations without admixture of normal human cells. In
particular detection of homozygous deletions (HDs) is easier using these pure
populations of cancer cells. Identification of HDs could potentially lead to the
subsequent identification of new tumor suppressor genes (TSGs) involved in
esophageal adenocarcinogenesis. Genome wide single nucleotide polymorphism (SNP)
arrays were used to identify HDs in 10 verified EAC cell lines and nine EAC
xenografts. In total, 61 HDs (range 1-6 per sample) were detected and confirmed
by polymerase chain reaction. Besides HDs observed in common fragile genomic
regions (n = 26), and gene deserts (n = 8), 27 HDs were located in gene
containing regions. HDs were noted for known TSGs, including CDKN2A, SMAD4 and
CDH3/CDH1. Twenty-two new chromosomal regions were detected harboring potentially
new TSGs involved in EAC carcinogenesis. Two of these regions of homozygous loss,
encompassing the ITGAV and RUNX1 gene, were detected in multiple samples
indicating a potential role in the carcinogenesis of EAC. To exclude culturing
artifacts, these last two deletions were confirmed by fluorescent in situ
hybridization in the primary tumors of which the involved cell lines and
xenografts were derived. In summary, in this report we describe the
identification of HDs in a series of verified EAC cell lines and xenografts. The
deletions documented here are a step forward identifying the key genes involved
in EAC development.
PMID- 22081517
TI - Elevated sweat chloride concentration in children without cystic fibrosis who are
receiving topiramate therapy.
AB - BACKGROUND: Topiramate, which is used as an anticonvulsant and for migraine
prophylaxis in children, causes oligohydrosis as a side-effect, but its effect on
sweat chloride concentrations has not been studied systematically. METHODS:
Twenty-one children receiving topiramate and 20 healthy controls with no signs or
symptoms of pulmonary or gastrointestinal disease and a negative family history
for cystic fibrosis (CF) underwent bilateral pilocarpine iontophoresis and sweat
collection via Macroduct(r) system. RESULTS: Adequate samples (>15 ul volume)
were obtained from 17/19 topiramate subjects (89%), and 19/20 (95%) controls. The
mean sweat chloride concentration was 37.7 +/- 18.8 mmol/L for patients receiving
topiramate, and 15.9 +/- 6.9 mmol/L for controls (p = 0.0001). The mean sweat
volume was 29.1 +/- 17.4 ul for patients receiving topiramate, and 41.2 +/- 17.5
ul for controls (p = 0.037). Overall 8/17 (47%) of patients on topiramate with a
measurable sweat chloride had either an intermediate (>40 mmol/L but <60 mmol/L)
or elevated (>60 mmol/L) sweat chloride test result, while 0/19 control subjects
had elevated sweat chloride (p = 0.0008). Further analysis of the in vitro
activity of topiramate on cultured human bronchial epithelial cells in modified
Ussing chambers showed no differences in chloride conductance measured in cells
exposed to 10 or 50 ug/ml of topiramate when compared to non-exposed cells.
CONCLUSIONS: This is the first report of a medication affecting sweat chloride
values and shows that topiramate therapy can cause elevated sweat chloride
concentrations in the absence of clinical manifestations of CF.
PMID- 22081520
TI - Representing and comparing protein folds and fold families using three
dimensional shape-density representations.
AB - The question of how best to compare and classify the (three-dimensional)
structures of proteins is one of the most important unsolved problems in
computational biology. To help tackle this problem, we have developed a novel
shape-density superposition algorithm called 3D-Blast which represents and
superposes the shapes of protein backbone folds using the spherical polar Fourier
correlation technique originally developed by us for protein docking. The utility
of this approach is compared with several well-known protein structure alignment
algorithms using receiver-operator-characteristic plots of queries against the
"gold standard" CATH database. Despite being completely independent of protein
sequences and using no information about the internal geometry of proteins, our
results from searching the CATH database show that 3D-Blast is highly competitive
compared to current state-of-the-art protein structure alignment algorithms. A
novel and potentially very useful feature of our approach is that it allows an
average or "consensus" fold to be calculated easily for a given group of protein
structures. We find that using consensus shapes to represent entire fold families
also gives very good database query performance. We propose that using the notion
of consensus fold shapes could provide a powerful new way to index existing
protein structure databases, and that it offers an objective way to cluster and
classify all of the currently known folds in the protein universe.
PMID- 22081519
TI - Considerations in high-resolution skeletal muscle diffusion tensor imaging using
single-shot echo planar imaging with stimulated-echo preparation and sensitivity
encoding.
AB - Previous studies have shown that skeletal muscle diffusion tensor imaging (DTI)
can noninvasively probe changes in the muscle fiber architecture and
microstructure in diseased and damaged muscles. However, DTI fiber reconstruction
in small muscles and in muscle regions close to aponeuroses and tendons remains
challenging because of partial volume effects. Increasing the spatial resolution
of skeletal muscle single-shot diffusion-weighted echo planar imaging (DW-EPI)
can be hindered by the inherently low signal-to-noise ratio (SNR) of muscle DW
EPI because of the short muscle T(2) and the high sensitivity of single-shot EPI
to off-resonance effects and T(2)* blurring. In this article, eddy current
compensated diffusion-weighted stimulated-echo preparation is combined with
sensitivity encoding (SENSE) to maintain good SNR properties and to reduce the
sensitivity to distortions and T(2)* blurring in high-resolution skeletal muscle
single-shot DW-EPI. An analytical framework is developed to optimize the
reduction factor and diffusion weighting time to achieve maximum SNR. Arguments
for the selection of the experimental parameters are then presented considering
the compromise between SNR, B(0)-induced distortions, T(2)* blurring effects and
tissue incoherent motion effects. On the basis of the selected parameters in a
high-resolution skeletal muscle single-shot DW-EPI protocol, imaging protocols at
lower acquisition matrix sizes are defined with matched bandwidth in the phase
encoding direction and SNR. In vivo results show that high-resolution skeletal
muscle DTI with minimized sensitivity to geometric distortions and T(2)* blurring
is feasible using the proposed methodology. In particular, a significant benefit
is demonstrated from a reduction in partial volume effects for resolving multi
pennate muscles and muscles with small cross-sections in calf muscle DTI.
PMID- 22081518
TI - Channelled scaffolds for engineering myocardium with mechanical stimulation.
AB - The characteristics of the matrix (composition, structure, mechanical properties)
and external culture environment (pulsatile perfusion, physical stimulation) of
the heart are important characteristics in the engineering of functional
myocardial tissue. This study reports on the development of chitosan-collagen
scaffolds with micropores and an array of parallel channels (~ 200 um in
diameter) that were specifically designed for cardiac tissue engineering using
mechanical stimulation. The scaffolds were designed to have similar structural
and mechanical properties of those of native heart matrix. Scaffolds were seeded
with neonatal rat heart cells and subjected to dynamic tensile stretch using a
custom designed bioreactor. The channels enhanced oxygen transport and
facilitated the establishment of cell connections within the construct. The
myocardial patches (14 mm in diameter, 1-2 mm thick) consisted of metabolically
active cells that began to contract synchronously after 3 days of culture.
Mechanical stimulation with high tensile stress promoted cell alignment,
elongation, and expression of connexin-43 (Cx-43). This study confirms the
importance of scaffold design and mechanical stimulation for the formation of
contractile cardiac constructs.
PMID- 22081521
TI - Intra- and interoperator reliability of manual and semi-automated measurements of
intracranial translucency.
AB - OBJECTIVES: To assess the reproducibility of fetal intracranial translucency (IT)
measurements performed manually or with SonoNT((r)), a semi-automated caliper
placement technique recently introduced for nuchal translucency thickness (NT)
measurement. METHODS: This was a retrospective study using 116 stored images of
the head (mid-sagittal plane) from normal fetuses in dorsoposterior position at
11-13 weeks. Two experienced operators each measured the IT separately, twice
manually and twice using the semi-automated software. Intraoperator and
interoperator repeatability were assessed. The mean of the two manual
measurements of the more experienced Operator 2 was considered as the 'gold
standard'. RESULTS: Seven cases were excluded as the IT could not be recognized
by the semi-automated software. In the remaining 109 cases, the interquartile
range of the mean IT measurement was 1.9-2.4 mm for Operator 1 and 1.8-2.3 mm for
Operator 2 for both the manual and the semi-automated IT measurements. The
intraoperator SD for manual measurements was 0.091 mm for Operator 1 and 0.088 mm
for Operator 2, and for semi-automated measurements it was 0.054 mm for Operator
1 and 0.067 mm for Operator 2. Concerning interoperator bias of the manual
measurements, the mean difference between Operator 1 and Operator 2 was - 0.09
(95% CI, - 0.11 to - 0.07) mm. With respect to the gold standard, the mean bias
of the semi-automated measurements was 0.01 (95% CI - 0.01 to 0.03) mm for
Operator 1 and - 0.09 (95% CI - 0.11 to - 0.07) mm for Operator 2, indicating
good agreement. CONCLUSIONS: Manual IT measurements are reproducible. In
addition, IT can be assessed reliably using the semi-automated NT algorithm,
leading to standardization of the IT assessment process.
PMID- 22081522
TI - One-year investigator-blind randomized multicenter trial comparing Asacol 2.4 g
once daily with 800 mg three times daily for maintenance of remission in
ulcerative colitis.
AB - BACKGROUND: Mesalazine (Asacol) is still widely prescribed in divided doses for
ulcerative colitis (UC), despite evidence that adherence is improved by once
daily (OD) prescribing. We aimed to investigate whether OD Asacol was as
effective as three times (TDS) daily dosing, and to evaluate the role of
treatment adherence. METHODS: An investigator-blind randomized trial was
undertaken comparing OD Asacol (three 800 mg tablets) versus one 800 mg TDS in
maintenance of remission of UC over 1 year. The primary endpoint was relapse
rate, and noninferiority would be concluded if the lower limit of the two-sided
95% confidence interval (CI) of the difference in proportions relapsing (TDS-OD)
exceeded -10%. Adherence was measured by tablet counts and self-reported
adherence. A subgroup of patients used a bottle cap that recorded all bottle
opening events. RESULTS: In all, 213 patients were randomized. In the intention
to-treat (ITT) population, relapse rates were 31% (95% CI 22%-40%) in the OD and
45% (95% CI 35%-54%) in the TDS group. Primary analysis confirmed the
noninferiority of OD dosing. Two of the study populations, ITT and per-protocol
(PP), showed potential superiority of OD dosing. All measures of adherence showed
that it was significantly better in the OD group. Multivariate analysis, however,
showed OD dosing was associated with lower relapse risk independently of
adherence. CONCLUSIONS: OD dosing with Asacol 2.4 g is as safe and effective as
TDS dosing, and secondary analysis confirmed significantly reduced relapse rates.
The benefit, however, was clinically borderline and may relate in part to ease of
adherence.
PMID- 22081523
TI - Glandular neoplasia and borderline endocervical reporting rates before and after
conversion to the SurePath(TM) liquid-based cytology (LBC) system.
AB - Reporting rates for glandular neoplasia in 464,754 cervical samples reported at
six laboratories in 12-month periods before and after the implementation of
SurepathTM LBC processing are compared. The introduction of LBC processing is
seen to have resulted in a significant (P = 0.001) increase in the detection rate
for endocervical glandular neoplasia (from 2.2 per 10,000 tests to 3.9 per
10,000) while maintaining high levels of reporting specificity. An observed fall
in the number of samples reported as showing borderline glandular neoplasia falls
short of statistical significance, and the reporting of possible endometrial and
'other' glandular abnormalities appears to be unaffected. The underlying reasons
for the observed improvement in detection of endocervical glandular neoplasia are
discussed.
PMID- 22081525
TI - Divide and rule: cytodiagnosis of thyroid lesions using pattern analysis: a study
of 233 cases.
AB - Fine needle aspiration cytology (FNAC) of the thyroid is a simple, accurate,
fast, economical and safe method for the diagnosis of thyroid lesions on FNA. The
objective of this study was application of pattern analysis in the interpretation
of thyroid lesions. Two hundred and thirty three cases of thyroid lesion were
reviewed, which had histopathological correlation. Smears were classified based
on primary (predominant) and secondary (next dominant) pattern and categorized.
The variation between combined and final cytological diagnosis was correlated
with the histopathological diagnosis. The sensitivity, specificity and diagnostic
accuracy for the diagnosis of malignancy were 90.47, 100 and 99.14%. Our study
demonstrates the feasibility and applicability of pattern analysis in diagnosing
thyroid lesions, which could be easily reproducible.
PMID- 22081524
TI - Cutaneous and subcutaneous metastases from internal malignancies: an analysis of
cases diagnosed by fine needle aspiration.
AB - Cutaneous and subcutaneous metastases from internal malignancies are rare and
indicate a dismal outcome for the patient. This study is designed to analyze
cases of cutaneous and subcutaneous metastases from a known or unknown primary
and evaluate usefulness of fine needle aspiration cytology as a diagnostic
modality. The present study is a retrospective analysis of 83 patients who were
diagnosed with metastatic skin deposits on fine needle aspiration cytology.
Seventy-four patients were previously diagnosed cases of malignancy and nine
patients had metastatic deposits simultaneously with the primary tumor. The
commonest malignancies showing cutaneous metastases were from breast, colon and
rectum, lung, ovary, and thyroid. The differential diagnoses are from primary
cutaneous tumors. FNAC provides a rapid diagnosis and should be used as a
preferred first line diagnostic modality in such patients. In our study, FNAC
yielded a sensitivity and specificity of 100% as a microscopic method for
confirmation.
PMID- 22081526
TI - Escherichia coli on pleural effusion smear.
PMID- 22081527
TI - Calcinosis cutis: diagnosis by fine needle aspiration cytology--a rare case
report.
AB - Calcinosis cutis is characterized by deposition of calcium salts in the
subcutaneous tissues in the body. In this study, we described a case of
calcinosis cutis that was diagnosed by fine needle aspiration (FNA) in a 15-year
old male. The patient presented with multiple nodules over right forearm and
right knee. FNA smears showed flakes of amorphous material indicating calcium
along with few macrophages. The presence of amorphous calcium salts along with
histiocytes in the appropriate clinical settings is diagnostic of calcinosis
cutis.
PMID- 22081528
TI - Cytologic findings in two cases of dermoid cysts with malignant transformation.
AB - While dermoid cysts are fairly commonly seen in the surgical pathology practice,
rarely is the malignant transformation of dermoid cysts seen. Even rarer still is
the cytopathologic report of this manifestation. In both of these case reports,
the diagnosis of the malignant transformation of a dermoid cyst was made only
after the initial cytologic diagnosis of malignancy had been reported and further
investigation identified the source of the malignant cells. Unfortunately in both
of these cases, the disease was detected at an advanced stage. To my knowledge
and with a thorough literature search, the first case will be the first reported
case of an adenocarcinoma in a dermoid cyst present in a Pap smear as the initial
diagnosis. While the second case of malignant melanoma in a dermoid has been
reported in ascitic fluid, such reports are rare.
PMID- 22081529
TI - Fine-needle aspiration cytology of primitive neuroectodermal tumor of the urinary
bladder: a case report.
AB - Primitive neuroectodermal tumors (PNETs) are malignant small round cell tumors,
which exhibit a variable degree of neural differentiation. These tumors are
usually found in the extraosseous soft tissue and rarely in bones. Occasional
cases of PNETs of the urinary bladder have been reported on histopathology.
However, to the best of our knowledge, none have been diagnosed on fine-needle
aspiration cytology (FNAC). A patient presented to the out-patient department
with complaints of a slowly progressive lump in the lower abdomen, which was
diagnosed as PNET on FNAC. The smears showed a dispersed population and sheets of
malignant small round cells with focal rosette formation and perivascular
arrangement of tumor cells. Periodic acid-Schiff staining showed strong
cytoplasmic positivity. Immunocytochemistry of the cytology smears also showed
strong membrane positivity for CD99 (MIC-2), which was also confirmed on
histopathological examination. PNET of the urinary bladder is a distinct entity,
which can be diagnosed on FNAC and confirmed by immunohistochemistry. A diagnosis
of PNET should be considered as a differential diagnosis in urinary bladder
masses, especially in adolescents and young adults.
PMID- 22081530
TI - Fine-needle aspiration cytology with histological correlation of chordoma
metastatic to the lung: a diagnostic dilemma.
AB - Chordoma is an uncommon tumor initially believed to be benign due to the rarity
of its metastasis. Cytological, morphological, and immunohistochemical features
of chordoma, relating to its origin from notochordal remnants, allows for its
accurate diagnosis. A 75-year-old man with a known history of tuberculosis (TB)
presented with shortness of breath and a dry cough. A chest X-ray demonstrated a
diffuse, infiltrative miliary pattern in both lungs. Bronchial washings submitted
for culture and cytological examination did not identify any tuberculous bacilli.
Fine needle aspiration cytology (FNAC) showed focal areas of myxoid regions with
small, round, uniform mononuclear cells. There was a documented past history of
chordoma arising from the L2 vertebrae. Because of the rarity of this lesion in
the lung and the limited diagnostic material available with a clinical history of
TB and lumbar chordoma, the pathological report rendered on the FNAC was
"atypical cells suspicious for metastatic chordoma." A wedge biopsy of the lung
confirmed the presence of metastatic pulmonary chordoma. Despite palliative
treatment, he died within a year. Although the metastatic potential of chordomas
has been recognized and documented, to the best of our knowledge, metastasis of
chordoma to the lung diagnosed by FNAC with cytohistological correlation has not
been previously reported in the English literature.
PMID- 22081531
TI - Decoy cells and malignant cells coexisting in the urine from a transplant
recipient with BK virus nephropathy and bladder adenocarcinoma.
AB - The search for decoy cells (DC) in urine is widely used as screening for BK virus
(BKV) reactivation in transplant recipients. BKV cytopathic effect of DC must not
be confused with high-grade urothelial carcinoma. This report presents a case of
coexistence of DC and malignant cells in the urine from a transplant recipient
with BKV-associated nephropathy (BKVN) and bladder adenocarcinoma. A 38-year-old
female with type 1 diabetes mellitus and end-stage renal disease underwent a
simultaneous pancreas and kidney transplant. Four years post-transplantation, BK
virus studies were performed for renal dysfunction. Isolated DC and DC in casts
were identified in urine. Also, the tests for BKV DNA were positive in serum and
renal allograft biopsy. BKVN was treatment-resistant and the patient returned to
hemodialysis. A kidney transplant nephrectomy was performed 2 years later. The
next urine cytology showed, in addition to DC, other distinct cells with nuclear
atypia highly suggestive of malignancy. Some cells showed both, malignant and DC
features. A bladder adenocarcinoma was diagnosed on biopsy and BKV proteins were
demonstrated on tumor cells, supporting a possible role for BKV in the oncogenic
pathway in this clinical setting. The presence of DC in the urine from a
transplant recipient is the hallmark of BKV activation, but it does not exclude
the existence of carcinoma. Furthermore, the presence of highly atypical cells
should raise, not eliminate, the possibility of neoplastic transformation of the
bladder.
PMID- 22081532
TI - A uncommon cause of haemoptysis: metastatic collecting duct renal carcinoma.
PMID- 22081533
TI - Apoptotic ciliated cells on sputum smear.
PMID- 22081534
TI - How frequently are contraindicated or warned against combinations of drugs
prescribed to patients receiving long-term opioid therapy for chronic pain?
AB - PURPOSE: To analyse the proportion of patients treated with an opioid for chronic
pain who were prescribed concomitant medications that are warned against or
contraindicated in the German summary of product characteristics to determine if
warnings on drug-drug interactions (DDIs) are observed. METHODS: This
retrospective analysis used longitudinal aggregated patient data from the
Intercontinental Marketing Services Disease Analyzer in Germany. Patients with
two or more prescriptions of morphine, hydromorphone, oxycodone or tramadol from
1 January 2006 to 31 December 2008 were included; drugs prescribed within 30 days
of an opioid prescription were identified as concomitant medications. The
frequency of concomitant treatment with drugs warned against or contraindicated
in the German opioid summary of product characteristics was determined.
Concomitant treatment with drugs metabolised by CYP3A4 inhibitors and inducers
and CYP2D6 inhibitors was also considered. RESULTS: The Intercontinental
Marketing Services database contained 13,405 eligible patients; 72% had
concomitant diseases which may increase the risk for DDIs (hypertension, diabetes
mellitus, renal failure, renal glomerular disease or renal tubulointerstitial
disease). Very few patients received concomitant prescriptions of an opioid with
a contraindicated drug. Many patients were prescribed opioids concomitantly with
drugs with potential for harmful safety-related DDIs or DDIs that alter the
effectiveness of one or more of the opioids. A large proportion of all
concomitant prescriptions with potential for DDIs were given to at-risk patients
aged 65 years and older. CONCLUSIONS: Many patients that received an opioid for
chronic pain were prescribed concomitant medications with the potential for
safety-related DDIs or interactions that would alter the effectiveness of the
opioid.
PMID- 22081537
TI - Pulmonary diffusing capacity in healthy Caucasian children.
AB - Previous studies of pulmonary diffusing capacity in children differed greatly in
methodologies; numbers of subjects evaluated, and were performed prior to the
latest ATS/ERS guidelines. The purpose of our study was to establish reference
ranges for the diffusing capacity to carbon monoxide (DL(CO) ) and alveolar
volume (V(A) ) in healthy Caucasian children using current international
guidelines and contemporary equipment. Healthy children from the United States (N
= 303) and from Australia (N = 176) performed acceptable measurements of single
breath pulmonary diffusing capacity and alveolar volume according to current
ATS/ERS guidelines. The natural log of DL(CO) and V(A) were associated with
height, age and an age-sex interaction term, while DL(CO) /V(A) was related to
height and the age-sex interaction term only. Adjustment of DL(CO) for hemoglobin
(n = 303; USA data only) resulted is a small but significant decrease in DL(CO)
of ~1% but did not significantly alter the regression equations. In this dataset
there was no influence of center for DL(CO) or DL(CO) /V(A) , while Australian
children had a statistically smaller V(A) (mean difference 0.14 L after
accounting for height, age and age-sex; P = 0.012). We report that diffusing
capacity outcomes can be collated from multiple centers using similar equipment
and collection protocols. Using collated data we have derived regression
equations for pulmonary diffusing capacity outcomes in healthy Caucasian children
aged 5-19 years.
PMID- 22081538
TI - Three-dimensional culture of mouse bone marrow cells on stroma formed within a
porous scaffold: influence of scaffold shape and cryopreservation of the stromal
layer on expansion of haematopoietic progenitor cells.
AB - This study's primary goal was to develop an effective ex vivo expansion method
for haematopoietic cells. 3D culture of mouse bone marrow cells was performed in
porous scaffolds using a sheet or cube shape. Bone marrow cells were cultured on
bone marrow-derived stromal layers formed within the scaffolds and the effect of
scaffold shape on the expansion of haematopoietic cells was examined. In some
experiments, stromal layers within cubic scaffolds were frozen and then used to
culture bone marrow cells after thawing. Results show that after comparison,
total cell density and expansion of haematopoietic cells were greater in cultures
using the cubic scaffold, suggesting that it was superior to the sheet-like
scaffold for expanding haematopoietic cells. When cryopreserved stroma was used,
it effectively supported the expansion of haematopoietic cells, and a greater
expansion of haematopoietic cells [(erythroid and haematopoietic progenitor cells
(HPCs)] was achieved than in cultures with stromal cells that had not been
cryopreserved. Expansion of cells using cryopreserved stroma had several other
advantages such as a shorter culture period than the conventional method, a
stable supply of stromal cells, and ease of handling and scaling up. As a result,
this is an attractive method for ex vivo expansion of haematopoietic stem cells
(HSCs) and HPCs for clinical use.
PMID- 22081540
TI - Does pharmacological castration as adjuvant therapy for prostate cancer after
radiotherapy affect anxiety and depression levels, cognitive functions and
quality of life?
AB - INTRODUCTION: Adjuvant hormonotherapy for prostate cancer patients after radical
radiotherapy has a well-established value. However, the impact of such treatment
on the patients' quality of life remains to be elucidated. OBJECTIVE: The
objective is to assess the impact of adjuvant hormonotherapy with luteinizing
hormone-releasing hormone analogue after radical radiotherapy on anxiety and
depression levels, cognitive function, sexual function and quality of life of
prostate cancer patients. MATERIAL AND METHODS: Two groups of patients were
tested: men treated with adjuvant hormonotherapy (88 patients) and men without
hormonotherapy (61 patients). Anxiety, depression and cognitive functions were
evaluated. Patients answered questions addressing problems linked to hormonal
equilibrium. The patients rated their mental status, physical status, quality of
life and quality of their relationship. RESULTS: There were no statistically
significant differences between patients on hormonotherapy and without
hormonotherapy in the level of anxiety and depression (p = 0.844 and p = 0.954)
as well as in cognitive function (p = 0.661). Satisfactory sexual performance was
preserved in 9/65 patients (14%) on hormonotherapy and the same was applied to
19/49 patients (39%) without hormonotherapy. The difference was statistically
significant (p = 0.003). Hormonotherapy was associated with decreased libido (p =
0.031), hot flushes (p < 0.001) and sweating (p < 0.001). No statistically
significant differences were found between the groups in the self-rated physical
and psychological well-being (p = 0.476 and p = 0.597), quality of life (p =
0.622) and quality of relationship (p = 0.064). CONCLUSIONS: Adjuvant
hormonotherapy enhances neither anxiety nor depression, does not impair cognitive
function but has a negative effect on the patients' sexual function. It does not
worsen self-rated quality of relationship and quality of life.
PMID- 22081541
TI - Liver nodules in Crohn's ileitis.
PMID- 22081542
TI - High-sensitivity C-reactive protein for identification of disease phenotype,
active disease, and clinical relapses in Crohn's disease: a marker for patient
classification?
AB - BACKGROUND: C-reactive protein (CRP) is a traditional nonspecific marker of
inflammation, with Crohn's disease (CD) being associated with a strong CRP
response. Thus far, no clear cutoff values have been determined. The authors' aim
was to investigate whether high-sensitivity (hs)-CRP is useful for the
identification disease phenotype, active disease, and relapse during follow-up,
using a classification based on the hs-CRP value at diagnosis. METHODS: In all,
260 well-characterized, unrelated, consecutive CD patients (male/female: 120/140;
duration: 7.0 +/- 6.1 years), with a complete clinical follow-up, were included.
Hs-CRP, clinical activity according to the Harvey-Bradshaw Index, and clinical
data (disease phenotype according to the Montreal Classification, extraintestinal
manifestations, smoking habits, medical therapy, and surgical events) were
prospectively collected between January 1, 2008 and June 1, 2010. Medical records
prior to the prospective follow-up period were analyzed retrospectively. RESULTS:
In all, 32.3% of CD patients had normal hs-CRP at diagnosis. Elevated hs-CRP at
diagnosis was associated with disease location (P = 0.002), noninflammatory
disease behavior (P = 0.058), and a subsequent need for later
azathioprine/biological therapy (P < 0.001 and P = 0.024), respectively. The
accuracy of hs-CRP for identifying patients with active disease during
prospective follow-up was good (area under the curve [AUC]: 0.82, cutoff: 10.7
mg/L). AUC was better in patients with an elevated hs-CRP at diagnosis (AUC:
0.92, cutoff: 10.3 mg/L). In Kaplan-Meier and Cox-regression analyses, hs-CRP was
an independent predictor of 3- (P = 0.007) or 12-month (P = 0.001) clinical
relapses for patients in remission who had elevated hs-CRP at diagnosis. In
addition, perianal involvement (P = 0.01) was associated with the 12-month
relapse frequency. CONCLUSIONS: Our data suggest that hs-CRP positivity at
diagnosis is associated with disease location and behavior, and in patients who
are hs-CRP positive at diagnosis, is an accurate marker of disease activity and a
predictor of short- and medium-term clinical flare-ups during follow-up.
PMID- 22081543
TI - Sustaining the development and implementation of student-centered teaching
nationally: the importance of a community of practice.
AB - Although the idea of using a workshop to educate potential users about a set of
materials or techniques is not new, the workshops described here go beyond simple
dissemination and create ongoing communities of practice that support widespread
and sustained improvement in the biochemistry classroom. The degree to which
pedagogical innovations improve student learning on a national level depends on
how broadly they are disseminated and how they are implemented and sustained.
Workshops can be effective in disseminating ideas and techniques, but they often
fail to sustain implementation. This paper describes Core Collaborators Workshops
(CCWs) that were specifically designed for biochemistry faculty to improve the
quality of active learning materials, support faculty in transforming their
classrooms, and disseminate these efforts nationally. This CCW model proved very
effective to date as shown by the fact that, 8 months after the last CCW, all
workshop participants reported using at least some of the instructional materials
discussed during the workshop. In addition, participants remarked that the
superior community building and direct mentoring available through the CCWs
greatly increased their confidence in implementing this new curricular approach
and has made them more likely to act as leaders themselves.
PMID- 22081544
TI - Building student proficiency with scientific literature using the Zotero
reference manager platform.
AB - While mastery of the scientific literature is a strongly desirable trait for
undergraduate students, the sheer volume of the current literature has
complicated the challenge of teaching scientific literacy. Part of the response
to this ever-increasing volume of resources includes formal instruction in the
use of reference manager software while engaging students with the primary
literature. This article describes the incorporation of the reference manager
program Zotero into a chemical literature course to facilitate the use of digital
resources and to better enable them to use proper citation skills in their
technical writing.
PMID- 22081545
TI - Non-native English language speakers benefit most from the use of lecture capture
in medical school.
AB - Medical education in the United States and Canada continues to evolve. However,
many of the changes in pedagogy are being made without appropriate evaluation.
Here, we attempt to evaluate the effectiveness of lecture capture technology as a
learning tool in Podiatric medical education. In this pilot project, student
performance in an inaugural lecture capture-supported biochemistry course was
compared to that in the previous academic year. To examine the impact of online
lecture podcasts on student performance a within-subjects design was implemented,
a two way ANCOVA with repeated measures. The use of lecture capture-supported
pedagogy resulted in significantly higher student test scores, than achieved
historically using traditional pedagogy. The overall course performance using
this lecture capture-supported pedagogy was almost 6% higher than in the previous
year. Non-native English language speakers benefitted more significantly from the
lecture capture-supported pedagogy than native English language speakers, since
their performance improved by 10.0 points. Given that underrepresented minority
(URM) students, whose native language is not English, makes up a growing
proportion of medical school matriculates, these observations support the use of
lecture capture technology in other courses. Furthermore, this technology may
also be used as part of an academic enrichment plan to improve performance on the
American Podiatric Medical Licensing Examination, reduce the attrition of URM
students and potentially address the predicted minority physician shortage in
2020.
PMID- 22081546
TI - Enhanced podcasts for teaching biochemistry to veterinary students.
AB - The teaching of biochemistry within medical disciplines presents certain
challenges; firstly to relay a large body of complex facts and abstract concepts,
and secondly to motivate students that this relatively difficult topic is worth
their time to study. Here, nutrient biochemistry was taught within a
multidisciplinary module as part of an undergraduate veterinary curriculum. The
teaching approach was initially focussed on a mixture of didactic lectures and
student-centred activities such as directed group/self learning. In subsequent
years the core didactic lectures were replaced with enhanced podcasts covering
the same material, along with the introduction of student presentations delivered
within groups with both peer and facilitator assessment. These changes were
accompanied by an increase in the time dedicated to this topic to allow
sufficient time for students to work through podcasts and prepare presentations.
The combination of these changes resulted in significant improvements in student
performance within an in-course biochemistry long essay. These changes in the
teaching approach, and particularly the introduction of extensive podcasts, was
well received by students who perceived the process of going through the podcasts
as time consuming but allowing them flexibility in both the pace that they
studied this topic as well as the location and times that they studied it.
PMID- 22081548
TI - pGLO mutagenesis: a laboratory procedure in molecular biology for biology
students.
AB - A five-session laboratory project was designed to familiarize or increase the
laboratory proficiency of biology students and others with techniques and
instruments commonly used in molecular biology research laboratories and
industries. In this project, the EZ-Tn5 transposon is used to generate and screen
a large number of cells transformed with mutagenized pGLO plasmid. EZ-Tn5 carries
the kanamycin resistance (Kan(R)) gene, and the pGLO plasmid carries the beta
lactamase gene for ampicillin resistance (Amp(R)), the gene encoding green
fluorescent protein (GFP) and the arabinose operon repressor (araC). Insertion of
the Tn5 transposon into pGLO occurs randomly, and any gene into which it inserts
is knocked out. By screening cells transformed with mutagenized pGLO with
kanamycin, ampicillin, arabinose and/or for GFP expression in different
combinations, pGLO plasmids with mutations in different genes are identified. The
locations of these insertions are then mapped approximately by restriction
fragment analysis and precisely by sequence analysis of the pGLO plasmid.
PMID- 22081547
TI - An exercise in molecular epidemiology: human rhinovirus prevalence and genetics.
AB - Human rhinovirus (HRV) is one of the most common human respiratory pathogens and
is responsible for the majority of upper respiratory illnesses. Recently, a
phylogeny was constructed from all known American Type Culture Collection (ATCC)
HRV sequences. From this study, three HRV classifications (HRVA, HRVB, and HRVC)
were determined and techniques for classifying new isolates of HRV were reported.
The genetic change of this virus in specific populations over time is of great
interest to understand the evolution and epidemiology of viruses. To facilitate
the collections of HRV sequences over a number of years, a virology experiment
was designed in which students test nasal lavage samples to look for HRV
infection. Students will learn a variety of techniques including RNA isolation,
cDNA synthesis, qPCR, and agarose gel electrophoresis as well as bioinformatic
skills though examination of sequences from the HRV-field isolates. Furthermore,
students can look at symptom data from subjects to investigate correlations
between symptom severity and factors such as stress and sleep patterns. Such
information can be used to examine hypotheses regarding HRV mutation, symptom
severity and epidemiology.
PMID- 22081549
TI - Self-directed student research through analysis of microarray datasets: a
computer-based functional genomics practical class for masters-level students.
AB - This report describes a linked series of Masters-level computer practical
workshops. They comprise an advanced functional genomics investigation, based
upon analysis of a microarray dataset probing yeast DNA damage responses. The
workshops require the students to analyse highly complex transcriptomics
datasets, and were designed to stimulate active learning through experience of
current research methods in bioinformatics and functional genomics. They seek to
closely mimic a realistic research environment, and require the students first to
propose research hypotheses, then test those hypotheses using specific sections
of the microarray dataset. The complexity of the microarray data provides
students with the freedom to propose their own unique hypotheses, tested using
appropriate sections of the microarray data. This research latitude was highly
regarded by students and is a strength of this practical. In addition, the focus
on DNA damage by radiation and mutagenic chemicals allows them to place their
results in a human medical context, and successfully sparks broad interest in the
subject material. In evaluation, 79% of students scored the practical workshops
on a five-point scale as 4 or 5 (totally effective) for student learning. More
broadly, the general use of microarray data as a "student research playground" is
also discussed.
PMID- 22081550
TI - A linked series of laboratory exercises in molecular biology utilizing
bioinformatics and GFP.
AB - Molecular biologists commonly use bioinformatics to map and analyze DNA and
protein sequences and to align different DNA and protein sequences for
comparison. Additionally, biologists can create and view 3D models of protein
structures to further understand intramolecular interactions. The primary goal of
this 10-week laboratory was to introduce the importance of bioinformatics in
molecular biology. Students employed multiprimer, site-directed mutagenesis to
create variant colors from a plasmid expressing green fluorescent protein (GFP).
Isolated mutant plasmid from Escherichia coli showing changes in fluorescence
were sequenced. Students used sequence alignment tools, protein translator tools,
protein modeling, and visualization to analyze the potential effect of their
mutations within the protein structure. This laboratory linked molecular
techniques and bioinformatics to promote and expand the understanding of
experimental results in an upper-level undergraduate laboratory course.
PMID- 22081551
TI - Commentary: analysis of examination questions expose low faculty expectations.
PMID- 22081552
TI - Problem-solving test: submitochondrial localization of proteins.
AB - Terms to be familiar with before you start to solve the test: mitochondria, outer
membrane, inner membrane, intermembrane space, mitochondrial matrix,
mitochondrial fraction, cell fractionation by differential centrifugation,
pellet, supernatant, detergents, phenol, cytosolic fraction, integral and
peripheral membrane proteins, hypotonic solution, SDS-polyacrylamide gel
electrophoresis, Western blotting.
PMID- 22081553
TI - Commentary: left hand, right hand and on the other hand.
PMID- 22081554
TI - Proteopedia entry: triose phosphate isomerase.
PMID- 22081556
TI - Factors accounting for a missed diagnosis of cystic fibrosis after newborn
screening.
AB - Newborn screening is a public health policy program involving the centralized
testing laboratory, infant and their family, primary care provider, and
subspecialist for confirmatory testing and follow-up of abnormal results. Cystic
fibrosis (CF) newborn screening has now been enacted in all 50 states and the
District of Columbia and throughout many countries in the world. Although CF
neonatal screening will identify the vast majority of infants with CF, there are
many factors in the newborn screening system that can lead to a missed diagnosis
of CF. To inform clinicians, this article summarizes the CF newborn screening
system and highlights 14 factors that can account for a missed diagnosis of CF.
Care providers should maintain a high suspicion for CF if there are compatible
symptoms, regardless of the results of the newborn screening test. These factors
in newborn screening programs leading to a missed diagnosis of CF present
opportunities for quality improvement in specimen collection, laboratory analysis
of immunoreactive tryspinogen (IRT) and CF mutation testing, communication, and
sweat testing.
PMID- 22081557
TI - Comparing the effects of insulin glargine and thiazolidinediones on plasma lipids
in type 2 diabetes: a patient-level pooled analysis.
AB - BACKGROUND: The prevalence of dyslipidaemia and the risk of cardiovascular
disease are elevated in patients with type 2 diabetes. This analysis compared the
effects of insulin glargine versus thiazolidinediones (TZDs) on lipid profiles.
METHODS: Patient-level data were pooled from two randomized clinical studies. The
population included 552 men and women aged >18 years, diagnosed with type 2
diabetes for at least 6 months, on metformin and/or sulphonylurea, and with A(1C)
>=7.5% and <12.0% at screening. Lipid outcome measures included change from
baseline in lipid levels [low-density lipoprotein cholesterol (LDL-C), high
density lipoprotein cholesterol (HDL-C), non-high-density lipoprotein cholesterol
(non-HDL-C), total cholesterol, triglycerides, and free fatty acids] and
attainment of lipid goals for LDL-C, non-HDL-C, and triglycerides. RESULTS: Both
insulin glargine and TZDs improved lipid profiles from baseline values. Compared
with TZDs, treatment with insulin glargine led to 7.9% greater reduction in LDL-C
(p < 0.0003), 7.5% greater reduction in non-HDL-C (p < 0.0001), and 7.8% greater
reduction in total cholesterol (p < 0.0001), whereas the HDL-C increase with TZD
was 7.6% greater than that with insulin glargine (p < 0.0001). The percentage of
patients attaining the lipid goals was comparable between insulin glargine and
pioglitazone, but lower for rosiglitazone. Insulin glargine improved glycaemic
control more than TZDs; however, insulin glargine caused more hypoglycaemia.
Treatment with TZDs caused more weight gain and peripheral oedema. CONCLUSION:
These findings suggest that the favourable effects of insulin glargine on plasma
lipid profiles should be considered among the advantages of treatment with
insulin glargine as they are for TZDs.
PMID- 22081558
TI - Radial mechanical properties of single-walled boron nitride nanotubes.
AB - The radial mechanical properties of single-walled boron nitride nanotubes (SW
BNNTs) are investigated by atomic force microscopy. Nanomechanical measurements
reveal the radial deformation of individual SW-BNNTs in both elastic and plastic
regimes. The measured effective radial elastic moduli of SW-BNNTs are found to
follow a decreasing trend with an increase in tube diameter, ranging from 40.78
to 1.85 GPa for tube diameters of 0.58 to 2.38 nm. The results show that SW-BNNTs
have relatively lower effective radial elastic moduli than single-walled carbon
nanotubes (SWCNTs). The axially strong, but radially supple characteristics
suggest that SW-BNNTs may be superior to SWCNTs as reinforcing additives for
nanocomposite applications.
PMID- 22081559
TI - Lung function distinguishes preschool children with CF from healthy controls in a
multi-center setting.
AB - RATIONALE: Conducting clinical trials in cystic fibrosis (CF) preschoolers has
been limited by lack of sensitive lung function measures performed across sites.
OBJECTIVES: (1) Assess feasibility and short-term reproducibility of spirometry,
forced oscillometry (FO), and inductance plethysmography (IP) in a multi-center
preschool population; (2) compare ability of each technique to differentiate lung
function of CF preschoolers and controls; (3) evaluate longitudinal changes in
lung function; (4) estimate sample sizes for future trials. METHODS: A
longitudinal, multi-center study of CF preschoolers was conducted utilizing
standardized equipment, rigorous site training, and centralized lung function
data review. CF subjects participated in up to four study visits 6 months apart,
plus a 2-week reproducibility visit. Controls had one study visit. RESULTS:
Ninety-three CF subjects and 87 controls participated. Acceptability rates were
lowest for spirometry (55%) and highest for IP (77%). Spirometry success
increased with age and having a prior acceptable measurement. FEV(1) , FEV(0.5) ,
and FEF(25-75) were lower for CF subjects than for controls; spirometric z-scores
declined with age. IP measures of thoracoabdominal asynchrony were greater for CF
subjects than for controls. FO indices did not distinguish CF from controls.
FEV(1) and FEV(0.5) are able to detect the smallest treatment effect for a given
sample size. CONCLUSIONS: Spirometry appears more sensitive than IP or FO for
detecting lung disease in CF preschoolers; spirometric indices decline with age.
Future trials using spirometry should include a run-in period for training and
require acceptable data prior to enrollment. However, near-normal spirometric
measurements in CF preschoolers may lead to difficulty detecting a treatment
effect.
PMID- 22081560
TI - PGA-associated heterotopic chondrocyte cocultures: implications of nasoseptal and
auricular chondrocytes in articular cartilage repair.
AB - The availability of autologous articular chondrocytes remains a limiting issue in
matrix assisted autologous chondrocyte transplantation. Non-articular heterotopic
chondrocytes could be an alternative autologous cell source. The aims of this
study were to establish heterotopic chondrocyte cocultures to analyze cell-cell
compatibilities and to characterize the chondrogenic potential of nasoseptal
chondrocytes compared to articular chondrocytes. Primary porcine and human
nasoseptal and articular chondrocytes were investigated for extracellular
cartilage matrix (ECM) expression in a monolayer culture. 3D polyglycolic acid-
(PGA) associated porcine heterotopic mono- and cocultures were assessed for cell
vitality, types II, I, and total collagen-, and proteoglycan content. The type II
collagen, lubricin, and Sox9 gene expressions were significantly higher in
articular compared with nasoseptal monolayer chondrocytes, while type IX collagen
expression was lower in articular chondrocytes. Only beta1-integrin gene
expression was significantly inferior in humans but not in porcine nasoseptal
compared with articular chondrocytes, indicating species-dependent differences.
Heterotopic chondrocytes in PGA cultures revealed high vitality with proteoglycan
rich hyaline-like ECM production. Similar amounts of type II collagen deposition
and type II/I collagen ratios were found in heterotopic chondrocytes cultured on
PGA compared to articular chondrocytes. Quantitative analyses revealed a time
dependent increase in total collagen and proteoglycan content, whereby the
differences between heterotopic and articular chondrocyte cultures were not
significant. Nasoseptal and auricular chondrocytes monocultured in PGA or
cocultured with articular chondrocytes revealed a comparable high chondrogenic
potential in a tissue engineering setting, which created the opportunity to test
them in vivo for articular cartilage repair.
PMID- 22081561
TI - Bacterial whole-cell biosensor for glutamine with applications for quantifying
and visualizing glutamine in plants.
AB - A whole-cell biosensor for glutamine (GlnLux) was constructed by transforming an
Escherichia coli glutamine (Gln) auxotroph with a constitutive lux reporter gene.
Measurements of Gln in plant extracts using GlnLux correlated with quantification
using high-performance liquid chromatography (Spearman's r = 0.95). GlnLux
permitted charge-coupled-device (CCD) imaging of Gln from whole plant organs.
PMID- 22081563
TI - Daphnia magna, a host for evaluation of bacterial virulence.
AB - We show that Daphnia magna can be used to assess acute virulence of pathogens
relevant to human health, such as Pseudomonas aeruginosa or Photorhabdus
asymbiotica. Analysis of bacterial mutants suggests that P. aeruginosa uses
similar mechanisms to infect Daphnia and other hosts.
PMID- 22081562
TI - Distinct transcriptional profiles and phenotypes exhibited by Escherichia coli
O157:H7 isolates related to the 2006 spinach-associated outbreak.
AB - In 2006, a large outbreak of Escherichia coli O157:H7 was linked to the
consumption of ready-to-eat bagged baby spinach in the United States. The likely
sources of preharvest spinach contamination were soil and water that became
contaminated via cattle or feral pigs in the proximity of the spinach fields. In
this study, we compared the transcriptional profiles of 12 E. coli O157:H7
isolates that possess the same two-enzyme pulsed-field gel electrophoresis (PFGE)
profile and are related temporally or geographically to the above outbreak. These
E. coli O157:H7 isolates included three clinical isolates, five isolates from
separate bags of spinach, and single isolates from pasture soil, river water, cow
feces, and a feral pig. The three clinical isolates and two spinach bag isolates
grown in cultures to stationary phase showed decreased expression of many
sigma(S)-regulated genes, including gadA, osmE, osmY, and katE, compared with the
soil, water, cow, feral pig, and the other three spinach bag isolates. The
decreased expression of these sigma(S)-regulated genes was correlated with the
decreased resistance of the isolates to acid stress, osmotic stress, and
oxidative stress but increases in scavenging ability. We also observed that
intraisolate variability was much more pronounced among the clinical and spinach
isolates than among the environmental isolates. Together, the transcriptional and
phenotypic differences of the spinach outbreak isolates of E. coli O157:H7
support the hypothesis that some variants within the spinach bag retained
characteristics of the preharvest isolates, whereas other variants with altered
gene expression and phenotypes infected the human host.
PMID- 22081564
TI - Metagenomic analysis of stress genes in microbial mat communities from Antarctica
and the High Arctic.
AB - Polar and alpine microbial communities experience a variety of environmental
stresses, including perennial cold and freezing; however, knowledge of genomic
responses to such conditions is still rudimentary. We analyzed the metagenomes of
cyanobacterial mats from Arctic and Antarctic ice shelves, using high-throughput
pyrosequencing to test the hypotheses that consortia from these extreme polar
habitats were similar in terms of major phyla and subphyla and consequently in
their potential responses to environmental stresses. Statistical comparisons of
the protein-coding genes showed similarities between the mats from the two poles,
with the majority of genes derived from Proteobacteria and Cyanobacteria;
however, the relative proportions differed, with cyanobacterial genes more
prevalent in the Antarctic mat metagenome. Other differences included a higher
representation of Actinobacteria and Alphaproteobacteria in the Arctic
metagenomes, which may reflect the greater access to diasporas from both adjacent
ice-free lands and the open ocean. Genes coding for functional responses to
environmental stress (exopolysaccharides, cold shock proteins, and membrane
modifications) were found in all of the metagenomes. However, in keeping with the
greater exposure of the Arctic to long-range pollutants, sequences assigned to
copper homeostasis genes were statistically (30%) more abundant in the Arctic
samples. In contrast, more reads matching the sigma B genes were identified in
the Antarctic mat, likely reflecting the more severe osmotic stress during freeze
up of the Antarctic ponds. This study underscores the presence of diverse
mechanisms of adaptation to cold and other stresses in polar mats, consistent
with the proportional representation of major bacterial groups.
PMID- 22081565
TI - Characterization and functional analyses of R-specific enoyl coenzyme A
hydratases in polyhydroxyalkanoate-producing Ralstonia eutropha.
AB - A genome survey of polyhydroxyalkanoate (PHA)-producing Ralstonia eutropha H16
detected the presence of 16 orthologs of R-specific enoyl coenzyme A (enoyl-CoA)
hydratase, among which three proteins shared high homologies with the enzyme
specific to enoyl-CoAs of medium chain length encoded by phaJ4 from Pseudomonas
aeruginosa (phaJ4(Pa)). The recombinant forms of the three proteins, termed
PhaJ4a(Re) to PhaJ4c(Re), actually showed enoyl-CoA hydratase activity with R
specificity, and the catalytic efficiencies were elevated as the substrate chain
length increased from C(4) to C(8). PhaJ4a(Re) and PhaJ4b(Re) showed >10-fold
higher catalytic efficiency than PhaJ4c(Re). The functions of the new PhaJ4
proteins were investigated using previously engineered R. eutropha strains as
host strains; these strains are capable of synthesizing poly((R)-3
hydroxybutyrate-co-(R)-3-hydroxyhexanoate) [P(3HB-co-3HHx)] from soybean oil.
Deletion of phaJ4a(Re) from the chromosome resulted in significant decrease of
3HHx composition in the accumulated copolyester, whereas no change was observed
with deletion of phaJ4b(Re) or phaJ4c(Re), indicating that only PhaJ4a(Re) was
one of the major enzymes supplying the (R)-3HHx-CoA monomer through beta
oxidation. Introduction of phaJ4a(Re) or phaJ4b(Re) into the R. eutropha strains
using a broad-host-range vector enhanced the 3HHx composition of the
copolyesters, but the introduction of phaJ4c(Re) did not. The two genes were then
inserted into the pha operon on chromosome 1 of the engineered R. eutropha by
homologous recombination. These modifications enabled the biosynthesis of P(3HB
co-3HHx) composed of a larger 3HHx fraction without a negative impact on cell
growth and PHA production on soybean oil, especially when phaJ4a(Re) or
phaJ4b(Re) was tandemly introduced with phaJ(Ac) from Aeromonas caviae.
PMID- 22081566
TI - Differential protection of Cry1Fa toxin against Spodoptera frugiperda larval gut
proteases by cadherin orthologs correlates with increased synergism.
AB - The Cry proteins produced by Bacillus thuringiensis (Bt) are the most widely used
biopesticides effective against a range of crop pests and disease vectors. Like
chemical pesticides, development of resistance is the primary threat to the long
term efficacy of Bt toxins. Recently discovered cadherin-based Bt Cry synergists
showed the potential to augment resistance management by improving efficacy of
Cry toxins. However, the mode of action of Bt Cry synergists is thus far unclear.
Here we elucidate the mechanism of cadherin-based Cry toxin synergism utilizing
two cadherin peptides, Spodoptera frugiperda Cad (SfCad) and Manduca sexta Cad
(MsCad), which differentially enhance Cry1Fa toxicity to Spodoptera frugiperda
neonates. We show that differential SfCad- and MsCad-mediated protection of
Cry1Fa toxin in the Spodoptera frugiperda midgut correlates with differential
Cry1Fa toxicity enhancement. Both peptides exhibited high affinity for Cry1Fa
toxin and an increased rate of Cry1Fa-induced pore formation in S. frugiperda.
However, only SfCad bound the S. frugiperda brush border membrane vesicle and
more effectively prolonged the stability of Cry1Fa toxin in the gut, explaining
higher Cry1Fa enhancement by this peptide. This study shows that cadherin
fragments may enhance B. thuringiensis toxicity by at least two different
mechanisms or a combination thereof: (i) protection of Cry toxin from protease
degradation in the insect midgut and (ii) enhancement of pore-forming ability of
Cry toxin.
PMID- 22081567
TI - Methicillin-resistant coagulase-negative staphylococci on pig farms as a
reservoir of heterogeneous staphylococcal cassette chromosome mec elements.
AB - Methicillin-resistant Staphylococcus aureus (MRSA) likely originated by
acquisition of the staphylococcal cassette chromosome mec (SCCmec) from coagulase
negative staphylococci (CNS). However, it is unknown whether the same SCCmec
types are present in MRSA and CNS that reside in the same niche. Here we describe
a study to determine the presence of a potential mecA reservoir among CNS
recovered from 10 pig farms. The 44 strains belonged to 10 different
Staphylococcus species. All S. aureus strains belonged to sequence type 398
(ST398), with SCCmec types V and IVa. Type IVc, as well as types III and VI,
novel subtypes of type IV, and not-typeable types, were found in CNS. S. aureus,
S. epidermidis, and S. haemolyticus shared SCCmec type V. The presence of SCCmec
type IVc in several staphylococcal species isolated from one pig farm is
noteworthy, suggesting exchange of this SCCmec type in CNS, but the general
distribution of this SCCmec type still has to be established. In conclusion, this
study shows that SCCmec types among staphylococcal species on pig farms are
heterogeneous. On two farms, more than one recovered staphylococcal species
harbored the same SCCmec type. We conclude that staphylococci on pig farms act as
a reservoir of heterogeneous SCCmec elements. These staphylococci may act as a
source for transfer of SCCmec to S. aureus.
PMID- 22081568
TI - Colonization kinetics of different methicillin-resistant Staphylococcus aureus
sequence types in pigs and host susceptibilities.
AB - In this study we investigated the kinetics of colonization, the host
susceptibility and transmissibility of methicillin-resistant Staphylococcus
aureus (MRSA) after nasal treatment of pigs with three different MRSA strains of
distinctive clonal lineages (sequence type 398 [ST398], ST8, and ST9), and origin
in weaning piglets. The colonization dose of 5.0 * 10(8) CFU/animal was
determined in preliminary animal studies. A total of 57 piglets were randomly
divided into four test groups and one control group. Each of three test groups
was inoculated intranasally with either MRSA ST8, MRSA ST9, or MRSA ST398. The
fourth group was a mixture of animals inoculated with MRSA ST398 and
noninoculated "sentinel" animals. Clinical signs, the nasal, conjunctival, and
skin colonization of MRSA, fecal excretion, and organ distribution of MRSA, as
well as different environmental samples were examined. After nasal inoculation
with MRSA piglets of all four test groups showed no clinical signs of an MRSA
infection. MRSA was present on the nasal mucosa, skin, and conjunctiva in all
four test groups, including sentinel animals. Likewise, fecal excretion and
internal colonization of MRSA ST8, ST9, and ST398 could be shown in each group.
However, fecal excretion and the colonization rate of the nasal mucosa with MRSA
ST9 were significantly lower in the first days after infection than in test
groups infected with ST8 and ST398. The results of this study suggest differences
in colonization potential of the different MRSA types in pigs. Furthermore,
colonization of lymph nodes (e.g., the ileocecal lymph node) with MRSA of the
clonal lineage ST398 was demonstrated.
PMID- 22081569
TI - Diurnal variation in Enterococcus species composition in polluted ocean water and
a potential role for the enterococcal carotenoid in protection against
photoinactivation.
AB - Enterococcus species composition was determined each hour for 72 h at a polluted
marine beach in Avalon, Santa Catalina Island, CA. Species composition during the
day was significantly different from that at night, based on an analysis of
similarity. Enterococcus faecium and E. faecalis were more prevalent at night
than during the day, while E. hirae and other Enterococcus species were more
prevalent during the day than the night. Enterococcus spp. containing a yellow
pigment were more common during the day than the night, suggesting that the
pigmented phenotype may offer a competitive advantage under sunlit conditions. A
laboratory microcosm experiment established that the pigmented E. casseliflavus
isolate and a pigmented E. faecalis isolate recovered from the field site decay
slower than a nonpigmented E. faecalis isolate in a solar simulator in simulated,
clear seawater. This further supports the idea that the yellow carotenoid pigment
in Enterococcus provides protection under sunlit conditions. The findings are in
accordance with previous work with other carotenoid-containing nonphotosynthetic
and photosynthetic bacteria that suggests that the carotenoid is able to quench
reactive oxygen species capable of causing photoinactivation and photostress. The
results suggest that using enterococcal species composition as a microbial source
tracking tool may be hindered by the differential environmental persistence of
pigmented and nonpigmented enterococci.
PMID- 22081570
TI - Factors driving potential ammonia oxidation in Canadian arctic ecosystems: does
spatial scale matter?
AB - Ammonia oxidation is a major process in nitrogen cycling, and it plays a key role
in nitrogen limited soil ecosystems such as those in the arctic. Although mm
scale spatial dependency of ammonia oxidizers has been investigated, little is
known about the field-scale spatial dependency of aerobic ammonia oxidation
processes and ammonia-oxidizing archaeal and bacterial communities, particularly
in arctic soils. The purpose of this study was to explore the drivers of ammonia
oxidation at the field scale in cryosols (soils with permafrost within 1 m of the
surface). We measured aerobic ammonia oxidation potential (both autotrophic and
heterotrophic) and functional gene abundance (bacterial amoA and archaeal amoA)
in 279 soil samples collected from three arctic ecosystems. The variability
associated with quantifying genes was substantially less than the spatial
variability observed in these soils, suggesting that molecular methods can be
used reliably evaluate spatial dependency in arctic ecosystems. Ammonia-oxidizing
archaeal and bacterial communities and aerobic ammonia oxidation were spatially
autocorrelated. Gene abundances were spatially structured within 4 m, whereas
biochemical processes were structured within 40 m. Ammonia oxidation was driven
at small scales (<1m) by moisture and total organic carbon, whereas gene
abundance and other edaphic factors drove ammonia oxidation at medium (1 to 10 m)
and large (10 to 100 m) scales. In these arctic soils heterotrophs contributed
between 29 and 47% of total ammonia oxidation potential. The spatial scale for
aerobic ammonia oxidation genes differed from potential ammonia oxidation,
suggesting that in arctic ecosystems edaphic, rather than genetic, factors are an
important control on ammonia oxidation.
PMID- 22081571
TI - Abundance and composition of epiphytic bacterial and archaeal ammonia oxidizers
of marine red and brown macroalgae.
AB - Ammonia-oxidizing bacteria (AOB) and archaea (AOA) are important for nitrogen
cycling in marine ecosystems. Little is known about the diversity and abundance
of these organisms on the surface of marine macroalgae, despite the algae's
potential importance to create surfaces and local oxygen-rich environments
supporting ammonia oxidation at depths with low dissolved oxygen levels. We
determined the abundance and composition of the epiphytic bacterial and archaeal
ammonia-oxidizing communities on three species of macroalgae, Osmundaria
volubilis, Phyllophora crispa, and Laminaria rodriguezii, from the Balearic
Islands (western Mediterranean Sea). Quantitative PCR of bacterial and archaeal
16S rRNA and amoA genes was performed. In contrast to what has been shown for
most other marine environments, the macroalgae's surfaces were dominated by
bacterial amoA genes rather than those from the archaeal counterpart. On the
basis of the sequences retrieved from AOB and AOA amoA gene clone libraries from
each algal species, the bacterial ammonia-oxidizing communities were related to
Nitrosospira spp. and to Nitrosomonas europaea and only 6 out of 15 operational
taxonomic units (OTUs) were specific for the host species. Conversely, the AOA
diversity was higher (43 OTUs) and algal species specific, with 17 OTUs specific
for L. rodriguezii, 3 for O. volubilis, and 9 for P. crispa. Altogether, the
results suggest that marine macroalgae may exert an ecological niche for AOB in
marine environments, potentially through specific microbe-host interactions.
PMID- 22081573
TI - Genetic markers for rapid PCR-based identification of gull, Canada goose, duck,
and chicken fecal contamination in water.
AB - Avian feces contaminate waterways but contribute fewer human pathogens than human
sources. Rapid identification and quantification of avian contamination would
therefore be useful to prevent overestimation of human health risk. We used
subtractive hybridization of PCR-amplified gull fecal 16S RNA genes to identify
avian-specific fecal rRNA gene sequences. The subtracters were rRNA genes
amplified from human, dog, cat, cow, and pig feces. Recovered sequences were
related to Enterobacteriaceae (47%), Helicobacter (26%), Catellicoccus (11%),
Fusobacterium (11%), and Campylobacter (5%). Three PCR assays, designated GFB,
GFC, and GFD, were based on recovered sequence fragments. Quantitative PCR assays
for GFC and GFD were developed using SYBR green. GFC detected down to 0.1 mg gull
feces/100 ml (corresponding to 2 gull enterococci most probable number [MPN]/100
ml). GFD detected down to 0.1 mg chicken feces/100 ml (corresponding to 13
Escherichia coli MPN/100 ml). GFB and GFC were 97% and 94% specific to gulls,
respectively. GFC cross-reacted with 35% of sheep samples but occurred at about
100,000 times lower concentrations in sheep. GFD was 100% avian specific and
occurred in gulls, geese, chickens, and ducks. In the United States, Canada, and
New Zealand, the three markers differed in their geographic distributions but
were found across the range tested. These assays detected four important bird
groups contributing to fecal contamination of waterways: gulls, geese, ducks, and
chickens. Marker distributions across North America and in New Zealand suggest
that they will have broad applicability in other parts of the world as well.
PMID- 22081574
TI - Development of a simvastatin selection marker for a hyperthermophilic acidophile,
Sulfolobus islandicus.
AB - We report here a novel selectable marker for the hyperthermophilic crenarchaeon
Sulfolobus islandicus. The marker cassette is composed of the sac7d promoter and
the hmg gene coding for the 3-hydroxy-3-methylglutaryl coenzyme A (HMG-CoA)
reductase (P(sac7d)-hmg), which confers simvastatin resistance to this
crenarchaeon. The basic plasmid vector pSSR was constructed by substituting the
pyrEF gene of the expression vector pSeSD for P(sac7d)-hmg with which the
Sulfolobus expression plasmids pSSRlacS, pSSRAherA, and pSSRNherA were
constructed. Characterization of Sulfolobus transformants carrying pSSRlacS
indicated that the plasmid was properly maintained under selection. High-level
expression of the His(6)-tagged HerA helicase was obtained with the cells
harboring pSSRAherA. The establishment of two efficient selectable markers (pyrEF
and hmg) was subsequently exploited for genetic analysis. A herA merodiploid
strain of S. islandicus was constructed using pyrEF marker and used as the host
to obtain pSSRNherA transformant with simvastatin selection. While the gene
knockout (DeltaherA) cells generated from the herA merodiploid cells failed to
form colonies in the presence of 5-fluoroorotic acid (5-FOA), the mutant cells
could be rescued by expression of the gene from a plasmid (pSSRNherA), because
their transformants formed colonies on a solid medium containing 5-FOA and
simvastatin. This demonstrates that HerA is essential for cell viability of S.
islandicus. To our knowledge, this is the first application of an antibiotic
selectable marker in genetic study for a hyperthermophilic acidophile and in the
crenarchaeal lineage.
PMID- 22081575
TI - Controlled gene expression in bifidobacteria by use of a bile-responsive element.
AB - The promoter activity of the upstream region of the bile-inducible gene betA from
Bifidobacterium longum subsp. longum NCC2705 was characterized. DNA fragments
were cloned into the reporter vector pMDYAbfB, and the arabinofuranosidase
activity was determined under different in vitro conditions. A segment of 469 bp
was found to be the smallest operational unit that retains bile inducibility. The
reporter activity was strongly affected by the presence of ox gall, cholate, and
conjugated cholate, but not by other bile salts and cell-surface-acting
compounds. Remarkably, this bile-inducible system was also active in other
bifidobacteria containing betA homologs.
PMID- 22081576
TI - Efficient biostimulation of native and introduced quorum-quenching Rhodococcus
erythropolis populations is revealed by a combination of analytical chemistry,
microbiology, and pyrosequencing.
AB - Degradation of the quorum-sensing (QS) signals known as N-acylhomoserine lactones
(AHL) by soil bacteria may be useful as a beneficial trait for protecting crops,
such as potato plants, against the worldwide pathogen Pectobacterium. In this
work, analytical chemistry and microbial and molecular approaches were combined
to explore and compare biostimulation of native and introduced AHL-degrading
Rhodococcus erythropolis populations in the rhizosphere of potato plants
cultivated in farm greenhouses under hydroponic conditions. We first identified
gamma-heptalactone (GHL) as a novel biostimulating agent that efficiently
promotes plant root colonization by AHL-degrading R. erythropolis population. We
also characterized an AHL-degrading biocontrol R. erythropolis isolate, R138,
which was introduced in the potato rhizosphere. Moreover, root colonization by
AHL-degrading bacteria receiving different combinations of GHL and R138
treatments was compared by using a cultivation-based approach (percentage of AHL
degrading bacteria), pyrosequencing of PCR-amplified rrs loci (total bacterial
community), and quantitative PCR (qPCR) of the qsdA gene, which encodes an AHL
lactonase in R. erythropolis. Higher densities of the AHL-degrading R.
erythropolis population in the rhizosphere were observed when GHL treatment was
associated with biocontrol strain R138. Under this condition, the introduced R.
erythropolis population displaced the native R. erythropolis population. Finally,
chemical analyses revealed that GHL, gamma-caprolactone (GCL), and their by
products, gamma-hydroxyheptanoic acid and gamma-hydroxycaproic acid, rapidly
disappeared from the rhizosphere and did not accumulate in plant tissues. This
integrative study highlights biostimulation as a potential innovative approach
for improving root colonization by beneficial bacteria.
PMID- 22081577
TI - Isolation of bacteria capable of growth with 2-methylisoborneol and geosmin as
the sole carbon and energy sources.
AB - Using a relatively simple enrichment technique, geosmin and 2-methylisoborneol
(MIB)-biodegrading bacteria were isolated from a digestion basin in an
aquaculture unit. Comparison of 16S rRNA gene sequences affiliated one of the
three isolates with the Gram-positive genus Rhodococcus, while the other two
isolates were found to be closely related to the Gram-negative family
Comamonadaceae (Variovorax and Comamonas). Growth rates and geosmin and MIB
removal rates by the isolates were determined under aerated and nonaerated
conditions in mineral medium containing either of the two compounds as the sole
carbon and energy source. All isolates exhibited their fastest growth under
aerobic conditions, with generation times ranging from 3.1 to 5.7 h, compared to
generation times of up to 19.1 h in the nonaerated flasks. Incubation of the
isolates with additional carbon sources caused a significant increase in their
growth rates, while removal rates of geosmin and MIB were significantly lower
than those for incubation with only geosmin or MIB. By fluorescence in situ
hybridization, members of the genera Rhodococcus and Comamonas were detected in
geosmin- and MIB-enriched sludge from the digestion basin.
PMID- 22081572
TI - Cow teat skin, a potential source of diverse microbial populations for cheese
production.
AB - The diversity of the microbial community on cow teat skin was evaluated using a
culture-dependent method based on the use of different dairy-specific media,
followed by the identification of isolates by 16S rRNA gene sequencing. This was
combined with a direct molecular approach by cloning and 16S rRNA gene
sequencing. This study highlighted the large diversity of the bacterial community
that may be found on teat skin, where 79.8% of clones corresponded to various
unidentified species as well as 66 identified species, mainly belonging to those
commonly found in raw milk (Enterococcus, Pediococcus, Enterobacter, Pantoea,
Aerococcus, and Staphylococcus). Several of them, such as nonstarter lactic acid
bacteria (NSLAB), Staphylococcus, and Actinobacteria, may contribute to the
development of the sensory characteristics of cheese during ripening. Therefore,
teat skin could be an interesting source or vector of biodiversity for milk.
Variations of microbial counts and diversity between the farms studied have been
observed. Moreover, Staphylococcus auricularis, Staphylococcus devriesei,
Staphylococcus arlettae, Streptococcus bovis, Streptococcus equinus, Clavibacter
michiganensis, Coprococcus catus, or Arthrobacter gandavensis commensal bacteria
of teat skin and teat canal, as well as human skin, are not common in milk,
suggesting that there is a breakdown of microbial flow from animal to milk. It
would then be interesting to thoroughly study this microbial flow from teat to
milk.
PMID- 22081578
TI - Molecular diversity of the syndinean genus Euduboscquella based on single-cell
PCR analysis.
AB - The genus Euduboscquella is one of a few described genera within the syndinean
dinoflagellates, an enigmatic lineage with abundant diversity in marine
environmental clone libraries based on small subunit (SSU) rRNA. The region
composed of the SSU through to the partial large subunit (LSU) rRNA was
determined from 40 individual tintinnid ciliate loricae infected with
Euduboscquella sampled from eight surface water sites in the Northern Hemisphere,
producing seven distinct SSU sequences. The corresponding host SSU rRNA region
was also amplified from eight host species. The SSU tree of Euduboscquella and
syndinean group I sequences from environmental clones had seven well-supported
clades and one poorly supported clade across data sets from 57 to 692 total
sequences. The genus Euduboscquella consistently formed a supported monophyletic
clade within a single subclade of group I sequences. For most parasites with
identical SSU sequences, the more variable internal transcribed spacer (ITS) to
LSU rRNA regions were polymorphic at 3 to 10 sites. However, in E. cachoni there
was variation between ITS to LSU copies at up to 20 sites within an individual,
while in a parasite of Tintinnopsis spp., variation between different individuals
ranged up to 19 polymorphic sites. However, applying the compensatory base change
model to the ITS2 sequences suggested no compensatory changes within or between
individuals with the same SSU sequence, while one to four compensatory changes
between individuals with similar but not identical SSU sequences were found.
Comparisons between host and parasite phylogenies do not suggest a simple pattern
of host or parasite specificity.
PMID- 22081579
TI - Characterization of Phascolarctobacterium succinatutens sp. nov., an
asaccharolytic, succinate-utilizing bacterium isolated from human feces.
AB - Isolation, cultivation, and characterization of the intestinal microorganisms are
important for understanding the comprehensive physiology of the human
gastrointestinal (GI) tract microbiota. Here, we isolated two novel bacterial
strains, YIT 12067(T) and YIT 12068, from the feces of healthy human adults.
Phylogenetic analysis indicated that they belonged to the same species and were
most closely related to Phascolarctobacterium faecium ACM 3679(T), with 91.4% to
91.5% 16S rRNA gene sequence similarities, respectively. Substrate availability
tests revealed that the isolates used only succinate; they did not ferment any
other short-chain fatty acids or carbohydrates tested. When these strains were
cocultured with the xylan-utilizing and succinate-producing bacterium
Paraprevotella xylaniphila YIT 11841(T), in medium supplemented with xylan but
not succinate, their cell numbers became 2 to 3 orders of magnitude higher than
those of the monoculture; succinate became undetectable, and propionate was
formed. Database analysis revealed that over 200 uncultured bacterial clones from
the feces of humans and other mammals showed high sequence identity (>98.7%) to
YIT 12067(T). Real-time PCR analysis also revealed that YIT 12067(T)-like
bacteria were present in 21% of human fecal samples, at an average level of 3.34
* 10(8) cells/g feces. These results indicate that YIT 12067(T)-like bacteria are
distributed broadly in the GI tract as subdominant members that may adapt to the
intestinal environment by specializing to utilize the succinate generated by
other bacterial species. The phylogenetic and physiological properties of YIT
12067(T) and YIT 12068 suggest that these strains represent a novel species,
which we have designated Phascolarctobacterium succinatutens sp. nov.
PMID- 22081580
TI - Acinetobacter insertion sequence ISAba11 belongs to a novel family that encodes
transposases with a signature HHEK motif.
AB - Experimental and in silico PCR analysis targeting ISAba11 and TnAbaR islands in
196 epidemiologically unrelated Acinetobacter strains representative of >=19
species were performed. The first two Acinetobacter baumannii ISAba11 elements
identified had been found to map to the same site on TnAbaR transposons. However,
no further evidence of physical linkage between the two elements was
demonstrated. Indeed, examination of 25 definite or putative insertion sites
suggested limited sequence specificity. Importantly, an aacC1-tagged version of
ISAba11 was shown to actively transpose in A. baumannii. Similarity searches
identified nine iso-ISAba11 elements in Acinetobacter and one in Enhydrobacter
and single representatives of four distant homologs in bacteria belonging to the
phyla "Cyanobacteria" and Proteobacteria. Phylogenetic, sequence, and structural
analyses of ISAba11 and/or its associated transposase (Tnp(ISAba11)) suggested
that these elements be assigned to a new family. All five homologs encode
transposases with a shared extended signature comprising 16 invariant residues
within the N2, N3, and C1 regions, four of which constituted the cardinal ISAba11
family HHEK motif that is substituted for the YREK DNA binding motif conserved in
the IS4 family. Additionally, ISAba11 family members were associated with either
no flanking direct repeat (DR) or an ISAba11-typical 5-bp DR and possessed
variable-length terminal inverted repeats that exhibited extensive intrafamily
sequence identity. Given the limited pairwise identity among Tnp(ISAba11)
homologs and the observed restricted distribution of ISAba11, we propose that
substantial gaps persist in the evolutionary record of ISAba11 and that this
element represents a recent though potentially highly significant entrant into
the A. baumannii gene pool.
PMID- 22081581
TI - Community composition, toxigenicity, and environmental conditions during a
cyanobacterial bloom occurring along 1,100 kilometers of the Murray River.
AB - A cyanobacterial bloom impacted over 1,100 km of the Murray River, Australia, and
its tributaries in 2009. Physicochemical conditions in the river were optimal to
support a bloom at the time. The data suggest that at least three blooms occurred
concurrently in different sections of the river, with each having a different
community composition and associated cyanotoxin profile. Microscopic and genetic
analyses suggested the presence of potentially toxic Anabaena circinalis,
Microcystis flos-aquae, and Cylindrospermopsis raciborskii at many locations. Low
concentrations of saxitoxins and cylindrospermopsin were detected in Anabaena and
Cylindrospermopsis populations. A multiplex quantitative PCR was used, employing
novel oligonucleotide primers and fluorescent TaqMan probes, to examine bloom
toxigenicity. This single reaction method identified the presence of the major
cyanotoxin-producing species present in these environmental samples and also
quantified the various toxin biosynthesis genes. A large number of cells present
throughout the bloom were not potential toxin producers or were present in
numbers below the limit of detection of the assay and therefore not an immediate
health risk. Potential toxin-producing cells, possessing the cylindrospermopsin
biosynthesis gene (cyrA), predominated early in the bloom, while those possessing
the saxitoxin biosynthesis gene (sxtA) were more common toward its decline. In
this study, the concentrations of cyanotoxins measured via enzyme-linked
immunosorbent assay (ELISA) correlated positively with the respective toxin gene
copy numbers, indicating that the molecular method may be used as a proxy for
bloom risk assessment.
PMID- 22081582
TI - Extrafine aerosols and peripheral airway function in asthma.
PMID- 22081583
TI - Estimating the annual number of false negative cystic fibrosis newborn screening
tests.
PMID- 22081584
TI - Analysis of the associations between lung function and clinical features in
preschool children with cystic fibrosis.
AB - OBJECTIVE: To analyze cross-sectional and longitudinal associations between lung
function measures and clinical features in a cohort of preschool children with
cystic fibrosis (CF). METHODS: Longitudinal eight-center observational study of
children with CF aged 36-60 months at enrollment, who underwent semiannual
pulmonary function tests (PFTs) for up to 2 years consisting of spirometry (all 8
sites), forced oscillometry (FO, 5 sites), and measures of thoracoabdominal
asynchrony using respiratory inductive plethysmography (IP, 5 sites). RESULTS:
Ninety-three subjects were enrolled; 181 acceptable spirometry measurements from
71 subjects, 128 FO from 47 subjects, and 142 IP from 50 subjects were available
for analysis. Cross sectional analyses did not detect an association between any
PFT parameter at enrollment and Pseudomonas aeruginosa (Pa) status, CF gene
mutation class, Wisconsin cough score, Shwachman score, environmental tobacco
smoke exposure, family history of asthma, or nutritional indices. In longitudinal
analyses, Pa infection within 6 months preceding enrollment was associated with a
significantly greater rate of decline in z-scores for forced expiratory flow
between 25 and 75% of forced vital capacity (FEF(25-75) ) (-1.3 vs. -0.4 Z
scores/year, P = 0.024) and greater thoracoabdominal asynchrony measured by IP
(mean phase angle difference 4.6 degrees , P = 0.004). No other significant
longitudinal associations were observed. CONCLUSIONS: Prior Pa infection is
associated with a greater rate of decline in FEF(25-75) z-score and mild
thoracoabdominal asynchrony in preschool children with CF. In this multicenter US
study, significant associations between other lung function measures and clinical
features were not detected.
PMID- 22081585
TI - Kinetic modelling of DNA replication initiation in budding yeast.
AB - DNA replication is restricted to a specific time window of the cell cycle, called
S phase. Successful progression through S phase requires replication to be
properly regulated to ensure that the entire genome is duplicated exactly once,
without errors, in a timely fashion. As a result, DNA replication has evolved
into a tightly regulated process involving the coordinated action of numerous
factors that function in all phases of the cell cycle. Biochemical mechanisms
driving the eukaryotic cell division cycle have been the subject of a number of
mathematical models. However, cell cycle networks reported in literature so far
have not addressed the steps of DNA replication events. In particular, the
assembly of the replication machinery is crucial for the timing of S phase. This
event, called "initiation", which occurs in late M / early G1 of the cell cycle,
starts with the assembly of the pre-replicative complex (pre-RC) at the origins
of replication on the DNA. Its activation depends on the availability of
different kinase complexes, cyclin-dependent kinases (CDKs) and Dbf-dependent
kinase (DDK), which phosphorylate specific components of the pre-RC to convert it
into the pre-initiation complex (pre-IC). We have developed an ODE-based model of
the network responsible for this process in budding yeast by using mass-action
kinetics. We considered all steps from the assembly of the first components at
the DNA replication origin up to the active replisome that recruits the
polymerases and verified the computational dynamics with the available literature
data. Our results highlighted the link between activation of CDK and DDK and the
step-by-step formation of both pre-RC and pre-IC, suggesting S-CDK (Cdk1-Clb5,6)
to be the main regulator of the process.
PMID- 22081586
TI - Predicting protein complex geometries with linear scoring functions.
AB - Protein-Protein interactions play an important role in many cellular processes.
However experimental determination of the protein complex structure is quite
difficult and time consuming. Hence, there is need for fast and accurate in
silico protein docking methods. These methods generally consist of two stages:
(i) a sampling algorithm that generates a large number of candidate complex
geometries (decoys), and (ii) a scoring function that ranks these decoys such
that nearnative decoys are higher ranked than other decoys. We have recently
developed a neural network based scoring function that performed better than
other state-of-the-art scoring functions on a benchmark of 65 protein complexes.
Here, we use similar ideas to develop a method that is based on linear scoring
functions. We compare the linear scoring function of the present study with other
knowledge-based scoring functions such as ZDOCK 3.0, ZRANK and the previously
developed neural network. Despite its simplicity the linear scoring function
performs as good as the compared state-of-the-art methods and predictions are
simple and rapid to compute.
PMID- 22081587
TI - Characterizing common substructures of ligands for GPCR protein subfamilies.
AB - The G-protein coupled receptor (GPCR) superfamily is the largest class of
proteins with therapeutic value. More than 40% of present prescription drugs are
GPCR ligands. The high therapeutic value of GPCR proteins and recent advancements
in virtual screening methods gave rise to many virtual screening studies for GPCR
ligands. However, in spite of vast amounts of research studying their functions
and characteristics, 3D structures of most GPCRs are still unknown. This makes
target-based virtual screenings of GPCR ligands extremely difficult, and
successful virtual screening techniques rely heavily on ligand information. These
virtual screening methods focus on specific features of ligands on GPCR protein
level, and common features of ligands on higher levels of GPCR classification are
yet to be studied. Here we extracted common substructures of GPCR ligands of GPCR
protein subfamilies. We used the SIMCOMP, a graph-based chemical structure
comparison program, and hierarchical clustering to reveal common substructures.
We applied our method to 850 GPCR ligands and we found 53 common substructures
covering 439 ligands. These substructures contribute to deeper understanding of
structural features of GPCR ligands which can be used in new drug discovery
methods.
PMID- 22081589
TI - Comparison of gene expression profiles produced by CAGE, illumina microarray and
real time RT-PCR.
AB - Several technologies are currently used for gene expression profiling, such as
Real Time RT-PCR, microarray and CAGE (Cap Analysis of Gene Expression). CAGE is
a recently developed method for constructing transcriptome maps and it has been
successfully applied to analyzing gene expressions in diverse biological studies.
The principle of CAGE has been developed to address specific issues such as
determination of transcriptional starting sites, the study of promoter regions
and identification of new transcripts. Here, we present both quantitative and
qualitative comparisons among three major gene expression quantification
techniques, namely: CAGE, illumina microarray and Real Time RT-PCR, by showing
that the quantitative values of each method are not interchangeable, however,
each of them has unique characteristics which render all of them essential and
complementary. Understanding the advantages and disadvantages of each technology
will be useful in selecting the most appropriate technique for a determined
purpose.
PMID- 22081588
TI - A systems biology approach: modelling of Aquaporin-2 trafficking.
AB - In healthy individuals, dehydration of the body leads to release of the hormone
vasopressin from the pituitary. Via the bloodstream, vasopressin reaches the
collecting duct cells in the kidney, where the water channel Aquaporin-2 (AQP2)
is expressed. After stimulation of the vasopressin V2 receptor by vasopressin,
intracellular AQP2-containing vesicles fuse with the apical plasma membrane of
the collecting duct cells. This leads to increased water reabsorption from the
pro-urine into the blood and therefore to enhanced retention of water within the
body. Using existing biological data we propose a mathematical model of AQP-2
trafficking and regulation in collecting duct cells. Our model includes the
vasopressin receptor, adenylate cyclase, protein kinase A, and intracellular as
well as membrane located AQP2. To model the chemical reactions we used ordinary
differential equations (ODEs) based on mass action kinetics. We employ known
protein concentrations and time series data to estimate the kinetic parameters of
our model and demonstrate its validity. Through generating, testing and ranking
different versions of the model, we show that some model versions can describe
the data well as soon as important regulatory parts such as the reduction of the
signal by internalization of the vasopressin-receptor or the negative feedback
loop representing phosphodiesterase activity are included. We perform time
dependent sensitivity analysis to identify the reactions that have the greatest
influence on the cAMP and membrane located AQP2 levels over time. We predict the
time courses for membrane located AQP2 at different vasopressin concentrations,
compare them with newly generated data and discuss the competencies of the model.
PMID- 22081591
TI - Gene regulatory network clustering for graph layout based on microarray gene
expression data.
AB - We propose a statistical model realizing simultaneous estimation of gene
regulatory network and gene module identification from time series gene
expression data from microarray experiments. Under the assumption that genes in
the same module are densely connected, the proposed method detects gene modules
based on the variational Bayesian technique. The model can also incorporate
existing biological prior knowledge such as protein subcellular localization. We
apply the proposed model to the time series data from a synthetically generated
network and verified the effectiveness of the proposed model. The proposed model
is also applied the time series microarray data from HeLa cell. Detected gene
module information gives the great help on drawing the estimated gene network.
PMID- 22081590
TI - On the performance of methods for finding a switching mechanism in gene
expression.
AB - We address an issue of detecting a switching mechanism in gene expression, where
two genes are positively correlated for one experimental condition while they are
negatively correlated for another. We compare the performance of existing methods
for this issue, roughly divided into two types: interaction test (IT) and the
difference of correlation coefficients. Interaction test, currently a standard
approach for detecting epistasis in genetics, is the log-likelihood ratio test
between two logistic regressions with/without an interaction term, resulting in
checking the strength of interaction between two genes. On the other hand, two
correlation coefficients can be computed for two experimental conditions and the
difference of them shows the alteration of expression trends in a more
straightforward manner. In our experiments, we tested three different types of
correlation coefficients: Pearson, Spearman and a midcorrelation (biweight
midcorrelation). The experiment was performed by using ~ 2.3 * 10(9) combinations
selected out of the GEO (Gene Expression Omnibus) database. We sorted all
combinations according to the p-values of IT or by the absolute values of the
difference of correlation coefficients and then visually evaluated the top ranked
combinations in terms of the switching mechanism. The result showed that 1)
combinations detected by IT included non-switching combinations and 2) Pearson
was affected by outliers easily while Spearman and the midcorrelation seemed
likely to avoid them.
PMID- 22081592
TI - Fluxviz - Cytoscape plug-in for visualization of flux distributions in networks.
AB - MOTIVATION: Methods like FBA and kinetic modeling are widely used to calculate
fluxes in metabolic networks. For the analysis and understanding of simulation
results and experimentally measured fluxes visualization software within the
network context is indispensable. RESULTS: We present Flux Viz, an open-source
Cytoscape plug-in for the visualization of flux distributions in molecular
interaction networks. FluxViz supports (i) import of networks in a variety of
formats (SBML, GML, XGMML, SIF, BioPAX, PSI-MI) (ii) import of flux distributions
as CSV, Cytoscape attributes or VAL files (iii) limitation of views to flux
carrying reactions (flux subnetwork) or network attributes like localization (iv)
export of generated views (SVG, EPS, PDF, BMP, PNG). Though FluxViz was primarily
developed as tool for the visualization of fluxes in metabolic networks and the
analysis of simulation results from FASIMU, a flexible software for batch flux
balance computation in large metabolic networks, it is not limited to biochemical
reaction networks and FBA but can be applied to the visualization of arbitrary
fluxes in arbitrary graphs. AVAILABILITY: The platform-independent program is an
open-source project, freely available at
http://sourceforge.net/projects/fluxvizplugin/ under GNU public license,
including manual, tutorial and examples.
PMID- 22081593
TI - Comprehensive genomic analysis of sulfur-relay pathway genes.
AB - Many cofactors and nucleotides containing sulfur atoms are known to have
important functions in a variety of organisms. Recently, the biosynthetic
pathways of these sulfur containing compounds have been revealed, where many
enzymes relay sulfur atoms. Increasing evidence also suggests that the
prokaryotic sulfur-relay enzymes might be the evolutionary origin of
ubiquitination and the related systems that control a wide range of physiological
processes in eukaryotic cells. However, these sulfur-relay enzymes have been
studied in only a small number of organisms. Here we carried out comparative
genomic analysis and examined the presence and absence of sulfurtransferases
utilized in the biosynthetic pathways of molybdenum cofactor (Moco), 2
thiouridine (S(2)U), and 4-thiouridine (S(4)U), and IscS, a cysteine desulfurase.
We found that all eukaryotes and many other organisms lack the intermediate
enzymes in S(2)U biosynthesis. It is also found that most genes lack rhodanese
homology domain (RHD), a catalytic domain of sulfurtransferase. Some organisms
have a conserved sequence composed of about 100 residues in the C terminus of
TusA, different from RHD. Host-associated organisms have a tendency to lose Moco
biosynthetic enzymes, and some organisms have MoaD-MoaE fusion protein. Our
findings suggest that sulfur-relay pathways have been so diversified that some
putative sulfurtransferases possibly function in other unknown pathways.
PMID- 22081595
TI - Genome-wide analysis of plant UGT family based on sequence and substrate
information.
AB - UGTs (UDP glycosyltransferase) are the largest glycosyltransferase gene family in
higher plants, modifying secondary metabolites, hormones, and xenobiotics. This
gene family plays an important role in the vast diversity of plant secondary
metabolites specific to species. Experimental data of biochemical activities and
physiological roles of plant UGTs are increasing but most UGTs are not still
functionally characterized. To understand their catalytic specificity and
function from sequence data, phylogenetic analyses have been achieved mainly in
Arabidopsis, but massive and comprehensive approach covering various species has
not been applied yet. In this study, we collected 733 UGT sequences derived from
96 plant species and 252 substrate specificity data. We constructed a
phylogenetic tree and divided most part of these genes into nine sequence groups,
which are characterized by biochemical specificity. Furthermore, we performed
genome-wide analysis of seven plant species UGTs by mapping them into these
groups. We propose this is the first step to understand whole glycosylated
secondary metabolites of each plant species from its genome information.
PMID- 22081594
TI - Phylogenetic analysis of lipid mediator GPCRs.
AB - Lipid mediator is the collective term for prostanoids, leukotrienes,
lysophospholipids, platelet-activating factor, endocannabinoids and other
bioactive lipids, that are involved in various physiological functions including
inflammation, immune regulation and cellular development. They act by binding to
their ligand-specific G-protein coupled receptors (GPCRs). Since 1990's a number
of lipid GPCRs have been cloned in humans, with a few more identified in other
vertebrates. However, the conservation of these receptors has been poorly
investigated in other eukaryotes. Herein we performed a phylogenetic analysis by
collecting their orthologs in 13 eukaryotes with complete genomes. The analysis
shows that orthologs for prostanoid receptors are likely to be conserved in the
13 eukaryotes. In contrast, those for lysophospholipid and cannabinoid receptors
appear to be conserved only in vertebrates and chordates. Receptors for
leukotrienes and other bioactive lipids are limited to vertebrates. These results
indicate that the lipid mediators and their receptors have coevolved with the
development of highly modulated physiological functions such as immune regulation
and the formation of the central nervous system. Accordingly, examining the
presence and role of lipid mediator GPCR orthologs in invertebrate species can
provide insight into the development of fundamental biological processes across
diverse taxa.
PMID- 22081597
TI - Analyzing gene coexpression data by an evolutionary model.
AB - Coexpressed genes are tentatively translated into proteins that are involved in
similar biological functions. Here, we constructed gene coexpression networks
from collected microarray data of the organisms Arabidopsis thaliana,
Saccharomyces cerevisiae, and Escherichia coli. Their degree distributions show
the common property of an overrepresentation of highly connected nodes followed
by a sudden truncation. In order to analyze this behavior, we present an
evolutionary model simulating the genetic evolution. This model assumes that new
genes emerge by duplication from a small initial set of primordial genes. Our
model does not include the removal of unused genes but selective pressure is
indirectly taken into account by preferentially duplicating the old genes. Thus,
gene duplication represents the emergence of a new gene and its successful
establishment. After a duplication event, all genes are slightly but iteratively
mutated, thus altering their expression patterns. Our model is capable of
reproducing global properties of the investigated coexpression networks. We show
that our model reflects the mean inter-node distances and especially the
characteristic humps in the degree distribution that, in the biological examples,
result from functionally related genes.
PMID- 22081596
TI - Robust gene network analysis reveals alteration of the STAT5a network as a
hallmark of prostate cancer.
AB - We develop a general method to identify gene networks from pair-wise correlations
between genes in a microarray data set and apply it to a public prostate cancer
gene expression data from 69 primary prostate tumors. We define the degree of a
node as the number of genes significantly associated with the node and identify
hub genes as those with the highest degree. The correlation network was pruned
using transcription factor binding information in VisANT (http://visant.bu.edu/)
as a biological filter. The reliability of hub genes was determined using a
strict permutation test. Separate networks for normal prostate samples, and
prostate cancer samples from African Americans (AA) and European Americans (EA)
were generated and compared. We found that the same hubs control disease
progression in AA and EA networks. Combining AA and EA samples, we generated
networks for low low (<7) and high (>=7) Gleason grade tumors. A comparison of
their major hubs with those of the network for normal samples identified two
types of changes associated with disease: (i) Some hub genes increased their
degree in the tumor network compared to their degree in the normal network,
suggesting that these genes are associated with gain of regulatory control in
cancer (e.g. possible turning on of oncogenes). (ii) Some hubs reduced their
degree in the tumor network compared to their degree in the normal network,
suggesting that these genes are associated with loss of regulatory control in
cancer (e.g. possible loss of tumor suppressor genes). A striking result was that
for both AA and EA tumor samples, STAT5a, CEBPB and EGR1 are major hubs that gain
neighbors compared to the normal prostate network. Conversely, HIF-lalpha is a
major hub that loses connections in the prostate cancer network compared to the
normal prostate network. We also find that the degree of these hubs changes
progressively from normal to low grade to high grade disease, suggesting that
these hubs are master regulators of prostate cancer and marks disease
progression. STAT5a was identified as a central hub, with ~120 neighbors in the
prostate cancer network and only 81 neighbors in the normal prostate network. Of
the 120 neighbors of STAT5a, 57 are known cancer related genes, known to be
involved in functional pathways associated with tumorigenesis. Our method is
general and can easily be extended to identify and study networks associated with
any two phenotypes.
PMID- 22081598
TI - Collocation-based sparse estimation for constructing dynamic gene networks.
AB - One of the open problems in systems biology is to infer dynamic gene networks
describing the underlying biological process with mathematical, statistical and
computational methods. The first-order difference equation-based models such as
dynamic Bayesian networks and vector autoregressive models were used to infer
time-lagged relationships between genes from time-series microarray data.
However, two primary problems greatly reduce the effectiveness of current
approaches. The first problem is the tacit assumption that time lag is
stationary. The second is the inseparability between measurement noise and
process noise (unmeasured disturbances that pass through time process). To
address these problems, we propose a stochastic differential equation model for
inferring continuous-time dynamic gene networks under the situation in which both
of the process noise and the observation noise exist. We present a collocation
based sparse estimation for simultaneous parameter estimation and model selection
in the model. The collocation-based approach requires considerably less
computational effort than traditional methods in ordinary stochastic differential
equation models. We also incorporate various biological knowledge easily to
refine the estimation accuracy with the proposed method. The results using
simulated data and real time-series expression data of human primary small airway
epithelial cells demonstrate that the proposed approach outperforms competing
approaches and can provide significant genes influenced by gefitinib.
PMID- 22081599
TI - Different groups of metabolic genes cluster around early and late firing origins
of replication in budding yeast.
AB - DNA replication is a fundamental process that is tightly regulated during the
cell cycle. In budding yeast it starts from multiple origins of replication and
proceeds in a timely fashion according to a reproducible temporal program until
the entire DNA is replicated exactly once per cell cycle. In this program an
origin seems to have an inherent firing probability at a specific time in S-phase
that is conserved over the population. However, what exactly determines the
origin initiation time remains obscure. In this work, we analyze the gene content
that clusters around replication origins following the assumption that inherent
origin properties that determine staggered initiation times could potentially be
mirrored in the close origin proximity. We perform a Gene Ontology term
enrichment test and find that metabolic genes are significantly over-represented
in the regions that are close to the starting points of DNA replication.
Furthermore, functional analysis also reveals that catabolic genes cluster around
early firing origins, whereas anabolic genes can rather be found in the proximity
of late firing origins of replication. We speculate that, in budding yeast, gene
function around replication origins correlates with their intrinsic probability
to initiate DNA replication at a given point in S-phase.
PMID- 22081600
TI - Integer programming-based method for completing signaling pathways and its
application to analysis of colorectal cancer.
AB - Signaling pathways are often represented by networks where each node corresponds
to a protein and each edge corresponds to a relationship between nodes such as
activation, inhibition and binding. However, such signaling pathways in a cell
may be affected by genetic and epigenetic alteration. Some edges may be deleted
and some edges may be newly added. The current knowledge about known signaling
pathways is available on some public databases, but most of the signaling
pathways including changes upon the cell state alterations remain largely
unknown. In this paper, we develop an integer programming-based method for
inferring such changes by using gene expression data. We test our method on its
ability to reconstruct the pathway of colorectal cancer in the KEGG database.
PMID- 22081601
TI - G1 and G2 arrests in response to osmotic shock are robust properties of the
budding yeast cell cycle.
AB - Boolean modeling has been successfully applied to the budding yeast cell cycle to
demonstrate that both its structure and its timing are robustly designed.
However, from these studies few conclusions can be drawn how robust the cell
cycle arrest upon osmotic stress and pheromone exposure might be. We therefore
implement a compact Boolean model of the S. cerevisiae cell cycle including its
interfaces with the High Osmolarity Glycerol (HOG) and the pheromone pathways. We
show that all initial states of our model robustly converge to a cyclic attractor
in the absence of stress inputs whereas pheromone exposure and osmotic stress
lead to convergence to singleton states which correspond to G1 and G2 arrest in
silico. A comparison with random Boolean networks reveals, that cell cycle arrest
under osmotic stress is a highly robust property of the yeast cell cycle. We
implemented our model using the novel frontend booleannetGUI to the python
software booleannet.
PMID- 22081602
TI - A dynamic programming algorithm to predict synthesis processes of tree-structured
compounds with graph grammar.
AB - For several decades, many methods have been developed for predicting organic
synthesis paths. However these methods have non-polynomial computational time. In
this paper, we propose a bottom-up dynamic programming algorithm to predict
synthesis paths of target tree-structured compounds. In this approach, we
transform the synthesis problem of tree-structured compounds to the generation
problem of unordered trees by regarding tree-structured compounds and chemical
reactions as unordered trees and rules, respectively. In order to represent rules
corresponding to chemical reactions, we employ a subclass of NLC (Node Label
Controlled) grammars. We also give some computational results on this algorithm.
PMID- 22081603
TI - Studies of binding of tumor necrosis factor (TNF)-like weak inducer of apoptosis
(TWEAK) to fibroblast growth factor inducible 14 (Fn14).
AB - To perform highly sensitive cellular binding studies with TNF-like weak inducer
of apoptosis (TWEAK), we developed a bioluminescent variant of soluble TWEAK (GpL
FLAG-TNC-TWEAK) by fusing it genetically to the C terminus of the luciferase of
Gaussia princeps (GpL). Equilibrium binding studies on human (HT1080 and HT29)
and murine (Renca and B16) cell lines at 37 degrees C revealed high affinities
of human TWEAK from 53 to 112 pm. The dissociation rate constant of the TWEAK
Fn14 interaction was between 0.48*10(-3) s(-1) (HT29) and 0.58*10(-3) s(-1)
(HT1080) for the human molecules, and the association rate constant obtained was
3.3*10(6) m(-1) s(-1) for both cell lines. It has been shown previously that
oligomerization of soluble TWEAK trimers results in enhanced Fn14-mediated
activation of the classical NFkappaB pathway. Binding studies with GpL-FLAG-TNC
TWEAK trimers oligomerized by help of a FLAG tag-specific antibody gave no
evidence for a major increase in Fn14 occupancy by oligomerized ligand despite
strongly enhanced induction of the NFkappaB target IL8. Thus, aggregated
complexes of soluble TWEAK and Fn14 have a higher intrinsic activity to stimulate
the classical NFkappaB pathway and qualitatively differ from isolated trimeric
TWEAK-Fn14 complexes. Furthermore, determination of IL8 induction as a function
of occupied activated receptors revealed that the intrinsic capability of TNFR1
to stimulate the classical NFkappaB pathway and IL8 production was ~100-fold
higher than Fn14. Thus, although ~25 activated TNFR1 trimers were sufficient to
trigger half-maximal IL8 production, more than 2500 cell-bound oligomerized TWEAK
trimers were required to elicit a similar response.
PMID- 22081604
TI - Low resolution structure and dynamics of a colicin-receptor complex determined by
neutron scattering.
AB - Proteins that translocate across cell membranes need to overcome a significant
hydrophobic barrier. This is usually accomplished via specialized protein
complexes, which provide a polar transmembrane pore. Exceptions to this include
bacterial toxins, which insert into and cross the lipid bilayer itself. We are
studying the mechanism by which large antibacterial proteins enter Escherichia
coli via specific outer membrane proteins. Here we describe the use of neutron
scattering to investigate the interaction of colicin N with its outer membrane
receptor protein OmpF. The positions of lipids, colicin N, and OmpF were
separately resolved within complex structures by the use of selective
deuteration. Neutron reflectivity showed, in real time, that OmpF mediates the
insertion of colicin N into lipid monolayers. This data were complemented by
Brewster Angle Microscopy images, which showed a lateral association of OmpF in
the presence of colicin N. Small angle neutron scattering experiments then
defined the three-dimensional structure of the colicin N-OmpF complex. This
revealed that colicin N unfolds and binds to the OmpF-lipid interface. The
implications of this unfolding step for colicin translocation across membranes
are discussed.
PMID- 22081605
TI - Galactose differentially modulates lunatic and manic fringe effects on Delta1
induced NOTCH signaling.
AB - NOTCH signaling induced by Delta1 (DLL1) and Jagged1 (JAG1) NOTCH ligands is
modulated by the beta3N-acetylglucosaminyl transferase Fringe. LFNG (Lunatic
Fringe) and MFNG (Manic Fringe) transfer N-acetylglucosamine (GlcNAc) to O-fucose
attached to EGF-like repeats of NOTCH receptors. In co-culture NOTCH signaling
assays, LFNG generally enhances DLL1-induced, but inhibits JAG1-induced, NOTCH
signaling. In mutant Chinese hamster ovary (CHO) cells that do not add galactose
(Gal) to the GlcNAc transferred by Fringe, JAG1-induced NOTCH signaling is not
inhibited by LFNG or MFNG. In mouse embryos lacking B4galt1, NOTCH signaling is
subtly reduced during somitogenesis. Here we show that DLL1-induced NOTCH
signaling in CHO cells was enhanced by LFNG, but this did not occur in either
Lec8 or Lec20 CHO mutants lacking Gal on O-fucose glycans. Lec20 mutants
corrected with a B4galt1 cDNA became responsive to LFNG. By contrast, MFNG
promoted DLL1-induced NOTCH signaling better in the absence of Gal than in its
presence. This effect was reversed in Lec8 cells corrected by expression of a UDP
Gal transporter cDNA. The MFNG effect was abolished by a DDD to DDA mutation that
inactivates MFNG GlcNAc transferase activity. The binding of soluble NOTCH
ligands and NOTCH1/EGF1-36 generally reflected changes in NOTCH signaling caused
by LFNG and MFNG. Therefore, the presence of Gal on O-fucose glycans
differentially affects DLL1-induced NOTCH signaling modulated by LFNG versus
MFNG. Gal enhances the effect of LFNG but inhibits the effect of MFNG on DLL1
induced NOTCH signaling, with functional consequences for regulating the strength
of NOTCH signaling.
PMID- 22081606
TI - Serine/threonine phosphatase Stp1 mediates post-transcriptional regulation of
hemolysin, autolysis, and virulence of group B Streptococcus.
AB - Elucidating how serine/threonine phosphatases regulate kinase function and
bacterial virulence is critical for our ability to combat these infections. Group
B streptococci (GBS) are beta-hemolytic Gram-positive bacteria that cause
invasive infections in humans. To adapt to environmental changes, GBS encodes
signaling mechanisms comprising two component systems and eukaryotic-like
enzymes. We have previously described the importance of the serine/threonine
kinase Stk1 to GBS pathogenesis. However, how the presence or absence of the
cognate serine/threonine phosphatase Stp1 affects Stk1 function and GBS virulence
is not known. Here, we show that GBS deficient only in Stp1 expression are
markedly reduced for their ability to cause systemic infections, exhibit
decreased beta-hemolysin/cytolysin activity, and show increased sensitivity to
autolysis. Although transcription of genes important for beta-hemolysin/cytolysin
expression and export is similar to the wild type (WT), 294 genes (excluding
stp1) showed altered expression in the stp1 mutant and included autolysin genes.
Furthermore, phosphopeptide enrichment analysis identified that 35
serine/threonine phosphopeptides, corresponding to 27 proteins, were unique to
the stp1 mutant. This included phosphorylation of ATP synthase, DNA and RNA
helicases, and proteins important for cell division and protein synthesis.
Collectively, our results indicate that Stp1 is important for appropriate
regulation of Stk1 function, hemolysin activity, autolysis, and GBS virulence.
PMID- 22081607
TI - DHHC5 protein palmitoylates flotillin-2 and is rapidly degraded on induction of
neuronal differentiation in cultured cells.
AB - Post-translational palmitoylation of intracellular proteins is mediated by
protein palmitoyltransferases belonging to the DHHC family, which share a common
catalytic Asp-His-His-Cys (DHHC) motif. Several members have been implicated in
neuronal development, neurotransmission, and synaptic plasticity. We previously
observed that mice homozygous for a hypomorphic allele of the ZDHHC5 gene are
impaired in context-dependent learning and memory. To identify potentially
relevant protein substrates of DHHC5, we performed a quantitative proteomic
analysis of stable isotope-labeled neuronal stem cell cultures from forebrains of
normal and DHHC5-GT (gene-trapped) mice using the bioorthogonal palmitate analog
17-octadecynoic acid. We identified ~300 17-octadecynoic acid-modified and
hydroxylamine-sensitive proteins, of which a subset was decreased in abundance in
DHHC5-GT cells. Palmitoylation and oligomerization of one of these proteins
(flotillin-2) was abolished in DHHC5-GT neuronal stem cells. In COS-1 cells,
overexpression of DHHC5 markedly stimulated the palmitoylation of flotillin-2,
strongly suggesting a direct enzyme-substrate relationship. Serendipitously, we
found that down-regulation of DHHC5 was triggered within minutes following growth
factor withdrawal from normal neural stem cells, a maneuver that is used to
induce neural differentiation in culture. The effect was reversible for up to 4
h, and degradation was partially prevented by inhibitors of ubiquitin-mediated
proteolysis. These findings suggest that protein palmitoylation can be regulated
through changes in DHHC PAT levels in response to differentiation signals.
PMID- 22081608
TI - Identification of novel GDNF isoforms and cis-antisense GDNFOS gene and their
regulation in human middle temporal gyrus of Alzheimer disease.
AB - Primate-specific genes and isoforms could provide insight into human brain
diseases. Our bioinformatic analysis revealed that there are possibly five
isoforms of human GDNF gene with different pre- and pro-regions by inter- and
intra-exon splicing. By using TaqMan primer probe sets, designed between exons,
we verified the expression of all isoforms. Furthermore, a novel GDNFOS gene was
found to be transcribed from the opposite strand of GDNF gene. GDNFOS gene has
four exons that are spliced into different isoforms. GDNFOS1 and GDNFOS2 are long
noncoding RNAs, and GDNFOS3 encodes a protein of 105 amino acids. To study human
GDNF and GDNFOS regulation in neurodegenerative diseases, the protein and mRNA
levels were measured by Western blot and RT-quantitative PCR, respectively, in
postmortem middle temporal gyrus (MTG) of Alzheimer disease (AD) and Huntington
disease (HD) patients in comparison with those of normal controls. In the MTG of
AD patients, the mature GDNF peptide was down-regulated; however, the transcript
of GDNF isoform from human exon 2 was up-regulated, whereas that of the conserved
isoform from exon 1 remained unchanged in comparison with those of normal
controls. In contrast, the mature GDNF peptide and the isoform mRNA levels were
not changed in the MTG of HD. The findings of novel GDNF and GDNFOS isoforms and
differences in tissue expression patterns dysregulated in AD brains may further
reveal the role of endogenous GDNF in human brain diseases.
PMID- 22081609
TI - Fat-derived factor omentin stimulates endothelial cell function and ischemia
induced revascularization via endothelial nitric oxide synthase-dependent
mechanism.
AB - Obesity-related diseases are associated with vascular dysfunction and impaired
revascularization. Omentin is a fat-derived secreted protein, which is down
regulated in association with obese complications. Here, we investigated whether
omentin modulates endothelial cell function and revascularization processes in
vitro and in vivo. Systemic delivery of an adenoviral vector expressing omentin
(Ad-omentin) enhanced blood flow recovery and capillary density in ischemic limbs
of wild-type mice in vivo, which were accompanied by increased phosphorylation of
Akt and endothelial nitric oxide synthase (eNOS). In cultured human umbilical
vein endothelial cells (HUVECs), a physiological concentration of recombinant
omentin protein increased differentiation into vascular-like structures and
decreased apoptotic activity under conditions of serum starvation. Treatment with
omentin protein stimulated the phosphorylation of Akt and eNOS in HUVECs.
Inhibition of Akt signaling by treatment with dominant-negative Akt or LY294002
blocked the stimulatory effects of omentin on differentiation and survival of
HUVECs and reversed omentin-stimulated eNOS phosphorylation. Pretreatment with
the NOS inhibitor also reduced the omentin-induced increase in HUVEC
differentiation and survival. Omentin protein also stimulated the phosphorylation
of AMP-activated protein kinase in HUVECs. Transduction with dominant-negative
AMP-activated protein kinase diminished omentin-induced phosphorylation of Akt
and omentin-stimulated increase in HUVEC differentiation and survival. Of
importance, in contrast to wild-type mice, systemic administration of Ad-omentin
did not affect blood flow in ischemic muscle in eNOS-deficient mice in vivo.
These data indicate that omentin promotes endothelial cell function and
revascularization in response to ischemia through its ability to stimulate an Akt
eNOS signaling pathway.
PMID- 22081610
TI - Aquaporin-9 protein is the primary route of hepatocyte glycerol uptake for
glycerol gluconeogenesis in mice.
AB - It has been hypothesized that aquaporin-9 (AQP9) is part of the unknown route of
hepatocyte glycerol uptake. In a previous study, leptin receptor-deficient wild
type mice became diabetic and suffered from fasting hyperglycemia whereas
isogenic AQP9(-/-) knock-out mice remained normoglycemic. The reason for this
improvement in AQP9(-/-) mice was not established before. Here, we show increased
glucose output (by 123% +/- 36% S.E.) in primary hepatocyte culture when 0.5 mM
extracellular glycerol was added. This increase depended on AQP9 because it was
absent in AQP9(-/-) cells. Likewise, the increase was abolished by 25 MUM
HTS13286 (IC(50) ~ 2 MUM), a novel AQP9 inhibitor, which we identified in a small
molecule library screen. Similarly, AQP9 deletion or chemical inhibition
eliminated glycerol-enhanced glucose output in perfused liver preparations. The
following control experiments suggested inhibitor specificity to AQP9: (i)
HTS13286 affected solute permeability in cell lines expressing AQP9, but not in
cell lines expressing AQPs 3, 7, or 8. (ii) HTS13286 did not influence lactate-
and pyruvate-dependent hepatocyte glucose output. (iii) HTS13286 did not affect
glycerol kinase activity. Our experiments establish AQP9 as the primary route of
hepatocyte glycerol uptake for gluconeogenesis and thereby explain the previously
observed, alleviated diabetes in leptin receptor-deficient AQP9(-/-) mice.
PMID- 22081611
TI - Insight into molecular basis of curing of [PSI+] prion by overexpression of 104
kDa heat shock protein (Hsp104).
AB - Yeast prions are a powerful model for understanding the dynamics of protein
aggregation associated with a number of human neurodegenerative disorders. The
AAA+ protein disaggregase Hsp104 can sever the amyloid fibrils produced by yeast
prions. This action results in the propagation of "seeds" that are transmitted to
daughter cells during budding. Overexpression of Hsp104 eliminates the [PSI+]
prion but not other prions. Using biochemical methods we identified Hsp104
binding sites in the highly charged middle domain of Sup35, the protein
determinant of [PSI+]. Deletion of a short segment of the middle domain (amino
acids 129-148) diminishes Hsp104 binding and strongly affects the ability of the
middle domain to stimulate the ATPase activity of Hsp104. In yeast, [PSI+]
maintained by Sup35 lacking this segment, like other prions, is propagated by
Hsp104 but cannot be cured by Hsp104 overexpression. These results provide new
insight into the enigmatic specificity of Hsp104-mediated curing of yeast prions
and sheds light on the limitations of the ability of Hsp104 to eliminate
aggregates produced by other aggregation-prone proteins.
PMID- 22081612
TI - Ataxin-3 deubiquitination is coupled to Parkin ubiquitination via E2 ubiquitin
conjugating enzyme.
AB - We reported previously that parkin, a Parkinson disease-associated E3 ubiquitin
ligase interacts with ataxin-3, a deubiquitinating enzyme associated with Machado
Joseph disease. Ataxin-3 was found to counteract parkin self-ubiquitination both
in vitro and in cells. Moreover, ataxin-3-dependent deubiquitination of parkin
required the catalytic cysteine 14 in ataxin-3, although the precise mechanism
remained unclear. We report here that ataxin-3 interferes with the attachment of
ubiquitin (Ub) onto parkin in real-time during conjugation but is unable to
hydrolyze previously assembled parkin-Ub conjugates. The mechanism involves an
ataxin-3-dependent stabilization of the complex between parkin and the E2 Ub
conjugating enzyme, which impedes the efficient charging of the E2 with Ub.
Moreover, within this complex, the transfer of Ub from the E2 is diverted away
from parkin and onto ataxin-3, further explaining how ataxin-3 deubiquitination
is coupled to parkin ubiquitination. Taken together, our findings reveal an
unexpected convergence upon the E2 Ub-conjugating enzyme in the regulation of an
E3/deubiquitinating enzyme pair, with important implications for the function of
parkin and ataxin-3, two proteins responsible for closely related
neurodegenerative diseases.
PMID- 22081615
TI - Metabolic syndrome in childhood from impaired carbohydrate metabolism to
nonalcoholic fatty liver disease.
AB - Compelling evidence supports the concept that nonalcoholic fatty liver disease
(NAFLD) represents the hepatic component of metabolic syndrome (MetS).
Intrahepatic fat seems to predict more strongly than does visceral adiposity an
individual's cardiovascular risk and the likelihood that metabolic abnormalities
are present in youth. Young individuals with fatty liver are more insulin
resistant and present with a higher prevalence of metabolic abnormalities than do
individuals without intrahepatic fat accumulation. They also present with a
certain endothelial dysfunction and greater carotid intima-media thickness.
Conversely, youth with MetS seem to have an increased risk of developing liver
inflammation, a condition termed nonalcoholic steatohepatitis (NASH), and
fibrosis. In the context of MetS, the liver is central in that it can drive both
hepatic and systemic insulin resistance, trigger low-grade inflammation, and
promote atherogenic processes. In the context of MetS, NAFLD and altered
carbohydrate metabolism track from childhood to adulthood. Thus, prevention,
recognition, and effective treatment of these two abnormalities may limit the
burden of morbidity and mortality associated with obesity and may delay onset of
cardiovascular disease in early adulthood. The present review aims at
systematically presenting evidence of the critical interplay of fatty liver and
altered glucose metabolism in youth. It attempts to provide pathogenetic
explanations for such an association and the rationale for its treatment, with
particular regard to nutritional interventions. Key teaching points: Overweight
and obese youth should be screened for fatty liver disease once after puberty by
liver function tests and ultrasonography. Screening for fatty liver should be
accurately performed in young patients with features of metabolic syndrome. Obese
patients with fatty liver are at increased risk for altered glucose metabolism,
thus they should undergo an oral glucose tolerance test. A nutritional and
behavioral intervention aimed at achieving a permanent change of the lifestyle in
patients and their parents is recommended.
PMID- 22081614
TI - Health effects of mixed fruit and vegetable concentrates: a systematic review of
the clinical interventions.
AB - Diets rich in fruits and vegetables (FV) have been associated with a reduced risk
of chronic disease, including cardiovascular disease. Unfortunately, public
health campaigns to increase FV intake have had limited success. A number of
mixed concentrated FV products have been studied, which may help certain
individuals improve nutrient status. However, the possible health benefits of FV
supplements have not been systematically reviewed. We, therefore, undertook a
systematic search of MEDLINE and EMBASE to identify clinical interventions that
examined the effect of commercially available concentrated mixed FV supplements
on cardiovascular disease risk factors. Twenty-two reports, which used
commercially available products, were identified. None of the studies reported
any serious adverse effects. Overall, daily consumption of FV supplements
significantly increased serum concentrations of the major antioxidant provitamins
and vitamins found in plant foods (beta-carotene, vitamins C and E) and folate.
Functional changes, such as reduced serum homocysteine and markers of protein,
lipid, and DNA oxidation, were also reported; in addition, the health advantages
on markers of inflammation, immunity, and endothelial function are promising.
Limitations of the available studies were related to the diversity of studies
conducted with respect to design and study population and the variability in the
measured outcomes and assays utilized. While mixed FV supplements may serve as an
efficacious complement for individuals who have difficulty achieving their daily
FV intake requirement, further research on additional retail preparations is
warranted. Key teaching points: Mixed fruit and vegetable supplements produced
from plant foods may serve as an efficacious complement to the habitual diet in
individuals who have suboptimal intake or variety of nutrient-dense fruits and
vegetables. Current research indicates that fruit and vegetable concentrates
significantly increase serum levels of antioxidant provitamins and vitamins (beta
carotene, vitamins C and E) and folate and reduce homocysteine and markers of
oxidative stress. Mechanistic studies and larger, randomized, placebo-controlled
double-blind trials in both healthy and high-risk populations are necessary to
better understand the health effects of these supplements.
PMID- 22081616
TI - Lycium barbarum increases caloric expenditure and decreases waist circumference
in healthy overweight men and women: pilot study.
AB - BACKGROUND: Lycium barbarum (L. barbarum), a traditional Asian medicinal therapy
for diabetes and other conditions, has been shown to increase metabolic rate and
to reduce body-weight gains in rodent models, as well as to produce clinical
improvements in general feelings of well-being including energy level. OBJECTIVE:
To investigate the impact of L. barbarum consumption on (1) caloric expenditure
and (2) changes in morphometric parameters (waist circumference) in healthy human
adults. METHOD: Two separate randomized, double-blind, placebo-controlled, small
clinical studies were conducted using a standardized L. barbarum fruit juice,
GoChi, and assessing its effects on (1) resting metabolic rate (RMR) and
postprandial energy expenditure (PPEE) as measured by indirect calorimetry after
single-bolus intake of 3 doses of L. barbarum (30, 60, and 120 ml) and placebo;
and (2) waist circumference and other morphometric changes in a 14-day
intervention trial (120-ml daily intake) in the subjects (age = 34 years, body
mass index = 29 kg/m(2)). RESULTS: (1) A single bolus of L. barbarum intake
increased PPEE 1 through 4 hours postintake over the baseline level in a dose
dependent manner and was significantly higher than the placebo group by 10% at 1
hour postintake of 120 ml (p < 0.05). (2) In a 14-day intervention trial, L.
barbarum was found to significantly decrease waist circumference by 5.5 +/- 0.8
cm (n = 15) compared with the preintervention measurements and placebo group at
postintervention day 15 (p < 0.01). By contrast, the changes in the placebo group
(n = 14) from preinterventions was 0.9 +/- 0.8 cm, which was not statistically
significant. CONCLUSIONS: These results show that L. barbarum consumption
increases metabolic rate and reduces the waist circumference, relative to placebo
treated control subjects.
PMID- 22081613
TI - Mechanism of start site selection by RNA polymerase II: interplay between TFIIB
and Ssl2/XPB helicase subunit of TFIIH.
AB - TFIIB is essential for transcription initiation by RNA polymerase II. TFIIB also
cross-links to terminator regions and is required for gene loops that juxtapose
promoter-terminator elements in a transcription-dependent manner. The
Saccharomyces cerevisiae sua7-1 mutation encodes an altered form of TFIIB (E62K)
that is defective for both start site selection and gene looping. Here we report
the isolation of an ssl2 mutant, encoding an altered form of TFIIH, as a
suppressor of the cold-sensitive growth defect of the sua7-1 mutation. Ssl2
(Rad25) is orthologous to human XPB and is a member of the SF2 family of ATP
dependent DNA helicases. The ssl2 suppressor allele encodes an arginine
replacement of the conserved histidine residue (H508R) located within the DEVH
containing helicase domain. In addition to suppressing the TFIIB E62K growth
defect, Ssl2 H508R partially restores both normal start site selection and gene
looping. Moreover, Ssl2, like TFIIB, associates with promoter and terminator
regions, and the diminished association of TFIIB E62K with the PMA1 terminator is
restored by the Ssl2 H508R suppressor. These results define a novel, functional
interaction between TFIIB and Ssl2 that affects start site selection and gene
looping.
PMID- 22081617
TI - Central obesity predicts non-Hodgkin's lymphoma mortality and overall obesity
predicts leukemia mortality in adult Taiwanese.
AB - OBJECTIVE: Obesity may increase the risk of neoplasia, including that of the
lymphohematopoietic system. In a large Taiwanese cohort, we have evaluated
whether body fat and its distribution is associated with non-Hodgkin's lymphoma
(NHL) and leukemia mortalities. METHODS: During 1997-2007 in Taiwan, 383,956
subjects aged 19-98 years without any cancer history were obtained through a
health screening center and followed up for a median of 7.2 years. Unit records
were linked to the national death registry; ICD-9 codes were used to identify 143
NHL and 73 leukemia deaths. Objectively, height, weight, and waist circumference
data were measured to calculate body mass index (BMI) and central obesity status.
Based on World Health Organization criteria modified for Asia and Taiwan, BMI was
classified to <18.5, 18.5-23.9, 24-26.9, and >= 27 kg/m(2). Waist circumference
>= 90 cm in men and >= 80 cm in women was defined as central obesity. Cox
proportional hazard regression models were adjusted for possible confounders
including gender, age, education, smoking status, alcohol consumption, physical
activity, and clinic location. RESULTS: BMI was not associated with NHL deaths,
although the trend was significant, but central obesity with adjustment was
(hazard ratio [HR] = 1.87, 95% confidence interval [CI] = 1.27-2.75) compared
with non-centrally obese subjects. BMI, but not central obesity, was associated
with leukemia mortality (HR = 1.93, 95% CI = 1.00-3.75). CONCLUSIONS: An
increased risk for NHL with increased abdominal fatness and more so with lower
BMI is apparent in Taiwanese; this may indicate that metabolically localized and
proinflammatory fat is important. For leukemia, where most is myeloid leukemia,
increased general fatness is evidently a risk with Taiwanese ethnicity.
PMID- 22081618
TI - Mate tea (Ilex paraguariensis) improves glycemic and lipid profiles of type 2
diabetes and pre-diabetes individuals: a pilot study.
AB - OBJECTIVES: Yerba mate (Ilex paraguariensis) infusions have been shown to reduce
plasma glucose in animals and serum lipids in humans. The aim of this study was
to evaluate the effects of roasted mate tea consumption, with or without dietary
counseling, on the glycemic and lipid profiles of individuals with type 2
diabetes mellitus (T2DM) or pre-diabetes. METHODS: Twenty-nine T2DM and 29 pre
diabetes subjects were divided into 3 groups: mate tea, dietary intervention, and
mate tea and dietary intervention. Individuals drank 330 mL of roasted mate tea 3
times a day and/or received nutritional counseling over 60 days. Blood samples
were collected and food intake was assessed at baseline and after 20, 40, and 60
days of treatments. RESULTS: Mate tea consumption decreased significantly the
levels of fasting glucose (25.0 mg/dL), glycated hemoglobin A(1c) (HbA(1c))
(0.85%), and low-density lipoprotein cholesterol (LDL-c) (13.5 mg/dL) of T2DM
subjects (p < 0.05); however, it did not change the intake of total energy,
protein, carbohydrate, cholesterol, and fiber. In pre-diabetes individuals, mate
tea consumption combined with nutritional counseling diminished significantly the
levels of LDL-c (11 mg/dL), non-high-density lipoprotein cholesterol (HDL-c)
(21.5 mg/dL), and triglycerides (53.0 mg/dL) (p < 0.05). Individuals of this
group decreased significantly their consumption of total fat (14%), cholesterol
(28%), and saturated (23.8%) and monounsaturated (28.0%) fatty acids, and
increased their fiber intake by 35% (p < 0.05). CONCLUSIONS: Mate tea consumption
improved the glycemic control and lipid profile of T2DM subjects, and mate tea
consumption combined with nutritional intervention was highly effective in
decreasing serum lipid parameters of pre-diabetes individuals, which may reduce
their risk of developing coronary disease.
PMID- 22081619
TI - Favorable effect of short-term lifestyle intervention on human paraoxonase-1
activity and adipokine levels in childhood obesity.
AB - OBJECTIVE: The prevalence of obesity is increasing in adult and child populations
throughout the world. Childhood obesity has a great impact on adult
cardiovascular morbidity and mortality; treatment of this pathological state is
important given the significant health consequences. We investigated the effect
of short-term lifestyle changes on the alteration of human serum paraoxonase-1
(PON1) activities, leptin, adiponectin, E-selectin, and asymmetric
dimethylarginine (ADMA) as atherogenic and antiatherogenic factors in obese
children. PON1 protects lipoproteins against oxidation by hydrolyzing lipid
peroxides in oxidized low density lipoprotein (LDL) and therefore may protect
against atherosclerosis. METHODS: A total of 23 white obese and overweight
children (age, 11.43 +/- 1.78 years; 8 girls, 15 boys) participated in a 2-week
long lifestyle camp based on a diet and exercise program. Overweight and obesity
were defined according to the national body mass index (BMI) reference tables for
age and sex. RESULTS: After a 2-week-long supervised diet and aerobic exercise
program, obese children had significantly lower leptin (55.02 +/- 33.42 ng/ml vs
25.37 +/- 19.07 ng/ml; p < 0.0001), ADMA (0.68 +/- 0.15 MUmol/l vs 0.55 +/- 0.16
MUmol/l; p < 0.01), and E-selectin levels (67.19 +/- 30.35 ng/ml vs 46.51 +/-
18.40 ng/ml; p < 0.0001), whereas they had significantly higher PON1 paraoxonase
activity (110.48 +/- 72.92 U/l vs 121.75 +/- 93.48 U/l; p < 0.05) besides the
antiatherogenic alteration of the lipid profile and significant weight change
(70.32 +/- 19.51 kg vs 67.01 +/- 18.75 kg, p < 0.0001; BMI, 28.95 +/- 5.05
kg/m(2) vs 27.43 +/- 4.82 kg/m(2), p < 0.0001). Adiponectin and PON1 arylesterase
activity did not change significantly. CONCLUSIONS: Our investigation suggests
that modifications in dietary habits and physical activity induce antiatherogenic
changes in childhood obesity. These findings emphasize the major role of primary
prevention and nonpharmaceutical treatment of childhood obesity through lifestyle
changes based on diet and increased physical activity.
PMID- 22081620
TI - Riboflavin status and its association with serum hs-CRP levels among clinical
nurses with depression.
AB - OBJECTIVE: The objective of present study was to assess the relationship between
the dietary intake and blood status of riboflavin and the prevalence of systemic
inflammation among both depressed and nondepressed nurses. METHODS: This was a
cross-sectional study on 98 female clinical nurses (45 depressed and 53
nondepressed subjects). Depression status was assessed using the Beck Depression
Inventory. We assessed dietary intake of riboflavin using 3-day 24-hour recalls.
The serum concentrations of high-sensitive C-reactive protein (hs-CRP) were also
measured. Riboflavin status was assessed as the erythrocyte glutathione reductase
activity coefficient (EGRAC). RESULTS: Marginal riboflavin deficiency was more
prevalent in depressed subjects (P = 0.028). The results of the dietary intake
and status of riboflavin were classified to 3 tertiles of serum hs-CRP levels. In
both nondepressed and depressed subjects, there was no significant difference
between hs-CRP tertiles in dietary intakes of riboflavin, EGRAC, or riboflavin
deficiencies. CONCLUSION: This study showed a higher prevalence of marginal
riboflavin deficiency in depressed subjects. We found no association between
dietary intake and status of riboflavin with low-grade systematic inflammation in
nondepressed and depressed clinical nurses.
PMID- 22081621
TI - Autism rates associated with nutrition and the WIC program.
AB - OBJECTIVES: Autism rates in the United States are increasing at a rate of 15% per
year. Autistic children are diagnosed by age 3 when they have problems
communicating and interacting socially. This study uses nutritional epidemiology
and an ecologic study design to link the possible cause of autism to nutrition by
creating autism rates for the 50 states of America and comparing them with
published measures of infant nutrition such as duration of exclusive breast
feeding and participation in the Women, Infants, and Children (WIC) program. The
percentage of infants with measles, mumps, and rubella (MMR) inoculations was
also compared with the autism rates. Study DESIGN: Autism rates for each state
were established. The percentage of infants who participate in the WIC program
for low-income families was calculated for each of the 50 states as well as 21
New Jersey and 30 Oregon counties and compared with their autism rates. An
ecologic study design with correlation coefficients is limited, but it is useful
for generating hypotheses to be tested. RESULTS: The states with the highest WIC
participation have significantly lower autism rates (p < 0.02). A similar pattern
was observed in 21 New Jersey counties (p < 0.02) and 30 Oregon counties (p <
0.05). In contrast, there was a direct correlation with the increasing percentage
of women exclusively breast-feeding from 2000-2004 (p < 0.001). Infants who were
solely breast-fed had diets that contained less thiamine, riboflavin, and vitamin
D than the minimal daily requirements (MDR). There was no correlation of MMR
inoculations with the autism rate. CONCLUSION: The mothers who are exclusively
breast-feeding should also continue their prenatal vitamins or their equivalent
and make better dietary choices. These results suggest that autism may be
nutritionally related to a possible deficiency of riboflavin or the cognitive
vitamins such as thiamine or vitamin D. However, due to an ecologic study design
there is a potential for fallacy because individuals were not examined. The
results suggest the need for a robust observational study in advance of, and to
confirm the need for, an intervention study.
PMID- 22081625
TI - Polyclonal B lymphocytosis with binucleated lymphocytes in a man.
PMID- 22081626
TI - Transplantation of porcine umbilical cord matrix mesenchymal stem cells in a
mouse model of Parkinson's disease.
AB - The present study compared mesenchymal stem cells derived from umbilical cord
matrix (UCM-MSCs) with bone marrow (BM-MSCs) of miniature pigs on their
phenotypic profiles and ability to differentiate in vitro into osteocytes,
adipocytes and neuron-like cells. This study further evaluated the therapeutic
potential of UCM-MSCs in a mouse Parkinson's disease (PD) model. Differences in
expression of some cell surface and cytoplasm specific markers were evident
between UCM-MSCs and BM-MSCs. However, the expression profile indicated the
primitive nature of UCM-MSCs, along with their less or non-immunogenic features,
compared with BM-MSCs. In vitro differentiation results showed that BM-MSCs had a
higher tendency to form osteocytes and adipocytes, whereas UCM-MSCs possessed an
increased potential to transform into immature or mature neuron-like cells. Based
on these findings, UCM-MSCs were transplanted into the right substantia nigra
(SN) of a mouse PD model. Transplantation of UCM-MSCs partially recovered the
mouse PD model by showing an improvement in basic motor behaviour, as assessed by
rotarod and bridge tests. These observations were further supported by the
expression of markers, including nestin, tyrosine hydroxylase (TH), neuronal
growth factor (NGF), vascular endothelial growth factor (VEGF) and interleukin-6
(IL-6), at the site of cell transplantation. Our findings of xenotransplantation
have collectively suggested the potential utility of UCM-MSCs in developing
viable therapeutic strategies for PD.
PMID- 22081627
TI - Hypoxia-inducible factor-1alpha protein negatively regulates load-induced bone
formation.
AB - Mechanical loads induce profound anabolic effects in the skeleton, but the
molecular mechanisms that transduce such signals are still poorly understood. In
this study, we demonstrate that the hypoxia-inducible factor-1alpha (Hif-1alpha)
is acutely up-regulated in response to exogenous mechanical stimuli secondary to
prostanoid signaling and Akt/mTOR (mammalian target of rapamycin) activation. In
this context, Hif-1alpha associates with beta-catenin to inhibit Wnt target genes
associated with bone anabolic activity. Mice lacking Hif-1alpha in osteoblasts
and osteocytes form more bone when subjected to tibia loading as a result of
increased osteoblast activity. Taken together, these studies indicate that Hif
1alpha serves as a negative regulator of skeletal mechanotransduction to suppress
load-induced bone formation by altering the sensitivity of osteoblasts and
osteocytes to mechanical signals.
PMID- 22081629
TI - Defra seeks views on controlling an outbreak of rabies.
PMID- 22081630
TI - Parliamentary committees to examine AHVLA's plans for lab services.
PMID- 22081628
TI - Effect of double growth factor release on cartilage tissue engineering.
AB - The effects of double release of insulin-like growth factor I (IGF-I) and growth
factor beta1 (TGF-beta1) from nanoparticles on the growth of bone marrow
mesenchymal stem cells and their differentiation into cartilage cells were
studied on PLGA scaffolds. The release was achieved by using nanoparticles of
poly(lactic acid-co-glycolic acid) (PLGA) and poly(N-isopropylacrylamide)
(PNIPAM) carrying IGF-I and TGF-beta1, respectively. On tissue culture
polystyrene (TCPS), TGF-beta1 released from PNIPAM nanoparticles was found to
have a significant effect on proliferation, while IGF-I encouraged
differentiation, as shown by collagen type II deposition. The study was then
conducted on macroporous (pore size 200-400 um) PLGA scaffolds. It was observed
that the combination of IGF-I and TGF-beta1 yielded better results in terms of
collagen type II and aggrecan expression than GF-free and single GF-containing
applications. It thus appears that gradual release of a combination of growth
factors from nanoparticles could make a significant contribution to the quality
of the engineered cartilage tissue.
PMID- 22081631
TI - Equestrian excellence acknowledged.
PMID- 22081632
TI - Tracking rare tigers to help in conservation.
PMID- 22081633
TI - Survey investigates pet owners' awareness of preventative medicine.
PMID- 22081634
TI - Farm dog neutering scheme takes off.
PMID- 22081635
TI - Focus on performance at 50th BEVA congress.
PMID- 22081636
TI - Plotting how the land lies in livestock holdings.
PMID- 22081637
TI - Potential of diagnostic markers in sustainable control of cattle parasites.
PMID- 22081638
TI - British Veterinary Association annual general meeting, 2011.
PMID- 22081639
TI - Non-healing hoof lesions in dairy cows.
PMID- 22081640
TI - Human and veterinary general practice.
PMID- 22081641
TI - Changes to the PDSA's charitable objectives.
PMID- 22081642
TI - Veterinary specialists and fellows of the Royal College.
PMID- 22081643
TI - Celebrating 60 years of the African Union - Interafrican Bureau for Animal
Resources.
PMID- 22081644
TI - Bluetongue-associated clinical signs in Israel.
PMID- 22081645
TI - Insulin suppresses ghrelin-induced calcium signaling in neuropeptide Y neurons of
the hypothalamic arcuate nucleus.
AB - Neuropeptide Y (NPY) neurons in the hypothalamic arcuate nucleus (ARC) play an
important role in feeding regulation. Plasma levels of ghrelin and insulin show
reciprocal dynamics before and after meals. We hypothesized that ghrelin and
insulin also exert reciprocal effects on ARC NPY neurons. Cytosolic Ca2+
concentration ([Ca2+](i)) was measured by fura-2 microfluorometry in single
neurons isolated from ARC of adult rats, followed by immunocytochemical
identification of NPY neurons. Ghrelin at 10-10 M increased [Ca2+](i) in isolated
ARC neurons, and co-administration of insulin concentration-dependently
suppressed the ghrelin-induced [Ca2+](i) increases. Insulin at 10-16 M, 10-14 M,
10-12 M and 10-10 M counteracted ghrelin action in 26%, 41%, 61% and 53% of
ghrelin-responsive neurons, respectively, showing a maximal effect at 10-12 M,
the estimated postprandial concentration of insulin in the brain. The majority
(>70%) of the ghrelin-activated insulin-inhibited neurons were shown to contain
NPY. Double-immunohistochemistry revealed that 85% of NPY neurons in ARC express
insulin receptors. These data demonstrate that insulin directly interacts with
ARC NPY neurons and counteracts ghrelin action. Our results suggest that
postprandial increase in plasma insulin/ghrelin ratio and insulin inhibition of
ghrelin action on ARC NPY neurons cooperate to effectively inhibit the neuron
activity and terminate feeding.
PMID- 22081646
TI - Role of medial prefrontal cortex dopamine in age differences in response to
amphetamine in rats: locomotor activity after intra-mPFC injections of
dopaminergic ligands.
AB - Changes in medial prefrontal cortex (mPFC) dopamine receptor expression and in
mPFC projections to the nucleus accumbens in adolescence suggest that there may
be age differences in the regulation of drug-related behavior by the mPFC. The
age-specific role of prelimbic D1 dopamine receptors on amphetamine-induced
locomotor activity was investigated. In experiment 1, rats aged postnatal day 30
(P30), P45, and P75, corresponding to early and late adolescence and adulthood,
were given an injection of D1 and D2 antagonists into the prelimbic mPFC before a
systemic injection of 1.5 mg/kg of amphetamine and locomotor activity was
recorded. In experiment 2, effects of intra-prelimbic injections of a D1 agonist
and antagonist on locomotor activity produced by a lower dose (0.5 mg/kg) of
amphetamine were investigated. D2 receptor antagonist did not alter amphetamine
induced activity, whereas the D1 receptor antagonist reduced activity produced by
1.5 mg/kg of amphetamine more in P30 than in P45 and P75 rats. In addition, D1
agonist enhanced the locomotor activating effects of 0.5 mg/kg of amphetamine in
adolescent rats and decreased activity in adult rats. These results suggest that
insufficient activation of mPFC D1 receptors may underlie the reduced activity at
the low dose of amphetamine in early adolescent compared to adult rats.
PMID- 22081647
TI - Clinical skills assessment: comparison of student and examiner assessment in an
objective structured clinical examination.
AB - BACKGROUND: Learning of basic clinical skills is introduced in Years 1 and 2 of
the MBBS Program; the Aga Khan University, Pakistan, through a structured
Clinical Skills Teaching program. Acquisition of competence in performing these
skills is assessed through use of the Objective Structured Clinical Examination
(OSCE). Self-assessment is defined broadly as the involvement of learners in
judging whether or not learner-identified standards have been met. OBJECTIVE:
Compared Year 2 students' self-assessment of clinical skills with examiners'
assessment of performance in an OSCE using a standard rating scale. METHODS: A
self-assessment questionnaire was completed by all Year 2 students immediately
after the OSCE. Students assessed their performance at three stations, using a
performance rating scale. Examiners observed and evaluated the students during
history-taking and physical examination using the same rating scale. RESULTS:
There were significant positive correlations between examiners' assessments of
performance and students' self-assessed ratings in taking consent, obtaining
demographic information, history of presenting problems and summarization.
Significant differences were observed in pre-procedural skills, comment on
prostate, liver palpation percussion and spleen percussion. CONCLUSIONS: Findings
highlight the strengths and weaknesses in clinical competence at the end of Year
2 and provide a direction to improve the gaps in the Clinical Skills Teaching
program.
PMID- 22081648
TI - Changing language: response to a change in conducting weekly rounds.
PMID- 22081649
TI - The effectiveness of AGU-MCAT in predicting medical student performance in year
one of the College of Medicine of the Arabian Gulf University.
AB - INTRODUCTION: To graduate good doctors, medical schools should adopt proper
student procedures to select among applicant students. When selecting students,
many medical colleges focus solely on their academic achievement on high school
examinations, which do not reflect all, important attributes of student. For
several years, the College of Medicine and Medical Sciences of the Arabian Gulf
University has introduced and administered the AGU-MCAT (Arabian Gulf University
Medical College Assessment Test) for screening student applicants. This study
aimed to assess the ability of the AGU-MCAT to predict students' performance
during their first year college study, as an example of one school's multi
dimensional admissions screening process. METHODS: The AGU-MCAT is made up of
three parts, including a written test on science, a test of students' English
language skills and an interview. In the first part, students' science knowledge
is tested with 100 multiple choice questions. The English exam assesses students.
English reading and listening skills. Lastly, students are interviewed by two
faculty members and one senior student to assess their personal qualities. The
138 students who passed the AGU-MCAT in September 2008 and matriculated in the
school were studied. Their performance during Year One including their
performance on exams in the various disciplines was compared to their achievement
on the three AGU-MCAT components. RESULTS: AGU-MCAT's total mark and its science
component had the highest linear relationship to students' performance in the
various disciplines in Year One, while the strongest predictor of students'
performance at the end of Year One was the AGU-MCAT's science test (R2=45.5%).
Students' grades in high school did not predict their achievement in Year One.
CONCLUSION: The AGU-MCAT used to screen applicants to the school also predicts
students' performance during their first year of medical school.
PMID- 22081650
TI - Inappropriate drug donations: what has happened since the 1999 WHO guidelines?
AB - CONTEXT: Drug donations to developing countries may be part of medical relief
operations in acute emergencies, development aid in non-emergency situations, or
a corporate donations programme. After a number of documented inappropriate drug
donations, the World Health Organization developed the 'Guidelines for Drug
Donations', with the second and final version published in 1999. OBJECTIVES: We
reviewed the medical literature on drug donations since the Guidelines
publication in 1999. DESIGN: Literature was retrieved from PubMed and other on
line databases as well as from relevant websites providing medical literature for
use in developing countries. We considered the following donations to be
inappropriate: (i) essential drugs in excessive quantities; (ii) mixed unused
drugs (unsorted medicines and free samples); and (iii) drug dumping (large
quantities of useless medicines). RESULTS: We retrieved 25 publications dated
after 1999, including 20 and 5 from the scientific literature and 'grey'
literature (technical reports, working papers), respectively. New information
concerned emergencies in East Timor, Mozambique, El Salvador, Gujarat State
(India), Aceh (Indonesia) and Sri Lanka. Except for East Timor and Gujarat,
inappropriate donations still occurred, accounting for 85%, 37%, 70% and 80% of
donations in Mozambique, El Salvador, Aceh and Sri Lanka, respectively. Very
little information was found on drug donations in non-emergency situations.
CONCLUSION: There are few recent reports on the compliance of drug donations with
the World Health Organization guidelines. For emergency situations, there is
still room for improvement. Drug donations in non-emergency situations need to be
evaluated. A reform of drug donations policy is needed.
PMID- 22081651
TI - Feeling connected: technology and the support of clinical teachers in distant
locations.
AB - CONTEXT: This paper discusses a key finding arising from a qualitative research
project which explored the provision of educational support to clinical teachers
who were at least 100 kilometres distant from a university medical school.
OBJECTIVES: We examined the preferences of clinical teachers in relation to the
preferred use of technology as a medium for educational support. METHODS: A
qualitative approach was used for which 19 participants were interviewed using
structured interviews consisting of prepared open-ended questions. FINDINGS: All
participants reported that they had a very positive association with the
university. However, they overwhelmingly expressed a need to feel more strongly
connected to the university and with each other. DISCUSSION: Although a trial of
the videoconferencing technology had problems, there was still great potential to
connect clinical teachers in a Community of Practice.
PMID- 22081652
TI - A community health worker program for the prevention of malaria in eastern Kenya.
AB - OBJECTIVE: To assess whether the development and implementation of a community
health worker (CHW) project in rural Kenya was associated with an increase in
knowledge about malaria and the use of insecticide-treated nets (ITNs) in
children under five years of age. METHODS: A baseline knowledge and behavior
questionnaire, adopted from the Kenyan Demographic Health Survey, was conducted
in August 2007 by Kenyan health officials in 75 villages. Two CHWs were chosen
from each village and trained in appropriate use of ITNs. The CHWs provided
educational sessions and ITNs to mothers in their respective villages. A follow
up survey was conducted in March 2008 of all families with children less than
five years of age within randomly selected villages. The main questions addressed
during the follow-up survey included knowledge about malaria and the practice of
correctly using ITNs. FINDINGS: There were 267 surveys compiled for knowledge
assessment before the intervention and 340 in the post-intervention analysis with
an approximate 99% family participation rate. Of the families surveyed, 81%
correctly knew the cause for malaria before the study and 93% after the CHW
intervention (p < 0.01). Of those surveyed before the intervention, 70% owned and
correctly used mosquito nets compared with 88% after the CHW intervention (p <
0.01). CONCLUSIONS: There was a significant increase in knowledge about malaria
and use of ITNs after the implementation of the CHW program.
PMID- 22081653
TI - Developing a self-directed workbook package for clinical skills training of
medical students.
PMID- 22081654
TI - Value of a health behavior change reflection assignment for health promotion
learning.
AB - INTRODUCTION: Health promotion is a recognized competency in the preparation and
education of Addictions Counseling students. This qualitative study explores the
value of a reflection assignment in an undergraduate health promotion course at
the University of Lethbridge, Canada, towards developing Addictions Counseling
students' health promotion competency. METHOD: In the course, students attempted
a health behavior change of their own choosing, reflected on their experiences
and completed a reflection paper. Thematic analysis was conducted on students'
reflection papers (n=21) and on a transcript of a focus group with four students
to generate a description of students' perceived value of the assignment for
their learning, personal health, and future health promotion practice in the
field of Addictions Counseling. RESULTS: Three themes marked students perceived
increased capacity for healthy behavior and health promotion: (1) facilitating
the change process; (2) integrating experiential and theoretical learning about
health; and (3) growing as a health promotion practitioner and as a person with
expanding capacity for health. DISCUSSION: This reflection assignment shows
potential as both a learning process supporting future professional practice and
as a tool for promoting health among undergraduate students.
PMID- 22081655
TI - Changes in healthcare workers' knowledge about tuberculosis following a
tuberculosis training programme.
AB - BACKGROUND: In resource-limited countries, health policy makers and practitioners
need to know whether healthcare workers have sufficient knowledge of tuberculosis
and its management. OBJECTIVES: We conducted a study to: (1) measure knowledge
changes among healthcare workers who participated in a tuberculosis training
programme; and (2) make recommendations about future tuberculosis training for
healthcare workers in the KwaZulu-Natal Department of Health. METHODS: A cross
sectional study conducted in 2007 measured changes in tuberculosis knowledge of
doctors, nurses and other healthcare workers after a training programme based on
World Health Organization tuberculosis training modules. Data were collected
before and after training using a self-administered, 98-item questionnaire
covering eight components. RESULTS: A total of 267 healthcare workers, mean age
40.7 years, answered both pre- and post-training questionnaires. Mean total
knowledge scores were low despite significant changes (p<0.001) from a pre
training score of 59.5% to a post-training score of 66.5%. Nurses showed
significant improvements in mean total knowledge scores (p<0.001) but had the
lowest mean total knowledge score post-training, 63.2%. Doctors had significantly
better pre-training (p<0.001) and post-training (p<0.001) mean total knowledge
scores compared to nurses. CONCLUSIONS: Improvement in healthcare workers'
overall knowledge of tuberculosis during a training programme was not clinically
significant. Periodic field training and supervision should be considered to
ensure tuberculosis knowledge improvements.
PMID- 22081656
TI - Student-led peer-assisted learning: the Kuppi experience at the medical school of
the University of Ruhuna in Sri Lanka.
AB - CONTEXT: Peer-assisted learning (PAL) is described in the literature and is
generally initiated with faculty assistance. The PAL process described here,
called Kuppi classes, is exclusively organized and run by the students of the
medical school of the University of Ruhuna. AIM: To explore students' experiences
with Kuppi classes as a learning process. METHODS: A phenomenological research
method with focus group discussions and in-depth interviews for data collection
was used. Selection of students for the study ensured representation of genders,
academic years of study, both Kuppi tutors and tutees, and both those who did and
did not pass the prior examination in the medical school on the first attempt.
FINDINGS: According to tutee and tutors, Kuppi was developed as a parallel
process to fill in gaps in students' understanding and better explain unclear
aspects of the formal curriculum. Within the Kuppi, students successfully use
informality, familiarity and social bonds with one another to acquire the
knowledge required for their examinations. CONCLUSIONS: This student-initiated
PAL process appears to be succeeding for the students of our school. Some of
their experiences may be helpful in implementing a PAL process or even improving
the formal teaching processes in medical schools with similar academic, social
and cultural environments. The need for a second, student-led curriculum should
alert faculty to shortcomings in the formal curriculum and classes.
PMID- 22081657
TI - Validation of the WHOQOL-BREF quality of life questionnaire for use with medical
students.
AB - PURPOSE: The purpose of the present study was to validate the use of the
abbreviated version of the World Health Organization Quality of Life (WHOQOL
BREF) questionnaire with medical students. METHODS: A sample of 274 medical
students in their fourth and fifth years of study (80% response rate) completed
the WHOQOL-BREF instrument. The four-domain factor structure of the questionnaire
was tested using confirmatory factor analysis. A variety of other tests of
reliability and validity were conducted. RESULTS: Goodness-of-fit indices from
the confirmatory factor analysis were acceptable, and the factor structure of the
WHOQOL-BREF was confirmed in this sample. Reliability was good, but three of the
items showed strong ceiling effects. CONCLUSION: The WHOQOL-BREF is valid to use
with medical students to assess health-related quality of life. Some items, such
as those inquiring about pain and medication, may not be suitable for medical
students or young people in general.
PMID- 22081658
TI - Controlling errors to promote patient safety.
PMID- 22081659
TI - Change in medical students' readiness for self-directed learning after a
partially problem-based learning first year curriculum at the KIST medical
college in Lalitpur, Nepal.
AB - INTRODUCTION: Modern medical education and the requirement for lifelong learning
place increasing emphasis on self-directed learning. Studies have not been done
on readiness for self-directed learning (SDL) among medical students in Nepal.
The present study was carried out to (1) measure and compare readiness for SDL
among medical students, and (2) note differences in readiness for SDL according
to students' personal characteristics at the beginning and end of the first year
of the MBBS course for medical students at the KIST Medical College in Nepal.
METHODS: The study was done using the Self-directed Learning Readiness Scale.
Respondents' agreement with each of forty statements pertinent to self-directed
learning readiness using a modified Likert-type scale was noted. The mean total
and scores on the subcategories 'self-management', 'desire for learning' and
'self-control' were calculated and compared across subgroups of respondents and
in January and August 2010 using appropriate parametric and non-parametric tests
(p<0.05). RESULTS: All 100 students participated in January while 90 participated
in August. The mean scores varied with certain demographic and background
characteristics. The mean total score increased from 152.7 to 157.3 while the
self-management score increased significantly from 48.6 to 50.2 from January to
August. There were small increases in the mean desire for learning scores from
46.9 to 47.7 and in the self-control scores from 58 to 59 from January to August,
but not in other scores. CONCLUSIONS: Self-directed learning scores were lower
among these Nepalese students than reported elsewhere in the literature. Total
scores and self-management scores improved at the end of the first year, but not
scores on desire for learning and self-control.
PMID- 22081660
TI - Orienting incoming medical students to the process of PBL through video.
PMID- 22081661
TI - Evaluation of an interprofessional education communication skills initiative.
AB - CONTEXT: Interprofessional education of pre-licensure students is viewed as an
important precursor to developing healthcare professionals who are able to work
collaboratively. OBJECTIVES: This study conducted a program evaluation of an
innovative interprofessional communication skills initiative which incorporated
problem-based learning, cooperative learning and standardized patients. METHODS:
The communication skills session consisted of a three-hour, faculty facilitated,
interactive format in which teams of five to eight students met to conduct an
interview with a standardized patient and develop an interprofessional care plan.
The program evaluation included measures of satisfaction, the Interprofessional
Education Perception Scale (IEPS), the Readiness for Interprofessional Learning
Scale (RIPLS), focus groups and individual interviews. FINDINGS: A total of 96
students from medical, nursing, physiotherapy, occupational therapy, midwifery,
physician assistant and pharmacy programs self-selected to participate in the
evaluation. Students rated their satisfaction with the communications skills
sessions highly. There were small but statistically significant changes pre- and
post-session in the IEPS. Qualitative analyses revealed that students perceived
that they had learned about each others' scope of practice and built confidence
in their communication skills. The skill of the facilitator and preparation for
the experience were perceived to promote the success. DISCUSSION AND CONCLUSION:
The demand for experiential events which provide students with the skills
required to interact effectively in healthcare teams is likely to continue with
the growing awareness of the need for interprofessional education. A learning
experience which incorporates standardized patients and feedback from faculty
facilitators can promote authentic interprofessional learning, and develop
students' confidence to communicate in a team environment.
PMID- 22081662
TI - Formative versus summative assessment.
PMID- 22081664
TI - Continuing progress in primary healthcare education.
PMID- 22081666
TI - Online optical coherence tomography during subthreshold laser irradiation.
AB - PURPOSE: To investigate the role of real-time optical coherence tomography (OCT)
in the detection of standard and nonvisible subthreshold laser irradiation.
METHODS: We used an integrated platform consisting of a slit-lamp, a digital
camera, a slit-lamp mounted OCT, and a 532-nm laser photocoagulator (Topcon Inc.,
Tokyo, Japan). The laser aiming beam and the OCT scan were aligned to obtain real
time tomographic imaging of the irradiated area during laser exposure. Standard
and subthreshold laser irradiation and simultaneous OCT acquisition were tested
in artificial and biological samples. Laser testing cards were chosen as
artificial samples. Freshly enucleated pig eyes were used for iris irradiation.
RESULTS: Ophthalmoscopically visible reference burns were placed on the laser
testing card in 2 parallel lines. Then, a series of laser spots with the same
size and duration but different power were placed between the reference burns.
Online OCT during laser irradiation detected changes in the reflectivity profile
of the artificial sample at a power of 200 mW, in absence of ophthalmoscopically
visible lesions. Similarly, reference burns were placed on pig iris and between
them various laser spots were performed at ranging powers. Changes in the iris
optical properties, as detected with online OCT, were produced with a power of
860 mW in absence of visible endpoint. CONCLUSIONS: Online OCT is able to
identify non-ophthalmoscopically visible lesions during subthreshold laser
irradiation either in artificial samples or in pig iris.
PMID- 22081665
TI - Do AML patients with DNMT3A exon 23 mutations benefit from idarubicin as compared
to daunorubicin? A single center experience.
AB - Mutations in DNMT3A encoding DNA methyltransferase 3A were recently described in
patients with acute myeloid leukemia. To assess their prognostic significance, we
determined the mutational status of DNMT3A exon 23 in 288 patients with AML
excluding acute promyelocytic leukemia, aged from 18 to 65 years and treated in
Toulouse University Hospital. A mutation was detected in 39 patients (13.5%). All
DNMT3A exon 23+ patients had intermediate-risk cytogenetics. Mutations
significantly correlated with a higher WBC count (p less than 0.001), NPM1 and
FLT3-ITD mutations (p=0.027). DNMT3A mutations were conserved through
xenotransplantation in immunodeficient mice. No difference in outcome between
DNMT3A exon 23+ and DNMT3A exon 23- patients was found even if the results were
stratified by NPM1 or FLT3-ITD status. However, DNMT3A exon 23+ patients had
better median DFS (not reached vs 11.6 months, p=0.009) and OS (not reached vs
14.3 months, p=0.005) as compared to DNMT3A exon 23- patients when treated with
idarubicin, whereas patients treated with daunorubicin had similar outcome
regardless the DNMT3A status. This study shows that DNMT3A mutations have no
impact on outcome but could be a predictive factor for response to idarubicin and
thus, could have a direct influence in the way AML patients should be managed.
PMID- 22081668
TI - Reversal of sensation of conjunctival autograft after pterygium surgery.
AB - PURPOSE: To evaluate sensation change at nasal and superior conjunctival and
corneal quadrants after pterygium surgery with conjunctival autograft. METHODS: A
prospective study was carried out in 14 patients. Seventeen eyes were operated
for primary nasal pterygium. Sensation in nasal and superior conjunctival and
corneal quadrants was measured with a Cochet-Bonnet aesthesiometer (CBA) before,
and at 2 weeks, 1 month, and every month for 6 months after surgery. Preoperative
and postoperative measurements were recorded and analyzed with Wilcoxon signed
rank test. RESULTS: The mean patient age was 53.5 years (range 44-68). All
patients had nasal primary pterygium and completed the 6-month follow-up. The
conjunctival autograft was correctly positioned and fixed in all eyes with fibrin
glue. Mean +/- SD sensations in conjunctival autograft were none (p<0.05) at 1
month, 4.28 +/- 5.34 mm (p<0.05) at 2 months, 12.85 +/- 2.67 mm (p<0.05) at 3
months, and returned to normal level, 23.57 +/- 2.43 mm (p>0.05), at 4 months.
Mean sensations in superior conjunctival area where the autograft was harvested
were 11.42 +/- 5.56 mm (p<0.05) at 1 month, and returned to normal level, 22.14
+/- 2.67 mm (p>0.05), at 2 months. Mean sensations in nasal corneal area after
surgery were 7.81 +/- 4.06 mm (p<0.05) at 2 weeks, 26.42 +/- 2.43 mm (p<0.05) at
1 month, and returned to normal level, 49.00 +/- 3.38 mm (p>0.05), at 2 months.
Mean sensations in superior corneal area did not change postoperatively.
CONCLUSIONS: Anesthesia at first month and hypoesthesia at second and third month
at conjunctival autograft was observed after pterygium surgery. Additionally,
hypoesthesia was observed at nasal cornea and superior bulbar conjunctiva at the
first month.
PMID- 22081669
TI - Optic disc pit maculopathy: the value of small-gauge vitrectomy, peeling, laser
treatment, and gas tamponade.
AB - PURPOSE: To report the outcome of 10 patients with optic pit maculopathy (OPM)
and evaluate the role of small-gauge vitrectomy, gas endotamponade, and
additional laser photocoagulation treatment. METHODS: We retrospectively
investigated 10 patients who underwent small-gauge, sutureless vitrectomy for
OPM, detachment of the posterior hyaloid, internal limiting membrane (ILM)
peeling, endolaser photocoagulation on the temporal margin of the optic disc, and
gas tamponade. Preoperative and postoperative best-corrected visual acuity (BCVA)
was recorded and optical coherence tomography (OCT) imaging was performed.
RESULTS: Seven out of 10 patients gained at least 2 lines of vision; 2 patients
gained 1 line of vision. Visual improvement occurred more than 3 months after
surgery. One myopic patient developed a macular hole postoperatively, resulting
in a poor functional result even though complete retinal attachment was achieved.
The functional outcome did not always correlate well with the OCT imaging, in
which complete retinal reattachment was observed in 5 out of 10 eyes.
CONCLUSIONS: The therapeutic approach should include both small-gauge vitrectomy
and ILM peeling to relieve vitreoretinal traction, as well as laser
photocoagulation of the temporal margin of the optic disc in order to prevent
vitreous fluid from entering the subretinal/intraretinal space. In addition, the
patients should be told that visual recovery can take a long time.
PMID- 22081670
TI - The effect of intravitreal bevacizumab (Avastin) injection on retinal blood flow
velocity in patients with choroidal neovascularization.
AB - PURPOSE: To study the short-term effects of intravitreal bevacizumab (Avastin) on
retinal blood flow velocity and compare them to clinical outcomes assessed by
optical coherence tomography (OCT) and tests of visual acuity. METHODS: The
Retinal Function Imager (RFI) was used noninvasively and quantitatively to
measure retinal blood flow velocity. Eight patients receiving intravitreal
injection of Avastin for choroidal neovascularization (CNV) were included in this
study. All were imaged by the RFI preinjection and 1 and 7 days postinjection.
Visual acuity (VA) and OCT were recorded preinjection and 1 month postinjection.
Comparisons were performed using paired Student t test and correlation using
Spearman rank test. RESULTS: A good correlation was found between the 1-month
change in VA and OCT measurements and the short-term change induced in blood flow
velocity. Arterial and venous velocity changes 1 day after the injection
correlated with the VA change (p<0.05). The 1-day arterial velocity changes
correlated with total macular volume (p=0.02) and venous velocity changes
correlated to central macular thickness (p = 0.04). CONCLUSIONS: The RFI provides
a noninvasive technique to assess early hemodynamic responses to intravitreal
injection of Avastin. These early changes may prove important for better
understanding of the mechanism underlying this treatment and serve as a
quantitative marker for treatment optimization.
PMID- 22081671
TI - Retrospective study of rhegmatogenous retinal detachments secondary to round
retinal holes.
AB - PURPOSE: The authors aim to analyze the incidence, characteristics, surgical
management, and outcome of patients presenting to St Thomas' Hospital with a
rhegmatogenous retinal detachment secondary to atrophic round retinal holes.
METHODS: This is a retrospective collation of patients presenting to a single
surgeon with a round hole-associated retinal detachment between 2001 and 2009.
Specific collation of demographics, retinal detachment features, choice of
management, complications, requirement for further surgery, and ultimate surgical
success with final visual acuity is reported. RESULTS: Fifty-six patients with a
retinal detachment secondary to a round hole were identified (4.49% incidence).
The cohort had a median age of 28.9 years (range 14 to 39) and a female
predominance (64%). The median spherical equivalent refractive error was -5.5
diopters (range -1 to -18). The commonest quadrant with retinal holes was the
inferotemporal quadrant (40% of patients). Approximately half of our cohort were
identified to have lattice degeneration at any site and in either eye. The
majority of patients received an external nondrainage procedure (92%) with
cryotherapy (88%) and an explant (94%). Three patients required further surgery
to achieve retinal reattachment and 12% required surgery for a retinal detachment
in the fellow eye. At final follow-up, 78% achieved a visual acuity of 0.18
logMAR (6/12 Snellen) or better and the median final visual acuity was 0.00
logMAR (6/6 Snellen). CONCLUSIONS: Retinal detachments secondary to round holes
have consistent characteristic features (young, myopic, female, inferior
detachment). Surgical management is increasingly advocated and most achieve
primary surgical success with a good final visual acuity.
PMID- 22081672
TI - Performance of imaging devices versus optic disc and fiber layer photography in a
clinical practice guideline for glaucoma diagnosis.
AB - PURPOSE: To compare the performance of Moorfields Regression Analysis (MRA) and
optical coherence tomography (OCT) with that of photographic evaluation of the
optic nerve head and retinal nerve fiber layer (RNFL) in the application of the
Finnish Evidence-Based Guideline for Open-Angle Glaucoma (FEBG-OAG). METHODS:
Patients referred for glaucoma evaluation (n=312) and subjects selected from the
general population (n=41) were included in the study. All subjects underwent
ophthalmic evaluation, optic nerve head stereophotography, monochromatic RNFL
photography, Heidelberg retina tomography, OCT, and laser polarimetry evaluation.
The subjects were classified based on stereophotographic or MRA and OCT results
by applying the FEBG-OAG. RESULTS: The specificity of the FEBG-OAG for detecting
normal patients (stereophotography and imaging devices) was 78% (strict criteria)
and 100% (liberal criteria). Agreement between the stereophotographic evaluation
and evaluation based on MRA and OCT was 70.2%. Classification of subjects with
similar management advice based on these evaluations had 70.5% agreement. Central
corneal thickness was a confounding factor in glaucoma diagnosis. Large optic
disc sizes played a major role in misleading the diagnosis compared to small
discs. CONCLUSIONS: Central corneal thickness and large optic disc size are
confounding factors in glaucoma diagnosis. Moorfields Regression Analysis and OCT
allow for objective implementation of the FEBG-OAG compared to conventional
stereophotographic evaluation of the neuroretinal structures.
PMID- 22081673
TI - Overcoming the clinical-MR imaging paradox of multiple sclerosis: MR imaging data
assessed with a random forest approach.
AB - BACKGROUND AND PURPOSE: In MS, the relation between clinical and MR imaging
measures is still suboptimal. We assessed the correlation of disability and
specific impairment of the clinical functional system with overall and regional
CNS damage in a large cohort of patients with MS with different clinical
phenotypes by using a random forest approach. MATERIALS AND METHODS: Brain
conventional MR imaging and DTI were performed in 172 patients with MS and 46
controls. Cervical cord MR imaging was performed in a subgroup of subjects. To
evaluate whether MR imaging measures were able to correctly classify impairment
in specific clinical domains, we performed a random forest analysis. RESULTS:
Between-group differences were found for most of the MR imaging variables, which
correlated significantly with clinical measures (r ranging from -0.57 to 0.55).
The random forest analysis showed a high performance in identifying impaired
versus unimpaired patients, with a global error between 7% (pyramidal functional
system) and 31% (Ambulation Index) in the different outcomes considered. When
considering the performance in the unimpaired and impaired groups, the random
forest analysis showed a high performance in identifying patients with impaired
sensory, cerebellar, and brain stem functions (error below 10%), while it
performed poorly in defining impairment of visual and mental systems (error of
91% and 70%, respectively). In analyses with a good level of classification, for
most functional systems, damage of the WM fiber bundles subserving their
function, measured by using DTI tractography, had the highest classification
power. CONCLUSIONS: Random forest analysis, especially if applied to DTI
tractography data, is a valuable approach, which might contribute to overcoming
the MS clinical-MR imaging paradox.
PMID- 22081674
TI - Pediatric intracranial aneurysms: new and enlarging aneurysms after index
aneurysm treatment or observation.
AB - BACKGROUND AND PURPOSE: Children with brain aneurysms may be at higher risk than
adults to develop new or enlarging aneurysms in a relatively short time. We
sought to identify comorbidities and angiographic features in children that
predict new aneurysm formation or enlargement of untreated aneurysms. MATERIALS
AND METHODS: Retrospective analysis of the University of California-San Francisco
Pediatric Aneurysm Cohort data base including medical records and imaging studies
was performed. RESULTS: Of 83 patients harboring 114 intracranial aneurysms not
associated with brain arteriovenous malformations or intracranial arteriovenous
fistulas, 9 (8.4%) developed new or enlarging brain aneurysms an average of 4.2
years after initial presentation. Comorbidities that may be related to aneurysm
formation were significantly higher in patients who developed new aneurysms (89%)
as opposed to patients who did not develop new or enlarging aneurysms (41%; RR,
9.5; 95% CI, 1.9%-48%; P = .0099). Patients with multiple aneurysms at initial
presentation were more likely than patients with a single aneurysm at
presentation to develop a new or enlarging aneurysm (RR, 6.2; 95% CI, 2.1%-185; P
= .0058). Patients who initially presented with at least 1 fusiform aneurysm were
more likely to develop a new or enlarging aneurysm than patients who did not
present with a fusiform aneurysm (RR, 22; 95% CI, 3.6%-68%; P = .00050). Index
aneurysm treatment with parent artery occlusion also was associated with higher
risk of new aneurysm formation (RR, 4.2; 95% CI, 1.3%-13%; P = .024). New
aneurysms did not necessarily arise near index aneurysms. The only fatality in
the series was due to subarachnoid hemorrhage from a new posterior circulation
aneurysm arising 20 months after index anterior circulation aneurysm treatment in
an immunosuppressed patient. CONCLUSIONS: Patients who presented with a fusiform
aneurysm had a significantly greater incidence of developing a new aneurysm or
enlargement of an index aneurysm than did those who presented with a saccular
aneurysm. In our patient cohort, 8 of the 9 children who eventually developed new
or enlarging brain aneurysms initially presented with fusiform aneurysm
morphology. Other comorbidities or multiple aneurysms were also common in these
patients at initial presentation.
PMID- 22081675
TI - Structural brain abnormalities in patients with Parkinson disease: a comparative
voxel-based analysis using T1-weighted MR imaging and magnetization transfer
imaging.
AB - BACKGROUND AND PURPOSE: In PD, tissue damage occurs in specific cortical and
subcortical regions. Conventional MR images have only limited capacity to depict
these structural changes. The purpose of the current study was to investigate
whether voxel-based MT imaging could indicate structural abnormalities beyond
atrophy measurable with T1-weighted MR imaging. MATERIALS AND METHODS: Thirty-six
patients with PD without dementia (9 in H&Y stage 1, thirteen in H&Y 2, eleven in
H&Y 3, three in H&Y 4) and 23 age-matched control subjects were studied with T1
weighted MR imaging and MT imaging. Voxel-based analyses of T1-weighted MR
imaging was performed to investigate brain atrophy, while MT imaging was used to
study abnormalities within existing tissue. Modulated GM and WM probability maps,
sensitive to volume, and nonmodulated maps, indicative of tissue density, were
obtained from T1-weighted MR imaging. Effects seen on MTR images, but absent on
density maps, were attributed to damage of existing tissue. RESULTS: Contrary to
T1-weighted MR imaging, MT imaging was sensitive to the progression of brain
pathology of the neocortex and paraventricular WM. MTR images and T1-based volume
images, but not density images, showed a progression of disease in the olfactory
cortex, indicating the occurrence of atrophy as well as damage to existing tissue
in this region. MTR images revealed bilateral damage to the SN, while T1-weighted
MR imaging only showed left-sided abnormalities. CONCLUSIONS: The findings
suggest that voxel-based MT imaging permits a whole-brain unbiased investigation
of CNS structural integrity in PD and may be a valuable tool for identifying
structural damage occurring without or before measurable atrophy.
PMID- 22081676
TI - Strong magnets.
PMID- 22081677
TI - Cerebral perfusion long term after therapeutic occlusion of the internal carotid
artery in patients who tolerated angiographic balloon test occlusion.
AB - BACKGROUND AND PURPOSE: Therapeutic carotid occlusion is an established technique
for treatment of large and giant aneurysms of the ICA, in patients with
synchronous venous filling on angiography during BTO. Concern remains that
hemodynamic alterations after permanent occlusion will predispose the patient to
new ischemic injury in the ipsilateral hemisphere. The purpose of this study was
to assess whether BTO with synchronous venous filling is associated with normal
CBF long term after carotid sacrifice. MATERIALS AND METHODS: Eleven patients
were included (all women; mean age, 50.5 years; mean follow-up, 38.5 months). ASL
with single and multiple TIs was used to assess CBF and its temporal
characteristics. Selective ASL was used to assess actual territorial contribution
of the ICA and BA. Collateral flow via the AcomA or PcomA or both was determined
by time-resolved 3D PCMR. Paired t tests were used to compare CBF and timing
parameters between hemispheres. RESULTS: Absolute CBF values were within the
normal range. There was no significant CBF difference between hemispheres
ipsilateral and contralateral to carotid sacrifice (49.4 +/- 11.2 versus 50.1 +/-
10.1 mL/100 g/min). Arterial arrival time and trailing edge time were
significantly prolonged on the occlusion side (816 +/- 119 ms versus 741 +/- 103
ms, P = .001; and 1765 +/- 179 ms versus 1646 +/- 190 ms, P < .001). Two patients
had collateral flow through the AcomA only and were found to have increased
timing parameters compared with 9 patients with mixed collateral flow through
both the AcomA and PcomA. CONCLUSIONS: In this small study, patients with
synchronous venous filling during BTO had normal CBF long term after therapeutic
ICA occlusion.
PMID- 22081678
TI - Porcine brachial artery tortuosity for in vivo evaluation of neuroendovascular
devices.
AB - We report a novel model of arterial tortuosity in the porcine brachial artery for
testing of endovascular devices in the flexed forelimb position. This provides an
ideal vascular territory for an in vivo assessment of guidewires, microcatheters,
and endovascular implants because it closely mimics the challenging curvature at
the carotid siphon.
PMID- 22081679
TI - Different patterns of fornix damage in idiopathic normal pressure hydrocephalus
and Alzheimer disease.
AB - BACKGROUND AND PURPOSE: The fornix contains efferent fibers of the hippocampus
and is in close contact with the corpus callosum. Part of the fornix is directly
attached to the corpus callosum, and another part is suspended from the corpus
callosum via the septum pellucidum. DTI can be used to evaluate the morphology
and microstructural integrity of the fornix. We examined the pattern of fornix
damage in patients with iNPH or AD. MATERIALS AND METHODS: We enrolled 22
patients with iNPH, 20 with AD, and 20 healthy controls. DTI data were obtained.
The morphology (volume, length, and mean cross-sectional area) and FA values of
the fornix were evaluated by using tract-specific analysis and compared among
groups. RESULTS: The volume, cross-sectional area, and FA value of the fornix
were significantly smaller in patients with iNPH than in controls, whereas the
length was significantly greater. In patients with AD, the volume, mean cross
sectional area, and FA value of the fornix were significantly smaller than those
in controls, whereas the length was not altered. The fornix was significantly
longer in patients with iNPH than in patients with AD, whereas the volume and
cross-sectional areas were significantly smaller. CONCLUSIONS: Our results
suggest that the different pathogeneses of these diseases lead to fornix damage
through different mechanisms: through mechanical stretching due to lateral
ventricular enlargement and corpus callosum deformation in patients with iNPH,
and through degeneration secondary to hippocampal atrophy in patients with AD.
PMID- 22081680
TI - The effect of age and cerebral ischemia on diffusion-weighted proton MR
spectroscopy of the human brain.
AB - BACKGROUND AND PURPOSE: DW-MRS is a promising tool for the noninvasive
identification of the cellular response to cerebral ischemia. To date, the
potential confounding effects of aging and the stage of ischemia are unknown. We,
therefore, examined the cross-sectional effects of age and different stages of
cerebral ischemia on the diffusion of brain metabolites. MATERIALS AND METHODS:
The ADCs of 3 major metabolites, including Cho, Cr, and NAA were measured by DW
MRS in healthy younger (n = 26, 24 +/- 2.2 years of age) and older (n = 17, 63 +/
7.0 years of age) adults, as well as in patients with acute (n = 7, 57 +/- 4.0
years of age) and subacute (n = 12, 62 +/- 7.8 years of age) cerebral ischemia.
RESULTS: Compared with younger adults, healthy older adults presented with
significantly reduced ADC values of NAA (P = .000052), Cr (P = .000018), and Cho
(P = .00075). Meanwhile, the ADC values of NAA (F(2,36) = 6.057, P = .006), Cr
(F(2,36) = 5.634, P = .008), and Cho (F(2,36) = 8.167, P = .001) were
significantly different among the acute cerebral ischemia group, subacute
cerebral ischemia group, and healthy older controls. These metabolites decreased
in the acute stage of cerebral ischemia but increased in the subacute stage,
compared with age-matched controls. CONCLUSIONS: The effect of age should be
considered when analyzing diffusion of cerebral metabolites with DW-MRS. Our
observations also suggest that metabolite diffusion data may be used to reveal
changes in the intracellular environment, depending on the pathologic status of
ischemia.
PMID- 22081681
TI - Daydreaming about our metaphorical tool belt.
PMID- 22081682
TI - Carotid artery wall thickness measured using CT: inter- and intraobserver
agreement analysis.
AB - SUMMARY: The purpose of this work was to compare inter- and intraobserver
agreement in the analysis of CAWT by using MDCTA. The CAWT in 35 patients was
quantified by 4 observers. Bland-Altman statistics were used to measure the
agreement between observers. The results of our study demonstrated that the CAWT
measured by using MDCTA shows a good reproducibility between observers by
considering inter- and intraobserver agreement.
PMID- 22081683
TI - Pituitary iron and volume imaging in healthy controls.
AB - BACKGROUND AND PURPOSE: Patients with transfusional iron overload develop iron
deposits in the pituitary gland, which are associated with volume loss and HH.
The purpose of this study was to characterize R2 and volumetric data in a healthy
population for diagnostic use in patients with transfusional iron overload.
MATERIALS AND METHODS: One hundred healthy controls without iron overload between
the ages of 2 and 48 were recruited to have MR imaging of the brain to assess
their pituitary R2 and volume. Pituitary R2 was assessed with a 8-echo spin-echo
sequence, and pituitary volumes, by a 3D spoiled gradient-echo sequence with 1
mm(3) resolution. A 2-component continuous piecewise linear approximation was
used for creating volumetric and R2 nomograms. Equations were generated from
regression relationships for convenient z-score calculation. RESULTS: Pituitary
R2 rose weakly with age (r(2) = 0.19, P < .0001). Anterior and total pituitary
volumes increased steadily up to 18 years of age, after which volume slightly
decreased. Females had larger pituitary glands, most likely representing their
larger lactotroph population. CONCLUSIONS: From these data, a clinician can
calculate the z scores for R2 and pituitary volume in patients with iron
overload. Normal ranges are well-differentiated from values previously associated
with endocrine disease in transfusional siderosis; this finding suggests that
preclinical iron overload can be recognized and appropriately treated.
PMID- 22081684
TI - Dairy micronutrients: new insights and health benefits. Introduction.
PMID- 22081685
TI - Milk and dairy products: a unique micronutrient combination.
AB - Milk and dairy products contain micronutrients such as minerals and vitamins,
which contribute to multiple and different vital functions in the organism. The
mineral fraction is composed of macroelements (Ca, Mg, Na, K, P, and Cl) and
oligoelements (Fe, Cu, Zn, and Se). From a physicochemical point of view, the
chemical forms, the associations with other ions or organic molecules, and the
location of macroelements such as Ca, Mg, Na, K, P, and Cl in milk are relatively
well described and understood. Thus, it is admitted that these macroelements are
differently distributed into aqueous and micellar phases of milk, depending on
their nature. K, Na, and Cl ions are essentially in the aqueous phase, whereas
Ca, P, and Mg are partly bound to the casein micelles. About one third of the Ca,
half of the P, and two thirds of the Mg are located in the aqueous phase of milk.
Dairy products are more or less rich in these different minerals. In cheeses,
mineral content depends mainly on their processing. The Ca content is strongly
related to the acidification step. Moreover, if acidification is associated with
the draining step, the Ca content in the cheese will be reduced. Thus, the Ca
content varies in the following increasing order: milks/fermented milks/fresh
cheeses < soft cheeses < semi-hard cheeses < hard cheeses. The chemical forms and
associations are less described than those present in milk. Concerning Ca, the
formation of insoluble calcium phosphate, carbonate, and lactate is reported in
some ripened cheeses. The NaCl content in cheeses depends on the salting of the
curd. From a nutritional point of view, it is largely admitted that milk and
dairy products are important sources of Ca, Mg, Zn, and Se. The vitamin fraction
of milk and dairy products is composed of lipophilic (A, D, E, and K) and
hydrophilic (B(1), B(2), B(3), B(5), B(6), B(8), B(9), B(12), and C) vitamins.
Because of their hydrophobic properties, the lipophilic vitamins are mainly in
the milk fat fraction (cream, butter). The hydrophilic vitamins are in the
aqueous phase of milk. For one part of these vitamins, the concentrations
described in the literature are not always homogenous and sometimes not in
accordance between them; these discrepancies are due to the difficulty of the
sample preparation and the use of appropriate methods for their quantification.
However, there is no doubt of the significant contribution of milk and dairy
products to the intake of vitamins. Milk and dairy are considered essential
sources for vitamins. Key teaching points: Milk and dairy products are unique
micronutrient combinations with recognized health benefits. The concentration,
chemical forms, and location of different minerals are relatively well known and
described. For example, Ca is present in dairy products in different forms: free,
associated with citrate, inorganic and organic phosphates, and free fatty acids.
Milk and dairy products are excellent sources of Ca, P, Mg, Zn, and Se. The
concentration of vitamins in milk and dairy products is variable and depends on
several factors such as biosynthesis, animal feeding, physicochemical conditions
(heat, light, O(2), oxidant agents), and analytical methods for their
determinations. Vitamins A, D, E, and K are mainly located in the lipid phase and
vitamins of group B and C in the aqueous phase. Milk and dairy products are
excellent sources of vitamins A, B(1), B(2), and B(12).
PMID- 22081686
TI - The contribution of dairy products to micronutrient intakes in France.
AB - OBJECTIVE: To assess the contribution of milk and dairy products to the intake of
vitamins and minerals in the French population. METHODS: Data from the French
Individual National Food Consumption Survey 2 (2005-2007) carried out in a
representative sample of French people aged 3 to 79 years were used for analysis.
RESULTS: Dairy products are strong contributors of calcium; iodine; phosphorus;
potassium; zinc; vitamins B(2), B(12), B(5), and D; and retinol. Of all dairy
products, milk contributes the most to the intake of these nutrients in children,
whereas in adults, cheese and ultra-fresh dairy products contribute equally.
CONCLUSION: Dairy products are an important source of vitamins and minerals in
the French population and help strongly to meet micronutrient recommendations for
both children and adults.
PMID- 22081687
TI - The contribution of dairy products to micronutrient intake in the Netherlands.
AB - OBJECTIVE: To assess the contribution of dairy products to the intake of various
vitamins and minerals in several life stages in the Dutch population. METHOD:
Data from 3 Dutch Food Consumption Surveys and the Leiden Longevity Study were
used to estimate the contribution of dairy products--as percentage of total
intake--to the intake of iron, copper, selenium, zinc, calcium, folic acid,
vitamin D, vitamin C, and vitamin B(12). RESULTS: In young children, dairy
products contributed substantially to the intake of calcium (73%), selenium
(21%), iron (8%), zinc (39%), copper (12%), folic acid (24%), vitamin C (18%),
vitamin D (16%), and vitamin B(12) (58%). Of all dairy products, milk contributed
the most to the intake of these nutrients. In adults and elderly subjects, the
contribution of dairy products to total micronutrient intake was 65%-68% for
calcium, 18%-19% for selenium, 3%-4% for iron, 28%-31% for zinc, 6%-7% for
copper, 17%-19% for folic acid, 10%-14% for vitamin C, 11%-16% for vitamin D, and
44%-46% for vitamin B(12). Milk as well as cheese contributed the most to the
intake of these nutrients. CONCLUSIONS: Dairy products are an important source of
vitamins and minerals in the Dutch population. Dairy products, especially milk
and cheese, contribute substantially to the intake of calcium, selenium, zinc,
and vitamin B(12).
PMID- 22081688
TI - The contribution of milk and milk products to micronutrient density and
affordability of the U.S. diet.
AB - BACKGROUND: To be successful, dietary guidance needs to identify foods that are
nutrient rich, affordable, and appealing. Analyses of dietary surveys on "what we
eat in America" can now be supplemented by analyses of nutrient density as well
as nutrient cost. OBJECTIVE: To explore the relative contribution of 9 food
groups to energy and nutrient intakes and to assess the relative cost of selected
nutrients by major food group. METHOD: Dietary intake data were provided by the 4
cycles of the continuous National Health and Nutrition Examination Survey (NHANES
2001-2002, 2003-2004, 2005-2006, and 2007-2008). Research on the nutritive value
and cost of U.S. foods was made possible by the merging of the U.S. Department of
Agriculture (USDA) Food and Nutrition Database for Dietary Studies (FNDDS 2.0)
with the USDA food prices database. Nutrient densities were calculated per 100
kcal. Nutrient costs were calculated as the dollar cost of meeting 10% daily
value for a given nutrient. RESULTS: Despite their low energy contribution (10%
13% of energy), milk and milk products contributed 47% of calcium, 42% of
retinol, and 65% of vitamin D to the diets of children and adults. Milk and milk
products were among the top sources of riboflavin, phosphorous, and vitamin
B(12). Cost analyses showed that milk and milk products were by far the lowest
cost source of dietary calcium and were among the lowest-cost sources of
riboflavin and vitamin B(12). Vegetables and fruit were the lowest-cost sources
of vitamin C, whereas dry beans and legumes were the lowest-cost sources of
fiber. CONCLUSION: The nutrients-per-calorie and nutrient cost metrics can help
identify affordable nutrient-rich foods.
PMID- 22081689
TI - Evidence-based dietary guidance and the role of dairy products for appropriate
nutrition in the elderly.
AB - Food consumption surveys in the elderly come to the general conclusions that most
elderly people outside institutions eat reasonably well. There is, however,
tremendous variation in health status between older adults. The aging process is
complex and influenced not only by factors intrinsic to the individual but also
by extrinsic factors. The latter includes nutrition. In the various phases in the
aging process, nutritional status and thus appropriate nutrition differ.
Undernutrition is a great concern. In community-dwelling people older than 70
years, 5%-10% are undernourished, and for institutionalized elderly, this is up
to 30%-65%. The cause is often inappropriate food consumption, and treatment is
not always evidence based. National evidence-based dietary guidelines are mainly
for healthy elderly people and vary between regions and even between institutes
within the same region. To understand these differences, insight is required into
the paradigm applied for nutritional science and the designs, selection of the
older population, methodology, and endpoints of studies supplying the science
behind the guidelines. A European project (Eurecca) compiles and harmonizes
dietary guidelines. These activities underpin the need for sound evidence to
improve the nutrition of older adults in different health phases. For frail
elderly, there is also a plea to take into account results of studies on food
satisfaction. First studies in this field show the effectiveness of an adapted
social context for meals, appropriate nutritional care, and availability of tasty
drinks and foods for selected groups of older adults. Because of the nutrient
richness of dairy products and their good taste, these foods are helpful in the
diet of healthy as well as frail elderly people. Key teaching points: Most
elderly people eat reasonably well. There are, however, large differences in
health status between elderly people and therefore also in nutrient requirements.
Undernutrition is a main concern. In community-dwelling people older than 70
years, 5%-10% are undernourished, and for institutionalized elderly, this is up
to 30%-65%. Evidence-based dietary guidelines are developed mainly for healthy
elderly people and vary between regions. Harmonization will improve public health
as well as clinical nutritional strategies. Given the nutrient density of dairy
products, these products are included in balanced dietary advice for older
adults.
PMID- 22081690
TI - Calcium and phosphate: a duet of ions playing for bone health.
AB - The acquisition and maintenance of bone mass and strength are influenced by
environmental factors, including physical activity and nutrition. Among
micronutrients, calcium (Ca) and inorganic (i) phosphate (P) are the two main
constituents of hydroxyapatite, the bone mineral that strengthens the mechanical
resistance of the organic matrix. Bone contains about 99% and 80% of the body's
entire supply of Ca and P, respectively. The Ca/P mass ratio in bone is 2.2,
which is similar to that measured in human milk. The initial step of Ca-Pi
crystal nucleation takes place within matrix vesicles that bud from the plasma
membrane of osteogenic cells and migrate into the extracellular skeletal
compartment. They are endowed with a transport system that accumulates Pi inside
the matrix vesicles, followed by the influx of Ca ions. This process leads to the
formation of hydroxyapatite crystal and its subsequent association with the
organic matrix collagen fibrils. In addition to this structural role, both Ca and
Pi positively influence the activity of bone-forming and bone-resorbing cells. Pi
plays a role in the maturation of osteocytes, the most abundant cells in bone.
Osteocytes are implicated in bone mineralization and systemic Pi homeostasis.
They produce fibroblast growth factor-23, a hormonal regulator of renal Pi
reabsorption and 1,25-dihydroxy vitamin D production. This relationship is in
keeping with the concept proposed several decades ago of a bone-kidney link in Pi
homeostasis. In contrast to their tight association in bone formation and
resorption, Ca and Pi renal reabsorption processes are independent from each
other, driven by distinct molecular machineries. The distinct renal control is
related to the different extraskeletal functions that Ca and Pi play in cellular
metabolism. At both the renal and the intestinal levels, interactions of Ca and
Pi have been documented that have important implications in the acquisition and
maintenance of bone health, as well as in osteoporosis management. In the kidney,
increased Pi intake enhances Ca reabsorption and Ca balance. During growth and
adulthood, administration of Ca-Pi in a ratio close to that of dairy products
leads to positive effects on bone health. In contrast, when separately ingested
as pharmaceutical salt supplements, thus inducing large differences between Ca
and Pi concentrations in the intestinal lumen, they might have adverse effects on
bone health. In osteoporotic patients treated with anabolic agents, a Ca-Pi
supplement appears to be preferable to carbonate or citrate Ca salt. In
conclusion, Ca and Pi constitute a key duo for appropriate bone mineral
acquisition and maintenance throughout life. Outside the skeleton, their
essential but distinct physiological functions are controlled by specific
transporters and hormonal systems that also serve to secure the appropriate
supply of Ca and Pi for bone health. Key teaching points: Bone contains about 99%
and 80% of the body's supply of Ca and P, respectively, as hydroxyapatite and has
a Ca/P mass ratio of about 2.2, close to that measured in human milk. The first
step of Ca-Pi crystal nucleation takes place within matrix vesicles that bud from
the plasma membrane of osteogenic cells. In addition to their structural role,
both Ca and Pi influence bone-forming and bone-resorbing cells. There is a bone
kidney link in Pi homeostasis in which fibroblast growth factor-23, a molecule
produced by osteocytes, appears to play a pivotal role. In contrast to their
tight association during bone formation and resorption, both intestinal and renal
Ca and Pi processes are independent of each other. Observational and
interventional studies suggest that Ca-Pi salt or dairy products can exert
positive effects on bone acquisition and maintenance.
PMID- 22081691
TI - Human obesity: is insufficient calcium/dairy intake part of the problem?
AB - Epidemiological data have shown that low calcium intake is a risk factor for
overweight and obesity. The clinical implications of this relationship have been
confirmed in weight loss studies performed in low calcium consumers in whom
calcium or dairy supplementation accentuated body weight and fat loss. Up to now,
laboratory studies and clinical trials have demonstrated that this effect may be
explained by an increase in fat oxidation and fecal loss as well as a
facilitation of appetite control. Taken together, these observations suggest that
insufficient calcium intake can be part of the obesity problem in some
individuals and that an increase in calcium/dairy intake is part of the solution.
Key teaching points: Low dietary calcium intake is a significant risk factor for
overweight in adults. Calcium/dairy supplementation may accentuate the impact of
a weight-reducing program in obese low calcium consumers. Calcium/dairy
supplementation promotes fecal fat loss and fat oxidation. Calcium/dairy
supplementation favors a decrease in energy intake and a facilitation of appetite
control in obese individuals during weight loss.
PMID- 22081692
TI - Dairy products and the metabolic syndrome in a prospective study, DESIR.
AB - OBJECTIVE: In previous cross-sectional analyses of the Data from an
Epidemiological Study on the Insulin Resistance Syndrome (DESIR) cohort, we have
found inverse associations between dairy product consumption and metabolic
syndrome (MetS) traits. We have now analyzed in a prospective way the influence
of dairy product and calcium consumption at inclusion on the 9-year cumulative
incidence of the MetS and associated traits in the French prospective study with
a 9-year follow-up, DESIR. METHODS: After exclusion of diabetic subjects and
those being on a diet at inclusion, 3417 men and women who completed a food
frequency at baseline could be studied. Logistic regression models were used to
study associations between dairy products and dietary calcium density at baseline
and incident MetS and impaired fasting glycemia/type 2 diabetes (IFG/T2D) after
adjusting for gender, age, and lifestyle parameters (alcohol, smoking, physical
activity, fat intake). An additional model adjusting for the same covariates and
for body mass index (BMI) was also used. Associations between dairy products and
continuous variables were studied by repeated measures analysis of covariance,
using the same covariates. RESULTS: Total dairy product consumption, dairy
(except cheese) consumption, and dietary calcium density were inversely
associated with incident MetS and IFG/T2D. Cheese consumption was negatively
associated with incident MetS but not with glycemic disorders. All parameters
were associated with lower diastolic blood pressure and triglycerides (average
over the 9-year period) and with a lower BMI gain in the same period. Higher
total dairy and cheese intake and calcium density were associated with a lower
increase in waist circumference and triglycerides during the 9-year follow-up.
CONCLUSION: In the French general population, these results show beneficial
effects of dairy product consumption on the metabolic syndrome and glycemic
disorders. Therefore, dairy product consumption could be protective against
cardiovascular risk.
PMID- 22081693
TI - Dairy products and cancer.
AB - Cancer is a group of more than 100 diseases in which cells display uncontrolled
growth, invasion, and sometimes metastasis. Milk and dairy products contain
micronutrients and several bioactive constituents that may influence cancer risk
and progression. Much of the focus of human, population-based studies has been on
the effects of intake of milk and total dairy products or of calcium intake.
Based on a systematic review of the epidemiologic literature, the World Cancer
Research Fund and American Institute for Cancer Research report concluded there
was a probable association between milk intake and lower risk of colorectal
cancer, a probable association between diets high in calcium and increased risk
of prostate cancer, and limited evidence of an association between milk intake
and lower risk of bladder cancer. For other cancers, the evidence was mixed or
lacking. Since the 2007 report, several additional, large-cohort studies have
been published, including two that show an inverse association between intake of
cultured dairy products and bladder cancer. Little is known about the potential
effect of various bioactives produced during rumen microbe metabolism on cancer
risk. Furthermore, studies support a role of live microbes present in some dairy
products in the modulation of the human gut microbial community and gut
metabolism. Given the growing appreciation for the role of the gut microbial
community in relation to immune function and health and disease, including
cancer, the potential role of various dairy products in the modulation of the
human gut microbiome warrants further evaluation. Key teaching points: As a
dietary exposure, dairy products are a complex group of foods and composition
varies by region, which makes evaluation of their association with disease risk
difficult. For most cancers, associations between cancer risk and intake of milk
and dairy products have been examined only in a small number of cohort studies,
and data are inconsistent or lacking. Meta-analyses of cohort data available to
date support an inverse association between milk intake and risk of colorectal
and bladder cancer and a positive association between diets high in calcium and
risk of prostate cancer. Other constituents of dairy products, such as rumen
derived metabolites, have not been evaluated extensively for cancer-preventive
properties. The influence of live microbes in fermented dairy products and
certain cheeses on the human gut microbiome and immune function is a growing area
of study.
PMID- 22081694
TI - Milk and acid-base balance: proposed hypothesis versus scientific evidence.
AB - Recently the lay press has claimed a hypothetical association among dairy product
consumption, generation of dietary acid, and harm to human health. This
theoretical association is based on the idea that the protein and phosphate in
milk and dairy products make them acid-producing foods, which cause our bodies to
become acidified, promoting diseases of modern civilization. Some authors have
suggested that dairy products are not helpful and perhaps detrimental to bone
health because higher osteoporotic fracture incidence is observed in countries
with higher dairy product consumption. However, scientific evidence does not
support any of these claims. Milk and dairy products neither produce acid upon
metabolism nor cause metabolic acidosis, and systemic pH is not influenced by
diet. Observations of higher dairy product intake in countries with prevalent
osteoporosis do not hold when urban environments are compared, likely due to
physical labor in rural locations. Milk and other dairy products continue to be a
good source of dietary protein and other nutrients. Key teaching points:
Measurement of an acidic pH urine does not reflect metabolic acidosis or an
adverse health condition. The modern diet, and dairy product consumption, does
not make the body acidic. Alkaline diets alter urine pH but do not change
systemic pH. Net acid excretion is not an important influence of calcium
metabolism. Milk is not acid producing. Dietary phosphate does not have a
negative impact on calcium metabolism, which is contrary to the acid-ash
hypothesis.
PMID- 22081696
TI - The relative value of strain and strain rate for defining intrinsic myocardial
function.
AB - It is well accepted that strain and strain rate deformation parameters are not
only a measure of intrinsic myocardial contractility but are also influenced by
changes in cardiac load and structure. To date, no information is available on
the relative importance of these confounders. This study was designed to
investigate how strain and strain rate, measured by Doppler echocardiography,
relate to the individual factors that determine cardiac performance.
Echocardiographic and conductance measurements were simultaneously performed in
mice in which individual determinants of cardiac performance were mechanically
and/or pharmacologically modulated. A multivariable analysis was performed with
radial and circumferential strains and peak systolic radial and circumferential
strain rates as dependent parameters and preload recruitable stroke work (PRSW),
arterial elastance (E(a)), end-diastolic pressure, and left ventricular
myocardial volume (LVMV) as independent factors representing myocardial
contractility, afterload, preload, and myocardial volume, respectively. Radial
strain was most influenced by E(a) (beta = -0.58, R(2) = 0.34), whereas
circumferential strain was strongly associated with E(a) and moderately with LVMV
(beta = 0.79 and -0.52, respectively, R(2) = 0.54). Radial strain rate was
related to both PRSW and LVMV (beta = 0.79 and -0.62, respectively, R(2) = 0.50),
whereas circumferential strain rate showed a prominent correlation only with PRSW
(beta = -0.61, R(2) = 0.51). In conclusion, strain (both radial and
circumferential) is not a good surrogate measure of intrinsic myocardial
contractility unless the strong confounding influence of afterload is considered.
Strain rate is a more robust measure of contractility that is less influenced by
changes in cardiac load and structure. Thus, peak systolic strain rate is the
more relevant parameter to assess myocardial contractile function noninvasively.
PMID- 22081698
TI - Endothelial dysfunction as a cellular mechanism for vascular failure.
AB - The regulation of vascular tone, vascular permeability, and thromboresistance is
essential to maintain blood circulation and therefore tissue environments under
physiological conditions. Atherogenic stimuli, including diabetes, dyslipidemia,
and oxidative stress, induce vascular dysfunction, leading to atherosclerosis,
which is a key pathological basis for cardiovascular diseases such as ischemic
heart disease and stroke. We have proposed a novel concept termed "vascular
failure" to comprehensively recognize the vascular dysfunction that contributes
to the development of cardiovascular diseases. Vascular endothelial cells form
the vascular endothelium as a monolayer that covers the vascular lumen and serves
as an interface between circulating blood and immune cells. Endothelial cells
regulate vascular function in collaboration with smooth muscle cells. Endothelial
dysfunction under pathophysiological conditions contributes to the development of
vascular dysfunction. Here, we address the barrier function and microtubule
function of endothelial cells. Endothelial barrier function, mediated by cell-to
cell junctions between endothelial cells, is regulated by small GTPases and
kinases. Microtubule function, regulated by the acetylation of tubulin, a
component of the microtubules, is a target of atherogenic stimuli. The
elucidation of the molecular mechanisms of endothelial dysfunction as a cellular
mechanism for vascular failure could provide novel therapeutic targets of
cardiovascular diseases.
PMID- 22081697
TI - Mathematical modeling mechanisms of arrhythmias in transgenic mouse heart
overexpressing TNF-alpha.
AB - Transgenic mice overexpressing tumor necrosis factor-alpha (TNF-alpha mice)
possess many of the features of human heart failure, such as dilated
cardiomyopathy, impaired Ca(2+) handling, arrhythmias, and decreased survival.
Although TNF-alpha mice have been studied extensively with a number of
experimental methods, the mechanisms of heart failure are not completely
understood. We created a mathematical model that reproduced experimentally
observed changes in the action potential (AP) and Ca(2+) handling of isolated TNF
alpha mice ventricular myocytes. To study the contribution of the differences in
ion currents, AP, Ca(2+) handling, and intercellular coupling to the development
of arrhythmias in TNF-alpha mice, we further created several multicellular model
tissues with combinations of wild-type (WT)/reduced gap junction conductance,
WT/prolonged AP, and WT/decreased Na(+) current (I(Na)) amplitude. All model
tissues were examined for susceptibility to Ca(2+) alternans, AP propagation
block, and reentry. Our modeling results demonstrated that, similar to
experimental data in TNF-alpha mice, Ca(2+) alternans in TNF-alpha tissues
developed at longer basic cycle lengths. The greater susceptibility to Ca(2+)
alternans was attributed to the prolonged AP, resulting in larger inactivation of
I(Na), and to the decreased SR Ca(2+) uptake and corresponding smaller SR Ca(2+)
load. Simulations demonstrated that AP prolongation induces an increased
susceptibility to AP propagation block. Programmed stimulation of the model
tissues with a premature impulse showed that reduced gap junction conduction
increased the vulnerable window for initiation reentry, supporting the idea that
reduced intercellular coupling is the major factor for reentrant arrhythmias in
TNF-alpha mice.
PMID- 22081699
TI - Constitutive overexpression of phosphomimetic phospholemman S68E mutant results
in arrhythmias, early mortality, and heart failure: potential involvement of
Na+/Ca2+ exchanger.
AB - Expression and activity of cardiac Na(+)/Ca(2+) exchanger (NCX1) are altered in
many disease states. We engineered mice in which the phosphomimetic phospholemman
S68E mutant (inhibits NCX1 but not Na(+)-K(+)-ATPase) was constitutively
overexpressed in a cardiac-specific manner (conS68E). At 4-6 wk, conS68E mice
exhibited severe bradycardia, ventricular arrhythmias, increased left ventricular
(LV) mass, decreased cardiac output (CO), and ~50% mortality compared with wild
type (WT) littermates. Protein levels of NCX1, calsequestrin, ryanodine receptor,
and alpha(1)- and alpha(2)-subunits of Na(+)-K(+)-ATPase were similar, but
sarco(endo)plasmic reticulum Ca(2+)-ATPase was lower, whereas L-type Ca(2+)
channels were higher in conS68E hearts. Resting membrane potential and action
potential amplitude were similar, but action potential duration was dramatically
prolonged in conS68E myocytes. Diastolic intracellular Ca(2+) ([Ca(2+)](i)) was
higher, [Ca(2+)](i) transient and maximal contraction amplitudes were lower, and
half-time of [Ca(2+)](i) transient decline was longer in conS68E myocytes.
Intracellular Na(+) reached maximum within 3 min after isoproterenol addition,
followed by decline in WT but not in conS68E myocytes. Na(+)/Ca(2+) exchange, L
type Ca(2+), Na(+)-K(+)-ATPase, and depolarization-activated K(+) currents were
decreased in conS68E myocytes. At 22 wk, bradycardia and increased LV mass
persisted in conS68E survivors. Despite comparable baseline CO, conS68E survivors
at 22 wk exhibited decreased chronotropic, inotropic, and lusitropic responses to
isoproterenol. We conclude that constitutive overexpression of S68E mutant was
detrimental, both in terms of depressed cardiac function and increased
arrhythmogenesis.
PMID- 22081700
TI - Cell-to-cell coupling in engineered pairs of rat ventricular cardiomyocytes:
relation between Cx43 immunofluorescence and intercellular electrical
conductance.
AB - Gap junctions are composed of connexin (Cx) proteins, which mediate intercellular
communication. Cx43 is the dominant Cx in ventricular myocardium, and Cx45 is
present in trace amounts. Cx43 immunosignal has been associated with cell-to-cell
coupling and electrical propagation, but no studies have directly correlated Cx43
immunosignal to electrical cell-to-cell conductance, g(j), in ventricular
cardiomyocyte pairs. To assess the correlation between Cx43 immunosignal and
g(j), we developed a method to determine both parameters from the same cell pair.
Neonatal rat ventricular cardiomyocytes were seeded on micropatterned islands of
fibronectin. This allowed formation of cell pairs with reproducible shapes and
facilitated tracking of cell pair locations. Moreover, cell spreading was limited
by the fibronectin pattern, which allowed us to increase cell height by reducing
the surface area of the pattern. Whole cell dual voltage clamp was used to record
g(j) of cell pairs after 3-5 days in culture. Fixation of cell pairs before
removal of patch electrodes enabled preservation of cell morphology and offline
identification of patched pairs. Subsequently, pairs were immunostained, and the
volume of junctional Cx43 was quantified using confocal microscopy, image
deconvolution, and three-dimensional reconstruction. Our results show a linear
correlation between g(j) and Cx43 immunosignal within a range of 8-50 nS.
PMID- 22081701
TI - Atypical Ca2+ currents in chromaffin cells from SHR and WKY rat strains result
from the deficient expression of a splice variant of the alpha1D Ca2+ channel.
AB - Ca(2+) currents (I(Ca)) recorded from adrenal chromaffin cells (CCs) of
spontaneously hypertensive (SHR) and normotensive Wistar-Kyoto (WKY) rats are
similar to one another, but different from those recorded in other rodent
species. I(Ca) in WKY/SHR CCs comprises an early, transient (I(Ca(e))) and a
late, sustained component (I(Ca(s))). In Wistar CCs, I(Ca(e)) is absent, and
I(Ca(s)) is of greater amplitude. Activation and steady-state inactivation of
I(Ca(e)) and I(Ca(s)) in WKY/SHR CCs suggest the recruitment of at least two
populations of Ca(2+) channels with different voltage dependence and kinetics. In
WKY/SHR CCs, I(Ca(e)) is inhibited by nifedipine, enhanced by BAY K 8644, is not
blocked by the mibefradil analog NNC 55-0396, and displays Ca(2+)-dependent
inactivation and fast deactivation kinetics, suggesting that it results from the
opening of L-type rather than T-type Ca(2+) channels. I(Ca(e)) properties suggest
that it originates from the opening of Ca(2+) channels formed with the short
splice variant (Ca(V)1.3(42A)). RT-PCR showed that expression of Ca(V)1.3(42A)
mRNA is similar in both Wistar and WKY/SHR, but that the long variant
(Ca(V)1.3(42)) is virtually absent in WKY/SHR. Thus I(Ca(e)) corresponds to the
recruitment of Ca(V)1.3(42A) channels, unmasked by the absence of Ca(V)1.3(42)
channels. Studies in WKY CCs do not report major functional alterations, despite
the unusual expression pattern of Ca(V)1.3 splice variants. It remains to be
established if more subtle functional alterations exist, and if the atypical
splicing pattern of Ca(V)1.3 could be related to the functional and behavioral
alterations reported in WKY/SHR rats, including their susceptibility to develop
hypertension.
PMID- 22081702
TI - Testosterone-induced relaxation of coronary arteries: activation of BKCa channels
via the cGMP-dependent protein kinase.
AB - Androgens are reported to have both beneficial and detrimental effects on human
cardiovascular health. The aim of this study was to characterize nongenomic
signaling mechanisms in coronary artery smooth muscle (CASM) and define the ionic
basis of testosterone (TES) action. TES-induced relaxation of endothelium-denuded
porcine coronary arteries was nearly abolished by 20 nM iberiotoxin, a highly
specific inhibitor of large-conductance, calcium-activated potassium (BK(Ca))
channels. Molecular patch-clamp studies confirmed that nanomolar concentrations
of TES stimulated BK(Ca) channel activity by ~100-fold and that inhibition of
nitric oxide synthase (NOS) activity by N(G)-monomethyl-L-arginine nearly
abolished this effect. Inhibition of nitric oxide (NO) synthesis or guanylyl
cyclase activity also attenuated TES-induced coronary artery relaxation but did
not alter relaxation due to 8-bromo-cGMP. Furthermore, we detected TES-stimulated
NO production in porcine coronary arteries and in human CASM cells via
stimulation of the type 1 neuronal NOS isoform. Inhibition of the cGMP-dependent
protein kinase (PKG) attenuated TES-stimulated BK(Ca) channel activity, and
direct assay determined that TES increased activity of PKG in a concentration
dependent fashion. Last, the stimulatory effect of TES on BK(Ca) channel activity
was mimicked by addition of purified PKG to the cytoplasmic surface of a cell
free membrane patch from CASM myocytes (~100-fold increase). These findings
indicate that TES-induced relaxation of endothelium-denuded coronary arteries is
mediated, at least in part, by enhanced NO production, leading to cGMP synthesis
and PKG activation, which, in turn, opens BK(Ca) channels. These findings provide
a molecular mechanism that could help explain why androgens have been reported to
relax coronary arteries and relieve angina pectoris.
PMID- 22081703
TI - Cytoskeletal role in protection of the failing heart by beta-adrenergic blockade.
AB - Formation of a dense microtubule network that impedes cardiac contraction and
intracellular transport occurs in severe pressure overload hypertrophy. This
process is highly dynamic, since microtubule depolymerization causes striking
improvement in contractile function. A molecular etiology for this cytoskeletal
alteration has been defined in terms of type 1 and type 2A phosphatase-dependent
site-specific dephosphorylation of the predominant myocardial microtubule
associated protein (MAP)4, which then decorates and stabilizes microtubules. This
persistent phosphatase activation is dependent upon ongoing upstream activity of
p21-activated kinase-1, or Pak1. Because cardiac beta-adrenergic activity is
markedly and continuously increased in decompensated hypertrophy, and because
beta-adrenergic activation of cardiac Pak1 and phosphatases has been
demonstrated, we asked here whether the highly maladaptive cardiac microtubule
phenotype seen in pathological hypertrophy is based on beta-adrenergic overdrive
and thus could be reversed by beta-adrenergic blockade. The data in this study,
which were designed to answer this question, show that such is the case; that is,
beta(1)- (but not beta(2)-) adrenergic input activates this pathway, which
consists of Pak1 activation, increased phosphatase activity, MAP4
dephosphorylation, and thus the stabilization of a dense microtubule network.
These data were gathered in a feline model of severe right ventricular (RV)
pressure overload hypertrophy in response to tight pulmonary artery banding (PAB)
in which a stable, twofold increase in RV mass is reached by 2 wk after pressure
overloading. After 2 wk of hypertrophy induction, these PAB cats during the
following 2 wk either had no further treatment or had beta-adrenergic blockade.
The pathological microtubule phenotype and the severe RV cellular contractile
dysfunction otherwise seen in this model of RV hypertrophy (PAB No Treatment) was
reversed in the treated (PAB beta-Blockade) cats. Thus these data provide both a
specific etiology and a specific remedy for the abnormal microtubule network
found in some forms of pathological cardiac hypertrophy.
PMID- 22081704
TI - Aldosterone-induced brain MAPK signaling and sympathetic excitation are
angiotensin II type-1 receptor dependent.
AB - Angiotensin II (ANG II)-induced mitogen-activated protein kinase (MAPK) signaling
upregulates angiotensin II type-1 receptors (AT(1)R) in hypothalamic
paraventricular nucleus (PVN) and contributes to AT(1)R-mediated sympathetic
excitation in heart failure. Aldosterone has similar effects to increase AT(1)R
expression in the PVN and sympathetic drive. The present study was undertaken to
determine whether aldosterone also activates the sympathetic nervous system via
MAPK signaling and, if so, whether its effect is independent of ANG II and
AT(1)R. In anesthetized rats, a 4-h intravenous infusion of aldosterone induced
increases (P < 0.05) in phosphorylated (p-) p44/42 MAPK in PVN, PVN neuronal
excitation, renal sympathetic nerve activity (RSNA), mean blood pressure (MBP),
and heart rate (HR). Intracerebroventricular or bilateral PVN microinjection of
the p44/42 MAPK inhibitor PD-98059 reduced the aldosterone-induced RSNA, HR, and
MBP responses. Intracerebroventricular pretreatment (5 days earlier) with pooled
small interfering RNAs targeting p44/42 MAPK reduced total and p-p44/42 MAPK,
aldosterone-induced c-Fos expression in the PVN, and the aldosterone-induced
increases in RSNA, HR, and MBP. Intracerebroventricular infusion of either the
mineralocorticoid receptor antagonist RU-28318 or the AT(1)R antagonist losartan
blocked aldosterone-induced phosphorylation of p44/42 MAPK and prevented the
increases in RSNA, HR, and MBP. These data suggest that aldosterone-induced
sympathetic excitation depends upon that AT(1)R-induced MAPK signaling in the
brain. The short time course of this interaction suggests a nongenomic mechanism,
perhaps via an aldosterone-induced transactivation of the AT(1)R as described in
peripheral tissues.
PMID- 22081705
TI - Exercise plus volume loading prevents orthostatic intolerance but not reduction
in cerebral blood flow velocity after bed rest.
AB - This study tested the hypothesis that reduction in cerebral blood flow (CBF)
during orthostatic stress after bed rest can be ameliorated with volume loading,
exercise, or both. Transcranial Doppler was used to measure changes in CBF
velocity during lower body negative pressure (LBNP) before and after an 18-day
bed rest in 33 healthy subjects. Subjects were assigned into four groups with
similar age and sex: 1) supine cycling during bed rest (Exercise group; n = 7),
2) volume loading with Dextran infusion after bed rest to restore reduced left
ventricular filling pressure (Dextran group; n = 7), 3) exercise combined with
volume loading to prevent orthostatic intolerance (Ex-Dex group; n = 7), and 4) a
control group (n = 12). LBNP tolerance was measured using a cumulative stress
index (CSI). After bed rest, CBF velocity was reduced at a lower level of LBNP in
the Control group, and the magnitude of reduction was greater in the Ex-Dex
group. However, reduction in orthostatic tolerance was prevented in the Ex-Dex
group. Notably, volume loading alone prevented greater reductions in CBF velocity
after bed rest, but CSI was reduced still by 25%. Finally, decreases in CBF
velocity during LBNP were correlated with reduction in cardiac output under all
conditions (r(2) = 0.86; P = < 0.001). Taken together, these findings demonstrate
that volume loading alone can ameliorate reductions in CBF during LBNP. However,
the lack of associations between changes in CBF velocity and orthostatic
tolerance suggests that reductions in CBF during LBNP under steady-state
conditions by itself are unlikely to be a primary factor leading to orthostatic
intolerance.
PMID- 22081707
TI - Regulation of coronary venular barrier function by blood borne inflammatory
mediators and pharmacological tools: insights from novel microvascular wall
models.
AB - We hypothesized that postcapillary venules play a central role in the control of
the tightness of the coronary system as a whole, particularly under inflammatory
conditions. Sandwich cultures of endothelial cells and pericytes of precapillary
arteriolar or postcapillary venular origin from human myocardium as models of the
respective vascular walls (sandwich cultures of precapillary arteriolar or
postcapillary venular origin) were exposed to thrombin and components of the
acutely activatable inflammatory system, and their hydraulic conductivity (L(P))
was registered. L(P) of SC-PAO remained low under all conditions (3.24 +/-
0.52.10(-8)cm.s(-1).cmH(2)O(-1)). In contrast, in the venular wall model, PGE(2),
platelet-activating factor (PAF), leukotriene B(4) (LTB(4)), IL-6, and IL-8
induced a prompt, concentration-dependent, up to 10-fold increase in L(P) with
synergistic support when combined. PAF and LTB(4) released by metabolically
cooperating platelets, and polymorphonuclear leucocytes (PMNs) caused selectively
venular endothelial cells to contract and to open their clefts widely. This
breakdown of the barrier function was preventable and even reversible within 6-8
h by the presence of 50 MUM quercetin glucuronide (QG). LTB(4) synthesis was
facilitated by biochemical involvement of erythrocytes. Platelets segregated in
the arterioles and PMNs in the venules of blood-perfused human myocardium
(histological studies on donor hearts refused for heart transplantation).
Extrapolating these findings to the coronary microcirculation in vivo would imply
that the latter's complex functionality after accumulation of blood borne
inflammatory mediators can change rapidly due to selective breakdown of the
postcapillary venular barrier. The resulting inflammatory edema and venulo
thrombosis will severely impair myocardial performance. The protection afforded
by QG could be of particular relevance in the context of cardiosurgical
intervention.
PMID- 22081706
TI - Tempol modulates changes in xenobiotic permeability and occludin oligomeric
assemblies at the blood-brain barrier during inflammatory pain.
AB - Our laboratory has shown that lambda-carrageenan-induced peripheral inflammatory
pain (CIP) can alter tight junction (TJ) protein expression and/or assembly
leading to changes in blood-brain barrier xenobiotic permeability. However, the
role of reactive oxygen species (ROS) and subsequent oxidative stress during CIP
is unknown. ROS (i.e., superoxide) are known to cause cellular damage in response
to pain/inflammation. Therefore, we examined oxidative stress-associated effects
at the blood-brain barrier (BBB) in CIP rats. During CIP, increased staining of
nitrosylated proteins was detected in hind paw tissue and enhanced presence of
protein adducts containing 3-nitrotyrosine occurred at two molecular weights
(i.e., 85 and 44 kDa) in brain microvessels. Tempol, a pharmacological ROS
scavenger, attenuated formation of 3-nitrotyrosine-containing proteins in both
the hind paw and in brain microvessels when administered 10 min before footpad
injection of lambda-carrageenan. Similarly, CIP increased 4-hydroxynoneal
staining in brain microvessels and this effect was reduced by tempol. Brain
permeability to [(14)C]sucrose and [(3)H]codeine was increased, and oligomeric
assemblies of occludin, a critical TJ protein, were altered after 3 h CIP. Tempol
attenuated both [(14)C]sucrose and [(3)H]codeine brain uptake as well as
protected occludin oligomers from disruption in CIP animals, suggesting that ROS
production/oxidative stress is involved in modulating BBB functional integrity
during pain/inflammation. Interestingly, tempol administration reduced codeine
analgesia in CIP animals, indicating that oxidative stress during
pain/inflammation may affect opioid delivery to the brain and subsequent
efficacy. Taken together, our data show for the first time that ROS
pharmacological scavenging is a viable approach for maintaining BBB integrity and
controlling central nervous system drug delivery during acute inflammatory pain.
PMID- 22081708
TI - Prominent contribution of L-type Ca2+ channels to cutaneous neurovascular
transmission that is revealed after spinal cord injury augments vasoconstriction.
AB - In patients with spinal cord injury (SCI), somatosympathetic reflexes produce
exaggerated decreases in skin blood flow below the lesion. This hypoperfusion
appears to result from an increased responsiveness of cutaneous arterial vessels
to neural activation. Here we investigated the mechanisms that underlie SCI
induced enhancement of neurovascular transmission in a cutaneous vessel, the rat
tail artery. Isometric contractions of arterial segments from T11 spinal cord
transected and sham-operated rats were compared 6 wk postoperatively. SCI more
than doubled the amplitudes of contractions of arteries in response to moderate
frequencies of nerve stimulation (0.1 to 1 Hz). In arteries from SCI rats, but
not those from sham-operated rats, the L-type Ca(2+) channel blocker nifedipine
(1 MUM) reduced the amplitudes of nerve-evoked contractions. Furthermore, while
the sensitivity to the agonists phenylephrine (alpha(1)-adrenoceptor selective)
and clonidine (alpha(2)-adrenoceptor selective) did not differ significantly
between arteries from SCI and sham-operated rats, nifedipine had a greater
inhibitory effect on contractions to both agents in arteries from SCI rats.
Although sensitivity to clonidine was unchanged, SCI selectively reduced the
contribution of postjunctional alpha(2)-adenceptors to nerve-evoked contractions.
In arteries from unoperated rats, the L-type channel agonist BAY K 8644 (0.1 MUM)
produced a similar enhancement of nerve-evoked contraction to that produced by
SCI and also selectively reduced the contribution of alpha(2)-adrenceptors to
these responses. Together the findings demonstrate that the SCI-induced
enhancement of neurovascular transmission in the rat tail artery can largely be
accounted for by an increased contribution of L-type Ca(2+) channels to
activation of the vascular smooth muscle.
PMID- 22081710
TI - Corynebacterium epidermidicanis sp. nov., isolated from skin of a dog.
AB - A Gram-stain-positive, pleomorphic, oxidase-negative, non-motile isolate from the
skin of a dog, designated strain 410(T), was subjected to comprehensive taxonomic
characterization. Comparison of the 16S rRNA gene sequences revealed that the
novel isolate showed highest similarities to the type strains of Corynebacterium
humireducens, Corynebacterium diphtheriae, Corynebacterium pseudotuberculosis and
Corynebacterium ulcerans (96.1-96.8 %). The quinone system consisted
predominantly of MK-8(H(2)) and MK-9(H(2)). The polar lipid profile of strain
410(T) contained the major compounds diphosphatidylglycerol,
phosphatidylglycerol, phosphatidylinositol, two unidentified phospholipids and
four unidentified glycolipids. The polyamine pattern was composed of the major
amines spermidine and spermine. In the fatty acid profile, predominantly straight
chain, saturated and mono-unsaturated fatty acids were detected (C(18 :
1)omega9c, C(16 : 1)omega7c, C(16 : 0)). These chemotaxonomic traits are in
agreement with those reported for representatives of the genus Corynebacterium.
Strain 410(T) tested negative for diphtheria toxin. Physiological properties as
well as unique traits in the polar lipid profile could be used to distinguish
strain 410(T) from the most closely related species. These data suggest that
strain 410(T) represents a novel species of the genus Corynebacterium, for which
we propose the name Corynebacterium epidermidicanis sp. nov. The type strain is
410(T) (= DSM 45586(T) = LMG 26322(T) = CCUG 60915(T)).
PMID- 22081709
TI - Mitochondrial Akt-regulated mitochondrial apoptosis signaling in cardiac muscle
cells.
AB - We recently reported translocation and activation of Akt in cardiac mitochondria.
This study was to determine whether activation of Akt in mitochondria could
inhibit apoptosis of cardiac muscle cells. Insulin stimulation induced
translocation of phosphorylated Akt to the mitochondria in primary
cardiomyocytes. A mitochondria-targeted constitutively active Akt was
overexpressed via adenoviral vector and inhibited efflux of cytochrome c and
apoptosis-inducing factor from mitochondria to cytosol and partially prevented
loss of mitochondria cross-membrane electrochemical gradient. Activation of
caspase 3 was suppressed in the cardiomyocytes transduced with mitochondria
targeted active Akt, whereas a mitochondria-targeted dominant negative Akt
enhanced activation of caspase 3. Terminal deoxynucleotidyl transferase dUTP
mediated nick-end labeling assay showed that mitochondrial activation of Akt
significantly reduced the number of apoptotic cells. When the endogenous Akt was
abolished by LY294002, the antiapoptotic actions of mitochondrial Akt remained
effective. These experiments suggested that mitochondrial Akt suppressed
apoptosis signaling independent of cytosolic Akt in cardiac muscle cells.
PMID- 22081711
TI - Parasphingopyxis lamellibrachiae gen. nov., sp. nov., isolated from a marine
annelid worm.
AB - A Gram-stain-negative, aerobic, motile, orange-pigmented, slightly halophilic,
rod-shaped bacterium, designated strain JAMH 0132(T), was isolated from the
trophosome of a tubeworm in Kagoshima Bay, Japan, and its taxonomic position was
investigated using a polyphasic approach. The novel strain grew optimally at 28
30 degrees C and with about 2.0 % (w/v) NaCl. Chemotaxonomic analysis showed
that Q-10 was the predominant respiratory quinone and that C(18 : 1)omega7c, C(16
: 0) 2-OH and C(16 : 0) were the major fatty acids. Sphingoglycolipid,
phosphatidylethanolamine, diphosphatidylglycerol, phosphatidylglycerol and
phosphatidylcholine were the major polar lipids. The genomic DNA G+C content was
60.1 mol%. Phylogenetic analysis based on 16S rRNA gene sequences indicated that
strain JAMH 0132(T) belonged to the family Sphingomonadaceae, within the class
Alphaproteobacteria. The novel strain appeared most closely related to
Sphingopyxis baekryungensis SW-150(T) (95.1 % 16S rRNA gene sequence similarity)
and showed less sequence similarity with representatives of the genera
Blastomonas, Sphingomonas, Sphingosinicella and Novosphingobium (<94.8 %). In
having no detectable polyamine, strain JAMH 0132(T) differed from members of all
genera currently in the family Sphingomonadaceae. On the basis of its phenotypic
properties and phylogenetic distinctiveness, strain JAMH 0132(T) represents a
novel species of a new genus in the family Sphingomonadaceae for which the name
Parasphingopyxis lamellibrachiae gen. nov., sp. nov. is proposed. The type strain
of Parasphingopyxis lamellibrachiae gen. nov., sp. nov. is JAMH 0132(T) (= JCM
15549(T) = NCIMB 14486(T)).
PMID- 22081712
TI - Kangiella taiwanensis sp. nov. and Kangiella marina sp. nov., marine bacteria
isolated from shallow coastal water.
AB - Two Gram-negative, heterotrophic, aerobic, marine bacteria, designated strains
KT1(T) and KM1(T), were isolated from seawater samples collected from the shallow
coastal regions of northern Taiwan. Cells grown in broth cultures were non
flagellated rods. NaCl was required for growth. Optimal growth occurred with 2-5
% NaCl, at 25-30 degrees C and at pH 8. They grew aerobically and were not
capable of anaerobic growth by fermenting D-glucose or other carbohydrates. Q-8
was the only isoprenoid quinone. The major polar lipid detected in strain KT1(T)
was phosphatidylmonomethylethanolamine, whereas those detected in KM1(T) were
phosphatidylmonomethylethanolamine, phosphatidyldimethylethanolamine and an
unidentified phospholipid. Cellular fatty acids were nearly all iso-branched,
with iso-C(15 : 0) as the most abundant component (54.6-57.2 % of the total).
Strains KT1(T) and KM1(T) had DNA G+C contents of 43.9 and 46.3 mol%,
respectively. The two strains shared 98.1 % 16S rRNA gene sequence similarity;
levels of similarity with the type strains of species of the genus Kangiella were
95.6-98.4 %. Data from the present taxonomic study conducted using a polyphasic
approach revealed that the isolates could be classified as representatives of two
novel species of the genus Kangiella, for which the names Kangiella taiwanensis
sp. nov. (type strain KT1(T) = BCRC 80330(T) = JCM 17727(T)) and Kangiella marina
sp. nov. (type strain KM1(T) = BCRC 80329(T) = JCM 17728(T)) are proposed.
PMID- 22081713
TI - Arcanobacterium canis sp. nov., isolated from otitis externa of a dog, and
emended description of the genus Arcanobacterium Collins et al. 1983 emend.
Yassin et al. 2011.
AB - A polyphasic taxonomic study was performed on an unidentified Arcanobacterium
like Gram-stain-positive bacterium isolated from otitis externa of a dog.
Comparative 16S rRNA gene sequencing showed that the bacterium belonged to the
genus Arcanobacterium and was most closely related to the type strains of
Arcanobacterium haemolyticum (97.2 %), Arcanobacterium hippocoleae (96.5 %) and
Arcanobacterium phocae (96.4 %). The presence of the major menaquinone MK-9(H(4))
supported the affiliation of this strain to the genus Arcanobacterium. The polar
lipid profile contained the major lipids phosphatidylcholine,
diphosphatidylglycerol, phosphatidylinositol mannoside and an unidentified
phospholipid (PL2). Major fatty acids were C(14 : 0), C(16 : 0), C(18 : 0), C(18
: 1)omega9c and C(18 : 2)omega6,9c/anteiso-C(18 : 0) (detected as a summed
feature). C(10 : 0) and C(12 : 0) were present in minor amounts. The results of
physiological and biochemical testing clearly distinguished the unknown bacterium
from other species of the genus Arcanobacterium. Based on these tests, it is
proposed that the unknown bacterium should be classified in the novel species
Arcanobacterium canis sp. nov. The type strain of Arcanobacterium canis is
P6775(T) (= CCM 7958(T) = CCUG 61573(T) = CIP 110339(T)). An emended description
of the genus Arcanobacterium is also provided.
PMID- 22081714
TI - Rhizobium grahamii sp. nov., from nodules of Dalea leporina, Leucaena
leucocephala and Clitoria ternatea, and Rhizobium mesoamericanum sp. nov., from
nodules of Phaseolus vulgaris, siratro, cowpea and Mimosa pudica.
AB - Two novel related Rhizobium species, Rhizobium grahamii sp. nov. and Rhizobium
mesoamericanum sp. nov., were identified by a polyphasic approach using DNA-DNA
hybridization, whole-genome sequencing and phylogenetic and phenotypic
characterization including nodulation of Leucaena leucocephala and Phaseolus
vulgaris (bean). As similar bacteria were found in the Los Tuxtlas rainforest in
Mexico and in Central America, we suggest the existence of a Mesoamerican
microbiological corridor. The type strain of Rhizobium grahamii sp. nov. is CCGE
502(T) (= ATCC BAA-2124(T) = CFN 242(T) = Dal4(T) = HAMBI 3152(T)) and that of
Rhizobium mesoamericanum sp. nov. is CCGE 501(T) (= ATCC BAA-2123(T) = HAMBI
3151(T) = CIP 110148(T) = 1847(T)).
PMID- 22081715
TI - Burkholderia symbiotica sp. nov., isolated from root nodules of Mimosa spp.
native to north-east Brazil.
AB - Four strains, designated JPY-345(T), JPY-347, JPY-366 and JPY-581, were isolated
from nitrogen-fixing nodules on the roots of two species of Mimosa, Mimosa
cordistipula and Mimosa misera, that are native to North East Brazil, and their
taxonomic positions were investigated by using a polyphasic approach. All four
strains grew at 15-43 degrees C (optimum 35 degrees C), at pH 4-7 (optimum pH
5) and with 0-2 % (w/v) NaCl (optimum 0 % NaCl). On the basis of 16S rRNA gene
sequence analysis, strain JPY-345(T) showed 97.3 % sequence similarity to the
closest related species Burkholderia soli GP25-8(T), 97.3 % sequence similarity
to Burkholderia caryophylli ATCC25418(T) and 97.1 % sequence similarity to
Burkholderia kururiensis KP23(T). The predominant fatty acids of the strains were
C(18 : 1)omega7c (36.1 %), C(16 : 0) (19.8 %) and summed feature 3, comprising
C(16 : 1)omega7c and/or C(16 : 1)omega6c (11.5 %). The major isoprenoid quinone
was Q-8 and the DNA G+C content of the strains was 64.2-65.7 mol%. The polar
lipid profile consisted of a mixture of phosphatidylethanolamine,
phosphatidylglycerol, diphosphatidylglycerol and several uncharacterized
aminophospholipids and phospholipids. DNA-DNA hybridizations between the novel
strain and recognized species of the genus Burkholderia yielded relatedness
values of <51.8 %. On the basis of 16S rRNA and recA gene sequence similarities
and chemotaxonomic and phenotypic data, the four strains represent a novel
species in the genus Burkholderia, for which the name Burkholderia symbiotica sp.
nov. is proposed. The type strain is JPY-345(T) (= LMG 26032(T) = BCRC 80258(T) =
KCTC 23309(T)).
PMID- 22081716
TI - Mongoliicoccus roseus gen. nov., sp. nov., an alkaliphilic bacterium isolated
from a haloalkaline lake.
AB - Two pink, non-motile, aerobic, alkaliphilic, halotolerant, Gram-negative cocci,
designated MIM28(T) and MIM29, were isolated from the surface water of a
haloalkaline lake on the Mongolia Plateau. The isolates grew optimally at 30-33
degrees C, at pH 8-9 and with 3-4 % (w/v) NaCl. The isolates were
chemoheterotrophic and could assimilate carbohydrates, organic acids and amino
acids. The major respiratory quinone was menaquinone MK-7. The major polar lipids
were phosphatidylcholine and phosphatidylethanolamine. The predominant cellular
fatty acids were iso-C(15 : 0) (13.8-17.5 %), anteiso-C(15 : 0) (10.5-11.2 %),
iso-C(16 : 0) (9.9-13.0 %), C(16 : 0) (4.3-4.6 %), iso-C(17 : 0) (3.8-5.3 %),
anteiso-C(17 : 0) (3.7-7.1 %), C(17 : 1)omega6c (4.6-6.4 %), iso-C(17 : 0) 3-OH
(4.6-5.8 %), summed feature 3 (C(16 : 1)omega7c and/or C(16 : 1)omega6c; 4.0-6.4
%) and summed feature 9 (iso-C(17 : 1)omega9c and/or C(16 : 0) 10-methyl; 10.4
12.5 %). Phylogenetic analysis based on 16S rRNA gene sequences showed that the
isolates were most closely related to Litoribacter ruber YIM CH208(T) (93.6 % 16S
rRNA gene sequence similarity), the genus Echinicola (90.4-92 %) and other
members of the family Cyclobacteriaceae (87.8-90 %). The DNA G+C contents of
strains MIM28(T) and MIM29 were 62.8 and 62.2 mol%. On the basis of morphology,
physiology, fatty acid composition, phylogeny and 16S rRNA gene sequence
analysis, the isolates are assigned to a novel species of a new genus, for which
we propose the name Mongoliicoccus roseus gen. nov., sp. nov.; the type strain of
the type species is MIM28(T) (= ACCC 05511(T) = KCTC 19808(T)).
PMID- 22081717
TI - Salinirepens amamiensis gen. nov., sp. nov., a member of the family
Cryomorphaceae isolated from seawater, and emended descriptions of the genera
Fluviicola and Wandonia.
AB - The taxonomic position of bacterial strain AM11-6(T), isolated from seawater in
Japan, was determined by using a polyphasic taxonomic approach. The strain was a
strictly aerobic and Gram-staining-negative slender rod, motile by gliding. The
major respiratory quinone was menaquinone-6 and the predominant cellular fatty
acids were iso-C(15 : 0), iso-C(17 : 0) 3-OH, C(14 : 0) and iso-C(15 : 1) G. The
polar lipid pattern indicated the presence of an unidentified phospholipid,
several glycolipids and an unidentified polar lipid. The G+C content of the
genomic DNA was 36.4 mol%. Phylogenetic analysis based on 16S rRNA gene sequences
showed that strain AM11-6(T) clustered with members of the genera Wandonia and
Fluviicola in the family Cryomorphaceae of the phylum Bacteroidetes. The strain
required NaCl and MgCl(2) for growth and could be differentiated from members of
other genera in the family Cryomorphaceae by fatty acid composition and other
phenotypic characters. On the basis of these results, we describe the novel genus
and species, Salinirepens amamiensis gen. nov., sp. nov. The type strain of
Salinirepens amamiensis is AM11-6(T) (= NBRC 101268(T) = NCIMB 14607(T)). Emended
descriptions of the genera Fluviicola and Wandonia are also proposed.
PMID- 22081718
TI - Cecembia lonarensis gen. nov., sp. nov., a haloalkalitolerant bacterium of the
family Cyclobacteriaceae, isolated from a haloalkaline lake and emended
descriptions of the genera Indibacter, Nitritalea and Belliella.
AB - A novel Gram-staining-negative, rod-shaped, non-motile bacterium, designated
strain LW9(T), was isolated from a water sample collected from Lonar Lake of
Buldhana district, Maharashtra, India. Colonies and broth cultures were reddish
orange due to the presence of carotenoid pigments. Strain LW9(T) was positive for
catalase, ornithine decarboxylase and lysine decarboxylase activities and
negative for gelatinase, oxidase, urease and lipase activities. The predominant
fatty acids were iso-C(15 : 0) (31.3 %), iso-C(16 : 0) (9.3 %), anteiso-C(15 : 0)
(7.3 %), iso-C(16 : 1) H (6.1 %), summed feature 3 (comprising C(16 :
1)omega7c/C(16 : 1)omega6c; 5.9 %), iso-C(17 : 1)omega9c (5.4 %) and iso-C(17 :
0) 3-OH (5.0 %). Strain LW9(T) contained MK-7 as the major respiratory quinone.
The polar lipids consisted of phosphatidylethanolamine, two unidentified
aminolipids and seven unidentified lipids. The DNA G+C content of strain LW9(T)
was 40.5 mol%. 16S rRNA gene sequence analysis indicated that the type strains of
Indibacter alkaliphilus and Aquiflexum balticum, two members of the family
Cyclobacteriaceae (phylum 'Bacteroidetes') were the most closely related strains
with sequence similarities of 93.0 and 94.0 %, respectively. Other members of the
family Cyclobacteriaceae showed sequence similarities <93.0 %. Based on these
phenotypic characteristics and on phylogenetic inference, strain LW9(T) is
proposed as the representative of novel species in a new genus, Cecembia
lonarensis gen. nov., sp. nov. The type strain of the type species, Cecembia
lonarensis, is LW9(T) (= CCUG 58316(T) = KCTC 22772(T)). Emended descriptions of
the genera Indibacter, Nitritalea and Belliella are also proposed.
PMID- 22081719
TI - Pseudarcicella hirudinis gen. nov., sp. nov., isolated from the skin of the
medical leech Hirudo medicinalis.
AB - A pinkish-pigmented, Gram-stain-negative, rod-shaped, non-spore-forming
bacterium, strain E92(T), was isolated from the skin of the medical leech Hirudo
medicinalis, on R2A agar. 16S rRNA gene sequence analysis of strain E92(T) showed
a relatively low 16S rRNA gene sequence similarity (93.0-93.5 %) to
representatives of the genus Arcicella and 91.5-92.0 % to members of the genus
Flectobacillus. The polar lipid profile was composed of the major compounds
phosphatidylethanolamine, an unidentified aminophospholipid, an unidentified
aminolipid and an unidentified polar lipid; glycolipids were not detected. The
major quinone was menquinone MK-7, and the major compound in the polyamine
pattern was spermidine. The predominant fatty acids were C(16 : 1)omega5c and
C(16 : 1)omega7c and/or iso-C(15 : 0) 2-OH (detected as summed feature 3). The
isolate did not contain C(14 : 0) or the hydroxyl fatty acid iso-C(17 : 0) 3-OH
found in all representatives of the genera Arcicella and Flectobacillus, but did
produce C(18 : 1)omega7c and 11-methyl C(18 : 1)omega7c which are not found in
these two genera. The DNA G+C content of strain E92(T) was 64.4 mol%. The unique
16S rRNA gene sequence, and specific chemotaxonomic and physiological data
revealed that strain E92(T) represents a new genus in the family Cytophagaceae
for which we propose the name Pseudarcicella hirudinis gen. nov., sp. nov., with
the type strain of the type species as E92(T) (= LMG 26720(T) = CCM 7988(T)).
PMID- 22081720
TI - Bensingtonia rectispora sp. nov. and Bensingtonia bomiensis sp. nov.,
ballistoconidium-forming yeast species from Tibetan plant leaves.
AB - Five yeast strains isolated from plant leaves collected in south-east Tibet
formed cream to brownish colonies and produced asymmetrical ballistoconidia and
CoQ-9 as the major ubiquinone. Sequence analysis of the 26S rRNA D1/D2 domain and
the internal transcribed spacer region indicated that these strains represented
two novel species of the genus Bensingtonia. The names Bensingtonia rectispora
sp. nov. (type strain XZ 4C5(T) = CGMCC 2.02635(T) = CBS 10710(T)) and
Bensingtonia bomiensis sp. nov. (type strain XZ 33D1(T) = CGMCC 2.02670(T) = CBS
10713(T)) are proposed for the two novel species, which are phylogenetically
closely related to Bensingtonia naganoensis, Bensingtonia pseudonaganoensis and
the type species of the genus, Bensingtonia ciliata.
PMID- 22081721
TI - Oceanicaulis stylophorae sp. nov., isolated from the reef-building coral
Stylophora pistillata.
AB - A bacterial strain designated GISW-4(T) was isolated from the reef-building coral
Stylophora pistillata, collected from seawater off the coast of southern Taiwan,
and was characterized in this taxonomic study using a polyphasic approach. Strain
GISW-4(T) was Gram-stain-negative, aerobic, beige, rod-shaped, and dimorphic,
either non-motile with stalks (or prosthecae), or non-stalked and motile by means
of a single polar flagellum. 16S rRNA gene sequence studies showed that the novel
strain clustered with Oceanicaulis alexandrii C116-18(T) (98.9 % 16S rRNA gene
sequence similarity). Strain GISW-4(T) exhibited optimal growth at 35-40 degrees
C, 1-2 % (w/v) NaCl and at pH 7-9. The predominant cellular fatty acids (>10 %)
were C(18 : 0), C(18 : 1)omega7c and C(18 : 1)omega7c 11-methyl. The predominant
polar lipids were phosphatidylglycerol, sulfoquinovosyl diacylglycerol and two
unknown phospholipids (PL1-2). The major respiratory quinones were ubiquinone Q
10 and Q-9, and the DNA G+C content was 61.6 mol%. The results of physiological
and biochemical tests allowed clear phenotypic differentiation of strain GISW
4(T) from the type strain of O. alexandrii. It is evident from the genotypic,
phenotypic and chemotaxonomic data that the isolate should be classified as a
novel species of the genus Oceanicaulis. The name proposed for this taxon is
Oceanicaulis stylophorae sp. nov., with the type strain GISW-4(T) (= LMG 25723(T)
= BCRC 80207(T)).
PMID- 22081722
TI - Pricia antarctica gen. nov., sp. nov., a member of the family Flavobacteriaceae,
isolated from Antarctic intertidal sediment.
AB - A yellow-coloured, rod-shaped, Gram-reaction- and Gram-staining-negative, non
motile and aerobic bacterium, designated strain ZS1-8(T), was isolated from a
sample of sandy intertidal sediment collected from the Antarctic coast.
Flexirubin-type pigments were absent. In phylogenetic analyses based on 16S rRNA
gene sequences, strain ZS1-8(T) formed a distinct phyletic line and the results
indicated that the novel strain should be placed in a new genus within the family
Flavobacteriaceae. In pairwise comparisons between strain ZS1-8(T) and recognized
species, the levels of 16S rRNA gene sequence similarity were all <93.3 %. The
strain required Ca(2+) and K(+) ions as well as NaCl for growth. Optimal growth
was observed at pH 7.5-8.0, 17-19 degrees C and with 2-3 % (w/v) NaCl. The major
fatty acids were iso-C(15 : 1) G, iso-C(15 : 0), summed feature 3 (iso-C(15 : 0)
2-OH and/or C(16 : 1)omega7c), an unknown acid with an equivalent chain-length of
13.565 and iso-C(17 : 0) 3-OH. The major respiratory quinone was MK-6. The
predominant polar lipid was phosphatidylethanolamine. The genomic DNA G+C content
was 43.9 mol%. Based on the phylogenetic, phenotypic and chemotaxonomic data,
strain ZS1-8(T) represents a novel species in a new genus in the family
Flavobacteriaceae for which the name Pricia antarctica gen. nov., sp. nov. is
proposed. The type strain of the type species is ZS1-8(T) (= JCM 17291(T) = DSM
23421(T)).
PMID- 22081723
TI - Luteolibacter luojiensis sp. nov., isolated from Arctic tundra soil, and emended
description of the genus Luteolibacter.
AB - A yellow-pigmented, Gram-reaction-negative, non-motile, aerobic bacterium,
designated DR4-30(T), was isolated from tundra soil near Ny-Alesund, Svalbard
Archipelago, Norway (78 degrees 58' N 12 degrees 03' E). Growth occurred at 4
28 degrees C (optimum 20-25 degrees C) and at pH 7-8 (optimum pH 7).
Phylogenetic analysis based on 16S rRNA gene sequences indicated that strain DR4
30(T) belongs to the genus Luteolibacter in the family Verrucomicrobiaceae. The
16S rRNA gene sequence of this strain showed 95.4 and 94.7 % sequence similarity
to those of Luteolibacter pohnpeiensis A4T-83(T) and Luteolibacter algae A5J-41
2(T), respectively. The major respiratory quinones were MK-9 and MK-10; the
predominant cellular fatty acids were summed feature 3 (C(16 : 1)omega7c and/or
C(16 : 1)omega6c; 20.7 %), iso-C(14 : 0) (20.3 %), C(17 : 0) (10.7 %), C(16 : 0)
(8.0 %) and C(14 : 0) (6.6 %). The DNA G+C content was 57.3 mol%. On the basis of
phenotypic, chemotaxonomic and phylogenetic data, strain DR4-30(T) represents a
novel species of the genus Luteolibacter, for which the name Luteolibacter
luojiensis sp. nov. is proposed. The type strain is DR4-30(T) (= CCTCC AB
2010415(T) = NRRL B-59669(T)). An emended description of the genus Luteolibacter
is also provided.
PMID- 22081725
TI - Determination of meropenem penetration into the lung from Sparse data.
PMID- 22081726
TI - Growing skin: A computational model for skin expansion in reconstructive surgery.
AB - The goal of this manuscript is to establish a novel computational model for
stretch-induced skin growth during tissue expansion. Tissue expansion is a common
surgical procedure to grow extra skin for reconstructing birth defects, burn
injuries, or cancerous breasts. To model skin growth within the framework of
nonlinear continuum mechanics, we adopt the multiplicative decomposition of the
deformation gradient into an elastic and a growth part. Within this concept, we
characterize growth as an irreversible, stretch-driven, transversely isotropic
process parameterized in terms of a single scalar-valued growth multiplier, the
in-plane area growth. To discretize its evolution in time, we apply an
unconditionally stable, implicit Euler backward scheme. To discretize it in
space, we utilize the finite element method. For maximum algorithmic efficiency
and optimal convergence, we suggest an inner Newton iteration to locally update
the growth multiplier at each integration point. This iteration is embedded
within an outer Newton iteration to globally update the deformation at each
finite element node. To demonstrate the characteristic features of skin growth,
we simulate the process of gradual tissue expander inflation. To visualize growth
induced residual stresses, we simulate a subsequent tissue expander deflation. In
particular, we compare the spatio-temporal evolution of area growth, elastic
strains, and residual stresses for four commonly available tissue expander
geometries. We believe that predictive computational modeling can open new
avenues in reconstructive surgery to rationalize and standardize clinical process
parameters such as expander geometry, expander size, expander placement, and
inflation timing.
PMID- 22081727
TI - Does Telephone Audio Computer-Assisted Self-Interviewing Improve the Accuracy of
Prevalence Estimates of Youth Smoking? Evidence from the UMass Tobacco Study.
AB - Despite their advantage for obtaining representative samples of adolescents,
telephone surveys have been regarded as inferior for collecting data on youth
tobacco use because they yield lower estimates than school-based self
administered surveys. Although no gold standard for smoking estimates exists, the
lower estimates in telephone surveys have been attributed to underreporting due
to youths' concern about parents or others overhearing their responses. Telephone
audio computer-assisted self-interviewing (T-ACASI) is a cost-effective method
for obtaining a representative sample of youths and provides increased privacy
for the respondent. We hypothesized that using T-ACASI would encourage youths to
more fully report smoking behavior compared to traditional interviewer
administered telephone methods. Our analysis further assessed whether respondent
age, gender, race/ethnicity, and parental attitude toward smoking moderated the
relationship between survey mode and smoking reports. Using data from a statewide
tobacco use survey that randomly assigned youth respondents to either T-ACASI or
interviewer-administered mode, we found youths were more likely to report smoking
behaviors in T-ACASI mode and that this was especially true for girls,
particularly those who believed their parents would disapprove strongly of their
smoking. Findings suggest that traditional telephone surveys may underestimate
smoking prevalence in most girls by a factor of two, and that a technique for
insuring privacy for these respondents is an important component of effective
telephone survey methodology.
PMID- 22081728
TI - The Social Structuring of Mental Health over the Adult Life Course: Advancing
Theory in the Sociology of Aging.
AB - The sociology of aging draws on a broad array of theoretical perspectives from
several disciplines, but rarely has it developed its own. We build on past work
to advance and empirically test a model of mental health framed in terms of
structural theorizing and situated within the life course perspective. Whereas
most prior research has been based on cross-sectional data, we utilize four waves
of data from a nationally representative sample of American adults (Americans'
Changing Lives Study) collected prospectively over a 15-year period and find that
education, employment and marital status, as well as their consequences for
income and health, effectively explain the increase in depressive symptoms after
age 65. We also found significant cohort differences in age trajectories of
mental health that were partly explained by historical increases in education. We
demonstrate that a purely structural theory can take us far in explaining later
life mental health.
PMID- 22081729
TI - Effects of Employment-Based Programs on Families by Prior Levels of Disadvantage.
AB - This study examines how welfare and employment policies affect subpopulations of
low-income families that have different levels of initial disadvantage.
Education, prior earnings, and welfare receipt are used to measure disadvantage.
The analysis of data from experiments suggests that employment-based programs
have no effects on economic well-being among the least-disadvantaged low-income,
single-parent families, but they have positive effects on employment and income
for the most-disadvantaged and moderately disadvantaged families. These programs
increase school achievement and enrollment in center-based child care of children
only in moderately disadvantaged families. The most-disadvantaged families are
found to increase use of child care that is not center based. Parents in these
families experience depressive symptoms and aggravation. The findings raise
questions about how to support families at the lowest end of the economic
spectrum.
PMID- 22081730
TI - Total synthesis of (+/-)-gamma-lycorane via the electrocyclic ring closure of a
divinylpyrroline.
AB - A concise total synthesis of (+/-)-gamma-lycorane is described. The key step in
the synthesis is the 6pi-electrocyclic ring closure of a divinylpyrroline to give
a tetrahydroindole, which is subsequently hydrogenated to give the all-cis
indolizidine core.
PMID- 22081731
TI - Organocatalyzed enantioselective synthesis of 2-amino-5-oxo-5,6,7,8-tetrahydro-4H
chromene-3-carboxylates.
AB - The organocatalyzed enantioselective synthesis of biologically active 2-amino-5
oxo-5,6,7,8-tetrahydro-4H-chromene-3-carboxylate derivatives was achieved using
bifunctional cinchona alkaloids as the catalysts. Using quinine thiourea as the
catalyst, the tandem Michael addition-cyclization reaction between 1,3
cyclohexanediones and alkylidenecyanoacetate derivatives gives the desired
products in high yields (up to 92%) and good ee values (up to 82%).
PMID- 22081732
TI - Outcome Trajectories in Drug Court: Do All Participants Have Drug Problems?
AB - Graduation rates in drug courts average 50% to 70%, but it is unclear what
proportion of graduates responded to the drug court services and what proportion
might not have had serious drug problems upon entry. This study cluster-analyzed
urine drug screen results during the first 14 weeks of treatment on 284
participants from three misdemeanor drug courts. A four-cluster solution (R(2) >
.75) produced distinct subgroups characterized by (1) consistently drug-negative
urine specimens (34% of the sample), (2) consistently drug-positive specimens
(21%), (3) consistently missed urine specimens (26%), and (4) urine specimens
that began as drug-positive but became progressively drug-negative over time
(19%). These data suggest that approximately one-third of the participants might
not have had serious drug problems upon entry. Approximately one-fifth appeared
to respond to drug court services, and nearly one-half continued to exhibit
problems after 14 weeks. Implications for adaptive programming in drug courts are
discussed.
PMID- 22081733
TI - Efficiency of European public higher education institutions: a two-stage
multicountry approach.
AB - The purpose of this study is to examine efficiency and its determinants in a set
of higher education institutions (HEIs) from several European countries by means
of non-parametric frontier techniques. Our analysis is based on a sample of 259
public HEIs from 7 European countries across the time period of 2001-2005. We
conduct a two-stage DEA analysis (Simar and Wilson in J Economet 136:31-64,
2007), first evaluating DEA scores and then regressing them on potential
covariates with the use of a bootstrapped truncated regression. Results indicate
a considerable variability of efficiency scores within and between countries.
Unit size (economies of scale), number and composition of faculties, sources of
funding and gender staff composition are found to be among the crucial
determinants of these units' performance. Specifically, we found evidence that a
higher share of funds from external sources and a higher number of women among
academic staff improve the efficiency of the institution.
PMID- 22081734
TI - Achievement motives and emotional processes in children during problem-solving:
Two experimental studies of their relation to performance in different
achievement goal conditions.
AB - In two studies, the influence of key emotional and motivational factors on
performance in different achievement goal-type situations is examined. In study
1, based on 314 sixth-graders, two types of goal situations were induced;
performance and mastery. The goals were examined with respect to important
antecedents (e.g., motive dispositions) and several consequences (e.g.,
performance, satisfaction, pleasant affect, worry, and emotionality). The results
showed that the motive to achieve success (M(s)) produced positive affects,
satisfaction, and increased performance, whereas the motive to avoid failure
(M(f)) produced worries and performance reduction. In study 2, based on 331 sixth
graders, three types of goal situations were induced; performance-approach,
performance-avoidance, and mastery goals. The findings revealed that the most
important single factors positively related to performance were M(s) and mastery
goal situation. In addition, high M(s) pupils performed better under mastery
condition than under performance condition. Finally, avoidance-goal situation
accentuate the negative effects of high M(f) on performance.
PMID- 22081735
TI - Effects of orange juice pH on survival, urease activity and DNA profiles of
Yersinia enterocolitica and Yersinia pseudotuberculosis stored at 4 degree C.
AB - The objective of this study was to determine the survival, growth rate and
possible cellular adaptation mechanisms of Y. pseudotuberculosis and Y.
enterocolitica in orange juice under different pH conditions. Yersinia was
inoculated in orange juice with adjusted pH levels of 3.9, 4.0, and 7.0 and
stored at 4 C for 3, 24, 72 and 168 hours (h). The inter-and intra-species
variation is significant to the pH and time of incubation variables (p<0.05). At
3.9 pH the CFU (colony forming units) count decreased significantly.At pH 3.9 and
4.0, Y. enterocolitica and Y. pseudotuberculosis survived for at least 30 days
and 15 days, respectively. Yersinia that survived under low pH in orange juice
revealed enhanced urease activity within 12 h of incubation. The attachment gene
(ail) could not be detected by PCR in Y. enterocolitica from undiluted sample
incubated for 24 h or longer. Moreover, the FesI-restriction profile was altered
when Y. pseudotuberculosis was stored at pH 4.0 orange juice for 7 days. These
results indicate that Yersinia could survive and grow at low pH and the survival
mechanisms could also enable the bacteria to survive the stomach pH barrier to
cause enteric infection.
PMID- 22081736
TI - Measuring AIDS-Related Behaviors in Older Populations: Methodological Issues.
AB - Because of a dearth of research on reporting biases in the measurement of HIV
related sexual and drug use behaviors in older populations, it is frequently
assumed that methodological findings of research conducted with younger
populations will generalize to older respondents. In this study, estimates of the
effect of the experimental manipulation of interview mode (interviewer
administered vs. self-administered) were derived separately for three age strata:
12 to 49, 50 to 64, and 65+. Results of these analyses indicate that there were a
number of noteworthy reversals in which interviewer-administered questioning in
the older age strata produced higher esti-mates of the prevalence of substance
use or alcohol-related problem behaviors. These results suggest that caution
should be exercised in making generalizations from studies of reporting bias for
HIV-related behaviors to older populations.
PMID- 22081737
TI - Relationship-Specific Investments, Family Chaos, and Cohabitation Dissolution
Following a Non-marital Birth.
AB - Predictors of two types of cohabitation dissolution, dissolution with a continued
romantic relationship and without (i.e. breakup), were examined using data from
mothers cohabiting at the time of a non-marital birth in the Fragile Families and
Child Wellbeing Study (n = 1624). Life tables indicated 64% of unions dissolved
within 5 years; of these, 76% broke-up. Black mothers had the highest rates of
dissolution. Maximum likelihood discrete-time event history results revealed that
younger mothers were more likely to experience cohabitation dissolution into a
breakup. Fewer relationship-specific investments and more family chaos were also
associated with greater risk of cohabitation dissolution into a breakup. Mothers'
multipartnered fertility and fewer relationship-specific investments were
associated with greater risk of cohabitation dissolution with a continued
romantic relationship. Post-dissolution, mothers who maintained a romantic
relationship were more likely to reenter a union with their former partner while
mothers whose union broke-up most often remained so.
PMID- 22081738
TI - Emotions in Marital Conflict Interactions: Empathic Accuracy, Assumed Similarity,
and the Moderating Context of Depressive Symptoms.
AB - To examine affectivity in marital interaction, 267 couples participated in
laboratory-based marital conflicts and afterward rated their own and their
spouses' emotions of positivity, anger, sadness, and fear. Actor-Partner
Interdependence Models (Cook & Kenny, 2005) estimated empathic accuracy and
assumed similarity effects, with symptoms of depression tested as a moderator.
Depressive symptoms moderated spouses' ratings of their partners' negative
emotions such that assumed similarity was higher and empathic accuracy was lower
in the context of elevated depressive symptoms. The results suggest that
depression may influence spouses' judgments of how closely linked partner
emotions are (i.e., assumed similarity) and spouses' abilities to accurately
perceive their partners' negative emotions (i.e., empathic accuracy), potentially
contributing to the established marital dysfunction-psychological distress cycle.
PMID- 22081739
TI - Peer effects in learning HIV results.
AB - How do neighbors positively or negatively influence individuals living in rural
Malawi to learn their HIV results? Using data of location of homes and distance
to neighbors, we measure the social network effects of neighbors' learning their
HIV results on individuals own learning. Using the fact that neighbors were
randomly offered monetary incentives of varying amounts to learn their HIV
results, we find positive effects of neighbors attending clinics on others living
nearby: a 10 percentage point increase of the percentage of neighbors
(approximately 2.4 individuals) learning their HIV results increases the
probability of learning HIV results by 1.1 percentage points. The strongest
network effects are among closest neighbors; we find no effect among religious
social networks. We also find a negative interaction between direct cash
incentives and peers: the effect of peers doubles among those who were not
offered any individual financial incentive to learn their HIV results.
PMID- 22081740
TI - Yolk hormones and sexual conflict over parental investment in the pied
flycatcher.
AB - Female birds might be able to manipulate the parental effort of their male
partner through elevated transfer of hormones to the eggs, since these hormones
affect many chick traits that males might use as cues for adjusting the level of
their investment. We experimentally studied whether female pied flycatchers
Ficedula hypoleuca could manipulate male investment via yolk androgens. There is
much more variation in yolk androgen levels between females than within clutches,
and in order to change the androgen levels of the eggs, we swapped whole clutches
between nests. To estimate the androgen levels of the clutch, we measured the
androgen content of a single egg per clutch. Females did not succeed in
manipulating male effort using yolk androgens, since there was no relationship
between the division of parental care within a pair and either original or foster
egg androgen levels. One of these relationships should have occurred if females
were manipulating males. The proportion of feeding visits by the male was higher
when the male was old (55%) than when he was young (45%) and females laid eggs
with higher androgen levels when mated with a young male. Young males did not
exhibit any responses to yolk androgen levels either, which indicates that
females cannot exploit their effort more than that of old males. We suggest that
females may allocate yolk androgens to adjust the growth trajectories of the
chicks to poor growing conditions when mated with young males that are poor
providers or occupying a poor territory.
PMID- 22081741
TI - Facilitating comprehensive assessment of 12-step experiences: A Multidimensional
Measure of Mutual-Help Activity.
AB - Existing measures of 12-step mutual-help activity typically capture only a narrow
range of experiences and combine fellowships with explicitly different substance
specific emphases (e.g., Alcoholics versus Narcotics Anonymous). To help expand
our knowledge in this important area, we report on the development and use of a
comprehensive multidimensional measure of 12-step experiences in two clinical
samples of young adults and adolescents (N=430). One-week test-retest reliability
was verified on a subsample. Results indicated high content validity and
reliability across seven dimensions of experience (meeting attendance, meeting
participation, fellowship involvement, step work, mandated attendance,
affiliation, and safety), and the measure successfully discriminated between
samples on anticipated activity levels. This measure provides rich data on mutual
help activities and deepens our understanding of individuals' experiences across
different 12-step organizations.
PMID- 22081742
TI - Automating Telephone Surveys: Using T-ACASI to Obtain Data on Sensitive Topics.
AB - This paper describes a new interview data collection system that uses a personal
computer equipped with a telephone interface card. This system, telephone audio
computer-assisted self-interviewing or T-ACASI, offers the economy of telephone
interviews while providing the privacy of self-administered questionnaires. We
describe T-ACASI design considerations and operational characteristics. In
addition, we present data from recent studies indicating that the T-ACASI system
is stable, robust, and suitable for administering relatively long and complex
questionnaires on sensitive topics, including drug use and sexual behaviors
associated with HIV and other STDs.
PMID- 22081743
TI - Implementing Audio-CASI on Windows' Platforms.
AB - Audio computer-assisted self interviewing (Audio-CASI) technologies have recently
been shown to provide important and sometimes dramatic improvements in the
quality of survey measurements. This is particularly true for measurements
requiring respondents to divulge highly sensitive information such as their
sexual, drug use, or other sensitive behaviors. However, DOS-based Audio-CASI
systems that were designed and adopted in the early 1990s have important
limitations. Most salient is the poor control they provide for manipulating the
video presentation of survey questions. This article reports our experiences
adapting Audio-CASI to Microsoft Windows 3.1 and Windows 95 platforms. Overall,
our Windows-based system provided the desired control over video presentation and
afforded other advantages including compatibility with a much wider array of
audio devices than our DOS-based Audio-CASI technologies. These advantages came
at the cost of increased system requirements --including the need for both more
RAM and larger hard disks. While these costs will be an issue for organizations
converting large inventories of PCS to Windows Audio-CASI today, this will not be
a serious constraint for organizations and individuals with small inventories of
machines to upgrade or those purchasing new machines today.
PMID- 22081744
TI - Using Touch Screen Audio-CASI to Obtain Data on Sensitive Topics.
AB - This paper describes a new interview data collection system that uses a laptop
personal computer equipped with a touch-sensitive video monitor. The touch-screen
based audio computer-assisted self-interviewing system, or touch screen audio
CASI, enhances the ease of use of conventional audio CASI systems while
simultaneously providing the privacy of self-administered questionnaires. We
describe touch screen audio-CASI design features and operational characteristics.
In addition, we present data from a recent clinic-based experiment indicating
that the touch audio-CASI system is stable, robust, and suitable for
administering relatively long and complex questionnaires on sensitive topics,
including drug use and sexual behaviors associated with HIV and other sexually
transmitted diseases.
PMID- 22081745
TI - Individuals with Fear of Blushing Explicitly and Automatically Associate Blushing
with Social Costs.
AB - To explain fear of blushing, it has been proposed that individuals with fear of
blushing overestimate the social costs of their blushing. Current information
processing models emphasize the relevance of differentiating between more
automatic and more explicit cognitions, as both types of cognitions may
independently influence behavior. The present study tested whether individuals
with fear of blushing expect blushing to have more negative social consequences
than controls, both on an explicit level and on a more automatic level. Automatic
associations between blushing and social costs were assessed in a treatment
seeking sample of individuals with fear of blushing who met DSM-IV criteria for
social anxiety disorder (n = 49) and a non-anxious control group (n = 27) using a
single-target Implicit Association Test (stIAT). In addition, participants'
explicit expectations about the social costs of their blushing were assessed.
Individuals with fear of blushing showed stronger associations between blushing
and negative outcomes, as indicated by both stIAT and self-report. The findings
support the view that automatic and explicit associations between blushing and
social costs may both help to enhance our understanding of the cognitive
processes that underlie fear of blushing.
PMID- 22081746
TI - Reduction of Seed Dormancy in Echinacea pallida (Nutt.) Nutt. by In-dark Seed
Selection and Breeding.
AB - Strong seed dormancy has been an obstacle for field production of Echinacea
species. Previous research on overcoming Echinacea seed dormancy has been
extensive and focused on treatment methods, which involve time and expense, and
are incompatible with organic production if synthetic chemicals are used. We have
attempted to genetically reduce seed dormancy through selection and breeding in
Echinacea, by using E. pallida as a model species. Three accessions were used in
this study. Nine parent plants of each accession selected from early, in-dark
germinated seeds (in-dark plants) or from late, in-light seeds (in-light plants)
were planted and grouped by accession and germination treatment method for seed
production through a polycross method. Germination tests indicated that these in
dark plants produced seed (in-dark seed) with significantly reduced seed dormancy
when tested under light or dark conditions in comparison to the seed of the in
light plants (in-light seed). Among the three accessions, the in-dark seed
germinated at much higher rates than did the in-light seed, more than 2* at 25
degrees C under light and up to an 83* increase in darkness, and up to an 8*
increase over the corresponding parental seed lots under comparable germination
conditions. In addition to these increases in germination, the in-dark seed
showed early and synchronized germination as compared to the in-light seed. Since
these results were achieved through only one cycle of selection and breeding,
they strongly suggest that we have developed a very effective method for
modifying seed dormancy in Echinacea.
PMID- 22081747
TI - Sister Circles as a Culturally Relevant Intervention for Anxious African American
Women.
AB - Research on anxiety treatment with African American women reveals a need to
develop interventions that address factors relevant to their lives. Such factors
include feelings of isolation, multiple roles undertaken by Black women, and
faith. A recurrent theme across treatment studies is the importance of having
support from other Black women. Sister circles are support groups that build upon
existing friendships, fictive kin networks, and the sense of community found
among African Americans females. Sister circles appear to offer many of the
components Black women desire in an anxiety intervention. In this article, we
explore sister circles as an intervention for anxious African American women.
Culturally-infused aspects from our sister circle work with middle-class African
American women are presented. Further research is needed.
PMID- 22081748
TI - PTEN-Associated Complexes: An Overview.
AB - PTEN is a tumor suppressor best characterized for its role as a lipid phosphatase
in antagonizing the PI3-kinase pathway. Several recent studies have identified
proteins that form high molecular weight complexes with PTEN in different
subcellular compartments. PTEN is critical for early embryonic development, cell
proliferation, cell survival and stem cell function. The discovery of PTEN
complex components may help our understanding of its biological functions. In
this review, PTEN complex components, functions and their regulation will be
discussed.
PMID- 22081749
TI - Quantification of Thiopurine/UVA-Induced Singlet Oxygen Production.
AB - Thiopurines were examined for their ability to produce singlet oxygen ((1)O(2))
with UVA light. The target compounds were three thiopurine prodrugs, azathioprine
(Aza), 6-mercaptopurine (6-MP) and 6-thioguanine (6-TG), and their S-methylated
derivatives of 6-methylmercaptopurine (me6-MP) and 6-methylthioguanine (me6-TG).
Our results showed that these thiopurines were efficient (1)O(2) sensitizers
under UVA irradiation but rapidly lost their photoactivities for (1)O(2)
production over time by a self-sensitized photooxidation of sulfur atoms in the
presence of oxygen and UVA light. The initial quantum yields of (1)O(2)
production were determined to be in the range of 0.30-0.6 in aqueous solutions.
Substitution of a hydrogen atom with a nitroimidazole or methyl group at S
decreased the efficacy of photosensitized (1)O(2) production as found for Aza,
me6-MP and me6-TG. (1)O(2)-induced formation of 8-oxo-7,8-dihydro-2'
dexyguanosine (8-oxodGuo) was assessed by incubation of 6-methylthiopurine/UVA
treated calf thymus DNA with human repair enzyme 8-oxodGuo DNA glycosylase
(hOGG1), followed by apurinic (AP) site determination. Because more 8-oxodGuo was
formed in Tris D(2)O than in Tris H(2)O, (1)O(2) is implicated as a key species
in the reaction. These findings provided quantitative information on the
photosensitization efficacy of thiopurines and to some extent revealed the
correlations between photoactivity and phototoxicity.
PMID- 22081750
TI - Corrected Feedback: A Procedure to Enhance Recall of Informed Consent to Research
among Substance Abusing Offenders.
AB - This study examined the efficacy of corrected feedback for improving consent
recall throughout the course of an ongoing longitudinal study. Participants (N =
135) were randomly assigned to either a corrected feedback or a no-feedback
control condition. Participants completed a consent quiz 2-weeks after consenting
to the host study and at months 1, 2, and 3. The corrected feedback group
received corrections to erroneous responses and the no-feedback control group did
not. The feedback group displayed significantly greater recall overall and in
specific content areas (i.e., procedures, protections, risks/benefits). Results
support the use of corrected feedback for improving consent recall.
PMID- 22081751
TI - Do Research Intermediaries Reduce Perceived Coercion to Enter Research Trials
Among Criminally Involved Substance Abusers?
AB - We examined the efficacy of including a research intermediary (RI) during the
consent process in reducing participants' perceptions of coercion to enroll in a
research study. Eighty-four drug court clients being recruited into an ongoing
study were randomized to receive a standard informed consent process alone
(standard condition) or with an RI (intermediary condition). Before obtaining
consent, RIs met with clients individually to discuss remaining concerns.
Findings provided preliminary evidence that RIs reduced client perceptions that
their participation might influence how clinical and judicial staff view them.
This suggests that using RIs may improve participant autonomy in clinical
studies.
PMID- 22081752
TI - A 1.3-GHz LTS/HTS NMR Magnet-A Progress Report.
AB - In this paper we present details of a 600 MHz HTS insert (H600) double pancake
(DP) windings. It will first be operated in the bore of a 500 MHz LTS magnet,
achieving a frequency of 1.1 GHz. Upon completion of H600, we will embark on the
final phase (Phase 3B) of a 3-Phase program began in 2000: completion of a high
resolution 1.3 GHz LTS/HTS magnet. In Phase 3B, the H600 will be coupled to a 700
MHz LTS magnet to achieve the ultimate frequency of 1.3 GHz. The HTS insert is
composed of two concentric stacks of double pancakes, one wound with high
strength BSCCO-2223 tape, the other with YBCO coated conductor. Details include
conductor and coil parameters, winding procedure, DPs mechanical support and
integration to the background 500 MHz LTS magnet. Test results of individual DPs
in LN2 are also presented.
PMID- 22081753
TI - Field Performance of an Optimized Stack of YBCO Square "Annuli" for a Compact NMR
Magnet.
AB - The spatial field homogeneity and time stability of a trapped field generated by
a stack of YBCO square plates with a center hole (square "annuli") was
investigated. By optimizing stacking of magnetized square annuli, we aim to
construct a compact NMR magnet. The stacked magnet consists of 750 thin YBCO
plates, each 40-mm square and 80- MUm thick with a 25-mm bore, and has a O10 mm
room-temperature access for NMR measurement. To improve spatial field homogeneity
of the 750-plate stack (YP750) a three-step optimization was performed: 1)
statistical selection of best plates from supply plates; 2) field homogeneity
measurement of multi-plate modules; and 3) optimal assembly of the modules to
maximize field homogeneity. In this paper, we present analytical and experimental
results of field homogeneity and temporal stability at 77 K, performed on YP750
and those of a hybrid stack, YPB750, in which two YBCO bulk annuli, each O46 mm
and 16-mm thick with a 25-mm bore, are added to YP750, one at the top and the
other at the bottom.
PMID- 22081754
TI - Active Protection of an MgB(2) Test Coil.
AB - This paper presents results of a study, experimental and computational, of a
detect-and-activate-the-heater protection technique applied to a magnesium
diboride (MgB(2)) test coil operated in semi-persistent mode. The test coil with
a winding ID of 25 cm and wound with ~500-m long reacted MgB(2) wire was operated
at 4.2 K immersed in a bath of liquid helium. In this active technique, upon the
initiation of a "hot spot" of a length ~10 cm, induced by a "quench heater," a
"protection heater" (PH) of ~600-cm long planted within the test coil is
activated. The normal zone created by the PH is large enough to absorb the test
coil's entire initial stored energy and still keeps the peak temperature within
the winding below ~260 K.
PMID- 22081755
TI - Estimating Causal Effects in Mediation Analysis using Propensity Scores.
AB - Mediation is usually assessed by a regression-based or structural equation
modeling (SEM) approach that we will refer to as the classical approach. This
approach relies on the assumption that there are no confounders that influence
both the mediator, M, and the outcome, Y. This assumption holds if individuals
are randomly assigned to levels of M but generally random assignment is not
possible. We propose the use of propensity scores to help remove the selection
bias that may result when individuals are not randomly assigned to levels of M.
The propensity score is the probability that an individual receives a particular
level of M. Results from a simulation study are presented to demonstrate this
approach, referred to as Classical + Propensity Model (C+PM), confirming that the
population parameters are recovered and that selection bias is successfully dealt
with. Comparisons are made to the classical approach that does not include
propensity scores. Propensity scores were estimated by a logistic regression
model. If all confounders are included in the propensity model, then the C+PM is
unbiased. If some, but not all, of the confounders are included in the propensity
model, then the C+PM estimates are biased although not as severely as the
classical approach (i.e. no propensity model is included).
PMID- 22081756
TI - Racial/Ethnic Differences in Effects of Welfare Policies on Early School
Readiness and Later Achievement.
AB - This study examined whether the effects of employment-based policies on
children's math and reading achievement differed for African American, Latino and
Caucasian children of welfare receiving parents, and if so, why. Two kinds of
employment policies were examined: education-first programs with an emphasis on
adult education and job training; and work-first programs with an emphasis on
immediate employment. With data from two- and five-year follow-ups in four
experimental demonstrations in Grand Rapids, Michigan (N = 591) and Riverside
County, California (N = 629), there was evidence of small positive effects of the
Grand Rapids and Riverside education-first programs on African American and
Latino children's school readiness and math scores. An opposite pattern of
effects emerged among Caucasian children. In one of the two sites, we found that
Latino parents' higher levels of goals for pursuing their own education appeared
to explain why their children benefited to a greater degree from the program than
their Caucasian counterparts.
PMID- 22081758
TI - Polymer physics of the cytoskeleton.
AB - The cytoskeleton is generally visualized by light or electron microscopy as a
meshwork of protein filaments that spans the space between the nuclear envelope
and the plasma membrane. In most cell types, this meshwork is formed by a three
dimensional composite network of actin filaments, microtubules (MT), and
intermediate filaments (IF) together with the host of proteins that bind to the
sides or ends of these linear polymers. Cytoskeletal binding proteins regulate
filament length, crosslink filaments to each other, and apply forces to the
filaments. One approach to modeling the mechanical properties of the cytoskeleton
and of cell in general is to consider the elements of the cytoskeleton as
polymers, using experimental methods and theoretical models developed for
traditional polymers but modified for the much larger, stiffer, and fragile
biopolymers comprising the cytoskeleton. The presence of motor proteins that move
actin filaments and microtubules also creates a new class of active materials
that are out of thermodynamic equilibrium, and unconstrained by limitations of
the fluctuation-dissipation theorem. These active materials create rich
opportunities for experimental design and theoretical developments. The degree to
which the mechanics of live cells can usefully be modeled as highly complex
polymer networks is by no means certain, and this article will discuss recent
progress in quantitatively measuring cytoskeletal polymer systems and relating
them to the properties of the cell.
PMID- 22081759
TI - Effects of Traditional Gender Role Norms and Religious Fundamentalism on Self
Identified Heterosexual Men's Attitudes, Anger, and Aggression Toward Gay Men and
Lesbians.
AB - Sexual prejudice and antigay anger were examined as mediators of the associations
between traditional male gender norms, religious fundamentalism, and aggression
toward gay men and lesbians. Participants were 201 self-identified heterosexual
men recruited from the community to complete computer-administered measures of
adherence to traditional male gender norms (i.e., status, toughness,
antifemininity), religious fundamentalism, sexual prejudice, and frequency of
aggression toward gay men and lesbians. Additionally, participants completed a
structured interview designed to assess anger in response to a vignette depicting
a male-male intimate relationship (i.e., partners saying "I love you," holding
hands, kissing). Results showed that sexual prejudice and antigay anger partially
mediated the effect of antifemininity on aggression and fully mediated the effect
of religious fundamentalism on aggression. Sexual prejudice alone fully mediated
the effect of status on aggression and neither sexual prejudice nor antigay anger
mediated the effect of toughness on aggression. Further, results suggested that
religious fundamentalism is a multifaceted construct of which some aspects
increase risk for aggression toward gay men and lesbians, whereas other aspects
decrease this risk. These data provide multivariate evidence from a
nonprobability, community-based sample that extreme internalization of dominant
cultural values can set the stage for violence toward marginalized groups.
Implications for intervention programming and future research are reviewed.
PMID- 22081757
TI - Role of Apoptosis in Amplifying Inflammatory Responses in Lung Diseases.
AB - Apoptosis is an important contributor to the pathophysiology of lung diseases
such as acute lung injury (ALI) and chronic obstructive pulmonary disease (COPD).
Furthermore, the cellular environment of these acute and chronic lung diseases
favors the delayed clearance of apoptotic cells. This dysfunctional efferocytosis
predisposes to the release of endogenous ligands from dying cells. These so
called damage-associated molecular patterns (DAMPs) play an important role in the
stimulation of innate immunity as well as in the induction of adaptive immunity,
potentially against autoantigens. In this review, we explore the role of
apoptosis in ALI and COPD, with particular attention to the contribution of DAMP
release in augmenting the inflammatory response in these disease states.
PMID- 22081760
TI - A Motion-Tracking Ultrasonic Sensor Array for Behavioral Monitoring.
AB - The application of Kalman filtering to track subjects' movements during a
behavioral experiment is discussed. Specifically, an overhead array of wireless,
ultrasound sensors automatically tracks the position of a parent, child, and
stranger over a 4.45 m * 4.23 m observation area. This WiPsy (Wireless sensors
for Psychology research) system provides accurate, real-time quantitative metrics
for psychological evaluation in lieu of traditional qualitative manual coding.
Moreover, tracking subjects using ultrasound sensors is less error-prone than
existing methods that track based on human coding of video. In particular, the
Kalman filter, which forms the core of this tracking system, can locate targets
with a mean square error of about 1.3 m(2). Overall, WiPsy strives to streamline
data acquisition, processing, and analysis by providing previously unavailable
assessment parameters.
PMID- 22081761
TI - Estimating Tree-Structured Covariance Matrices via Mixed-Integer Programming.
AB - We present a novel method for estimating tree-structured covariance matrices
directly from observed continuous data. Specifically, we estimate a covariance
matrix from observations of p continuous random variables encoding a stochastic
process over a tree with p leaves. A representation of these classes of matrices
as linear combinations of rank-one matrices indicating object partitions is used
to formulate estimation as instances of well-studied numerical optimization
problems.In particular, our estimates are based on projection, where the
covariance estimate is the nearest tree-structured covariance matrix to an
observed sample covariance matrix. The problem is posed as a linear or quadratic
mixed-integer program (MIP) where a setting of the integer variables in the MIP
specifies a set of tree topologies of the structured covariance matrix. We solve
these problems to optimality using efficient and robust existing MIP solvers.We
present a case study in phylogenetic analysis of gene expression and a simulation
study comparing our method to distance-based tree estimating procedures.
PMID- 22081762
TI - Increasing Flexibility in Children's Online Processing of Grammatical and Nonce
Determiners in Fluent Speech.
AB - Two experiments using online speech processing measures with 18- to 36-month-olds
extended research by Gerken & McIntosh (1993) showing that young children's
comprehension is disrupted when the grammatical determiner in a noun phrase is
replaced with a nonce determiner (the car vs. po car). In Expt. 1, 18-month-olds
were slower and less accurate to identify familiar nouns on nonce-article than
grammatical-article trials, although older children who produced determiners in
their own speech showed no disruption. However, when tested on novel words in
Expt. 2, even linguistically advanced 34-month-olds had greater difficulty
identifying familiar as well as newly learned object names preceded by a nonce
article. Children's success in "listening through" an uninformative functor-like
nonce syllable before a familiar noun was related to their level of grammatical
competence, but their attention to the nonce article also varied with lexical
familiarity and the overall redundancy of the processing context.
PMID- 22081763
TI - Gold Micro-Flowers: One-Step Fabrication of Efficient, Highly Reproducible
Surface-Enhanced Raman Spectroscopy Platform.
AB - We present a new method enabling simultaneous synthesis and deposition of gold
micro-flowers (AuMFs) on solid substrates in a one-pot process that uses two
reagents, auric acid and hydroxylamine hydrochloride, in aqueous reaction
mixture. The AuMFs deposited onto the substrate form mechanically stable gold
layer of expanded nanostructured surface. The morphology of the AuMFs depends on
and can be controlled by the composition of the reaction solution as well as by
the reaction time. The nanostructured metallic layers obtained with our method
are employed as efficient platforms for chemical and biological sensing based on
surface-enhanced Raman spectroscopy (SERS). SERS spectra recorded by such
platforms for p-mercaptobenzoic acid and phage lambda exhibit enhancement factors
above 10(6) and excellent reproducibility.
PMID- 22081764
TI - Theoretical Analysis the Optical Properties of Multi-coupled Silver Nanoshell
Particles.
AB - The surface plasmon resonances of silver nanoshell particles are studied by
Green's function. The nanoshell system of plasmon resonances results from the
coupling of the inner and outer shell surface plasmon. The shift of the nanoshell
plasmon resonances wavelength is plotted against with different dielectric
environments, several different dielectric cores, the ratio of the inner and
outer radius, and also its assemblies. The results show that a red- and blue
shifted localized surface plasmon can be tuned over an extended wavelength range
by varying dielectric environments, the dielectric constants and the radius of
nanoshell core respectively. In addition, the separation distances, the
distribution of electrical field intensity, the incident directions and its
polarizations are also investigated. The study is useful to broaden the
application scopes of Raman spectroscopy and nano-optics.
PMID- 22081765
TI - A cost-aggregating integer linear program for motif finding.
AB - In the motif finding problem one seeks a set of mutually similar substrings
within a collection of biological sequences. This is an important and widely
studied problem, as such shared motifs in DNA often correspond to regulatory
elements. We study a combinatorial framework where the goal is to find substrings
of a given length such that the sum of their pairwise distances is minimized. We
describe a novel integer linear program for the problem, which uses the fact that
distances between substrings come from a limited set of possibilities allowing
for aggregate consideration of sequence position pairs with the same distances.
We show how to tighten its linear programming relaxation by adding an exponential
set of constraints and give an efficient separation algorithm that can find
violated constraints, thereby showing that the tightened linear program can still
be solved in polynomial time. We apply our approach to find optimal solutions for
the motif finding problem and show that it is effective in practice in uncovering
known transcription factor binding sites.
PMID- 22081766
TI - Choice of Biologic Therapy for Patients with Rheumatoid Arthritis: The Infection
Perspective.
AB - Biologicals revolutionized the treatment of Rheumatoid Arthritis (RA). The
targeted suppression of key inflammatory pathways involved in joint inflammation
and destruction allows better disease control, which, however, comes at the price
of an elevated infection risk due to relative immunosuppression. The disease
related infection risk and the infection risk associated with the use of TNF
alpha inhibitors (infliximab, adalimumab, etanercept, golimumab and certolizumab
pegol), rituximab, abatacept and tocilizumab are discussed. Risk factors
clinicians need to take into account when selecting the most appropriate biologic
therapy for RA patients, as well as precautions and screening concerning a number
of specific infections, such as tuberculosis, intracellular bacterial infections,
reactivation of chronic viral infections and HIV are reviewed.
PMID- 22081768
TI - CRLX101 (formerly IT-101)-A Novel Nanopharmaceutical of Camptothecin in Clinical
Development.
AB - CRLX101 (formerly IT-101) is a first-in-class nanopharmaceutical, currently in
Phase 2a development, which has been developed by covalently conjugating
camptothecin (CPT) to a linear, cyclodextrin-polyethylene glycol (CD-PEG) co
polymer that self-assembles into nanoparticles. As a nanometer-scale drug carrier
system, the cyclodextrin polymeric nanoparticle technology, referred to as "CDP",
has unique design features and capabilities. Specifically, CRLX101 preclinical
and clinical data confirm that CDP can address not only solubility, formulation,
toxicity, and pharmacokinetic challenges associated with administration of CPT,
but more importantly, can impart unique biological properties that enhance CPT
pharmacodynamics and efficacy.
PMID- 22081767
TI - Cough and Asthma.
AB - Cough is the most common complaint for which patients seek medical attention.
Cough variant asthma (CVA) is a form of asthma, which presents solely with cough.
CVA is one of the most common causes of chronic cough. More importantly, 30 to
40% of adult patients with CVA, unless adequately treated, may progress to
classic asthma. CVA shares a number of pathophysiological features with classic
asthma such as atopy, airway hyper-responsiveness, eosinophilic airway
inflammation and various features of airway remodeling. Inhaled corticosteroids
remain the most important form of treatment of CVA as they improve cough and
reduce the risk of progression to classic asthma most likely through their
prevention of airway remodeling and chronic airflow obstruction.
PMID- 22081769
TI - Total laparoscopic hysterectomy versus total abdominal hysterectomy with
bilateral salpingo-oophorectomy for endometrial carcinoma: a randomised
controlled trial with 5-year follow-up.
AB - This report is on recovery and long-term outcomes in a small-scale randomised
controlled trial (RCT) after total laparoscopic hysterectomy versus total
abdominal hysterectomy in (potential) endometrial carcinoma patients. An RCT was
performed among women with atypical endometrial hyperplasia and endometrial
carcinoma scheduled for hysterectomy in a teaching hospital in The Netherlands.
Women were randomised to total laparoscopic hysterectomy versus total abdominal
hysterectomy both with bilateral salpingo-oophorectomy and were followed until 5
years after the intervention. Patients completed the RAND 36-Item Short Form
Health Survey (RAND-36), Quality of Recovery-40 (QoR-40) and Recovery Index-10
(RI-10) until 12 weeks after surgery. Main outcome measure was quality of life
and recovery in the first 12 weeks after surgery. A linear mixed model was used
for statistical analysis while accounting for baseline values where applicable.
Seventeen women were included, of whom 11 allocated to the laparoscopic arm and 6
to the abdominal arm. Laparoscopic hysterectomy performed better on all scales
and subscales used in the study. A statistically significant treatment effect,
favouring laparoscopic hysterectomy, was found in the total RAND-36 (difference
between groups 142 units, 95% confidence interval 46; 236). Clinical follow-up
was completed after median 60 months, but this study was too small for
conclusions regarding the safety and survival. Laparoscopic hysterectomy results
in better postoperative quality of life in the first 12 weeks after surgery when
compared with abdominal hysterectomy.
PMID- 22081770
TI - THE AUTODIGESTION HYPOTHESIS AND RECEPTOR CLEAVAGE IN DIABETES AND HYPERTENSION.
AB - One of the key features of cardiovascular complications, such as hypertension or
diabetes, is that they often appear at the same time in the same individual
together with other forms of co-morbidities. While clinically a recognized
phenomenon, no molecular mechanism for such co-morbidities has received universal
acceptance. We propose a new hypothesis that provides a molecular basis for co
morbidities in hypertension due to unchecked proteolytic activity and receptor
destruction. Testing of the hypothesis in the spontaneously hypertensive rat
reveals an unchecked matrix metalloproteinase and serine protease activity in
plasma and on several cardiovascular and parenchymal cells. The elevated
proteolytic activity causes extracellular cleavage of multiple receptor types,
such that cleavage of one receptor type leads to loss of the function carried out
by this receptor. Proteolytic cleavage of the extracellular domain of the beta(2)
adrenergic receptor in arteries and arterioles causes vasoconstriction and
elevation of the central blood pressure while cleavage of the extracellular
domain of the insulin receptor leads to insulin resistance and lack of
transmembrane glucose transport. A diverse set of cell dysfunctions in the
spontaneously hypertensive rat are accompanied by cleavage of the membrane
receptors that are involved in these functions. Chronic inhibition of the
unchecked protease activity in the spontaneously hypertensive rat serves to
restore the extracellular receptor density and alleviates the corresponding cell
dysfunctions. The mild unchecked proteolytic activity in the spontaneously
hypertensive rat points towards a chronic autodigestion process as a contributor
to the end organ injury encountered in this rat strain. The presence of various
soluble receptors, which consist of extracellular fragments of membrane
receptors, in the plasma of hypertensive and diabetic patients suggest that the
autodigestion process may also be present in man.
PMID- 22081771
TI - Tumor-stroma: In vivo assays and intravital imaging to study cell migration and
metastasis.
AB - The development of metastatic disease is often correlated with poor patient
outcome in a variety of different cancers. The metastatic cascade is a complex,
multistep process that involves the growth of the primary tumor and angiogenesis,
invasion into the local environment, intravasation into the vasculature, tumor
cell survival in the circulation, extravasation from the vasculature and
sustained growth at secondary organ sites to form metastases. Although in vitro
assays of single cell types can provide information regarding cell autonomous
mechanisms contributing to metastasis, the in vivo microenvironment entails a
network of interactions between cells which is also important. Insight into the
mechanisms underlying tumor cell migration, invasion and metastasis in vivo has
been aided by development of multiphoton microscopy and in vivo assays, which we
will review here.
PMID- 22081772
TI - A Neurobiological Model of Insomnia.
AB - Insomnia is a common clinical condition resulting in significant costs and
morbidity. Previous models of insomnia focusing on psychological and behavioral
processes are useful clinically, but lack neurobiological specificity. We propose
an insomnia model based on basic and clinical neuroscience findings, and
hypothesize that insomnia results from persistent activity in wake-promoting
neural structures during NREM sleep. The simultaneous occurrence of sleeping and
waking neural activity helps to explain clinical phenomenology and treatment
effects in insomnia.
PMID- 22081773
TI - Physiologic Arousal to Social Stress in Children with Autism Spectrum Disorders:
A Pilot Study.
AB - Little is known about arousal to socially stressful situations in children with
Autism Spectrum Disorders. This preliminary study investigates physiologic
arousal in children with high functioning autism (HFA, n=19) compared to a
comparison group (n=11) before, during, and after the Trier Social Stress Test.
The HFA group was more likely to have a decrease in salivary cortisol following
the stressor, while the comparison group was more likely to have an increase
(p=.02). However, there was no difference in electrodermal activity, a measure of
sympathetic arousal, or vagal tone, a measure of parasympathetic activity,
between groups. These findings implicate a differential neuroendocrine response
to social stress in children with HFA despite similar sympathetic and
parasympathetic responses during a stressor. Further studies are required to
substantiate this finding.
PMID- 22081774
TI - What is it like to be a human?
AB - The explicit link between awareness and sociality put forward in the accompanying
article opens new doors to thinking about the evolutionary origins of
consciousness. Human subjective experience undoubtedly has some features that are
species-specific and others that are shared over a broad phylogenetic base. The
authors' proposal that consciousness depends on high-level neural circuits
evolved for social perception begs the question whether animals lacking such
circuitry experience a fundamentally different form of consciousness from humans.
It also highlights the need for comparative work elucidating neural mechanisms by
which animals other than primates perceive and respond to their conspecifics.
PMID- 22081775
TI - Problem Stabilization: A Metric for Problem Improvement in Home Visiting Clients.
AB - BACKGROUND: Public health nurse (PHN) home visiting programs have been widely
employed to improve life course trajectories for high risk mothers. Home visiting
programs are often lengthy, during which PHNs simultaneously address multiple
problems using diverse interventions over several client encounters. To manage
PHN caseloads it is critical to understand the trajectory of client improvement
and the optimal duration or services. PHN documentation data enable intervention
trajectory research for specific client problems. A new metric called problem
stabilization is proposed for evaluating interim improvement during PHN home
visiting. Problem stabilization is an intervention pattern for a client problem
that is characterized by co-occurring actions (i.e. teaching, guidance, and
counseling; treatments and procedures; case management; and/or surveillance)
during a client encounter; followed by surveillance actions only for that problem
during a subsequent client encounter. The purpose of the study was to investigate
problem stabilization during home visiting services for high risk mothers.
METHODS: A retrospective cohort was created using family home visiting
intervention documentation data from a local Midwest public health agency over a
six year period (2000-2005). The data set consisted of Omaha System interventions
for 720 high risk mothers. Analysis was conducted using descriptive statistics
and Kaplan Meier curves. RESULTS: On average 30.1% of the time, client problems
stabilized before discharge. Stabilization patterns differed by problem. Time to
stabilization was longest for Caretaking/parenting and Antepartum/postpartum
problems, and shortest for Residence and Mental health problems. CONCLUSIONS:
Problem stabilization, a proposed intermediate outcome of PHN home visiting care,
appears to be meaningful in describing client response to PHN intervention. This
metric is an example of meaningful use of structured clinical electronic health
record data for program evaluation and clinical decision support.
PMID- 22081776
TI - Intervention costs and cost-effectiveness for a multiple-risk-factor diabetes
self-management trial for Latinas: economic analysis of !Viva Bien!
AB - Information on cost-effectiveness of multiple-risk-factor lifestyle interventions
for Latinas with diabetes is lacking. The aim of this paper is to evaluate costs
and cost-effectiveness for !Viva Bien!, a randomized trial targeting Latinas with
type 2 diabetes. We estimated 6-month costs; calculated incremental costs per
behavioral, biologic, and quality-of-life change; and performed sensitivity
analyses from health plan and participant perspectives. Recruitment,
intervention, and participant costs were estimated at $45,896, $432,433, and
$179,697, respectively. This translates to $4,634 in intervention costs per !Viva
Bien! participant; $7,723 in both per unit reduction in hemoglobin A1c and per
unit reduction in body mass index. Although costs may be higher than
interventions that address one risk factor, potential risks for longer-term
health-care costs are high for this at-risk group. Given the benefits of !Viva
Bien!, cost reductions are recommended to enhance its efficiency, adoption, and
long-term maintenance without diluting its effectiveness.
PMID- 22081777
TI - Human Cell Surface Receptors as Molecular Imaging Candidates for Metastatic
Prostate Cancer.
AB - Existing clinical imaging procedures lack sensitivity and specificity in
detecting early prostate cancer bone metastatic lesions. In this study, we
developed a highly reproducible bone metastasis xenograft model and identified
possible molecular imaging candidates for detecting early bone metastatic
lesions. Bone trophic human prostate cells (PC-3B1) were isolated and
characterized for their ability to reach bone after intracardiac injection into
SCID mice. The appearances of skeletal metastases were evaluated using digital
radiographic imaging and confirmed by necropsy and histology. The PC-3B1 cells
retain a bone homing phenotype after long term propagation in tissue culture and
exhibit progressive bone lesions within 3 weeks following intracardiac injection.
Comparative transcription signatures of PC-3 and PC-3B1 cells were determined
using a cancer specific microarray and confirmed by RT-PCR analysis. The analysis
identified increased expression of four cell surface molecules in PC-3B1 cells
that may be suitable as molecular imaging candidates to detect bone micro
metastases.
PMID- 22081779
TI - COORDINATE DESCENT ALGORITHMS FOR NONCONVEX PENALIZED REGRESSION, WITH
APPLICATIONS TO BIOLOGICAL FEATURE SELECTION.
AB - A number of variable selection methods have been proposed involving nonconvex
penalty functions. These methods, which include the smoothly clipped absolute
deviation (SCAD) penalty and the minimax concave penalty (MCP), have been
demonstrated to have attractive theoretical properties, but model fitting is not
a straightforward task, and the resulting solutions may be unstable. Here, we
demonstrate the potential of coordinate descent algorithms for fitting these
models, establishing theoretical convergence properties and demonstrating that
they are significantly faster than competing approaches. In addition, we
demonstrate the utility of convexity diagnostics to determine regions of the
parameter space in which the objective function is locally convex, even though
the penalty is not. Our simulation study and data examples indicate that
nonconvex penalties like MCP and SCAD are worthwhile alternatives to the lasso in
many applications. In particular, our numerical results suggest that MCP is the
preferred approach among the three methods.
PMID- 22081780
TI - STATE-SPACE SOLUTIONS TO THE DYNAMIC MAGNETOENCEPHALOGRAPHY INVERSE PROBLEM USING
HIGH PERFORMANCE COMPUTING.
AB - Determining the magnitude and location of neural sources within the brain that
are responsible for generating magnetoencephalography (MEG) signals measured on
the surface of the head is a challenging problem in functional neuroimaging. The
number of potential sources within the brain exceeds by an order of magnitude the
number of recording sites. As a consequence, the estimates for the magnitude and
location of the neural sources will be ill-conditioned because of the
underdetermined nature of the problem. One well-known technique designed to
address this imbalance is the minimum norm estimator (MNE). This approach imposes
an L(2) regularization constraint that serves to stabilize and condition the
source parameter estimates. However, these classes of regularizer are static in
time and do not consider the temporal constraints inherent to the biophysics of
the MEG experiment. In this paper we propose a dynamic state-space model that
accounts for both spatial and temporal correlations within and across candidate
intra-cortical sources. In our model, the observation model is derived from the
steady-state solution to Maxwell's equations while the latent model representing
neural dynamics is given by a random walk process. We show that the Kalman filter
(KF) and the Kalman smoother [also known as the fixed-interval smoother (FIS)]
may be used to solve the ensuing high-dimensional state-estimation problem. Using
a well-known relationship between Bayesian estimation and Kalman filtering, we
show that the MNE estimates carry a significant zero bias. Calculating these high
dimensional state estimates is a computationally challenging task that requires
High Performance Computing (HPC) resources. To this end, we employ the NSF
Teragrid Supercomputing Network to compute the source estimates. We demonstrate
improvement in performance of the state-space algorithm relative to MNE in
analyses of simulated and actual somatosensory MEG experiments. Our findings
establish the benefits of high-dimensional state-space modeling as an effective
means to solve the MEG source localization problem.
PMID- 22081778
TI - No acquisition: a new ambition for HIV vaccine development?
AB - Development of a safe and effective prophylactic HIV-1 vaccine presents unique
challenges. The pessimism following the failure of two HIV-1 vaccine concepts in
clinical trials, HIV-1 gp120 and an adenovirus-based approach to induce only
cellular immune responses, has been replaced by cautious optimism engendered by
the RV144 trial outcome, the isolation of several new broadly reactive
neutralizing monoclonal antibodies, and recent primate model data indicating
prevention of viral acquisition by active or passive immunization. Intense
efforts are underway to optimize immunogen design, adjuvants, and the tools for
preclinical evaluation of candidate vaccines in primates, where correlates of
protection can be examined in detail - as proof-of-concept for clinical trials.
PMID- 22081781
TI - Risk Prediction for Prostate Cancer Recurrence Through Regularized Estimation
with Simultaneous Adjustment for Nonlinear Clinical Effects.
AB - In biomedical studies, it is of substantial interest to develop risk prediction
scores using high-dimensional data such as gene expression data for clinical
endpoints that are subject to censoring. In the presence of well-established
clinical risk factors, investigators often prefer a procedure that also adjusts
for these clinical variables. While accelerated failure time (AFT) models are a
useful tool for the analysis of censored outcome data, it assumes that covariate
effects on the logarithm of time-to-event are linear, which is often unrealistic
in practice. We propose to build risk prediction scores through regularized rank
estimation in partly linear AFT models, where high-dimensional data such as gene
expression data are modeled linearly and important clinical variables are modeled
nonlinearly using penalized regression splines. We show through simulation
studies that our model has better operating characteristics compared to several
existing models. In particular, we show that there is a non-negligible effect on
prediction as well as feature selection when nonlinear clinical effects are
misspecified as linear. This work is motivated by a recent prostate cancer study,
where investigators collected gene expression data along with established
prognostic clinical variables and the primary endpoint is time to prostate cancer
recurrence. We analyzed the prostate cancer data and evaluated prediction
performance of several models based on the extended c statistic for censored
data, showing that 1) the relationship between the clinical variable, prostate
specific antigen, and the prostate cancer recurrence is likely nonlinear, i.e.,
the time to recurrence decreases as PSA increases and it starts to level off when
PSA becomes greater than 11; 2) correct specification of this nonlinear effect
improves performance in prediction and feature selection; and 3) addition of gene
expression data does not seem to further improve the performance of the resultant
risk prediction scores.
PMID- 22081782
TI - Heart Failure with Preserved Ejection Fraction: Persistent Diagnosis, Therapeutic
Enigma.
AB - Heart failure with preserved ejection fraction (HFPEF) is increasing in
prevalence with the aging of the population, and morbidity and mortality rates
are comparable to that of heart failure with reduced ejection fraction (HFREF).
The diagnosis can be difficult to make, especially in older adults, stemming from
the presence of multiple comorbid illnesses with confounding symptoms. New
diagnostic tools have resulted in guidelines proposed to define and diagnose
HFPEF. Recent literature focusing on the pathophysiology underlying this disease
suggests multiple mechanisms are involved in the generation of the phenotype,
such as abnormal relaxation and ventricular-vascular coupling, chronotropic
incompetence, volume overload, and redistribution and /or endothelial
dysfunction. Currently, no clinically proven treatments are shown to decrease
morbidity and mortality in this population; however, there may be a novel
multidisciplinary and multistage treatment strategy that can be studied to
address this complex disease which incorporates pharmacologic and non
pharmacologic therapeutics.
PMID- 22081783
TI - Variability in small bowel histopathology reporting between different pathology
practice settings: impact on the diagnosis of coeliac disease.
AB - BACKGROUND AND AIMS: Coeliac disease (CD) diagnosis requires the detection of
characteristic histological alterations of small bowel mucosa, which are prone to
interobserver variability. This study evaluated the agreement in biopsy
interpretation between different pathology practice types. METHODS: Biopsies from
community hospitals (n=46), university hospitals (n=18) and commercial
laboratories (n=38) were blindly assessed by a pathologist at our institution for
differences in histopathology reporting and agreement in diagnosis of CD and
degree of villous atrophy (VA) by kappa analysis. RESULTS: Agreement for primary
diagnosis was very good between this institution and university hospitals
(kappa=0.888), but moderate compared with community hospitals (kappa=0.465) or
commercial laboratories (kappa=0.419). Diagnosis differed in 26 (25%) cases,
leading to a 20% increase in CD diagnosis after review. Among those diagnosed
with CD by both institutions (n=49), agreement in degree of VA was fair
(kappa=0.292), with moderate agreement between the authors and commercial
laboratories (kappa=0.500) and fair with university hospitals (kappa=0.290) or
community hospitals (kappa=0.211). The degree of VA was upgraded in 27% and
downgraded in 2%. Within different Marsh score categories, agreement was poor
(kappa<0.0316) for scores 1 and 2, both missed at other centres, and fair or
moderate for scores 3a and 3b. Information regarding degree of VA and
intraepithelial lymphocytosis was lacking in 26% and 86% of reports and non
quantifiable descriptors, eg, 'blunting' or 'marked atrophy' were prevalent.
CONCLUSIONS: CD-related histological changes are underdiagnosed in community
based hospitals and commercial pathology laboratories. Because incorrect biopsy
interpretation can cause underdiagnosis of CD, greater CD awareness and
uniformity in small bowel biopsy reporting is required among pathologists.
PMID- 22081784
TI - Expression of NCAM and OCIAD1 in well-differentiated thyroid carcinoma:
correlation with the risk of distant metastasis.
AB - AIMS: The biomarkers representing the metastatic potential of well-differentiated
thyroid carcinoma remain to be established. A study was undertaken to find
whether the expression status of neural cell adhesion molecule (NCAM) and/or
ovarian cancer immunoreactive antigen domain containing 1 (OCIAD1) is associated
with the metastatic potential of differentiated thyroid carcinoma. METHODS: NCAM
and OCIAD1 were analysed by immunohistochemistry on tissue microarrays. RESULTS:
Among 214 well-differentiated thyroid carcinomas, 68 patients had distant
metastases. Immunohistochemical analyses showed that the majority of benign
thyroid lesions expressed NCAM while a significant proportion of thyroid
carcinomas lost or had reduced NCAM expression. Both follicular and papillary
carcinomas with distant metastasis had a significantly higher frequency of
preserving NCAM expression. Hierarchical clustering analysis showed that OCIAD1
had significant differential expression between benign and malignant thyroid
lesions. The overall metastatic-to-localised tumour ratio was higher in NCAM
expressing clusters, but the difference between ratios of OCIAD1-positive and
OCIAD1-negative subclusters was not significant. CONCLUSIONS: These analyses
suggest that the preservation of NCAM expression in well-differentiated thyroid
carcinoma is an indicator for a higher risk of distant metastasis. OCIAD1 is a
potential biomarker of thyroid carcinoma but had no significant additive effect
on the risk of distant metastasis. Further elucidation of the molecular
mechanisms underlying the NCAM-mediated cellular processes will be beneficial for
the development of effective treatments against the metastasis of thyroid
carcinoma.
PMID- 22081785
TI - Mucinous tumours of the ovary--primary and metastatic.
PMID- 22081786
TI - Genomic gains and losses in malignant mesothelioma demonstrated by FISH analysis
of paraffin-embedded tissues.
AB - AIMS: Malignant mesothelioma (MM) results from the accumulation of a number of
acquired genetic events at the onset. In MM, the most frequent changes were
losses in 9p21, 1p36, 14q32 and 22q12, and gains in 5p, 7p and 8q24 by
comparative genomic hybridisation analysis. Although the diagnostic utility of
9p21 homozygous deletion by fluorescence in situ hybridisation (FISH) analysis in
MM has been reported recently, alterations of other genes have not been examined
to any great extent. This study analysed the frequency of various genomic gains
and losses in MM using FISH analysis. MATERIALS AND METHODS: The authors
performed a FISH analysis using paraffin-embedded tissues from 42 cases of MM.
RESULTS: Chromosomal losses in MM were found at 9p21 (83%), 1p36 (43%), 14q32
(43%) and 22q12 (38%), whereas gains were found at 5p15 (48%), 7p12 (38%) and
8q24 (45%). There were no cases of adenomatoid tumour, benign mesothelial
multicystic tumour, reactive mesothelial hyperplasia or pleuritis showing any
gains or losses. At least one genomic abnormality was identified in all cases of
MM. Among various histological subtypes, the chromosomal abnormality tended to be
more common in cases showing sarcomatous elements (biphasic or pure sarcomatoid)
than in cases showing an epithelioid histology. CONCLUSIONS: The authors found
various genomic gains and losses in MM by FISH analysis. The frequency of each
genomic gain or loss examined in MM by FISH analysis almost agreed with the
comparative genomic hybridisation technique in previous studies. This study
suggests that genomic evaluation by FISH analysis might be helpful in
distinguishing MM from benign mesothelial proliferation.
PMID- 22081787
TI - Associations of Rsf-1 overexpression with poor therapeutic response and worse
survival in patients with nasopharyngeal carcinoma.
AB - AIMS: Deregulated chromatin remodelling often leads to aberrant gene expression
in cells, thereby implicating tumour development and progression. As a subunit of
remodelling and spacing factor complex, Rsf-1 (HBXAP), a novel nuclear protein
with histone chaperon function, mediates ATPase-dependent chromatin remodelling
and confers tumour aggressiveness in common carcinomas. However, the expression
of Rsf-1 has never been reported in nasopharyngeal carcinoma (NPC). This study
aimed at evaluating the expression status, associations with clincopathological
variables and prognostic implications of Rsf-1 in a well-defined cohort of NPC.
METHODS: Rsf-1 immunoexpression was retrospectively assessed in biopsies of 108
consecutive NPC patients without initial distant metastasis and treated with
consistent guidelines. The results were correlated with the clinicopathological
features, therapeutic response, local recurrence-free survival (LRFS), distant
metastasis-free survival (DMFS) and disease-specific survival (DSS). RESULTS:
Present in 49 cases (45%), Rsf-1 overexpression was associated with N(2,3) status
(p=0.016), American Joint Committee on Cancer stage 3, 4 (p=0.004), and
incomplete therapeutic response (p=0.041). In multivariate analyses, Rsf-1
overexpression not only emerged as the sole independent adverse prognosticator
for LRFS (p=0.0002, RR 5.287) but also independently predicted worse DMFS
(p=0.0011, RR 3.185) and DSS (p<0.0001, RR 4.442), along with T(3,4) (p=0.0454)
and N(2,3) (p=0.0319), respectively. CONCLUSION: Rsf-1 overexpression is common
and is associated with adverse prognosticators and therapeutic response, which
confers tumour aggressiveness through chromatin remodelling, and represents a
potential prognostic biomarker in NPC.
PMID- 22081788
TI - Instituting a music listening intervention for critically ill patients receiving
mechanical ventilation: Exemplars from two patient cases.
AB - Music is an ideal intervention to reduce anxiety and promote relaxation in
critically ill patients receiving mechanical ventilatory support. This article
reviews the basis for a music listening intervention and describes two case
examples with patients utilizing a music listening intervention to illustrate the
implementation and use of the music listening protocol in this dynamic
environment. The case examples illustrate the importance and necessity of
engaging a music therapist in not only assessing the music preferences of
patients, but also for implementing a music listening protocol to manage the
varied and challenging needs of patients in the critical care setting.
Additionally, the case examples presented in this paper demonstrate the wide
array of music patients prefer and how the ease of a music listening protocol
allows mechanically ventilated patients to engage in managing their own anxiety
during this distressful experience.
PMID- 22081789
TI - Systematic Chemical Mutagenesis Identifies a Potent Novel Apratoxin A/E Hybrid
with Improved in Vivo Antitumor Activity.
AB - Apratoxins are cytotoxic marine natural products that prevent cotranslational
translocation early in the secretory pathway. We showed that apratoxins
downregulate receptors and growth factor ligands, giving a one-two punch to
cancer cells, particularly those that rely on autocrine loops. Through total
synthesis, we tested the effects of amino acid substitutions, including alanine
scanning, on the downregulation of receptor tyrosine kinases and vascular
endothelial growth factor A (VEGF-A) and probed the stereospecificity of target
engagement by epimerization of selected chiral centers. Differential effects on
two types of secretory molecules suggest that the apratoxins' substrate
selectivity with respect to inhibition of secretion may be tuned through
structural modifications to provide tailored therapy. Our structure-activity
relationship studies and medicinal chemistry efforts led to a potent inhibitor
with in vivo efficacy in a colorectal tumor xenograft model without irreversible
toxicity exerted by apratoxin A, demonstrating that this novel mechanism of
action has therapeutic potential.
PMID- 22081790
TI - Mimicking biological design and computing principles in artificial olfaction.
AB - Biology has inspired solutions to many engineering problems, including chemical
sensing. Modern approaches to chemical sensing have been based on the biological
principle of combining cross-selective chemical sensors with a pattern
recognition engine to identify odors. Here, we review some recent advances made
in mimicking biological design and computing principles to develop an electronic
nose. The resulting technology will have important applications in fundamental
biological research, as well as in industrial, security, and medical domains.
PMID- 22081791
TI - Resonant Mode Reduction in Radiofrequency Volume Coils for Ultrahigh Field
Magnetic Resonance Imaging.
AB - In a multimodal volume coil, only one mode can generate homogeneous
Radiofrequency (RF) field for Magnetic Resonance Imaging. The existence of other
modes may increase the volume coil design difficulties and potentially decreases
coil performance. In this study, we introduce common-mode resonator technique to
high and ultrahigh field volume coil designs to reduce the resonant mode while
maintain the homogeneity of the RF field. To investigate the design method, the
common-mode resonator was realized by using a microstrip line which was split
along the central to become a pair of parallel transmission lines within which
common-mode currents exist. Eight common-mode resonators were placed
equidistantly along the circumference of a low loss dielectric cylinder to form a
volume coil. Theoretical analysis and comparison between the 16-strut common-mode
volume coil and a conventional 16-strut volume coil in terms of RF field
homogeneity and efficiency was performed using Finite-Difference Time-Domain
(FDTD) method at 298.2 MHz. MR imaging experiments were performed by using a
prototype of the common-mode volume coil on a whole body 7 Tesla scanner. FDTD
simulation results showed the reduced number of resonant modes of the common-mode
volume coil over the conventional volume coil, while the RF field homogeneity of
the two type volume coils was kept at the same level. MR imaging of a water
phantom and a kiwi fruit showing the feasibility of the proposed method for
simplifying the volume coil design is also presented.
PMID- 22081792
TI - A measure for describing and comparing post-reproductive lifespan as a population
trait.
AB - 1. While-classical life-history theory does not predict post-reproductive
lifespan (PRLS), it has been detected in a great number of taxa, leading to the
view that it is a broadly conserved trait, and attempts to reconcile theory with
these observations. We suggest an alternative: the apparently wide distribution
of significant PRLS is an artifact of insufficient methods.2. PRLS is
traditionally measured in units of time between each individual's last
parturition and death, after excluding those individuals for whom this interval
is short. A mean of this measure is then calculated as a population value. We
show this traditional population measure (which we denote PrT) to be
inconsistently calculated, inherently biased, strongly correlated with overall
longevity, uninformative on the importance of PRLS in a population's life
history, unable to use the most-commonly available form of relevant data and
without a realistic null hypothesis. Using data altered to ensure that the null
hypothesis is true, we find a false positive rate of 0.47 for PrT.3. We propose
an alternative population measure, using life-table methods. Post-reproductive
Representation (PrR) is the proportion of adult years lived which are post
reproductive. We briefly derive PrR and discuss its properties. We employ a
demographic simulation, based on the null hypothesis of simultaneous and
proportional decline in survivorship and fecundity, to produce a null
distribution for PrR based on the age-specific rates of a population.4. In an
example analysis, using data on 84 populations of human and non-human primates,
we demonstrate the ability of PrR to represent the effects of artificial
protection from mortality and of humanness on PRLS. PrR is found to be higher for
all human populations under a wide range of conditions than for any non-human
primate in our sample. A strong effect of artificial protection is found, but
humans under the most-adverse conditions still achieve PrR of >0.3.5. PrT should
not be used as a population measure, and should be used as an individual measure
only with great caution. The use of PrR as an intuitive, statistically valid and
intercomparable population life-history measure is encouraged.
PMID- 22081795
TI - Creating a Reinforcement Learning Controller for Functional Electrical
Stimulation of a Human Arm.
AB - Clinical tests have shown that the dynamics of a human arm, controlled using
Functional Electrical Stimulation (FES), can vary significantly between and
during trials. In this paper, we study the application of Reinforcement Learning
to create a controller that can adapt to these changing dynamics of a human arm.
Development and tests were done in simulation using a two-dimensional arm model
and Hill-based muscle dynamics. An actor-critic architecture is used with
artificial neural networks for both the actor and the critic. We begin by
training it using a Proportional Derivative (PD) controller as a supervisor. We
then make clinically relevant changes to the dynamics of the arm and test the
actor-critic's ability to adapt without supervision in a reasonable number of
episodes.
PMID- 22081796
TI - Study of the antioxidant mechanisms of Trolox and eugenol with 2,2'-azobis(2
amidinepropane)dihydrochloride using ultra-high performance liquid chromatography
coupled with tandem mass spectrometry.
AB - The study of antioxidant mechanisms is a difficult task that involves the
monitoring and identification of unknown intermediate and final products. Most of
the time, the lifetime of intermediates is too short to allow their isolation and
subsequent identification by nuclear magnetic resonance (NMR). The developments
of ultra-high performance liquid chromatography (UHPLC) coupled with the advances
in the acquisition rates of mass spectrometry could facilitate the research on
antioxidant mechanisms. This work is based on the reaction involved in the Oxygen
Radical Antioxidant Capacity (ORAC) and Total Radical trapping Antioxidant
Parameter (TRAP) assays. Hence, the reaction between 2,2'-azobis(2
amidinepropane)dihydrochloride (AAPH) radicals and an antioxidant was carried out
in the thermostatized autosampler of a chromatographic device. Then, the reaction
media were injected every six minutes, and the compounds were separated by UHPLC
and detected by mass spectrometry in scan mode. Nine consecutive injections were
registered in a unique file, then the evolution of the reaction for one hour in a
single run was monitored. In this way, the reaction mechanisms of Trolox and
eugenol with AAPH were studied, leading to the detection of nine and thirteen
different compounds, respectively. An exhaustive analysis of the spectra obtained
in product ion scan mode led to the identification of the compounds. Most of them
were species previously found in the literature, but others have never been
reported, so tentative structures were suggested. All this allowed the proposal
of several steps within the antioxidant mechanisms of Trolox and eugenol, showing
the great performance of UHPLC-MS/MS to complement the use of NMR in antioxidant
mechanistic studies.
PMID- 22081794
TI - Proteasome malfunction activates macroautophagy in the heart.
AB - Protein quality control (PQC) senses and repairs misfolded/unfolded proteins and,
if the repair fails, degrades the terminally misfolded polypeptides through an
intricate collaboration between molecular chaperones and targeted proteolysis.
Proteolysis of damaged proteins is performed primarily by the ubiquitin
proteasome system (UPS). Macroautophagy (commonly known as autophagy) may also
play a role in PQC-associated proteolysis, especially when UPS function becomes
inadequate. The development of a range of heart diseases, including bona fide
cardiac proteinopathies and various forms of cardiac dysfunction has been linked
to proteasome functional insufficiency (PFI). Both PFI and activation of
autophagy have been observed in the heart of well-established mouse models of
cardiac proteinopathy. A causal relationship between PFI and autophagic
activation was suggested by a study using cultured cardiomyocytes but has not
been established in the heart of intact animals. Taking advantage of an autophagy
reporter, we demonstrated here that pharmacologically induced proteasome
inhibition is sufficient to activate autophagy in cardiomyocytes in both intact
animals and cell cultures, unveiling a potential cross-talk between the two major
degradation pathways in cardiac PQC.
PMID- 22081793
TI - Age-Induced Alterations in Hippocampal Function and Metabolism.
AB - As the nervous system ages, a variety of changes occur in metabolism supporting
glial and neuronal function, resulting in greater susceptibility to disease
conditions. Changes with aging in the metabolic unit (i.e., neurons, glial cells
and blood vessels) have been reported to include alterations of vascular
reactivity, impaired transport of critical substrates underlying metabolism,
enhanced reactive oxygen species production and alterations in calcium signaling.
Some diseases are focused on the elderly, particularly cerebral ischemia,
cognitive limitations, iatrogenic hypoglycemia, malignant brain tumors (i.e.,
glioblastoma), and Alzheimer's disease, partly due to metabolic alterations with
aging. These metabolic changes with aging are discussed in light of primary
theories of aging of the brain, which include mitochondrial, calcium dysfunction
and enhanced oxidative damage. Here we focus on metabolic changes with aging
which can influence the susceptibility of the brain to ischemia and cognitive
function. Lastly, we describe treatment possibilities for these abnormal
responses to aging, particularly the topic of caloric/dietary restriction, and
possible mechanisms underlying this treatment direction.
PMID- 22081797
TI - School discipline and disruptive classroom behavior: the moderating effects of
student perceptions.
AB - This study examines the relationship between school discipline and student
classroom behavior. A traditional deterrence framework predicts that more severe
discipline will reduce misbehavior. In contrast, normative perspectives suggest
that compliance depends upon commitment to rules and authority, including
perceptions of fairness and legitimacy. Using school and individual-level data
from the National Education Longitudinal Study of 1988 and multilevel regression
modeling, the author finds support for the normative perspective. Students who
perceive school authority as legitimate and teacher-student relations as positive
are rated as less disruptive. While perceptions of fairness also predict lower
disruptions, the effects are mediated by positive teacher-student relations.
Contrary to the deterrence framework, more school rules and higher perceived
strictness predicts more, not less, disruptive behavior. In addition, a
significant interaction effect suggests that attending schools with more severe
punishments may have the unintended consequence of generating defiance among
certain youth.
PMID- 22081798
TI - The uneven patterning of welfare benefits at the twilight of AFDC: assessing the
influence of institutions, race, and citizen preferences.
AB - Scholars have been slow to test welfare state theories on the extensive
subnational variation in the United States during the recent period of
retrenchment. We assess institutional politics theories, literature on race and
social policy, and public opinion arguments relative to levels of support in
states' Aid to Families Dependent Children programs from 1982 until its
elimination in 1996. Pooled time-series results demonstrate that the determinants
of spending during retrenchment are mostly similar to those driving development
and expansion. Pro-spending actors and professionalized state institutions limit
benefit curtailment, while jurisdictions with larger African- American
populations have lower benefits. Additionally, liberal citizens positively impact
support and strengthen the effects of state institutions, but this effect is
attenuated in states with larger African-American populations.
PMID- 22081799
TI - Consequences of black exceptionalism? Interracial unions with blacks, depressive
symptoms, and relationship satisfaction.
AB - Using data from Wave 4 (2008) of the National Longitudinal Study of Adolescent
Health (N = 7,466), we examine potential consequences of black exceptionalism in
the context of interracial relationships among nonblack respondents. While
increasing racial diversity and climbing rates of interracial unions have
fostered the notion that racial boundaries within the United States are fading,
our results add to the accumulating evidence that racial/ethnic boundaries
persist in U.S. society. Results suggest that among non-Black respondents there
is more stigma and disapproval attached to relationships with Blacks than there
are to relationships with members of other racial/ethnic groups. Specifically,
our results indicate that nonblack individuals with black partners have
significantly more depressive symptoms and less relationship satisfaction than
their counterparts with nonblack partners, regardless of respondent race and
whether the nonblack partner is the same versus a different race from the
respondent. Further, the relationship between partner race and depressive
symptoms is partially and significantly mediated by relationship satisfaction.
PMID- 22081800
TI - Limited access: gender, occupational composition, and flexible work scheduling.
AB - The current study draws on national data to explore differences in access to
flexible work scheduling by the gender composition of women's and men's
occupations. Results show that those who work in integrated occupations are more
likely to have access to flexible scheduling. Women and men do not take jobs with
lower pay in return for greater access to flexibility. Instead, jobs with higher
pay offer greater flexibility. Integrated occupations tend to offer the greatest
access to flexible scheduling because of their structural locations. Part-time
work is negatively associated with men's access to flexible scheduling but
positively associated with women's access. Women have greater flexibility when
they work for large establishments, whereas men have greater flexibility when
they work for small establishments.
PMID- 22081801
TI - The evolution of infectious agents in relation to sex. Proceedings of a
symposium. October 21-23, 2010. Karlskoga, Sweden.
PMID- 22081802
TI - Proceedings of the 131st Annual Meeting of the American Surgical Association.
April 14-16, 2011. Boca Raton, Florida, USA.
PMID- 22081803
TI - Best practices in systems interventions to reduce the burden of fractures.
Proceedings of the Bone Health Conference. December 9-10, 2010. Washington, D.C.,
USA.
PMID- 22081804
TI - [Not Available].
PMID- 22081805
TI - Proceedings of the Eighth International Nanomedicine and Drug Delivery Symposium
(NanoDDS'10). October 3-5, 2010. Omaha, Nebraska, USA.
PMID- 22081806
TI - Proceedings of the Sixth International Symposium on Interventional
Electrophysiology in the Management of Cardiac Arrhythmias. September 24-26,
2010. Newport, Rhode Island.
PMID- 22081807
TI - Festschrift to mark the retirement of Professor Bernard Gilmartin. Proceedings of
a 2-day conference. September 2011. Birmingham, United Kingdom.
PMID- 22081808
TI - The lateral sacral triangle--a decision support for secure transverse sacroiliac
screw insertion.
AB - Sacroiliac (SI) screw fixation represents an effective method to stabilise pelvic
injuries. However, to date neither reliable radiological landmarks nor effective
anatomical classifications of the sacrum exist. This study investigates the
influence of variability in sacral shape on secure transverse SI-screw
positioning. Furthermore, consistent correlations of these anatomical conditions
are analysed with respect to standard planar pelvic views. For shape analysis, 80
human computed tomography data sets were segmented with the software Amira 4.2 to
obtain 3D reconstructions. We identified anatomical conditions (ACs) according to
the extent of the effect on the bony screw pathway. Subsequently, the pelvis was
spatially aligned using representative bone protuberances in order to create
standard Matta projections. In each view, the ACs were described in terms of
distance from bone landmarks. Three-dimensional shape analysis revealed the
height of the pedicular isthmus (PH) as the limiting variable for secure screw
insertion. The lateral and outlet views allowed an orthogonal projection of PH.
In the lateral view, the ratio of the lateral sacral triangle framed by the S1
body height and width showed a high correlation to PH (p = 0.0001). A boundary
ratio of 1.5 represented a reliable variable to determine whether or not a screw
can be inserted (positive predictive value: 97%). In the outlet view, the
distance between the S1 endplate and the SI joint top level (EJ) strongly
correlated with PH (p = 0.0001). With EJ 0 mm, screw insertion was possible in
all cases (100%). SI-screw insertion requires a well-planned procedure.
Orientation of the sacral pedicle is of extreme relevance. A narrow sacroiliac
channel and high sacral shape variability limit secure screw placement. However,
no determining parameters exist, allowing accurate prediction of secure screw
insertion based on X-rays or fluoroscopy. The lateral sacral triangle in the
lateral view represents a simple and accurate preoperative method of support for
the surgeon's decision to undertake this procedure. No additional technical
effort is necessary. A boundary ratio of 1.5 predicts a sufficient bone stock for
at least one 7.3 mm screw. Furthermore, the evaluation of the outlet projection
can be used to assess the safety of the operation. Basically, a preoperative
lateral pelvic image should be mandatory.
PMID- 22081809
TI - Treatment algorithm for complex injuries of the foot in paediatric patients.
AB - BACKGROUND: Complex injuries of the foot in the paediatric population present
difficult treatment challenges. While standardised protocols exist for the adult
population to achieve an optimal result in the treatment of such injuries,
therapy in paediatric patientsmust be managed without a firm treatment algorithm.
METHODS: Medical records of all patients with a complex trauma of the foot
treated at our Department over a period of 13 years were evaluated. A complex
trauma of the foot was defined using the scoring system developed by Zwipp et al.
(1997).24 Treatment and outcome were analysed. Additionally, our treatment
algorithm of complex injuries of the foot in paediatric patients is presented.
RESULTS: Twenty-nine patients were included in the study (79%m; 21% f, average
age 12.1 years, ranging 2-16 years). Traffic accidents were the most common
mechanism (n = 14; 48.3%), followed by a fall from a height in five patients
(17.2%). Lawnmower injuries were found in another 3 patients (10.3%) and other
mechanisms of injury in 7 patients (24.2%). The mean score according to Zwipp et
al. (1997)24 was 5.8 points (range 5-8 points). While closed fractures were
diagnosed in 20 (69%) patients, 9 patients (31%) presented open fractures.
Operative intervention was necessary in 24 patients (82.8%). Fracture
stabilisation could be realised using K-wires in 13 cases (54.2%), screws in 3
cases (12.5%) and plate fixation in 1 case (4.2%). Combined techniques including
external fixation were applied in another 7 (29.1%) cases. The mean time between
injury and latest follow-up examination was 5.7 years (range 13 months to 13
years). The mean functional outcome was 47.6 (29-56) points for the OAFQ, 15.1 (0
69) points for the FFI and 82.3 (59-100) points for the AOFAS Score. DISCUSSION:
To regard the maxims in treating complex injuries and open fractures in the
growing skeleton we developed a simple treatment algorithm for complex foot
injuries in order to provide preservation of the soft tissue envelope, avoidance
of infection, restoration of the axis and the articular surface. CONCLUSION: A
complex trauma of the paediatric foot is a rare and challenging injury. Avoidance
of infection, preservation of the soft tissue envelope and fracture healing will
provide good functional outcome despite the severity of trauma. Long time follow
up is essential to detect complications.
PMID- 22081810
TI - Induction of senescence in melanoma: thinking outside the cell.
PMID- 22081811
TI - Serine biosynthesis: fuel for the melanoma cell growth engine.
PMID- 22081812
TI - A DUB for MITF: no myth, some dubiety.
PMID- 22081813
TI - Cardiac injury and troponin testing after orthopaedic surgery.
AB - BACKGROUND: Cardiac injury after orthopaedic surgery is an increasing problem
particularly in an ageing population. The detection of cardiac injury has been
aided by the use of cardiac troponins which has also raised questions about the
utility of this enzyme in the post-operative setting. OBJECTIVE: This review
evaluates the diagnosis and pathophysiology of myocardial infarction after
orthopaedic surgery and examines how myocardial injury is detected, with
particular emphasis on the role of troponin testing. SUBJECTS: Eight recent
orthopaedic trials evaluating the use of troponin were identified in the
literature and included in this review. RESULTS: This review found that the
diagnosis of myocardial infarction ismore difficult after surgery since classic
symptoms may be atypical or absent. Therefore, there ismore reliance on the
typical rise and fall in troponin to diagnose cardiac injury especially because
electrocardiograph changes may be hard to detect. The pathophysiology of
ischaemia after orthopaedic surgery may be different to ischaemia in the non
surgical setting. The incidence of troponin elevation is between 22 and 52.9%
after emergency orthopaedic operations. Of note, patients sustaining a troponin
elevation are often asymptomatic. Small studies have found troponin to be a
prognostic marker of in-hospital cardiac complications, increased length of stay,
increased likelihood of discharge to residential care and death at 1 year. No
interventional studies have been published to date. CONCLUSION: Cardiac injury is
an important complication after orthopaedic surgery. Studies have found that
troponin testing can detect asymptomatic cardiac injury. These patients are at
risk of poorer outcomes and future research should be directed towards treatment
of these patients.
PMID- 22081814
TI - Cold and desiccation tolerance. Festschrift honoring Karl Erik Zachariassen.
PMID- 22081816
TI - Proceedings of the 57th International Congress of Meat Science and Technology
(57th ICoMST). August 7-12, 2011. Ghent, Belgium.
PMID- 22081815
TI - Urgent thoracotomy for penetrating chest trauma: analysis of 158 patients of a
single center.
AB - BACKGROUND: Penetrating injuries to the chest present a frequent and challenging
problem, but the majority of these injuries can be managed non-?operatively. The
aim of this study was to describe the incidence of penetrating chest trauma and
the ultimate techniques used for operative management, as well as the diagnosis,
complications, morbidity and mortality. METHODS: A retrospective 9-year review of
patients who underwent an operative procedure following penetrating chest trauma
was performed. The mechanism of injury, gender, age, physiological and outcome
parameters, including injury severity score (ISS), chest abbreviated injury scale
(AIS) score, lung injury scale score, concomitant injuries, time from admission
to operating room, transfusion requirement, indications for thoracotomy, intra
operative findings, operative procedures, length of hospital stay (LOS) and rate
of mortality were recorded. RESULTS: A total of 1123 patients who were admitted
with penetrating thoracic trauma were investigated. Of these, 158 patients (93
stabbings, 65 gunshots) underwent a thoracotomy within 24 h after the penetrating
trauma. There were 146 (92.4%) male and 12 (7.6%) female patients, and their mean
age was 25.72 9.33 (range, 15-54) years. The mean LOS was 10.65 8.30 (range, 5
65) days. Patients admitted after a gunshot had a significantly longer LOS than
those admitted with a stab wound (gunshot, 13.53 9.92 days; stab wound, 8.76 6.42
days, p < 0.001). Patients who died had a significantly lower systolic blood
pressure (SBP) on presentation in the emergency room (42.94 36.702 mm Hg)
compared with those who survived (83.96 27.842 mm Hg, p = 0.001). The overall
mortality rate was 10.8% (n = 17). Mortality for patients with stab wounds was
8/93 (8.6%) compared with 9/65 (13.8%) for patients with gunshot wounds (p =
0.29). Concomitant abdominal injuries (p = 0.01), diaphragmatic injury (p =
0.01), ISS (p = 0.001), chest AIS score (p < 0.05), ongoing output (p = 0.001),
blood transfusion volume (p < 0.01) and SBP (p = 0.001) were associated with
mortality. CONCLUSION: Penetrating injuries to the chest requiring a thoracotomy
are uncommon, and lung-sparing techniques have become the most frequently used
procedures for lung injuries. The presence of associated abdominal injuries
increased the mortality five-fold. Factors that affected mortality were ISS,
chest AIS score, SBP, ongoing chest output, blood transfusion volume,
diaphragmatic injury and associated abdominal injury.
PMID- 22081817
TI - [Tuberculosis in HIV infected].
PMID- 22081818
TI - Effects of bilateral adrenalectomy on the innate immune responses following
trauma in rats.
AB - BACKGROUND: The regulation of neuroendocrine hormones on the innate immune
responses in trauma has not been fully understood. Previous studies have shown
that the neuroendocrine hormones are important factors in their effects on immune
parameters, depending on their concentration and timing instead of the simple
suppressive effects. MATERIALS AND METHODS: A total of 144 Sprague-Dawley rats
were divided randomly into sham, pulmonary blast injury (BI) and adrenalectomy
plus pulmonary BI groups. Bilateral adrenalectomy was performed on rats, which
were then subjected to blast injury. Following this, peripheral leucocyte
responsiveness to lipopolysaccharide (LPS) stimulation, phagocytosis activities
of macrophages and bacteria translocation (BT) were examined. Tumour necrosis
factor-a (TNF-a) levels and the expression levels of scavenger receptor (SR) A,
CD14, Toll-like receptor (TLR) 4 and MD2 were assayed with enzyme-linked
immunosorbent assay and reverse transcription-polymerase chain reaction,
respectively. RESULTS: In adrenalectomised rats after pulmonary BI, the number of
peripheral leucocytes was increased and the phagocytosis of peritoneal and
splenic macrophages was decreased as compared to the BI group. Simultaneously,
the gut-derived BT and TNF-a secretion in lung tissues were elevated, whilst the
LPS-stimulated TNF-a synthesis by peripheral leucocyte responsiveness was
reduced. Furthermore, the mRNA levels of SR-A, CD14, TLR4 and MD2 in lung tissues
of adrenalectomised rats decreased. Adrenalectomised rats showed enhancement of
inflammatory responses and severe tissue injuries in trauma. CONCLUSIONS: Release
of adrenal hormones might enhance, rather than inhibit, the innate immune
functions, particularly in the early stages of trauma.
PMID- 22081819
TI - Light therapy by blue LED improves wound healing in an excision model in rats.
AB - BACKGROUND: Low level light therapy (LLLT) is an attractive alternative to
enhance wound healing. So far most studies are performed with red or infrared
irradiation. However, we recently showed that blue light (470 nm) can
significantly influence biological systems, improving perfusion by release of
nitric oxide from nitrosyl complexes with haemoglobin in a skin flap model in
rats. Here, we compared the effects of blue and red low level light by light
emitting diodes (LEDs) on in vivo wound healing in an excision wound model in
rats. METHODS: Circular excision wounds were surgically created on the dorsum of
each rat. Excisions on either the left or right side were illuminated post-OP and
on five consecutive days for 10 min by LED at 470 nm or 630 nm with an intensity
of 50 mW/cm(2),while protecting the contralateral side from exposure. In the
control group, neither side was illuminated. On day 7 post-OP, we analysed
planimetric and histological parameters, as well as expression of keratin-1,
keratin-10 and keratin-17 on mRNA level. RESULTS: Illumination substantially
influenced wound healing. Blue light significantly decreased wound size on day 7,
which correlated with enhanced epithelialisation. Light also affected mRNA
expression. Both wavelengths decreased keratin-1 mRNA on day 7 post-OP, while
keratin-10 mRNA level was elevated in both light treated group compared to
control. Keratin-17 mRNA was also elevated in the red light group, but was
unchanged in the blue light group. CONCLUSION: In contrast to previous studies,
we showed that also blue light significantly influences wound healing.
Furthermore, our data suggest that light therapy can play an important role in
normotrophic wound healing by affecting keratin expression. Illumination would
provide an easily applicable, safe and cost-effective treatment of surface
wounds.
PMID- 22081820
TI - Laccase mediator systems for eco-friendly production of medium-density fiberboard
(MDF) on a pilot scale: physicochemical analysis of the reaction mechanism.
AB - Increasing prices of petrochemical resins and possible harmful formaldehyde
emissions from conventionally produced wood composites have resulted in increased
interest in enzymatic binder systems as environmentally friendly alternatives for
gluing lignocellulosic products. In this study, laccase mediator systems (LMSs)
were used to activate lignin on wood fiber surfaces in the pilot-scale production
of medium-density fiberboard (MDF) using a dry process. Three different mediators
were applied: 4-hydroxybenzoic acid (HBA), 1-hydroxybenzotriazole (HBT), and
acetosyringone (AS) of which HBA performed best. The mechanical properties of the
manufactured boards produced with thermomechanical pulp (TMP) fibers, laccase,
and HBA fulfilled all required European standards for wood-based panels. Oxygen
consumption rates of the different LMSs and (13)C NMR spectroscopy results for
treated TMP fibers were obtained for qualitative and quantitative analysis of
lignin activation. The results show that reactions were most effective within the
first 30 min of incubation. Oxygen consumption was fastest and highest for the
LMS using HBA. (13)C NMR spectroscopy indicated the highest decrease of aromatic
groups in the wood fiber lignin with this LMS. The data correlated well with the
quality of the MDF. The required enzymatic reaction times allowed direct
integration of the LMS into standard MDF production techniques. The results
indicate that application of LMSs has a high potential for environmentally
friendly MDF production.
PMID- 22081821
TI - Alcohol-related injury visits: do we know the true prevalence in U.S. trauma
centres?
AB - INTRODUCTION: Alcohol consumption is a significant risk factor for injuries.
Further, level I trauma centres are mandated to screen and provide a brief
intervention for identified problem drinkers. However, a valid population-based
estimate of the magnitude of the problem is unknown. Therefore, the goal of this
study is to evaluate the extent to which the present literature provides a valid
estimate of the prevalence of alcohol-related visits to U.S. trauma centres.
METHODS: A Medline search for all articles from 1966 to 2007 that might provide
prevalence estimates of alcohol-related visits to U.S. trauma centres yielded 836
articles in English language journals. This review included only papers whose
main or secondary goal was to estimate the prevalence of positive blood alcohol
concentration (BAC) or acute intoxication. Both a crude aggregate estimate and
sample size adjusted estimate were calculated from the included papers and the
coverage and comparability of methods were evaluated. RESULTS: Of the 15 studies
that met inclusion criteria, incidence estimates of alcohol-related visits ranged
from 26.2% to 62.5% and yielded an aggregate, weighted estimate of 32.5%. Target
population, capture rate, and threshold for a positive screening result varied
considerably across studies. No study provided a comprehensive estimate, i.e., of
all trauma patients hospitalised, treated and released, or who died. CONCLUSIONS:
Although the incidence of alcohol-related visits to U.S. trauma centres appears
very high perhaps higher than any other medical setting, the validity of our
aggregate estimate is threatened by crucial methodological considerations. The
lack of a methodologically valid prevalence estimate hinders efforts to devise
appropriate policies for trauma centres and across medical settings.
PMID- 22081823
TI - Proceedings of the Texas Tuberculosis Research Symposium. February 7, 2009.
Houston, Texas, USA.
PMID- 22081822
TI - The association of compensation on longer term health status for people with
musculoskeletal injuries following road traffic crashes: emergency department
inception cohort study.
AB - OBJECTIVE: To compare the health status of people claiming compensation for
injuries sustained in road traffic crashes (RTC), with people who do not claim
compensation. DESIGN: Prospective cohort study. SETTING: Australian Capital
Territory, Australia and a fault based common law compensation scheme. SUBJECTS:
People presenting to the emergency department with mild to moderate
musculoskeletal injury following RTC. MAIN OUTCOME MEASURES: Physical Component
Score (PCS) and Mental Component Score (MCS) of the Short Form 36 (SF-36) health
status measure, Hospital Anxiety and Depression Scale (HADS) and the Functional
Rating Index (FRI). These measures are recorded immediately post crash, at 6 and
12 months post crash. RESULTS: 95 people participated in the study and were
enrolled a mean of 8.6 (median 8) days following the crash. 86% were followed up
to 12 months after injury. Mean age was 37 years, 61% were female and 91% were
employed at the time of their injury.33%ultimately claimed compensation, and 25%
engaged a lawyer. There were no major differences in baseline personal
characteristics or injury related factors between the groups. As expected,
involvement as a passenger and in multiple vehicle crashes, were more frequent in
the group claiming compensation. Over the duration of the study claiming
compensation was associated with lower SF-36 PCS (5.5 (95%CI 8.6 to 2.4), p =
0.001), greater HADS-Anxiety (1.7 (95%CI 0.2-3.3), p = 0.048), and worse FRI
(11.2 (95%CI 3.9-18.5), p = 0.003). There was a highly significant improvement in
health status between baseline and 6 months after injury, but no further
significant change between 6 and 12 months after injury. There was no difference
in rate of improvement between the groups. Claiming compensation and
psychological factors were independent predictors of worse health status at 12
months. CONCLUSION: In this study the group claiming compensation had overall
worse health status following mild to moderate musculoskeletal injuries over the
course of the study. There was no difference in rate of improvement between the
groups. However, it is not possible to determine whether this negative effect was
due to claiming compensation itself or the presence of other unmeasured factors.
PMID- 22081824
TI - Temporal variation in childhood injury from common recreational activities.
AB - BACKGROUND/PURPOSE: To investigate the month and day of the week of injury in
common childhood activities using the National Electronic Injury Surveillance
System database. METHODS: All emergency department visits 2002-2006 from
bicycles/tricycles, scooters, playground equipment, swimming/water activities,
skiing/snowboarding, trampolines, and skating were analysed. The NEISS weighted
and stratified data set was analysed using SUDAAN software. Weekday and month of
injury, gender, race, anatomical location of the injury, geographical location of
injury, and disposition were tabulated. Simple variation by month or weekday was
analysed using cosinor analysis; combined variation for both month and weekday
was analysed by topographical analysis. RESULTS: There were an estimated 4.61
million emergency department visits for injuries from these activities in
children in the United States. The average age was 9.5 years; there were 1.65
million girls (35.9%) and 2.97 boys (64.1%). Cosinor analysis demonstrated
significant single peaks for month of injury for snow activities (January 27),
trampolines (June 10), scooters (June 24), cycling (July 6), and water (July 12)
activities. Double cosinor peaks were noted for skating (April 13 and September
12) and playground (April 22 and September 21) activities. Cosinor analyses
demonstrated that the peak week days of injury were Monday for trampoline and
snow activities, Saturday/Sunday for skating activities, Sunday for cycling, and
Wednesday for playground equipment. There was no peak injury day for scooter or
water activities. Topographical representation of paediatric injuries
demonstrated that injuries from slides most frequently occurred April-May on
Wednesdays and Saturdays, and those on swings April-May all days except Tuesday.
Monkeybar injuries were bimodal, with the spring peak on Wednesday-Thursday in
April/May and the fall peak Tuesday-Friday in September. Rollerblade injuries
occurred Saturday-Sunday from March/April; rollerskates on Saturday-Sunday in
January-April, and skateboards Saturday-Monday in August-October and Sundays in
April. CONCLUSIONS: These findings can be used to further guide childhood injury
prevention programmes/campaigns and especially track improvements after targeted
prevention programmes. Public parks and schools should check/correct the status
of playground landing surfaces just before the bimodal peaks. Education campaigns
reinforcing the need for bicycle helmets could be concentrated immediately before
the increase in cycling activity - March on weekends and April/May for weekdays.
PMID- 22081825
TI - Special issue dedicated to Chad Mirkin in celebration of 20 years of influential
research at Northwestern University.
PMID- 22081826
TI - US lawsuit extends thalidomide's reach.
PMID- 22081827
TI - Dragon offers ticket to Mars.
PMID- 22081829
TI - [Abstracts of the VIth Latin American Congress for Treatment and Research in
Multiple Sclerosis. August 12-14, 2010. Santiago, Chile].
PMID- 22081828
TI - Abstracts of the 114th Annual Congress and 41st Annual AOSA (American Optometric
Student Association) Conference. June 2011. Salt Lake City, Utah, USA.
PMID- 22081830
TI - Internal jugular thrombus revealed by fine-needle aspiration to be metastatic
papillary thyroid carcinoma.
PMID- 22081831
TI - The lower hemoglobin A(1c) value for the screening of prediabetes.
PMID- 22081832
TI - Use of insulin detemir and insulin glargine during pregnancy: are the data
convincing?
PMID- 22081833
TI - Traces: making sense of urodynamics testing--part 7: Evaluation of bladder
filling/storage: Evaluation of urethral sphincter incompetence and stress urinary
incontinence.
AB - The "Traces" series discusses how the urodynamic clinician generates usable data
from a filling cystometrogram. Part 7 focuses on the question, "Is the urethral
sphincter mechanism competent?" From a practical viewpoint, this question can be
divided into two queries: 1) does this patient have observable urodynamic stress
urinary incontinence (SUI), and 2) does this patient have intrinsic urethral
sphincter incompetence, also referred to as intrinsic sphincter deficiency or a
low pressure urethra? Signs of SUI include clinician observation of urine loss
with coughing or during Valsalva's maneuver. Urodynamic SUI is the observation of
urine loss with increased abdominal and intravesical pressures in the absence of
a detrusor contraction. The most commonly used techniques for assessment of
urethral sphincter function and SUI are the urethral pressure profile and the
abdominal leak point pressure. Both are useful for answering these queries, but
both tests are vulnerable to physiologic and technical artifacts that must be
minimized to produce technically accurate and clinically meaningful results.
PMID- 22081834
TI - The new urban politics as a politics of carbon control.
AB - The new urban politics (NUP) literature has helped to draw attention to a new
generation of entrepreneurial urban regimes involved in the competition to
attract investment to cities. Interurban competition often had negative
environmental consequences for the urban living place. Yet knowledge of the
environment was not very central to understanding the NUP. Entrepreneurial urban
regimes today are struggling to deal with climate change and reductions in
greenhouse gas emissions. Carbon reduction strategies could have profound
implications for interurban competition and the politics of urban development.
This paper explores the rise of a distinctive low-carbon urban polity-carbon
control-and examines its potential ramifications for a new environmental politics
of urban development (NEPUD). The NEPUD signals the growing centrality of carbon
control in discourses, strategies and struggles around urban development. Using
examples from cities in the US and Europe, the paper examines how these new
environmental policy considerations are being mainstreamed in urban development
politics. Alongside competitiveness, the management of carbon emissions
represents a new yet at the same time contestable mode of calculation in urban
governance.
PMID- 22081835
TI - The politics of urban informality in Philadelphia's recovery house movement.
AB - There are some 60,000 vacant properties in the city of Philadelphia, 30,000 of
which are abandoned row houses. In the neighbourhood of Kensington, street-level
entrepreneurs have reconfigured hundreds of former working-class row homes to
produce the Philadelphia recovery house movement: an extra-legal poverty survival
strategy for addicts and alcoholics located in the city's poorest and most
heavily blighted zones. The purpose of this paper is to explore,
ethnographically, the ways in which informal poverty survival mechanisms
articulate with the restructuring of the contemporary welfare state and the
broader political economy of Philadelphia. It is argued that recovery house
networks accommodate an interrelated set of political rationalities animated not
only by retrenchment and the churning of welfare bodies, but also by the agency
of informal operators and the politics of self-help. Working as an alternative
and partially vestigial boundary institution or buffer zone to formal regimes of
governance, the recovery house movement reflects the 'other story' of the new
urban politics in Philadelphia.
PMID- 22081836
TI - The neoliberal state and the penalization of misery.
AB - The strategy adopted by the neoliberal state to maintain social order and
safeguard private property in a context of economic deregulation and social
precariousness has destroyed the welfare state and aggravated poverty, depriving
the masses of any form of social protection while subjecting them to repression.
The reinforcement of the repressive state apparatus is associated with the social
instability provoked by the lack of social policies, the degradation of living
conditions for the great majority of the population, and the amplification of
income and property inequalities both in the so-called capitalist periphery and
in the richest industrialized countries. The penalization of misery is revealed
as a new expression of class domination.
PMID- 22081837
TI - The evolution of human warfare.
AB - Here we propose a new theory for the origins and evolution of human warfare as a
complex social phenomenon involving several behavioral traits, including
aggression, risk taking, male bonding, ingroup altruism, outgroup xenophobia,
dominance and subordination, and territoriality, all of which are encoded in the
human genome. Among the family of great apes only chimpanzees and humans engage
in war; consequently, warfare emerged in their immediate common ancestor that
lived in patrilocal groups who fought one another for females. The reasons for
warfare changed when the common ancestor females began to immigrate into the
groups of their choice, and again, during the agricultural revolution.
PMID- 22081838
TI - In the hunt for the "sultans of smack:" dope, gangsters and the construction of
the Turkish deep state.
AB - This article traces the development and evolution of the Turkish heroin trade
against the backdrop of the Republic of Turkey's long transition from imperial
core to nation-state. In taking up heroin's relationship to modern Turkey, I
would like to specifically explore the meaning and manifestations of what many
inside and outside of academia have called the "deep state." Heroin, I argue, was
and is one of the most vital enablers of the factional "deep state" rivalries
that compete for power in Ankara, adding a steady violent dimension to local and
national politics.
PMID- 22081840
TI - Student perspective on the future of veterinary education.
PMID- 22081839
TI - Prescription pattern of oral healthcare professionals in the use of
anticonvulsants for trigeminal neuralgia.
AB - OBJECTIVE: The purpose of this study was to investigate the current prescription
pattern of oral healthcare professionals in the management of patients with
trigeminal neuralgia at a local hospital. STUDY DESIGN: Data relating to a
consecutive series of patients (n = 49) with typical trigeminal neuralgia was
collected over a period of 6 months. RESULTS: Over half the subjects (70%) were
using carbamazepine as the only form of medical therapy. Gabapentin was used in
20% of the subjects. A combination therapy or Topiramate was used in few of the
patients in the study group (7.5%). CONCLUSION: Carbamazepine is still the main
drug of choice in the management of trigeminal neuralgia. New anti-epileptic
drugs have broadened the therapeutic options in those who cannot tolerate
conventional carbamazepine therapy or surgical treatment.
PMID- 22081841
TI - More thoughts on low-cost vaccination clinics.
PMID- 22081842
TI - One health, veterinary public health, and demand for food from animal sources.
PMID- 22081843
TI - Additional thoughts on changing veterinary education.
PMID- 22081844
TI - The organizing of psychoanalysis in Britain.
PMID- 22081845
TI - We (not-so) happy few: symbolic loss and mourning in Freud's psychoanalytic
movement and the history of psychoanalysis.
PMID- 22081846
TI - "You know that our old institute was entirely destroyed": on the history of the
Frankfurt Psychoanalytical Institute (FPI), 1929-1933.
PMID- 22081847
TI - [From the history of the agrarian landscape to rural history: the vital
scientific heredity of Emilio Sereni].
PMID- 22081848
TI - [The daily functioning of justice in the late Middle Ages: account books as
sources for criminal history].
PMID- 22081849
TI - [Eugenics in Argentina, 1890-1940].
PMID- 22081850
TI - [Silver mining and metallurgy in 17th-century New Spain].
PMID- 22081851
TI - [A quantitative analysis of the demand for fertilizer in Jiangnan during the
Ming and Qing dynasties].
PMID- 22081852
TI - [Prevalence of conducting mammography tests in women obtained from population
surveys: uses and limitations].
PMID- 22081853
TI - [Geographic and socio-economic aspects of access to mammography in Brazil, 2003
2008--interpretive comments].
PMID- 22081854
TI - Basic science and rotator cuff repair: where have we arrived?
PMID- 22081855
TI - [Liver, pancreas, biliary tract cancer-2011 update].
PMID- 22081856
TI - [New pathological classification of pancreatic cancer-WHO 2010-].
PMID- 22081857
TI - [Progression of diagnostic technic for pancreas cancer].
PMID- 22081858
TI - Fusion gene vectors allowing for simultaneous drug selection, cell labeling, and
reporter assay in vitro and in vivo.
AB - Vector systems allowing simultaneously for rapid drug selection, cell labeling,
and reporter assay are highly desirable in biomedical research including stem
cell biology. Here, we present such a vector system including pCVpf or pCVpr,
plasmids that express pf or pr, a fusion protein between puromycin
acetyltransferase and green or red fluorescent protein from CV, the human
cytomegalovirus enhancer/promoter. Transfection with pCVpf or pCVpr produced a
~10% efficiency of gene transfer. A 2-day pulse puromycin selection resulted in
~13-fold enrichment for transgenic cells, and continuous puromycin selection
produced stable transgenic stem cell clones with retained pluripotency.
Furthermore, we developed a PAC assay protocol for quantification of transgene
expression. To test the usefulness for cell labeling and PAC assay in vivo, we
constructed pVASpf containing pf linked to the regulatory sequence of medaka germ
gene vasa and generated transgenic fish with visible GFP expression in germ
cells. PAC assay revealed the highest expression in the testis. Interestingly,
PAC activity was also detectable in somatic organs including the eye, which was
validated by fluorescence in situ hybridization. Therefore, the pf and pr vectors
provide a useful system for simultaneous drug selection, live labeling, and
reporter assay in vitro and in vivo.
PMID- 22081859
TI - Multiwalled carbon nanotubes induce a fibrogenic response by stimulating reactive
oxygen species production, activating NF-kappaB signaling, and promoting
fibroblast-to-myofibroblast transformation.
AB - Carbon nanotubes (CNTs) are novel materials with unique electronic and mechanical
properties. The extremely small size, fiberlike shape, large surface area, and
unique surface chemistry render their distinctive chemical and physical
characteristics and raise potential hazards to humans. Several reports have shown
that pulmonary exposure to CNTs caused inflammation and lung fibrosis in rodents.
The molecular mechanisms that govern CNT lung toxicity remain largely
unaddressed. Here, we report that multiwalled carbon nanotubes (MWCNTs) have
potent, dose-dependent toxicity on cultured human lung cells (BEAS-2B, A549, and
WI38-VA13). Mechanistic analyses were carried out at subtoxic doses (<=20 MUg/mL,
<= 24 h). MWCNTs induced substantial ROS production and mitochondrial damage,
implicating oxidative stress in cellular damage by MWCNT. MWCNTs activated the NF
kappaB signaling pathway in macrophages (RAW264.7) to increase the secretion of a
panel of cytokines and chemokines (TNFalpha, IL-1beta, IL-6, IL-10, and MCP1)
that promote inflammation. Activation of NF-kappaB involved rapid degradation of
IkappaBalpha, nuclear accumulation of NF-kappaBp65, binding of NF-kappaB to
specific DNA-binding sequences, and transactivation of target gene promoters.
Finally, MWCNTs induced the production of profibrogenic growth factors TGFbeta1
and PDGF from macrophages that function as paracrine signals to promote the
transformation of lung fibroblasts (WI38-VA13) into myofibroblasts, a key step in
the development of fibrosis. Our results revealed that MWCNTs elicit multiple and
intertwining signaling events involving oxidative damage, inflammatory cytokine
production, and myofibroblast transformation, which potentially underlie the
toxicity and fibrosis in human lungs by MWCNTs.
PMID- 22081861
TI - Influence of surface temperature on the mechanism of atomic layer deposition of
aluminum oxide using an oxygen plasma and ozone.
AB - We have examined the role of substrate temperature on the surface reaction
mechanisms during the atomic layer deposition (ALD) of Al(2)O(3) from trimethyl
aluminum (TMA) in combination with an O(2) plasma and O(3) over a substrate
temperature range of 70-200 degrees C. The ligand-exchange reactions were
investigated using in situ attenuated total reflection Fourier transform infrared
spectroscopy. Consistent with our previous work on ALD of Al(2)O(3) from an O(2)
plasma and O(3) [Rai, V. R.; Vandalon, V.; Agarwal, S. Langmuir 2010, 26, 13732],
both -OH groups and carbonates were the chemisorption sites for TMA over the
entire temperature range explored. The concentration of surface -CH(3) groups
after the TMA cycle was, however, strongly dependent on the surface temperature
and the type of oxidizer, which in turn influenced the corresponding growth per
cycle. The combustion of surface -CH(3) ligands was not complete at 70 degrees C
during O(3) exposure, indicating that an O(2) plasma is a relatively stronger
oxidizing agent. Further, in O(3)-assisted ALD, the ratio of mono- and bidentate
carbonates on the surface after O(3) exposure was dependent on the substrate
temperature.
PMID- 22081860
TI - Fruit and vegetable and fried food consumption and 3-(2-deoxy-beta-D-erythro
pentafuranosyl)pyrimido[1,2-alpha] purin-10(3H)-one deoxyguanosine adduct
formation.
AB - Diet has been shown to modulate M(1)dG adduct, a biomarker of oxidative stress
and lipid peroxidation. Thus, we analysed the association between diet and M(1)dG
in 120 controls and 67 Map Ta Phut industrial estate workers in Rayong, Thailand,
to evaluate the influence of fruit and vegetables, and fried and charcoal
grilled/barbecued food consumption on M(1)dG. M(1)dG was decreased in controls
reporting to consume 14-17 servings/week of fruit and vegetables (mean ratio
[MR]= 0.35, CI 0.18-0.69, p< 0.05). Conversely, a non-statistically significant
M(1)dG increment was detected in controls consuming 9-18 servings/week of fried
food (MR = 1.33, CI 0.88-2.00, p = 0.168). No effect of charcoal
grilled/barbecued food was found. No effect of diet was observed in workers. An
association with smoking was observed in controls (MR = 1.88, CI 1.14-3.10, p <
0.05), but not in workers. M(1)dG can induce mutations and/or methylation changes
within the promoter regions of cancer-related genes, thus promotion of healthy
eating practices should be recommended.
PMID- 22081862
TI - Cross-cultural comparison of ADHD symptoms among Japanese and US university
students.
AB - Problems related to attention, hyperactivity, and impulsiveness are known to
impact social, academic, and vocational success. When the problems begin in
childhood and lead to impaired functioning, the syndrome is identified as
attention-deficit/hyperactivity disorder (ADHD). Symptoms of the syndrome persist
into adolescence and adulthood for many individuals, but less is known about
characteristics of adults compared to children, especially adults attending
university. Furthermore, there is little cross-national and cross-cultural
research. This study compared DSM-IV-TR ADHD symptoms of US university students
(N=271) to Japanese peers (N=712). Comparison of group means on a DSM-IV-TR-based
checklist indicated that Japanese students reported more problems with
inattention (and overall ADHD symptoms) but not hyperactive-impulsive symptoms.
Although differences were statistically significant, effect sizes were small,
indicating that for practical purposes, the students reported similar levels of
symptoms. Japanese students reported higher rates of meeting or exceeding symptom
counts that comprise diagnostic criteria for ADHD, but differences were quite
small. Using DSM-IV-TR thresholds, 5.70% of US students and 6.27% of Japanese
students reported enough symptoms to meet the cut-off for inattentive,
hyperactive/impulsive, or combined type during childhood. With regard to recent
problems, 2.66% of US students and 4.52% of Japanese students reported enough
symptoms to meet the cut-off for one of the three subtypes. Comparisons using
other methods of calculating rates are also provided. This research adds to the
limited knowledge of ADHD symptoms in university students across countries and it
supports the view that ADHD is not merely a cultural construct. This study is
among the first to identify potential attention problems in Japanese university
students.
PMID- 22081864
TI - Editorial comment to inhibition of cortactin and SIRT1 expression attenuates
migration and invasion of prostate cancer DU145 cells.
PMID- 22081863
TI - Empirically derived subtypes of lifetime anxiety disorders: developmental and
clinical correlates in U.S. adolescents.
AB - OBJECTIVE: The current study examined the sex- and age-specific structure and
comorbidity of lifetime anxiety disorders among U.S. adolescents. METHOD: The
sample consisted of 2,539 adolescents (1,505 females and 1,034 males) from the
National Comorbidity Survey-Adolescent Supplement who met criteria for Diagnostic
and Statistical Manual of Mental Disorders (4th ed., text rev. [DSM-IV-TR])
lifetime anxiety disorders (American Psychiatric Association, 2000). Adolescents
ranged in age from 13 to 18 years (M = 15.2 years, SE = 0.08 years) and were 39%
non-White. Multiple-group latent class analysis was conducted by adolescent sex
and age to identify subgroups of adolescents with similar anxiety disorder
profiles. Developmental and clinical correlates of empirically derived classes
were also examined to assess the nomological validity of identified subgroups.
RESULTS: A 7-class solution provided the best fit to the data, with classes
defined primarily by one rather than multiple anxiety disorders. Results also
indicated that classes displayed similar diagnostic profiles across age, but
varied by sex. Classes characterized by multiple anxiety disorders were
consistently associated with a greater degree of persistence, clinical severity,
impairment, and comorbidity with other DSM-IV-TR psychiatric disorders.
CONCLUSIONS: The presentation of lifetime anxiety disorders among adolescents and
the observation of unique correlates of specific classes provide initial evidence
for the utility of individual DSM-IV-TR anxiety disorder categories. Although
findings of the present study should be considered preliminary, results emphasize
the potential value of early intervention and gender-specific conceptualization
and treatment of anxiety disorders.
PMID- 22081865
TI - Motives and decision making of potential living liver donors: comparisons between
gender, relationships and ambivalence.
AB - The motives and decision making of potential living liver donors are critical
areas for transplant clinicians evaluating these candidates to understand, yet
these topics remain relatively unstudied. Thus, we surveyed 77 prospective living
liver donors at the point of donation evaluation using structured instruments to
gather more information on their approach to and concerns about donation. We
collected information on donation decision making, motives for donation and
anticipated social and physical concerns about postdonation outcomes. We examined
three additional characteristics of donors: gender, the relationship of the donor
to the intended recipient and the presence of ambivalence about donation. Women
had more concerns about their family/social responsibilities. Those donating to
nonimmediate family were more likely to have been asked to donate but less likely
to feel they had to donate. However, ambivalent donors were the most distinct
having difficulties and concerns across most areas from their motivations for
donating, to deciding to be tested and to donate, to concerns about the
postdonation outcomes. We discuss the clinical relevance of these findings to
donor evaluation and preparation.
PMID- 22081866
TI - Mixed competition-predation: potential vs. realized interactions.
AB - 1. Life-history omnivory or size-induced mixed competition-predation systems have
under many conditions theoretically been shown to be fragile, whereas at the same
time existing empirical data suggest such systems to be common in nature. 2. In a
whole lake experiment covering 17 years, we analysed the effects of the
introduction of the intraguild prey roach (Rutilus rutilus) on the population
size and individual performance of the intraguild predator perch (Perca
fluviatilis) and on resource levels in two low productivity systems. 3. A strong
long-term effect of roach on the zooplankton resource but not on the
macroinvertebrate resource was present. Competitive effects of roach on perch
were observed in one of the lakes the first years after the introduction, but at
the end of the study no competitive effect of roach on either size class of perch
was observed in any of the two lakes. In contrast, a positive predatory effect
reflected in improved growth rates of older perch was present. 4. The lack of a
support for a competitive effect of roach on small perch raises the question of
the importance of mixed competition-predation interactions in life-history
omnivorous systems and the problem of comparing descriptive data on feeding
relationships with theoretical predictions based on interaction modules.
PMID- 22081867
TI - Monitoring of HIV type 1 DNA load and drug resistance in peripheral blood
mononuclear cells during suppressive antiretroviral therapy does not predict
virologic failure.
AB - Our objective was to determine whether monitoring HIV-1 DNA concentration or new
resistance mutations in peripheral blood mononuclear cells (PBMCs) during
effective antiretroviral therapy (ART) predicts virologic failure. A
retrospective analysis used blood specimens and clinical data from three
nevirapine containing arms of a four-arm, open-label, randomized trial comparing
ART regimens in HIV-1-infected children who had failed mono- or dual-nucleoside
therapy. Sensitive assays compared cell-associated HIV-1 DNA concentrations and
nevirapine (NVP) and lamivudine (3TC) resistance mutations in children with
plasma HIV-1 RNA <400 copies(c)/ml who did or did not experience subsequent
virologic failure. Forty-six children were analyzed through the last available
follow-up specimen, collected at 48 (n=16) or 96 (n=30) weeks of ART. Thirty-five
(76%) had sustained viral suppression and 11 (24%) had plasma viral rebound to >=
400 c/ml (virologic failure detected at a median of 36 weeks). HIV-1 DNA levels
at baseline, 24, 48, and 96 weeks of ART were similar in children who did vs. did
not experience virologic failure (p=0.82). HIV-1 DNA levels did not increase
prior to viral rebound. NVP resistance mutations were detected in 91% of subjects
in the failure group vs. 3% in the suppressed group (p <0.0001). Among nine
evaluable children, NVP mutations were first detected prior to virologic failure
in two (22%), at viral rebound in five (56%), and after failure in two (22%)
children. HIV-1 DNA concentrations did not predict virologic failure in this
cohort. New drug resistance mutations were detected in the PBMCs of a minority of
virologically suppressed children who subsequently failed ART.
PMID- 22081868
TI - Using a nurse invented T-Bar device in a rehabilitation program improved the
range of motion for rotator cuff repair patients.
AB - AIMS AND OBJECTIVES: This study investigates the effects on patient outcomes of
using a T-bar in rehabilitation programs in shoulder arthroscopic surgical
procedure patients. BACKGROUND: Orthopaedic nurses play an important role in
facilitating restoration of patients' range of motion shoulder function.
Conventionally, nurses instruct patients to use the unaffected arm to hold the
surgical arm when performing range of motion. However, patients often have
difficulty performing the entire range of motion efficiently in this manner.
Therefore, nurses have invented a T-bar device to help patients perform range of
motion in a rehabilitation program. DESIGN: A repeated-measure, quasi
experimental study. METHOD: Sixty-eight participants were recruited from four
orthopaedic wards of a medical center in Taipei, Taiwan. Of the patients meeting
the inclusion criteria for the study, 33 were assigned to the experimental group
and 35 to the comparison group. Both groups had the same shoulder rehabilitation
program including identical activities, duration and frequency, except that the
experimental group used the nurse invented T-bar device to facilitate range of
motion while the comparison group used a conventional method. Data were collected
when patients were admitted, five days and four weeks after the surgery. Outcome
indicators were shoulder range of motion, muscle power and the American Shoulder
and Elbow Society Shoulder Index. Statistical methods used for analysis included
mean, standard deviation and repeated measures anova. RESULTS: All the
participants completed the study. Results showed a significant improvement in
shoulder abduction after surgery in experimental group versus the comparison
group. No significant differences were found in other outcomes. CONCLUSIONS: The
nurse invented T-bar device used in the rehabilitation program was effective in
helping restore the abduction function of rotator cuff repair patients in our
preliminary study. RELEVANCE TO CLINICAL PRACTICE: Using a T-bar in the
rehabilitation program to improve range of motion may be considered for patients
with shoulder surgery.
PMID- 22081869
TI - Safer sex as the bolder choice: testosterone is positively correlated with safer
sex behaviorally relevant attitudes in young men.
AB - INTRODUCTION: Higher testosterone (T) is tied to risk-taking, especially in
financial domains but also in health domains relevant to acquiring sexually
transmitted infections (STIs). However, safer sex constructs could themselves
carry the possibility of "social risk" due to sexual stigma or embarrassment, or
could involve boldness or confidence because they could represent status displays
of frequent sexual activity. AIM: To determine how T and behaviorally relevant
attitudes about sexual risk-taking are linked, to better understand
biopsychosocial aspects of sexual health related to STIs. METHODS: In 78 first
year male college students, we examined correlations between salivary T and
behaviorally relevant safer sex attitudes assessed via questionnaires. MAIN
OUTCOME MEASURES: T, via saliva; safer sex attitudes, via a composite and the
University of California, Los Angeles Multidimensional Condom Attitudes Scale
(MCAS). RESULTS: Higher T was significantly correlated with higher scores on the
following: safer sex likelihood composite, r(73)=0.33, P=0.003; the MCAS safer
sex resilience, r(32)=0.36, P=0.037; and the MCAS condom purchase comfort,
r(32)=0.37, P=0.031. Associations between T and safer sex likelihood and
resilience were still robust after controlling for potential confounds, though
the association between T and purchase comfort diminished to a trend.
CONCLUSIONS: Higher T was positively linked with safer sex attitudes, especially
those most closely tied to STI risk avoidance. Thus, future research and
interventions for STI prevention should address the possibility that safer sex
may be paradoxically perceived as a "bold" or "risky" choice even as it decreases
STI risk.
PMID- 22081871
TI - Association of smoking with wound complications after cesarean delivery.
AB - OBJECTIVE: To determine whether smoking is an independent risk factor for wound
dehiscence after cesarean delivery. METHODS: In this case-control study, medical
records were reviewed for all patients with wound dehiscence after cesarean
delivery during a 7-month period. Wound dehiscence was defined as separation of
wound edges requiring treatment. Three control patients without such
complications were randomly selected for each case patient. Univariate
associations were assessed using t test or Fisher's exact test; univariate odds
ratios (OR) and 95% confidence intervals (CI) were calculated with logistic
regression. Multivariate associations were assessed with logistic regression on
variables with a univariate association significant at p <= 0.10. RESULTS: Of 597
cesarean deliveries, 30 cases (5 %) with wound dehiscence were identified. As
individual variables, smoking (46.7 vs. 21.1%, p < 0.01, cases vs. controls),
histological chorioamnionitis (27.6 vs. 6.7%, p < 0.01) and preoperative
hematocrit (34.0 +/- 3.2 vs. 35.4 +/- 3.4, p < 0.05) were significantly
associated with wound complications. In a multivariate logistic regression model,
only smoking (OR 5.32; 95% CI 1.77-15.97, p < 0.01) and histological
chorioamnionitis (OR 5.62; 95% CI 1.43-22.11, p < 0.01) were independently
associated with wound dehiscence. CONCLUSIONS: Smoking and histological
chorioamnionitis are independently associated with wound dehiscence after
cesarean delivery.
PMID- 22081870
TI - Distribution of HPV genotypes in cervical intraepithelial lesions and cervical
cancer in Tanzanian women.
AB - BACKGROUND: Infection with human papillomavirus (HPV) is associated with uterine
cervical intraepithelial neoplasia (CIN) and invasive cancers (ICC).
Approximately 80% of ICC cases are diagnosed in under-developed countries.
Vaccine development relies on knowledge of HPV genotypes characteristic of LSIL,
HSIL and cancer; however, these genotypes remain poorly characterized in many
African countries. To contribute to the characterization of HPV genotypes in
Northeastern Tanzania, we recruited 215 women from the Reproductive Health Clinic
at Kilimanjaro Christian Medical Centre. Cervical scrapes and biopsies were
obtained for cytology and HPV DNA detection. RESULTS: 79 out of 215 (36.7%)
enrolled participants tested positive for HPV DNA, with a large proportion being
multiple infections (74%). The prevalence of HPV infection increased with lesion
grade (14% in controls, 67% in CIN1 cases and 88% in CIN2-3). Among ICC cases,
89% had detectable HPV. Overall, 31 HPV genotypes were detected; the three most
common HPV genotypes among ICC were HPV16, 35 and 45. In addition to these
genotypes, co-infection with HPV18, 31, 33, 52, 58, 68 and 82 was found in 91% of
ICC. Among women with CIN2-3, HPV53, 58 and 84/83 were the most common. HPV35,
45, 53/58/59 were the most common among CIN1 cases. CONCLUSIONS: In women with no
evidence of cytological abnormalities, the most prevalent genotypes were HPV58
with HPV16, 35, 52, 66 and 73 occurring equally. Although numerical constraints
limit inference, findings that 91% of ICC harbor only a small number of HPV
genotypes suggests that prevention efforts including vaccine development or
adjuvant screening should focus on these genotypes.
PMID- 22081872
TI - Fabrication of all-inorganic nanocrystal solids through matrix encapsulation of
nanocrystal arrays.
AB - A general strategy for low-temperature processing of colloidal nanocrystals into
all-inorganic films is reported. The present methodology goes beyond the
traditional ligand-interlinking scheme and relies on encapsulation of
morphologically defined nanocrystal arrays into a matrix of a wide-band gap
semiconductor, which preserves optoelectronic properties of individual
nanoparticles while rendering the nanocrystal film photoconductive. Fabricated
solids exhibit excellent thermal stability, which is attributed to the
heteroepitaxial structure of nanocrystal-matrix interfaces, and show compelling
light-harvesting performance in prototype solar cells.
PMID- 22081873
TI - The effect of cocoa supplementation on hepatic steatosis, reactive oxygen species
and LFABP in a rat model of NASH.
AB - BACKGROUND: Non alcoholic steatohepatitis is hypothesised to develop via a
mechanism involving fat accumulation and oxidative stress. The current study
aimed to investigate if an increase in oxidative stress was associated with
changes in the expression of liver fatty acid binding protein in a rat model of
non alcoholic steatohepatitis and whether cocoa supplementation attenuated those
changes. METHODS: Female Sprague Dawley rats were fed a high fat control diet, a
high fat methionine choline deficient diet, or one of four 12.5% cocoa
supplementation regimes in combination with the high fat methionine choline
deficient diet. RESULTS: Liver fatty acid binding protein mRNA and protein levels
were reduced in the liver of animals with fatty liver disease when compared to
controls. Increased hepatic fat content was accompanied by higher levels of
oxidative stress in animals with fatty liver disease when compared to controls.
An inverse association was found between the levels of hepatic liver fatty acid
binding protein and the level of hepatic oxidative stress in fatty liver disease.
Elevated NADPH oxidase protein levels were detected in the liver of animals with
increased severity in inflammation and fibrosis. Cocoa supplementation was
associated with partial attenuation of these pathological changes, although the
severity of liver disease induced by the methionine choline deficient diet
prevented complete reversal of any disease associated changes. Red blood cell
glutathione was increased by cocoa supplementation, whereas liver glutathione was
reduced by cocoa compared to methionine choline deficient diet fed animals.
CONCLUSION: These findings suggest a potential role for liver fatty acid binding
protein and NADPH oxidase in the development of non alcoholic steatohepatitis.
Furthermore, cocoa supplementation may have be of therapeutic benefit in less
sever forms of NASH.
PMID- 22081875
TI - Collateral nervous damages after cryoballoon pulmonary vein isolation.
AB - INTRODUCTION: Various types of complications have been reported after atrial
fibrillation (AF) ablation using radiofrequency energy, but those have not been
well defined when using cryoballoon ablation technique. The objective of this
prospective study was to assess types, incidence, and outcome of complications
after cryoballoon pulmonary vein isolation (PVI). METHODS AND RESULTS: This
prospective monocentric study included 66 consecutive patients (39 males, age 57
+/- 11 years) who underwent cryoballoon PVI for symptomatic paroxysmal AF.
Phrenic nerve (PN) integrity was assessed by pacing from the superior vena cava
during isolation of the right PVs. Before discharge, all patients were subjected
to 24-hour Holter electrocardiograms, echocardiography, and
esophagogastroduodenoscopy. Cardiac MRI was scheduled between 1 and 3 months
postprocedure. At 3.7 +/- 1.7 months after ablation, patients underwent clinical
review and 24-hour Holter electrocardiograms. The mean number of cryoballoon
applications was 10.0 +/- 2.1 per patient and 2.5 +/- 1.0 per vein. A 28 mm
cryoballoon was used in 49 patients (74%) and a 23 mm cryoballoon in the
remaining 17 patients (26%). Twelve complications (18%) attributing to collateral
nervous damage were noticed in 9 patients: asymptomatic gastroparesis was
observed in 6 patients (9%), transient PN palsy (PNP) in 5 (8%), and symptomatic
inappropriate sinus tachycardia requiring beta-blocker treatment in 1 (1%).
Neither cryoballoon-related esophageal ulceration nor PV stenosis was observed.
CONCLUSION: Gastroparesis and PNP could be observed in a significant number of
cases after cryoballoon ablation of AF. These complications are likely due to
cryo-induced damages to nervous structures surrounding the heart.
PMID- 22081876
TI - A taboo within a stigma? a qualitative study of managing incontinence with people
with dementia living at home.
AB - BACKGROUND: Incontinence in people with dementia is one of the factors associated
with the decision to move to a care home. Managing incontinence adds to carer
burden and has been reported by family carers as more difficult to manage than
behavioural symptoms. Active management strategies have been reported to be
associated with less carer depression. The purpose of this study was to
investigate carers' perceptions of the range of incontinence problems they helped
their relative with and the strategies they employed to manage these. METHODS:
Family carers of people with dementia living in their own homes were recruited
through primary care, specialist community mental health services and voluntary
organisations. Qualitative semi structured interviews were conducted either face
to face or by telephone and thematically analysed. RESULTS: Thirty two carers
were interviewed. They described a range of problems from supporting the person
to remain independent in toileting, through to dealing with inappropriate
behaviours, to containing and managing incontinence. All carers actively used
problem solving strategies but sometimes these were not acceptable or understood
by the person with dementia, particularly as the dementia progressed. Most carers
reported protecting the person's dignity by not seeking health professionals help
often until the point of a crisis. Once the carer has decided to seek help the
responses from health professionals can be less than helpful, and carers report
local health service policies on access to continence products to be inconsistent
and often inappropriate to their circumstances. A few carers reported strategies
for managing toileting and incontinence that have the potential for distress and
harm to the person with dementia. CONCLUSIONS: Primary care professionals could
be more proactive in enquiry, repeated over time, about toileting and
incontinence problems and in giving advice and information to reduce crisis and
problems.
PMID- 22081874
TI - Antinociceptive and anti-exudative synergism between dexketoprofen and tramadol
in a model of inflammatory pain in mice.
AB - Preclinical studies have demonstrated antinociceptive synergism between
dexketoprofen (DEX) and tramadol (TRM) in acute animal models of nociception. The
aim of the present study was to investigate the type of interaction between DEX
and TRM in a chronic musculoskeletal pain model in mice, which fairly replicates
the characteristics of chronic osteoarticular pain in humans. Inflammation was
induced by a subplantar injection of complete Freund's adjuvant (CFA) in male CF1
mice. Nociceptive thresholds were evaluated using the hot plate, the nocifensive
spontaneous behavior and the acetone tests, while plasma extravasation (PE) was
assessed with Evan's blue. We used the following experimental groups: control (no
inflammation), acute (1 day after CFA injection), and chronic inflammation (7
days after CFA). Dose-response curves for DEX and TRM, individually and combined
in a 1 : 1 proportion based on their potency were obtained, and the doses that
produced a 50% inhibition calculated. The isobolographic analysis revealed that
in all groups of study (no inflammation, acute, and chronic inflammation), the
combination of DEX : TRM was synergistic, for both the inhibition of nociception
and the PE. The results suggest that the DEX : TRM (1 : 1) combination could be
useful in the management of acute and chronic inflammatory musculoskeletal pains
in humans; in addition, the synergistic interaction between the drugs observed
both during acute and chronic inflammation suggests that less doses would be
required of each drug to obtain effective analgesia.
PMID- 22081877
TI - Norwegian mastitis control programme.
AB - This paper describes the methods and results of the Norwegian Mastitis Control
Program implemented in 1982. The program has formed an integral part of the
Norwegian Cattle Health Services (NCHS) since 1995. The NCHS also have specific
programs for milk fever, ketosis, reproduction and calf diseases. The goal of the
program is to improve udder health by keeping the bulk milk somatic cell count
(BMSCC) low, to reduce the use of antibiotics, to keep the cost of mastitis low
at herd level and improve the consumers' attitude to milk products. In 1996, a
decision was made to reduce the use of antibiotics in all animal production
enterprises in Norway by 25% within five years. Relevant data has been collected
through the Norwegian Cattle Herd Recording System (NCHRS); including health
records since 1975 and somatic cell count (SCC) data since 1980. These data have
been integrated within the NCHRS. Since 2000, mastitis laboratory data have also
been included in the NCHRS. Data on clinical disease, SCC and mastitis
bacteriology have been presented to farmers and advisors in monthly health
periodicals since 1996, and on the internet since 2005. In 1996, Norwegian
recommendations on the treatment of mastitis were implemented. Optimal milking
protocols and milking machine function have been emphasised and less emphasis has
been placed on dry cow therapy. A selective dry cow therapy program (SDCTP) was
implemented in 2006, and is still being implemented in new areas. Research
demonstrates that the rate of clinical mastitis could be reduced by 15% after
implementing SDCTP. The results so far show a 60% reduction in the clinical
treatment of mastitis between 1994 and 2007, a reduction in BMSCC from 250,000
cells/ml to 114,000 cells/ml, and a total reduction in the mastitis cost from
0.23 NOK to 0.13 NOK per litre of milk delivered to the processors, corresponding
to a fall from 9.2% to 1.7% of the milk price, respectively. This reduction is
attributed to changes in attitude and breeding, eradicating bovine virus
diarrhoea virus (BVDV) and a better implementation of mastitis prevention
programmes.
PMID- 22081878
TI - Smoking abstinence and depressive symptoms modulate the executive control system
during emotional information processing.
AB - Smoking abstinence disrupts affective and cognitive processes. In this study,
functional magnetic resonance imaging (fMRI) was used to investigate the effects
of smoking abstinence on emotional information processing. Smokers (n = 17) and
non-smokers (n = 18) underwent fMRI while performing an emotional distractor
oddball task in which rare targets were presented following negative and neutral
task-irrelevant distractors. Smokers completed two sessions: once following 24
hour abstinence and once while satiated. The abstinent versus satiated states
were compared by evaluating responses to distractor images and to targets
following each distractor valence within frontal executive and limbic brain
regions. Regression analyses were done to investigate whether self-reported
negative affect influences brain response to images and targets. Exploratory
regression analyses examined relations between baseline depressive symptoms and
smoking state on brain function. Smoking state affected response to target
detection in the right inferior frontal gyrus (IFG). During satiety, activation
was greater in response to targets following negative versus neutral distractors;
following abstinence, the reverse was observed. Withdrawal-related negative
affect was associated with right insula activation to negative images. Finally,
depression symptoms were associated with abstinence-induced hypoactive response
to negative emotional distractors and task-relevant targets following negative
distractors in frontal brain regions. Neural processes related to novelty
detection/attention in the right IFG may be disrupted by smoking abstinence and
negative stimuli. Reactivity to emotional stimuli and the interfering effects on
cognition are moderated by the magnitude of smoking state-dependent negative
affect and baseline depressive symptoms.
PMID- 22081879
TI - Decision making in children and adolescents: impaired Iowa Gambling Task
performance in early adolescence.
AB - Disadvantageous decision making is cited as one of the premier problems in
childhood development, underlying risky behavior and causing adolescents to make
poor choices that could prove detrimental later in life. However, there are
relatively few studies looking at the development of decision making in children
and adolescents, and fewer still comparing it with the performance trajectories
of more typically developing cognitive functions. In the current study, we
measured the affective decision-making abilities of children and adolescents 8-
to 17-years-old using the Iowa Gambling Task (IGT; Bechara, 2007) in conjunction
with a battery of established cognitive neuropsychological assessments. In
contrast to the typical linear development of executive functions, affective
decision-making abilities progressed in a J-shaped curve. Younger, more
developmentally naive children performed better on the IGT than older, early
adolescent individuals, with performance becoming advantageous again toward the
end of the teenage years. This trajectory is thought to coincide with asymmetric
neural development in early adolescents, with relatively overactive striatal
regions creating impulsive reward-driven responses that may go unchecked by the
slower developing inhibitive frontal cortex. This trajectory is in stark contrast
with the linear development of memory, speed of processing, and other cognitive
abilities over the ages.
PMID- 22081880
TI - Carry on: spontaneous object carrying in 13-month-old crawling and walking
infants.
AB - Carrying objects requires coordination of manual action and locomotion. This
study investigated spontaneous carrying in 24 walkers who were 13 months old and
26 crawlers who were 13 months old during 1-hr, naturalistic observations in the
infants' homes. Carrying was more common in walkers, but crawlers also carried
objects. Typically, walkers carried objects in their hands, whereas crawlers
multitasked by using their hands simultaneously for holding objects and
supporting their bodies. Locomotor experience predicted frequency of carrying in
both groups, suggesting that experienced crawlers and walkers perceive their
increased abilities to handle objects while in motion. Despite additional
biomechanical constraints imposed by holding an object, carrying may actually
improve upright balance: Crawlers rarely fell while carrying an object, and
walkers were more likely to fall without an object in hand than while carrying.
Thus, without incurring an additional risk of falling, spontaneous carrying may
provide infants with new avenues for combining locomotor and manual skills and
for interacting with their environments.
PMID- 22081881
TI - Dopaminergic polymorphisms and educational achievement: results from a
longitudinal sample of Americans.
AB - Although educational attainment has been found to be moderately heritable,
research has yet to explore candidate genes for it. Drawing on data from the
National Longitudinal Study of Adolescent Health, in the current study, we
examined the association between polymorphisms in three dopaminergic genes (DAT1,
DRD2, and DRD4), a dopamine index, and educational attainment. Statistically
significant effects were found for DAT1, DRD2, DRD4, and the dopamine index for
highest level of education. This study is the first to our knowledge that links
measured genes to educational attainment.
PMID- 22081882
TI - Accurate and simplified consideration of the probe geometrical defaults in
scanning electrochemical microscopy: theoretical and experimental investigations.
AB - Fabrication of scanning electrochemical microscopy (SECM) tips cannot always
guarantee a perfect disk geometry. In the present work, the impact of these
defaults is investigated both theoretically and experimentally. The situations
where these defaults can accurately be taken into account by considering that the
probe behaves like a microdisk with effective geometric parameters are
determined. In these situations, the quantitative analysis of the experimental
results is greatly simplified. The study also proposes expressions to evaluate
the apparent microdisk parameters from a picture of the probe.
PMID- 22081883
TI - Calycopterin promotes survival and outgrowth of neuron-like PC12 cells by
attenuation of oxidative- and ER-stress-induced apoptosis along with inflammatory
response.
AB - There is mounting evidence implicating the role of oxidative stress induced by
reactive oxygen species (ROS) in neurodegenerative disease, including Alzheimer's
disease. Herein we investigated the neuroprotective potential of a natural
flavonoid, calycopterin, against H(2)O(2)-induced cell death in differentiated
PC12 cells. We pretreated PC12 cells with 25, 50, and 100 MUM calycopterin
followed by the addition of H(2)O(2) as an oxidative stress agent. We measured
cell viability by the MTT test and found that 50 MUM is the best protective
concentration of calycopterin. Moreover, we measured six different parameters of
neurite outgrowth. Interestingly, we found that calycopterin not only protects
PC12 cells against H(2)O(2)-induced apoptosis but also defends against the
destructive effect of oxidative stress on the criteria of neural differentiation.
Calycopterin decreased ER stress-associated proteins including calpain and
caspase-12, and suppressed ERK, JNK, and p38 MAPK phosphorylation. Moreover,
calycopterin inhibited H(2)O(2)-induced nuclear translocation of nuclear factor
kappaB, a known regulator of a host of genes involved in specific stress and
inflammatory responses. This observation was perfectly in agreement with the
decrease of COX-2 and TNF-alpha levels. Calycopterin reduced intracellular ROS
levels and increased catalase activity. The protective effect of this compound
could represent a promising approach for the treatment of neurodegenerative
diseases.
PMID- 22081884
TI - Synthesis of aziridines from alkenes and aryl azides with a reusable macrocyclic
tetracarbene iron catalyst.
AB - A new iron aziridination catalyst supported by a macrocyclic tetracarbene ligand
has been synthesized. The catalyst, [((Me,Et)TC(Ph))Fe(NCCH(3))(2)](PF(6))(2),
was synthesized from the tetraimidazolium precursor ((Me,Et)TC(Ph))(I)(4) and
characterized by NMR spectroscopy, electrospray ionization mass spectrometry, and
single-crystal X-ray diffraction. This iron complex catalyzes the aziridination
of electron-donating aryl azides and a wide variety of substituted aliphatic
alkenes, including tetrasubstituted ones, in a "C(2) + N(1)" addition reaction.
Finally, the catalyst can be recovered and reused up to three additional times
without significant reduction in yield.
PMID- 22081885
TI - Azide functional monolayers grafted to a germanium surface: model substrates for
ATR-IR studies of interfacial click reactions.
AB - High-quality azide-functional substrates are prepared by a low temperature
reaction of 11-bromoundecyltrichlorosilane with UV-ozone-treated germanium ATR-IR
plates followed by nucleophilic substitution of the terminal bromine by addition
of sodium azide. The resulting monolayer films are characterized by atomic force
microscopy (AFM), contact angle analysis, X-ray photoelectron spectroscopy (XPS),
attenuated total reflectance infrared spectroscopy (ATR-IR), and ellipsometry.
XPS and ellipsometric thickness data correspond well to the results of molecular
model calculations confirming the formation of a densely packed azide-functional
monolayer. These azide-functional substrates enable interfacial "click" reactions
with complementary alkyne-functional molecules to be studied in situ by ATR-IR.
To illustrate their potential utility for kinetic studies we show that, in the
presence of copper(I) catalyst, the azide-modified surfaces react rapidly and
quantitatively with 5-chloro-pentyne to form triazoles via a 1,3-dipolar
cycloaddition reaction. Time-resolved ATR-IR measurements indicate that the
interfacial click reaction is initially first order in azide concentration as
expected from the reaction mechanism, with a rate constant of 0.034 min(-1), and
then transitions to apparent second order dependence, with a rate constant of
0.017 min(-1)/(chains/nm(2)), when the surface azide and triazole concentrations
become similar, as predicted by Oyama et al. The reaction achieves an ultimate
conversion of 50% consistent with the limit expected due to steric hindrance of
the 5-chloro-pentyne reactant at the surface.
PMID- 22081886
TI - Fouling of nanostructured insect cuticle: adhesion of natural and artificial
contaminants.
AB - The adhesional properties of contaminating particles of scales of various lengths
were investigated for a wide range of micro- and nanostructured insect wing
cuticles. The contaminating particles consisted of artificial hydrophilic
(silica) and spherical hydrophobic (C(18)) particles, and natural pollen grains.
Insect wing cuticle architectures with an open micro-/nanostructure framework
demonstrated topographies for minimising solid-solid and solid-liquid contact
areas. Such structuring of the wing membranes allows for a variety of removal
mechanisms to contend with particle contact, such as wind and self-cleaning
droplet interactions. Cuticles exhibiting high contact angles showed considerably
lower particle adhesional forces than more hydrophilic insect surfaces. Values as
low as 3 nN were recorded in air for silica of ~28 nm in diameter and <25 nN for
silica particles 30 MUm in diameter. A similar adhesional trend was also observed
for contact with pollen particles.
PMID- 22081887
TI - Impaired Pavlovian conditioned inhibition in offenders with personality
disorders.
AB - Certain types of violent offending are often accompanied by evidence of
personality disorders (PDs), a range of heterogeneous conditions characterized by
disinhibited behaviours that are generally described as impulsive. The tasks
previously used to show impulsivity deficits experimentally (in borderline
personality disorder, BPD) have required participants to inhibit previously
rewarded responses. To date, no research has examined the inhibition of
responding based on Pavlovian stimulus-stimulus contingencies, formally
"conditioned inhibition" (CI), in PDs. The present study used a computer-based
task to measure excitatory and inhibitory learning within the same CI procedure
in offenders recruited from the "personality disorder" and the "dangerous and
severe personality disorder" units of a high-security psychiatric hospital. These
offenders showed a striking and statistically significant change in the
expression of inhibitory learning in a highly controlled procedure: The
contextual information provided by conditioned inhibitors had virtually no effect
on their prepotent associations. Moreover, this difference was not obviously
attributable to nonspecific cognitive or motivational factors. Impaired CI would
reduce the ability to learn to control associative triggers and so could provide
an explanation of some types of offending behaviour.
PMID- 22081889
TI - Maternal red blood cell folate concentration at 10-12 weeks gestation and
pregnancy outcome.
AB - OBJECTIVE: To determine if maternal circulating red blood cell (RBC) folate
concentration in early pregnancy is associated with late gestation pregnancy
complications including small for gestational age (SGA) infants, preeclampsia and
preterm birth (PTB) in a socioeconomically disadvantaged population. METHOD: This
was a retrospective case control study, conducted at Lyell McEwin Health Service,
South Australia, including 400 primiparous women. RBC folate and demographic data
were collected at 10-12 weeks gestation. Pregnancy outcome data were obtained
from patient case notes. RESULTS: Patients who were folate deficient were more
likely to develop pregnancy complications, specifically SGA (OR 6.9, 95% CI 2
24.3) and PTB (OR 5.4 95% CI 1.4-21.2). Those who were folate insufficient were
also at increased risk of SGA (OR 3.0, 95% CI 1.3-7.7). No association between
folate and preeclampsia was found. Women who were supplementing with folic acid
delivered infants who were 179 g heavier (5.5% increased birth weight, P = 0.003)
and 4.5 days later, compared to those who did not supplement. Furthermore, low
RBC folate was associated with cigarette smoking (P < 0.001). CONCLUSIONS:
Maternal RBC folate concentration in early pregnancy is associated with SGA and
PTB, but not with preeclampsia.
PMID- 22081890
TI - Introducing the Geneva Multimodal expression corpus for experimental research on
emotion perception.
AB - Research on the perception of emotional expressions in faces and voices is
exploding in psychology, the neurosciences, and affective computing. This article
provides an overview of some of the major emotion expression (EE) corpora
currently available for empirical research and introduces a new, dynamic,
multimodal corpus of emotion expressions, the Geneva Multimodal Emotion
Portrayals Core Set (GEMEP-CS). The design features of the corpus are outlined
and justified, and detailed validation data for the core set selection are
presented and discussed. Finally, an associated database with microcoded facial,
vocal, and body action elements, as well as observer ratings, is introduced.
PMID- 22081888
TI - Cu(I)-catalyzed diamination of conjugated dienes. Complementary regioselectivity
from two distinct mechanistic pathways involving Cu(II) and Cu(III) species.
AB - Conjugated dienes can be diaminated at the internal and/or terminal double bonds
using Cu(I) as catalyst and N,N-di-t-butyldiaziridinone (1) as nitrogen source.
The regioselectivity is highly dependent upon the choice of Cu(I) catalyst and
the substituents on diene substrates. The diamination likely proceeds via two
mechanistically distinct pathways. The N-N bond of N,N-di-t-butyldiaziridinone
(1) is first homolytically cleaved by the Cu(I) catalyst to form four-membered
Cu(III) species A and Cu(II) radical species B, which are in rapid equilibrium.
The internal diamination likely proceeds in a concerted manner via Cu(III)
species A, and the terminal diamination likely involves Cu(II) radical species B.
Kinetic studies have shown that the diamination is first-order in N,N-di-t
butyldiaziridinone (1), zero-order in olefin, and first-order in total Cu(I)
catalyst, and the cleavage of the N-N bond of 1 by the Cu(I) catalyst is the rate
determining step. The internal diamination is favored by use of CuBr without
ligand and electron-rich dienes. The terminal diamination is favored when using
CuCl-L and dienes with radical-stabilizing groups.
PMID- 22081891
TI - Risk factors of pregnancy-related lumbopelvic pain: a biopsychosocial approach.
AB - AIMS AND OBJECTIVES: To examine the associations between pain-related
psychological and social factors and pregnancy-related lumbopelvic pain intensity
and interference after controlling biological factors. BACKGROUND: Pregnancy
related lumbopelvic pain is prevalent and has been shown to interfere with
women's quality of life. Although pain is a multidimensional phenomenon known to
be influenced by psychosocial factors, the majority of previous research on this
pregnancy-related lumbopelvic pain has focused on biological factors. DESIGN:
Cross-sectional correlational research. METHOD: A sample of 183 pregnant women
with lumbopelvic pain was recruited from a medical center in northern Taiwan.
Study participants provided demographic information and were administered the
Brief Pain Inventory and a modified Catastrophising subscale of the Coping
Strategies Questionnaire. Multiple regressions were used to examine the
associations among the study variables. RESULTS: Analyses indicated that lower
education level was associated with higher pain intensity. Higher pain intensity
during pregnancy and catastrophising cognitions were associated significantly
with higher pain interference. Moreover, age moderated the strength of the
association between pain intensity and pain interference. This association was
stronger for older than for younger women. CONCLUSIONS: This study identified the
psychosocial factors associated with pregnancy-related lumbopelvic pain intensity
(educational level) and interference (pain intensity and catastrophising) and
also a variable (age) that moderated the association between pain intensity and
pain interference. The findings support a biopsychosocial approach in
understanding the experience and impact of pregnancy-related lumbopelvic pain.
RELEVANCE TO CLINICAL PRACTICE: Nurses should assess pregnant clients' age,
educational level, pain intensity and pain catastrophising thoughts to help
identify women who are more at risk for higher lumbopelvic pain intensity or
interference. Women endorsing catastrophising cognitions should be referred to or
provided with treatment to reduce the frequency of these cognitions that are
known to have a negative impact on quality of life in other pain populations.
PMID- 22081892
TI - Understanding the causes of kidney transplant failure: the dominant role of
antibody-mediated rejection and nonadherence.
AB - We prospectively studied kidney transplants that progressed to failure after a
biopsy for clinical indications, aiming to assign a cause to every failure. We
followed 315 allograft recipients who underwent indication biopsies at 6 days to
32 years posttransplant. Sixty kidneys progressed to failure in the follow-up
period (median 31.4 months). Failure was rare after T-cell-mediated rejection and
acute kidney injury and common after antibody-mediated rejection or
glomerulonephritis. We developed rules for using biopsy diagnoses, HLA antibody
and clinical data to explain each failure. Excluding four with missing
information, 56 failures were attributed to four causes: rejection 36 (64%),
glomerulonephritis 10 (18%), polyoma virus nephropathy 4 (7%) and intercurrent
events 6 (11%). Every rejection loss had evidence of antibody-mediated rejection
by the time of failure. Among rejection losses, 17 of 36 (47%) had been
independently identified as nonadherent by attending clinicians. Nonadherence was
more frequent in patients who progressed to failure (32%) versus those who
survived (3%). Pure T-cell-mediated rejection, acute kidney injury, drug toxicity
and unexplained progressive fibrosis were not causes of loss. This prospective
cohort indicates that many actual failures after indication biopsies manifest
phenotypic features of antibody-mediated or mixed rejection and also underscores
the major role of nonadherence.
PMID- 22081893
TI - Subcutaneous penile insertion of domino fragments by incarcerated males in
southwest United States prisons: a report of three cases.
AB - INTRODUCTION: Self-insertion of penile foreign bodies is performed worldwide,
largely due to a perception that it will enhance sexual performance and virility.
There are relatively few cases reported in the United States. AIM: We report
three cases of Hispanic men incarcerated in separate southwest United States
prisons who utilized a similar technique to insert foreign bodies fabricated out
of dominos into the subcutaneous tissues of the penis. METHODS: Details of the
three cases were retrospectively reviewed. MAIN OUTCOME MEASURE: Resolution of
the case. RESULTS: In each case, an incarcerated Hispanic male or fellow inmate
filed a domino into a unique shape for placement under the penile skin. Utilizing
the tip of a ballpoint pen or a sharpened shard of plastic to create a puncture
wound, each man inserted the domino fragment into the subcutaneous tissue of the
penis. All three men presented with infection requiring operative removal.
CONCLUSIONS: Incarcerated males put themselves at risk for injury and infection
when attempting penile enhancement with improvised equipment.
PMID- 22081894
TI - Anti-oxidant defence mechanism in vitiliginous skin increases with skin type.
AB - BACKGROUND: Vitiligo skin shows different burning capacity in people with
different phototype. In normal skin antioxidant status is correlated to skin
phototype, but unexpectedly it appears that there is a gradual decrease in
burning susceptibility of depigmented skin of individuals with increasing
phototype (II->VI). OBJECTIVE: To assess if the antioxidant response in the
lesional vitiligo skin is involved in those protection mechanisms. Moreover, a
possible correlation between cutaneous and systemic endogenous antioxidants in
vitiligo patients has been investigated. METHODS: We enrolled in the study 29
patients with active vitiligo, divided into five groups according to skin type
(II to VI). We analysed reduced and oxidized glutathione (GSH and GSSG,
respectively), ubiquinone (CoQ10), catalase (Cat), superoxide dismutases (Cu/Zn
SOD and Mn-SOD), GSH peroxidase (GSH-Px), as indexes of chemical and enzymatic
antioxidants, in suction blister roofs as well as in peripheral blood mononuclear
cells (PBMNCs). RESULTS: The vitiligo patients showed an imbalance of antioxidant
network, both in depigmented skin and PBMNCs. Interestingly, in vitiligo skin a
phototype-related increase of antioxidant enzyme activities (Cat, Mn-SOD and GPx)
and GSH amount have been observed. Similarly in PMBNCs Cat and total SOD
activities, as well as GSH content progressively increased from skin type II to
skin type VI. Endogenous antioxidants in vitiligo skin are correlated to those in
PBMNCs, suggesting that systemic and epidermal antioxidant network
functionalities are connected. CONCLUSIONS: The correlation between antioxidant
levels and clinical phototype confirmed the hypothesis that other factors than
melanin determine largely the minimal erythema dose values in vitiligo lesional
skin.
PMID- 22081895
TI - Proarrhythmia following prior pulmonary vein isolation: what is the mechanism?
PMID- 22081896
TI - A prospective longitudinal study of children's theory of mind and adolescent
involvement in bullying.
AB - BACKGROUND: Theory of mind (ToM) allows the understanding and prediction of other
people's behaviours based on their mental states (e.g. beliefs). It is important
for healthy social relationships and thus may contribute towards children's
involvement in bullying. The present study investigated whether children involved
in bullying during early adolescence had poor ToM in childhood. METHOD:
Participants were members of the Environmental Risk (E-Risk) Longitudinal Twin
Study, a nationally representative sample of 2,232 children and their families.
We visited families when children were 5, 7, 10 and 12 years. ToM was assessed
when the children were 5 years using eight standardized tasks. Identification of
those children who were involved in bullying as victims, bullies and bully
victims using mothers', teachers' and children's reports was carried out when
they were 12 years' old. RESULTS: Poor ToM predicted becoming a victim (effect
size, d = 0.26), bully (d = 0.25) or bully-victim (d = 0.44) in early
adolescence. These associations remained for victims and bully-victims when child
specific (e.g. IQ) and family factors (e.g. child maltreatment) were controlled
for. Emotional and behavioural problems during middle childhood did not modify
the association between poor ToM and adolescent bullying experiences. CONCLUSION:
Identifying and supporting children with poor ToM early in life could help reduce
their vulnerability for involvement in bullying and thus limit its adverse
effects on mental health.
PMID- 22081897
TI - Dynamical force and imaging characterization of superhydrophobic surfaces.
AB - We devised a dangling cantilever optical lever setup with imaging that permits
dynamical studies of superhydrophobic surfaces without the effects of
gravitational acceleration for better insight into the mechanics. The setup
enabled us to ascertain liquid loss and ascribe it to the interaction of liquid
that just touched the superhydrophobic surface as it translated at various
constant lateral speeds. At lower speeds (20-60 MUm/s), the interactions were
characterized by a strong initial liquid pin (at up to 0.6 nN force) and depin
followed by a series of smaller force pin and depins before sufficient liquid
loss led to total liquid detachment from the surface. At higher translation
speeds (80-100 MUm/s), the interactions were characterized by liquid pinning and
depinning processes at a sustained force (around 0.7 nN) in which liquid loss was
low enough to engender a much later liquid detachment (beyond 100 s). A linear
reduction of the receding contact angle with time, but not with the advancing
contact angle, was found up to the point of first liquid depinning. This
suggested a stronger role played by the receding contact line in establishing
liquid adherence to the superhydrophobic surface. The detachment process from the
surface was also characterized by a liquid bridge driven to rupture by way of
liquid being conveyed away from the bridge.
PMID- 22081899
TI - A model of the cell nucleus for DNA damage calculations.
AB - AIMS: Development of a computer model of genomic deoxyribonucleic acid (DNA) in
the human cell nucleus for DNA damage and repair calculations. The model
comprises the human genomic DNA, chromosomal domains, and loops attached to
factories. MATERIAL AND METHODS: A model of canonical B-DNA was used to build the
nucleosomes and the 30-nanometer solenoidal chromatin. In turn the chromatin was
used to form the loops of factories in chromosome domains. The entire human
genome was placed in a spherical nucleus of 10 micrometers diameter. To test the
new target model, tracks of protons and alpha-particles were generated using
Monte Carlo track structure codes PITS99 (Positive Ion Track Structure) and
KURBUC. Damage sites induced in the genome were located and classified according
to type and complexity. RESULTS: The three-dimensional structure of the genome
starting with a canonical B-DNA model, nucleosomes, and chromatin loops in
chromosomal domains are presented. The model was used to obtain frequencies of
DNA damage induced by protons and alpha-particles by direct energy deposition,
including single- and double-strand breaks, base damage, and clustered lesions.
CONCLUSIONS: This three-dimensional model of the genome is the first such model
using the full human genome for the next generation of more comprehensive
modelling of DNA damage and repair. The model combines simple geometrical
structures at the level of domains and factories with potentially full detail at
the level of atoms in particular genes, allowing damage patterns in the latter to
be simulated.
PMID- 22081901
TI - Phytochemical analysis of Plantago sempervirens from Majella National Park.
AB - In this study, we report the isolation and identification of several compounds
from Plantago sempervirens Crantz, collected in the protected area of Majella
National Park. We examined the polar fraction, in particular the iridoidic one.
Aucubin, caryoptoside, plantarenaloside and gardoside were isolated and
identified. For the first time, in this species, 8-epiloganic acid was
recognised. Also, verbascoside, a phenylethanoid glycoside, was recognised in
this plant.
PMID- 22081903
TI - Acute twin-twin transfusion syndrome in labor: pathophysiology and associated
factors.
AB - OBJECTIVE: To review reported cases of acute twin-twin transfusion syndrome
(TTTS) in monochorionic twin pregnancies to help define variants of disease and
determine associated factors. METHODS: PubMed literature review using the search
terms, "acute" and "twin transfusion." Articles were reviewed for clinical
factors. Reference lists were carefully assessed for any additional articles. In
order to rule out sudden progression of chronic TTTS as the cause, gestational
age >=31 weeks was chosen. Cases were classified into subsets of acute TTTS.
RESULTS: There were 150 publications from 1942-2010. There were 51 cases that
were classified into four variants of acute TTTS. Four cases were difficult to
classify, with hemoglobin levels that were high normal and low normal, high
normal and anemic, or low normal and polycythemic. Three publications defined the
incidence for acute perinatal TTTS of 1.8-5.5% of monochorionic twins. Common
factors associated with acute perinatal TTTS included monochorionicity and labor.
CONCLUSIONS: Monochorionicity and labor are common factors underlying the
propensity to acute perinatal TTTS. A spectrum of severity is for acute TTTS was
seen. Perinatal specialists and neonatologists should be aware of the possibility
of acute TTTS during labor, so rapid volume replacement can be performed for
neonatal resuscitation.
PMID- 22081904
TI - Rational design of stereoselectivity in the class II pyruvate aldolase BphI.
AB - BphI, a pyruvate-specific class II aldolase, catalyzes the reversible carbon
carbon bond formation of 4-hydroxy-2-oxoacids up to eight carbons in length.
During the aldol addition catalyzed by BphI, the S-configured stereogenic center
at C4 is created via attack of a pyruvate enolate intermediate on the si face of
the aldehyde carbonyl of acetaldehyde to form 4(S)-hydroxy-2-oxopentanoate.
Replacement of a Leu-87 residue within the active site of the enzyme with polar
asparagine and bulky tryptophan led to enzymes with no detectable aldolase
activity. These variants retained decarboxylase activity for the smaller
oxaloacetate substrate, which is not inhibited by excess 4-hydroxy-2
oxopentanoate, confirming the results from molecular modeling that Leu-87
interacts with the C4-methyl of 4(S)-hydroxy-2-oxoacids. Double variants
L87N;Y290F and L87W;Y290F were constructed to enable the binding of 4(R)-hydroxy
2-oxoacids by relieving the steric hindrance between the 5-methyl group of these
compounds and the hydroxyl substituent on the phenyl ring of Tyr-290. The
resultant enzymes were shown to exclusively utilize only 4(R)- and not 4(S)
hydroxy-2-oxopentanoate as the substrate. Polarimetric analysis confirmed that
the double variants are able to synthesize 4-hydroxy-2-oxoacids up to eight
carbons in length, which were the opposite stereoisomer compared to those
produced by the wild-type enzyme. Overall the k(cat)/K(m) values for pyruvate and
aldehydes in the aldol addition reactions were affected <=10-fold in the double
variants relative to the wild-type enzyme. Thus, stereocomplementary class II
pyruvate aldolases are now available to create chiral 4-hydroxy-2-oxoacid
skeletons as synthons for organic reactions.
PMID- 22081906
TI - Mastitis diagnostics and performance monitoring: a practical approach.
AB - In this paper a review is given of frequently used mastitis diagnostic methods
in modern dairy practice. Methods used at the quarter, cow, herd and regional or
national level are discussed, including their usability for performance
monitoring in udder health. Future developments, such as systems in which milk
derived parameters are combined with modern analytical techniques, are discussed.
It is concluded that, although much knowledge is available and science is still
developing and much knowledge is available, it is not always fully exploited in
practice.
PMID- 22081907
TI - Cytomegalovirus-specific regulatory and effector T cells share TCR clonality-
possible relation to repetitive CMV infections.
AB - Cytomegalovirus (CMV) infections have a major impact on morbidity and mortality
of transplant patients. Among the complex antiviral T-cell response, CMV-IE-1
antigen-specific CD8+ cells are crucial for preventing CMV disease but do not
protect from recurring/lasting CMV reactivation. Recently, we confirmed that
adoptive transfer of autologous IE-1/pp65-specific T-cell lines was able to
combat severe CMV disease; however, the control of CMV infection was only
temporary. We hypothesized that CMV-induced regulatory T cells (iTreg) might be
related to recurring/lasting CMV infection. In fact, kidney transplant patients
with recurring CMV infections expressed enhanced suppression on CMV response.
Analysis of in vitro expanded CD4+ epitope-specific cells revealed that CMV
specific CD4+CD25(high) Treg cells functionally suppress CD25(low) effector T
cells (Teff) upon epitope-specific reactivation. Their phenotype is similar to
iTreg - CD39(high) /Helios-/IL-2(low) /IFNgamma(high) /IL-10+/-/TGFbeta-LAP+/
/FOXP3+ and methylated foxp3 locus. Remarkably, in vitro expanded CD4+CD25(high)
iTreg share the same dominant TCR-Vbeta-CDR3 clones with functionally distinct
CD4+CD25(low) Teff. Moreover, the same clones were present in freshly isolated
CD4+CD25(high) and CD4+CD25(low) T cells suggesting their in vivo generation.
These findings directly demonstrate that Teff and iTreg can differentiate from
one "mother" clone with specificity to the same viral epitope and indicate that
peripheral iTreg generation is related to frequent antigen appearance.
PMID- 22081908
TI - Paroxysmal nocturnal hemoglobinuria in systemic lupus erythematosus: a case
report.
AB - INTRODUCTION: Paroxysmal nocturnal hemoglobinuria is an acquired disorder of
hemopoiesis and is characterized by recurrent episodes of intravascular hemolysis
due to an increased sensitivity to complement-mediated hemolysis. Systemic lupus
erythematosus with paroxysmal nocturnal hemoglobinuria is very rare. We report a
case of paroxysmal nocturnal hemoglobinuria that developed in a patient with
systemic lupus erythematosus and lupus nephritis. CASE PRESENTATION: A 29-year
old Mongolian woman had systemic lupus erythematosus, which manifested only as
skin lesions when she was 12 years old. She had leg edema and proteinuria when
she was 23 years old, and a renal biopsy revealed lupus nephritis (World Health
Organization type IV). She had been treated with steroids and immunosuppressant
therapy. At 29, she had headaches, nausea, general fatigue, and severe
pancytopenia and was admitted to our hospital. A laboratory evaluation showed
hemolytic anemia. Further examination showed a neutrophil alkaline phosphatase
score of 46 points, a CD55 value of 18%, and a CD59 value of 78.6%. The results
of Ham test and sugar water tests were positive. The constellation of symptoms
throughout the clinical course and the laboratory findings suggested paroxysmal
nocturnal hemoglobinuria. CONCLUSIONS: To the best of our knowledge, systemic
lupus erythematosus with paroxysmal nocturnal hemoglobinuria is very rare.
Clinicians should be aware of the association between autoimmune and
hematological diseases.
PMID- 22081909
TI - Genetic moderation of contextual effects on negative arousal and parenting in
African-American parents.
AB - A three-stage context amplification model was tested with a sample of 345 African
American parent-child dyads. The model combined the conceptual structure of
stress generation with recent findings regarding genetic susceptibility. Because
the 7R + allele of the dopamine transporter (DRD4) has the potential to enhance
contextual priming and arousal, this allele was examined as a potential moderator
of each stage of the amplification process. Particular attention was given to the
hypothesized influence of parental negative arousal on valence of parent-child
interactions. The literature on genetic susceptibility led to the hypothesis that
DRD4 would moderate each stage of the model in a "for better or for worse"
manner. The model was partially supported. DRD4 moderated effects at all three
stages of the model and, as hypothesized, DRD4 moderated contextual effects on
negative arousal in a "for better or for worse" manner. Effects on parent-child
interaction, however, were moderated in a "for worse" manner only. These results
indicate that parenting interactions may amplify the effects of positive and
negative contexts in a stress-generating manner, and that a susceptibility
framework captures the way in which DRD4 moderates the impact of context on
negative arousal.
PMID- 22081910
TI - Family functioning among returnees to Orthodox Judaism in Israel.
AB - The role of religious conversion in marriages and family functioning has been
little explored. The current study examined family functioning and parenting
stress among returnees to Orthodox Judaism with adolescent children. Possible
explanatory factors for difficulties, such as attachment insecurity, religious
discord in families, and poor community integration, were also explored. Randomly
selected samples of returnee and nonreturnee Orthodox Jews with adolescent
children (N = 1632) completed measures of attachment, community integration,
marital functioning, and parenting stress. Results indicate that returnees report
greater family disengagement (lack of warmth), family chaos (lack of control),
and parenting stress. They also reported higher religious discord, higher
attachment insecurity, and poorer community integration, which all correlated
with higher parenting stress, family disengagement (lack of warmth), and family
chaos (lack of control). Moreover, differences between returnees and nonreturnees
on family functioning and parenting stress were largely mediated by differences
in the explanatory factors. These results substantiate previous anecdotal reports
and suggest possible avenues for intervention among Orthodox returnees with
family difficulties. They also support the relevance of religious factors in
family functioning.
PMID- 22081911
TI - Symptoms and uncertainty in breast cancer survivors in Korea: differences by
treatment trajectory.
AB - AIMS: The study compared the levels of symptoms and uncertainty, their associated
factors, relationships between them and predictors of uncertainty by treatment
trajectory among breast cancer survivors. BACKGROUND: Little is known with regard
to how uncertainty and symptoms are related to treatment trajectory among breast
cancer survivors. DESIGN: A cross-sectional descriptive design was used. METHOD:
A total of 252 women with breast cancer, receiving cancer therapy, or having
completed their therapy were recruited from the National Cancer Center in Korea.
Measurements used included symptom subscales of the European Organization of
Research and Therapy for Cancer QLQ-C30 and Breast Cancer Module BR23 and the
Mishel Uncertainty in Illness Scale. RESULTS: Women in treatment reported more
severe symptoms and higher levels of uncertainty than women having completed
treatment. During treatment, most symptoms were positively correlated with the
level of uncertainty, whereas, in women who had completed treatment, only
dyspnoea, insomnia, systemic therapy side effects and arm symptoms positively
correlated with uncertainty. There were also differences in predictors of
uncertainty by treatment trajectory. Age, marital status and pain were
significant predictors of uncertainty during treatment, while monthly income,
dyspnoea and insomnia were predictors of uncertainty after treatment.
CONCLUSIONS: Among breast cancer survivors, levels of symptoms and uncertainty,
associated factors, relationships between them and predictors of uncertainty
differed depending on treatment trajectory. RELEVANCE TO CLINICAL PRACTICE: To
provide trajectory-sensitive nursing intervention for uncertainty among breast
cancer survivors, age, marital status and pain should be considered during
treatment, while factors such as economic status, dyspnoea and insomnia should be
taken into account after treatment.
PMID- 22081912
TI - ICSH recommendations for identification, diagnostic value, and quantitation of
schistocytes.
AB - Schistocytes are fragments of red blood cells (RBCs) produced by extrinsic
mechanical damage within the circulation. The detection of schistocytes is an
important morphological clue to the diagnosis of thrombotic microangiopathic
anemia (TMA). Reporting criteria between different laboratories, however, are not
uniform, owing to variability of shape and nature of fragments, as well as
subjectivity and heterogeneity in their morphological assessment. Lack of
standardization may lead to inconsistency or misdiagnosis, thereby affecting
treatment and clinical outcome. The Schistocyte Working Group of the
International Council for Standardization in Haematology (ICSH) has prepared
specific recommendations to standardize schistocyte identification, enumeration,
and reporting. They deal with the type of smear, method of counting,
morphological description based on positive criteria (helmet cells, small,
irregular triangular, or crescent-shaped cells, pointed projections, and lack of
central pallor). A schistocyte count has a definite clinical value for the
diagnosis of TMA in the absence of additional severe red cell shape
abnormalities, with a confident threshold value of 1%. Automated counting of RBC
fragments is also recommended by the ICSH Working Group as a useful complement to
the microscope, according to the high predictive value of negative results, but
worthy of further research and with limits in quantitation.
PMID- 22081914
TI - The role of implantable cardiac electrical devices in patients with myotonic
dystrophy.
PMID- 22081915
TI - Synthesis of length-controlled polyvalent silver nanowire-DNA conjugates for
sensitive and selective detection of DNA targets.
AB - We have developed a facile method to rapidly synthesize the monodisperse silver
nanowire-DNA conjugates with a constant diameter and systematically controllable
lengths in the range of 0.5-2.5 MUm. The synthesis of silver nanowires takes
advantage of poly(sodium 4-styrenesulfonate) as a structure-directing reagent and
is performed under very mild conditions such as room temperature and aqueous
media. The nanowires are densely conjugated with DNA sequences enough to exhibit
the cooperative properties for the sensitive and selective detection of DNA
targets. The limit of detection is 50 pM.
PMID- 22081913
TI - New genes that extend Caenorhabditis elegans' lifespan in response to
reproductive signals.
AB - In Caenorhabditis elegans and Drosophila, removing germline stem cells increases
lifespan. In C. elegans, this lifespan extension requires DAF-16, a FOXO
transcription factor, and DAF-12, a nuclear hormone receptor. To better
understand the regulatory relationships between DAF-16 and DAF-12, we used
microarray analysis to identify downstream genes. We found that these two
transcription factors influence the expression of distinct but overlapping sets
of genes in response to loss of the germline. In addition, we identified several
new genes that are required for loss of the germline to increase lifespan. One,
phi-62, encodes a conserved, predicted RNA-binding protein. PHI-62 influences DAF
16-dependent transcription, possibly by collaborating with TCER-1, a putative
transcription elongation factor, and FTT-2, a 14-3-3 protein known to bind DAF
16. Three other genes encode proteins involved in lipid metabolism; one is a
triacylglycerol lipase, and another is an acyl-CoA reductase. These genes do not
noticeably affect bulk fat storage levels; therefore, we propose a model in which
they may influence production of a lifespan-extending signal or metabolite.
PMID- 22081916
TI - Splanchnic vein thrombosis complicating severe acute pancreatitis.
PMID- 22081917
TI - Fast-track programmes for hepatopancreatic resections: where do we stand?
AB - BACKGROUND: Fast-track (FT) programmes represent a series of multimodal concepts
that may reduce surgical stress and speed up convalescence after surgery. The aim
of this systematic review was to evaluate FT programmes for patients undergoing
hepatopancreatic surgery. METHODS: PubMed, Embase and the Cochrane Library
databases were searched for studies of FT vs. conventional recovery strategies
for liver and pancreatic resections. RESULTS: For liver surgery, three cohort
studies were included. Primary hospital stay was significantly reduced after FT
care in two of the three studies. There were no significant differences in rates
of readmission, morbidity and mortality. For pancreatic surgery, three cohort
studies and one case-control study were included. Primary hospital stay was
significantly shorter after FT care in three out of the four studies. One study
reported a significantly decreased readmission rate (7% vs. 25%; P= 0.027), and
another study showed lower morbidity (47.2% vs. 58.7%; P < 0.01) in favour of the
FT group. There was no difference in mortality between the FT and control groups.
CONCLUSIONS: FT rehabilitation for liver and pancreatic surgical patients is
feasible. Future investigation should focus on optimizing individual elements of
the FT programme within the context of liver and pancreatic surgery.
PMID- 22081918
TI - Natural history of pancreatitis-induced splenic vein thrombosis: a systematic
review and meta-analysis of its incidence and rate of gastrointestinal bleeding.
AB - BACKGROUND: Pancreatitis-induced splenic vein thrombosis (PISVT) is an acquired
anatomic abnormality that impacts decision making in pancreatic surgery. Despite
this influence, its incidence and the rate of associated gastrointestinal (GI)
bleeding are imprecisely known. METHODS: The MEDLINE, EMBASE, Cochrane Central
Register of Clinical Trials and Cochrane Database of Systematic Reviews databases
were searched from their inception to June 2010 for abstracts documenting PISVT
in acute (AP) or chronic pancreatitis (CP). Two reviewers independently graded
abstracts for inclusion in this review. Heterogeneity in combining data was
assumed prior to pooling. Random-effects meta-analyses were performed to estimate
percentages and 95% confidence intervals. RESULTS: After review of 241 abstracts,
47 studies and 52 case reports were graded as relevant. These represent a cohort
of 805 patients with PISVT reported in the literature. A meta-analysis of studies
meeting inclusion criteria shows mean incidences of PISVT of 14.1% in all
patients, 22.6% in patients with AP and 12.4% in patients with CP. The incidence
of associated splenomegaly was only 51.9% in these patients. Varices were
identified in 53.0% of patients and were gastric in 77.3% of cases. The overall
rate of GI bleeding was 12.3%. CONCLUSIONS: Although reported incidences of PISVT
vary widely across studies, an overall incidence of 14.1% is reported.
Splenomegaly is an unreliable sign of PISVT. Although the true natural history of
PISVT remains unknown, the collective reported rate of associated GI bleeding is
12.3%.
PMID- 22081920
TI - Splanchnic vein thrombosis in severe acute pancreatitis: a 2-year, single
institution experience.
AB - OBJECTIVES: This study aimed to determine current practice in the management and
outcome of splanchnic vein thrombosis complicating acute pancreatitis (AP).
METHODS: An audit of prospectively collected data for all patients presenting
with AP was conducted. Patients with splanchnic vein thrombosis were grouped
according to vessel involvement and whether or not systemic anticoagulation was
administered. RESULTS: Of 127 consecutive patients admitted with AP, 20 had
splanchnic venous thrombosis; in all cases the thrombosis was associated with a
severe attack of AP. Involvement of the splenic vein (SV), portal vein (PV) and
superior mesenteric vein (SMV) was observed in 14, 10 and three patients,
respectively. Involvement of more than one vessel was observed in six patients
(SV and PV in four patients; SMV and SV in one patient; all three veins in one
patient). Thromboses were colocalized with collections in 19 patients. Only four
patients received systemic anticoagulation. Resolution of thrombosis was observed
in six patients over a median of 77 days. No significant differences were
observed in recanalization rates following anticoagulation (P= 0.076). No
complications associated with systemic anticoagulation occurred. One patient
developed liver failure associated with progressive PV thrombosis and one patient
died. CONCLUSIONS: Splanchnic vein thrombosis is a relatively common observation
in severe AP and is associated with pancreatic necrosis and peripancreatic
collections. Recanalization is observed in almost a third of patients,
irrespective of whether or not they receive systemic anticoagulation.
PMID- 22081919
TI - Metabolic syndrome and hepatic resection: improving outcome.
AB - OBJECTIVE: A review of the peri-operative risk associated with hepatic resection
in patients with metabolic syndrome (MetS) and identification of measures for the
improvement of cardiometabolic disturbances and liver-related mortality.
BACKGROUND: MetS and its hepatic manifestation non-alcoholic fatty liver disease
(NAFLD) are associated with an increased operative mortality in spite of a
significant improvement in peri-operative outcome after hepatic resection.
METHODS: A review of the English literature on MetS, liver resection and
steatosis was performed from 1980 to 2011 using the MEDLINE and PubMed databases.
RESULTS: MetS is a predictor of NAFLD and patients with multiple metabolic risk
factors may harbour non-alcoholic steatohepatitis (NASH) predictive of operative
and cardiovascular mortality. Pre-operative diagnosis of unsuspected NASH with
the selective use of a liver biopsy can modify the operative strategy by limiting
the extent of hepatic resection, avoiding or altering the pre-operative
chemotherapy regimen and the utilization of portal vein embolization.
Thiazolidinediones are therapeutic for MetS and NASH and Vitamin E for active
NASH; however, their utility in improving the peri-operative outcome after
hepatic resection is unknown. A short-term regimen for weight loss improves post
operative patient and liver-related outcomes in patients with >30% steatosis.
Cardiovascular disease associated with MetS or NAFLD should be managed
aggressively. Peri-operative measures to minimize thrombotic events and acute
renal injury secondary to the pro-inflammatory, prothrombotic state of MetS may
further improve the outcome. CONCLUSION: Potential candidates for hepatic
resection should be screened for MetS as the pre-operative identification of
NASH, short-term treatment of significant steatosis, cardiovascular risk
assessment and optimization of each component of MetS may improve the peri
operative outcome in this high-risk subset of patients.
PMID- 22081921
TI - High-grade dysplasia of the cystic duct margin in the absence of malignancy after
cholecystectomy.
AB - BACKGROUND: A total of 750,000 cholecystectomies are performed annually in the
USA. No data exist on patients with microscopic high-grade dysplasia at the
cystic duct margin and the associated incidence of cholangiocarcinoma. METHODS:
Pathology reports for 1992-2010 were reviewed for patients with high-grade
dysplasia of the cystic duct margin in the absence of invasive gallbladder
cancer. Clinical data were obtained from chart review. RESULTS: Five patients
with high-grade dysplasia at the cystic duct margin without evidence of
malignancy were identified. Radiologic imaging was abnormal in two patients. The
cystic duct stump was abnormally dilated in both patients and one patient had an
enlarged portacaval lymph node. All five patients underwent exploration and
resection of either the cystic duct stump or the bile duct. Specimens in four of
the patients showed no evidence of malignancy or dysplasia. One patient was found
to have a node-positive adenocarcinoma of the cystic duct. CONCLUSIONS: High
grade dysplasia at the cystic duct margin without evidence of invasive
gallbladder cancer is rare. Patients with this finding should undergo cross
sectional imaging and a diagnosis of an underlying cholangiocarcinoma should be
considered, especially if imaging reveals any abnormalities.
PMID- 22081922
TI - Factors associated with recidivism following pancreaticoduodenectomy.
AB - OBJECTIVES: Factors related to readmission after pancreaticoduodenectomy (PD) may
include postoperative morbidity and the functional status of the patient. This
study aimed to retrospectively review our institution's experience of readmission
of patients who had undergone Whipple procedure PD. METHODS: Recidivism was
defined as readmission to the primary or a secondary hospital within,
respectively, 30 days, 30-90 days or 90 days postoperatively. Associations
between recidivism, perioperative factors and patient characteristics were
evaluated. RESULTS: During the past 5 years, 30-day, 30-90-day and 90-day
recidivism rates were 14.5%, 18.5% and 27.4%, respectively. The most common
reasons for readmission included dehydration and/or malnutrition (37.5% of
readmissions) and pain (12.5%). Patients who underwent PD for chronic
pancreatitis were more likely to be readmitted within 90 days of surgery than
patients who underwent PD for malignancy (P < 0.01). Intraoperative transfusion
was also associated with 30-90-day and 90-day recidivism (P < 0.01). Preoperative
comorbidities, including Charlson Comorbidity Index score, number of pre
discharge complications, type of Whipple reconstruction, preoperative biliary
stenting, need for vascular reconstruction and patient body mass index were not
associated with recidivism. CONCLUSIONS: Our data confirm previous reports
indicating high rates of readmission after PD. To our knowledge, this report is
the first to demonstrate chronic pancreatitis as an independent risk factor for
readmission.
PMID- 22081923
TI - Conditional survival in pancreatic cancer: better than expected.
AB - BACKGROUND: Traditional survival estimates after resection for pancreatic cancer
are based on clinicopathological variables at the time of diagnosis. Estimates
have not reflected time survived after resection, as investigated for other
malignancies. The aim of the present study was to understand how survival
estimates change after pancreatic resection for cancer based on time already
survived (conditional survival). METHODS: Pancreatectomies performed for
pancreatic ductal adenocarcinoma (PDAC) between 2001 and 2010 were reviewed.
Clinicopathological variables were evaluated to identify predictors of survival.
Expected survival according to a validated nomogram for pancreatic cancer as well
as conditional survival estimates and actual survival were calculated. RESULTS:
In all, 186 patients underwent pancreatic resection for PDAC [154 (82.8%)
Whipple, 26 (14.0%) distal and 6 (3.2%) total]. Median (range) survival was 22
(3.4-107.3) months. Predictors of overall survival were: absence of nodal disease
[odds ratio (OR) 8.8], age <67 years (OR 8.4) and lower stage (OR 4.3). Expected
survival according to the nomogram was 70% (1 year), 39.5% (2 years) and 24% (3
years). As time passed, and overall and expected survival decreased, conditional
survival increased. DISCUSSION: The available prognostic system for PDAC
underestimated survival compared with actual survival in the present study.
Conditional survival estimates, based on accrued lifespan, were better than
either predicted or actual survival, suggesting that survival is a dynamic,
rather than static, concept. Conditional survival may, therefore, be a useful
tool to allow patients and clinicians to project subsequent survival based on
time accrued since resection.
PMID- 22081924
TI - Metastatic lymph nodes in hilar cholangiocarcinoma: does size matter?
AB - AIM: To determine the diagnostic efficacy of the size criteria for the detection
of metastatic lymph nodes (LN) in patients with hilar cholangiocarcinoma (HCCA).
INTRODUCTION: LN metastasis is one of the most significant independent prognostic
factors in patients with HCCA. Presently, in spite of the well known lack of
sensitivity and specificity, one of the most used clinical criteria for nodal
metastases is LN size. METHODS: Pathological slides of 147 patients who had
undergone exploration for HCCA were assessed. The size (maximum and short axis
diameter) of each single node was retrieved from the pathology report or measured
from a section on the glass slide using a stereo microscope and a calibrated
ruler integrated in the software. When a metastatic lesion was detected, the
proportion of the lesion in relation to LN size was estimated. RESULTS: Out of
147 patients, 645 LN were retrieved and measured. In all, 106 nodes (16%) showed
evidence of metastasis. The proportion of positive nodes was 8% in nodes <5 mm
and 37% in nodes >30 mm. Ten per cent of LN smaller than 10 mm were positive,
whereas only 23% of LN larger than 10 mm were metastastically involved. No clear
cut-off point could be found. Similar results were found for the short axis
diameter. In 50% of positive LN, the metastatic lesion accounted for 10% or less
of the LN size. CONCLUSION: No cut-off point could be determined for accurately
predicting nodal involvement. Therefore, imaging studies should not rely on LN
size when assessing nodal involvement.
PMID- 22081925
TI - Pre- and intraoperative variables affecting early outcomes in elderly patients
undergoing pancreaticoduodenectomy.
AB - BACKGROUND: Conflicting data exist regarding the safety of pancreatic resections
in elderly patients. In this study we compared early complication and mortality
rates between patients younger and older than 80 years of age who underwent
pancreaticoduodenectomy using a validated national database. METHODS: The
National Surgical Quality Improvement Program (NSQIP) database for 2005-2009 was
used for this retrospective analysis. The primary outcome measures for our
analysis were 30-day postoperative mortality, major complication rate and overall
complication rate. RESULTS: A total of 6293 patients who underwent PD for any
cause were included in the analysis. Of these, 9.4% were aged >=80 years. The
incidence of 30-day mortality was significantly higher in patients aged >=80
years (6.3%) than in those aged <80 years (2.7%). Older patients were also noted
to have higher rates of overall complications and serious complications. On
multivariate analysis, age, ASA (American Society of Anesthesiologists)
classification, reduced functional status, history of dyspnoea, and need for
intraoperative transfusion were risk factors associated with the occurrence of
overall complications, serious complications and postoperative mortality.
CONCLUSIONS: This study shows that age among other factors is a determinant of
postoperative morbidity and mortality following PD.
PMID- 22081926
TI - Management of excluded bile ducts in paediatric orthotopic liver transplant
recipients of technical variant allografts.
AB - BACKGROUND: A strategy to increase the number of size- and weight-appropriate
organs and decrease the paediatric waiting list mortality is wider application of
sectional orthotopic liver transplantation (OLT). These technical variants
consist of living donor, deceased donor reduced and split allografts. However,
these grafts have an increased risk of biliary complications. An unusual and
complex biliary complication which can lead to graft loss is inadvertent
exclusion of a major segmental bile duct. We present four cases and describe an
algorithm to correct these complications. METHODS: A retrospective review of the
paediatric orthotopic liver transplantation database (2000-2010) at Washington
University in St. Louis/St. Louis Children's Hospital was conducted. RESULTS:
Sixty-eight patients (55%) received technical variant allografts. Four
complications of excluded segmental bile ducts were identified. Percutaneous
cholangiography provided diagnostic confirmation and stabilization with external
biliary drainage. All patients required interval surgical revision of their
hepaticojejunostomy for definitive drainage. Indwelling biliary stents aided
intra-operative localization of the excluded ducts. All allografts were salvaged.
DISCUSSION: Aggressive diagnosis, percutaneous decompression and interval
revision hepaticojejunostomy are the main tenets of management of an excluded
bile duct. Careful revision hepaticojejunostomy over a percutaneous biliary stent
can result in restoration of biliary continuity and allograft survival.
PMID- 22081927
TI - Endovascular stent graft placement in the treatment of a ruptured tuberculous
pseudoaneurysm of the descending thoracic aorta secondary to Pott's disease of
the spine.
AB - Ruptured thoracic aortic tuberculous pseudoaneurysms as a complication of
mycobacterium tuberculosis infection of the spine are rare. Conventional
treatment of a ruptured tuberculous pseudoaneurysm involves surgery with graft
interposition or patch repair. We report successful repair of a ruptured
tuberculous pseudoaneurysm of the descending thoracic aorta by endovascular stent
graft placement and provide a literature review of such entities.
PMID- 22081928
TI - Editorial: seeking a new characterisation of learning disorders.
PMID- 22081930
TI - Human papillomavirus genotype distribution in Madrid and correlation with
cytological data.
AB - BACKGROUND: Cervical cancer is the second most common cancer in women worldwide.
Infection with certain human papillomavirus (HPV) genotypes is the most important
risk factor associated with cervical cancer. This study analysed the distribution
of type-specific HPV infection among women with normal and abnormal cytology, to
assess the potential benefit of prophylaxis with anti-HPV vaccines. METHODS:
Cervical samples of 2,461 women (median age 34 years; range 15-75) from the
centre of Spain were tested for HPV DNA. These included 1,656 samples with normal
cytology (NC), 336 with atypical squamous cells of undetermined significance
(ASCUS), 387 low-grade squamous intraepithelial lesions (LSILs), and 82 high
grade squamous intraepithelial lesions (HSILs). HPV detection and genotyping were
performed by PCR using 5'-biotinylated MY09/11 consensus primers, and reverse dot
blot hybridisation. RESULTS: HPV infection was detected in 1,062 women (43.2%).
Out of these, 334 (31%) samples had normal cytology and 728 (69%) showed some
cytological abnormality: 284 (27%) ASCUS, 365 (34%) LSILs, and 79 (8%) HSILs. The
most common genotype found was HPV 16 (28%) with the following distribution: 21%
in NC samples, 31% in ASCUS, 26% in LSILs, and 51% in HSILs. HPV 53 was the
second most frequent (16%): 16% in NC, 16% in ASCUS, 19% in LSILs, and 5% in
HSILs. The third genotype was HPV 31 (12%): 10% in NC, 11% in ASCUS, 14% in
LSILs, and 11% in HSILs. Co-infections were found in 366 samples (34%). In 25%,
36%, 45% and 20% of samples with NC, ASCUS, LSIL and HSIL, respectively, more
than one genotype was found. CONCLUSIONS: HPV 16 was the most frequent genotype
in our area, followed by HPV 53 and 31, with a low prevalence of HPV 18 even in
HSILs. The frequency of genotypes 16, 52 and 58 increased significantly from
ASCUS to HSILs. Although a vaccine against HPV 16 and 18 could theoretically
prevent approximately 50% of HSILs, genotypes not covered by the vaccine are
frequent in our population. Knowledge of the epidemiological distribution is
necessary to predict the effect of vaccines on incidence of infection and
evaluate cross-protection from current vaccines against infection with other
types.
PMID- 22081931
TI - Depression among carers of AIDS-orphaned and other-orphaned children in Umlazi
Township, South Africa.
AB - South Africa faces the challenge of supporting the well-being of adults caring
for growing numbers of AIDS-orphaned children. These adults play a critical role
in responses to the epidemic, but little information exists in regard to their
mental health needs. This paper reports on findings from n=1599 adults, recruited
through representative household sampling, who serve as primary carers for
children in Umlazi Township, an HIV-endemic community. Overall, 22% of
participants were carers of AIDS-orphaned children, 11% were carers of other
orphaned children and 67% were carers of non-orphaned children. Prevalence of
depression was 30.3%. Orphan carers, regardless of whether they cared for AIDS
orphaned or other-orphaned children, were significantly more likely than carers
of non-orphaned children to meet the clinical threshold for depression (35.2% vs.
27.9%, p < 0.01). In multivariate logistic regressions, food insecurity and being
a female carer were identified as additional risk factors for greater depression.
In contrast, households with access to running water and households dependent on
salaries as the main source of income were identified as protective factors for
disparities in depression. Mental health interventions are urgently needed to
address an increased risk for depression among all orphan carers, not just those
caring for AIDS-orphaned children.
PMID- 22081932
TI - Synthesis, characterization and biological evaluation of ureidofibrate-like
derivatives endowed with peroxisome proliferator-activated receptor activity.
AB - A series of ureidofibrate-like derivatives was prepared and assayed for their
PPAR functional activity. A calorimetric approach was used to characterize
PPARgamma-ligand interactions, and docking experiments and X-ray studies were
performed to explain the observed potency and efficacy. R-1 and S-1 were selected
to evaluate several aspects of their biological activity. In an adipogenic assay,
both enantiomers increased the expression of PPARgamma target genes and promoted
the differentiation of 3T3-L1 fibroblasts to adipocytes. In vivo administration
of these compounds to insulin resistant C57Bl/6J mice fed a high fat diet reduced
visceral fat content and body weight. Examination of different metabolic
parameters showed that R-1 and S-1 are insulin sensitizers. Notably, they also
enhanced the expression of hepatic PPARalpha target genes indicating that their
in vivo effects stemmed from an activation of both PPARalpha and gamma. Finally,
the capability of R-1 and S-1 to inhibit cellular proliferation in colon cancer
cell lines was also evaluated.
PMID- 22081933
TI - A funny thing happened to me on the way to the universe: a Dread-Pirate-Roberts
job?
PMID- 22081934
TI - MCP-1 and CCR2 gene variants and the risk for osteoporosis and osteopenia.
AB - AIM: In this study, we investigated whether monocyte chemotactic protein 1 (MCP
1) and CC chemokine receptor 2 (CCR2) gene polymorphisms account for an increased
risk of osteoporosis or osteopenia. METHODS: Three hundred three postmenopausal
women, 80 osteoporotic, 123 osteopenic, and 100 unrelated age-matched healthy
controls, were included in the study. Genotyping of MCP-1 A2518G and CCR2 V64I
gene polymorphisms were detected by PCR-RFLP. RESULTS: We, for the first time,
demonstrated the positive association of MCP-1 GG, CCR2 Val/Ile, and CCR2 Val+
genotype with osteoporosis risk. However, CCR2 Ile/Ile genotype frequencies were
high in the control group compared with those of the patients with osteoporosis
and osteopenia. Haplotype analysis confirmed the association of MCP-1/CCR2 gene
variants with osteopenia and revealed that the frequency of MCP-1 A:CCR2 Val
haplotype was significantly higher in patients when compared with controls.
CONCLUSIONS: In conclusion, our findings have suggested that MCP-1 and CCR2 gene
variants were risk factors for osteoporosis and osteopenia.
PMID- 22081935
TI - Proteomic analysis of secretagogue-stimulated neutrophils implicates a role for
actin and actin-interacting proteins in Rac2-mediated granule exocytosis.
AB - BACKGROUND: Neutrophils are abundant leukocytes that play a primary role in
defence against pathogens. Neutrophils enter sites of infection where they
eliminate pathogens via phagocytosis and the release of antimicrobial mediators
via degranulation. Rho GTPases, particularly Rac2, play a key role in neutrophil
degranulation. The purpose of this study was to identify Rac2-dependent changes
in protein abundance in stimulated neutrophils. METHODS: We performed a proteomic
analysis on secretagogue-stimulated bone marrow neutrophils that were isolated
from wild-type and Rac2-/- mice. Protein abundance was analyzed by 2-dimensional
SDS-PAGE of fluorescently labelled samples which allowed the detection ~3500
proteins. RESULTS: We identified 22 proteins that showed significant changes in
abundance after secretagogue-stimulation of wild-type neutrophils, which did not
occur in neutrophils isolated from Rac2-/- mice. As expected, the abundance of
several granule proteins was reduced in wild-type cells; this did not occur in
Rac2-/- neutrophils which confirms the requirement for Rac2 in degranulation. We
also found changes in abundance of many actin remodelling proteins including
coronin-1A, beta-actin and the F-actin capping protein, (CapZ-beta). Coronin-1A
showed elevated levels of several isoforms after stimulation of neutrophils from
wild-type, but not from Rac2-/- mice. These isoforms were immunoreactive with
anti-phospho-threonine antibodies, suggesting that neutrophil stimulation
triggers a Rac2-dependent kinase cascade that results in the phosphorylation of
coronin-1A. CONCLUSION: The control of Rac2-mediated degranulation in neutrophils
likely functions through actin remodelling via activation of several actin
binding proteins. We found coronin-1A to be a novel downstream effector protein
of this pathway that is threonine phosphorylated in response to secretagogue
stimulation.
PMID- 22081936
TI - Excessive gestational weight gain predicts large for gestational age neonates
independent of maternal body mass index.
AB - OBJECTIVE: To determine the effects of maternal pre-pregnancy body mass index
(BMI) and gestational weight gain (GWG) on large-for-gestational-age (LGA) birth
weight (>=90th % ile). METHODS: We examined 4321 mother-infant pairs from the
Ottawa and Kingston (OaK) birth cohort. Multivariate logistic regression
(controlling for gestational and maternal age, pre-pregnancy weight, parity,
smoking) were performed and odds ratios (ORs) calculated. RESULTS: Prior to
pregnancy, a total of 23.7% of women were overweight and 16.2% obese. Only 29.3%
of women met GWG targets recommended by the Institute of Medicine (IOM), whereas
57.7% exceeded the guidelines. Adjusting for smoking, parity, age, maternal
height, and achieving the IOM's recommended GWG, overweight (OR 1.99; 95%CI 1.17
3.37) or obese (OR 2.64; 95% CI 1.59-4.39) pre-pregnancy was associated with a
higher rate of LGA compared to women with normal BMI. In the same model,
exceeding GWG guidelines was associated with higher rates of LGA (OR 2.86; 95% CI
2.09-3.92), as was parity (OR 1.49; 95% CI 1.22-1.82). Smoking (OR 0.53; 95%CI
0.35-0.79) was associated with decreased rates of LGA. The adjusted association
with LGA was also estimated for women who exceeded the GWG guidelines and were
overweight (OR 3.59; 95% CI 2.60-4.95) or obese (OR 6.71; 95% CI 4.83-9.31).
CONCLUSION: Pregravid overweight or obesity and gaining in excess of the IOM 2009
GWG guidelines strongly increase a woman's chance of having a larger baby.
Lifestyle interventions that aim to optimize GWG by incorporating healthy eating
and exercise strategies during pregnancy should be investigated to determine
their effects on LGA neonates and down-stream child obesity.
PMID- 22081937
TI - Probing a complex of cytochrome c and cardiolipin by magnetic circular dichroism
spectroscopy: implications for the initial events in apoptosis.
AB - Oxidation of cardiolipin (CL) by its complex with cytochrome c (cyt c) plays a
crucial role in triggering apoptosis. Through a combination of magnetic circular
dichroism spectroscopy and potentiometric titrations, we show that both the
ferric and ferrous forms of the heme group of a CL:cyt c complex exist as
multiple conformers at a physiologically relevant pH of 7.4. For the ferric
state, these conformers are His/Lys- and His/OH(-)-ligated. The ferrous state is
predominantly high-spin and, most likely, His/-. Interconversion of the ferric
and ferrous conformers is described by a single midpoint potential of -80 +/- 9
mV vs SHE. These results suggest that CL oxidation in mitochondria could occur by
the reaction of molecular oxygen with the ferrous CL:cyt c complex in addition to
the well-described reaction of peroxides with the ferric form.
PMID- 22081938
TI - Does oral health matter in people's daily life? Oral health-related quality of
life in adults 35-47 years of age in Norway.
AB - OBJECTIVE: The aim of this study was to assess the effect of oral health on
aspects of daily life measured by the Dental Impact Profile (DIP) in 35- to 47
year-old individuals in Norway, and to study associations between reported
effects and demographic variables, subjectively assessed oral health, general
health, oral health behaviour and clinical oral health. MATERIAL AND METHODS: A
stratified randomized sample of 249 individuals received a questionnaire
regarding demographic questions, dental visits, oral hygiene behaviour, self
rated oral health and general health and satisfaction with oral health. The DIP
measured the effects of oral health on daily life. Teeth present and caries
experience were registered by clinical examination. Bi- and multivariate analyses
and factor analysis were used. RESULTS: Items most frequently reported to be
positively or negatively influenced by oral health were chewing and biting,
eating, smiling and laughing, feeling comfortable and appearance. Only 1%
reported no effects of oral health. Individuals with fewer than two decayed
teeth, individuals who rated their oral health as good or practised good oral
health habits reported more positive effects than others on oral quality of life
(P <= 0.05). When the variables were included in multivariate analysis, none was
statistically significant. The subscales of the DIP were somewhat different from
the originally suggested subscales. CONCLUSIONS: This study showed that most
adults reported oral health to be important for masticatory functions and
confirmed that oral health also had impacts on other aspects of life.
PMID- 22081939
TI - Treatment of mastitis during lactation.
AB - Treatment of mastitis should be based on bacteriological diagnosis and take
national and international guidelines on prudent use of antimicrobials into
account. In acute mastitis, where bacteriological diagnosis is not available,
treatment should be initiated based on herd data and personal experience. Rapid
bacteriological diagnosis would facilitate the proper selection of the
antimicrobial. Treating subclinical mastitis with antimicrobials during lactation
is seldom economical, because of high treatment costs and generally poor
efficacy. All mastitis treatment should be evidence-based, i.e., the efficacy of
each product and treatment length should be demonstrated by scientific studies.
Use of on-farm written protocols for mastitis treatment promotes a judicious use
of antimicrobials and reduces the use of antimicrobials.
PMID- 22081940
TI - The costs of repression: a meta-analysis on the relation between repressive
coping and somatic diseases.
AB - OBJECTIVE: When Freud introduced the term repression, he stated its pathogenic
potential. Since then, this notion was adapted and continued to date.
Surprisingly, there is no attempt to synthesize research on the effect of
repressive coping on somatic diseases quantitatively. The current study closes
this gap and examines the association between repressive coping as
operationalized by Weinberger, Schwartz, and Davidson (1979) and the incidence of
cancer, cardiovascular diseases, asthma, and diabetes by applying meta-analytic
techniques. METHOD: An extensive literature search identified 22 studies that met
all inclusion criteria and assessed a total of 6,775 participants. The study set
was analyzed both as a whole sample (k = 22 studies) and disease-specific (k = 2
10 studies; no study found for diabetes). RESULTS: The results of the meta
analysis indicate a higher risk for repressive copers to suffer from one of the
investigated diseases, especially cancer (logOdds after diagnosis: 0.41; 95%
confidence interval, 0.09-0.73, p = .012) and hypertension (logOdds: 0.59; 95%
confidence interval, 0.32-0.86, p < .0001). After application of a continuity
correction due to a missing control group the results for coronary heart disease
imply an increased risk for nonrepressors to be affected. Results for
cardiovascular diseases in general, heart attack, and asthma did not reach
significance. CONCLUSIONS: The current meta-analysis revealed significant
associations between repressive coping, cancer, and cardiovascular diseases,
especially hypertension. These results add to the notion of repressive coping as
a consequence of cancer as well as to its important role for the issue of
hypertension.
PMID- 22081941
TI - Knowing loved ones' end-of-life health care wishes: attachment security predicts
caregivers' accuracy.
AB - OBJECTIVE: At times, caregivers make life-and-death decisions for loved ones. Yet
very little is known about the factors that make caregivers more or less accurate
as surrogate decision makers for their loved ones. Previous research suggests
that in low stress situations, individuals with high attachment-related anxiety
are attentive to their relationship partners' wishes and concerns, but get
overwhelmed by stressful situations. Individuals with high attachment-related
avoidance are likely to avoid intimacy and stressful situations altogether. We
hypothesized that both of these insecure attachment patterns limit surrogates'
ability to process distressing information and should therefore be associated
with lower accuracy in the stressful task of predicting their loved ones' end-of
life health care wishes. METHOD: Older patients visiting a medical clinic stated
their preferences toward end-of-life health care in different health contexts,
and surrogate decision makers independently predicted those preferences. For
comparison purposes, surrogates also predicted patients' perceptions of everyday
living conditions so that surrogates' accuracy of their loved ones' perceptions
in nonstressful situations could be assessed. RESULTS: Surrogates high on either
type of insecure attachment dimension were less accurate in predicting their
loved ones' end-of-life health care wishes. It is interesting to note that even
though surrogates' attachment-related anxiety was associated with lower accuracy
of end-of-life health care wishes of their loved ones, it was associated with
higher accuracy in the nonstressful task of predicting their loved ones' everyday
living conditions. CONCLUSIONS: Attachment orientation plays an important role in
accuracy about loved ones' end-of-life health care wishes. Interventions may
target emotion regulation strategies associated with insecure attachment
orientations.
PMID- 22081943
TI - Facile and efficient route to polyimide-TiO2 nanocomposite coating onto carbon
fiber.
AB - Polyamic acid-TiO(2) hybrid colloid emulsion with an average particle size of 200
nm was formed by dispersing nano-TiO(2) into polyamic acid colloidal emulsion.
The polyimide-TiO(2) nanocomposite was coated onto carbon fiber by
electrophoretic deposition. The primary properties of polyamic acid-TiO(2) hybrid
colloid emulsion and polyimide-TiO(2) nanocomposite coating onto carbon fiber
were characterized using laser scattering, ZetaPlus particle sizing, transmission
electron microscopy, field-emission scanning electron microscope, Fourier
transforms infrared spectroscopy, and X-ray Diffraction analysis. The results
indicated that the small amount of nano-TiO(2) would be effectively dispersed in
polyamic acid colloidal particles. The polyimide-TiO(2) hybrid nanocomposite
coating carbon fiber sheet displayed an excellent photodegradation performance of
methyl orange, which could be degraded more than 70 wt % after 10 cycles.
PMID- 22081942
TI - A comprehensive assessment of mitochondrial protein synthesis and cellular
proliferation with age and caloric restriction.
AB - It is proposed that caloric restriction (CR) increases mitochondrial biogenesis.
However, it is not clear why CR increases an energetically costly biosynthetic
process. We hypothesized that 40% CR would decrease mitochondrial protein
synthesis and would be regulated by translational rather than transcriptional
mechanisms. We assessed cumulative mitochondrial protein synthesis over 6 weeks
and its transcriptional and translational regulation in the liver, heart, and
skeletal muscle of young (6 month), middle (12 month), and old (24 month) male
B6D2F1 mice that were lifelong CR or ad lib (AL) controls. Mitochondrial protein
synthesis was not different between AL and CR (fractional synthesis over 6 weeks
(range): liver, 91-100%; heart, 74-85%; skeletal muscle, 53-72%) despite a
decreased cellular proliferation in liver and heart with CR. With CR, there was
an increase in AMP-activated protein kinase phosphorylation/total (P:T) in heart
and liver, and an increase in peroxisome proliferator-activated receptor gamma
coactivator 1-alpha mRNA in all tissues, but not protein. Ribosomal protein S6
was decreased with CR. In conclusion, CR maintained mitochondrial protein
synthesis while decreasing cellular proliferation during a time of energetic
stress, which is consistent with the concept that CR increases somatic
maintenance. Alternative mechanisms to global translation initiation may be
responsible for selective translation of mitochondrial proteins.
PMID- 22081944
TI - Synchronous presentation of acute acalculous cholecystitis and appendicitis: a
case report.
AB - INTRODUCTION: Acute acalculous cholecystitis is traditionally associated with
elderly or critically ill patients. CASE PRESENTATION: We present the case of an
otherwise healthy 23-year-old Caucasian man who presented with acute right-sided
abdominal pain. An ultrasound examination revealed evidence of acute acalculous
cholecystitis. A laparoscopy was undertaken and the dual pathologies of acute
acalculous cholecystitis and acute appendicitis were discovered and a
laparoscopic cholecystectomy and appendectomy were performed. CONCLUSION: Acute
acalculous cholecystitis is a rare clinical entity in young, healthy patients and
this report describes the unusual association of acute acalculous cholecystitis
and appendicitis. A single stage combined laparoscopic appendectomy and
cholecystectomy is an effective treatment modality.
PMID- 22081945
TI - Breakdown of the integration center: right atrial ganglionated plexus dysfunction
in heart failure.
PMID- 22081947
TI - Donor cell-derived acute myeloid leukemia after second allogenic cord blood
transplantation in a patient with Fanconi anemia.
AB - DCL following hematopoietic stem cell transplantation has been reported in
approximately 5% of all leukemic relapses. There have been several reports on
DCL, mainly AML after umbilical cord blood transplantation. In this case study,
we present a young boy diagnosed with Fanconi anemia who underwent an umbilical
cord blood transplantation. Because of the graft failure, he was retransplanted
one month later, also with a cord blood transplant. Two years after the second
transplant, he developed AML, where 100% of the cells were of female donor
origin. The donor, a now 14-yr-old female, was recently reported healthy.
PMID- 22081946
TI - Furfural reduction mechanism of a zinc-dependent alcohol dehydrogenase from
Cupriavidus necator JMP134.
AB - FurX is a tetrameric Zn-dependent alcohol dehydrogenase (ADH) from Cupriavidus
necator JMP134. The enzyme rapidly reduces furfural with NADH as the reducing
power. For the first time among characterized ADHs, the high-resolution
structures of all reaction steps were obtained in a time-resolved manner, thereby
illustrating the complete catalytic events of NADH-dependent reduction of
furfural and the dynamic Zn(2+) coordination among Glu66, water, substrate and
product. In the fully closed conformation of the NADH complex, the catalytic
turnover proved faster than observed for the partially closed conformation due to
an effective proton transfer network. The domain motion triggered by NAD(H)
association/dissociation appeared to facilitate dynamic interchanges in Zn(2+)
coordination with substrate and product molecules, ultimately increasing the
enzymatic turnover rate. NAD(+) dissociation appeared to be a slow process,
involving multiple steps in concert with a domain opening and reconfiguration of
Glu66. This agrees with the report that the cofactor is not dissociated from FurX
during ethanol-dependent reduction of furfural, in which ethanol reduces NAD(+)
to NADH that is subsequently used for furfural reduction.
PMID- 22081949
TI - Editorial: a new beginning and ending?
PMID- 22081948
TI - The experience of empowerment in the patient-staff encounter: the patient's
perspective.
AB - AIM AND OBJECTIVE: The aim was to explore empowerment within the patient-staff
encounter as experienced by out-patients with chronic kidney disease. BACKGROUND:
Empowerment has an important role to play in the patient-staff relationship in
the case of patients with a chronic disease. When it comes to patients with
chronic kidney disease, there has been little research on empowerment, for which
reason interviewing such patients about their experiences of empowerment will
provide useful knowledge within the context of out-patient care. DESIGN: A
qualitative interview study was chosen to gain an understanding of empowerment
from the patient perspective. METHOD: The study was carried out at an out-patient
clinic in Sweden and involved 20 patients with chronic kidney disease. The
interviews were subjected to latent content analysis. RESULTS: Five of the seven
sub-themes emerging from the analysis represented empowerment: Accessibility
according to need, Confirming encounter, Trust in the competence of the
healthcare staff, Participation in decision-making, Learning enables better self
management. The other two represented non-empowerment: Meeting with nonchalance,
Lack of dialogue and influence. From the seven sub-themes, one comprehensive
theme was generated: Creation of trust and learning through encounter.
CONCLUSION: The main finding regarding the central role of the creation of trust
and learning through the patient-staff encounter underlines the importance of
understanding empowerment from the patient's perspective. RELEVANCE TO CLINICAL
PRACTICE: Nursing and other healthcare staff need knowledge and understanding of
the meaning of empowerment from the patients' perspective to meet their needs in
out-patient care.
PMID- 22081951
TI - Validation of tool mark analysis of cut costal cartilage.
AB - This study was designed to establish the potential error rate associated with the
generally accepted method of tool mark analysis of cut marks in costal cartilage.
Three knives with different blade types were used to make experimental cut marks
in costal cartilage of pigs. Each cut surface was cast, and each cast was
examined by three analysts working independently. The presence of striations,
regularity of striations, and presence of a primary and secondary striation
pattern were recorded for each cast. The distance between each striation was
measured. The results showed that striations were not consistently impressed on
the cut surface by the blade's cutting edge. Also, blade type classification by
the presence or absence of striations led to a 65% misclassification rate. Use of
the classification tree and cross-validation methods and inclusion of the mean
interstriation distance decreased the error rate to c. 50%.
PMID- 22081952
TI - Modes of (in)tolerance: South African Muslims and same-sex relationships.
AB - In this study we interviewed members of a small, predominantly Muslim community
in Johannesburg, South Africa, in order to ascertain attitudes towards people who
engage in same-sex practices. We were interested in ascertaining whether
community perceptions of homosexuality match the common (Western) assumption that
Islam is profoundly homophobic. Our research, while preliminary, shows that
although most people condemn same-sex practices on the grounds of religious
principle, they also in practice did not act upon these views. Respondents held
different views on whether a person is gay or lesbian as result of same-sex
behaviour, on the one hand, or same-sex desire, on the other hand. This
distinction accords with what was, for them, the difficulty of proving the same
sex practices had occurred given strict Muslim standards of proof. Community
attitudes to homosexuality usually involve denial and secrecy in order to
maintain the social fabric of daily life and relationships between community
members.
PMID- 22081954
TI - Global trend in articles related to stereotactic published in science citation
index-expanded.
AB - BACKGROUND: This is the first article using bibliometrics to study the field of
stereotactic related research. This study aims to evaluate the global scientific
production of simulation research in the category of "stereotactic" during 1993
2008 and to provide insights on the characteristics of the stereotactic related
research patterns, tendencies, and methods that might exist in the papers, as
well as in leading countries and institutes. METHODS: In this study,
"stereotactic*" was used as the keyword to search titles, abstracts, and keywords
in the database of the Science Citation Index Expanded. All the articles
referring to stereotactic during the studied years, were assessed by the
following aspects: document type of publication, characteristics of publication
outputs, distribution of outputs in journals, publication outputs of source
country, source institute, and analysis of words cluster in title, author
keywords, and KeyWords Plus. RESULTS: Eleven document types were found in the
total 10 015 publications during 1993-2008. Clinical neurology was the most
common category in stereotactic-related research. Neurosurgery listed in
categories of clinical neurology and surgery, ranked first. The most productive
country and institute were USA and University of Pittsburgh respectively. Words
cluster analysis was elaborated regarding the issues of movement disorders,
radiosurgery, tumor, and vascular/stroke, it revealed the sharp rise of articles
from 1995 until the end of the period covered in "movement disorders" category.
CONCLUSIONS: The results analyzed by this bibliometric method can show the
research performance, significant events and major inventors, those attributed to
stereotactic neurosurgery, and trend of stereotactic related research.
PMID- 22081953
TI - Ozonated autohemotherapy: protection of kidneys from ischemia in rats subjected
to unilateral nephrectomy.
AB - BACKGROUND: Ozonated autohemotherapy (OA) has been previously successfully used
in the treatment of patients affected by peripheral occlusive arterial disease.
OA consists of an intrafemoral reinfusion of autologous blood previously exposed
to a mixture of oxygen/ozone (O2/O3). This study analyzes the effects of OA in
protecting rat kidney from ischemia and ischemia/reperfusion damage. METHODS: We
performed OA 30 min before the induction of 60 min renal ischemia or at the
induction of 60 min postischemic reperfusion in rats subjected to unilateral
nephrectomy. In addition, to evidence the possible protection induced by O2/O3 on
endothelial functions, the present study analyzes the in vitro effects of O2/O3
on oxygen consumption by human umbilical vein endothelial cells (HUVEC). RESULTS:
1) OA preserves rat kidney functions and architecture, as demonstrated by the
improved levels of serum creatinine and blood urea nitrogen and by histology; 2)
such protection does not correlate with the increase of plasmatic nitric oxide,
but is compatible with a focal renal increase of renal betaNADPH-diaphorase; 3)
treatment of HUVEC with O2/O3 significantly increases both the rate of oxygen
consumption and the mitochondrial activity assessed by confocal microscopy.
CONCLUSION: The preservation of the mitochondrial activity of endothelium could
in vivo limit the endothelial dysfunction provoked by the Isc or Isc/R processes.
PMID- 22081955
TI - Multiple cerebral aneurysms secondary to cardiac myxoma.
AB - A 45-year-old lady presented with transient ischemic attack symptoms. Subsequent
CT and DSA revealed multiple fusiform dilatations of cerebral vessels. A search
for sources of emboli led to an atrial myxoma being found on echocardiogram. She
made a good recovery after resection of the atrial myxoma. The aneurysms were
managed conservatively. We discuss the association of multiple cerebral aneurysms
with atrial myxoma.
PMID- 22081956
TI - Oxford craniotomy infections database: a cost analysis of craniotomy infection.
AB - We describe the process of establishing a large database for the investigation of
craniotomy infection and the preliminary results of this database. The initial
results have been used to generate a cost analysis for craniotomy infection. The
craniotomy infections database prospectively registers craniotomy cases taking
place in the John Radcliffe Hospital. In order to achieve this, each patient's
details are registered at the time of operation and followed up to identify cases
of infection. Infection was defined strictly according to Centre for Disease
Control criteria and validated by at least two members of clinical staff. The
first 10 months of data are presented here which identifies a total of 245
craniotomies and 20 verified craniotomy infections. An overall infection rate of
8% is identified, and the cost incurred by the neurosurgery department as a
result of craniotomy infections is estimated at L1 85 660 for the 10-month period
studied. This amounts to a cost per case of infection of L9283.
PMID- 22081957
TI - General anxiety, depression, and physical health in relation to symptoms of heart
focused anxiety- a cross sectional study among patients living with the risk of
serious arrhythmias and sudden cardiac death.
AB - OBJECTIVE: To investigate the role of three distinct symptoms of heart-focused
anxiety (cardio-protective avoidance, heart-focused attention, and fear about
heart sensations) in relation to general anxiety, depression and physical health
in patients referred to specialized cardio-genetics outpatient clinics in Norway
for genetic investigation and counseling. METHODS: Participants were 126 patients
(mean age 45 years, 53.5% women). All patients were at higher risk than the
average person for serious arrhythmias and sudden cardiac death (SCD) because of
a personal or a family history of an inherited cardiac disorder (familial long QT
syndrome or hypertrophic cardiomyopathy). Patients filled in, Hospital Anxiety
and Depression Scale, Short-Form 36 Health Survey, and Cardiac Anxiety
Questionnaire, two weeks before the scheduled counseling session. RESULTS: The
patients experienced higher levels of general anxiety than expected in the
general population (mean difference 1.1 (p < 0.01)). Hierarchical regression
analyses showed that avoidance and fear was independently related to general
anxiety, depression, and physical health beyond relevant demographic covariates
(age, gender, having children) and clinical variables (clinical diagnosis, and a
recent SCD in the family). In addition to heart-focused anxiety, having a
clinical diagnosis was of importance for physical health, whereas a recent SCD in
the family was independently related to general anxiety and depression,
regardless of disease status. CONCLUSION: Avoidance and fear may be potentially
modifiable symptoms. Because these distinct symptoms may have important roles in
determining general anxiety, depression and physical health in at-risk
individuals of inherited cardiac disorders, the present findings may have
implications for the further development of genetic counseling for this patient
group.
PMID- 22081958
TI - Clinically important drug-drug interactions in primary care.
AB - WHAT IS KNOWN AND OBJECTIVE: Drug-drug interactions (DDIs) cause considerable
morbidity and mortality worldwide and may lead to hospital admission.
Sophisticated computerized drug information and monitoring systems, more recently
established in many of the emerging economies, including Malaysia, are capturing
useful information on prescribing. Our aim is to report on an investigation of
potentially serious DDIs, using a university primary care-based system capturing
prescription records from its primary care services. METHODS: We retrospectively
collected data from two academic years over 20 months from computerized databases
at the Universiti Sains Malaysia (USM) from users of the USM primary care
services. RESULTS AND DISCUSSION: Three hundred and eighty-six DDI events were
observed in a cohort of 208 exposed patients from a total of 23,733 patients,
representing a 2-year period prevalence of 876.4 per 100,000 patients. Of the 208
exposed patients, 138 (66.3%) were exposed to one DDI event, 29 (13.9%) to two
DDI events, 15 (7.2%) to three DDI events, 6 (2.9%) to four DDI events and 20
(9.6%) to more than five DDI events. Overall, an increasing mean number of
episodes of DDIs was noted among exposed patients within the age category >=70
years (P=0.01), an increasing trend in the number of medications prescribed
(P<0.001) and an increasing trend in the number of long-term therapeutic groups
(P<0.001). WHAT IS NEW AND CONCLUSION: We describe the prevalence of clinically
important DDIs in an emerging economy setting and identify the more common
potentially serious DDIs. In line with the observations in developed economies, a
higher number of episodes of DDIs were seen in patients aged >=70 years and with
more medications prescribed. The easiest method to reduce the frequency of DDIs
is to reduce the number of medications prescribed. Therapeutic alternatives
should be selected cautiously.
PMID- 22081959
TI - Relationship between obesity/overweight status, sugar consumption and dental
caries among adolescents in South India.
AB - OBJECTIVE: To evaluate the relationship between obesity/overweight status, sugar
consumption and dental caries among adolescents in Udupi District, India.
METHODS: Study population consisted of 463 school children aged between 13 and 15
years. Information on age, sex, type of school attending and frequency of sugar
consumption per day was recorded by a structured self-administered questionnaire.
Body mass index (BMI) (height in metres and weight in kilograms) and caries
measurements (DMFT) were taken by a trained recorder according to standard
criteria. RESULTS: Majority of the children were having low normal weight, with
18.6% in overweight and 3.5% in obese groups. There was a significant difference
in the frequency of sugar consumption between the BMI groups. Obese group of
children had more caries than the overweight and low-normal-weight children.
Correlation analysis showed significant positive relation with BMI, decayed teeth
and DMFT. Regression analysis showed that caries experience had a significant
association with male sex (OR = 2.09, CI = 1.01-4.33), overweight/obese (OR =
3.68, CI = 1.79-7.56) and frequency of sugar consumption more than once per day
(OR = 3.13, CI = 1.25-7.85). CONCLUSION: There was a significant association
between overweight/obesity and caries experience among school children of Udupi
District. Obesity and dental caries have common risk determinants and require a
comprehensive multidisciplinary approach to paediatric patients by both medical
and dental healthcare professionals.
PMID- 22081960
TI - Crystallographic proof for an extended hydrogen-bonding network in small prolyl
isomerases.
AB - Parvulins compose a family of small peptidyl-prolyl isomerases (PPIases) involved
in protein folding and protein quality control. A number of amino acids in the
catalytic cavity are highly conserved, but their precise role within the
catalytic mechanism is unknown. The 0.8 A crystal structure of the prolyl
isomerase domain of parvulin Par14 shows the electron density of hydrogen atoms
between the D74, H42, H123, and T118 side chains. This threonine residue has
previously not been associated with catalysis, but a corresponding T152A mutant
of Pin1 shows a dramatic reduction of catalytic activity without compromising
protein stability. The observed catalytic tetrad is strikingly conserved in Pin1-
and parvulin-type proteins and hence constitutes a common feature of small
peptidyl prolyl isomerases.
PMID- 22081961
TI - Return to military weight standards after pregnancy in active duty working women:
comparison of marine corps vs. navy.
AB - OBJECTIVE: To compare the likelihood of being within weight standards before and
after pregnancy between United States Marine Corps (USMC) and Navy (USN) active
duty women (ADW). METHODS: ADW with singleton gestations who delivered at a USMC
base were followed for 6 months to determine likelihood of returning to military
weight standards. Odds ratio (OR), adjusted odds ratio (AOR) and 95% confidence
intervals were calculated; p < 0.05 was considered significant. RESULTS: Similar
proportions of USN and USMC ADW were within body weight standards one year prior
to pregnancy (79%, 97%) and at first prenatal visit (69%, 96%), respectively.
However, USMC ADW were significantly more likely to be within body weight
standards at 3 months (AOR 4.30,1.28-14.43) and 6 months after delivery (AOR
9.94, 1.53-64.52) than USN ADW. Weight gained during pregnancy did not differ
significantly for the two groups (40.4 lbs vs 44.2 lbs, p = 0.163). The
likelihood of spontaneous vaginal delivery was significantly higher (OR 2.52,
1.20-5.27) and the mean birth weight was significantly lower (p = 0.0036) among
USMC ADW as compared to USN ADW. CONCLUSIONS: Being within weight standards
differs significantly for USMC and USN ADW after pregnancy.
PMID- 22081962
TI - Farm management factors associated with bulk tank somatic cell count in Irish
dairy herds.
AB - The relationship between bulk tank somatic cell count (SCC) and farm management
and infrastructure was examined using data from 398 randomly selected, yet
representative, Irish dairy farms where the basal diet is grazed grass. Median
bulk tank SCC for the farms was 282,887 cells/ml ranging from 82,209 to 773,028
cells/ml. Two questionnaires were administered through face-to-face contact with
each farmer. Herd-level factors associated with bulk tank SCC were determined
using linear models with annual somatic cell score (i.e., arithmetic mean of the
natural logarithm of bulk tank SCC) included as the dependent variable. All herd
level factors were analysed individually in separate regression models, which
included an adjustment for geographical location of the farm; a multiple
regression model was subsequently developed. Management practices associated with
low SCC included the use of dry cow therapy, participation in a milk recording
scheme and the use of teat disinfection post-milking. There was an association
between low SCC and an increased level of hygiene and frequency of cleaning of
the holding yard, passageways and cubicles. Herd management factors associated
with bulk tank SCC in Irish grazing herds are generally in agreement with most
previous studies from confinement systems of milk production.
PMID- 22081963
TI - Chronic obstructive pulmonary disease (COPD) rehabilitation at primary health
care centres - the KOALA project.
AB - BACKGROUND: Implementation of pulmonary rehabilitation in primary health care in
Denmark is a new challenge in the management of patients with chronic obstructive
pulmonary disease (COPD). OBJECTIVES: To assess the feasibility of introducing a
nationwide web-based tool for data recording and quality assurance in the
rehabilitation programmes and to evaluate whether patients are referred correctly
according to Danish guidelines for community based COPD rehabilitation. METHODS:
Participation in the KOALA project has been offered to the municipalities since
October 2007. As of October 2010, 62 health-care centres have been invited to
participate. We present summary statistics and correlation analyses of the 1699
patients who have been enrolled so far. RESULTS: Thirty-three municipalities are
currently engaged in the KOALA project. Descriptive analyses reveal that 33% of
the patients do not meet the criteria for pulmonary rehabilitation in terms of
dyspnoea upon exertion at the baseline visit. Furthermore, information on
severity of COPD is missing for 18% of the attendants. The majority of the
referred patients have moderate COPD, which is in accordance with the intentions
of rehabilitation in the community. Statistical analyses show that COPD-level and
grade of dyspnoea are positively correlated and expose significant correlations
between both COPD-level and dyspnoea and 6 minutes walking distance (6MWD),
incremental shuttle walk distance (ISWT) and quality of life. CONCLUSIONS: We
conclude that the municipalities in general are interested in the KOALA project
as a mean of data recording and sharing and as a quality instrument. Summary
statistics show that there is room for improvement in referral and baseline
assessments of patients suitable for pulmonary rehabilitation in a community
setting.
PMID- 22081964
TI - The p66Shc knocked out mice are short lived under natural condition.
AB - Deletion of the p66(Shc) gene results in lean and healthy mice, retards aging,
and protects from aging-associated diseases, raising the question of why p66(Shc)
has been selected, and what is its physiological role. We have investigated
survival and reproduction of p66(Shc)-/- mice in a population living in a large
outdoor enclosure for a year, subjected to food competition and exposed to winter
temperatures. Under these conditions, deletion of p66(Shc) was strongly
counterselected. Laboratory studies revealed that p66(Shc)-/- mice have defects
in fat accumulation, thermoregulation, and reproduction, suggesting that p66(Shc)
has been evolutionarily selected because of its role in energy metabolism. These
findings imply that the health impact of targeting aging genes might depend on
the specific energetic niche and caution should be exercised against premature
conclusions regarding gene functions that have only been observed in protected
laboratory conditions.
PMID- 22081965
TI - Chemical nature of superhydrophobic aluminum alloy surfaces produced via a one
step process using fluoroalkyl-silane in a base medium.
AB - Various surface characterization techniques were used to study the modified
surface chemistry of superhydrophobic aluminum alloy surfaces prepared by
immersing the substrates in an aqueous solution containing sodium hydroxide and
fluoroalkyl-silane (FAS-17) molecules. The creation of a rough micronanostructure
on the treated surfaces was revealed by scanning electron microscopy (SEM). X-ray
photoelectron spectroscopy (XPS) and infrared reflection absorption spectroscopy
(IRRAS) confirmed the presence of low surface energy functional groups of
fluorinated carbon on the superhydrophobic surfaces. IRRAS also revealed the
presence of a large number of OH groups on the hydrophilic surfaces. A possible
bonding mechanism of the FAS-17 molecules with the aluminum alloy surfaces has
been suggested based on the IRRAS and XPS studies. The resulting surfaces
demonstrated water contact angles as high as ~166 degrees and contact angle
hystereses as low as ~4.5 degrees . A correlation between the contact angle, rms
roughnesses, and the chemical nature of the surface has been elucidated.
PMID- 22081966
TI - Functional characterization and target discovery of glycoside hydrolases from the
digestome of the lower termite Coptotermes gestroi.
AB - BACKGROUND: Lignocellulosic materials have been moved towards the forefront of
the biofuel industry as a sustainable resource. However, saccharification and the
production of bioproducts derived from plant cell wall biomass are complex and
lengthy processes. The understanding of termite gut biology and feeding
strategies may improve the current state of biomass conversion technology and
bioproduct production. RESULTS: The study herein shows comprehensive functional
characterization of crude body extracts from Coptotermes gestroi along with
global proteomic analysis of the termite's digestome, targeting the
identification of glycoside hydrolases and accessory proteins responsible for
plant biomass conversion. The crude protein extract from C. gestroi was
enzymatically efficient over a broad pH range on a series of natural
polysaccharides, formed by glucose-, xylose-, mannan- and/or arabinose-containing
polymers, linked by various types of glycosidic bonds, as well as ramification
types. Our proteomic approach successfully identified a large number of relevant
polypeptides in the C. gestroi digestome. A total of 55 different proteins were
identified and classified into 29 CAZy families. Based on the total number of
peptides identified, the majority of components found in the C. gestroi digestome
were cellulose-degrading enzymes. Xylanolytic enzymes, mannan- hydrolytic
enzymes, pectinases and starch-degrading and debranching enzymes were also
identified. Our strategy enabled validation of liquid chromatography with tandem
mass spectrometry recognized proteins, by enzymatic functional assays and by
following the degradation products of specific 8-amino-1,3,6-pyrenetrisulfonic
acid labeled oligosaccharides through capillary zone electrophoresis.
CONCLUSIONS: Here we describe the first global study on the enzymatic repertoire
involved in plant polysaccharide degradation by the lower termite C. gestroi. The
biochemical characterization of whole body termite extracts evidenced their
ability to cleave all types of glycosidic bonds present in plant polysaccharides.
The comprehensive proteomic analysis, revealed a complete collection of
hydrolytic enzymes including cellulases (GH1, GH3, GH5, GH7, GH9 and CBM 6),
hemicellulases (GH2, GH10, GH11, GH16, GH43 and CBM 27) and pectinases (GH28 and
GH29).
PMID- 22081967
TI - Risk of mortality for ventricular arrhythmia in ambulatory LVAD patients.
AB - BACKGROUND: There are limited data regarding the incidence and prognostic
significance of ventricular arrhythmias (VA) in ambulatory continuous flow left
ventricular assist device (LVAD) patients. METHODS: Sixty-one consecutive
patients from November 1, 2006 through December 31, 2010 with an LVAD and
implantable cardioverter defibrillator that survived to discharge from the LVAD
implantation admission were studied. Follow-up began from date of discharge with
both devices in situ and ended with death, transplant, on June 1, 2011. Pre-LVAD
VA history was related to the primary endpoints of post-LVAD VA, mortality, and
the combined endpoint of post-LVAD VA/mortality. RESULTS: During a mean follow-up
of 622 days 19 patients (31%) experienced VA (14 episodes of VT, 5 episodes of
VF). Pre-LVAD VA was predictive of post-LVAD VA (hazard ratio [HR] 2.91, P =
0.026) and the combined post-LVAD VA/mortality endpoint (HR 2.70, P = 0.021) but
only displayed a nonsignificant association with mortality (HR 2.30, P = 0.11).
In multivariate analysis, pre-LVAD VA remained a significant predictor of post
LVAD VA (HR 2.84, P = 0.03) and the combined post-LVAD VA/mortality endpoint (HR
2.65, P = 0.025). Post-LVAD VA was the strongest univariate predictor of
mortality (HR 13.92, P < 0.001) and remained so after multivariate adjustment (HR
9.69, P = 0.001). Post-LVAD VA occurred at a mean of 1 year from mortality events
with 45% within 1 month. CONCLUSIONS: Pre-LVAD VA is a significant predictor of
post-LVAD VA but not of mortality. VA in the continuous flow LVAD population
carries a significant risk of mortality often within the first month.
PMID- 22081968
TI - Pediatric liver transplantation - ethical dilemmas in a disabled patient.
AB - Allocation of medical resources, especially resources with absolute scarcity such
as organs for transplant, is a difficult task. Medical, surgical, and ethical
considerations should be evaluated. In solid organ transplantation, ethics
committees are the gate keepers that deal with moral philosophy when moral values
are in conflict. Often, no good solution to a dilemma in these medical ethics
exists. Our case presents split living liver donation for retransplantation in a
mentally disabled girl, with few medical ethics principles at stake.
PMID- 22081969
TI - Molecular analysis of the crenarchaeal flagellum.
AB - The ability to move towards favourable conditions provides fundamental advantages
to organisms. Interestingly, flagella as motility structures evolved
independently in the bacterial and the archaeal kingdom. Whereas bacterial
flagella have been intensively studied, our knowledge regarding the archaeal
counterpart is mostly restricted to Euryarchaeota rather than crenarchaeal
flagella. We therefore investigated the flagellar assembly system of the
crenarchaeal model organism Sulfolobus acidocaldarius in vivo. Promoter studies
and qRT-PCR analyses of the flagella gene cluster provided evidence that the
expression of the fla genes was induced by tryptone starvation. Moreover, we
confirmed presence of a secondary fla promoter within the flaB gene that
regulates the transcription of downstream genes flaX-J. Markerless in-frame
deletions for all fla genes encoded in the fla gene cluster were constructed.
Western blot analysis of all fla deletion strains suggested hierarchical protein
interactions during the archaeal flagella assembly. Moreover, functional analysis
by thermomicroscopy revealed non-motile cells for each of the mutant strains.
Electron micrographs demonstrated that lack of motility coincided with the loss
of flagellar assembly. Thus we demonstrated that all seven fla genes are
essential for crenarchaeal flagellum assembly and function.
PMID- 22081970
TI - Identification of two independent nucleosome-binding domains in the
transcriptional co-activator SPBP.
AB - Transcriptional regulation requires co-ordinated action of transcription factors,
co-activator complexes and general transcription factors to access specific loci
in the dense chromatin structure. In the present study we demonstrate that the
transcriptional co-regulator SPBP [stromelysin-1 PDGF (platelet-derived growth
factor)-responsive element binding protein] contains two independent chromatin
binding domains, the SPBP-(1551-1666) region and the C-terminal extended PHD
[ePHD/ADD (extended plant homeodomain/ATRX-DNMT3-DNMT3L)] domain. The region 1551
1666 is a novel core nucleosome-interaction domain located adjacent to the AT
hook motif in the DNA-binding domain. This novel nucleosome-binding region is
critically important for proper localization of SPBP in the cell nucleus. The
ePHD/ADD domain associates with nucleosomes in a histone tail-dependent manner,
and has significant impact on the dynamic interaction between SPBP and chromatin.
Furthermore, SPBP and its homologue RAI1 (retinoic-acid-inducible protein 1), are
strongly enriched on chromatin in interphase HeLa cells, and both proteins
display low nuclear mobility. RAI1 contains a region with homology to the novel
nucleosome-binding region SPBP-(1551-1666) and an ePHD/ADD domain with ability to
bind nucleosomes. These results indicate that the transcriptional co-regulator
SPBP and its homologue RAI1 implicated in Smith-Magenis syndrome and Potocki
Lupski syndrome both belong to the expanding family of chromatin-binding proteins
containing several domains involved in specific chromatin interactions.
PMID- 22081971
TI - Nurses' perceptions and attitudes towards computerisation in a private hospital.
AB - AIMS: To explore nurses' attitudes and level of satisfaction in using the
Hospital Information System in clinical practice. BACKGROUND: Application of the
Hospital Information System is increasing and there is substantial evidence
suggesting that the successful implementation of Hospital Information System is
significantly related to nurses' attitudes. However, little information is
currently available on the factors influencing those attitudes and their level of
satisfaction in Hospital Information System use. DESIGN: Cross-sectional survey.
METHODS: A stratified, random sampling of 342 nurses working in a Hong Kong
private hospital and using Hospital Information System was recruited. A
questionnaire was used to collect data on perceptions, level of satisfaction and
attitudes towards Hospital Information System usage. Correlations and linear
regressions were used to analyse the data. RESULTS: Multiple linear regression
analysis showed that work units, perceived usefulness and information technology
support are the three predictors of nurses' attitudes and level of satisfaction
with the system. In addition, nurses who have higher perceived ease of use and
better satisfaction towards using the system are associated with positive
attitude. CONCLUSION: The study results serve to guide the nurse managers to
develop information systems based on the nurses' needs. Previous studies regarded
nurses' level of satisfaction as a part of nurses' attitude. What is of interest
in this study is that nurses' satisfaction level can be viewed as an individual
factor affecting nurses' attitudes. With a user-friendly system, nurses tend to
be more satisfied and therefore potentially more engaged in its use. This may
indirectly improve patient care by saving time on documentation and enhancing
communication among departments. RELEVANCE TO CLINICAL PRACTICE: The nurse
managers should promote an institutional culture to motivate staff towards
positive acceptance of innovation. An effective collaboration with the
information technology team would enhance a more efficient exchange of
information to understand the complex factors of IT implementation.
PMID- 22081973
TI - Membrane activity of tetra-p-guanidinoethylcalix[4]arene as a possible reason for
its antibacterial properties.
AB - Tetra-p-guanidinoethylcalix[4]arene trifluoroacetate salt (CX1) was synthesized
recently as an antibacterial agent. It showed to be active in vitro against
various Gram-positive and Gram-negative bacteria. To get more insight in the
mechanism of the biological activity of this derivative, it was studied upon
interactions with model lipid membranes. Langmuir monolayers were formed with
zwitterionic 1,2-dimyristoyl-sn-glycero-3-phosphocholine or 1,2-dimyristoyl-sn
glycero-3-phosphoethanolamine, and with anionic 1,2-dimyristoyl-sn-glycero-3
phospho-rac-(1-glycerol) and 1,2-dimyristoyl-sn-glycero-3-phospho-L-serine. The
two classes of lipids were used, respectively, as model lipids of the eukaryotic
and bacterial cell membranes. The monolayers were exposed to CX1 at different
concentrations around the minimum inhibitory concentration found for E. coli .
The surface pressure-area and surface potential-area compression isotherms, as
well as Brewster angle microscopy and polarization-modulation infrared reflection
absorption spectroscopy, were employed to study the monolayers. The results
obtained show a higher affinity of CX1 for the anionic lipids, indicating
importance of charge-charge interactions. On the basis of a comparative study of
the behavior of CX1 and that of p-guanidinoethylphenol trifluoroacetate salt, we
propose that interplay of charge-charge and apolar interactions between CX1 and
lipids is responsible for the important reorganization of model membranes. This
proposal may be helpful in developing new antibacterial calixarene derivatives.
PMID- 22081974
TI - Ki67, chemotherapy response, and prognosis in breast cancer patients receiving
neoadjuvant treatment.
AB - BACKGROUND: The pathological complete response (pCR) after neoadjuvant
chemotherapy is a surrogate marker for a favorable prognosis in breast cancer
patients. Factors capable of predicting a pCR, such as the proliferation marker
Ki67, may therefore help improve our understanding of the drug response and its
effect on the prognosis. This study investigated the predictive and prognostic
value of Ki67 in patients with invasive breast cancer receiving neoadjuvant
treatment for breast cancer. METHODS: Ki67 was stained routinely from core
biopsies in 552 patients directly after the fixation and embedding process.
HER2/neu, estrogen and progesterone receptors, and grading were also assessed
before treatment. These data were used to construct univariate and multivariate
models for predicting pCR and prognosis. The tumors were also classified by
molecular phenotype to identify subgroups in which predicting pCR and prognosis
with Ki67 might be feasible. RESULTS: Using a cut-off value of > 13% positively
stained cancer cells, Ki67 was found to be an independent predictor for pCR (OR
3.5; 95% CI, 1.4, 10.1) and for overall survival (HR 8.1; 95% CI, 3.3 to 20.4)
and distant disease-free survival (HR 3.2; 95% CI, 1.8 to 5.9). The mean Ki67
value was 50.6 +/- 23.4% in patients with pCR. Patients without a pCR had an
average of 26.7 +/- 22.9% positively stained cancer cells. CONCLUSIONS: Ki67 has
predictive and prognostic value and is a feasible marker for clinical practice.
It independently improved the prediction of treatment response and prognosis in a
group of breast cancer patients receiving neoadjuvant treatment. As mean Ki67
values in patients with a pCR were very high, cut-off values in a high range
above which the prognosis may be better than in patients with lower Ki67 values
may be hypothesized. Larger studies will be needed in order to investigate these
findings further.
PMID- 22081975
TI - HIV serostatus disclosure and lived experiences of adolescents at the Transition
Clinic of the Infectious Diseases Clinic in Kampala, Uganda: a qualitative study.
AB - Most studies on HIV serostatus disclosure and adolescents focus on whether, how
and when to disclose to adolescents their HIV diagnosis. Fewer studies have
examined HIV serostatus disclosure by adolescents who know they are infected with
HIV. This study presents qualitative data examining HIV serostatus and treatment
disclosure practices and concerns of young people living with HIV in Uganda and
the extent to which they are satisfied with current norms around HIV serostatus
and treatment disclosure. We conducted two focus groups and interviewed 20 HIV
infected young people aged 15-23 receiving HIV care and treatment at the
Transition Clinic in Kampala. Respondents perceived disclosure as a relationship
encompassing both communication and self-conduct. Adolescents employed unique
strategies to disclose their HIV status, notably joking to "test the waters" and
emotionally prepare the other person before later disclosing in a more serious
manner. Findings reinforce the idea that HIV disclosure is a process, not a one
time event. Interviewees anticipated both positive and negative outcomes of
disclosure, including financial and emotional support, stigma, discrimination and
rejection. They described a sense of violation of their autonomy when
confidentiality was breached by third party disclosure, and also expressed fear
of emotional distress for their loved ones. Although adolescents yearned to be in
control of information about their HIV status and treatment, they have little
space to call their own, and privacy is often compromised, especially because in
traditional African settings, young people are considered to be dependents under
the full responsibility of caregivers. Further exploration of disclosure outcomes
and strategies specific to adolescents can help better tailor interventions
towards youth. Antiretroviral therapy programmes should consider counselling for
caretakers to appreciate and respect the privacy and disclosure concerns of their
HIV-infected children.
PMID- 22081977
TI - How is active transport associated with children's and adolescents' physical
activity over time?
AB - BACKGROUND: As few longitudinal studies have examined how active transport is
associated with physical activity among children and adolescents over time, and
how active transport tracks through childhood and adolescence, it is important to
understand whether physically active children retain their activity patterns
through adolescence. This study aimed to examine (a) tracking of active transport
and of moderate-to-vigorous physical activity (MVPA) across childhood and
adolescence in two age cohorts; and (b) associations between active transport and
MVPA at three distinct time-points, over five years. METHODS: This longitudinal
study of two cohorts aged 5-6 years (n = 134) and 10-12 years (n = 201) at
baseline (T1), in Melbourne, Australia, gathered follow-up data at three (T2) and
five years (T3). Walking/cycling to local destinations was survey-reported; while
MVPA was recorded using accelerometers and mean time spent daily in MVPA on week
days and on weekends was computed. Tracking of these behaviours was examined over
five years using General Estimating Equations. Linear regression analyses were
performed to examine associations between active transport and MVPA at each time
point. RESULTS: Active transport tracked moderately among children (boys, betas =
0.36; girls, betas = 0.51) but not among adolescents. Physical activity tracked
moderately (betas value range: 0.33-0.55) for both cohorts. Active transport was
not associated with children's MVPA at any time-point, but was associated with
adolescent boys' MVPA on week days at T1 (B = 1.37 (95% CI: 0.15, 2.59)), at T2
(B = 1.27 (95% CI: 0.03, 2.51)) and at T3 (B = 0.74 (95% CI: 0.01, 1.47)), and
with adolescent girls' MVPA on week days (B = 0.40 (95% CI: 0.04, 0.76)) and on
weekends (B = 0.54 (95% CI: 0.16, 0.93)) at T3 only. CONCLUSION: Active transport
was associated only with boys' MVPA during early adolescence and with boys' and
girls' MVPA during late adolescence. While active transport should be encouraged
among all school-aged children, it may provide an important source of habitual
physical activity for adolescent girls, in particular, among whom low and
declining physical activity levels have been reported world-wide.
PMID- 22081976
TI - Antimicrobial properties of amyloid peptides.
AB - More than two dozen clinical syndromes known as amyloid diseases are
characterized by the buildup of extended insoluble fibrillar deposits in tissues.
These amorphous Congo red staining deposits known as amyloids exhibit a
characteristic green birefringence and cross-beta structure. Substantial evidence
implicates oligomeric intermediates of amyloids as toxic species in the
pathogenesis of these chronic disease states. A growing body of data has
suggested that these toxic species form ion channels in cellular membranes
causing disruption of calcium homeostasis, membrane depolarization, energy
drainage, and in some cases apoptosis. Amyloid peptide channels exhibit a number
of common biological properties including the universal U-shape beta-strand-turn
beta-strand structure, irreversible and spontaneous insertion into membranes,
production of large heterogeneous single-channel conductances, relatively poor
ion selectivity, inhibition by Congo red, and channel blockade by zinc. Recent
evidence has suggested that increased amounts of amyloids not only are toxic to
its host target cells but also possess antimicrobial activity. Furthermore, at
least one human antimicrobial peptide, protegrin-1, which kills microbes by a
channel-forming mechanism, has been shown to possess the ability to form extended
amyloid fibrils very similar to those of classic disease-forming amyloids. In
this paper, we will review the reported antimicrobial properties of amyloids and
the implications of these discoveries for our understanding of amyloid structure
and function.
PMID- 22081978
TI - Predictors of work-related musculoskeletal disorders among dental hygienists.
AB - OBJECTIVES: Work-related musculoskeletal disorders (MSD) have been recognized as
a considerable problem for the dental hygiene profession, with the majority of
professionals reporting musculoskeletal pain. The aim of this study was to
investigate which risk factors may help predict MSD among Australian dental
hygienists. METHODS: A modified version of the Standardised Nordic questionnaire
was distributed to registered hygienists throughout Australia. RESULTS: Logistic
regression analysis revealed a variety of work-related and psychosocial factors
that correlate with reported MSD, including scaling tasks, type of practice and
work interference in home life. Statistical predictors for non-reporting of MSD
included wearing loupes, ergonomics education and wage satisfaction. Concerning
is the impact on the profession, with an association between MSD and hygienists
considering reducing working hours or even alternate careers. CONCLUSIONS: In
general, it appears as though the causes of MSD among dental hygienists may be
multifactorial, as may be the solutions to this problem. Further research is
required to objectively examine whether controllable variables can be implemented
as preventive strategies or interventions for MSD.
PMID- 22081980
TI - 2011 Nobel Prize in Physiology or Medicine to three immunologists.
PMID- 22081979
TI - Importance of the C2, N7, and C8 positions to the mutagenic potential of 8-Oxo-2'
deoxyguanosine with two A family polymerases.
AB - 8-Oxo-2'-deoxyguanosine (OdG) is a prominent DNA lesion produced from the
reaction of 2'-deoxyguanosine (dG) with reactive oxygen species. While dG directs
the insertion of only dCTP during replication, OdG can direct the insertion of
either dCTP or dATP, allowing for the production of dG -> dT transversions. When
replicated by Klenow fragment-exo (KF-exo), OdG preferentially directs the
incorporation of dCTP over dATP, thus decreasing its mutagenic potential.
However, when replicated by a highly related polymerase, the large fragment of
polymerase I from Bacillus stearothermophilus (BF), dATP incorporation is
preferred, and a higher mutagenic potential results. To gain insight into the
reasons for this opposite preference and the effects of the C2, N7, and C8
positions on OdG mutagenicity, single-nucleotide insertions of dCTP and/or dATP
opposite dG, OdG, and seven of their analogues were examined by steady state
kinetics with both KF-exo and BF. Results from these studies suggest that the two
enzymes behave similarly and are both sensitive not only to steric and electronic
changes within the imidazole ring during both dCTP and dATP incorporation but
also to the presence of the C2-exocyclic amine during dATP incorporation. The
difference in incorporation preference opposite OdG appears to be due to a
somewhat increased sensitivity to structural perturbations during dCTP
incorporation with BF. Single-nucleotide extensions past the resulting base pairs
were also studied and were not only similar between the two enzymes but also
consistent with published ternary crystallographic studies with BF. These results
are analyzed in the context of previous biochemical and structural studies, as
well as stability studies with the resulting base pairs.
PMID- 22081981
TI - A randomized trial investigating an iron-rich bread as a prophylaxis against iron
deficiency in pregnancy.
AB - The iron-rich bread (2.2 mg iron per 50 g slice) used in the study was developed
using Eragrostis tef flour, naturally rich in iron. Iron deficiency is prevalent
in pregnancy and compliance with supplements can be low. In this double-blind,
randomized trial 34 Caucasian, primiparous antenatal patients were randomized to
receive intervention bread or a placebo for 6 weeks. Women consumed on an average
of 2.3 slices per day, providing a total of 5.0 mg iron. Using World Health
Organisation (2001) haemoglobin cut-offs, 12% of participants eating the iron
rich bread were iron deficient by the end of the study compared with 27% in the
control group. For other markers of iron status, these were improved in the
placebo versus the treatment group. For example, a significant decline in serum
iron and transferrin saturation was not observed in this group. Findings
demonstrate that other modes of delivery, i.e. food fortification, may be needed
to generate 'physiological effects', or further measures are taken to improve
intervention compliance.
PMID- 22081982
TI - -NH- termination of the Si(111) surface by wet chemistry.
AB - For over a quarter of a century the hydrogen-terminated Si(111) single
crystalline surface has been the gold standard as a starting point for silicon
surface modification chemistry. However, creating a well-defined and stable
interface based on Si-N bonds has remained elusive. Despite the fact that azides,
nitro compounds, and amines do lead to the formation of surface Si-N, each of
these modification schemes produces additional carbon- or oxygen-containing
functional groups that in turn react with the surface itself, leaving
contaminants that affect the interface properties for any further modification
protocols. We describe the preparation of a Si(111) surface functionalized
predominantly with Si-NH-Si species based on chlorination followed by the room
temperature ammonia treatment utilizing NH(3)-saturated tetrahydrofuran (THF).
The obtained surface has been characterized by infrared spectroscopy and X-ray
photoelectron spectroscopy. This analysis was supplemented with DFT calculations.
This newly characterized surface will join the previously established H-Si(111)
and Cl-Si(111) surfaces as a general starting point for the preparation of oxygen
and carbon-free interfaces, with numerous potential applications.
PMID- 22081983
TI - Gender differences in utilization of preventive care services in the United
States.
AB - BACKGROUND: The utilization of preventive care services in the United States
remains low, despite health-care costs being as high as $2.3 trillion. While
gender disparities have been known to exist for utilization of overall health
care services, the same issue has not been probed for preventive care
utilization. METHODS: A retrospective, cross-sectional study using the 2008
Medical Expenditure Panel Survey (MEPS). Preventive care services common to both
genders were included (blood pressure checkup, cholesterol checkup,
sigmoidoscopy/colonoscopy, flu shot, and dental checkup). Guideline adherence was
determined using clinically accepted guidelines such as Joint National Committee
7 and the American Cancer Society. Descriptive statistics were used to describe
the population, and chi-square analysis was used to determine the within group
differences between the two genders. A multivariate logistic regression was built
to determine the likelihood of guideline adherence based on gender while
adjusting for known demographic confounders such as age, race, and ethnicity.
RESULTS: There were 33,066 MEPS respondents for 2008. Of these, 4,291 to 30,629
met the inclusion criteria depending on the specific preventive care service
being analyzed. Men were found to have significantly lower odds of using blood
pressure check (odds ratio [OR] 0.35, 95% confidence interval [CI] 0.32-0.38),
cholesterol check (OR 0.64, CI 0.60-0.69), dental check (OR 0.71, CI 0.68-0.75),
and flu shots (OR 0.71, CI 0.67-0.76). While men had lower utilization for
sigmoidoscopy/colonoscopy, the difference was nonsignificant. CONCLUSIONS:
Preventive care utilization was found to be higher in women than in men. The
gender disparity issue needs to be explored in greater detail to understand these
differences.
PMID- 22081984
TI - Does attentional training improve numerical processing in developmental
dyscalculia?
AB - OBJECTIVE: Recently, a deficit in attention was found in those with pure
developmental dyscalculia (DD). Accordingly, the present study aimed to examine
the influence of attentional training on attention abilities, basic numerical
abilities, and arithmetic in participants who were diagnosed as having DD.
METHOD: Nine university students diagnosed as having DD (IQ and reading abilities
in the normal range and no indication of attention-deficit hyperactivity
disorder) and nine matched controls participated in attentional training (i.e.,
video game training). RESULTS: First, training modulated the orienting system;
after training, the size of the validity effect (i.e., effect of valid vs.
invalid) decreased. This effect was comparable in the two groups. Training
modulated abnormalities in the attention systems of those with DD, that is, it
reduced their enlarged congruity effect (i.e., faster responding when flanking
arrows pointed to the same location as a center arrow). Second, in relation to
the enumeration task, training reduced the reaction time of the DD group in the
subitizing range but did not change their smaller-than-normal subitizing range.
Finally, training improved performance in addition problems in both the DD and
control groups. CONCLUSIONS: These results imply that attentional training does
improve most of the attentional deficits of those with DD. In contrast, training
did not improve the abnormalities of the DD group in arithmetic or basic
numerical processing. Thus, in contrast to the domain-general hypothesis, the
deficits in attention among those with DD and the deficits in numerical
processing appear to originate from different sources.
PMID- 22081985
TI - A study of asthma severity in adult twins.
AB - INTRODUCTION: The tendency to develop asthma runs in families, but whether the
severity of asthma symptoms is inherited is not known. OBJECTIVES: The aim of
this study was to examine whether genetic factors influence the variation in the
severity of asthma. METHODS: Of a sample of 21 133 adult twins from the Danish
Twin Registry, a total of 575 subjects (256 intact pairs and 63 single twins) who
themselves and/or their co-twins reported a history of asthma at a questionnaire
survey were clinically examined. The severity of asthma symptoms was graded
according to a clinical interview, and markers of airway impairment and allergy
were measured. RESULTS: After adjusting for confounders, genetic factors
explained 24% (10%-37%), P = 0.0004, of the variation in overall asthma symptom
severity, whereas non-shared environment accounted for the remaining 76% of the
variation. A significant genetic component was also found for the severity of
specific asthma symptoms; wheezing 12% (3%-22%), P = 0.007 and shortness of
breath 17% (7%-27%), P = 0.0006, but not for chest tightness and cough. Asthma
symptom severity correlated weakly with rhinitis severity as well as with
objective markers of lung function, airway inflammation, airway responsiveness
and allergic sensitization. CONCLUSION: The individual variation in asthma
symptom severity is to some degree influenced by genetic factors, but
environmental factors explain the main part of the variation. The genetic
architectures underlying the severity of asthma symptoms and objectively measured
asthma-related traits, respectively, seem to differ.
PMID- 22081986
TI - Global trends in milk quality: implications for the Irish dairy industry.
AB - The quality of Irish agricultural product will become increasingly important
with the ongoing liberalisation of international trade. This paper presents a
review of the global and Irish dairy industries; considers the impact of milk
quality on farm profitability, food processing and human health, examines global
trends in quality; and explores several models that are successfully being used
to tackle milk quality concerns. There is a growing global demand for dairy
products, fuelled in part by growing consumer wealth in developing countries.
Global dairy trade represents only 6.2% of global production and demand currently
outstrips supply. Although the Irish dairy industry is small by global standards,
approximately 85% of annual production is exported annually. It is also the
world's largest producer of powdered infant formula. Milk quality has an impact
on human health, milk processing and on-farm profitability. Somatic cell count
(SCC) is a key measure of milk quality, with a SCC not exceeding 400,000 cells/ml
(the EU milk quality standard) generally accepted as the international export
standard. There have been ongoing improvements in milk quality among both
established and emerging international suppliers. A number of countries have
developed successful industry-led models to tackle milk quality concerns. Based
on international experiences, it is likely that problems with effective
translation of knowledge to practice, rather than incomplete knowledge per se,
are the more important constraints to national progress towards improved milk
quality.
PMID- 22081987
TI - High throughput screening of hydrolytic enzymes from termites using a natural
substrate derived from sugarcane bagasse.
AB - BACKGROUND: The description of new hydrolytic enzymes is an important step in the
development of techniques which use lignocellulosic materials as a starting point
for fuel production. Sugarcane bagasse, which is subjected to pre-treatment,
hydrolysis and fermentation for the production of ethanol in several test
refineries, is the most promising source of raw material for the production of
second generation renewable fuels in Brazil. One problem when screening
hydrolytic activities is that the activity against commercial substrates, such as
carboxymethylcellulose, does not always correspond to the activity against the
natural lignocellulosic material. Besides that, the macroscopic characteristics
of the raw material, such as insolubility and heterogeneity, hinder its use for
high throughput screenings. RESULTS: In this paper, we present the preparation of
a colloidal suspension of particles obtained from sugarcane bagasse, with minimal
chemical change in the lignocellulosic material, and demonstrate its use for high
throughput assays of hydrolases using Brazilian termites as the screened
organisms. CONCLUSIONS: Important differences between the use of the natural
substrate and commercial cellulase substrates, such as carboxymethylcellulose or
crystalline cellulose, were observed. This suggests that wood feeding termites,
in contrast to litter feeding termites, might not be the best source for enzymes
that degrade sugarcane biomass.
PMID- 22081988
TI - Retrospective comparison of semipermanent and permanent cementation of implant
supported single crowns and FDPs with regard to the incidence of survival and
complications.
AB - PURPOSE: To retrospectively compare the fixation modalities semipermanent and
permanent for all cemented single crowns and Fixed Dental Prostheses (FDPs)
placed at the Department of Prosthodontics in the years 2002 to 2010 with regard
to the incidence of survival and complications. MATERIALS AND METHODS: Two
hundred forty-one patients (48.5% male, mean age 57.3 years) received 166 FDPs
and 232 single crowns. A total of 50.6% of the FDPs and 54.7% of the single
crowns were fixed using semipermanent cements. Aside from fixation, age, gender,
type, location, and material of the suprastructures were assessed as possible
factors affecting complications, namely de-cementation, chipping, framework, or
abutment fracture. RESULTS: During an observation period of up to 6.6 years (mean
2.24 years; standard deviation 1.38), the survival rates were 96.4% and 100% for
FDPs (semipermanent/permanent cementation), and 98.4% and 92.4% for single crowns
(semipermanent/permanent). The success rates achieved, counting every
complication, for the FDPs were 61.9% and 70.7% (semipermanent/permanent) and for
single crowns were 75.6% and 77.1% (semipermanent/permanent). The cement used had
a significant effect on loss of retention of the FDPs (p = .006), but no
significant effect on the retention of the single crowns. Cementation procedure
exhibited no significant impact on chipping for both FDPs and single crowns. The
frequency of framework or abutment fractures was too low for further statistical
analyses. CONCLUSION: Both semipermanent and permanent cementation of FDPs and
single crowns resulted in high survival rates. Within the limitations of the
study design, because of the amount of chairside aftercare required, implant
borne FDPs could be recommended for permanent cementation.
PMID- 22081989
TI - Stimulus-induced dissociation of neuronal firing rates and local field potential
gamma power and its relationship to the resonance blood oxygen level-dependent
signal in macaque primary visual cortex.
AB - The functional magnetic resonance imaging (fMRI) blood oxygenation level
dependent (BOLD) signal is regularly used to assign neuronal activity to
cognitive function. Recent analyses have shown that the local field potential
(LFP) gamma power is a better predictor of the fMRI BOLD signal than spiking
activity. However, LFP gamma power and spiking activity are usually correlated,
clouding the analysis of the neural basis of the BOLD signal. We show that
changes in LFP gamma power and spiking activity in the primary visual cortex (V1)
of the awake primate can be dissociated by using grating and plaid pattern
stimuli, which differentially engage surround suppression and cross-orientation
inhibition/facilitation within and between cortical columns. Grating presentation
yielded substantial V1 LFP gamma frequency oscillations and significant multi
unit activity. Plaid pattern presentation significantly reduced the LFP gamma
power while increasing population multi-unit activity. The fMRI BOLD activity
followed the LFP gamma power changes, not the multi-unit activity. Inference of
neuronal activity from the fMRI BOLD signal thus requires detailed a priori
knowledge of how different stimuli or tasks activate the cortical network.
PMID- 22081990
TI - Allergic reaction to suture material after an ICD procedure: device infection
mimicry.
AB - When an implanted device is infected, complete explantation of the device system,
including lead extraction, is usually required. Superficial problems with wound
healing may be managed more conservatively, but distinguishing between a surface
process and deeper infection can pose a clinical challenge. We present a case of
poor wound healing after an ICD pocket revision procedure, and an allergic
reaction to the suture material was found to be the cause. Diagnosis, management,
and future implications of suture allergy are discussed.
PMID- 22081991
TI - Transjugular liver biopsy in pediatric patients with left split liver
transplantation and severe coagulation impairment.
AB - The aim of this study is to report our experience in TJLB performed in pediatric
patients who underwent partial LT using a left lateral segments graft. Eight
consecutive TJLBs were performed in six patients with contraindication to
percutaneous biopsy owing to severe coagulation impairment and ascites. All
procedures were performed using an 18-gauge automated core needle biopsy. Median
age of the patients was 44 months (range, 11-198). Median patients' weight was 13
kg (range, 8-45). Technical success was achieved in all cases without
complications. The specimens were adequate for the diagnosis in all cases.
PMID- 22081992
TI - An exploration of Singaporean parental experiences in managing school-aged
children's postoperative pain: a descriptive qualitative approach.
AB - AIMS AND OBJECTIVES: To enhance understanding of the experience of parents in
managing their children's postoperative pain in Singapore. BACKGROUND: Parents
play a significant role in their hospitalised child's postoperative pain care.
Their active involvement may contribute to accurate pain assessment and effective
pain management for their child. However, there is a lack of in-depth research
exploring the experience of parents involved in their children's postoperative
pain management. DESIGN: This study adopted a descriptive qualitative approach,
which is situated in the interpretive paradigm. METHOD: Semi-structured
interviews were conducted to collect data from 14 parents whose children were
hospitalised in one of the three paediatric surgical wards in a hospital in
Singapore in December 2009. Thematic analysis was used to analyse the data.
RESULTS: Three themes were identified: 'Actions used by parents to alleviate
their child's postoperative pain', 'Factors influencing parents' management of
their child's postoperative pain' and 'Parents' needs in the process of caring
for their child's postoperative pain'. Parents used a range of non
pharmacological pain relief interventions for their child. Parental roles and
expectations, bond between parent and child, support from nurses, family and own
religious beliefs, as well as children's age and maturity level were factors
which promoted parental participation, whereas parents' negative feelings,
knowledge deficit and nurses' busy schedule were hindering factors. Parents
expressed needs for more involvement in their child's care, adequate rest and
information support from nurses. CONCLUSIONS: This study highlights the
importance of involving parents in their child's postoperative pain management.
It provides evidence for health care professionals to pay attention to factors
that may influence parental participation and, therefore, guide their practice.
RELEVANCE TO CLINICAL PRACTICE: Nurses need to provide parents with support and
education to facilitate their roles and improve their child's postoperative pain
management.
PMID- 22081993
TI - Computationally-guided optimization of a docking hit to yield catechol diethers
as potent anti-HIV agents.
AB - A 5-MUM docking hit has been optimized to an extraordinarily potent (55 pM) non
nucleoside inhibitor of HIV reverse transcriptase. Use of free energy
perturbation (FEP) calculations to predict relative free energies of binding
aided the optimizations by identifying optimal substitution patterns for phenyl
rings and a linker. The most potent resultant catechol diethers feature terminal
uracil and cyanovinylphenyl groups. A halogen bond with Pro95 likely contributes
to the extreme potency of compound 42. In addition, several examples are provided
illustrating failures of attempted grafting of a substructure from a very active
compound onto a seemingly related scaffold to improve its activity.
PMID- 22081994
TI - The Western Norway mental health interface study: a controlled intervention trial
on referral letters between primary care and specialist mental health care.
AB - BACKGROUND: Referral letters are the main communication means between Primary and
Specialised Mental Health Care. However, studies of referral letters reveal that
they lack important information, and how this lack of information affects the
care for patients is unknown. This study aims to explore if and to what degree
the quality of referral letters within Mental Health Care for adults can be
improved and the potential improvement's impact on defined patient, professional
and organisational related outcomes. METHODS AND DESIGN: A controlled study with
pre and post test will be prepared and accomplished to explore the correlation
between the content of referral letters and outcomes of the care for the referred
patients. The study is performed in accordance with the guideline of the Medical
Research Council on development and evaluation of complex interventions. Using a
mixed method design, a stepwise model will be conducted: Firstly, process and
outcome measures will be developed and tested. Secondly, by these measures, the
results from an intervention group of General Practitioners (GPs) who receive a
complex quality improvement intervention will be compared with results from a
control group who perform "care as usual". Compliance to the introduced guideline
will be measured as a mediator. DISCUSSION: The Western Norway Mental Health
Interface Study is among the first trials to evaluate the impact of the quality
of referral letters on the organization of care. This study will provide
information that will be usable for healthcare managers and clinicians in both
Primary and Specialised Care settings. TRIAL REGISTRATION: ClinicalTrials.gov:
NCT01374035.
PMID- 22081995
TI - Reliability, reproducibility, and validation of five major histological scoring
systems for experimental articular cartilage repair in the rabbit model.
AB - Histological evaluation of the repair tissue is a main pillar in the advancing
field of experimental articular cartilage repair. Despite their widespread use,
the major histological scoring systems for cartilage repair have seldom been
validated. We tested the hypotheses (1) that elementary scores have a better
reproducibility compared with more complex systems and (2) that the data from
these different histological scores correlate with the DNA and proteoglycan
contents of the repair tissue. A total of 1,165 observations of cartilage repair
based on histological sections (n=233) from an experimental investigation on the
repair of standardized osteochondral defects in vivo were made by three
investigators with different levels of experience in cartilage research to
determine the inter- and intra-observer reproducibility of elementary (Pineda and
Wakitani score) and complex (O'Driscoll, Sellers, Fortier score) histological
grading systems. DNA and proteoglycan contents of the repair tissues from
simultaneously created defects were determined and correlated with histological
(a) overall score values, (b) matrix staining, and (c) cellular characteristics
of the five scores. Finally, applying the proteoglycan content as validating
test, sensitivity, and specificity of the grading systems were assessed. All
histological scores provided high intra- (Pearson r=0.92-0.99) and inter-observer
reliability (intra-class correlation=0.94-0.99), low numerical intra- and inter
observer differences, and high internal correlations (Spearman's rho=0.63-0.91).
No disparity in reliability and reproducibility was detected between elementary
and complex scores or between investigators with different levels of experience
(all p>0.05). Individual histological overall score values did not correlate with
proteoglycan contents but with DNA contents of the repair tissue (O'Driscoll,
Wakitani, Sellers score). In all systems, proteoglycan contents did not correlate
with matrix staining (all p>0.05), but histological cellular characteristics
correlated with total cell numbers (p<0.001). These data indicate that both
elementary and comprehensive histological scores are suited to quantify cartilage
repair. Histological and biochemical evaluations may serve as complementary tools
to assess articular cartilage repair in vivo.
PMID- 22081996
TI - A molecular switch based on current-driven rotation of an encapsulated cluster
within a fullerene cage.
AB - By scanning tunneling microscopy imaging and electronic structure theory, we
investigate a single-molecule switch based on tunneling electron-driven rotation
of a triangular Sc3N cluster within an icosahedral C80 fullerene cage among three
pairs of enantiomorphic configurations. Bias-dependent action spectra and
modeling implicate the antisymmetric stretch vibration of Sc3N cluster as the
gateway for energy transfer from the tunneling electrons into the cluster
rotation. Hierarchical switching of conductivity among multiple stationary states
while maintaining a constant molecular shape, offers an advantage for the
integration of endohedral fullerene-based single-molecule switches into multiple
logic state molecular devices.
PMID- 22081997
TI - Cholesterol effect on water permeability through DPPC and PSM lipid bilayers: a
molecular dynamics study.
AB - Water permeability of two different lipid bilayers of
dipalmitoylphosphatidylcholine (DPPC) and palmitoylsphingomyelin (PSM) in the
absence and presence of cholesterol (0-50 mol %) have been studied by molecular
dynamics simulations to elucidate the molecular mechanism of the reduction in
water leakage across the membranes by the addition of cholesterol. An enhanced
free energy barrier was observed in these membranes with increased cholesterol
concentration, and this was explained by the reduced cavity density around the
cholesterol in the hydrophobic membrane core. There was an increase of trans
conformers in the hydrophobic lipid chains adjacent to the cholesterol, which
reduced the cavity density. The enhanced free energy barrier was found to be the
main reason to reduce the water permeability with increased cholesterol
concentration. At low cholesterol concentrations the PSM bilayer exhibited a
higher free energy barrier than the DPPC bilayer for water permeation, while at
greater than 30 mol % of cholesterol the difference became minor. This tendency
for the PSM and DPPC bilayers to resemble each other at higher cholesterol
concentrations was similar to commonly observed trends in several structural
properties, such as order parameters, cross-sectional area per molecule, and
cavity density profiles in the hydrophobic regions of bilayer membranes. These
results demonstrate that DPPC and PSM bilayers with high cholesterol contents
possess similar physical properties, which suggests that the solubility of
cholesterol in these lipid bilayers has importance for an understanding of
multicomponent lipid membranes with cholesterol.
PMID- 22081998
TI - Brain-derived neurotrophic factor expression is repressed during myogenic
differentiation by miR-206.
AB - Brain-derived neurotrophic factor (BDNF) is required for efficient skeletal
muscle regeneration and perturbing its expression causes abnormalities in the
proliferation and differentiation of skeletal muscle cells. In this study, we
investigated the mechanism of BDNF suppression that occurs during myogenic
differentiation. BDNF is expressed at the mRNA level as two isoforms that differ
in the length of their 3'UTRs as a result of alternative cleavage and
polyadenylation. Sequence analysis revealed the presence of three miR-206 target
sites in the long BDNF 3'UTR (BDNF-L), whereas only one site was found in the
short mRNA BDNF 3'UTR (BDNF-S). miR-206 is known to regulate the differentiation
of C2C12 myoblasts and its expression is induced during the transition from
myoblasts to myotubes. We thus examined whether miR-206-mediated suppression is
responsible for the expression pattern of BDNF during myogenic differentiation.
BDNF-L was suppressed to a greater extent than BDNF-S during differentiation of
C2C12 myoblasts. Transfection of a miR-206 precursor decreased activity of
reporters representative of the BDNF-L 3'UTR, but not BDNF-S 3'UTR, and repressed
endogenous BDNF mRNA levels. This suppression was found to be dependent on the
presence of multiple miR-206 target sites in the BDNF-L 3'UTR. Conversely,
suppression of miR-206 levels resulted in de-repression of BDNF 3'UTR reporter
activity and increased endogenous BDNF-L mRNA levels. A receptor for BDNF,
p75(NTR) , was also suppressed during differentiation and in response to miR-206,
but this appeared to not be entirely mediated via a miR-206 target site its
3'UTR. Based on these observations, BDNF represents a novel target through which
miR-206 controls the initiation and maintenance of the differentiated state of
muscle cells. These results further suggest that miR-206 might play a role in
regulating retrograde signaling of BDNF at the neuromuscular junction.
PMID- 22081999
TI - Optimisation of whole blood and plasma manganese assay by ICP-MS without use of a
collision cell.
AB - BACKGROUND: Manganese (Mn) toxicity has been reported in patients receiving total
parenteral nutrition. To avoid unnecessary exposure it is recommended by NICE
(National Institute for Clinical Excellence) that blood Mn concentrations are
monitored. The aim of the study was to develop a method using inductively coupled
plasma mass spectrometry (ICP-MS) for the reliable determination of Mn in plasma
and whole blood, as indices of acute and chronic exposure. METHODS: Whole blood
and plasma samples were prepared by appropriate dilution (diluent containing
0.005% Triton X-100, 0.2% propan-2-ol, 0.2% butan-1-ol and 1% nitric acid)
addition of an internal standard gallium, followed by centrifugation to remove
cell debris. Thermo Fisher Scientific ExCell and X Series ICP-MS instruments were
used to define and correct for polyatomic interference on Mn assay. RESULTS: Mn
was quantified at mass 55 using aqueous calibration and the polyatomic
interference from FeH was successfully eliminated by modified (Xt) skimmer cones
but not with the collision cell (collision gas 7% H2 in He, flow rate 4-7
mL/min). The assay was validated showing good precision, limit of detection and
percentage recovery. Good agreement was observed with the All Laboratory Trimmed
Mean of External Quality Assurance samples y (in house)=1.1 (ALTM)-45.0 between
values of 250 and 750 nmol/L. CONCLUSIONS: A method has been developed using ICP
MS for the analysis of whole blood and plasma Mn incorporating a novel method of
eliminating interference by utilizing the different geometries of the Xt
interface cones. The procedure is simple and robust with good precision and
recovery over a wide dynamic range.
PMID- 22082000
TI - Methylation of miR-34a, miR-34b/c, miR-124-1 and miR-203 in Ph-negative
myeloproliferative neoplasms.
AB - BACKGROUND: MicroRNA (miR) miR-34a, -34b/c, -124-1 and -203 are tumor suppressor
miRs implicated in carcinogenesis. METHODS: We studied DNA methylation of these
miRs in Philadelphia-negative (Ph-ve) myeloproliferative neoplasms (MPNs).
Methylation-specific PCR (MSP), verified by direct sequencing of the methylated
MSP products, was performed in cell lines, normal controls and diagnostic marrow
samples of patients with MPNs. RESULTS: Methylation of these miRs was absent in
the normal controls. miR-34b/c were homozygously methylated in HEL cells but
heterozygously in MEG-01. In HEL cells, homozygous miR-34b/c methylation was
associated with miR silencing, and 5-aza-2'-deoxycytidine treatment led to re
expression of both miR-34b and miR-34c, consistent with that both miRs are under
the regulation of the same promoter CpG island. miR-34a was heterozygously
methylated in MEG-01 and K-562. miR-203 was completely unmethylated in K-562 and
SET-2 but no MSP amplification was found in both HEL and MEG-01, suggestive of
miR deletion. In primary samples, four each had miR-34b/c and -203 methylation,
in which two had concomitant methylation of miR-34b/c and -203. miR-34a was
methylated in one patient and none had methylation of miR-124-1. Seven patients
(15.6%) had methylation of at least one of the four miRs. miR methylation did not
correlate with clinical parameters, disease complications or JAK2 V617F mutation.
CONCLUSION: This is the first report of miR hypermethylation in MPNs. miR-203
hypermethylation is not specific to Ph+ve leukemias but also present in Ph-ve
MPNs. miR-34b/c methylation was associated with reversible miR silencing. There
was no correlation of miR methylation with clinical demographic data or outcome.
PMID- 22082001
TI - Structure and stability of higher-order human telomeric quadruplexes.
AB - G-quadruplex formation in the sequences 5'-(TTAGGG)(n) and 5'(TTAGGG)(n)TT (n =
4, 8, 12) was studied using circular dichroism, sedimentation velocity,
differential scanning calorimetry, and molecular dynamics simulations. Sequences
containing 8 and 12 repeats formed higher-order structures with two and three
contiguous quadruplexes, respectively. Plausible structures for these sequences
were determined by molecular dynamics simulations followed by experimental
testing of predicted hydrodynamic properties by sedimentation velocity. These
structures featured folding of the strand into contiguous quadruplexes with mixed
hybrid conformations. Thermodynamic studies showed the strands folded spontaneous
to contain the maximum number contiguous quadruplexes. For the sequence
5'(TTAGGG)(12)TT, more than 90% of the strands contained completely folded
structures with three quadruplexes. Statistical mechanical-based deconvolution of
thermograms for three quadruplex structures showed that each quadruplex melted
independently with unique thermodynamic parmameters. Thermodynamic analysis
revealed further that quadruplexes in higher-ordered structures were destabilized
relative to their monomeric counterparts, with unfavorable coupling free
energies. Quadruplex stability thus depends critically on the sequence and
structural context.
PMID- 22082002
TI - Improved Bevirimat resistance prediction by combination of structural and
sequence-based classifiers.
AB - BACKGROUND: Maturation inhibitors such as Bevirimat are a new class of
antiretroviral drugs that hamper the cleavage of HIV-1 proteins into their
functional active forms. They bind to these preproteins and inhibit their
cleavage by the HIV-1 protease, resulting in non-functional virus particles.
Nevertheless, there exist mutations in this region leading to resistance against
Bevirimat. Highly specific and accurate tools to predict resistance to maturation
inhibitors can help to identify patients, who might benefit from the usage of
these new drugs. RESULTS: We tested several methods to improve Bevirimat
resistance prediction in HIV-1. It turned out that combining structural and
sequence-based information in classifier ensembles led to accurate and reliable
predictions. Moreover, we were able to identify the most crucial regions for
Bevirimat resistance computationally, which are in line with experimental results
from other studies. CONCLUSIONS: Our analysis demonstrated the use of machine
learning techniques to predict HIV-1 resistance against maturation inhibitors
such as Bevirimat. New maturation inhibitors are already under development and
might enlarge the arsenal of antiretroviral drugs in the future. Thus, accurate
prediction tools are very useful to enable a personalized therapy.
PMID- 22082003
TI - Corticomotor representation to a human forearm muscle changes following cervical
spinal cord injury.
AB - Functional imaging studies, using blood oxygen level-dependent signals, have
demonstrated cortical reorganization of forearm muscle maps towards the
denervated leg area following spinal cord injury (SCI). The extent of cortical
reorganization was predicted by spinal atrophy. We therefore expected to see a
similar shift in the motor output of corticospinal projections of the forearm
towards more denervated lower body parts in volunteers with cervical injury.
Therefore, we used magnetic resonance imaging-navigated transcranial magnetic
stimulation (TMS) to non-invasively measure changes in cortical map
reorganization of a forearm muscle in the primary motor cortex (M1) following
human SCI. We recruited volunteers with chronic cervical injuries resulting in
bilateral upper and lower motor impairment and severe cervical atrophy and
healthy control participants. All participants underwent a T1-weighted anatomical
scan prior to the TMS experiment. The motor thresholds of the extensor digitorum
communis muscle (EDC) were defined, and its cortical muscle representation was
mapped. The centre of gravity (CoG), the cortical silent period (CSP) and active
motor thresholds (AMTs) were measured. Regression analysis was used to
investigate relationships between trauma-related anatomical changes and TMS
parameters. SCI participants had increased AMTs (P = 0.01) and increased CSP
duration (P = 0.01). The CoG of the EDC motor-evoked potential map was located
more posteriorly towards the anatomical hand representation of M1 in SCI
participants than in controls (P = 0.03). Crucially, cord atrophy was negatively
associated with AMT and CSP duration (r(2) >= 0.26, P < 0.05). In conclusion,
greater spinal cord atrophy predicts changes at the cortical level that lead to
reduced excitability and increased inhibition. Therefore, cortical forearm motor
representations may reorganize towards the intrinsic hand motor representation to
maximize output to muscles of the impaired forearm following SCI.
PMID- 22082004
TI - Role of titanium dioxide nanoparticles in the elevated uptake and retention of
cadmium and zinc in Daphnia magna.
AB - Titanium dioxide nanoparticles (nano-TiO(2)) are now widely applied in consumer
products, and the dispersion of nano-TiO(2) may adsorb metals and modify their
behavior and bioavailability in the aquatic environment. In the present study,
the aqueous uptake, dietary assimilation efficiency (AE), and efflux rate
constant (k(e)) of two toxic metals (cadmium-Cd, and zinc-Zn) adsorbed on nano
TiO(2) in a freshwater zooplankton Daphnia magna were quantified. The biokinetics
was then compared to daphnids that were exposed only to dissolved metals as
controls. The aqueous uptake of Cd and Zn involved an initial rapid uptake and
then an apparent saturation, and the uptake of metals was accompanied by an
ingestion of nano-TiO(2). The AEs of Cd and Zn adsorbed on nano-TiO(2) were 24.6
+/- 2.4-44.5 +/- 3.7% and 30.4 +/- 3.4-51.8 +/- 5.0%, respectively, and decreased
with increasing concentrations of nano-TiO(2). Furthermore, the difference
between the AEs of Cd and Zn indicated that the desorption of Cd and Zn from nano
TiO(2) may have occurred within the gut of daphnids. With the use of algae as
carrier, the AEs of Cd and Zn adsorbed on nano-TiO(2) were significantly higher
than those of Cd and Zn directly from nano-TiO(2). The efflux rate constants of
Cd and Zn adsorbed on nano-TiO(2) in the zooplankton were significantly lower
than those of Cd and Zn not adsorbed on nano-TiO(2). Our study shows that the
uptake and retention of toxic metals is enhanced when they are adsorbed on nano
TiO(2), and suggests more attention be paid to the potential influences of nano
TiO(2) on the bioavailability and toxicity of other contaminants.
PMID- 22082005
TI - Induction of group IVC phospholipase A2 in allergic asthma: transcriptional
regulation by TNFalpha in bronchoepithelial cells.
AB - Airway inflammation in allergen-induced asthma is associated with eicosanoid
release. These bioactive lipids exhibit anti- and pro-inflammatory activities
with relevance to pulmonary pathophysiology. We hypothesized that
sensitization/challenge using an extract from the ubiquitous fungus Aspergillus
fumigatus in a mouse model of allergic asthma would result in altered
phospholipase gene expression, thus modulating the downstream eicosanoid pathway.
We observed the most significant induction in the group IVC PLA2 (phospholipase
A2) [also known as cPLA2gamma (cytosolic PLA2gamma) or PLA2G4C]. Our results
infer that A. fumigatus extract can induce cPLA2gamma levels directly in
eosinophils, whereas induction in lung epithelial cells is most likely to be a
consequence of TNFalpha (tumour necrosis factor alpha) secretion by A. fumigatus
activated macrophages. The mechanism of TNFalpha-dependent induction of
cPLA2gamma gene expression was elucidated through a combination of promoter
deletions, ChIP (chromatin immunoprecipitation) and overexpression studies in
human bronchoepithelial cells, leading to the identification of functionally
relevant CRE (cAMP-response element), NF-kappaB (nuclear factor kappaB) and E-box
promoter elements. ChIP analysis demonstrated that RNA polymerase II, ATF-2
(activating transcription factor 2)-c-Jun, p65-p65 and USF (upstream stimulating
factor) 1-USF2 complexes are recruited to the cPLA2gamma enhancer/promoter in
response to TNFalpha, with overexpression and dominant-negative studies implying
a strong level of co-operation and interplay between these factors. Overall, our
results link cytokine-mediated alterations in cPLA2gamma gene expression with
allergic asthma and outline a complex regulatory mechanism.
PMID- 22082006
TI - Mini-scar inguinal herniotomy in selected children: comparative analysis of
safety, effectiveness, and parents' satisfaction.
AB - INTRODUCTION: Inguinal herniotomy in children is still dominated by conventional
open inguinal herniotomy (COIH) as laparoscopic techniques have yet to
demonstrate clear advantages. A technical modification that minimizes the
incision of COIH in selected children can offer another minimally invasive
alternative. A comparative analysis of safety, efficacy, and parental attitudes
between mini-scar inguinal herniotomy (MSIH) and COIH was performed. MATERIALS
AND METHODS: All inguinal herniotomy cases performed between January 2008 and
April 2010 were reviewed. Patients who were younger than 6 months, presented with
complicated hernias, or had an associated hydrocele were excluded. In the MSIH
group the final scar length was prospectively measured and then retrospectively
compared with a matched group of COIH. Parents in both groups were then
interviewed using a standardized questionnaire to inquire about operative
outcomes, their satisfaction level, and perception of the incision size. RESULTS:
Of the 145 patients identified, 113 (79%) had completed the parental phone
interview at a mean interval of 275+/-212 days. Forty (35%) underwent MSIH with a
mean final incision length of 7.7+/-2 mm, and 73 (65%) underwent COIH. The two
groups were similar in age, sex, and hernias' sides. Postoperative complication
including recurrence rates did not differ between MSIH and COIH (2.5% versus
6.8%, P=.4). However, parents in the MSIH group were more likely to notice that
the scar was smaller than what they have expected (odds ratio, 4.9; 95%
confidence interval, 2.1-11.9) and were more likely to be very satisfied (odds
ratio, 10.8; 95% confidence interval, 3.1-38). CONCLUSION: The safety and
efficacy of MSIH are comparable to those of COIH. However, in the MSIH group,
parents are more likely to notice the smaller scar, which might improve their
satisfaction.
PMID- 22082007
TI - Using conventional 3- and 5-mm straight instruments in laparoendoscopic single
site pyeloplasty in children.
AB - BACKGROUND: Laparoendoscopic single-site surgery (LESS) remains limited to a few
relatively simple procedures in the field of pediatric surgery. We performed LESS
pyeloplasty in children using conventional straight instruments and ports, and
reviewed the perioperative data to evaluate the feasibility of this technique in
pediatric patients. METHODS: Twenty-two consecutive patients with unilateral
pelvic ureteral junction obstruction underwent LESS pyeloplasty. Their ages
ranged from 2 to 134 months (mean: 56.9 months). Two 3-mm and one 5-mm
conventional ports were placed in the single incision inside the umbilicus.
Conventional 3-mm laparoscopic instruments and a 30-degree 5-mm camera were used
for Anderson-Hynes pyeloplasty. A F4.7 or F3 pigtail stent was introduced through
one of the instrument ports. A drainage was placed next to the anastomosis, which
exited via the umbilical ring incision. The stent was removed 6 weeks
postoperatively. RESULTS: All cases were successfully completed with no need for
extra-umbilical incisions. The mean operative time was 198 minutes (range: 150
270 minutes). All patients could tolerate oral food intake on postoperative day
1. One case (4.5%) developed symptoms of anastomosis obstruction and required
open renal stoma. No other significant complications occurred. CONCLUSION: LESS
pyeloplasty is technically feasible in children. However, further experience and
longer follow-up are necessary to appropriately evaluate the benefits and
limitations of this technique.
PMID- 22082009
TI - Assessment of alcohol problems using AUDIT in a prison setting: more than an 'aye
or no' question.
AB - BACKGROUND: Alcohol problems are a major UK and international public health
issue. The prevalence of alcohol problems is markedly higher among prisoners than
the general population. However, studies suggest alcohol problems among prisoners
are under-detected, under-recorded and under-treated. Identifying offenders with
alcohol problems is fundamental to providing high quality healthcare. This paper
reports use of the AUDIT screening tool to assess alcohol problems among
prisoners. METHODS: Universal screening was undertaken over ten weeks with all
entrants to one male Scottish prison using the AUDIT standardised screening tool
and supplementary contextual questions. The questionnaire was administered by
trained prison officers during routine admission procedures. Overall 259
anonymised completed questionnaires were analysed. RESULTS: AUDIT scores showed a
high prevalence of alcohol problems with 73% of prisoner scores indicating an
alcohol use disorder (8+), including 36% having scores indicating 'possible
dependence' (20-40). AUDIT scores indicating 'possible dependence' were most
apparent among 18-24 and 40-64 year-olds (40% and 56% respectively). However,
individual questions showed important differences, with younger drinkers less
likely to demonstrate habitual and addictive behaviours than the older age group.
Disparity between high levels of harmful/hazardous/dependent drinking and low
levels of 'treatment' emerged (only 27% of prisoners with scores indicating
'possible dependence' reported being 'in treatment'). Self-reported associations
between drinking alcohol and the index crime were identified among two-fifths of
respondents, rising to half of those reporting violent crimes. CONCLUSIONS: To
our knowledge, this is the first study to identify differing behaviours and needs
among prisoners with high AUDIT score ranges, through additional analysis of
individual questions. The study has identified high prevalence of alcohol use,
varied problem behaviours, and links across drinking, crime and recidivism,
supporting the argument for more extensive provision of alcohol-focused
interventions in prisons. These should be carefully targeted based on initial
screening and assessment, responsive, and include care pathways linking prisoners
to community services. Finally, findings confirm the value and feasibility of
routine use of the AUDIT screening tool in prison settings, to considerably
enhance practice in the detection and understanding of alcohol problems,
improving on current more limited questioning (e.g. 'yes or no' questions).
PMID- 22082008
TI - Retooling manganese(III) porphyrin-based peroxynitrite decomposition catalysts
for selectivity and oral activity: a potential new strategy for treating chronic
pain.
AB - Redox-active metalloporphyrins represent the most well-characterized class of
catalysts capable of attenuating oxidative stress in vivo through the direct
interception and decomposition of superoxide and peroxynitrite. While many
interesting pharmacological probes have emerged from these studies, few catalysts
have been developed with pharmaceutical properties in mind. Herein, we describe
our efforts to identify new Mn(III)-porphyrin systems with enhanced membrane
solubilizing properties. To this end, seven new Mn(III)-tetracyclohexenylporphyin
(TCHP) analogues, 7, 10, 12, 15, and 16a-c, have been prepared in which the beta
fused cyclohexenyl rings provide a means to shield the charged metal center from
the membrane during passive transport. Compounds 7, 15, and 16a-c have been shown
to be orally active and potent analgesics in a model of carrageenan-induced
thermal hyperalgesia. In addition, oral administration of compound 7 (10-100
mg/kg, n=5) has been shown to dose dependently reverse mechano-allodynia in the
CCI model of chronic neuropathic pain.
PMID- 22082010
TI - A comparison between endodontics and implantology: an 8-year retrospective study.
AB - PURPOSE: The aim of this study was to compare endodontic and implant treatments
and to evaluate their predictability over an 8-year period on the basis of an
analysis of survival data and a retrospective clinical study. MATERIALS AND
METHODS: A group of 40 partially edentulous patients were selected for this
study. Their teeth had been endodontically treated and rehabilitated using gold
alloy and ceramic restorations. In these patients, 65 osseointegrated implants
were restored with single gold alloy-ceramic crowns and monitored on a yearly
basis for 8 years with standardized periapical radiographs, using a
polivynilsiloxane occlusal key as a positioner. A total of nine patients who did
not attend the yearly follow-up were excluded from the study. The Melloning and
Triplett criteria were used to evaluate the clinical results obtained in the
implant sites. The clinical results of the 56 endodontically treated teeth,
restored with the fixed prosthesis of 40 patients, were analyzed according to
probing depth as well as an assessment of the correct apical and coronal seals.
The survival rate was calculated using the Kaplan-Meier method and the
statistical significance was calculated using the chi-square test. RESULTS:
During the follow-up of the endodontically treated elements, seven failures were
detected (83.34%) and the success rate of implants inserted in the same patients
was equal to 80.8%, with nine implants lost in 8 years. The survival analysis of
the elements treated with both therapies was not statistically significant (p =
.757) and the confidence interval was between 0.2455 and 2.777. CONCLUSION: In
view of the superimposable results between the two therapies, it should be noted
that the endodontically treated teeth could be interested by different
pathologies while the restoration of the atrophic edentulous ridge with an
implant support is predictable when patients comply with correct oral hygiene and
when the occlusal loads are axially distributed in implant-protected occlusion.
PMID- 22082011
TI - A national programme for mastitis control in Australia: Countdown Downunder.
AB - In 1998, Countdown Downunder, Australia's national mastitis and cell count
control programme, was created. With funding from the country's leading dairy
organisation, Dairy Australia, this programme was originally intended to run for
three years but is now in its tenth year. As it was the first time Australia had
attempted a national approach to mastitis control on the farm, the first three
years of the programme were largely concerned with the development of resources
to be used by farmers and service providers. The second three years were devoted
to training with both groups. Since that time, Countdown Downunder has entered
into a second resource development phase. The goal of the programme was to
achieve a reduction in the bulk milk somatic cell count from the Australian dairy
herd. To achieve this, the programme had to develop resources with clear and
consistent messages around mastitis and somatic cell count control on farms. It
was determined that progress toward the goals would be made more rapidly if
service providers were trained in the use of these resources prior to farmers.
This paper reviews the Countdown Downunder programme from 1998 to 2007.
PMID- 22082012
TI - Young and older adults' beliefs about effective ways to mitigate age-related
memory decline.
AB - This study investigated whether young and older adults vary in their beliefs
about the impact of various mitigating factors on age-related memory decline.
Eighty young (ages 18-23) and 80 older (ages 60-82) participants reported their
beliefs about their own memory abilities and the strategies that they use in
their everyday lives to attempt to control their memory. Participants also
reported their beliefs about memory change with age for hypothetical target
individuals who were described as using (or not using) various means to mitigate
memory decline. There were no age differences in personal beliefs about control
over current or future memory ability. However, the two age groups differed in
the types of strategies they used in their everyday life to control their memory.
Young adults were more likely to use internal memory strategies, whereas older
adults were more likely to focus on cognitive exercise and maintaining physical
health as ways to optimize their memory ability. There were no age differences in
rated memory change across the life span in hypothetical individuals. Both young
and older adults perceived strategies related to improving physical and cognitive
health as effective means of mitigating memory loss with age, whereas internal
memory strategies were perceived as less effective means for controlling age
related memory decline.
PMID- 22082013
TI - The effectiveness of updating metacognitive knowledge in the elderly: evidence
from metamnemonic judgments of word frequency.
AB - Accurate metacognitive knowledge is vital for optimal performance in self
regulated learning. Yet older adults have deficiencies in implementing effective
learning strategies and knowledge updating and consequently may not learn as
effectively from task experience as younger adults. Here we assess the ability of
older adults to update metacognitive knowledge about the effects of word
frequency on recognition. Young adults have been shown to correct their
misconceptions through experience with the task, but the greater difficulty older
adults have with knowledge updating makes it unclear whether task experience will
be sufficient for older adults. The performance of older adults in this
experiment qualitatively replicates the results of a comparison group of younger
subjects, indicating that both groups are able to correct their metacognitive
knowledge through task experience. Older adults seem to possess more effective
and flexible metacognition than sometimes suggested.
PMID- 22082014
TI - Reading in healthy ageing: the influence of information structuring in sentences.
AB - In three experiments, we investigated the cognitive effects of linguistic
prominence to establish whether focus plays a similar or different role in
modulating language processing in healthy ageing. Information structuring through
the use of cleft sentences is known to increase the processing efficiency of
anaphoric references to elements contained with a marked focus structure. It also
protects these elements from becoming suppressed in the wake of subsequent
information, suggesting selective mechanisms of enhancement and suppression. In
Experiment 1 (using self-paced reading), we found that focus enhanced (faster)
integration for anaphors referring to words contained within the scope of focus;
but suppressed (slower) integration for anaphors to words contained outside of
the scope of focus; and in some cases, the effects were larger in older adults.
In Experiment 2 (using change detection), we showed that older adults relied more
on the linguistic structure to enhance change detection when the changed word was
in focus. In Experiment 3 (using delayed probe recognition and eye-tracking), we
found that older adults recognized probes more accurately when they were made to
elements within the scope of focus than when they were outside the scope of
focus. These results indicate that older adults' ability to selectively attend or
suppress concepts in a marked focus structure is preserved.
PMID- 22082015
TI - Whoops, I did it again: commission errors in prospective memory.
AB - Prospective memory research almost exclusively examines remembering to execute an
intention, but the ability to forget completed intentions may be similarly
important. We had younger and older adults perform a prospective memory task
(press Q when you see corn or dancer) and then told them that the intention was
completed. Participants later performed a lexical-decision task (Phase 2) in
which the prospective memory cues reappeared. Initial prospective memory
performance was similar between age groups, but older adults were more likely
than younger adults to press Q during Phase 2 (i.e., commission errors). This
study provides the first experimental demonstration of event-based prospective
memory commission errors after all prospective memory tasks are finished and
identifies multiple factors that increase risk for commission errors.
PMID- 22082016
TI - Externalities from grain consumption: a survey.
AB - The US Department of Agriculture (USDA) publishes their MyPyramid plan as a
recommended eating model for all Americans. As part of this model, grain
consumption is emphasized. This grain consumption has the potential to generate
positive externalities, such as reduced rates of obesity, diabetes and other
chronic diseases. Such positive externalities can potentially produce tangible
economic benefits in terms of public health. In contrast, newer nutritional
research shows that grain consumption may have negative effects on health for
certain population groups. Celiac disease is four times as common as it was 50
years ago and is often under-diagnosed. Other population groups exhibit gluten
sensitivity which can impact the development of asthma, allergies and
neurological difficulties. This survey intends to provide a comprehensive
description of both the positive and negative externalities associated with grain
consumption and the resulting impact on human health.
PMID- 22082017
TI - Yeast dynamin Vps1 and amphiphysin Rvs167 function together during endocytosis.
AB - Dynamins are a conserved family of proteins involved in many membrane fusion and
fission events. Previously, the dynamin-related protein Vps1 was shown to
localize to endocytic sites, and yeast carrying deletions for genes encoding both
the BAR domain protein Rvs167 and Vps1 had a more severe endocytic scission
defect than either deletion alone. Vps1 and Rvs167 localize to endocytic sites at
the onset of invagination and disassemble concomitant with inward vesicle
movement. Rvs167-GFP localization is reduced in cells lacking vps1 suggesting
that Vps1 influences Rvs167 association with the endocytic complex. Unlike
classical dynamins, Vps1 does not have a proline-arginine domain that could
interact with SH3 domain-containing proteins. Thus, while Rvs167 has an SH3
domain, it is not clear how an interaction would be mediated. Here, we
demonstrate an interaction between Rvs167 SH3 domain and the single type I SH3
binding motif in Vps1. Mutant Vps1 that cannot bind Rvs167 rescues all membrane
fusion/fission functions associated with Vps1 except for endocytic function,
demonstrating the specificity and mechanistic importance of the interaction. In
vitro, an Rvs161/Rvs167 heterodimer can disassemble Vps1 oligomers. Overall, the
data support the idea that Vps1 and the amphiphysins function together to mediate
scission during endocytosis in yeast.
PMID- 22082018
TI - Multiscale photoacoustic microscopy of single-walled carbon nanotube-incorporated
tissue engineering scaffolds.
AB - Three-dimensional polymeric scaffolds provide structural support and function as
substrates for cells and bioactive molecules necessary for tissue regeneration.
Noninvasive real-time imaging of scaffolds and/or the process of tissue formation
within the scaffold remains a challenge. Microcomputed tomography, the widely
used technique to characterize polymeric scaffolds, shows poor contrast for
scaffolds immersed in biological fluids, thereby limiting its utilities under
physiological conditions. In this article, multiscale photoacoustic microscopy
(PAM), consisting of both acoustic-resolution PAM (AR-PAM) and optical-resolution
PAM (OR-PAM), was employed to image and characterize single-walled carbon
nanotube (SWNT)-incorporated poly(lactic-co-glycolic acid) polymer scaffolds
immersed in biological buffer. SWNTs were incorporated to reinforce the
mechanical properties of the scaffolds, and to enhance the photoacoustic signal
from the scaffolds. By choosing excitation wavelengths of 570 and 638 nm,
multiscale PAM could spectroscopically differentiate the photoacoustic signals
generated from blood and from carbon-nanotube-incorporated scaffolds. OR-PAM,
providing a fine lateral resolution of 2.6 MUm with an adequate tissue
penetration of 660 MUm, successfully quantified the average porosity and pore
size of the scaffolds to be 86.5%+/-1.2% and 153+/-15 MUm in diameter,
respectively. AR-PAM further extended the tissue penetration to 2 mm at the
expense of lateral resolution (45 MUm). Our results suggest that PAM is a
promising tool for noninvasive real-time imaging and monitoring of tissue
engineering scaffolds in vitro, and in vivo under physiological conditions.
PMID- 22082019
TI - Molecular characterization of novel TaNAC genes in wheat and overexpression of
TaNAC2a confers drought tolerance in tobacco.
AB - Plant-specific NAC (NAM/ATAF/CUC) transcription factors (TFs) have been reported
to play a role in diverse stress responses and developmental processes. We show
here that six new genes encoding NAC TFs in wheat (Triticum aestivum) were
identified (named as TaNAC2a, TaNAC4a, TaNAC6, TaNAC7, TaNAC13 and TaNTL5,
respectively), and we classified them into three groups: stress-related NACs,
development-related NACs and NTLs (membrane-associated TFs belonging to NAC) by
phylogenetic analysis. All TaNACs were induced by one or several kinds of stress
treatments including dehydration, salinity and low temperature, whereas different
genes showed different expression levels. All these TaNACs, except TaNAC7, were
proven to have transcriptional activation activity in the yeast strain AH109 by
transactivation analysis. Furthermore, subcellular localization analysis revealed
that four TaNAC:GFP (green fluorescent protein) fusion proteins were localized in
the nucleus, TaNAC2a:GFP mainly located in the nucleus and the plasma membrane,
TaNTL5:GFP was associated with the membrane, while truncated TaNTL5(DeltaTM):GFP
(lacking the transmembrane motif) was detected exclusively in the nucleus. Semi
quantitative reverse transcription polymerase chain reaction analysis
demonstrated that five genes exhibited organ-specific expression. Transgenic
tobacco plants overexpressing TaNAC2a showed higher fresh weight and dry weight
than non-transgenic plants under drought condition, which indicated that the
transgene improved tobacco tolerance to drought treatment. Together, these
results provided a preliminary characterization of six TaNACs, which possessed a
potential role in improving stress tolerance and the regulation of development in
wheat, and suggested that TaNAC2a was potentially useful for engineering drought
tolerant plants.
PMID- 22082020
TI - Leisure time emotional excitement increases endothelin-1 and interleukin-6 in
cardiac patients.
AB - OBJECTIVES. Emotional excitement may trigger serious cardiovascular (CV) events.
Our objective was to compare the changes in potential surrogate markers of CV
events in patients with coronary artery disease (CAD) during emotional excitement
and exercise. DESIGN. Fifty-three enthusiastic ice-hockey spectators with stable
CAD attended the Finnish national ice-hockey play-off matches and a maximal
bicycle exercise. Plasma catecholamines, endothelin-1, interleukin-6, and markers
of platelet activation and blood coagulation were determined before and during
the match and before and after the exercise. RESULTS. Plasma endothelin-1 (2.82
+/- 0.21 vs. 2.94 +/- 0.25 pg/mL, p < 0.0001), noradrenaline (4.38 +/- 1.79 vs.
4.77 +/- 1.75 nmol/L, p = 0.009) and interleukin-6 (2.04 +/- 1.98 vs. 2.90 +/-
2.41 pg/mL, p < 0.0001) increased during the match, but markers of platelet
activation and coagulation remained unchanged. Endothelin-1 did not change during
exercise (2.73 +/- 0.17 vs. 2.72 +/- 0.19 pg/mL, p = 0.593) but noradrenaline
(2.70 +/- 1.08 vs.10.6 +/- 5.5 nmol/L), adrenaline (0.23 +/- 0.13 vs. 0.52 +/-
0.37 nmol/L), interleukin-6 (1.77 +/- 1.59 vs. 2.43 +/- 1.78 pg/mL) and markers
of platelet activation and blood coagulation increased significantly (p < 0.0001
for all). CONCLUSIONS. The responses of surrogate markers of acute CV events to
emotional excitement and physical exercise are partly different. Emotional
excitement causes concomitant increases in markers reflecting vulnerability to
atherosclerotic plaque complications while physical exercise causes more
prominent changes in markers of coagulation.
PMID- 22082021
TI - The effect of cold application on pain due to chest tube removal.
AB - AIM AND OBJECTIVES: The aim of the research is to determine the effect of cold
application on the pain owing to chest tube removal for patients with single
pleural chest tube. BACKGROUND: Removal of chest tubes causes patients to feel
pain and interventions used for reducing the pain owing to the removal of chest
tubes are not sufficient. DESIGN: Controlled clinical trial with repeated
measures. METHODS: This study was conducted with 140 patients, of whom 70
patients were in the experimental group and 70 patients were in the control
group, in a thoracic hospital in Turkey. Data were collected using a data
collection form consisting of patients' demographic and health history and Visual
Analogue Scale. Cold was applied to patients in the experimental group prior to
chest tube removal. In the experimental group, skin temperature and pain
intensity was measured for each patient at four time points. In the control
group, pain intensity was evaluated for each patient at three time points. Data
were evaluated using Chi-square and Repeated Measurements two-way anova tests.
RESULTS: The Visual Analogue Scale score was measured immediately after the chest
tube removal in the experimental group was 3.85, compared with 5.60 in the
control group. There were significant differences on pain with cold application
between the two groups prior and after the intervention. Age, gender, the number
of days the chest tube was inserted and the chest tube insertion indication had
no effect on the pain owing to chest tube removal. CONCLUSION: Cold application
is effective in reducing the pain owing to chest tube removal. RELEVANCE TO
CLINICAL PRACTICE: Cold application was recommended prior to chest tube removal
to reduce the pain owing to removal of chest tube.
PMID- 22082022
TI - Absorption of light in a single-nanowire silicon solar cell decorated with an
octahedral silver nanocrystal.
AB - In recent photovoltaic research, nanomaterials have offered two new approaches
for trapping light within solar cells to increase their absorption:
nanostructuring the absorbing semiconductor and using metallic nanostructures to
couple light into the absorbing layer. This work combines these two approaches by
decorating a single-nanowire silicon solar cell with an octahedral silver
nanocrystal. Wavelength-dependent photocurrent measurements and finite-difference
time domain simulations show that increases in photocurrent arise at wavelengths
corresponding to the nanocrystal's surface plasmon resonances, while decreases
occur at wavelengths corresponding to optical resonances of the nanowire.
Scanning photocurrent mapping with submicrometer spatial resolution
experimentally confirms that changes in the device's photocurrent come from the
silver nanocrystal. These results demonstrate that understanding the interactions
between nanoscale absorbers and plasmonic nanostructures is essential to
optimizing the efficiency of nanostructured solar cells.
PMID- 22082023
TI - Microbiologic characteristics and antimicrobial susceptibility of pacemaker/ICD
infections: a moving target!
PMID- 22082024
TI - Charge transport and glassy dynamics in ionic liquids.
AB - Ionic liquids (ILs) exhibit unique features such as low melting points, low vapor
pressures, wide liquidus temperature ranges, high thermal stability, high ionic
conductivity, and wide electrochemical windows. As a result, they show promise
for use in variety of applications: as reaction media, in batteries and
supercapacitors, in solar and fuel cells, for electrochemical deposition of
metals and semiconductors, for protein extraction and crystallization, and many
others. Because of the ease with which they can be supercooled, ionic liquids
offer new opportunities to investigate long-standing questions regarding the
nature of the dynamic glass transition and its possible link to charge transport.
Despite the significant steps achieved from experimental and theoretical studies,
no generally accepted quantitative theory of dynamic glass transition to date has
been capable of reproducing all the experimentally observed features. In this
Account, we discuss recent studies of the interplay between charge transport and
glassy dynamics in ionic liquids as investigated by a combination of several
experimental techniques including broadband dielectric spectroscopy, pulsed field
gradient nuclear magnetic resonance, dynamic mechanical spectroscopy, and
differential scanning calorimetry. Based on Einstein-Smoluchowski relations, we
use dielectric spectra of ionic liquids to determine diffusion coefficients in
quantitative agreement with independent pulsed field gradient nuclear magnetic
resonance measurements, but spanning a broader range of more than 10 orders of
magnitude. This approach provides a novel opportunity to determine the electrical
mobility and effective number density of charge carriers as well as their types
of thermal activation from the measured dc conductivity separately. We also
unravel the origin of the remarkable universality of charge transport in
different classes of glass-forming ionic liquids.
PMID- 22082025
TI - Near-infrared light-triggered dissociation of block copolymer micelles using
upconverting nanoparticles.
AB - We demonstrate a novel strategy enabling the use of a continuous-wave diode near
infrared (NIR) laser to disrupt block copolymer (BCP) micelles and trigger the
release of their "payloads". By encapsulating NaYF(4):TmYb upconverting
nanoparticles (UCNPs) inside micelles of poly(ethylene oxide)-block-poly(4,5
dimethoxy-2-nitrobenzyl methacrylate) and exposing the micellar solution to 980
nm light, photons in the UV region are emitted by the UCNPs, which in turn are
absorbed by o-nitrobenzyl groups on the micelle core-forming block, activating
the photocleavage reaction and leading to the dissociation of BCP micelles and
release of co-loaded hydrophobic species. Our strategy of using UCNPs as an
internal UV or visible light source upon NIR light excitation represents a
general and efficient method to circumvent the need for UV or visible light
excitation that is a common drawback for light-responsive polymeric systems
developed for potential biomedical applications.
PMID- 22082026
TI - Experimental (IR/Raman and 1H/13C NMR) and theoretical (DFT) studies of the
preferential conformations adopted by L-lactic acid oligomers and poly(L-lactic
acid) homopolymer.
AB - L-Lactic acid (L-LA) oligomers (up to the pentamer) were studied by three
complementary approaches: vibrational (IR and Raman) and NMR ((1)H and (13)C)
spectroscopies and DFT calculations. Vibrational and NMR spectra of L-LA
oligomers and poly(L-lactic acid) (PLLA) homopolymer were recorded at room
temperature and interpreted. Further insight into the structures (conformations)
of the title systems was provided by theoretical B3LYP/6-311++G(d,p) studies.
Calculated energies and computed vibrational and NMR spectra of the most stable
conformers of L-LA oligomers, together with the experimental vibrational and NMR
spectra, enabled the characterization of the preferred conformations adopted by
PLLA chains.
PMID- 22082027
TI - Expression of human protein S100A7 (psoriasin), preparation of antibody and
application to human larynx squamous cell carcinoma.
AB - BACKGROUND: Up-regulation of S100A7 (Psoriasin), a small calcium-binding protein,
is associated with the development of several types of carcinomas, but its
function and possibility to serve as a diagnostic or prognostic marker have not
been fully defined. In order to prepare antibodies to the protein for
immunohistochemical studies we produced the recombinant S100A7 protein in E.
coli. mRNA extracted from human tracheal tumor tissue which was amplified by RT
PCR to provide the region coding for the S100A7 gene. The amplified fragment was
cloned in the vector pCR2.1-TOPO and sub-cloned in the expression vector pAE. The
protein rS100A7 (His-tag) was expressed in E. coli BL21::DE3, purified by
affinity chromatography on an Ni-NTA column, recovered in the 2.0 to 3.5 mg/mL
range in culture medium, and used to produce a rabbit polyclonal antibody anti
rS100A7 protein. The profile of this polyclonal antibody was evaluated in a
tissue microarray. RESULTS: The rS100A7 (His-tag) protein was homogeneous by SDS
PAGE and mass spectrometry and was used to produce an anti-recombinant S100A7
(His-tag) rabbit serum (polyclonal antibody anti-rS100A7). The molecular weight
of rS100A7 (His-tag) protein determined by linear MALDI-TOF-MS was 12,655.91 Da.
The theoretical mass calculated for the nonapeptide attached to the amino
terminus is 12,653.26 Da (delta 2.65 Da). Immunostaining with the polyclonal anti
rS100A7 protein generated showed reactivity with little or no background staining
in head and neck squamous cell carcinoma cells, detecting S100A7 both in nucleus
and cytoplasm. Lower levels of S100A7 were detected in non-neoplastic tissue.
CONCLUSIONS: The polyclonal anti-rS100A7 antibody generated here yielded a good
signal-to-noise contrast and should be useful for immunohistochemical detection
of S100A7 protein. Its potential use for other epithelial lesions besides human
larynx squamous cell carcinoma and non-neoplastic larynx should be explored in
future.
PMID- 22082028
TI - A preliminary characterization of the cytosolic glutathione transferase proteome
from Drosophila melanogaster.
AB - The cytosolic GST (glutathione transferase) superfamily has been annotated in the
Drosophila melanogaster genome database. Of 36 genes, four undergo alternative
splicing to yield a total of 41 GST proteins. In the present study, we have
obtained the 41 transcripts encoding proteins by RT (reverse transcription)-PCR
using RNA template from Drosophila S2 cells, an embryonic cell line. This
observation suggests that all of the annotated DmGSTs (D. melanogaster GSTs) in
the proteome are expressed in the late embryonic stages of D. melanogaster. To
avoid confusion in naming these numerous DmGSTs, we have designated them
following the universal GST nomenclature as well as previous designations that
fit within this classification. Furthermore, in the cell line, we identified an
apparent processed pseudogene, gste8, in addition to two isoforms from the Delta
class that have been published previously. Only approximately one-third of the
expressed DmGSTs could be purified by conventional GSH affinity chromatography.
The diverse kinetic properties as well as physiological substrate specificity of
the DmGSTs are such that each individual enzyme displayed a unique character even
compared with members from the same class.
PMID- 22082029
TI - Optimizing parameters for clinical-scale production of high IL-12 secreting
dendritic cells pulsed with oxidized whole tumor cell lysate.
AB - BACKGROUND: Dendritic cells (DCs) are the most potent antigen-presenting cell
population for activating tumor-specific T cells. Due to the wide range of
methods for generating DCs, there is no common protocol or defined set of
criteria to validate the immunogenicity and function of DC vaccines. METHODS:
Monocyte-derived DCs were generated during 4 days of culture with recombinant
granulocyte-macrophage colony stimulating factor and interleukin-4, and pulsed
with tumor lysate produced by hypochlorous acid oxidation of tumor cells.
Different culture parameters for clinical-scale DC preparation were investigated,
including: 1) culture media; 2) culture surface; 3) duration of activating DCs
with lipopolysaccharide (LPS) and interferon (IFN)-gamma; 4) method of DC
harvest; and 5) cryomedia and final DC product formulation. RESULTS: DCs cultured
in CellGenix DC media containing 2% human AB serum expressed higher levels of
maturation markers following lysate-loading and maturation compared to culturing
with serum-free CellGenix DC media or AIM-V media, or 2% AB serum supplemented
AIM-V media. NunclonTMDelta surface, but not Corning((r)) tissue-culture treated
surface and Corning((r)) ultra-low attachment surface, were suitable for
generating an optimal DC phenotype. Recombinant trypsin resulted in reduced major
histocompatibility complex (MHC) Class I and II expression on mature lysate
loaded DCs, however presentation of MHC Class I peptides by DCs was not impaired
and cell viability was higher compared to cell scraping. Preservation of DCs with
an infusible cryomedia containing Plasma-Lyte A, dextrose, sodium chloride
injection, human serum albumin, and DMSO yielded higher cell viability compared
to using human AB serum containing 10% DMSO. Finally, activating DCs for 16 hours
with LPS and IFN-gamma stimulated robust mixed leukocyte reactions (MLRs), and
high IL-12p70 production in vitro that continued for 24 hours after the
cryopreserved DCs were thawed and replated in fresh media. CONCLUSIONS: This
study examined criteria including DC phenotype, viability, IL-12p70 production
and the ability to stimulate MLR as metrics of whole oxidized tumor lysate-pulsed
DC immunogenicity and functionality. Development and optimization of this unique
method is now being tested in a clinical trial of autologous oxidized tumor
lysate-pulsed DC in clinical-scale in recurrent ovarian, primary peritoneal or
fallopian tube cancer (NCT01132014).
PMID- 22082030
TI - Lack of influence of extracellular polymeric substances (EPS) level on hydroxyl
radical mediated disinfection of Escherichia coli.
AB - Photolysis of nitrate, a prevalent constituent in agriculturally impacted waters,
may influence pathogen attenuation in such systems through production of hydroxyl
radical ((*)OH). This study focuses on the efficacy of (*)OH generated during
nitrate photolysis in promoting E. coli die-off as a function of extracellular
polymeric substances (EPS) coverage. EPS levels of four E. coli isolates were
systematically altered through a sonication extraction method and photochemical
batch experiments with a solar simulator examined isolate viability loss as a
function of time in nitrate solutions. E. coli viability loss over time exhibited
two regimes: an initial induction time, t(s), with little decay was followed by
rapid exponential decay characterized by a first-order disinfection rate
constant, k. Increasing steady-state (*)OH concentrations enhanced E. coli
viability loss, increasing values of k and decreasing t(s) values, both of which
were quantified with a multitarget bacterial disinfection model. Notably, at a
given steady-state (*)OH concentration, values of t(s) and k were independent of
EPS levels, nor did they vary among the different E. coli strains considered.
Results herein show that while (*)OH generated via nitrate photolysis enhances
rates of disinfection in surface water, the mechanism by which (*)OH kills E.
coli is relatively insensitive to common bacterial variables.
PMID- 22082031
TI - Computation of measures of effect size for neuroscience data sets.
AB - The overwhelming majority of research in the neurosciences employs P-values
stemming from tests of statistical significance to decide on the presence or
absence of an effect of some treatment variable. Although a continuous variable,
the P-value is commonly used to reach a dichotomous decision about the presence
of an effect around an arbitrary criterion of 0.05. This analysis strategy is
widely used, but has been heavily criticized in the past decades. To counter
frequent misinterpretations of P-values, it has been advocated to complement or
replace P-values with measures of effect size (MES). Many psychological,
biological and medical journals now recommend reporting appropriate MES. One
hindrance to the more frequent use of MES may be their scarcity in standard
statistical software packages. Also, the arguably most widespread data analysis
software in neuroscience, matlab, does not provide MES beyond correlation and
receiver-operating characteristic analysis. Here we review the most common
criticisms of significance testing and provide several examples from neuroscience
where use of MES conveys insights not amenable through the use of P-values alone.
We introduce an open-access matlab toolbox providing a wide range of MES to
complement the frequently used types of hypothesis tests, such as t-tests and
analysis of variance. The accompanying documentation provides calculation
formulae, intuitive explanations and example calculations for each measure. The
toolbox described is usable without sophisticated statistical knowledge and
should be useful to neuroscientists wishing to enhance their repertoire of
statistical reporting.
PMID- 22082033
TI - Economic evaluation of duloxetine as a first-line treatment for painful diabetic
peripheral neuropathy in Mexico.
AB - OBJECTIVE: To perform an economic evaluation of duloxetine, pregabalin, and both
branded and generic gabapentin for managing pain in patients with painful
diabetic peripheral neuropathy (PDPN) in Mexico. RESEARCH DESIGN AND METHODS: The
analysis was conducted using a 3-month decision model, which compares duloxetine
60 mg once daily (DUL), pregabalin 150 mg twice daily (PGB), and gabapentin 600
mg three-times daily (GBP) for PDPN patients with moderate-to-severe pain. A
systematic review was performed and placebo-adjusted risk ratios for achieving
good pain relief (GPR), adverse events (AE), and withdrawal owing to intolerable
AE were calculated. Direct medical costs included drug acquisition and additional
visits due to lack of efficacy (poor pain relief) or intolerable AE. Unit costs
were taken from local sources. Adherence rates were used to estimate the expected
drug costs. All costs are expressed in 2010 Mexican Pesos (MXN). Utility values
drawn from published literature were applied to health states. The proportion of
patients with GPR and quality-adjusted life years (QALY) were assessed. RESULTS:
Branded-GBP was dominated by all the other options. PGB was more costly and less
effective than DUL. Compared with branded-GBP and PGB, DUL led to savings of 1.01
and 1.74 million MXN (per 1000 patients). The incremental cost per QALY gained
with DUL used instead of generic-GBP was $102 433 MXN. This amount is slightly
lower than the estimated gross domestic product per capita in Mexico for 2010.
During a second-order Monte Carlo simulation, DUL had the highest probability of
being cost-effective (61%), followed by generic-GBP (25%) and PGB (14%).
LIMITATIONS: Study limitations include a short timeframe and using data from
different dosage schemes for GBP and PGB. CONCLUSIONS: This study suggests that
DUL provides overall savings and better health outcomes compared with branded-GBP
and PGB. Administering DUL rather than generic-GBP is a cost-effective
intervention to manage PDPN in Mexico.
PMID- 22082032
TI - Changing trends in mastitis.
AB - The global dairy industry, the predominant pathogens causing mastitis, our
understanding of mastitis pathogens and the host response to intramammary
infection are changing rapidly. This paper aims to discuss changes in each of
these aspects. Globalisation, energy demands, human population growth and climate
change all affect the dairy industry. In many western countries, control programs
for contagious mastitis have been in place for decades, resulting in a decrease
in occurrence of Streptococcus agalactiae and Staphylococcus aureus mastitis and
an increase in the relative impact of Streptococcus uberis and Escherichia coli
mastitis. In some countries, Klebsiella spp. or Streptococcus dysgalactiae are
appearing as important causes of mastitis. Differences between countries in
legislation, veterinary and laboratory services and farmers' management practices
affect the distribution and impact of mastitis pathogens. For pathogens that have
traditionally been categorised as contagious, strain adaptation to human and
bovine hosts has been recognised. For pathogens that are often categorised as
environmental, strains causing transient and chronic infections are
distinguished. The genetic basis underlying host adaptation and mechanisms of
infection is being unravelled. Genomic information on pathogens and their hosts
and improved knowledge of the host's innate and acquired immune responses to
intramammary infections provide opportunities to expand our understanding of
bovine mastitis. These developments will undoubtedly contribute to novel
approaches to mastitis diagnostics and control.
PMID- 22082034
TI - Lost in translation? Psychometric properties and construct validity of the
English Essen Climate Evaluation Schema (EssenCES) social climate questionnaire.
AB - The social climate of correctional (forensic) settings is likely to have a
significant impact on the outcome of treatment and the overall functioning of
these units. The Essen Climate Evaluation Schema (EssenCES) provides an objective
way of measuring social climate that overcomes the content, length, and
psychometric limitations of other measures. But the English translation of the
EssenCES has yet to be sufficiently validated for use in forensic settings in the
United Kingdom. The current study presents psychometric properties (factor
structure and internal consistency) and an examination of construct validity with
the English EssenCES. Satisfactory internal consistency was found for all
EssenCES scales, and the expected three-factor structure was confirmed with both
staff and residents and in prison and secure hospital settings using confirmatory
factor analysis. Evidence to support construct validity was established using
multilevel models, which showed statistically significant associations between
scores on the EssenCES and scores on the Working Environment Scale, institutional
aggression, and site security. Future validation work and potential practical
applications of the EssenCES are discussed.
PMID- 22082035
TI - The Unidimensional Relationship Closeness Scale (URCS): reliability and validity
evidence for a new measure of relationship closeness.
AB - A fundamental dimension along which all social and personal relationships vary is
closeness. The Unidimensional Relationship Closeness Scale (URCS) is a 12-item
self-report scale measuring the closeness of social and personal relationships.
The reliability and validity of the URCS were assessed with college dating
couples (N = 192), female friends and strangers (N = 330), friends (N = 170), and
family members (N = 155). The results show that the scale is unidimensional, with
high reliability across relationship types (M alpha = .96). Evidence consistent
with validity included substantial within-couple agreement for the romantic
couples (intraclass correlation = .41), substantial friend-stranger
discrimination for the female friends (eta2 = .82), and measurement invariance
across relationship types. Evidence of convergent and divergent validity was
obtained for inclusion of other in the self and relational satisfaction,
respectively.
PMID- 22082036
TI - Do personality scale items function differently in people with high and low IQ?
AB - Intelligence differences might contribute to true differences in personality
traits. It is also possible that intelligence might contribute to differences in
understanding and interpreting personality items. Previous studies have not
distinguished clearly between these possibilities. Before it can be accepted that
scale score differences actually reflect personality differences, personality
items should show measurement invariance. The authors used item response theory
to test measurement invariance in the five-factor model scales of the
International Personality Item Pool (IPIP) and NEO-Five-Factor Inventory (NEO
FFI) across two groups of participants from the Lothian Birth Cohort 1936 with
relatively low and high cognitive abilities. Each group consisted of 320
individuals, with equal numbers of men and women. The mean IQ difference of the
groups was 21 points. It was found that the IPIP and NEO-FFI items were
measurement invariant across all five scales, making it possible to conclude that
any differences in IPIP and NEO-FFI scores between people with low and high
cognitive abilities reflected personality trait differences.
PMID- 22082037
TI - Porcine-derived xenograft combined with a soft cortical membrane versus
extraction alone for implant site development: a clinical study in humans.
AB - BACKGROUND: An adequate alveolar crest is essential for implant placement in
terms of esthetics and function. The objective of this randomized clinical trial
was to compare the preservation of the alveolar ridge dimensions following tooth
extraction using porcine-derived xenograft combined with a membrane versus
extraction-alone (EXT) sites. METHODS: Fifteen patients who required double
extraction of contralateral premolars and delayed implant placement were randomly
selected to receive both ridge-preservation procedure and EXT. The test sites
(alveolar ridge preservation [ARP]) included 15 sockets treated using a
corticocancellous porcine bone xenograft (OsteoBiol(r) Gen-Os; Tecnoss srl,
Giaveno, Italy) associated with a soft cortical membrane (OsteoBiol(r) Lamina;
Tecnoss srl), while the corresponding control sites (EXT) were left without
grafting for EXT. Horizontal and vertical ridge dimensions were recorded at
baseline and 6 months after extractions. RESULTS: After 6 months, the EXT sites
showed a significantly greater reabsorption of the buccolingual/palatal dimension
of the alveolar ridge (3.7 +/- 1.2 mm) compared with the ARP sites (1.8 +/- 1.3
mm). The mean vertical ridge height reduction in the control sockets was 3.1 +/-
1.3 mm at the buccal sites and 2.4 +/- 1.6 mm at the lingual sites compared with
0.6 +/- 1.4 and 0.5 +/- 1.3 mm, respectively, in the test sockets. The
differences between test and control sockets were not significant for the mesial
and distal measurements. CONCLUSIONS: The placement of a porcine xenograft with a
membrane in an extraction socket can be used to reduce the hard tissue
reabsorption after tooth extraction compared with EXT.
PMID- 22082038
TI - Skin surveillance intentions among family members of patients with melanoma.
AB - BACKGROUND: First-degree relatives of individuals diagnosed with melanoma are at
increased disease risk. However, many first-degree relatives do not receive a
periodic total cutaneous examination from a health care provider or engage in
regular skin self-examination. The goal of this study was to identify correlates
of total cutaneous examination and skin self-examination intentions among first
degree relatives of melanoma patients, thus providing insight on factors that
should be targeted in future intervention research. METHODS: The participants
were 545 first-degree relatives of melanoma patients at increased disease risk
due to their risk factor profile and lack of skin surveillance behaviors.
Participants completed a telephone survey regarding their total cutaneous
examination and skin self-examination intentions and potential correlates,
including demographics, medical factors, psychological factors, knowledge, and
social influence factors. RESULTS: Intentions to receive a total cutaneous
examination were higher among first-degree relatives with more education, those
perceiving higher benefits and lower barriers to an examination, and those
reporting greater physician and family support. Intentions to receive a skin self
examination were higher among those with higher benefits and lower barriers to
self-examination, and higher family support. CONCLUSIONS: Interventions to
promote skin surveillance behaviors among first-degree relatives of melanoma
patients should highlight the benefits of early detection of melanoma, address
barriers to receipt of total cutaneous examination and engagement in skin self
examination, and promote support from physicians and family members.
PMID- 22082039
TI - Decellularized human cornea for reconstructing the corneal epithelium and
anterior stroma.
AB - In this project, we strived to develop a decellularized human cornea to use as a
scaffold for reconstructing the corneal epithelium and anterior stroma. Human
cadaver corneas were decellularized by five different methods, including
detergent- and nondetergent-based approaches. The success of each method on the
removal of cells from the cornea was investigated. The structural integrity of
decellularized corneas was compared with the native cornea by electron
microscopy. The integrity of the basement membrane of the epithelium was analyzed
by histology and by the expression of collagen type IV, laminin, and fibronectin.
Finally, the ability of the decellularized corneas to support the growth of human
corneal epithelial cells and fibroblasts was assessed in vitro. Corneas processed
using Triton X-100, liquid nitrogen, and poly(ethylene glycol) resulted in
incomplete removal of cellular material. Corneas processed with the use of sodium
dodecyl sulfate (SDS) or with sodium chloride (NaCl) plus nucleases successfully
removed all cellular material; however, only the NaCl plus nuclease treatment
kept the epithelial basement membrane completely intact. Corneas processed with
NaCl plus nuclease supported both fibroblast and epithelial cell growth in vitro,
while corneas treated with SDS supported the growth of only fibroblasts and not
epithelial cells. Decellularized human corneas provide a scaffold that can
support the growth of corneal epithelial cells and stromal fibroblasts. This
approach may be useful for reconstructing the anterior cornea and limbus using
autologous cells.
PMID- 22082041
TI - High-performance graphene-based transparent flexible heaters.
AB - We demonstrate high-performance, flexible, transparent heaters based on large
scale graphene films synthesized by chemical vapor deposition on Cu foils. After
multiple transfers and chemical doping processes, the graphene films show sheet
resistance as low as ~43 Ohm/sq with ~89% optical transmittance, which are ideal
as low-voltage transparent heaters. Time-dependent temperature profiles and heat
distribution analyses show that the performance of graphene-based heaters is
superior to that of conventional transparent heaters based on indium tin oxide.
In addition, we confirmed that mechanical strain as high as ~4% did not
substantially affect heater performance. Therefore, graphene-based, flexible,
transparent heaters are expected to find uses in a broad range of applications,
including automobile defogging/deicing systems and heatable smart windows.
PMID- 22082040
TI - Functional polymorphisms in dopamine-related genes: effect on neurocognitive
functioning in HIV+ adults.
AB - Dopaminergic dysfunction is a putative mechanism underlying HIV-associated
neurocognitive disorders. Dopamine transporter (DAT), brain-derived neurotrophic
factor (BDNF), and catechol-O-methyltransferase (COMT) have been specifically
implicated. We report analyses examining the main effects of functional
polymorphisms within dopamine-modulating genes, as well as their interactive
effects with disease severity, upon neurocognitive functioning in HIV+ adults.
METHOD: A total of 184 HIV+ adults were included in the analysis. Three
polymorphisms were examined within dopamine-modulating genes: COMT val158met,
BDNF val66met, and the DAT 3' variable number tandem repeat. Separate
hierarchical regression analyses for five neurocognitive domains (working memory,
processing speed, learning, memory, motor) were conducted. Predictor variables
were age, ethnicity, gender, education, CD4+ T-cell count, current depression,
genotype, and an interaction term capturing genotype and disease severity (CD4).
RESULTS: None of the polymorphisms or HIV disease variables significantly
improved the hierarchical regression models. Younger age, higher education, and
Caucasian ethnicity were almost invariably associated with better functioning
across all five cognitive domains. A trend was noted for current depression as a
predictor of motor and learning ability. CONCLUSION: This study did not find
evidence to support direct or interactive effects of dopamine-related genes and
HIV disease severity on neurocognitive functioning.
PMID- 22082042
TI - Simultaneous existence of sustained double chamber tachycardias originating from
the aortic sinus of Valsalva.
AB - Monomorphic ventricular tachycardia is basically a benign phenomenon in patients
without structural heart disease. The focal source of the tachycardia is usually
located in the right ventricular outflow tract and more rarely in the left
ventricular outflow tract. Aortic sinus of Valsalva (ASV) is a well-known source
of atrial and ventricular tachycardias. We report a case with simultaneous
existence of sustained atrial and ventricular tachycardias originating from ASV,
which was successfully treated with radiofrequency catheter ablation.
PMID- 22082043
TI - The effect of KCNJ11 polymorphism on the risk of type 2 diabetes: a global meta
analysis based on 49 case-control studies.
AB - Potassium inwardly rectifying channel, subfamily-J, member 11 (KCNJ11) gene
encodes Kir6.2 subunits of the adenosine triphosphate (ATP)-sensitive potassium
channel involved in glucose-mediated metabolic signaling pathway and has
attracted considerable attention as a candidate gene for type 2 diabetes (T2D)
based on its function in glucose-stimulated insulin secretion. In the past
decade, a number of case-control studies have been conducted to investigate the
relationship between the KCNJ11 polymorphisms and T2D. However, these studies
have yielded contradictory results. To investigate this inconsistency and derive
a more precise estimation of the relationship, we conducted a comprehensive meta
analysis of 64,403 cases and 122,945 controls from 49 published studies. Using
the random-effects model, we found a significant association between E23K
(rs5219) polymorphism and T2D risk with per-allele odds ratio of 1.13 (95%
confidence interval: 1.10-1.15; p<10(-5)). Significant results were found in East
Asians and Caucasians when stratified by ethnicity; whereas no significant
associations were found among South Asians and other ethnic populations. In
subgroup analysis by sample size, mean age and body mass index (BMI) of cases,
mean BMI of controls and diagnostic criterion, significantly increased risks were
found in all genetic models. This meta-analysis suggests that the E23K
polymorphism in KCNJ11 is associated with elevated T2D risk, but these
associations vary in different ethnic populations.
PMID- 22082044
TI - Romiplostim efficacy in an acute myeloid leukemia patient with transfusion
refractory thrombocytopenia.
AB - BACKGROUND: Refractoriness to platelet (PLT) transfusion is a feared, life
threatening complication in hematology-oncology patients. Despite increased PLT
requirement and treatment costs, the clinical management is difficult and these
patients had less favorable outcomes. CASE REPORT: We report on the efficacy of
the thrombopoietic agent romiplostim in a patient with acute myeloid leukemia
with chemotherapy-induced transfusion-refractory thrombocytopenia. CONCLUSION:
Romiplostim could be very helpfull in the management of AML patients with
transfusion refractory thrombocytopenia.
PMID- 22082045
TI - Organogold clusters protected by phenylacetylene.
AB - A new class of monolayer-protected Au clusters with Au-C covalent bonds
(organogold clusters) was synthesized by ligating phenylacetylene (PhC=CH) to PVP
stabilized Au clusters. Matrix-assisted laser desorption ionization mass
spectrometry revealed for the first time a series of stable compositions of the
organogold (Au:C(2)Ph) clusters.
PMID- 22082046
TI - Consequences of butylated hydroxytoluene in the freezing extender on post-thaw
characteristics of stallion spermatozoa in vitro.
AB - Ejaculates from six pure Spanish stallions were split, and one subsample frozen
in a commercial extender supplemented with the lipid soluble antioxidant
butylated hydroxytoluene (BHT), while the other subsample served as control.
After at least 4 weeks of storage, samples were thawed and post-thaw sperm
quality analysed: sperm motility and kinematics using a CASA system, membrane and
acrosome integrity and mitochondrial membrane potential using flow cytometry. The
outcome of cryopreservation varied significantly among stallions. However, the
supplementation with 1 mm BHT had no significant effect on any of the sperm
parameters evaluated post-thaw.
PMID- 22082048
TI - NADPH oxidase is involved in H2O2-induced differentiation of human promyelocytic
leukaemia HL-60 cells.
AB - The expression and activity of NADPH oxidase increase when HL-60 cells are
induced into terminally differentiated cells. However, the function of NADPH
oxidase in differentiation is not well elucidated. With 150-500 MUM H2O2 inducing
differentiation of HL-60 cells, we measured phagocytosis of latex beads and
investigated cell electrophoresis. Two inhibitors of NADPH oxidase, DPI
(diphenyleneiodonium) and APO (apocynin), blocked the differentiation potential
of cells induced by 200 MUM H2O2. However, H2O2 stimulated the generation of
intracellular superoxide (O2*-), which decreased in the presence of the two
inhibitors. DPI also inhibited H2O2-induced ERK (extracellular-signal-regulated
kinase) activation, as detected by Western blotting. Furthermore, PD98059, the
inhibitor of the ERK pathway, inhibited the differentiation of HL-60 cells
induced by H2O2. This shows that H2O2 can activate NADPH oxidase, leading to O2*-
production, followed by ERK activation and ultimately resulting in the
differentiation of HL-60 cells. The data indicate that NADPH oxidase is an
important cell signal regulating cell differentiation.
PMID- 22082050
TI - Lulo cell line derived from Lutzomyia longipalpis (Diptera: Psychodidae): a novel
model to assay Leishmania spp. and vector interaction.
AB - BACKGROUND: Leishmania (Vianna) braziliensis, Leishmania (Leishmania) amazonensis
and Leishmania (Leishmania) chagasi are important parasites in the scenario of
leishmaniasis in Brazil. During the life cycle of these parasites, the
promastigote forms adhere to the midgut epithelial microvillii of phlebotomine
insects to avoid being secreted along with digestive products. Lulo cells are a
potential model that will help to understand the features of this adhesion
phenomenon. Here, we analyze the interaction between Leishmania spp.
promastigotes and Lulo cells in vitro, specifically focusing on adhesion events
occurring between three Leishmania species and this cell line. METHODS: Confluent
monolayers of Lulo cells were incubated with promastigotes and adhesion was
assessed using both light microscopy and scanning electron microscopy. FINDINGS:
The results indicate that species from the subgenera Leishmania and Viannia have
great potential to adhere to Lulo cells. The highest adherence rate was observed
for L. (L.) chagasi after 24 h of incubation with Lulo cells (27.3 +/- 1.8% of
cells with adhered promastigotes), followed by L. (L.) amazonensis (16.0 +/-
0.7%) and L. (V.) braziliensis (3.0 +/- 0.7%), both after 48 h. In the
ultrastructural analysis, promastigote adherence was also assessed by scanning
electron microscopy, showing that, for parasites from both subgenera, adhesion
occurs by both the body and the flagellum. The interaction of Lulo cells with
Leishmania (L.) chagasi showed the participation of cytoplasmic projections from
the former closely associating the parasites with the cells. CONCLUSIONS: We
present evidence that Lulo cells can be useful in studies of insect-parasite
interactions for Leishmania species.
PMID- 22082051
TI - Salen-based coordination polymers of iron and the rare earth elements.
AB - Reaction of N,N'-bis(4-carboxysalicylidene)ethylenediamine (H(4)L) with iron(III)
chloride and lanthanide nitrates resulted in the coordination polymers of
composition {[Ln(2)(FeLCl)(2)(NO(3))(2)(DMF)(5)].(DMF)(4)}(n) (Ln = Y, Eu, Gd,
Tb, Dy). The polymers consist of iron-salen-based moieties having carboxylate
linkers connected to rare earth atoms in a 1D chain structure. Thus, the iron
salen complex acts as a "metalloligand". Because of the twisting of the chains,
porous structures are formed and possess large free void space. The magnetic
studies of selected compounds exhibit weak intramolecular antiferromagnetic
interactions of Ln-Ln. At 3, 30, and 80 K, the Mossbauer spectra of the iron
dysprosium compound show a strongly asymmetric quadrupole doublet with isomer
shift and quadrupole splitting values typical for Fe(III) ions in high spin
state. In addition, an anomalous temperature dependence of both isomer shift and
quadrupole splitting has been observed.
PMID- 22082049
TI - Multidimensional prognostic indices for use in COPD patient care. A systematic
review.
AB - BACKGROUND: A growing number of prognostic indices for chronic obstructive
pulmonary disease (COPD) is developed for clinical use. Our aim is to identify,
summarize and compare all published prognostic COPD indices, and to discuss their
performance, usefulness and implementation in daily practice. METHODS: We
performed a systematic literature search in both Pubmed and Embase up to
September 2010. Selection criteria included primary publications of indices
developed for stable COPD patients, that predict future outcome by a
multidimensional scoring system, developed for and validated with COPD patients
only. Two reviewers independently assessed the index quality using a structured
screening form for systematically scoring prognostic studies. RESULTS: Of 7,028
articles screened, 13 studies comprising 15 indices were included. Only 1 index
had been explored for its application in daily practice. We observed 21 different
predictors and 7 prognostic outcomes, the latter reflecting mortality,
hospitalization and exacerbation. Consistent strong predictors were FEV1
percentage predicted, age and dyspnoea. The quality of the studies underlying the
indices varied between fairly poor and good. Statistical methods to assess the
predictive abilities of the indices were heterogenic. They generally revealed
moderate to good discrimination, when measured. LIMITATIONS: We focused on
prognostic indices for stable disease only and, inevitably, quality judgment was
prone to subjectivity. CONCLUSIONS: We identified 15 prognostic COPD indices.
Although the prognostic performance of some of the indices has been validated,
they all lack sufficient evidence for implementation. Whether or not the use of
prognostic indices improves COPD disease management or patients' health is
currently unknown; impact studies are required to establish this.
PMID- 22082052
TI - Improvement on thermal performance of a disk-shaped miniature heat pipe with
nanofluid.
AB - The present study aims to investigate the effect of suspended nanoparticles in
base fluids, namely nanofluids, on the thermal resistance of a disk-shaped
miniature heat pipe [DMHP]. In this study, two types of nanoparticles, gold and
carbon, in aqueous solution are used respectively. An experimental system was set
up to measure the thermal resistance of the DMHP with both nanofluids and
deionized [DI] water as the working medium. The measured results show that the
thermal resistance of DMHP varies with the charge volume and the type of working
medium. At the same charge volume, a significant reduction in thermal resistance
of DMHP can be found if nanofluid is used instead of DI water.
PMID- 22082053
TI - Genotypic diversity and spatial-temporal distribution of Symbiodinium clones in
an abundant reef coral.
AB - Genetic data are rapidly advancing our understanding of various biological
systems including the ecology and evolution of coral-algal symbioses. The fine
scale interactions between individual genotypes of host and symbiont remain
largely unstudied and constitute a major gap in knowledge. By applying
microsatellite markers developed for both host and symbiont, we investigated the
intracolony diversity, prevalence and stability of Symbiodinium glynni (type D1)
multilocus genotypes in association with dense populations of Pocillopora at two
sites in the Gulf of California. The genetic diversity and allelic frequencies in
reef populations of S. glynni remained stable over 3 years. Common clone
genotypes persisted over this period, and no temporal population subdivision
(Phi(PT) = 0.021 and -0.003) was detected. Collections from circular plots showed
no statistical correlation between related Pocillopora individuals and their
associations with particular S. glynni genotypes, with no spatial structuring or
clonal aggregation across a reef for the symbiont. From permanent linear
transects, samples were analysed from multiple locations within a colony and some
were resampled approximately 1 year later. Many of these multisampled colonies
(approximately 53%) were dominated by a single S. glynni genotype and tended to
associate with the same symbiont genotype(s) over time, while colony ramets often
possessed unrelated symbiont genotypes. In contrast to the species level,
associations between genotypes of Pocillopora and S. glynni are apparently more
flexible over space and time. The abundance of sexually recombinant genotypes of
S. glynni combined with greater flexibility might provide adaptive mechanisms for
these symbioses to evolve rapidly to changes in environmental conditions and
allow particular symbiont genotypes to spread through a host population.
PMID- 22082054
TI - Physical re-examination of parameters on a molecular collisions-based diffusion
model for diffusivity prediction in polymers.
AB - Molecular collisions, which are the microscopic origin of molecular diffusive
motion, are affected by both the molecular surface area and the distance between
molecules. Their product can be regarded as the free space around a penetrant
molecule defined as the "shell-like free volume" and can be taken as a
characteristic of molecular collisions. On the basis of this notion, a new
diffusion theory has been developed. The model can predict molecular diffusivity
in polymeric systems using only well-defined single-component parameters of
molecular volume, molecular surface area, free volume, and pre-exponential
factors. By consideration of the physical description of the model, the actual
body moved and which neighbor molecules are collided with are the volume and the
surface area of the penetrant molecular core. In the present study, a
semiempirical quantum chemical calculation was used to calculate both of these
parameters. The model and the newly developed parameters offer fairly good
predictive ability.
PMID- 22082055
TI - Design, synthesis, and incorporation of fluorous 5-methylcytosines into
oligonucleotides.
AB - A palladium-catalyzed Negishi coupling reaction has been developed to synthesize
fluorous 5-methylcytosines. These fluorous nucleosides are incorporated into the
oligonucleotides that correspond to part of the promoter region of Oct4, a master
gene that undergoes dynamic DNA demethylation during cellular reprogramming. The
separation of the fluorous oligonucleotides from its nonfluorous analogues has
been achieved through solid-phase extraction over fluorous silica, suggesting its
potential use in probing DNA demethylation.
PMID- 22082056
TI - Implant survival rates after osteotome-mediated maxillary sinus augmentation: a
systematic review.
AB - PURPOSE: The aim of the present study was to systematically evaluate the implant
survival rate after osteotome-mediated maxillary sinus augmentation with or
without using grafting materials. MATERIALS AND METHODS: MEDLINE database was
searched using a combination of specific search terms. Furthermore, a hand
searching of the relevant journals and of the bibliographies of reviews was
performed. Prospective and retrospective clinical studies with at least 20
patients treated by osteotome-mediated sinus floor elevation were included.
RESULTS: Nineteen studies were selected for data analysis. A total of 1,822
patients, accounting for 3,131 implants were considered. Mean weighted cumulative
implant survival at 1, 2, 3, and 5 years was estimated as 98.12%, 97.40%, 96.75%,
and 95.81%, respectively. No significant difference was found in relation to the
use of grafting material nor in relation to implant length. Overall implant
survival was 92.7% for 331 implants placed in <5 mm ridge height and 96.9% for
2,525 implants inserted in >= 5 mm ridge height. The difference was significant
(p = .0003). CONCLUSIONS: The transalveolar sinus augmentation technique could be
a viable treatment in case of localized atrophy in the posterior maxilla even in
case of minimal residual bone height. The prognosis can be more favorable when
the residual ridge is at least 5 mm high.
PMID- 22082057
TI - The infection of bad company: stigma by association.
AB - Stigma by association represents the process through which the companions of
stigmatized persons are discredited. Conduits for stigma by association range
from the strong and enduring bonds of kinship to the arbitrary occasions of being
seen in the company of someone who is stigmatized. A theoretical model is
proposed in which both deliberative and spontaneous processes result in the
spread of stigma to the companions of stigmatized persons. Support for this model
was found across 3 studies that examined how explicit and implicit stigma
relevant attitudes moderate stigma-by-association effects. When social
relationships were meaningful (e.g., kinship), both explicit and implicit
attitudes moderated the devaluation of stigmatized persons' companions. On the
other hand, when social relationships appeared coincidental only implicit
attitudes moderated companion devaluation.
PMID- 22082058
TI - Chronic threat and contingent belonging: protective benefits of values
affirmation on identity development.
AB - Two longitudinal field experiments in a middle school examined how a brief
"values affirmation" affects students' psychological experience and the
relationship between psychological experience and environmental threat over 2
years. Together these studies suggest that values affirmations insulate
individuals' sense of belonging from environmental threat during a key
developmental transition. Study 1 provided an analysis of new data from a
previously reported study. African American students in the control condition
felt a decreasing sense of belonging during middle school, with low-performing
students dropping more in 7th grade and high-performing students dropping more in
8th grade. The affirmation reduced this decline for both groups. Consistent with
the notion that affirmation insulates belonging from environmental threat,
affirmed African American students' sense of belonging in Study 1 fluctuated less
over 2 years and became less contingent on academic performance. Based on the
idea that developmentally sensitive interventions can have long-lasting benefits,
Study 2 showed that the affirmation intervention was more effective if delivered
before any drop in performance and subsequent psychological toll could unfold.
The role of identity threat and affirmation in affecting the encoding of social
experience, and the corresponding importance of timing treatments to
developmentally sensitive periods, are explored.
PMID- 22082059
TI - Control deprivation and styles of thinking.
AB - Westerners habitually think in analytical ways, whereas East Asians tend to favor
holistic styles of thinking. We replicated this difference but showed that it
disappeared after control deprivation (Experiment 1). Brief experiences of
control deprivation, which stimulate increased desire for control, caused Chinese
participants to shift toward Western-style analytical thinking in multiple ways
(Experiments 2-5). Western Caucasian participants also increased their use of
analytical thinking after control deprivation (Experiment 6). Manipulations that
required Chinese participants to think in Western, analytical ways caused their
sense of personal control to increase (Experiments 7-9). Prolonged experiences of
control deprivation, which past work suggested foster an attitude more akin to
learned helplessness than striving for control, had the opposite effect of
causing Chinese participants to shift back toward a strongly holistic style of
thinking (Experiments 10-12). Taken together, the results support the reality of
cultural differences in cognition but also the cross-cultural similarity of using
analytical thinking when seeking to enhance personal control.
PMID- 22082060
TI - Fundamental(ist) attribution error: Protestants are dispositionally focused.
AB - Attribution theory has long enjoyed a prominent role in social psychological
research, yet religious influences on attribution have not been well studied. We
theorized and tested the hypothesis that Protestants would endorse internal
attributions to a greater extent than would Catholics, because Protestantism
focuses on the inward condition of the soul. In Study 1, Protestants made more
internal, but not external, attributions than did Catholics. This effect survived
controlling for Protestant work ethic, need for structure, and intrinsic and
extrinsic religiosity. Study 2 showed that the Protestant-Catholic difference in
internal attributions was significantly mediated by Protestants' greater belief
in a soul. In Study 3, priming religion increased belief in a soul for
Protestants but not for Catholics. Finally, Study 4 found that experimentally
strengthening belief in a soul increased dispositional attributions among
Protestants but did not change situational attributions. These studies expand the
understanding of cultural differences in attributions by demonstrating a distinct
effect of religion on dispositional attributions.
PMID- 22082061
TI - Sensitive maintenance: a cognitive process underlying individual differences in
memory for threatening information.
AB - Dispositional styles of coping with threat influence memory for threatening
information. In particular, sensitizers excel over repressors in their memory for
threatening information after long retention intervals, but not after short ones.
We therefore suggested that sensitizers, but not repressors, employ active
maintenance processes during the retention interval to selectively retain
threatening material. Sensitive maintenance was studied in 2 experiments in which
participants were briefly exposed to threatening and nonthreatening pictures
(Experiment 1, N = 128) or words (Experiment 2, N = 145). Following, we
administered unannounced recognition tests before and after an intervening task
that generated either high or low cognitive load, assuming that high cognitive
load would impede sensitizers' memory maintenance of threatening material.
Supporting our hypotheses, the same pattern of results was obtained in both
experiments: Under low cognitive load, sensitizers forgot less threat material
than repressors did; no such differences were observed under high cognitive load.
PMID- 22082062
TI - Negative moods and the motivated remembering of past selves: the role of implicit
theories of personal stability.
AB - This research program explored how the positivity of people's memories of their
past personal attributes is influenced by their desire to cope with negative mood
states. The studies tested the hypothesis that beliefs and motives regarding the
stability of personality will determine whether people idealize or derogate their
earlier attributes in an attempt to repair distressing feelings. When knowledge
structures or motives implying personal change are activated, people should
derogate their past selves in response to negative moods; in contrast, when these
factors imply personal stability, people should idealize their past selves in
response to negative moods. Studies 1-3, which assessed the impact of mood
negativity (neutral vs. negative) and theories (or motives) regarding personal
change (change vs. stability) on the positivity of people's memories of their
past attributes, supported this reasoning. Study 4 extended these findings by
examining how an underlying mediating variable--mood-repair motivation--guides
the effect of negative moods on recall of past selves. Implications of the
results for research on temporal comparison, mood-congruent recall, and
posttraumatic growth are discussed.
PMID- 22082063
TI - Purple corn (Zea mays L.) phenolic compounds profile and its assessment as an
agent against oxidative stress in isolated mouse organs.
AB - This study was designed to determine the contents of total polyphenols,
flavonoids, flavonols, flavanols, and anthocyanins of purple corn (Zea mays L.)
extracts obtained with different methanol:water concentrations, acidified with 1%
HCl (1 N). Another objective was to determine the antioxidant activity by 1,1
diphenyl-2-picrylhydrazyl (DPPH), 2,2'-azinobis(3-ethylbenzothiazoline-6-sulfonic
acid) (ABTS), ferric reducing antioxidant power (FRAP), and deoxyribose assay,
individual phenolic compounds by high-performance liquid chromatography (HPLC),
and endogenous antioxidant enzyme (superoxide dismutase [SOD], catalase [CAT],
and total peroxidase [TPX]) activity and lipid peroxidation activity
(thiobarbituric acid-reactive substances [TBARS] assay) in isolated mouse organs.
Overall, the highest total content of polyphenols, anthocyanins, flavonoids,
flavonols, and flavanols was obtained with the 80:20 methanol:water extract,
acidified with 1% HCl (1 N). The 50% inhibitory concentration values obtained by
the DPPH and ABTS assays with this extract were 66.3 MUg/mL and 250 MUg/mL,
respectively. The antioxidant activity by the FRAP assay was 26.1 MUM Trolox
equivalents/g, whereas the deoxyribose assay presented 93.6% inhibition. Because
of these results, the 80:20 methanol:water extract, acidified with 1% HCl (1 N),
was used for the remaining tests. Eight phenolic compounds were identified by
HPLC: chlorogenic acid, caffeic acid, rutin, ferulic acid, morin, quercetin,
naringenin, and kaempferol. Furthermore, it was observed that the purple corn
extract was capable of significantly reducing lipid peroxidation (lower
malondialdehyde [MDA] concentrations by the TBARS assay) and at the same time
increasing endogenous antioxidant enzyme (CAT, TPX, and SOD) activities in
isolated mouse kidney, liver, and brain. On the basis of the results, it was
concluded that the purple corn extract contained various bioactive phenolic
compounds that exhibited considerable in vitro antioxidant activity, which
correlated well with the decreased MDA formation and increase in activity of
endogenous antioxidant enzymes observed in the isolated mouse organs. This
warrants further in vivo studies with purple corn extracts to assess its
antioxidant activity and other bioactivities.
PMID- 22082064
TI - Anti-inflammatory effects of methanol extract of Codium fragile in
lipopolysaccharide-stimulated RAW 264.7 cells.
AB - The methanol extract of Codium fragile (MECF) has been reported to possess
bioactive properties such as antidegranulation in eosinophils, as well as anti
edema, antibacterial, and antiviral activities. However, little is known about
the molecular effects of MECF on lipopolysaccharide (LPS)-induced inflammation.
Therefore, we investigated whether MECF affects the expression of inflammatory
mediators in LPS-stimulated RAW 264.7 cells. To evaluate the anti-inflammatory
effects of MECF, the cells were pretreated with MECF for 1 hour and then cultured
with LPS for 24 hours. Our results indicate that MECF significantly attenuated
secretion of LPS-induced inflammatory mediators nitric oxide (NO), prostaglandin
E(2) (PGE(2)), and tumor necrosis factor (TNF)-alpha in RAW 264.7 cells.
Additionally, LPS-induced mRNA and protein expression of inducible NO synthase
(iNOS), cyclooxygenase (COX)-2, and TNF-alpha was decreased by pretreatment with
MECF. These data indicate that MECF attenuates the expression of these
inflammatory mediators at the transcriptional level. Therefore, we also
investigated the effects of MECF on nuclear factor-kappaB (NF-kappaB) activity,
which may be an important transcriptional factor for regulating the expression of
iNOS, COX-2, and TNF-alpha mRNA. Our results showed that MECF reduced LPS-induced
NF-kappaB activity via the suppression of nuclear translocation of the p50 and
p65 NF-kappaB subunits and degradation of inhibitor of kappaB. In conclusion, we
propose that MECF treatment down-regulates the expression and secretion of LPS
induced inflammatory mediators by inhibiting NF-kappaB activity.
PMID- 22082065
TI - Improved endothelial dysfunction by Cynanchum wilfordii in apolipoprotein E(-/-)
mice fed a high fat/cholesterol diet.
AB - Cynanchum wilfordii is used in traditional Chinese medicine with almost all parts
of this plant considered beneficial for various vascular diseases. This study was
performed to evaluate the effect of an ethanol extract of C. wilfordii (ECW) on
vascular dysfunction in apolipoprotein E (apoE)(-/-) mice fed with high
fat/cholesterol diets (HFCDs). The apoE(-/-) mice were fed HFCD consisting of
7.5% cocoa butter and 1.25% cholesterol, with or without 100 or 200 mg/day/kg
ECW. Chronic ECW treatment significantly lessened the level of low-density
lipoprotein (P<.05) and elevated that of high-density lipoprotein-cholesterol
(P<.01). Chronic ECW treatment normalized the HFCD-induced increase in systolic
blood pressure, maintained smooth and soft intimal endothelial layers, and
decreased intima-media thickness in aortic sections of HFCD-fed apoE(-/-) mice.
ECW significantly restored the diet-induced decrease in vasorelaxation response
to acetylcholine; however, the response to sodium nitroprusside did not change.
ECW clearly restored the HFCD-induced reduction in endothelial nitric oxide
synthase expression levels in aortic tissue, leading to decreased vascular
inflammation through an inhibition of cellular adhesion molecules such as E
selectin, vascular cell adhesion molecule-1, and intracellular adhesion molecule
1 as well as endothelin-1 (ET-1) expression. In conclusion, ECW ameliorates
endothelial dysfunction via improvement of the nitric oxide/cyclic GMP signaling
pathway in a diet/genetic model of hyperlipidemia. ECW also substantially
inhibited the development of atherosclerosis, possibly by inhibiting ET-1, cell
adhesion molecules, and lesion formation, suggesting a vascular protective role
for this herb in the treatment and prevention of atherosclerotic vascular
disease.
PMID- 22082066
TI - Inhibition of adhesion of uropathogenic Escherichia coli bacteria to
uroepithelial cells by extracts from cranberry.
AB - Cranberry extract has been reported as a therapeutic agent, mainly in urinary
tract infections due to its anti-adhesive capacity. In order to compare the
effects of proanthocyanidin (procyanidin) (PAC)-standardized cranberry extracts
and commercial PAC A2, we first investigated the presence of genes encoding known
adhesins on 13 strains of uropathogenic strains coming from patients with
cystisis. After this characterization, the anti-adhesive effects of PAC A2 were
assayed on selected uropathogenic Escherichia coli strains before testing
cranberry extracts. Before checking inhibitory effect on bacterial adhesion to
cells, we showed that neither PAC A2 or three cranberry extracts (A, B, and C)
specifically inhibited the growth and did not supply any potential nutrient to E.
coli strains, including the unrelated control strain. PAC A2 exhibited an
inhibitory effect on the adhesion of two selected uropathogenic strains of E.
coli. This work also showed that a preliminary exposure of bacteria to PAC A2
significantly reduced the adhesion. This phenomenon has been also observed with a
lesser impact when uroepithelial cells were pretreated with PAC A2. Moreover, the
assays were more robust when bacteria were in fast growing conditions
(exponential phase): the adhesion to uroepithelial cells was greater. Significant
reduction of adhesion to urepithelial cells was observed: around 80% of
inhibition of adhesion with the cranberry extracts at equivalent PAC
concentration of 50 MUg/mL. The effects of the different assayed extracts were
not obviously different except for extract B, which inhibited approximately 55%
of adhesion at an equivalent PAC concentration of 5 MUg/mL.
PMID- 22082067
TI - Doenjang, a fermented soybean paste, decreased visceral fat accumulation and
adipocyte size in rats fed with high fat diet more effectively than nonfermented
soybeans.
AB - Soybean is known to have an anti-obesity effect. We compared the anti-obesity
effect of doenjang, a fermented soybean paste, with that of nonfermented soybeans
in rats. Steamed soybeans and doenjang (steamed soybeans fermented and aged for
10 months) were sampled and freeze-dried. Male Sprague-Dawley rats were fed basal
(BA) (5% fat), high fat (HF) (30% fat), HF+steamed soybeans (SOY), or HF+doenjang
(DJ) diet ad libitum for 8 weeks. HF significantly increased body weight gain,
liver weight, hepatic triglyceride (TG) and cholesterol levels, and epididymal
fat pad weight compared with BA. Compared with HF, body weight gain and hepatic
TG and cholesterol levels were significantly lower in SOY and DJ groups, but they
were not significantly different from each other. DJ significantly reduced
visceral fat weight and epididymal adipocyte size compared with HF, whereas SOY
resulted in a mild reduction without significance. This was possibly because DJ
showed lowered fatty acid synthase (FAS) activity and elevated carnitine
palmitoyltransferase (CPT)-1 activity in liver tissue more than SOY. SOY and DJ
did not affect serum total and high-density lipoprotein-cholesterol levels
compared with HF; however, DJ significantly lowered the atherogenic index and
serum leptin level. In conclusion, doenjang, a fermented soybean product, was
more effective than soybeans for preventing diet-induced visceral fat
accumulation, possibly because of its greater effects on CPT-1 activity
stimulation and FAS activity suppression. These effects may be due in part to the
higher content of aglycone isoflavones in doenjang.
PMID- 22082068
TI - Antihypertensive and cardioprotective effects of pumpkin seed oil.
AB - Pumpkin seed oil is a natural product commonly used in folk medicine for
treatment of prostatic hypertrophy. In the present study, the effects of
treatment with pumpkin seed oil on hypertension induced by the nitric oxide
synthase inhibitor N(omega)-nitro-L-arginine methyl ester hydrochloride (L-NAME)
(50 mg /kg/day) in rats were studied and compared with those of the calcium
channel blocker amlodipine. Pumpkin seed oil (40 or 100 mg/kg), amlodipine (0.9
mg/kg), or vehicle (control) was given once daily orally for 6 weeks. Arterial
blood pressure (BP), heart rate, electrocardiogram (ECG) changes, levels of serum
nitric oxide (NO) (the concentrations of nitrite/nitrate), plasma malondialdehyde
(MDA), blood glutathione, and erythrocytic superoxide dismutase activity were
measured. Histopathological examination of heart and aorta was conducted as well.
L-NAME administration resulted in a significant increase in BP starting from the
second week. Pumpkin seed oil or amlodipine treatment significantly reduced the
elevation in BP by L-NAME and normalized the L-NAME-induced ECG changes-namely,
prolongation of the RR interval, increased P wave duration, and ST elevation.
Both treatments significantly decreased the elevated levels of MDA and reversed
the decreased levels of NO metabolites to near normal values compared with the L
NAME-treated group. Amlodipine also significantly increased blood glutathione
content compared with normal (but not L-NAME-treated) rats. Pumpkin seed oil as
well as amlodipine treatment protected against pathological alterations in heart
and aorta induced by L-NAME. In conclusion, this study has shown that pumpkin
seed oil exhibits an antihypertensive and cardioprotective effects through a
mechanism that may involve generation of NO.
PMID- 22082069
TI - Modifying effects of lemongrass essential oil on specific tissue response to the
carcinogen N-methyl-N-nitrosurea in female BALB/c mice.
AB - Lemongrass (Cymbopogon citratus Stapf) essential oil has been used worldwide
because of its ethnobotanical and medicinal usefulness. Regarding its medicinal
usefulness, the present study evaluated the beneficial effects of lemongrass
essential oil (LGEO) oral treatment on cell proliferation and apoptosis events
and on early development of hyperplastic lesions in the mammary gland, colon, and
urinary bladder induced by N-methyl-N-nitrosourea (MNU) in female BALB/c mice.
The animals were allocated into three groups: G1, treated with LGEO vehicle for 5
weeks (five times per week); G2, treated with LGEO vehicle as for G1 and MNU (two
injections each of 30 mg/kg of body weight at weeks 3 and 5); and G3, treated
with LGEO (five times each with 500 mg/kg of body weight per week) and MNU as for
G2. Twenty-four hours after the last MNU application, all animals were
euthanized, and mammary glands, colon, and urinary bladder were collected for
histological and immunohistochemical analysis. LGEO oral treatment significantly
changed the indexes of apoptosis and/or cellular proliferation for the tissues
analyzed. In particular, the treatment reduced the incidence of hyperplastic
lesions and increased apoptosis in mammary epithelial cells. This increment in
the apoptosis response may be related to a favorable balance in Bcl-2/Bax
immunoreactivity in mammary epithelial cells. These findings indicate that LGEO
presented a protective role against early MNU-induced mammary gland alterations
in BALB/c mice.
PMID- 22082070
TI - Micromarrows--three-dimensional coculture of hematopoietic stem cells and
mesenchymal stromal cells.
AB - Hematopoietic stem cell (HSC) transplant is a well established curative therapy
for some hematological malignancies. However, achieving adequate supply of HSC
from some donor tissues can limit both its application and ultimate efficacy. The
theory that this limitation could be overcome by expanding the HSC population
before transplantation has motivated numerous laboratories to develop ex vivo
expansion processes. Pioneering work in this field utilized stromal cells as
support cells in cocultures with HSC to mimic the HSC niche. We hypothesized that
through translation of this classic coculture system to a three-dimensional (3D)
structure we could better replicate the niche environment and in turn enhance HSC
expansion. Herein we describe a novel high-throughput 3D coculture system where
murine-derived HSC can be cocultured with mesenchymal stem/stromal cells (MSC) in
3D microaggregates--which we term "micromarrows." Micromarrows were formed using
surface modified microwells and their ability to support HSC expansion was
compared to classic two-dimensional (2D) cocultures. While both 2D and 3D systems
provide only a modest total cell expansion in the minimally supplemented medium,
the micromarrow system supported the expansion of approximately twice as many HSC
candidates as the 2D controls. Histology revealed that at day 7, the majority of
bound hematopoietic cells reside in the outer layers of the aggregate.
Quantitative polymerase chain reaction demonstrates that MSC maintained in 3D
aggregates express significantly higher levels of key hematopoietic niche factors
relative to their 2D equivalents. Thus, we propose that the micromarrow platform
represents a promising first step toward a high-throughput HSC 3D coculture
system that may enable in vitro HSC niche recapitulation and subsequent extensive
in vitro HSC self-renewal.
PMID- 22082071
TI - Severe inflammatory reaction induced by peritoneal trauma is the key driving
mechanism of postoperative adhesion formation.
AB - BACKGROUND: Many factors have been put forward as a driving mechanism of surgery
triggered adhesion formation (AF). In this study, we underline the key role of
specific surgical trauma related with open surgery (OS) and laparoscopic (LS)
conditions in postoperative AF and we aimed to study peritoneal tissue
inflammatory reaction (TIR), remodelling specific complications of open surgery
(OS) versus LS and subsequently evaluating AF induced by these conditions.
METHODS: A prospective randomized study was done in 80 anaesthetised female
Wistar rats divided equally into 2 groups. Specific traumatic OS conditions were
induced by midline incision line (MIL) extension and tissue drying and specific
LS conditions were remodelled by intraperitoneal CO2 insufflation at the 10 cm of
water. TIR was evaluated at the 24th, 72nd, 120th and 168th hour by scoring
scale. Statistical analysis was performed by the non-parametric t test and two
way ANOVA using Bonferroni post-tests. RESULTS: More pronounced residual TIR was
registered after OS than after LS. There were no significant TIR interactions
though highly significant differences were observed between the OS and LS groups
(p < 0.0001) with regard to surgical and time factors. The TIR change differences
between the OS and LS groups were pronounced with postoperative time p < 0.05 at
the 24th and 72nd; p < 0.01--120th and p < 0.001--168th hrs. Adhesion free wounds
were observed in 20.0 and 31.0% of cases after creation of OS and LS conditions
respectively; with no significant differences between these values (p > 0.05).
However larger adhesion size (41.67 +/- 33.63) was observed after OS in
comparison with LS (20.31 +/- 16.38). The upper-lower 95% confidential limits
ranged from 60.29 to 23.04 and from 29.04 to 11.59 respectively after OS and LS
groups with significant differences (p = 0.03). Analogous changes were observed
in adhesion severity values. Subsequently, severe TIR parameters were followed by
larger sizes of severe postoperative adhesions in the OS group than those
observed in the LS group. CONCLUSIONS: MIL extension and tissue drying seem to be
the key factors in the pathogenesis of adhesion formation, triggering severe
inflammatory reactions of the peritoneal tissue surrounding the MIL resulting in
local and systemic consequences. CO2 insufflation however, led to moderate
inflammation and less adhesion formation.
PMID- 22082072
TI - Improving the United States airline industry's capacity to provide safe and
dignified services to travelers with disabilities: focus group findings.
AB - PURPOSE: As a component of a training development project for intercity air
travel providers, we investigated the capacity of the airline industry to meet
the needs of travelers with disabilities by exploring: (1) the level of
sensitivity among personnel to travelers' needs, (2) training currently provided,
(3) areas in which additional training might be beneficial, and (4)
organisational/systems-level commitment to dignified assistance to all travelers.
METHOD: Forty-four airline/vendor employees participated in nine focus groups in
four US cities. Groups were audio recorded and transcribed. A grounded-theory
approach was used to develop a coding system which was then applied to
transcripts to identify themes. RESULTS: Factors influencing capacity grouped
broadly into four areas: characteristics of the job/system, characteristics of
current training, characteristics of providers themselves, and characteristics of
travelers. At an interpersonal level, providers were empathetic and desired to
provide dignified services. They lacked training and adequate equipment in some
cases, however, and organisational commitment varied between companies. Traveler
characteristics were also shown to impact service delivery. CONCLUSIONS: Results
are promising but additional regulatory and organisational policies are needed to
ensure quality services. Providers and consumers of intercity air travel services
may benefit from the findings and recommendations of this study.
PMID- 22082073
TI - Family members' report on speech-language pathology and community services for
persons with aphasia in Hong Kong.
AB - PURPOSE: This study investigates (a) the provision of speech-language pathology
(SLP) services and community resources in Hong Kong for persons with aphasia
(PWA) and their families and (b) family members' perception of the service
quality. METHODS: A self-administered 42-item questionnaire was distributed in
two community support groups. The questions included information on the
demography data of the PWAs, details of the SLP services and family members'
perception of PWAs' satisfaction with the hospital-based services and resources
in community support groups. RESULTS: Results from 37 completed questionnaires
indicated most inpatient and outpatient SLP sessions were delivered weekly and
monthly, respectively, in fewer than 30 min. The primary foci of these sessions
were assessment and treatment of aphasia. While professional SLP services were
unavailable in support groups, the activities attended most frequently by the
PWAs and their families were communication groups and social gatherings,
respectively. Overall satisfaction was highest with support groups, followed by
hospital-based inpatient and outpatient services. CONCLUSIONS: The results
provide commentary on the existing practices of post-stroke aphasia management in
Hong Kong, and will provide new insights into the clinical care of the PWAs and
their families. Such knowledge can allow better planning of resource and manpower
allocation for aphasia rehabilitation.
PMID- 22082074
TI - Feed-forward neural network assisted by discriminant analysis for the
spectroscopic discriminantion of cracked spores Ganoderma lucidum: A prospective
biotechnology production tool.
AB - A major problem for manufacturers of cracked spores Ganoderma lucidum, a
traditional functional food/Chinese medicine (TCM), is to ensure that raw
materials are consistent as received from the producer. To address this, a feed
forward artificial neural network (ANN) method assisted by linear discriminant
analysis (LDA) and principal component analysis (PCA) was developed for the
spectroscopic discrimination of cracked spores of Ganoderma lucidum from
uncracked spores. 120 samples comprising cracked spores, uncracked spores and
concentrate of Ganoderma lucidum were analyzed. Differences in the absorption
spectra located at nu1 (1143 - 1037 cm-1), nu2 (1660 - 1560 cm-1), nu3 (1745 -
1716 cm-1) and nu4 (2845 - 2798 cm-1) were identified by applying fourier
transform infra-red (FTIR) spectroscopy and used as variables for discriminant
analysis. The utilization of spectra frequencies offered maximum chemical
information provided by the absorption spectra. Uncracked spores gave rise to
characteristic spectrum that permitted discrimination from its cracked physical
state. Parallel application of variables derived from unsupervised LDA/PCA
provided useful (feed-forward) information to achieve 100% classification
integrity objective in ANN. 100% model validation was obtained by utilizing 30
independent samples. nu1 was used to construct the matrix-matched calibration
curve (n = 10) based on 4 levels of concentration (20%, 40%, 60% and 80%
uncracked spores in cracked spores). A coefficient of correlation (r) of 0.97 was
obtained. Relative standard deviation (RSD) of 11% was achieved using 100%
uncracked spores (n = 30). These results demonstrate the feasibility of utilizing
a combination of spectroscopy and prospective statistical tools to perform non
destructive food quality assessment in a high throughput environment.
PMID- 22082075
TI - Interventricular septal mass in a patient with cardiac sarcoidosis.
AB - Sarcoidosis is a granulomatous disease that may involve multiple organ systems.
The prognosis of sarcoidosis is influenced by the presence and severity of
cardiac lesions. Thinning of the wall in the ventricular septum has often been
reported, whereas an interventricular septal mass is rare. We describe a case of
cardiac sarcoidosis resulting in a myocardial mass in the basal portion of the
interventricular septum that was sensitive to corticosteroid treatment.
PMID- 22082076
TI - Porous one-dimensional nanostructures through confined cooperative self-assembly.
AB - We report a simple confined self-assembly process to synthesize nanoporous one
dimensional photoactive nanostructures. Through surfactant-assisted cooperative
interactions (e.g., pi-pi stacking, ligand coordination, and so forth) of the
macrocyclic building block, zinc meso-tetra (4-pyridyl) porphyrin (ZnTPyP), self
assembled ZnTPyP nanowires and nanorods with controlled diameters and aspect
ratios are prepared. Electron microscopy characterization in combination with X
ray diffraction and gas sorption experiments indicate that these materials
exhibit stable single-crystalline and high surface area nanoporous frameworks
with well-defined external morphology. Optical characterizations using UV-vis
spectroscopy and fluorescence imaging and spectroscopy show enhanced collective
optical properties over the individual chromophores (ZnTPyP), favorable for
exciton formation and transport.
PMID- 22082077
TI - Review: unchained maladie - a reassessment of the role of Ubb(+1) -capped
polyubiquitin chains in Alzheimer's disease.
AB - Molecular misreading allows the formation of mutant proteins in the absence of
gene mutations. A mechanism has been proposed by which a frameshift mutant of the
ubiquitin protein, Ubb(+1) , which accumulates in an age-dependent manner as a
result of molecular misreading, contributes to neuropathology in Alzheimer's
disease (Lam et al. 2000). Specifically, in the Ubb(+1) -mediated proteasome
inhibition hypothesis Ubb(+1) 'caps' unanchored (that is, nonsubstrate linked)
polyubiquitin chains, which then act as dominant inhibitors of the 26S
proteasome. A review of subsequent literature indicates that this original
hypothesis is broadly supported, and offers new insights into the mechanisms
accounting for the age-dependent accumulation of Ubb(+1) , and how Ubb(+1)
mediated proteasome inhibition may contribute to Alzheimer's disease. Further,
recent studies have highlighted a physiological role for free endogenous
unanchored polyubiquitin chains in the direct activation of certain protein
kinases. This raises the possibility that Ubb(+1) -capped unanchored
polyubiquitin chains could also exert harmful effects through the aberrant
activation of tau or other ubiquitin-dependent kinases, neuronal NF-kappaB
activity or NF-kappaB-mediated neuroinflammatory processes.
PMID- 22082078
TI - Experimental evidence for a phylogenetic Janzen-Connell effect in a subtropical
forest.
AB - Observational evidence increasingly suggests that the Janzen-Connell effect
extends beyond the species boundary. However, this has not been confirmed
experimentally. Herein, we present both observational and experimental evidence
for a phylogenetic Janzen-Connell effect. In a subtropical forest in Guangdong
province, China, we observed that co-occurring tree species are less
phylogenetically related than expected. The inhibition effects of neighbouring
trees on seedling survival decreased with increasing phylogenetic distance
between them. In a shade-house experiment, we studied seedling survival of eight
species on soil collected close to Castanopsis fissa relative to their survival
on soil close to their own adult trees, and found that this relative survival
rate increased with phylogenetic distance from C. fissa. This phylogenetic signal
disappeared when seedlings were planted in fungicide-treated soil. Our results
clearly support negative effects of phylogenetically similar neighbouring trees
on seedling survival and suggest that these effects are caused by associated host
specific fungal pathogens.
PMID- 22082079
TI - A model-based approach to long-term recovery of limb apraxia after stroke.
AB - Limb apraxia is a disorder affecting performance of gestures on verbal command
(pantomime), on imitation, and/or in tool and action recognition. We aimed to
examine recovery on tasks assessing both conceptual and production aspects of
limb praxis in left (n = 22) and right (n = 15) stroke patients. Patients were
assessed longitudinally on four conceptual tasks (action identification, tool
naming by action, tool identification, and tool naming) and five production tasks
(pantomime, pantomime by picture, concurrent imitation, delayed imitation, and
tool use). They were grouped as impaired or not relative to the performance of a
control sample (n = 27) and as acute-subacute (first assessment within 3 months
post stroke) or chronic (over 3 months post stroke). Hierarchical linear modeling
was used to analyze the data. Acute-subacute and chronic patients had similar
average performance. All tasks, except action identification, showed evidence of
recovery in both acute and chronic impaired patients. A faster rate of recovery
among acute-subacute patients was observed only in the two pantomime tasks
(action identification and tool identification were not compared on this factor).
PMID- 22082080
TI - Glucose regulation is associated with attentional control performances in
nondiabetic older adults.
AB - Less efficient glucose regulation, the ability to metabolize glucose, has been
associated with poorer cognitive performances in older individuals not meeting
criteria for type 2 diabetes ( Messier, Tsiakas, Gagnon, & Desrochers, 2010 ).
Yet, the influence of glucose regulation on attentional functions, which are
sensitive to aging, is still unclear. The present study examined the relationship
between glucose regulation and performances on attentional tasks in nondiabetic
older adults. Twenty-two participants (60 years and older) were tested on
neuropsychological tests of attention (Trail Making test, modified Stroop test)
and on a computerized dual task, after receiving a 50-g glucose drink.
Participants with the worse glucose regulation committed more errors on the
switching condition of the modified Stroop test (p < .05) and tended to make more
errors in divided-attention trials of the computerized dual task (p = .05).
Altogether, these results suggest that glucose regulation may transiently
influence performances of metabolically healthy older adults on tasks requiring
switching attention.
PMID- 22082081
TI - Italian norms for the Freedman version of the Clock Drawing Test.
AB - BACKGROUND: The Clock Drawing Test (CDT) is a valid screening tool for the
evaluation of cognitive decline. This study aimed to compute standardized norms
for the Freedman version of the CDT in a population of 248 healthy Italian
individuals aged from 20 to 89 years. METHOD: The effects of age, education, and
gender on performance were assessed. Three conditions were administered: free
drawn clock (FD), which required participants to draw the contour, numbers,
hands, and center of the clock; predrawn clock (PD), in which numbers, hands, and
center had to be included in a predrawn contour; examiner-drawn clock (ED), in
which only hands and center had to be inserted in a template including a predrawn
contour and numbers. Scores for each of the single conditions and a total score
were calculated. RESULTS: Age had no effect on the FD condition, whereas a
significant effect of age was found for the PD and ED conditions and the total
score. Gender and education had no influence on any of the scores. Correction
grids, cutoffs, and equivalent scores were computed. CONCLUSION: Standardized
norms for the Freedman version of the CDT were collected in a large sample of
healthy individuals. No adjustments were required for scores on the free-drawn
condition, whereas raw scores on the predrawn and examiner-drawn conditions and
the total score needed adjustments to account for age effects. The availability
of standardized norms for this version of the CDT could increase the use of this
comprehensive tool in the detection of dementia.
PMID- 22082082
TI - Montreal Cognitive Assessment (MoCA): normative study for the Portuguese
population.
AB - The Montreal Cognitive Assessment (MoCA) is a brief cognitive screening
instrument with good psychometric features and an excellent sensitivity in the
early detection of mild cognitive decline. The MoCA was applied to a community
based sample of cognitively healthy adults (n = 650), stratified according to
sociodemographic variables (age, gender, educational level, geographic region,
geographic localization, and residence area), with a distribution similar to that
observed in the Portuguese population. The normative data were determined
according to age and education as these were the sociodemographic variables that
most significantly contributed to the prediction of the MoCA scores, explaining
49% of their variance.
PMID- 22082083
TI - Effects of immunosuppressive drugs on the cognitive functioning of renal
transplant recipients: a pilot study.
AB - Some renal transplant patients show cognitive, emotional, and behavioral changes
as part of possible neurotoxic effects associated with immunosuppressive
medication, especially tacrolimus. This study evaluated effects of
immunosuppressive drugs on some cognitive tasks. Patients treated with sirolimus
and cyclosporine reported some of the noncognitive side effects related to
immunosuppressive treatment. We observed attention and working memory impairment
in patients treated with sirolimus or tacrolimus. Performance of cyclosporine
treated subjects was similar to that of healthy volunteer controls. Since the
mood, anxiety, and sleep patterns measured were unaffected, it could be concluded
that the cognitive deficit found was partly related to treatment.
PMID- 22082084
TI - Executive functions, categorization of probabilities, and learning from feedback:
what does really matter for decision making under explicit risk conditions?
AB - In two experiments with healthy subjects, we used the Game of Dice Task (GDT),
the Probability-Associated Gambling (PAG) task, the Iowa Gambling Task (IGT), and
executive-function and logical thinking tasks to shed light on the underlying
processes of decision making under risk. Results indicate that handling
probabilities, as in the PAG task, is an important ingredient of GDT performance.
Executive functions and logical thinking also play major roles in deciding in the
GDT. Implicit feedback learning, as measured by the IGT, has little impact.
Results suggest that good probability handling may compensate for the effects of
weak executive functions in decisions under risk.
PMID- 22082085
TI - Plasmacytoma of the skull.
PMID- 22082087
TI - Coordination and organometallic chemistry of relevance to the rhodium-based
catalyst for ethylene hydroamination.
AB - The RhCl(3).3H(2)O/PPh(3)/nBu(4)PI catalytic system for the hydroamination of
ethylene by aniline is shown to be thermally stable by a recycle experiment and
by a kinetic profile study. The hypothesis of the reduction under catalytic
conditions to a Rh(I) species is supported by the observation of a high catalytic
activity for complex [RhI(PPh(3))(2)](2). New solution equilibrium studies on
[RhX(PPh(3))(2)](2) (X = Cl, I) in the presence of ligands of relevance to the
catalytic reaction (PPh(3), C(2)H(4), PhNH(2), X(-), and the model Et(2)NH amine)
are reported. Complex [RhCl(PPh(3))(2)](2) shows broadening of the (31)P NMR
signal upon addition of PhNH(2), indicating rapid equilibrium with a less
thermodynamically stable adduct. The reaction with Et(2)NH gives extensive
conversion into cis-RhCl(PPh(3))(2)(NHEt(2)), which is however in equilibrium
with the starting material and free Et(2)NH. Excess NHEt(2) yields a H-bonded
adduct cis-RhCl(PPh(3))(2)(Et(2)NH)...NHEt(2), in equilibrium with the
precursors, as shown by IR spectroscopy. The iodide analogue [RhI(PPh(3))(2)](2)
shows less pronounced reactions (no change with PhNH(2), less extensive addition
of Et(2)NH with formation of cis-RhI(PPh(3))(2)(NHEt(2)), less extensive reaction
of the latter with additional Et(2)NH to yield cis
RhI(PPh(3))(2)(Et(2)NH)...NHEt(2). The two [RhX(PPh(3))(2)](2) compounds do not
show any evidence for addition of the corresponding X(-) to yield a putative
[RhX(2)(PPh(3))(2)](-) adduct. The product of C(2)H(4) addition to
[RhI(PPh(3))(2)](2), trans-RhI(PPh(3))(2)(C(2)H(4)), has been characterized in
solution. Treatment of the RhCl(3).3H(2)O/PPh(3)/nBu(4)PI/PhNH(2) mixture under
catalytic conditions yields mostly [RhCl(PPh(3))(2)](2), and no significant
halide exchange, demonstrating that the promoting effect of iodide must take
place at the level of high energy catalytic intermediates. The equilibria have
also been investigated at the computational level by DFT with treatment at the
full QM level including solvation effects. The calculations confirm that the
bridge splitting reaction is slightly less favorable for the iodido derivative.
Overall, the study confirms the active role of rhodium(I) species in ethylene
hydroamination catalyzed by RhCl(3).3H(2)O/PPh(3)/nBu(4)PI and suggest that the
catalyst resting state is [RhCl(PPh(3))(2)](2) or its C(2)H(4) adduct,
RhCl(PPh(3))(2)(C(2)H(4)), under high ethylene pressure.
PMID- 22082086
TI - The novel allele HLA-B*35:167 differs from HLA-B*35:03:01 by the amino acid
exchange Val152Glu.
AB - HLA-B*35:167 allele differs from HLA-B*35:03:01 and HLA-B*35:70 by an amino acid
exchange at position 152.
PMID- 22082088
TI - Slice orientation and muscarinic acetylcholine receptor activation determine the
involvement of N-methyl D-aspartate receptor subunit GluN2B in hippocampal area
CA1 long-term depression.
AB - BACKGROUND: The contribution of different GluN2 subunits of the N-methyl D
aspartate (NMDA) receptor to the induction of bidirectional hippocampal synaptic
plasticity is a controversial topic. As both supporting and refuting evidence for
the hypothesis of subunit specialization in opposing directions of plasticity has
accumulated since it was first proposed a few years ago, we hypothesize that
differences in experimental conditions may have in part contributed to some of
the inconsistent results from these studies. Here we investigate the
controversial hypothesis that long-term depression (LTD) is preferentially
induced by GluN2B-containing NMDA receptors in area CA1 of hippocampal slices.
RESULTS: We find that brain slices from 2-3 week old rats prepared in the
sagittal orientation have GluN2B-independent LTD whereas slices prepared in the
coronal orientation have GluN2B-dependent LTD. There was no difference between
the orientations in the fraction of the NMDAR EPSC sensitive to a GluN2B
selective antagonist, leading us to believe that the intracellular signaling
properties of the NMDARs were different in the two preparations. Coronal slices
had greater association of LTD-related intracellular signaling protein RasGRF1
with GluN2B relative to sagittal slices. Antagonism of muscarinic acetylcholine
receptors (mAChRs) in the sagittal slices returned LTD to a GluN2B-dependent form
and increased the association of GluN2B with RasGRF1. CONCLUSIONS: These results
suggest a novel form of NMDAR modulation by mAChRs and clarify some disagreement
in the literature.
PMID- 22082090
TI - Ultrafast transient optical studies of charge pair generation and recombination
in poly-3-hexylthiophene(P3ht):[6,6]phenyl C61 butyric methyl acid ester (PCBM)
blend films.
AB - Charge generation and recombination are studied in blend films of poly-3
hexylthiophene (P3HT) and [6,6']phenyl C61 butyric acid methyl ester (PCBM) using
ultrafast transient absorption spectroscopy. We find that the charge generation
yield depends upon both blend film composition and thermal annealing. The data
suggest that recombination occurs over a wide range of time scales and that, in
the least favorable cases, the fastest charge recombination occurs on a time
scale similar to exciton diffusion. The results are explained using a simple
model that incorporates the effect of P3HT domain size on exciton diffusion and
uses empirical models of recombination kinetics. We propose our method as a route
to interpretation of spectroscopic data where different processes occur on
similar time scales.
PMID- 22082089
TI - Double-blind placebo-controlled evaluation of the PROMETATM protocol for
methamphetamine dependence.
AB - AIMS: To evaluate the efficacy and safety of the PROMETATM Protocol for treating
methamphetamine dependence. DESIGN: A double-blind, placebo-controlled 108-day
study with random assignment to one of two study conditions: active medication
with flumazenil (2 mg infusions on days 1, 2, 3, 22, 23), gabapentin (1200 mg to
day 40) and hydroxazine (50 mg to day 10) versus placebo medication (with active
hydroxazine only). SETTING: Three substance abuse treatment clinics: two in
patient, one out-patient. PARTICIPANTS: Treatment-seeking, methamphetamine
dependent adults (n = 120). MEASUREMENTS: Primary outcome was percentage of urine
samples testing negative for methamphetamine during the trial. FINDINGS: No
statistically significant between-group differences were detected in urine drug
test results, craving, treatment retention or adverse events. CONCLUSIONS: The
PROMETA protocol, consisting of flumazenil, gabapentin and hydroxyzine, appears
to be no more effective than placebo in reducing methamphetamine use, retaining
patients in treatment or reducing methamphetamine craving.
PMID- 22082092
TI - E pluribus plurima: multidimensional indices and clinical phenotypes in COPD.
PMID- 22082093
TI - One-step fabrication of biocompatible chitosan-coated ZnS and ZnS:Mn2+ quantum
dots via a gamma-radiation route.
AB - Biocompatible chitosan-coated ZnS quantum dots [CS-ZnS QDs] and chitosan-coated
ZnS:Mn2+ quantum dots [CS-ZnS:Mn2+ QDs] were successfully fabricated via a
convenient one-step gamma-radiation route. The as-obtained QDs were around 5 nm
in diameter with excellent water-solubility. These QDs emitting strong visible
blue or orange light under UV excitation were successfully used as labels for
PANC-1 cells. The cell experiments revealed that CS-ZnS and CS-ZnS:Mn2+ QDs
showed low cytotoxicity and good biocompatibility, which offered possibilities
for further biomedical applications. Moreover, this convenient synthesis strategy
could be extended to fabricate other nanoparticles coated with chitosan.PACS:
81.07.Ta; 78.67.Hc; 82.35.Np; 87.85.Rs.
PMID- 22082094
TI - Is it time for new initiatives in the blood center and/or the hospital to reduce
bacterial risk of platelets?
PMID- 22082095
TI - Test-enhanced learning in the classroom: long-term improvements from quizzing.
AB - Three experiments examined whether quizzing promotes learning and retention of
material from a social studies course with sixth grade students from a suburban
middle school. The material used in the experiments was the course material
students were to learn and some of the dependent measures were the actual tests
on which students received grades. In within-subject designs, students received
three low-stakes multiple-choice quizzes in Experiments 1 and 2 and performance
on quizzed items was compared to that on items that were presented twice
(Experiment 2) or items that were not presented on the initial quizzes
(Experiments 1 and 2). We found that students' performance on both chapter exams
and semester exams improved following quizzing relative to either not being
quizzed or relative to the twice-presented items. In Experiment 3, students were
given one multiple-choice quiz in class and encouraged to quiz themselves outside
of class using a Web-based system. The assessment in this experiment was a short
answer test in which students had to produce answers, but we also used multiple
choice tests. Once again, we found that quizzing of material produced a positive
effect on chapter and semester exams. These results show the robustness of
retrieval practice via testing as a learning mechanism in a classroom setting
using the subject matter of the course and (in most cases) the tests on which
students received grades as the dependent measures. Our results add to a growing
body of evidence that retrieval practice in the classroom can boost academic
performance.
PMID- 22082096
TI - Antidiarrheal activity of Laurus nobilis L. leaf extract in rats.
AB - In Jordan, the leaves of Laurus nobilis (Family Lauraceae) have been used in folk
medicine for the treatment of diarrhea, among other ailments. However, the
ethnopharmacology of this plant needs to be scientifically validated. The present
work was carried out to evaluate the scientific basis of the antidiarrheal effect
of the aqueous extract of L. nobilis leaf. L. nobilis leaf extract significantly
inhibited castor oil-induced diarrhea (effective concentration producing 50% of
the maximum response [EC(50)]=150+/-6.4 mg/kg) and reduced castor oil-induced
enteropooling in rats (EC(50)=162+/-5.9 mg/kg). The extract also significantly
inhibited intestinal transit of a charcoal meal and exerted a significant dose
dependent relaxation (EC(50)=71+/-5.3 mg/mL) on rat ileal smooth muscle. The
aqueous extract tested positive for flavonoids, alkaloids, and tannins. These
results established the efficacy of L. nobilis leaf aqueous extract as an
antidiarrheal agent and are consistent with the popular use of the plant in the
treatment of gastrointestinal disorders, particularly diarrhea.
PMID- 22082097
TI - The hexane extract of Saussurea lappa and its active principle, dehydrocostus
lactone, inhibit prostate cancer cell migration.
AB - Saussurea lappa has been used in Chinese traditional medicine for the treatment
of abdominal pain, tenesmus, nausea, and cancer; previous studies have shown that
S. lappa also induces G(2) growth arrest and apoptosis in gastric cancer cells.
In this study, we investigated the effects of hexane extracts of S. lappa (HESLs)
on the migration of DU145 and TRAMP-C2 prostate cancer cells. DU145 and TRAMP-C2
cells were cultured in the presence of 0-4 MUg/mL HESL with or without 10 ng/mL
epidermal growth factor (EGF). HESL inhibited the basal and EGF-induced migration
of prostate cancer cells in a dose-dependent manner, whereas HESL did not
influence the viability of these cancer cells under the conditions used in this
study. Active fractions of HESL were separated via column chromatography, and the
structure of the active principle was determined using (1)H and (13)C nuclear
magnetic resonance spectroscopy. The active compound, dehydrocostus lactone
(DHCL), in fraction 7 dose-dependently inhibited the basal and EGF-induced
migration of prostate cancer cells. HESL and DHCL reduced matrix
metalloproteinase (MMP)-9 and tissue inhibitor of metalloproteinase (TIMP)-1
secretion but increased TIMP-2 levels in both the absence and presence of EGF.
Our results demonstrate that the inhibition of MMP-9 secretion and the
stimulation of TIMP-2 secretion contribute to reduced migration of DU145 cells
treated with HESL and DHCL. These results indicate that HESL containing its
active principle, DHCL, has potential as an antimetastatic agent for the
treatment of prostate cancer.
PMID- 22082098
TI - Anti-inflammatory activity of Pistacia khinjuk in different experimental models:
isolation and characterization of its flavonoids and galloylated sugars.
AB - The present study aimed at isolating and elucidating the structure of the main
components of Pistacia khinjuk L. and exploring its potential anti-inflammatory
effect in different experimental models. The extract was evaluated for anti
inflammatory activity by measuring paw volume in three experimental models. Then,
prostaglandin E2 (PGE2) level, ear edema, tissue myeloperoxidase (MPO) activity,
histopathology, nitric oxide (NO) level, and tumor necrosis factor-alpha (TNF
alpha) level were assessed. Seven phenolic compounds, mainly flavonoids and
galloylated compounds, were isolated from the aqueous methanol extract: gallic
acid (1), methyl gallate (2), quercetin-3-O-beta-D-4C1-galactopyranoside
(hyperin) (3), myricetin-3-O-alpha-L-1C4-rhamnopyranoside (myricitrin) (4), 1,6
digalloyl-beta-D-glucose (5), 1,4-digalloyl-beta-D-glucopyranoside (6), and 2,3
di-O-galloyl-(alpha/beta)-4C1-glucopyranose (nilocitin) (7). The anti
inflammatory activity was evidenced by decreased carrageenan-induced rat paw
edema and PGE2 elevation. In the croton oil-induced ear edema model, MPO activity
was significantly inhibited, and inflammatory histopathological changes were
ameliorated. In the rat air pouch model, NO generation and TNF-alpha release were
significantly inhibited. The isolation and nuclear magnetic resonance spectral
data of compound 6 from the genus Pistacia are revealed for the first time. Also,
P. khinjuk L. aqueous methanol extract possesses anti-inflammatory activity in
several experimental models.
PMID- 22082099
TI - Antioxidant and vasodilatory effects of blackberry and grape wines.
AB - In contrast to the well-described various biological effects of grape wines, the
potential effects of commonly consumed blackberry wine have not been studied. We
examined in vitro antioxidant and vasodilatory effects of four blackberry wines
and compared them with the effects of two red and two white grape wines. Although
some blackberry wines had lower total phenolic content relative to the red grape
wines, their antioxidant capacity was stronger, which may be related to a higher
content of non-flavonoid compounds (most notably gallic acid) in blackberry
wines. Although maximal vasodilation induced by blackberry wines was generally
similar to that of red wines, blackberry wines were less potent vasodilators.
Vasodilatory activity of all wines, in addition to their flavonoid and total
phenolic content, was most significantly associated with their content of
anthocyanins. No association of vasodilation with any individual polyphenolic
compound was found. Our results indicate the biological potential of blackberry
wines, which deserves deeper scientific attention.
PMID- 22082100
TI - Anti-Candida activity of Mentha arvensis and Turnera ulmifolia.
AB - Candidiasis is the most frequent infection by opportunistic fungi, frequently
caused by Candida albicans, Candida tropicalis, Candida parapsilosis, Candida
glabrata, and Candida krusei. Mentha arvensis L. is a herbaceous plant that
occurs throughout South America and is used as a tea and in the folk medicine.
Turnera ulmifolia L. is already known to be of medicinal value. Ethanol extracts
from M. arvensis and T. ulmifolia were assayed for antifungal activity against
strains of C. albicans, C. tropicalis, and C. krusei. No clinically relevant
antifungal activity was demonstrated by the extracts; however, a potentiation
effect was observed when the extracts were applied with metronidazole against C.
tropicalis. M. arvensis and T. ulmifolia could represent a source of natural
products with modifying antifungal activity.
PMID- 22082101
TI - Effect of the edible mushroom Mycoleptodonoides aitchisonii on transient global
ischemia-induced monoamine metabolism changes in rat cerebral cortex.
AB - We performed a transient bilateral common carotid artery occlusion on rats and
investigated whether feeding an aqueous extract of Mycoleptodonoides aitchisonii,
an edible mushroom, affected metabolism of monoamines in the cerebral cortex,
possibly protecting against ischemic damage. Seventeen days after the surgery,
concentrations of the dopamine (DA) metabolite 3,4-dihydroxyphenylacetic acid
(DOPAC) and of homovanillic acid (HVA) in the cerebral cortex of the M.
aitchisonii-fed group (MV) were higher than in the control ischemia (CV) group.
The turnover rate of DA, which was indicated by (DOPAC+HVA)/DA, for the CV group
was significantly lower than for the MV group, and the MV group value was the
same rate as the sham-operated group. These data indicate that M. aitchisonii
affects the dopaminergic neuronal system following brain ischemia damage in the
cerebral cortex.
PMID- 22082102
TI - The bioactive potential of red raspberry (Rubus idaeus L.) leaves in exhibiting
cytotoxic and cytoprotective activity on human laryngeal carcinoma and colon
adenocarcinoma.
AB - In this article, the bioactive potential of red raspberry leaves, a by-product of
this widely spread plant, mostly valued for its antioxidant-rich fruits, was
determined. The polyphenolic profile and antioxidative properties of red
raspberry leaf extract were determined and examined for potential biological
activity. Cytotoxic effect, antioxidative/prooxidative effect, and effect on
total glutathione concentration were determined in human laryngeal carcinoma
(HEp2) and colon adenocarcinoma (SW 480) cell lines. SW 480 cells are more
susceptible to raspberry leaf extract in comparison with HEp2 cells. The
antioxidative nature of raspberry leaf extract was detected in HEp2 cells treated
with hydrogen peroxide, as opposed to SW 480 cells, where raspberry leaf extract
induced reactive oxygen species formation. Raspberry leaf extract increased total
glutathione level in HEp2 cells. This effect was reinforced after 24 hours of
recovery, indicating that induction was caused by products formed during cellular
metabolism of compounds present in the extract. Comparison of the results
obtained on these two cell lines indicates that cellular response to raspberry
extract will depend on the type of the cells that are exposed to it. The results
obtained confirmed the biological activity of red raspberry leaf polyphenols and
showed that this traditional plant can supplement the daily intake of valuable
natural antioxidants, which exhibit beneficial health effects.
PMID- 22082103
TI - Repeated cerebral ischemia caused by extracranial carotid artery dolichoectasia.
AB - We report the case of a 67-year-old man with repeating cerebral embolism caused
by a dolichoectatic right common carotid artery. The patient had a history of
hypertension, hypercholesterolemia, cigarette smoking, and a postoperative
abdominal aortic aneurysm. He presented with a sudden onset of weakness of the
left arm and leg. Magnetic resonance imaging revealed old and fresh infarction in
the right cerebral hemisphere. Carotid duplex ultrasonography showed a
dolichoectatic right common carotid artery with a maximum diameter of 39 mm with
thick plaque and strong spontaneous echo contrast. The flow velocity was
considerably reduced, which caused thrombus formation, and strong antithrombotic
therapy was required. This case provides a rare example of ischemic stroke caused
by extracranial carotid artery dolichoectasia.
PMID- 22082104
TI - Synchronized release of Doxil and Nutlin-3 by remote degradation of
polysaccharide matrices and its possible use in the local treatment of colorectal
cancer.
AB - A novel approach to the prevention of colorectal cancer (CRC) recurrence by the
local, luminal application of the combined therapies: Nutlin-3 (NUT) and the
liposomal preparation of doxorubicin, Doxil(*) (Doxil) is presented here. The two
drug entities were loaded into calcium alginate beads, engineered to erode upon
exposure to a de-crosslinking agent, to allow for the controlled, concomitant
release of the two. The synchronized release-driven improved cytotoxicity of NUT
and Doxil was tested in vitro in RKO (wild-type p53) and HT-29 (mutant p53) CRC
cells, by measuring intracellular expression of p53, p21 and Mdm2, as well as
monitoring cell proliferation and viable cell numbers. NUT treatment alone was
identified to be cytotoxic exclusively towards RKO cells. However,
coadministration of NUT enhanced Doxil's anti-proliferative effects and cell
death induction in a synergistic manner in both cell types. It was also
identified that combinatorial treatment in a wt p53 context affected the p53
pathway by elevating the expression of p53 and its target p21. The capability of
the formulation to erode in the presence of a de-crosslinking agent was
demonstrated in vivo in the cecum of the anesthetized rat using indomethacin as a
poorly water-soluble PK probe.
PMID- 22082105
TI - Biological evaluation of RGDfK-gold nanorod conjugates for prostate cancer
treatment.
AB - Selective delivery of gold nanorods (GNRs) to sites of prostate tumor
angiogenesis is potentially advantageous for localized photothermal therapy.
Here, we report the cellular uptake and biodistribution of GNRs surface
functionalized with the cyclic RGDfK peptide. The GNRs were synthesized to have a
surface plasmon resonance (SPR) peak at 800?nm and grafted with a thiolated
poly(ethylene glycol) (PEG) corona with or without RGDfK. The binding and uptake
of the targeted (RGDfK) and untargeted GNRs were evaluated in DU145 prostate
cancer and human umbilical vein endothelial cells (HUVEC) by high-resolution dark
field microscopy, inductively coupled plasma mass spectrometry (ICP-MS), and
transmission electron microscopy (TEM). The biodistribution of both GNRs was then
evaluated in prostate tumor bearing mice. Targeting of the RGDfK surface-modified
GNRs was confirmed in vitro due to selective binding and uptake by endothelial
cells. Tumor targeting was not observed in vivo, however, due to fast clearance
of the RGDfK-GNRs from the blood. Further modifications of the nanoparticle?s
surface properties are needed to enhance localization of the targetable system in
sites of tumor angiogenesis.
PMID- 22082106
TI - The diffusion of telehealth in rural American Indian communities: a retrospective
survey of key stakeholders.
AB - OBJECTIVE: Mental health issues are a serious concern for many American Indian
Veterans, especially for post-traumatic stress disorder and related psychiatric
conditions. Yet, acquiring mental health treatment can be a challenge in Native
communities where specialized services are largely unavailable. Consequently,
telehealth is increasingly being suggested as a way to expand healthcare access
on or near reservation lands. In this study, we wanted to understand the factors
affecting the diffusion of telehealth clinics that provided mental health care to
rural, American Indian Veterans. MATERIALS AND METHODS: We surveyed 39 key
personnel and stakeholders who were involved in the decision-making process,
technological infrastructure, and implementation of three clinics. Using Roger
Everett's Diffusion Theory as a framework, we gathered information about specific
tasks, factors hindering progress, and personal reactions to telehealth both
before and after implementation. RESULTS: Many participants expressed initial
concerns about using telehealth; however, most became positive over time. Factors
that influenced participants' viewpoint largely included patient and staff
feedback and witnessing the fulfillment of a community health need. The use of
outside information to support the implementation of the clinics and personal
champions also showed considerable influence in the clinics' success. CONCLUSION:
The findings presented here address critical gaps in our understanding of
telehealth diffusion and inform research strategies regarding the cultural issues
and outcomes related to telemental health services. Information contained in this
report serves as a long overdue guide for developing telemental health programs
and policies among American Indians, specifically, and rural populations in
general.
PMID- 22082107
TI - Barriers to telemedicine: survey of current users in acute care units.
AB - CONTEXT: The present study of current telemedicine users is a unique attempt to
understand the barriers and motivational factors related to the utilization of
telemedicine. OBJECTIVE: A survey of emergency and critical care remote presence
telemedicine users was conducted to determine the factors that motivate and the
barriers that impede the acceptance and maintenance of a robotic telemedicine
(RTM) program. SETTING: The majority of the survey users were in the Emergency
Department or in the Intensive Care Unit. METHODS: E-mail invitations were sent
to 483 individuals representing 63 healthcare institutions and groups in North
America and Europe. Respondents were directed to a Web-based survey. The survey
consisted of 96 separate questions, addressing user familiarity and 5-point
Likert scales, addressing issues spanning the conceptual and practical issues
surrounding adoption of telemedicine. RESULTS: A total of 106 surveys were
completed, representing an individual response rate of 21.9% but an institutional
response rate of 60.3%. More than two-thirds of the respondents were physicians
who participated in active RTM programs. Across seven different topics related to
barriers to implementing RTM, the majority of all respondents indicated that
cultural issues did not constitute meaningful hurdles, technological matters were
generally favorable, and that most personnel were agreeable to both achieving the
buy-in to start an RTM program and to maintaining RTM once started. However,
respondents proclaimed that RTM's success was still hampered by licensing,
credentialing, and malpractice protection, as well as costs, billing, and
reimbursement issues. Achieving immediate patient access, overcoming service
gaps, improving quality, providing clinical support, maintaining patient
satisfaction, and adhering to practice guidelines were viewed as significant
motives for RTM implementation. CONCLUSIONS: The leading applications of RTM
included emergency response and consultation. The patients, physicians, nurses,
nor hospital executives served as barriers to implementation. However, licensing,
costs for technology, and reimbursement for RTM continue to impede progress.
PMID- 22082108
TI - Using a modified technology acceptance model to evaluate healthcare
professionals' adoption of a new telemonitoring system.
AB - OBJECTIVE: To examine the factors that could influence the decision of healthcare
professionals to use a telemonitoring system. MATERIALS AND METHODS: A
questionnaire, based on the Technology Acceptance Model (TAM), was developed. A
panel of experts in technology assessment evaluated the face and content validity
of the instrument. Two hundred and thirty-four questionnaires were distributed
among nurses and doctors of the cardiology, pulmonology, and internal medicine
departments of a tertiary hospital. Cronbach alpha was calculated to measure the
internal consistency of the questionnaire items. Construct validity was evaluated
using interitem correlation analysis. Logistic regression analysis was performed
to test the theoretical model. Adjusted odds ratios (ORs) and their 95%
confidence intervals (CIs) were computed. RESULTS: A response rate of 39.7% was
achieved. With the exception of one theoretical construct (Habit) that
corresponds to behaviors that become automatized, Cronbach alpha values were
acceptably high for the remaining constructs. Theoretical variables were well
correlated with each other and with the dependent variable. The original TAM was
good at predicting telemonitoring usage intention, Perceived Usefulness being the
only significant predictor (OR: 5.28, 95% CI: 2.12-13.11). The model was still
significant and more powerful when the other theoretical variables were added.
However, the only significant predictor in the modified model was Facilitators
(OR: 4.96, 95% CI: 1.59-15.55). CONCLUSION: The TAM is a good predictive model of
healthcare professionals' intention to use telemonitoring. However, the
perception of facilitators is the most important variable to consider for
increasing doctors' and nurses' intention to use the new technology.
PMID- 22082109
TI - Rapid successions affect microbial N-acetyl-glucosamine uptake patterns during a
lacustrine spring phytoplankton bloom.
AB - The vernal successions of phytoplankton, heterotrophic nanoflagellates (HNF) and
viruses in temperate lakes result in alternating dominance of top-down and bottom
up factors on the bacterial community. This may lead to asynchronous blooms of
bacteria with different life strategies and affect the channelling of particular
components of the dissolved organic matter (DOM) through microbial food webs. We
followed the dynamics of several bacterial populations and of other components of
the microbial food web throughout the spring phytoplankton bloom period in a pre
alpine lake, and we assessed bacterial uptake patterns of two constituents of the
labile DOM pool (N-acetyl-glucosamine [NAG] and leucine). There was a clear
genotypic shift within the bacterial assemblage, from fast growing Cytophaga
Flavobacteria (CF) affiliated with Fluviicola and from Betaproteobacteria (BET)
of the Limnohabitans cluster to more grazing resistant AcI Actinobacteria (ACT)
and to filamentous morphotypes. This was paralleled by successive blooms of
viruses and HNF. We also noted the transient rise of other CF (related to
Cyclobacteriaceae and Sphingobacteriaceae) that are not detected by fluorescence
in situ hybridization with the general CF probe. Both, the average uptake rates
of leucine and the fractions of leucine incorporating bacteria were approximately
five to sixfold higher than of NAG. However, the composition of the NAG-active
community was much more prone to genotypic successions, in particular of bacteria
with different life strategies: While 'opportunistically' growing BET and CF
dominated NAG uptake in the initial period ruled by bottom-up factors, ACT
constituted the major fraction of NAG active cells during the subsequent phase of
high predation pressure. This indicates that some ACT could profit from a
substrate that might in parts have originated from the grazing of protists on
their bacterial competitors.
PMID- 22082110
TI - Design, synthesis, structure, and dehydrogenation reactivity of a water-soluble o
iodoxybenzoic acid derivative bearing a trimethylammonium group.
AB - 5-Trimethylammonio-1,3-dioxo-1,3-dihydro-1lambda(5)-benzo[d][1,2]iodoxol-1-ol
anion (AIBX 1a), an o-iodoxybenzoic acid (IBX) derivative having the
trimethylammonium moiety on its phenyl ring, possesses very good solubility in
water and distinct oxidative properties from IBX, which is demonstrated in the
oxidation of various beta-keto esters to the corresponding dehydrogenated
products using water as cosolvent. The regeneration of AIBX 1a can be easily
realized from the reaction mixture due to its good water solubility.
PMID- 22082111
TI - Transmembrane extension and oligomerization of the CLIC1 chloride intracellular
channel protein upon membrane interaction.
AB - Chloride intracellular channel proteins (CLICs) differ from most ion channels as
they can exist in both soluble and integral membrane forms. The CLICs are
expressed as soluble proteins but can reversibly autoinsert into the membrane to
form active ion channels. For CLIC1, the interaction with the lipid bilayer is
enhanced under oxidative conditions. At present, little evidence is available
characterizing the structure of the putative oligomeric CLIC integral membrane
form. Previously, fluorescence resonance energy transfer (FRET) was used to
monitor and model the conformational transition within CLIC1 as it interacts with
the membrane bilayer. These results revealed a large-scale unfolding between the
C- and N-domains of CLIC1 as it interacts with the membrane. In the present
study, FRET was used to probe lipid-induced structural changes arising in the
vicinity of the putative transmembrane region of CLIC1 (residues 24-46) under
oxidative conditions. Intramolecular FRET distances are consistent with the model
in which the N-terminal domain inserts into the bilayer as an extended alpha
helix. Further, intermolecular FRET was performed between fluorescently labeled
CLIC1 monomers within membranes. The intermolecular FRET shows that CLIC1 forms
oligomers upon oxidation in the presence of the membranes. Fitting the data to
symmetric oligomer models of the CLIC1 transmembrane form indicates that the
structure is large and most consistent with a model comprising approximately six
to eight subunits.
PMID- 22082112
TI - Feeding difficulties in children with cerebral palsy: low-cost caregiver training
in Dhaka, Bangladesh.
AB - BACKGROUND: The majority of children with cerebral palsy have feeding
difficulties, which, if not managed, result in stressful mealtimes, chronic
malnutrition, respiratory disease, reduced quality of life for caregiver and
child, and early death. In well-resourced countries, high- and low-cost medical
interventions, ranging from gastrostomy tube feeding to caregiver training, are
available. In resource-poor countries such as Bangladesh, the former is not
viable and the latter is both scarce and its effectiveness not properly
evaluated. The aim of this study was to evaluate the effectiveness of a low-cost,
low-technology intervention to improve the feeding practices of carers of
children with moderate-severe cerebral palsy and feeding difficulties in
Bangladesh. METHODS: An opportunistic sample of 37 caregivers and their children
aged 1-11 years were invited to a six-session training programme following an
initial feeding assessment with brief advice. During home visits, pre- and post
measures of nutritional status, chest health and feeding-related stress were
taken and feeding practices were observed. A control phase was evaluated for 20
of the participant pairs following initial assessment with advice, while awaiting
full training. RESULTS: A minimum of four training sessions showed significant
improvements in the children's respiratory health (P = 0.005), cooperation during
mealtimes (P = 0.003) and overall mood (P < 0.001). Improvements in growth were
inconsistent. Dramatic reductions were observed in caregiver stress (P < 0.001).
A significant difference in the outcomes following advice only compared with
advice plus training was also observed. CONCLUSIONS: In situations of poverty,
compliance is restricted by lack of education, finances and time. Nonetheless,
carers with minimal formal education, living in conditions of extreme poverty
were able to change feeding practices after a short, low-cost training
intervention, with highly positive consequences. The availability of affordable
food supplementation for this population, however, requires urgent attention.
PMID- 22082113
TI - Art and authenticity: the importance of originals in judgments of value.
AB - Why are original artworks valued more than identical duplicates? The present
studies explore 2 mechanisms underlying the special value of original artwork:
the assessment of the art object as a unique creative act (performance) and the
degree of physical contact with the original artist (contagion). Across 5
experiments, participants were exposed to hypothetical scenarios in which an
original object was duplicated. The type of object varied across experiments
(e.g., a painting vs. a piece of furniture) as did the circumstances surrounding
the creation of the original object and the duplicate. Overall, the results
support assessments of performance and contagion as key factors underlying the
value of original artwork, and they are consistent with the conclusion that the
discrepancy in value between original artworks and perfect duplicates derives
from people's lay theories about the domain of art, rather than from associations
with particular kinds of art or certain cases of forgery.
PMID- 22082114
TI - Performance costs when emotion tunes inappropriate cognitive abilities:
implications for mental resources and behavior.
AB - Emotion tunes cognition, such that approach-motivated positive states promote
verbal cognition, whereas withdrawal-motivated negative states promote spatial
cognition (Gray, 2001). The current research examined whether self-control
resources become depleted and influence subsequent behavior when emotion tunes an
inappropriate cognitive tendency. In 2 experiments, either an approach-motivated
positive state or a withdrawal-motivated negative state was induced, and then
participants completed a verbal or a spatial working memory task creating
conditions of emotion-cognition alignment (e.g., approach/verbal) or misalignment
(e.g., approach/spatial). A control condition was also included. To examine
behavioral costs due to depleted self-control resources, participants completed
either a Stroop task (Stroop, 1935; Experiment 1) or a Black/White implicit
association test (IAT; Greenwald, McGhee, & Schwartz, 1998; Experiment 2).
Participants in the misalignment conditions performed worse on the Stroop task,
and they were worse at controlling their implicit attitude biases on the IAT.
Thus, when emotion tunes inappropriate cognitive tendencies for one's current
environment, self-control resources become depleted, impairing behavioral
control.
PMID- 22082115
TI - The interplay between nonsymbolic number and its continuous visual properties.
AB - To date, researchers investigating nonsymbolic number processes devoted little
attention to the visual properties of their stimuli. This is unexpected, as
nonsymbolic number is defined by its visual characteristics. When number changes,
its visual properties change accordingly. In this study, we investigated the
influence of different visual properties on nonsymbolic number processes and show
that the current assumptions about the relation between number and its visual
characteristics are incorrect. Similar to previous studies, we controlled the
visual cues: Each visual cue was not predictive of number. Nevertheless,
participants showed congruency effects induced by the visual properties of the
stimuli. These congruency effects scaled with the number of visual cues
manipulated, implicating that people do not extract number from a visual scene
independent of its visual cues. Instead, number judgments are based on the
integration of information from multiple visual cues. Consequently, current ways
to control the visual cues of the number stimuli are insufficient, as they
control only a single variable at the time. And, more important, the existence of
an approximate number system that can extract number independent of the visual
cues appears unlikely. We therefore propose that number judgment is the result of
the weighing of several distinct visual cues.
PMID- 22082116
TI - Social class differences in N400 indicate differences in spontaneous trait
inference.
AB - An emerging literature indicates that dispositional bias in causal attribution of
social behavior is weaker for people with working-class (vs. middle-class)
backgrounds. However, it is unknown whether this difference is also present in
spontaneous forms of trait inference. In the current work, American
undergraduates were asked to merely memorize many pairings of a target face and a
trait-implying behavior. In a subsequent lexical judgment task, after each face
was presented as a fixation, either an implied trait or its antonym was given as
a target. As expected, participants with college-educated parents (middle class)
showed a strong N400 event-related potential component to the antonym (vs. the
implied trait), suggesting spontaneous trait inference during the memorization
phase. In contrast, those with high-school-educated parents (working class)
showed no such effect. It is important to note that the N400 spontaneous trait
inference effect was associated with perceived significance of dispositions in
accounting for social behaviors.
PMID- 22082117
TI - Oral sampling and human papillomavirus genotyping in HIV-infected patients.
AB - BACKGROUND: Oral human papillomavirus (HPV) is associated with several health
complications especially in combination with HIV infections. Screening may be
useful, but methodologies and results have varied widely in previous studies. We
conducted a pilot study in an HIV-positive population to evaluate HPV detection
in four different oral sample types. METHODS: Upon enrollment, an oral-rinse (OR)
sample was collected in 10 ml saline. Additional samples of the buccal mucosa,
tonsils, and oral lesion if present were collected with cytology brushes. DNA was
extracted using LC-MagNAPure, and the Linear Array HPV genotyping Assay (Roche)
was used for HPV genotyping. RESULTS: In samples from 100 HIV-positive
participants, HPV was detected in 39 (%) of the oral rinses, 13 (%) mucosal and
11 (12.9%) tonsil brushings. Of seven lesion brushings collected, four were HPV
positive. All participants with HPV detected in mucosal, tonsil, or lesion
brushings were also positive in the OR sample. Among the rinse samples, 27
different genotypes were detected with HPV84 (n = 6), HPV55 (n = 5), and HPV83 (n
= 5) being the most common. Multiple infections were detected in 17 samples
(range 2-9, mean 1.9 types). As potential cofactors, only receptive oral sex was
significantly associated with HPV (P = 0.018, odds ratio 2.9, 95% CI 1.2-6.9).
CONCLUSION: Sampling is a significant factor for oral prevalence studies. Oral
rinse provides the best representation for HPV in the oral cavity. To evaluate
associated cofactors other than receptive oral sex, larger studies with case
control design are necessary.
PMID- 22082118
TI - A retrospective study on the efficacy of pubic symphysis corticosteroid
injections in the treatment of pubic symphysis pain.
AB - OBJECTIVE: To report immediate and follow-up pain intensity outcomes of
fluoroscopically guided contrast- enhanced pubic symphysis corticosteroid
injections for patients with pubic symphysis pain (PSP). DESIGN: Retrospective
medical record review. SETTING: Outpatient rehabilitation clinic. SUBJECTS:
Patients with PSP who underwent pubic symphysis corticosteroid injection (PSI).
INTERVENTION: Pubic symphysis corticosteroid injection. OUTCOME MEASURES:
Pain intensity as measured by numeric rating scale (NRS). RESULTS: Fourteen
patients (4 men and 10 women) underwent PSI. Five patients had PSP for less than
6 months, nine had chronic pain (>than 6 months). In 7 of the 10 women the pain
was pregnancy related. All patients received other treatments prior to injection.
Pubic symphysis tenderness was the most common physical examination finding
(13/14 patients). Follow-up pain intensity (PI)-NRS improvement of greater than 2
points was considered clinically significant. At follow-up, improvement was not
statistically significant (Wilcoxon signed-rank test, z=1.62 P=0.10). Patients
with pain <6 months did not have a greater likelihood of benefit at follow-up
compared with those with pain for >6 months (Fisher's exact test, P=0.775).
CONCLUSIONS: PSIs do not provide clinically or statistically significant relief
at follow-up in patients with PSP.
PMID- 22082119
TI - Synthesis and self-assembly of thermoresponsive PEG-b-PNIPAM-b-PCL ABC triblock
copolymer through the combination of atom transfer radical polymerization, ring
opening polymerization, and click chemistry.
AB - A well-defined thermoresponsive poly(ethylene glycol)-block-poly(N
isopropylacrylamide)-block-poly(epsilon-caprolactone) (PEG(43)-b-PNIPAM(82)-b
PCL(87)) triblock copolymer was synthesized by combination of atom transfer
radical polymerization (ATRP), ring-opening polymerization (ROP), and click
chemistry. The synthesis included the four steps, and all the structures of the
polymers were determined. The thermoresponsive triblock copolymer can disperse in
water at room temperature to form core-shell-corona micelles with the hydrophobic
PCL block as core, the thermoresponsive PNIPAM block as shell, and the
hydrophilic PEG block as corona. At temperatures above the lower critical
solution temperature (LCST) of the PNIPAM block, the PNIPAM chains gradually
collapse on the PCL core to shrink the size and change the structure of the
resultant core-shell-corona micelles with temperature increasing.
PMID- 22082120
TI - The desperate need for good-quality clinical trials to evaluate the optimal
source and dose of fibrinogen in managing bleeding.
AB - Recent interest in transfusion management of trauma patients has heightened
expectation in the role of blood component therapy in improving patient outcome.
Optimal transfusion support in supplementation with fibrinogen has not been
defined by high-quality evidence. Current evidence comes mainly from case series
and uncontrolled studies and does not support the superiority of one source of
fibrinogen over another or the optimal schedule or dose for patient benefit.
There are unanswered questions about safety, especially the effects on the risk
of hospital-acquired venous thromboembolism, an important consideration in any
therapy that alters the hemostatic balance. Studies of cost-effectiveness have
not been considered in research. An international move to supplement fibrinogen
more 'aggressively' without direct clinical evaluation beforehand represents a
failed opportunity to improve our very limited understanding of optimal
transfusion practice.
PMID- 22082121
TI - Screening of the pelvic organ prolapse without a physical examination; (a
community based study).
AB - BACKGROUND: Pelvic organ prolapse (POP) is a silent disorder with a huge impact
on women's quality of life. There is limited data from community-based studies
conducted to determine the prevalence of POP as its assessment needs a pelvic
examination. We aimed to develop a simple screening inventory for identification
of pelvic organ prolapse and then evaluate its sensitivity and specificity.
METHODS: This study had two phases. In the first phase in order to develop a
simple inventory for assessment of POP, the Pelvic Floor Disorder Inventory
(PFDI) was completed for a convenience sample of 200 women, aged 18-45 years,
referred for annual gynecologic examination, and their pelvic organ prolapse was
assessed using the standard protocol. The most sensitive and specific questions
were selected as pelvic organ prolapse simple screening inventory (POPSSI). In
the second phase, using a stratified multistage probability cluster sampling
method, the sensitivity and specificity of the POPSSI was investigated in a non
selected sample of 954 women recruited from among reproductive aged women living
in four randomly selected provinces of Iran. RESULTS: The sensitivity and
specificity of POPSSI for identification of pelvic organ prolapse in the general
population were 45.5 and 87.4% respectively; these values were 96.7 and 20% among
those women who were aware of their pelvic dysfunction. CONCLUSION: Community
based screening studies on pelvic organ prolapse could be facilitated by using
the POPSSI, the sensitivity of which would be enhanced through conducting of
public awareness programs.
PMID- 22082122
TI - Dronedarone in atrial fibrillation--Jekyll and Hyde?
PMID- 22082123
TI - Characterization of four novel HLA alleles, including two in the same haplotype.
AB - Characterization of the novel HLA alleles A*02:330, A*11:108, B*40:175, and
B*40:176 is described.
PMID- 22082124
TI - Comparison of nanofiltration efficacy in reducing infectivity of centrifuged
versus ultracentrifuged 263K scrapie-infected brain homogenates in "spiked"
albumin solutions.
AB - BACKGROUND: The safety of plasma-derived products is of concern for possible
transmission of variant Creutzfeldt-Jakob disease. The absence of validated
screening tests requires the use of procedures to remove or inactivate prions
during the manufacture of plasma-derived products to minimize the risk of
transmission. These procedures need proper validation studies based on spiking
human plasma or intermediate fractions of plasma fractionation with prions in a
form as close as possible to that present in blood. STUDY DESIGN AND METHODS:
Human albumin was spiked with low-speed or high-speed supernatants of 263K
scrapie-infected hamster brain homogenates. Spiked albumin was then passed
through a cascade of filters from 100 nm down to 20 to 15 nm. Residual
infectivity was measured by bioassay. RESULTS: The overall removal of infectivity
spiked into albumin through serial nanofiltration steps was 4 to 5 logs using low
speed supernatant and 2 to 3 logs with high-speed supernatant. CONCLUSION: These
findings confirm the utility of nanofiltration in removing infectivity from
plasma (or other products) spiked with scrapie brain homogenate supernatants.
However, efficiency is diminished using supernatants that have been
ultracentrifuged to reduce aggregated forms of the infectious agent. Thus,
filtration removal data based on experiments using "standard" low-speed
centrifugation supernatants might overestimate the amount of prion removal in
plasma or urine-derived therapeutic products.
PMID- 22082125
TI - Four neonates with giant ovarian cysts: difficulties in diagnosis and decision
making process.
AB - OBJECTIVE: Ovarian cysts compose most of the intraabdominal cysts in fetal
period. Most of them regress spontaneously at intrauterine or postnatal period.
The cysts that are complicated and do not regress are excised generally. METHODS:
Here we report a case series that consists of four newborns having giant (>= 10
cm) ovarian cysts. All of the patients were term infants and followed for ovarian
cysts in intrauterine period. RESULTS: Patients were operated on 5, 11, 28, 47th
days, respectively. Three patients had unilateral cysts (two right, one left) and
one had bilateral cysts. One of the four patients had bilateral ovarian cysts,
100 * 95 mm in diameter on the left and 50 * 55 mm on the right, which was
torsioned. CONCLUSION: The risk of complications is higher in bilateral cysts
even they are smaller, and early surgical intervention should be done to these
patients. During the operation of one of the patients, we detected the cyst at
the opposite side that was shown by ultrasonography. Since the size of the mass
is large, detecting the correct origin of the cyst is important for the selection
of appropriate surgical approach.
PMID- 22082126
TI - Correlated mutations via regularized multinomial regression.
AB - BACKGROUND: In addition to sequence conservation, protein multiple sequence
alignments contain evolutionary signal in the form of correlated variation among
amino acid positions. This signal indicates positions in the sequence that
influence each other, and can be applied for the prediction of intra- or
intermolecular contacts. Although various approaches exist for the detection of
such correlated mutations, in general these methods utilize only pairwise
correlations. Hence, they tend to conflate direct and indirect dependencies.
RESULTS: We propose RMRCM, a method for Regularized Multinomial Regression in
order to obtain Correlated Mutations from protein multiple sequence alignments.
Importantly, our method is not restricted to pairwise (column-column) comparisons
only, but takes into account the network nature of relationships between protein
residues in order to predict residue-residue contacts. The use of regularization
ensures that the number of predicted links between columns in the multiple
sequence alignment remains limited, preventing overprediction. Using simulated
datasets we analyzed the performance of our approach in predicting residue
residue contacts, and studied how it is influenced by various types of noise. For
various biological datasets, validation with protein structure data indicates a
good performance of the proposed algorithm for the prediction of residue-residue
contacts, in comparison to previous results. RMRCM can also be applied to predict
interactions (in addition to only predicting interaction sites or contact sites),
as demonstrated by predicting PDZ-peptide interactions. CONCLUSIONS: A novel
method is presented, which uses regularized multinomial regression in order to
obtain correlated mutations from protein multiple sequence alignments.
AVAILABILITY: R-code of our implementation is available via
http://www.ab.wur.nl/rmrcm.
PMID- 22082127
TI - Th17-related cytokines: new players in the control of chronic intestinal
inflammation.
AB - Crohn's disease (CD) and ulcerative colitis (UC), the main forms of inflammatory
bowel diseases (IBD) in man, are thought to be caused by an excessive and poorly
controlled immune response that is directed against components of the normal
microflora. The exact sequence of events by which this pathological process is
triggered and maintained is not fully understood, but studies in experimental
models of IBD and data emerging from recent clinical trials indicate that T cell
derived cytokines are crucial mediators of the tissue damage. Although CD and UC
have been traditionally considered two typical examples of T helper (Th)1 or Th2
associated disease respectively, it is now known that CD- and UC-related
inflammation is also marked by enhanced production of cytokines made by a
distinct subset of Th cells, termed Th17 cells. Th17 cytokines can have both
tissue-protective and inflammatory effects in the gut and there is evidence that
Th17 cells can alter their cytokine program according to the stimuli received and
convert into Th1-producing cells. These novel findings have contributed to
advancing our understanding of mechanisms of gut tissue damage and open new
avenues for development of therapeutic strategies in IBD.
PMID- 22082128
TI - Mineralocorticoid receptor antagonists for heart failure.
AB - INTRODUCTION: Although mineralocorticoid receptor (MR) antagonists are indicated
in systolic heart failure, they are underprescribed in patients with appropriate
indications for their prescription and often used in those in whom the evidence
for probable benefit is scant. The rate of adverse events in practice has
exceeded that foreshadowed by randomized controlled trials (RCTs). With the
recent publication of the landmark therapeutic trial (EMPHASIS), it is timely to
review the appropriate use of these agents in heart failure. AREAS COVERED: This
review addresses the pathophysiological importance of MR activation in heart
failure and summarizes pivotal RCTs of MR antagonists in heart failure. EXPERT
OPINION: MR antagonism reduces mortality and morbidity in heart failure with
reduced systolic function in severe chronic disease, in heart failure
complicating myocardial infarction and also in those with impaired systolic
function (but only mild symptoms). Evidence for benefits in a significant
proportion of 'real-life' patients with heart failure, including those with
preserved left ventricular ejection fraction, is lacking. MR antagonism is an
important part of the pharmaceutical armamentarium in managing heart failure but
must be prescribed with careful case selection, taking note of the evidence of
where risks are acceptable and benefits are likely.
PMID- 22082129
TI - Intracranial angioplasty and stenting through direct carotid puncture.
AB - BACKGROUND AND PURPOSE: To describe a case of successful intracranial angioplasty
and stenting of a symptomatic middle cerebral artery (MCA) stenosis using a
transcervical approach. METHODS: A 73-year-old woman presented with several
ischemic strokes in the left MCA distribution. A diagnostic cerebral angiogram
demonstrated more than 80% stenosis of her left MCA. Because the patient failed
maximal medical therapy, it was decided to perform angioplasty and stenting.
Given the patient's tortuous arch and rigid vessels, access was obtained through
a transcutaneous puncture with direct visualization of the surgically exposed
common carotid artery (CCA). RESULTS: The patient tolerated well the procedure
and after successful stenting her symptoms resolved. CONCLUSION: Access of the
intracranial circulation through direct CCA puncture may be a feasible
alternative when the transfemoral access is not possible. The transcervical
approach may be safe even with the use of antiplatelet medications and
anticoagulation.
PMID- 22082130
TI - Effect of cholesterol on the membrane interaction of Modelin-5 isoforms.
AB - Modelin-5 isoforms were used to gain an insight into the effects of amidation on
antimicrobial selectivity. When tested against Escherichia coli, amidation
increased toxicity 10-fold (MIC = 31.25 MUM) while showing limited increased
hemolytic activity (2% lysis). Our results show that both the amidated and non
amidated peptides had a disordered structure in aqueous solution (<18% helical)
and folded to form helices at the membrane interface (for example, >43% in the
presence of DMPC). The stabilization of the helical structure by amidation has
previously been shown to play a key role in increasing antibacterial efficacy.
The presence of cholesterol in the membrane increases the packing density (C(s)(
1) values 25-33 mN m(-1)) and so prevents the peptide from forming stable
association with the membrane, which is evidenced by the higher binding
coefficient (K(d)) in the presence of cholesterol: 57.70 MUM for Modelin-5-COOH
and 35.64 MUM for Modelin-5-CONH(2) compared to the presence of E. coli lipid
extract (10 MUM), which would prevent local concentration of the peptide at the
bilayer interface as seen by reduction in monolayer interaction. This in turn
would be predicted to inhibit activity.
PMID- 22082131
TI - Loss of heterozygosity (LOH) in tumour suppressor genes in benign and malignant
mixed odontogenic tumours.
AB - Although molecular alterations are reported in different types of odontogenic
tumours, their pathogenesis remains to be established. Loss of heterozygosity
(LOH) studies allow the identification of minimal regions of deletions of known
or putative tumour suppressor genes, the losses of which may promote neoplastic
growth. The purpose of this study was to investigate LOH in a set of odontogenic
mixed tumours. Tumour suppressor gene loci on 3p, 9p, 11p, 11q and 17p
chromosomes were analysed in five samples of ameloblastic fibroma (AF), three
samples of ameloblastic fibro-odontoma (AFO) and three samples of ameloblastic
fibrosarcoma (AFS). The most frequently lost genetic loci were p53 (17p13, 62%)
and CHRNB1 (17p13, 55%). LOH at the chromosome regions 3p24.3, 9p22 and 9p22-p21
was identified only in AFS. No sample showed LOH at the chromosomal loci 3p21.2
and 11q13.4. For the region 9p22-p13, LOH occurred in one sample of AFO. The
fractional allelic loss (FAL) was calculated for each sample. The mean FAL of the
benign lesions (i.e. AF and AFO) was 22%, whereas the mean FAL of the malignant
lesions (i.e. AFS) was 74.6%. In conclusion, our results show a higher FAL in AFS
compared to its benign counterparts and reveal a different pattern of LOH of
tumour suppressor genes in AFS, which may regulate changes in tumour behaviour.
PMID- 22082132
TI - "I am nothing": experiences of loss among women suffering from severe birth
injuries in Tanzania.
AB - BACKGROUND: Despite the increased attention on maternal mortality during recent
decades, which has resulted in maternal health being defined as a Millennium
Development Goal (MDG), the disability and suffering from obstetric fistula
remains a neglected issue in global health. Continuous leaking of urine and the
physical, emotional and social suffering associated with it, has a profound
impact on women's quality of life. This study seeks to explore the physical,
cultural and psychological dimensions of living with obstetric fistula, and
demonstrate how these experiences shape the identities of women affected by the
condition. METHODS: A cross-sectional study with qualitative and quantitative
components was used to explore the experiences of Tanzanian women living with
obstetric fistula and those of their husbands. The study was conducted at the
Comprehensive Community Based Rehabilitation Tanzania hospital in Dar es Salaam,
Bugando Medical Centre in Mwanza, and Mpwapwa district, in Dodoma region.
Conveniently selected samples of 16 women were interviewed, and 151 additional
women responded to a questionnaire. In addition, 12 women affected by obstetric
fistula and six husbands of these affected women participated in a focus group
discussions. Data were analysed using content data analysis framework and
statistical package for the social sciences (SPSS) version 15 for Microsoft
windows. RESULTS: The study revealed a deep sense of loss. Loss of body control,
loss of the social roles as women and wives, loss of integration in social life,
and loss of dignity and self-worth were located at the core of these experiences.
CONCLUSION: The women living with obstetric fistula experience a deep sense of
loss that had negative impact on their identity and quality of life.
Acknowledging affected women's real-life experiences is important in order to
understand the occurrence and management of obstetric fistula, as well as
prospects after treatment. This knowledge will help to improve women's sense of
self-worth and maintain their identity as women, wives, friends and community
members. Educational programmes to empower women socially and economically and
counselling of families of women living with obstetric fistula may help these
women receive medical and social support that is necessary.
PMID- 22082133
TI - Association and microheterogeneity in aqueous 2-butoxyethanol solutions.
AB - Molecular dynamics simulations are employed to investigate aggregation and
microheterogeneity in dilute solutions of 2-butoxyethanol (BE) in water. The BE
concentration is varied from near infinite dilution to the mole fraction X(BE) =
0.04. It was found that large systems (32,000 molecules) are necessary to
accommodate the BE aggregates that form in this concentration range. Simulations
were performed with two different force fields, and similar results were
obtained. At very low concentration, BE aggregation is not observed, but evidence
is found for intramolecular hydrogen bonds (between the hydroxyl hydrogen and the
ether oxygen of the same BE molecule) that form five-member ring configurations,
similar to those reported in experimental studies of BE in nonaqueous solvent.
Initial signs of BE association appear at X(BE) ~ 0.005, after which aggregation
occurs rapidly, with aggregates that can be described as micelle-like being fully
formed at X(BE) ~ 0.02. This concentration agrees well with many experimental
studies of aggregation in BE-water solutions. Between X(BE) = 0.02 and 0.04, the
aggregates appear to grow a little in size, but the basic structure remains the
same. At long range, the various pair correlation functions show clear density
oscillations associated with BE aggregation. This allows us to identify the
length scales of the existing microheterogeneity and to estimate the size of the
BE aggregates. If we assume spherical aggregates, then our estimate of the radius
at X(BE) = 0.04 (~42 A) is close to estimates obtained from light scattering and
small-angle neutron scattering experiments.
PMID- 22082135
TI - Sexual, psychological, and relational functioning in women after surgical
treatment for vulvar malignancy: a literature review.
AB - INTRODUCTION: Vulvectomy is an intrusive treatment option for women with vulvar
malignancy that theoretically may affect sexual function. AIM: This study aims to
provide a comprehensive review of the literature on the impact of surgical
treatment for vulvar malignancy on sexual functioning, overall quality of life,
and partner relationship. METHODS: Systematic search of the medical literature on
PubMed, PsycINFO, Cochrane database, Google Scholar and Embase using a number of
related terms including vulvar malignancy, vulvar cancer, vulva cancer, vulval
cancer, vulvectomy, sexual function, partner relation, quality of life, and
psychological functioning. MAIN OUTCOME MEASURE: Measures and indicators of
sexual function, overall quality of life, and partner relationship following
vulvectomy for vulvar malignancy. RESULTS: There is evidence that women who
undergo surgical treatment for vulvar cancer or vulvar intraepithelial neoplasia
are at high risk for sexual dysfunctions, dissatisfaction with partner
relationship, and psychological difficulties. Factors associated with
posttreatment sexual dysfunction include patient's increased age, poor overall
well-being, history of depression, anxiety, and excision size of vulvar
malignancy. CONCLUSIONS: Surgical treatment of vulvar cancer has a negative
impact on sexual function, quality of life, and satisfaction with partner
relationship. However, hitherto only little research effort has been directed to
postoperative sexual well-being in vulvar cancer survivors. There is a need for
more methodological sound prospective studies that explore sexual function,
quality of life, and partner relationship and its predictors over time in vulvar
cancer patients.
PMID- 22082134
TI - A meta-analysis of CAG (cytarabine, aclarubicin, G-CSF) regimen for the treatment
of 1029 patients with acute myeloid leukemia and myelodysplastic syndrome.
AB - The regimen of cytarabine, aclarubicin and G-CSF (CAG) has been widely used in
China and Japan for treatment of acute myeloid leukemia (AML) and myelodysplastic
syndrome (MDS). We searched literature on CAG between 1995 and 2010 and performed
a meta-analysis to determine its overall efficacy using a random-effects or fixed
effects model. Thirty five trials with a total of 1029 AML (n = 814) and MDS (n =
215) patients were included for analysis. The CR rate of AML (57.9%) was
significantly higher than that of MDS (45.7%) (p < 0.01). No difference in CR was
noted between the new (56.7%) and relapsed/refractory AML (60.1%) (p > 0.05). The
CR rate was also significantly higher in patients with favorable (64.5%) and
intermediate (69.6%) karyotypes than those with unfavorable one (29.5%) (p <
0.05). Remarkably, the CR rate of CAG was significantly higher than those of non
CAG regimens (odds ratio 2.43). CAG regimen was well tolerated, with
cardiotoxicity in 2.3% and early death in 5.2% of the cases. In conclusion, CAG
regimen was an effective and safe regimen for the treatment of AML, and may be
more effective than non-CAG regimens. Randomized controlled trials are strongly
recommended to evaluate its efficacy and safety in comparison with the current
standard treatment.
PMID- 22082136
TI - Enzymatically catalyzed synthesis of low-calorie structured lipid in a solvent
free system: optimization by response surface methodology.
AB - A kind of low-calorie structured lipid (LCSL) was obtained by interesterification
of tributyrin (TB) and methyl stearate (St-ME), catalyzed by a commercially
immobilized 1,3-specific lipase, Lipozyme RM IM from Rhizomucor miehei . The
condition optimization of the process was conducted by using response surface
methodology (RSM). The optimal conditions for highest conversion of St-ME and
lowest content LLL-TAG (SSS and SSP; S, stearic acid; P, palmitic acid) were
determined to be a reaction time 6.52 h, a substrate molar ratio (St-ME:TB) of
1.77:1, and an enzyme amount of 10.34% at a reaction temperature of 65 degrees
C; under these conditions, the actually measured conversion of St-ME and content
of LLL-TAG were 78.47 and 4.89% respectively, in good agreement with predicted
values. The target product under optimal conditions after short-range molecular
distillation showed solid fat content (SFC) values similar to those of cocoa
butter substitutes (CBS), cocoa butter equivalent (CBE), and cocoa butters (CB),
indicating its application for inclusion with other fats as cocoa butter
substitutes.
PMID- 22082137
TI - The use of botulinum toxins for the management of chronic pain and headache:
making the most of an evidence-based medicine approach for these rapidly evolving
treatments.
PMID- 22082138
TI - Maternal effects and heritability of annual productivity.
AB - Within-individual consistency and among-individual heterogeneity in fitness are
prerequisites for selection to take place. Within-individual variation in
productivity between years, however, can vary considerably, especially when
organisms become older and more experienced. We examine individual consistency in
annual productivity, the covariation between survival and annual productivity,
and the sources of variation in annual productivity, while accounting for
advancing age, to test the individual-quality and resource-allocation life
history theory hypotheses. We use long-term data from a pedigreed, wild
population of house sparrows. Within-individual annual productivity first
increased and later decreased with age, but there were no selective mortality due
to individual quality and no correlation between lifespan and productivity.
Individuals were consistent in their annual productivity (C = 0.49). Narrow-sense
heritability was low (h(2) = 0.09), but maternal effects explained much of the
variation (M = 0.33). Such effects can influence evolutionary processes and are
of major importance for our understanding of how variation in fitness can be
maintained.
PMID- 22082139
TI - Diffusion tensor imaging and tractography of the corticospinal tract in the
presence of enlarged Virchow-Robin spaces.
AB - BACKGROUND AND PURPOSE: We report a patient with abnormal diffusion tensor
imaging (DTI) and tractography of the corticospinal tract caused by mass effect
from adjacent enlarged Virchow-Robin spaces. METHODS: DTI was performed using 25
noncollinear directions. Fractional anisotropy (FA) and mean diffusivity (MD)
maps were generated. Region-of-interest measurements of the corticospinal tracts
were organized in histograms, and comparisons were made between sides.
Statistical analysis consisted of a Wilcoxon rank-sum nonparametric test and a
two-sample test of proportions to compare the relative percentage of voxels >.8.
RESULTS: The patient had no signs or symptoms of motor weakness. The
corticospinal tract adjacent to the enlarged Virchow-Robin spaces showed
significant changes in the proportion of FA > .8, distribution of FA and
distribution of MD (P < .001). CONCLUSIONS: Diffusion tensor changes may be
caused by enlarged Virchow-Robin spaces in the absence of clinical signs or
symptoms. We hypothesize that the DTI changes are due to alterations in the
extravascular extracellular space. Tensor changes should be interpreted with
caution in patients with space occupying mass lesions such as brain tumors.
PMID- 22082140
TI - On the validity of the likelihood ratio test and consistency of resulting
parameter estimates in joint linkage and linkage disequilibrium analysis under
improperly specified parametric models.
AB - It has been shown that parametric analysis of linkage disequilibrium conditional
on linkage using an overly deterministic model can be optimal for family-based
association analysis. However, if one applies this strategy carelessly, there is
a risk of false inference. We analyse properties of such likelihood ratio tests
when the assumed disease mode of inheritance is inaccurate. Under some
conditions, problems result if one is not careful to consider what null
hypothesis is being tested. We show that: (a) tests for which the null hypothesis
assumes the absence of both linkage and association are independent of the true
mode of inheritance; (b) likelihood ratio tests assuming either linkage or
association under the null hypothesis may depend on the true mode of inheritance,
leading to inconsistent parameter estimates, in particular under extremely
deterministic models; (c) this problem cannot be eliminated by increasing sample
size or adding population controls--as sample size increases, the chance of false
positive inference goes to 100%; (d) this issue can lead to systematic false
positive inference of association in regions of linkage. This is important
because highly deterministic models are often used intentionally in model-based
analyses because they can have more power than the true model, and are implicit
in many model-free analysis methods.
PMID- 22082141
TI - Evaluation of maternal knowledge level about neonatal jaundice.
AB - OBJECTIVE: To evaluate the knowledge of mothers on neonatal jaundice. METHODS:
This study was conducted on 161 mothers who had given birth to healthy newborns
at Izmir Aegean Gynecology and Obstetrics Hospital between January 2010 and April
2010. A questionnaire was used to assess the mothers' knowledge on neonatal
jaundice. Knowledge was evaluated as "sufficient" or "insufficient" based on
responses. Sufficiently informed mothers were compared with insufficiently
informed group for the knowledge level about neonatal jaundice. RESULTS: The rate
of insufficiently informed mothers was 53.6%. Logistic regression analysis showed
that education level and having a previous offspring with jaundice were
independent variables affecting the mothers' knowledge level. Low education level
was found to increase the probability of the mothers' knowledge level to be
insufficient by 2.1 folds (OR 2.1, 95% CI 1.3-3.4; p = 0.003). Being informed
beforehand by a previous offspring with jaundice increased the probability of the
mothers' knowledge to be sufficient by twofolds (OR 2, 95% CI 1.1-3.7; p = 0.03).
CONCLUSION: It is found that the mothers' knowledge about neonatal jaundice is
insufficient. Maternal education level and having a previous offspring with
jaundice are major factors affecting the knowledge of the mothers on
hyperbilirubinemia.
PMID- 22082142
TI - Canalization effect in the coagulation cascade and the interindividual
variability of oral anticoagulant response. A simulation study.
AB - BACKGROUND: Increasing the predictability and reducing the rate of side effects
of oral anticoagulant treatment (OAT) requires further clarification of the cause
of about 50% of the interindividual variability of OAT response that is currently
unaccounted for. We explore numerically the hypothesis that the effect of the
interindividual expression variability of coagulation proteins, which does not
usually result in a variability of the coagulation times in untreated subjects,
is unmasked by OAT. RESULTS: We developed a stochastic variant of the Hockin-Mann
model of the tissue factor coagulation pathway, using literature data for the
variability of coagulation protein levels in the blood of normal subjects. We
simulated in vitro coagulation and estimated the Prothrombin Time and the INR
across a model population. In a model of untreated subjects a "canalization
effect" can be observed in that a coefficient of variation of up to 33% of each
protein level results in a simulated INR of 1 with a clinically irrelevant
dispersion of 0.12. When the mean and the standard deviation of vitamin-K
dependent protein levels were reduced by 80%, corresponding to the usual Warfarin
treatment intensity, the simulated INR was 2.98 +/- 0.48, a clinically relevant
dispersion, corresponding to a reduction of the canalization effect.Then we
combined the Hockin-Mann stochastic model with our previously published model of
population response to Warfarin, that takes into account the genetical and the
phenotypical variability of Warfarin pharmacokinetics and pharmacodynamics. We
used the combined model to evaluate the coagulation protein variability effect on
the variability of the Warfarin dose required to reach an INR target of 2.5. The
dose variance when removing the coagulation protein variability was 30% lower.
The dose was mostly related to the pretreatment levels of factors VII, X, and the
tissue factor pathway inhibitor (TFPI). CONCLUSIONS: It may be worth exploring in
experimental studies whether the pretreatment levels of coagulation proteins, in
particular VII, X and TFPI, are predictors of the individual warfarin dose, even
though, maybe due to a canalization-type effect, their effect on the INR variance
in untreated subjects appears low.
PMID- 22082143
TI - Medical management of neurocysticercosis.
AB - INTRODUCTION: Neurocysticercosis (NCC) is considered to be the most common cause
of acquired epilepsy worldwide. Formerly restricted to palliative measures,
therapy for NCC has advanced with the advent of two drugs that are considered to
be effective: praziquantel (PZQ) and albendazole (ALB). AREAS COVERED: All
available articles regarding research related to the treatment of NCC were
searched. Relevant articles were then reviewed and used as sources of information
for this review. EXPERT OPINION: Anticysticercal therapy has been marked by
intense controversy. Recent descriptions of spontaneous resolution of parenchymal
cysticercosis with benign evolution, risks of complications and reports of no
long-term benefits have reinforced the debate over the usefulness and safety of
anticysticercal therapy. High interindividual variability and complex
pharmacological interactions will require the close monitoring of plasma
concentrations of ALB and PZQ metabolites in future trials. Given the relative
scarcity of clinical trials, more comparative interventional studies - especially
randomized controlled trials in long-term clinical evolution - are required to
clarify the controversy over the validity of parasitic therapy in patients with
NCC.
PMID- 22082144
TI - Prevention of hepatorenal toxicity with Sonchus asper in gentamicin treated rats.
AB - BACKGROUND: Sonchus asper possesses antioxidant capacity and is used in liver and
kidney disorders. We have investigated the preventive effect of methanolic
extract of Sonchus asper (SAME) on the gentamicin induced alterations in
biochemical and morphological parameters in liver and kidneys of Sprague-Dawley
male rat. METHODS: Acute oral toxicity studies were performed for selecting the
therapeutic dose of SAME. 30 Sprague-Dawley male rats were equally divided into
five groups with 06 animals in each. Group I received saline (0.5 ml/kg bw; 0.9%
NaCl) while Group II administered with gentamicin 0.5 ml (100 mg/kg bw; i.p.) for
ten days. Animals of Group III and Group IV received gentamicin and SAME 0.5 ml
at a dose of 100 mg/kg bw and 200 mg/kg bw, respectively while Group V received
only SAME at a dose of 200 mg/kg bw. Biochemical parameters including aspartate
transaminase (AST), alanine transaminase (ALT), alkaline phosphatase (ALP),
lactate dehydrogenase (LDH), gamma-glutamyltransferase (gamma-GT), total
cholesterol, triglycerides, total protein, albumin, creatinine, blood urea
nitrogen (BUN), total bilirubin and direct bilirubin were determined in serum
collected from various groups. Urinary out puts were measured in each group and
also assessed for the level of protein and glucose. Lipid peroxides (TBARS),
glutathione (GSH), DNA injuries and activities of antioxidant enzymes; catalase
(CAT), peroxidase (POD) and superoxide dismutase (SOD) were determined in liver
and renal samples. Histopathological studies of liver and kidneys were also
carried out. RESULTS: On the basis of acute oral toxicity studies, 2000 mg/kg bw
did not induce any toxicity in rats, 1/10th of the dose was selected for
preventive treatment. Gentamicin increased the level of serum biomarkers; AST,
ALT, ALP, LDH, gamma-GT, total cholesterol, triglycerides, total protein,
albumin, creatinine, BUN, total and direct bilirubin; as were the urinary level
of protein, glucose, and urinary output. Lipid peroxidation (TBARS) and DNA
injuries increased while GSH contents and activities of antioxidant enzymes; CAT,
POD, SOD decreased with gentamicin in liver and kidney samples. SAME
administration, dose dependently, prevented the alteration in biochemical
parameters and were supported by low level of tubular and glomerular injuries
induced with gentamicin. CONCLUSION: These results suggested the preventive role
of SAME for gentamicin induced toxicity that could be attributed by
phytochemicals having antioxidant and free radical scavenging properties.
PMID- 22082147
TI - Molecular basis for the substrate stereoselectivity in tryptophan dioxygenase.
AB - Tryptophan dioxygenase (TDO) and indoleamine 2,3-dioxygenase (IDO) are the only
two heme proteins that catalyze the oxidation reaction of tryptophan (Trp) to N
formylkynurenine. While human IDO is able to oxidize both L- and D-Trp, human TDO
(hTDO) displays major specificity for L-Trp. In this work, we aim to interrogate
the molecular basis for the substrate stereoselectivity of hTDO. Our previous
molecular dynamics simulation studies of Xanthomonas campestris TDO (xcTDO)
showed that a hydrogen bond between T254 (T342 in hTDO) and the ammonium group of
the substrate is present in the L-Trp-bound enzyme, but not in the D-Trp-bound
enzyme. The fact that this is the only notable structural alteration induced by
the change in the stereo structure of the substrate prompted us to produce and
characterize the T342A mutant of hTDO to evaluate the structural role of T342 in
controlling the substrate stereoselectivity of the enzyme. The experimental
results indicate that the mutation only slightly perturbs the global structural
properties of the enzyme but totally abolishes the substrate stereoselectivity.
Molecular dynamics simulations of xcTDO show that T254 controls the substrate
stereoselectivity of the enzyme by (i) modulating the hydrogen bonding
interaction between the NH(3)(+) group and epoxide oxygen of the ferryl-indole
2,3-epoxide intermediate of the enzyme and (ii) regulating the dynamics of two
active site loops, loop(250-260) and loop(117-130), critical for substrate
binding.
PMID- 22082148
TI - Diffusion of ionic fluorescent probes atop polyelectrolyte brushes.
AB - The lateral diffusion of ionic fluorescent molecules atop polyelectrolyte brushes
was adopted to probe the distribution of counterions of the polyelectrolyte
brushes. With a combination of single molecule fluorescence techniques,
fluorescence correlation spectroscopy and single molecule fluorescence imaging,
the lateral diffusion of the ionic probes (sulforhodamine B, rhodamine 6G) at the
top of the model polyelectrolyte brushes with the opposite charges, poly([2
(methylacryloyloxyl)ethyl] trimethylammonium chloride) (PMETAC) and polystyrene
sulfonate (PSS), was studied with different external salt concentrations. A huge
decrease of the diffusion rate of the probes was observed at salt concentrations
2-3 orders of magnitude lower than that for any detectable change of brushes
thickness could be observed. The results reflect the early collapse of the top
portion of the polyelectrolyte brushes and also the penetration of the probes
into the brushes due to the increase of osmotic pressure by the salt level in the
solution. The diffusion of the fluorescent counterion can serve as a very
sensitive probe of the structure atop the polyelectrolyte brushes.
PMID- 22082146
TI - Human Papillomaviruses, p16INK4a and Akt expression in basal cell carcinoma.
AB - BACKGROUND: The pathogenic role of beta-HPVs in non melanoma skin cancer (NMSC),
is not still completely understood, and literature data indicate that they might
be at least cofactors in the development of certain cutaneous squamous cell
carcinomas. However, only few reports contain data on basal cell carcinoma (BCC).
The HPVs interact with many cellular proteins altering their function or the
expression levels, like the p16INK4a and Akt. Our study aimed to determine the
presence of different beta -HPV types and the expression of p16INK4a and Akt in
BCC, the commonest NMSC, in the normal appearing perilesional skin and in
forehead swab of 37 immunocompetent patients. METHODS: The expression of p16INK4a
and Akt, by immunohistochemistry, and the HPV DNA, by nested PCR, were
investigated in each sample. RESULTS: No correspondence of HPV types between BCC
and swab samples was found, whereas a correspondence between perilesional skin
and BCC was ascertained in the 16,7% of the patients. In BCC, 16 different types
of beta HPV were found and the most frequent types were HPV107 (15,4%), HPV100
(11,5%) and HPV15 (11,5%) all belonging to the beta HPV species 2.
Immunohistochemistry detected significant p16INK4a expression in almost all tumor
samples (94,3%) with the highest percentages (> 30%) of positive cells detected
in 8 cases. A statistically significant (p = 0,012) increase of beta HPV presence
was detected in p16INK4a strongly positive samples, in particular of species 2.
pAkt expression was detected in all tumor samples with only 2 cases showing rare
positive cells, whereas Akt2 expression was found in 14 out of 35 BCC (40%); in
particular in HPV positive samples over-expressing p16INK4a. CONCLUSIONS: Our
data show that p16INK4a and pAkt are over-expressed in BCC and that the high
expression of p16INK4a and of Akt2 isoform is often associated with the presence
of beta-HPV species 2 (i.e. HPV 15). The association of these viruses with the up
regulation of p16INK4a and Akt/PI3K pathway suggests that in a subtype of BCC
these viruses may exert a role in the carcinogenesis or in other, still
undefined, biological property of these tumors. If this particular type of BCC
reflects a different biology it will remain undisclosed until further studies on
a larger number of samples will be performed.
PMID- 22082149
TI - An outcomes analysis of over 200 revision surgeries for penile prosthesis
implantation: a multicenter study.
AB - INTRODUCTION: Inflatable penile prosthesis (IPP) implantation is a well
established treatment for medically refractory erectile dysfunction, with long
term reliability. Overall survival is 96% at 5 years and 60% at 15 years for
primary (virgin) implantation. AIM: The aim of this study was to explore factors
associated with success and complications of IPP revision surgery in a
multicenter study. MAIN OUTCOME MEASURES: Reasons for revision including
mechanical issues, patient dissatisfaction, corporal deformity, and supersonic
transport (SST) deformity were recorded. METHODS: At four institutions, 214
clinically uninfected IPP revisions were performed between November 2000 and
November 2007. Data were incomplete for 28 cases (14%). Failure-free survival was
estimated using Kaplan-Meier's Meier product limit method. RESULTS: The majority
of revisions were secondary to mechanical failure (N = 109; 65%) and combined
erosion or infection (N = 17 + 15 = 32; 19%). Sixteen percent (N = 26) were
carried out on functional uninfected prostheses secondary to patient
dissatisfaction (N = 9), SST deformity (N = 10), scrotal hematoma (N = 2), or
upsize revision because of corporal fibrosis (N = 5). Average age at revision was
66 years. Mean follow-up time was 55.7 months. In this study, 12 individuals
required a secondary revision procedure or suffered a complication. Despite prior
reports of high infection rates with revision surgery, only 5.7% of clinically
uninfected and noneroded prostheses were complicated by infection or impending
extrusion/erosion, following a revision washout protocol. Overall, 93% of cases
were successfully revised, providing functioning IPPs. CONCLUSIONS: For this
study population, component exchange followed by revision washout showed a low
incidence of infection and subsequent mechanical failure.
PMID- 22082151
TI - N-Directed aliphatic C-H borylation using borenium cation equivalents.
AB - Highly electrophilic boron cations derived from hindered amine borane complexes
have been shown to undergo intramolecular aliphatic C-H borylation.
PMID- 22082152
TI - MiRNA-9 and MiRNA-200a distinguish hemangioblastomas from metastatic clear cell
renal cell carcinomas in the CNS.
AB - Central nervous system (CNS) tumors in von Hippel-Lindau syndrome (VHL) include
hemangioblastomas and metastatic clear cell renal cell carcinomas (Met CCRCC).
While these tumors often show similar histologic features, differentiating them
is of significant importance as Met CCRCC are higher-grade tumors with worse
prognosis. No single current immunohistochemical marker unequivocally
differentiates between these two entities. MicroRNAs (miRNAs) are noncoding
cellular small RNA molecules that play an important role in cancer. We
hypothesized that hemangioblastomas and Met CCRCC display distinct miRNA
signatures enabling their histologic differentiation. MiRNAs were profiled in 10
cases each of hemangioblastomas, Met CCRCC and primary CCRCC. Ten miRNAs had
greater abundance (including miR-9 (~10-fold) and miR-135a (~7-fold)) and 39
miRNAs were lower [including miR-200a (~22-fold) and miR-200b (~12-fold)] in
hemangioblastomas compared with Met CCRCC. Quantitative real-time RT-PCR in 20
hemangioblastomas and 13 Met CCRCC showed a 12-fold increase in miR-9 and a 15
fold decrease of miR-200a in hemangioblastomas compared with Met CCRCC. Finally,
in situ hybridization for miR-9 in 15 hemangioblastomas and 10 Met CCRCC
confirmed these results. Our data suggest that miR-9 and miR-200a can distinguish
between hemangioblastomas and Met CCRCC. Further, these results may also provide
insight in understanding the biology of hemangioblastomas.
PMID- 22082153
TI - Optimal imaging of in vitro clot sonothrombolysis by MR-guided focused
ultrasound.
AB - BACKGROUND AND PURPOSE: As magnetic resonance-guided focused ultrasound (MRgFUS)
sonothrombolysis relies on mechanical rather than thermal mechanisms to achieve
clot lysis, thermometry is not useful for the intraoperative monitoring of clot
breakdown by MRgFUS. Therefore, the purpose of this study was to evaluate the
optimum imaging sequence for sonothrombolysis. METHODS: In vitro blood drawn from
6 healthy volunteers was imaged using T1, T2 spin-echo, and T2 gradient-echo
(GRE) sequences both before and after sonication using an Insightec ExAblate 4000
FUS transducer. Signal intensities of the three MR imaging sequences were
measured and normalized to background signal for each time point. Representative
samples of the pre- and postsonication clot were also sent to pathology for
hematologic analysis. RESULTS: After sonication, the clot in the treatment tube
was fully lysed as evidenced by physical and hematologic evaluation. The
difference between pre- and postsonicated normalized signal intensity ratios
demonstrated statistical significance only on T2 and GRE sequences (P < .001).
However, significant blooming artifact limited interpretation on all GRE images.
CONCLUSION: T2 is the most appropriate sequence for the evaluation of mechanical
MRgFUS sonothrombolysis of an in vitro clot. These findings are consistent across
the oxidative states of clot up to 48 hours.
PMID- 22082154
TI - Role of the 12q24.12 locus in the onset of preeclampsia: an Italian case-control
study.
AB - OBJECTIVE: The 12q24.12 locus has been reported to be involved in the control of
many traits and also in severe diseases such as cardiovascular disease,
hypertension and some immune-related disease. To our knowledge, no study has been
published so far investigating the role of this locus in the pathogenesis of
preeclampsia (PE). METHODS: We genotyped four single nucleotide polymorphisms
(SNPs) in 12q24.12 locus in 198 preeclamptic, 224 chronic hypertensive and 265
normotensive women from Italy, to test the contribution polymorphisms/haplotypes
on the onset of preeclampsia and their association with chronic hypertension.
RESULTS: No association was observed for any single SNP, while a common haplotype
CGTG (21% in normotensive women) revealed a possible protective effect (OR 0.64,
95% CI 0.42-0.97) against preeclampsia. CONCLUSIONS: Our data suggest that a
common haplotype within 12q24.12 locus may be associated with a protective effect
against preeclampsia. This observation may be linked with the potential role of
this region in the control of microcirculation. To the best of our knowledge, our
study is the first one that links the 12q24.12 locus with this life-threatening
perinatal complication of unknown etiology. Further physiological and functional
studies are needed to clarify the molecular mechanisms and pathways of
preeclampsia.
PMID- 22082155
TI - Simple estimation and test procedures in capture-mark-recapture mixed models.
AB - The need to consider in capture-recapture models random effects besides fixed
effects such as those of environmental covariates has been widely recognized over
the last years. However, formal approaches require involved likelihood
integrations, and conceptual and technical difficulties have slowed down the
spread of capture-recapture mixed models among biologists. In this article, we
evaluate simple procedures to test for the effect of an environmental covariate
on parameters such as time-varying survival probabilities in presence of a random
effect corresponding to unexplained environmental variation. We show that the
usual likelihood ratio test between fixed models is strongly biased, and tends to
detect too often a covariate effect. Permutation and analysis of deviance tests
are shown to behave properly and are recommended. Permutation tests are
implemented in the latest version of program E-SURGE. Our approach also applies
to generalized linear mixed models.
PMID- 22082156
TI - A whole genome screen for HIV restriction factors.
AB - BACKGROUND: Upon cellular entry retroviruses must avoid innate restriction
factors produced by the host cell. For human immunodeficiency virus (HIV) human
restriction factors, APOBEC3 (apolipoprotein-B-mRNA-editing-enzyme), p21 and
tetherin are well characterised. RESULTS: To identify intrinsic resistance
factors to HIV-1 replication we screened 19,121 human genes and identified 114
factors with significant inhibition of infection. Those with a known function are
involved in a broad spectrum of cellular processes including receptor signalling,
vesicle trafficking, transcription, apoptosis, cross-nuclear membrane transport,
meiosis, DNA damage repair, ubiquitination and RNA processing. We focused on the
PAF1 complex which has been previously implicated in gene transcription, cell
cycle control and mRNA surveillance. Knockdown of all members of the PAF1 family
of proteins enhanced HIV-1 reverse transcription and integration of provirus.
Over-expression of PAF1 in host cells renders them refractory to HIV-1. Simian
Immunodeficiency Viruses and HIV-2 are also restricted in PAF1 expressing cells.
PAF1 is expressed in primary monocytes, macrophages and T-lymphocytes and we
demonstrate strong activity in MonoMac1, a monocyte cell line. CONCLUSIONS: We
propose that the PAF1c establishes an anti-viral state to prevent infection by
incoming retroviruses. This previously unrecognised mechanism of restriction
could have implications for invasion of cells by any pathogen.
PMID- 22082157
TI - Do antidepressants work in patients with chronic obstructive pulmonary disease
with comorbid depression?
PMID- 22082158
TI - Rationale and expectations of the Pneumonia Etiology Research for Child Health
(PERCH) study.
PMID- 22082160
TI - Mometasone furoate/formoterol in the treatment of persistent asthma.
AB - Mometasone furoate and formoterol fumarate dihydrate (MF/F) administered via
metered-dose inhaler with a dose counter is a new fixed-dose combination of an
inhaled corticosteroid and a long-acting beta2-agonist indicated for daily
maintenance therapy in patients aged >=12 years with persistent asthma.
Randomized, controlled trials have suggested that MF/F reduces asthma
deteriorations while improving lung function and other measures of asthma
control, including quality-of-life. Clinical safety studies lasting up to 1 year
have found that MF/F has a low incidence of local and systemic side effects.
PMID- 22082161
TI - Omalizumab in the treatment of asthma.
AB - Omalizumab was introduced in 2003 and is the first asthma drug to target IgE, the
allergic antibody that initiates the allergic cascade. Well-controlled studies
and post-marketing clinical experience have shown it to be an effective and safe
medication. Treatment guidelines now recommend omalizumab as an add-on option for
patients with moderate-to-severe allergic asthma uncontrolled on high-dose
inhaled corticosteroids and long-acting beta-agonists. Despite initial concerns,
there is no evidence of any association with malignancy at this time. In our
opinion, omalizumab is well-tolerated and significantly improves pulmonary
function, decreases clinical symptoms and improves the quality of life in
patients with uncontrolled allergic asthma.
PMID- 22082162
TI - Artificial airways for the study of respiratory disease.
AB - This review will focus on human cell-based experimental models to study
respiratory diseases, in particular models of the large airways relevant to
asthma and chronic obstructive pulmonary disease. Such models have the advantage
of incorporating cells that can be derived from disease-relevant tissue and so
have retained important genetic and epigenetic features that contribute to the
human disease. These models can be used for mechanistic studies, target
identification and validation and toxicological testing. While many models have
been developed to varying degrees of sophistication, the challenge remains to
develop an integrated system that recapitulates the complex cell-cell and cell
matrix interactions that occur in vivo and to provide these with a 'circulation'
to study the dynamics of immune and inflammatory cell influx and efflux.
PMID- 22082163
TI - Targeting airway smooth muscle in airways diseases: an old concept with new
twists.
AB - Airway smooth muscle (ASM) manifests a hyper-responsive phenotype in airway
disorders such as asthma. ASM also modulates immune responses by secreting
mediators and expressing cell-surface molecules that promote recruitment of
inflammatory cells to the lungs. The aim of the current article is to highlight
therapeutics that may modulate ASM responses in airway disorders and
exacerbations.
PMID- 22082164
TI - Carbon nanotubes as delivery systems for respiratory disease: do the dangers
outweigh the potential benefits?
AB - Nanoparticle drug-delivery systems offer the potential for improved efficacy of
treatment, and yet there are also potential risks associated with these novel
therapeutic strategies. An attractive property of carbon nanotubes (CNTs) is that
the tube- or fiber-like structure allows for extensive functionalization and
loading of cargo. However, a large body of evidence indicates that CNTs may have
adverse effects if used in drug delivery as they have been shown to cause
pulmonary fibrosis and exacerbate lung disease in rodents with pre-existing lung
diseases. Major factors that cause these toxic effects are the high aspect ratio,
durability and residual metal content that generate reactive oxygen species.
Therefore, careful consideration should be given to the possibility that lung
inflammation or fibrosis could be significant side effects caused by a CNT-based
drug-delivery system, thereby outweighing any potential beneficial effects of
therapeutic treatment. However, functionalization of CNTs to modulate aspect
ratio, biodegradability and to remove residual metals could allow for safe design
of CNTs for use in drug delivery in certain circumstances.
PMID- 22082165
TI - Systematic review of the effectiveness of breathing retraining in asthma
management.
AB - In asthma management, complementary and alternative medicine is enjoying a
growing popularity worldwide. This review synthesizes the literature on
complementary and alternative medicine techniques that utilize breathing
retraining as their primary component and compares evidence from controlled
trials with before-and-after trials. Medline, PubMed, Cumulative Index to Nursing
and Allied Health Literature and the Cochrane Library electronic databases were
searched. Reference lists of all publications were manually checked to identify
studies not found through electronic searching. The selection criteria were met
by 41 articles. Most randomized controlled trials (RCTs) of the Buteyko breathing
technique demonstrated a significant decrease in beta(2)-agonist use while
several found improvement in quality of life or decrease in inhaled
corticosteroid use. Although few in number, RCTs of respiratory muscle training
found a significant reduction in bronchodilator medication use. Where meta
analyses could be done, they provided evidence of benefit from yoga, Buteyko
breathing technique and physiotherapist-led breathing training in improving
asthma-related quality of life. However, considerable heterogeneity was noted in
some RCTs of yoga. It is reasonable for clinicians to offer qualified support to
patients with asthma undertaking these breathing retraining techniques.
PMID- 22082167
TI - Sarcoidosis of the upper and lower airways.
AB - Sarcoidosis is a systemic granulomatous disease of undetermined etiology
characterized by a variable clinical presentation and disease course. Although
clinical granulomatous inflammation may occur within any organ system, more than
90% of sarcoidosis patients have lung disease. Sarcoidosis is considered an
interstitial lung disease that is frequently characterized by restrictive
physiologic dysfunction on pulmonary function tests. However, sarcoidosis also
involves the airways (large and small), causing obstructive airways disease. It
is one of a few interstitial lung diseases that affects the entire length of the
respiratory tract - from the nose to the terminal bronchioles - and causes a
broad spectrum of airways dysfunction. This article examines airway dysfunction
in sarcoidosis. The anatomical structure of the airways is the organizational
framework for our discussion. We discuss sarcoidosis involving the nose, sinuses,
nasal passages, larynx, trachea, bronchi and small airways. Common complications
of airways disease, such as, atelectasis, fibrosis, bullous leions,
bronchiectasis, cavitary lesions and mycetomas, are also reviewed.
PMID- 22082166
TI - The emerging relationship between the airway microbiota and chronic respiratory
disease: clinical implications.
AB - Until recently, relationships between evidence of colonization or infection by
specific microbial species and the development, persistence or exacerbation of
pulmonary disease have informed our opinions of airway microbiology. However,
recent applications of culture-independent tools for microbiome profiling have
revealed a more diverse microbiota than previously recognized in the airways of
patients with chronic pulmonary disease. New evidence indicates that the
composition of airway microbiota differs in states of health and disease and with
severity of symptoms and that the microbiota, as a collective entity, may
contribute to pathophysiologic processes associated with chronic airway disease.
Here, we review the evolution of airway microbiology studies of chronic pulmonary
disease, focusing on asthma, chronic obstructive pulmonary disease and cystic
fibrosis. Building on evidence derived from traditional microbiological
approaches and more recent culture-independent microbiome studies, we discuss the
implications of recent findings on potential microbial determinants of
respiratory health or disease.
PMID- 22082168
TI - Mediastinal staging of non-small-cell lung cancer.
AB - Accurate mediastinal staging is the hallmark of a sound thoracic oncology
program. Mediastinal staging remains the most important validated tool for making
treatment decisions for patients with non-small-cell lung cancer. The last few
years have seen the emergence of several new techniques to improve mediastinal
staging. This article summarizes the current state of the art of this rapidly
evolving field.
PMID- 22082170
TI - Ultrafast control of the internuclear distance with parabolic chirped pulses.
AB - Recently, control over the bond length of a diatomic molecule with the use of
parabolic chirped pulses was predicted on the basis of numerical calculations
[Chang; et al. Phys. Rev. A 2010, 82, 063414]. To achieve the required bond
elongation, a laser scheme was proposed that implies population inversion and
vibrational trapping in a dissociative state. In this work we identify two
regimes where the scheme works, called the strong and the weak adiabatic regimes.
We define appropriate parameters to identify the thresholds where the different
regimes operate. The strong adiabatic regime is characterized by a quasi-static
process that requires longer pulses. The molecule is stabilized at a bond
distance and at a time directly controlled by the pulse in a time-symmetrical
way. In this work we analyze the degree of control over the period and elongation
of the bond as a function of the pulse bandwidth. The weak adiabatic regime
implies dynamic deformation of the bond, which allows for larger bond stretch and
the use of shorter pulses. The dynamics is anharmonic and not time-symmetrical
and the final state is a wave packet in the ground potential. We show how the
vibrational energy of the wave packet can be controlled by changing the pulse
duration.
PMID- 22082171
TI - Interleukin (IL)-17 versus IL-27: opposite effects on tumor necrosis factor-alpha
mediated chemokine production in human keratinocytes.
AB - Tumor necrosis factor (TNF)-alpha is known to play a pivotal role in the
pathogenesis of psoriasis. TNF-alpha has been shown to act directly on
keratinocytes, thereby inducing the production of various kinds of chemokines,
which contributes to the infiltration of leucocytes into the psoriatic lesions.
Recent studies have shown that both interleukin (IL)-17 and IL-27 are increased
in psoriatic lesional tissue. However, the interactions between TNF-alpha, IL-17
and IL-27 in chemokine production by keratinocytes have not been fully
elucidated. Here, we examined in human keratinocytes how TNF-alpha, IL-17 and IL
27 affect production of chemokines that are involved in the pathogenesis of
psoriasis. We found that IL-17 and IL-27 exert opposite effects on TNF-alpha
mediated chemokine production. This suggests that lesional balance of IL-17 and
IL-27 is involved in the recruitment of T cells, natural killer cells,
neutrophils, monocytes or dendritic cells, thereby affecting inflammation in skin
diseases.
PMID- 22082173
TI - False-positive pregnancy tests following enterocystoplasty.
AB - People with major congenital urological or neurological malformations invariably
require bladder reconstruction with enterocystoplasty in early childhood. The
improvement of the surgical management of these children has reflected
significantly on their life expectancy. As a result, more young people with
enterocystoplasty are being transitioned to adolescent clinics where they receive
the usual counselling about sexual health and pregnancy risks. However, the
possibility of false-positive urinary pregnancy tests in these young women
remains an overlooked but essential message. The lack of awareness about this
fact can result in significant patient anxiety and the potential for unnecessary
interventions as exemplified by the three cases we have encountered.
PMID- 22082172
TI - Percutaneous & Mini Invasive Achilles tendon repair.
AB - Rupture of the Achilles tendon is a considerable cause of morbidity with reduced
function following injury. Recent studies have shown little difference in outcome
between the techniques of open and non-operative treatment using an early active
rehabilitation programme. Meta-analyses have shown that non-operative management
has increased risk of re-rupture whereas surgical intervention has risks of
complications related to the wound and iatrogenic nerve injury. Minimally
invasive surgery has been adopted as a way of reducing infections rates and wound
breakdown however avoiding iatrogenic nerve injury must be considered. We discuss
the techniques and outcomes of percutaneous and minimally invasive repairs of the
Achilles tendon.
PMID- 22082174
TI - Attitudes of medical students to medical leadership and management: a systematic
review to inform curriculum development.
AB - BACKGROUND: There is a growing acknowledgement that doctors need to develop
leadership and management competences to become more actively involved in the
planning, delivery and transformation of patient services. We undertook a
systematic review of what is known concerning the knowledge, skills and attitudes
of medical students regarding leadership and management. Here we report the
results pertaining to the attitudes of students to provide evidence to inform
curriculum development in this developing field of medical education. METHODS: We
searched major electronic databases and citation indexes within the disciplines
of medicine, education, social science and management. We undertook hand
searching of major journals, and reference and citation tracking. We accessed
websites of UK medical institutions and contacted individuals working within the
field. RESULTS: 26 studies were included. Most were conducted in the USA, using
mainly quantitative methods. We used inductive analysis of the topics addressed
by each study to identity five main content areas: Quality Improvement; Managed
Care, Use of Resources and Costs; General Leadership and Management; Role of the
Doctor, and Patient Safety. Students have positive attitudes to clinical practice
guidelines, quality improvement techniques and multidisciplinary teamwork, but
mixed attitudes to managed care, cost containment and medical error. Education
interventions had variable effects on students' attitudes. Medical students
perceive a need for leadership and management education but identified lack of
curriculum time and disinterest in some activities as potential barriers to
implementation. CONCLUSIONS: The findings from our review may reflect the
relatively little emphasis given to leadership and management in medical
curricula. However, students recognise a need to develop leadership and
management competences. Although further work needs to be undertaken, using
rigorous methods, to identify the most effective and cost-effective curriculum
innovations, this review offers the only currently available summary of work
examining the attitudes of students to this important area of development for
future doctors.
PMID- 22082175
TI - Public policy, blood safety and haemovigilance.
PMID- 22082176
TI - Patient consent for blood transfusion--recommendations from SaBTO.
PMID- 22082177
TI - SaBTO review of blood donor selection criteria related to sexual behaviour.
PMID- 22082179
TI - Protonation states of important acidic residues in the central Ca2+ ion binding
sites of the Ca2+-ATPase: a molecular modeling study.
AB - The P-type ATPases are responsible for the transport of cations across cell
membranes. The sarco(endo)plasmic reticulum Ca2+-ATPase (SERCA) transports two
Ca2+ ions from the cytoplasm to the lumen of the sarco(endo)plasmic reticulum and
countertransports two or three protons per catalytic cycle. Two binding sites for
Ca2+ ions have been located via protein crystallography, including four acidic
amino acid residues that are essential to the ion coordination. In this study, we
present molecular dynamics (MD) simulations examining the protonation states of
these amino acid residues in a Ca2+-free conformation of SERCA. Such knowledge
will be important for an improved understanding of atomistic details of the
transport mechanism of protons and Ca2+ ions. Eight combinations of the
protonation of four central acidic residues, Glu309, Glu771, Asp800, and Glu908,
are tested from 10 ns MD simulations with respect to protein stability and
ability to maintain a structure similar to the crystal structure. The
trajectories for the most prospective combinations of protonation states were
elongated to 50 ns and subjected to more detailed analysis, including prediction
of pK(a) values of the four acidic residues over the trajectories. From the
simulations we find that the combination leaving only Asp800 as charged is most
likely. The results are compared to available experimental data and explain the
observed destabilization upon full deprotonation, resulting in the entry of
cytoplasmic K+ ions into the Ca2+ binding sites during the simulation in which
Ca2+ ions are absent. Furthermore, a hypothesis for the exchange of protons from
the central binding cavity is proposed.
PMID- 22082180
TI - The relationship between same-sex sexual experience, sexual distress, and female
sexual dysfunction.
AB - INTRODUCTION: There is little research estimating the occurrence of female sexual
dysfunction (FSD) in women with same-sex sexual experience and none incorporating
a key feature of standardized DSM-IV diagnoses-sexual distress. AIM: To
investigate the prevalence of FSD in women with and without same-sex sexual
experience and whether any effects of same-sex sexual experience on women's
sexual functioning are moderated by frequency and type of sexual activity.
METHODS: The sample consisted of 5,998 female individuals aged 18-49 years.
Prevalence of FSD was assessed by the Female Sexual Function Index and an
abbreviated version of the Female Sexual Distress Scale. Measurement of frequency
and variation in sexual activity was conducted using a modified version of the
Derogatis Sexual Functioning Inventory. Lifetime same-sex experience was assessed
with a single question. MAIN OUTCOME MEASURES: Prevalence estimates of FSD. Odds
ratios (ORs) with 95% confidence intervals (CIs) for the subsample-specific,
mediating role of frequency and mode of sexual activities on FSD. RESULTS: Women
with same-sex sexual experience (13.6%) engaged significantly more in all sexual
activities (P < 0.01) compared with women without such experience. They further
reported significantly more desire (Z = 3.1, P < 0.05) and satisfaction problems
(z = 10.6, P < 0.001). When controlling patterns of sexual activities no
significant effect of same-sex sexual experience on desire could be detected (OR
1.1, CI 95% 0.9-1.2, P > 0.1), whereas the significant association between same
sex sexual experience and sexual dissatisfaction remained (OR 1.28, CI 95% 1.1
1.6, P < 0.05). Sexual distress was significantly more prevalent in women with
same-sex sexual experience (23%) compared with their counterparts (19%).
CONCLUSIONS: Same-sex sexual experience is related, both directly and indirectly,
to FSD. Testing of the mediating factors underlying this association may offer
important clues into the etiology of FSD in general. The results further
highlight the need to consider sexual distress as a multidimensional concept.
PMID- 22082181
TI - Implications of a local overproduction of tumor necrosis factor-alpha in complex
regional pain syndrome.
AB - OBJECTIVE: To review the implications of a local overproduction of tumor
necrosis factor-alpha for the pathogenesis and treatment of complex regional pain
syndrome. BACKGROUND: Elevated local production of tumor necrosis factor-alpha
contributes to prolonged inflammation in the early stages of complex regional
pain syndrome. Consequences could include hypoxia and necrosis of local tissues.
METHODS: We conducted a review of articles published since 2000 on tumor
necrosis factor-alpha in complex regional pain syndrome. RESULTS: We propose
that exaggerated local inflammation, subsequent inhibition of N-type calcium
channel currents in sympathetic vasoconstrictor neurons and reduced sympathetic
neurotransmitter release from perivascular terminals disrupt sympathetic
cutaneous vasoconstrictor activity in complex regional pain syndrome. The
resultant microvascular disturbance could exacerbate inflammation in the affected
limb. In addition, an underactive cholinergic anti-inflammatory pathway might
lead to overproduction of tumor necrosis factor-alpha. The results of large,
randomized controlled treatment studies that test the efficacy of selective anti
tumor necrosis factor-alpha drugs in complex regional pain syndrome are not yet
available. However, numerous small-scale studies and case reports indicate that
anti-inflammatory drug treatments that directly or indirectly target tumor
necrosis factor-alpha ameliorate pain and other symptoms in some cases.
CONCLUSIONS: An exaggerated inflammatory cytokine cascade may contribute to
sensory and autonomic disturbances in complex regional pain syndrome. Further
investigation of anti-tumor necrosis factor-alpha therapy as a cost-effective
treatment option for this devastating disease is required. Whether increased
activity in the cholinergic anti-inflammatory pathway provides therapeutic
benefits for complex regional pain syndrome also warrants further investigation.
PMID- 22082182
TI - Magic carbon clusters in the chemical vapor deposition growth of graphene.
AB - Ground-state structures of supported C clusters, C(N) (N = 16, ..., 26), on four
selected transition metal surfaces [Rh(111), Ru(0001), Ni(111), and Cu(111)] are
systematically explored by ab initio calculations. It is found that the core
shell structured C(21), which is a fraction of C(60) possessing three isolated
pentagons and C(3v) symmetry, is a very stable magic cluster on all these metal
surfaces. Comparison with experimental scanning tunneling microscopy images,
dI/dV curves, and cluster heights proves that C(21) is the experimentally
observed dominating C precursor in graphene chemical vapor deposition (CVD)
growth. The exceptional stability of the C(21) cluster is attributed to its high
symmetry, core-shell geometry, and strong binding between edge C atoms and the
metal surfaces. Besides, the high barrier of two C(21) clusters' dimerization
explains its temperature-dependent behavior in graphene CVD growth.
PMID- 22082183
TI - Ultrasound-guided upper extremity blocks - tips and tricks to improve the
clinical practice.
AB - Brachial plexus blockade in children can be used for a broad spectrum of clinical
indications. Nevertheless, these regional anesthetic techniques are still
underused in pediatric anesthesia that is mainly because of insufficient
descriptions of the particular techniques. Ultrasound guidance enables direct
visualization of neuronal and adjacent anatomical structures, the cannula, and
the spread of local anesthetic. The most important issue in this context is
theoretical background knowledge and intensive training of hand skills. The
following review article discusses all relevant aspects of ultrasound-guided
brachial plexus blockade.
PMID- 22082184
TI - Age-related decrease of miRNA-92a levels in human CD8+ T-cells correlates with a
reduction of naive T lymphocytes.
AB - MicroRNA (miR)-17-92a expression plays a crucial role in lymphocyte ontogeny. We
therefore set out to determine miR-92a expression levels in peripheral blood
lymphocytes from healthy subjects to ascertain any association between these
levels and ageing. We found a positive correlation between the miR-92a expression
level and the percentages of RO-CD8+CD27+ (P = 0.0046) and CD3+CD8+CD62L+ (P =
0.0011). This suggests that the majority of miR-92a of CD8+ T cells is derived
from naive cells, and the miR-92a expression level in CD8+ T cells declines
progressively with age. These results indicate that the age-related attrition of
naive T cells is linked to a reduction of miR-92a in human T -lymphocytes.
Therefore, we should careful attention when evaluating human miRNA levels in T
lymphocytes to use normal control values.
PMID- 22082187
TI - Piriformis pyomyositis, an unusual presentation of leg pain post partum--case
report and review of literature.
AB - Piriformis pyomyositis is defined as a subacute infection of skeletal muscles
associated with systemic infectious symptoms. In the literature it rarely occurs
postpartum. We report a case of piriformis pyomyositis involving a parturient and
review the published cases available in the literature.
PMID- 22082186
TI - Relationship between Dietary and Other Lifestyle Habits and Cardiometabolic Risk
Factors in Men.
AB - BACKGROUND: Prevalence of men with cardiometabolic risk factors (CMRF) is
increasing in Japan. Few studies have comprehensively examined the relation
between lifestyles and CMRF. METHODS: We examined the baseline data from 3,498
male workers ages 19 to 69 years who participated in the high-risk and population
strategy for occupational health promotion (HIPOP-OHP) study at 12 large-scale
companies throughout Japan. The physical activity of each participant was
classified according to the International Physical Activity Questionnaire (IPAQ).
Dietary intake was surveyed by a semi-quantitative Food Frequency Questionnaire.
We defined four CMRF in this study as follows: 1) high blood pressure (BP):
systolic BP >= 130 mmHg, or diastolic BP >= 85 mmHg, or the use of
antihypertensive drugs; 2) dyslipidemia: high-density lipoprotein-cholesterol
concentration < 40 mg/dl, or triglycerides concentration >= 150 mg/dl, or on
medication for dyslipidemia; 3) impaired glucose tolerance: fasting blood sugar
concentration >=110 mg/dl; 4) obese: a body mass index >= 25 kg/m2. RESULTS:
Those who had 0 to 4 CMRF accounted for 1,597 (45.7%), 1,032 (29.5%), 587
(16.8%), 236 (6.7%), and 44 (1.3%) participants, respectively, in the Poisson
distribution. Poisson regression analysis revealed that independent factors that
contributed to the number of CMRF were age (b = 0.020, P < 0.01), IPAQ (b =
0.091, P < 0.01), alcohol intake (ml/day) (b = 0.001, P = 0.03), percentage of
protein intake (b = 0.059, P = 0.01), and total energy intake (kcal)(b = 0.0001,
P < 0.01). Furthermore, alcohol intake and its frequency had differential
effects. CONCLUSIONS: Alcohol intake, percent protein and total energy intake
were positively associated, whereas drinking frequency and IPAQ were inversely
associated, with the number of CMRF.
PMID- 22082188
TI - Oligodeoxynucleotides inhibit Toll-like receptor 3 mediated cytotoxicity and
CXCL8 release in keratinocytes.
AB - Toll-like receptor 3 (TLR3) is an important sensor of viral infections and injury
of self in keratinocytes. In this study, we stimulated primary keratinocytes with
the TLR3-ligand polyI:C. This induced a toxic effect shown by up-regulation of
the alarmin high-mobility group protein B1 and reduced responses in a MTT-assay.
PolyI:C was a potent inducer of proinflammatory cytokines, and both these
responses and the cytotoxic effects were found to be TLR3 dependent, as
demonstrated by the use of siRNA for TLR3. Interestingly, co-stimulation with
oligodeoxynucleotides (ODNs) inhibited all polyI:C induced effects. This
inhibition was found to be mediated by the competition of endocytic uptake of
polyI:C and ODNs. We have found polyI:C induced cytotoxicity and proinflammatory
responses to be dependent of TLR3 and that this may be inhibited by ODNs. With
these findings, we see a promising potential for ODNs in inhibiting TLR3-induced
responses in inflammatory skin disorders.
PMID- 22082189
TI - Improvement of antibiotic activity of Xenorhabdus bovienii by medium optimization
using response surface methodology.
AB - BACKGROUND: The production of secondary metabolites with antibiotic properties is
a common characteristic to entomopathogenic bacteria Xenorhabdus spp. These
metabolites not only have diverse chemical structures but also have a wide range
of bioactivities with medicinal and agricultural interests such as antibiotic,
antimycotic and insecticidal, nematicidal and antiulcer, antineoplastic and
antiviral. It has been known that cultivation parameters are critical to the
secondary metabolites produced by microorganisms. Even small changes in the
culture medium may not only impact the quantity of certain compounds but also the
general metabolic profile of microorganisms. Manipulating nutritional or
environmental factors can promote the biosynthesis of secondary metabolites and
thus facilitate the discovery of new natural products. This work was conducted to
evaluate the influence of nutrition on the antibiotic production of X. bovienii
YL002 and to optimize the medium to maximize its antibiotic production. RESULTS:
Nutrition has high influence on the antibiotic production of X. bovienii YL002.
Glycerol and soytone were identified as the best carbon and nitrogen sources that
significantly affected the antibiotic production using one-factor-at-a-time
approach. Response surface methodology (RSM) was applied to optimize the medium
constituents (glycerol, soytone and minerals) for the antibiotic production of X.
bovienii YL002. Higher antibiotic activity (337.5 U/mL) was obtained after
optimization. The optimal levels of medium components were (g/L): glycerol 6.90,
soytone 25.17, MgSO4.7H2O 1.57, (NH4)2SO4 2.55, KH2PO4 0.87, K2HPO4 1.11 and
Na2SO4 1.81. An overall of 37.8% increase in the antibiotic activity of X.
bovienii YL002 was obtained compared with that of the original medium.
CONCLUSIONS: To the best of our knowledge, there are no reports on antibiotic
production of X. boviebii by medium optimization using RSM. The results strongly
support the use of RSM for medium optimization. The optimized medium not only
resulted in a 37.8% increase of antibiotic activity, but also reduced the numbers
of experiments. The chosen method of medium optimization was efficient, simple
and less time consuming. This work will be useful for the development of X.
bovienii cultivation process for efficient antibiotic production on a large
scale, and for the development of more advanced control strategies on plant
diseases.
PMID- 22082190
TI - Solitary fibrous tumors and hemangiopericytomas of the meninges: overlapping
pathological features and common prognostic factors suggest the same spectrum of
tumors.
AB - Meningeal solitary fibrous tumors (SFTs) and hemangiopericytomas (HPCs) are
distinct entities in the World Health Organization (WHO) classification of
central nervous system (CNS) tumors while they belong to the same spectrum of
tumors in other locations. Well-defined histological prognostic factors are also
lacking for these tumors. In order to clarify the relationship between SFT and
HPC and to find histological and immunohistochemical prognostic factors, we
carried out a retrospective study in 89 patients. The following histological
parameters were recorded: hypercellularity, collagenic areas, cytonuclear
atypias, necrosis, mitotic count per 10 high-power fields, vasculo-nervous
adherences defined by engulfment of vessel or nerve by the tumor, brain
infiltration. We found overlapping histological and immunohistochemical features
between SFT and HPC. The most relevant histological prognostic factors in the
whole cohort for both progression-free survival (PFS) and overall survival (OS)
in univariate analysis were hypercellularity, high mitotic count (>5 per 10 high
power fields) and necrosis. On the basis of these results, we propose a new
grading scheme for these tumors which was of pronostic value for both PFS and OS
in uni- and multivariate analysis. As extent of surgery was also a prognostic
factor for both PFS and OS in univariate analysis, we propose that management of
SFT/HPC might be based both on quality of removal and histological grade.
PMID- 22082191
TI - Mode of delivery and postpartum emotional distress: a cohort study of 55,814
women.
AB - OBJECTIVE: To assess the association between mode of delivery and maternal
postpartum emotional distress. DESIGN: A prospective study of women from 30 weeks
of gestation to 6 months postpartum. SETTING: Pregnant women in Norway during the
period 1998-2008. POPULATION: A total of 55,814 women from the Norwegian Mother
and Child Cohort Study. METHODS: Emotional distress was reported in a short form
of the Hopkins Symptom Checklist-25 (SCL-8) at 30 weeks of gestation and at 6
months postpartum. Information on mode of delivery was obtained from the Medical
Birth Registry of Norway. MAIN OUTCOME MEASURES: Changes in SCL-8 score from 30
weeks of gestation to 6 months postpartum and presence of emotional distress at 6
months postpartum. RESULTS: Women with instrumental vaginal, emergency caesarean
or elective caesarean deliveries had similar changes in SCL-8 score between 30
weeks of gestation and 6 months postpartum, as compared with women with
unassisted vaginal delivery (adjusted regression coefficient, 0.00, 95% CI -0.01
to 0.01; 0.01, 95% CI 0.00-0.02; and -0.01, 95% CI -0.02 to 0.00, respectively).
The corresponding odds ratios (ORs) associated with the presence of emotional
distress at 6 months postpartum (SCL-8 >= 2.0) were: OR 1.01, 95% CI 0.86-1.18;
OR 1.13, 95% CI 0.97-1.32; and OR 0.96, 95% CI 0.79-1.16, respectively. These
estimates were adjusted for emotional distress during pregnancy and other
potential confounding factors. Emotional distress during pregnancy showed the
strongest association with the presence of emotional distress at 6 months
postpartum (adjusted OR 14.09, 95% CI 12.77-15.55). CONCLUSIONS: Mode of delivery
was not associated with a change in SCL-8 score from 30 weeks of gestation to 6
months postpartum or with the presence of emotional distress postpartum.
PMID- 22082193
TI - Performance of conventional and range-separated hybrid density functionals in
calculations of electronic circular dichroism spectra of transition metal
complexes.
AB - A number of density functionals, including 'pure' (nonhybrid) functionals, global
hybrids, and range-separated hybrids, were used to calculate the electronic
circular dichroism (CD) spectra of 10 tris-bidentate transition metal complexes.
The results are compared to one another and to experimental CD spectra, in an
effort to illustrate the shortcomings of particular approximations in time
dependent density functional theory (TDDFT). The use of an origin invariant
formalism to calculate magnetic transition dipole moments with the help of gauge
including atomic orbitals (GIAOs) is also investigated. With valence basis sets
of moderate flexibility, good agreement between GIAO results and rotatory
strengths calculated from the dipole-velocity representation is obtained for
selected test cases. Empirically broadened vertical CD spectra calculated with
the global hybrid functionals B3LYP and PBE0 are found to agree overall the best
with experimental CD spectra.
PMID- 22082194
TI - p38alpha mitogen-activated protein kinase inhibitors, a patent review (2005
2011).
AB - INTRODUCTION: Since the first biological factor that neutralized tumor necrosis
(TNF)-alpha was brought to the market, there has been a desperate search for
small molecules with the same efficacy in therapy of inflammatory disorders. One
of the most promising targets is p38alpha mitogen-activated protein (MAP) kinase.
This enzyme is a key player in a vast number of inflammatory and autoimmune
processes. AREAS COVERED: Almost every international company doing research in
drug discovery is or was involved in the development of compounds that inhibit
p38alpha MAP kinase. Herein their patents and the corresponding publications are
summarized. EXPERT OPINION: In the last few years, compounds have become more
potent and more selective, for example, by induction of the so-called glycine
flip. Furthermore, some companies are striving for selectivity with respect to
isoforms. The increasing availability of rapid screening services, which include
more than 400 kinases, has encouraged companies to reconsider traditional
approaches. The most promising approach appears to be the development of linear
binding molecules that feature a carbonyl oxygen that can enforce the glycine
flip. Furthermore, recent projects increasingly target new fields of application,
for example, pulmonary diseases. This might be the most important trend of the
past few years.
PMID- 22082195
TI - Current computer modeling cannot explain why two highly similar sequences fold
into different structures.
AB - The remarkable recent creation of two proteins that fold into two completely
different and stable structures, exhibit different functions, yet differ by only
a few amino acids poses a conundrum to those hoping to understand how sequence
encodes structure. Here, computer modeling uniquely allows the characterization
of not only the native structure of each minimally different sequence but also
systems in which each sequence was modeled onto the fold of the alternate
sequence. The reasons for the different structural preferences of two pairs of
highly similar sequences are explored by a combination of structure analyses,
comparison of potential energies calculated from energy-minimized single
structures and trajectories produced from molecular dynamics simulations, and
application of a novel method for calculating free energy differences. The
sensitivity of such analyses to the choice of force field is also explored. Many
of the hypotheses proposed on the basis of the nuclear magnetic resonance model
structures of the proteins with 95% identical sequences are supported. However,
each level of analysis provides different predictions regarding which sequence
structure combination should be most favored, highlighting the fact that protein
structure and stability result from a complex combination of interdependent
factors.
PMID- 22082196
TI - Influenza H1N1 (swine flu) vaccination: a safety surveillance feasibility study
using self-reporting of serious adverse events and pregnancy outcomes.
AB - AIMS: During the global H1N1 influenza A (swine flu) pandemic 2009-2010, swine
flu vaccines were expeditiously licensed and a mass vaccination programme for
high risk groups, including pregnant women, was introduced in the UK. This pilot
active safety surveillance study was performed to establish the feasibility of
rapidly monitoring the new swine flu vaccines in large patient numbers receiving
or offered the vaccination under normal conditions of use within a short time
frame. METHODS: A cohort design with safety data capture through modern
technologies was carried out in Scotland, UK during the winter swine flu
vaccination programme 2009-2010 in individuals receiving or offered the swine flu
vaccination. The main outcome measures were self-reported serious adverse events
(SAEs) and pregnancy outcomes. RESULTS: The cohort comprised 4066 people; 3754
vaccinated and 312 offered the vaccination but not vaccinated. There were 939
self-reported events (838 different events), 53 judged to fit SAE criteria by the
investigators, with nine judged as possibly, probably or definitely vaccine
related. None of the seven deaths (six in vaccinees) were judged as vaccine
related. One hundred and twenty-eight women reported 130 pregnancies during the
study with 117 pregnant at study start. There were reports of four miscarriages
in three women and six possible congenital abnormalities in live births.
CONCLUSIONS: Overall, no significant safety issues were identified. The
methodology and use of modern technologies to collect safety data from large
numbers of patients was successful and could be used again in similar safety
studies.
PMID- 22082197
TI - Total synthesis of the photoprotecting dipyrrolobenzoquinone (+)-terreusinone.
AB - The first synthesis of (+)-terreusinone 1, a dipyrrolobenzoquinone with a potent
UV-A protecting capability, is described. Key transformations include a one-pot
Larock indolization-Sonogashira coupling reaction and the hydroamination of an
unsubstituted ortho-alkynylaniline catalyzed by a cationic gold(I) complex. The
synthesis proceeds in eight steps from commercially available starting materials,
confirming the structure and absolute configuration of the natural product.
PMID- 22082199
TI - The blackberry fruit: a review on its composition and chemistry, metabolism and
bioavailability, and health benefits.
AB - Blackberry (Rubus sp.) fruit contains high levels of anthocyanins and other
phenolic compounds, mainly flavonols and ellagitannins, which contribute to its
high antioxidant capacity and other biological activities. Blackberry phenolic
composition and concentrations are known to be influenced by genetics, growing
conditions, and maturation. Despite the current knowledge of their chemistry,
research specific to blackberry phenolic compounds' health benefits, metabolism,
bioavailability, and mechanism by which they confer health benefits is scarce.
Blackberry phenolic compounds have protective effects on age-related
neurodegenerative diseases and bone loss in vivo and can inhibit low-density
lipoprotein and liposomal oxidation in vitro. Blackberry extracts have also
exerted antimutagenic effects in vitro and in vivo by modifying cell signaling
pathways and suppressing tumor promotion factors. However, the antiobesity,
antidiabetic, antimicrobial, and anti-inflammatory properties of blackberry
phenolic compounds need investigation. Similarly, studies that elucidate the in
vivo physiologically effective concentrations of blackberry phenolic compounds
are necessary.
PMID- 22082200
TI - Sustained-release oxycodone tablets for moderate to severe painful diabetic
peripheral neuropathy: a multicenter, open-labeled, postmarketing clinical
observation.
AB - OBJECTIVE: To evaluate the efficacy and safety of sustained-release (SR)
oxycodone tablets in the treatment of moderate to severe painful diabetic
peripheral neuropathy (DPN). Design. This was a multicenter, randomized, open
labeled study. SETTING: This study was completed in 12 hospitals in China.
PATIENTS: A total of 80 Chinese patients undergoing moderate to severe painful
DPN. INTERVENTIONS: An initial dose of 10mg is recommended to be taken orally
every 12 hours. Dose titration was done appropriately according to pain intensity
and adverse reactions. OUTCOME MEASURES: Data record included days, dosage,
analgesic efficacy, quality of sleep, adverse events, and combination therapy
when patients were treated with SR oxycodone tablets. The continuous observation
period was 6 weeks. RESULTS: After medication for 1 week, pain was significantly
(P<0.01) relieved from 6.8+/-1.4 to 2.8+/-1.6. Onset time was within 45 minutes
in nearly 60% of the patients, and within 1 hour in nearly 95% of that ones. More
than 90% of the patients achieved stable analgesic dose within 3 days. After
using SR oxycodone tablets for 1 week, sleep quality was significantly (P<0.01)
improved. In week 1, the average dose of SR oxycodone tablets was 16.63+/-7.79mg.
The average daily dose of most patients was about 20mg after 2 weeks. In all the
enrolled patients, 38 (47.5%) had adverse reactions. No serious adverse reactions
took place. CONCLUSION: The results of this clinical observation further
elaborated the efficacy and safety of SR oxycodone tablets in the treatment of
moderate to severe painful diabetic peripheral neuropathy in China.
PMID- 22082201
TI - Modeling light trapping in nanostructured solar cells.
AB - The integration of nanophotonic and plasmonic structures with solar cells offers
the ability to control and confine light in nanoscale dimensions. These
nanostructures can be used to couple incident sunlight into both localized and
guided modes, enhancing absorption while reducing the quantity of material. Here
we use electromagnetic modeling to study the resonances in a solar cell
containing both plasmonic metal back contacts and nanostructured semiconductor
top contacts, identify the local and guided modes contributing to enhanced
absorption, and optimize the design. We then study the role of the different
interfaces and show that Al is a viable plasmonic back contact material.
PMID- 22082202
TI - Research on basis of reverse genetics system of a Sindbis-like virus XJ-160.
AB - As a Sindbis-like virus (SINLV), XJ-160 virus was isolated from a pooled sample
of Anopheles mosquitoes collected in Xinjiang, China, in 1990. Recombinant
plasmid pBR-XJ160 is an infectious full-length cDNA clone of XJ-160 virus, from
which rescued virus BR-XJ160 can be obtained by transcription in vitro and
transfection. The BR-XJ160 virus raised in BHK-21 cells was indistinguishable
from the XJ-160 virus in its biological properties, including its plaque
morphology, growth kinetics and suckling mouse neurovirulence. On basis of pBR
XJ160, the effects of substitutions within nonstructural protein 1 (nsP1) or nsP2
on the infectivity and pathogenesis of Sindbis virus (SINV) have been
investigated. We have also confirmed the essential role of E2 glycoprotein,
especially the domain of 145-150 (amino acid) aa, in SINV infection through the
interaction with cellular heparan sulfate (HS). In addition, we have developed XJ
160 virus-based vector system, including replicon vector, defective helper (DH)
plasmids and the packaging cell lines (PCLs). Here we provide an update of main
development in the field concerned with XJ-160 virus.
PMID- 22082203
TI - Sexual function in women with polycystic ovary syndrome.
AB - INTRODUCTION: Polycystic ovary syndrome (PCOS) is a common endocrinologic
disorder. Little is known about the effects of PCOS on overall sexual
functioning, phases of the sexual response cycle, and sexual satisfaction. AIM:
To compare the differences in sexual function between women with PCOS and
controls, and to assess the relationship of serum testosterone, body mass index
(BMI), hirsutism, and acne with sexual function scores in women with PCOS.
METHODS: A cross-sectional analysis in which women who met the National Institute
of Child Health and Human Development criteria for PCOS were compared with a
group of healthy volunteers. MAIN OUTCOME MEASURES: Results from the validated
Changes in Sexual Functioning Questionnaire (CSFQ) were used to assess sexual
function. In women with PCOS, serum testosterone levels, BMI, self-reported
hirsutism, and acne were assessed as independent variables. RESULTS: Ninety-two
women with PCOS and 82 controls were studied. Based on total CSFQ scores, sexual
dysfunction was present in 27.2% of cases vs. 24.4% of controls (not signifcant).
Women with PCOS had a significantly lower orgasm/completion score compared with
women in the control group (P < 0.001). Women with PCOS whose testosterone levels
were >1 standard deviation above the mean had significantly better sexual
functioning vs. those within 1 SD (P = 0.015) and those >1 SD below the mean (P =
0.033). In women with PCOS, increasing BMI was associated with a significant
reduction in the orgasm/completion subdomain, but no significant associations
were found in regard to acne or hirsutism. CONCLUSIONS: Women with PCOS have
similar sexual functioning scores compared with controls except in regard to
orgasm/completion. The subpopulation of women with PCOS whose serum testosterone
levels are in the normal reproductive range are at increased risk for sexual
dysfunction.
PMID- 22082204
TI - L-carnitine ameliorated fatty liver in high-calorie diet/STZ-induced type 2
diabetic mice by improving mitochondrial function.
AB - BACKGROUND: There are an increasing number of patients suffering from fatty liver
caused by type 2 diabetes. We intended to study the preventive and therapeutic
effect of L-carnitine (LC) on nonalcoholic fatty liver disease (NAFLD) in
streptozotocin (STZ)-induced type 2 diabetic mice and to explore its possible
mechanism. METHODS: Thirty male Kungming mice were randomly divided into five
groups: control group, diabetic group, pre-treatment group (125 mg/kg BW), low
dose (125 mg/kg BW) therapeutic group and high-dose (250 mg/kg BW) therapeutic
group. The morphology of hepatocytes was observed by light and electron
microscopy. LC and ALC (acetyl L-carnitine) concentrations in the liver were
determined by high-performance liquid chromatography (HPLC). Moreover, liver
weight, insulin levels and free fatty acid (FFA) and triglyceride (TG) levels in
the liver and plasma were measured. RESULTS: Average liver LC and ALC levels were
33.7% and 20% lower, respectively, in diabetic mice compared to control mice (P <
0.05). After preventive and therapeutic treatment with LC, less hepatocyte
steatosis, clearer crista and fewer glycogen granules in the mitochondria were
observed. Decreased liver weight, TG levels, and FFA concentrations (P < 0.05) in
the liver were also observed after treatment with LC in diabetic mice. Moreover,
liver LC and ALC levels increased upon treatment with LC, whereas the ratio of LC
and ALC decreased significantly (P < 0.01). CONCLUSION: LC supplements
ameliorated fatty liver in type 2 diabetic mice by increasing fatty acid
oxidation and decreasing the LC/ALC ratio in the liver. Therefore, oral
administration of LC protected mitochondrial function in liver.
PMID- 22082205
TI - Catalytic kinetic resolution of cyclic secondary amines.
AB - The catalytic resolution of racemic cyclic amines has been achieved by an
enantioselective amidation reaction featuring an achiral N-heterocyclic carbene
catalyst and a new chiral hydroxamic acid cocatalyst working in concert. The
reactions proceed at room temperature, do not generate nonvolatile byproducts,
and provide enantioenriched amines by aqueous extraction.
PMID- 22082206
TI - Evaluation of fetal nuchal translucency in 98 pregnancies at risk for severe
spinal muscular atrophy: possible relevance of the SMN2 copy number.
AB - OBJECTIVE: To study fetal nuchal translucency (NT) thickness as a possible early
marker in fetuses at risk for severe spinal muscular atrophy (SMA). To
investigate the significance of the survival motor neuron (SMN) 2 gene copy
number in affected fetuses. METHODS: We performed 2D-ultrasound in 98 pregnancies
at risk for SMA, all of which underwent prenatal molecular testing of the SMN1
gene. Crown-rump length (CRL) and NT measurements were obtained in all cases
before chorionic villus sampling. Fetuses were diagnosed as healthy, carriers or
affected according to the SMN1 molecular testing results. SMN2 copies were also
tested in all affected fetuses. RESULTS: Nineteen fetuses were predicted to be
affected due to the absence of the SMN1 gene, 18 of which had two SMN2 copies.
Mean CRL and NT values did not differ between healthy, carrier and affected
fetuses. In the remaining affected case who had only one SMN2 copy, the
ultrasound examination showed a NT value of 4.98 mm and findings compatible with
hypoplastic left heart. CONCLUSIONS: Most affected SMA fetuses have normal NT
values. Our findings support the idea that SMN2 copy number in SMA fetuses is
relevant for the development of congenital heart defects and increased NT values.
PMID- 22082207
TI - Increased liver stiffness denotes hepatic dysfunction and mortality risk in
critically ill non-cirrhotic patients at a medical ICU.
AB - INTRODUCTION: Hepatic dysfunction is a common finding in critically ill patients
on the ICU and directly influences survival. Liver stiffness can be measured by
the novel method of transient elastography (fibroscan) and is closely associated
with hepatic fibrosis in patients with chronic liver disease, but also is
increased in patients with acute hepatitis, acute liver failure and cholestasis.
We investigated liver stiffness as a potentially useful tool for early detection
of patients with hepatic deterioration and risk stratification with respect to
short- and long-term mortality. METHODS: We prospectively evaluated 108
consecutive critically ill patients at our medical intensive care unit (ICU) with
subsequent longitudinal liver stiffness measurements (admission, Day 3, Day 7 and
weekly thereafter) during the course of ICU treatment. Outcome was followed after
discharge (median observation time 237 days). RESULTS: Liver stiffness could be
reliably measured in 71% of ICU patients at admission (65% at Day 3, 63% at Day
7). Critically ill patients (n = 108) had significantly increased liver stiffness
compared to sex- and age-matched standard care patients (n = 25). ICU patients
with decompensated cirrhosis showed highest liver stiffness, whereas other
critical diseases (for example, sepsis) and comorbidities (for example, diabetes,
obesity) did not impact stiffness values. At admission to the ICU, liver
stiffness is closely related to hepatic damage (liver synthesis, cholestasis,
fibrosis markers). During the course of ICU treatment, fluid overload (renal
failure, volume therapy) and increased central venous pressure (mechanical
ventilation, heart failure) were major factors determining liver stiffness. Liver
stiffness values > 18 kilopascal (kPa) at ICU admission were associated with
increased ICU and long-term mortality, even in non-cirrhotic patients.
CONCLUSIONS: Considering that liver stiffness cannot be validly measured in about
30% of ICU patients, transient elastography performed at ICU admission might be a
useful tool to early identify liver dysfunction and predict mortality in
critically ill patients at a medical ICU.
PMID- 22082209
TI - The laboratory of clinical virology in monitoring patients undergoing monoclonal
antibody therapy.
AB - The relevant efficacy of monoclonal antibodies (mAbs) has resulted in the
successful treatment of several diseases, although susceptibility to infections
remains a major problem. This review summarizes aspects of the literature
regarding viral infections and mAbs, specifically addressing the risk of
infection/reactivation, the measures that can reduce this risk, and the role
played by the laboratory of clinical virology in monitoring patients undergoing
mAb therapy.
PMID- 22082208
TI - Progressive multifocal leukoencephalopathy: an unexpected complication of modern
therapeutic monoclonal antibody therapies.
AB - Progressive multifocal leukoencephalopathy (PML) is a rare demyelinating disorder
of the central nervous system, caused by the reactivation of the ubiquitous JC
virus. PML usually occurs during severe immunosuppression, and the most common
causes are represented by human immunodeficiency virus infection,
lymphoproliferative disorders and other forms of cancer. Recently, the
introduction of monoclonal antibodies (e.g. natalizumab, rituximab, efalizumab)
in the treatment of several dysimmune diseases such as multiple sclerosis,
rheumatoid arthritis, psoriasis and systemic lupus erythematosus, has led to an
increased incidence of PML. This phenomenon has had severe consequences, leading,
for example, to the withdrawal from the market of Efalizumab, and important
restrictions in the use of the other compounds, all of which are characterized by
high efficacy in improving prognosis and quality of life. In this review we will
discuss clinical, laboratory and imaging findings of PML. In addition, proposed
pathogenetic mechanisms promoting the reactivation of JC virus in the context of
treatment with monoclonal antibodies will be described.
PMID- 22082211
TI - Transferability of a modified embryonic stem cell test using a new endpoint for
developmental neurotoxicity.
AB - We developed and analyzed a new surrogate endpoint of the mouse embryonic stem
cell test (EST) for developmental neurotoxicity. To determine the sensitivity,
specificity, and transferability of the new endpoint, a pre-validation team from
three independent laboratories optimized and standardized the protocol for
neuronal differentiation of mouse embryonic stem cells (mESCs) by measuring the
neuronal differentiation rates of mESCs under different culture conditions, such
as the presence or absence of basic fibroblast growth factor (bFGF) in the growth
media and varying lengths of culture. In addition, a component ratio of neuronal
cells was measured by using flow cytometry analysis of beta-III tubulin (Tuj1)
positive cells and real-time polymerase chain reaction analysis of microtubule
associated protein 2 (MAP2) mRNA. Our results showed that the best growth was
achieved by culturing mESCs for 12 d in N2B27 medium without bFGF or ascorbic
acid. Lead (II) acetate and aroclor 1254 were used to test the usefulness of the
new endpoint. When we used the known ID(50) values for lead (II) acetate in the
EST model, it was classified as non-embryotoxic; however, when we used the new
ID(50) values that we determined in this study, it was classified as weakly
embryotoxic. Aroclor 1254 and penicillin G were also classified as weakly
embryotoxic and non-embryotoxic compounds, respectively, when cardiac and
neuronal differentiation ID(50) values were used. Therefore, our new surrogate
endpoint for developmental neurotoxicity is not only sensitive and specific but
also transferable among laboratories.
PMID- 22082212
TI - Donors' perspectives on their postdonation information (PDI) event: a qualitative
interview study of PDI donors.
AB - BACKGROUND: Postdonation information (PDI) occurs when a donor fails to report a
risk that would have resulted in deferral, but at some subsequent point is
disclosed. Donors' perspectives on and perceived reasons for their PDIs have not
been previously studied. STUDY DESIGN AND METHODS: A qualitative interview study
was conducted with PDI, appropriately deferred (and not PDI), and accepted donors
from the six REDS-II blood centers. Telephone interviews were conducted with
donors using semistructured discussion guides after their most recent donation or
donation attempt. Salient themes were identified within and across the three
donor categories using interview recordings and notes. RESULTS: Sixty-six donors
were interviewed (35 PDIs, 21 appropriately deferred, and 10 accepted). Cases
could be grouped into one of three broad clusters according to the apparent
primary reason for the PDI event: perceived changes in rules or application of
more intensive screening procedures at this visit versus prior visit(s), issues
of recall or interpretation of a question, and discrepancies in recollections of
timing. CONCLUSIONS: While descriptive and demographic information exists on the
numbers and types of PDI events and donors, there has never been a qualitative
study exploring donation experiences and possible reasons for their PDIs. This
exploratory study based on semistructured interviews of donors identified several
potentially actionable areas including the need for screeners to clarify the
meaning of and answers to certain questions and helping donors accurately
remember the details and dates of deferrable activities.
PMID- 22082213
TI - Saccade launch site as a predictor of fixation durations in reading: comments on
Hand, Miellet, O'Donnell, and Sereno (2010).
AB - An important question in research on eye movements in reading is whether word
frequency and word predictability have additive or interactive effects on
fixation durations. A fair number of studies have reported only additive effects
of the frequency and predictability of a target word on reading times on that
word, failing to show significant interactions. Recently, however, Hand, Miellet,
O'Donnell, and Sereno (see record 2010-19099-001) reported interactive effects in
a study that included the distance of the prior fixation from the target word
(launch site). They reported that when the saccade into the target word was
launched from very near to the word (within 3 characters), the predictability
effect was larger for low frequency words, but when the saccade was launched from
a medium distance (4-6 characters from the word) the predictability effect was
larger for high frequency words. Hand et al. argued for the importance of
including launch site in analyses of target word fixation durations. Here we
describe several problems with Hand et al.'s use of analyses of variance in which
launch site is divided into distinct ordinal levels. We describe a more
appropriate way to analyze such data-linear mixed-effect models-and we use this
method to show that launch site does not modulate the interaction between
frequency and predictability in two other data sets.
PMID- 22082214
TI - The locus of tool-transformation costs.
AB - Transformations of hand movements by tools such as levers or electronic input
devices can invoke performance costs compared to untransformed movements. This
study investigated by means of the Psychological Refractory Period (PRP) paradigm
at which stage of information processing such tool-transformation costs arise. We
used an inversion transformation, that is, the movement of the operating hand was
transformed into a spatially incompatible movement of a lever. As a basic tool
transformation effect, the initiation of inverted tool movements was delayed
compared to noninverted movements. Experiment 1 suggested a central (or
postcentral) locus of this tool-transformation effect and ruled out a
(precentral) perceptual locus. Experiments 2 and 3 confirmed the central locus
and ruled out a later, motor-related stage of processing. The results show that
spatially incompatible tool movements delay a capacity-limited stage of
information processing, often referred to as response selection.
PMID- 22082198
TI - Dronedarone in high-risk permanent atrial fibrillation.
AB - BACKGROUND: Dronedarone restores sinus rhythm and reduces hospitalization or
death in intermittent atrial fibrillation. It also lowers heart rate and blood
pressure and has antiadrenergic and potential ventricular antiarrhythmic effects.
We hypothesized that dronedarone would reduce major vascular events in high-risk
permanent atrial fibrillation. METHODS: We assigned patients who were at least 65
years of age with at least a 6-month history of permanent atrial fibrillation and
risk factors for major vascular events to receive dronedarone or placebo. The
first coprimary outcome was stroke, myocardial infarction, systemic embolism, or
death from cardiovascular causes. The second coprimary outcome was unplanned
hospitalization for a cardiovascular cause or death. RESULTS: After the
enrollment of 3236 patients, the study was stopped for safety reasons. The first
coprimary outcome occurred in 43 patients receiving dronedarone and 19 receiving
placebo (hazard ratio, 2.29; 95% confidence interval [CI], 1.34 to 3.94;
P=0.002). There were 21 deaths from cardiovascular causes in the dronedarone
group and 10 in the placebo group (hazard ratio, 2.11; 95% CI, 1.00 to 4.49;
P=0.046), including death from arrhythmia in 13 patients and 4 patients,
respectively (hazard ratio, 3.26; 95% CI, 1.06 to 10.00; P=0.03). Stroke occurred
in 23 patients in the dronedarone group and 10 in the placebo group (hazard
ratio, 2.32; 95% CI, 1.11 to 4.88; P=0.02). Hospitalization for heart failure
occurred in 43 patients in the dronedarone group and 24 in the placebo group
(hazard ratio, 1.81; 95% CI, 1.10 to 2.99; P=0.02). CONCLUSIONS: Dronedarone
increased rates of heart failure, stroke, and death from cardiovascular causes in
patients with permanent atrial fibrillation who were at risk for major vascular
events. Our data show that this drug should not be used in such patients. (Funded
by Sanofi-Aventis; PALLAS ClinicalTrials.gov number, NCT01151137.).
PMID- 22082215
TI - Prior entry and temporal attention: cueing affects order errors in RSVP.
AB - The law of prior entry states that attended objects come to consciousness more
quickly than unattended ones. This has been well established in spatial cueing
paradigms, where two task-relevant stimuli are presented near-simultaneously at
two different locations. Here, we suggest that prior entry also plays a pivotal
role in temporal attention paradigms, where stimuli appear at the same location
but at distinct moments in time, in rapid serial presentation (RSVP).
Specifically, we hypothesize that prior entry can explain temporal order
reversals in reporting two targets from RSVP. In support of this, three
experiments show that cueing attention toward either of the targets has a strong
influence on order errors. We conclude that prior entry provides a viable
explanation of the way in which relevant information is prioritized in RSVP.
PMID- 22082216
TI - Global statistical learning in a visual search task.
AB - Locating a target in a visual search task is facilitated when the target location
is repeated on successive trials. Global statistical properties also influence
visual search, but have often been confounded with local regularities (i.e.,
target location repetition). In two experiments, target locations were not
repeated for four successive trials, but with a target location bias (i.e., the
target appeared on one half of the display twice as often as the other).
Participants quickly learned to make more first saccades to the side more likely
to contain the target. With item-by-item search first saccades to the target were
at chance. With a distributed search strategy first saccades to a target located
on the biased side increased above chance. The results confirm that visual search
behavior is sensitive to simple global statistics in the absence of trial-to
trial target location repetitions.
PMID- 22082217
TI - Average orientation is more accessible through object boundaries than surface
features.
AB - In a glance, the visual system can provide a summary of some kinds of information
about objects in a scene. We explore how summary information about orientation is
extracted and find that some representations of orientation are privileged over
others. Participants judged the average orientation of either a set of 6 bars or
6 circular gratings. For bars, orientation information was carried by object
boundary features, while for gratings, orientation was carried by internal
surface features. The results showed more accurate averaging performance for bars
than for gratings, even when controlling for potential differences in encoding
precision for solitary objects. We suggest that, during orientation averaging,
the visual system prioritizes object boundaries over surface features. This
privilege for boundary features may lead to a better representation of the
spatial layout of a scene.
PMID- 22082218
TI - Validating clustering of molecular dynamics simulations using polymer models.
AB - BACKGROUND: Molecular dynamics (MD) simulation is a powerful technique for
sampling the meta-stable and transitional conformations of proteins and other
biomolecules. Computational data clustering has emerged as a useful, automated
technique for extracting conformational states from MD simulation data. Despite
extensive application, relatively little work has been done to determine if the
clustering algorithms are actually extracting useful information. A primary goal
of this paper therefore is to provide such an understanding through a detailed
analysis of data clustering applied to a series of increasingly complex
biopolymer models. RESULTS: We develop a novel series of models using basic
polymer theory that have intuitive, clearly-defined dynamics and exhibit the
essential properties that we are seeking to identify in MD simulations of real
biomolecules. We then apply spectral clustering, an algorithm particularly well
suited for clustering polymer structures, to our models and MD simulations of
several intrinsically disordered proteins. Clustering results for the polymer
models provide clear evidence that the meta-stable and transitional conformations
are detected by the algorithm. The results for the polymer models also help guide
the analysis of the disordered protein simulations by comparing and contrasting
the statistical properties of the extracted clusters. CONCLUSIONS: We have
developed a framework for validating the performance and utility of clustering
algorithms for studying molecular biopolymer simulations that utilizes several
analytic and dynamic polymer models which exhibit well-behaved dynamics
including: meta-stable states, transition states, helical structures, and
stochastic dynamics. We show that spectral clustering is robust to anomalies
introduced by structural alignment and that different structural classes of
intrinsically disordered proteins can be reliably discriminated from the
clustering results. To our knowledge, our framework is the first to utilize model
polymers to rigorously test the utility of clustering algorithms for studying
biopolymers.
PMID- 22082219
TI - CRABP-II- and FABP5-independent all-trans retinoic acid resistance in COLO 16
human cutaneous squamous cancer cells.
AB - The effect of all-trans retinoic acid (ATRA) on cutaneous squamous cell
carcinomas (c-SCC) has been poorly described. Because the imbalance of CRABP-II
mediated anticancer signalling and FABP5-mediated growth-promoting signalling was
supposed to be related with ATRA sensitivities of cancer cells, COLO16 human c
SCC cell line was selected to check underlying mechanism leading to ATRA
resistance by multiple experimental approaches. The results revealed that COLO 16
cells were resistant to 15 MUm ATRA treatment. FABP5 as well as the elements
related with CRABP-II signalling (CYP26A1, CYP26B1, CRABP-I, RARalpha/beta/gamma
and RXRalpha/beta/gamma) and with FABP5 signalling (PPARbeta/delta) were
expressed, but CRABP-II was undetectable in COLO 16 cells. 5-Aza treatment
enhanced CRABP-II expression but further bisulfite sequencing PCR-DNA sequencing
revealed no methylation in CRABP-II promoter region. Transfection of CRABP-II
expressing plasmids or FABP5 siRNA or both successfully manipulated the level(s)
of target gene expression but failed to overcome ATRA resistance in the
transfectants. In conclusion, CRABP-II and FABP5 expression were imbalanced in
ATRA-resistant COLO 16 cells. 5-Aza-enhanced CRABP-II expression and
unmethylation in CRABP-II promoter region suggest the methylation of certain
CRABP-II regulatory gene(s) in COLO 16 cells. As neither restoration of CRABP-II
expression nor the increased CRABP-II versus FABP5 ratio can overcome ATRA
resistance of COLO 16 cells, additional ATRA-resistant mechanism(s) may present
in human c-SCCs and COLO 16 cells would be of value in addressing this issue.
PMID- 22082220
TI - A novel DP2 receptor antagonist (AM-461): a patent evaluation of WO2011085033.
AB - This application claims salts and crystalline forms of a previously disclosed DP2
receptor antagonist (2-[3-[2-(tert-butylsulfanylmethyl)-4-(2,2
dimethylpropanoylamino)phenoxy]-4-methoxy-phenyl]acetic acid (1)). It also claims
compositions containing the free acid and its salts, especially the sodium salt
and their use in the treatment of inflammatory and respiratory diseases,
especially asthma. Notably, the application presents Phase I clinical data on
compound (1).
PMID- 22082221
TI - Microbiologic characteristics and in vitro susceptibility to antimicrobials in a
large population of patients with cardiovascular implantable electronic device
infection.
AB - INTRODUCTION: The incidence of cardiovascular implantable electronic device
(CIED) infection is steadily increasing. However, no consensus has been reached
with respect to the type and duration of antimicrobial therapy in this specific
population of patients. The role played by new anti-Staphylococcus agents has not
been defined. The aims of this study were to describe the microbiological
characteristics of a large population of patients with CIED infections and to
test the in vitro susceptibility of the various strains to different
antimicrobials. METHODS: Two hundred eighty-six patients with CIED infection were
included. The minimal inhibitory concentrations of 9 antimicrobials, including
linezolid, tigecycline, and daptomycin were measured against all strains of
staphylococci isolated. RESULTS: Microbiologic confirmation was obtained in 252
(88%) patients, the vast majority were from Staphylococcus species (86%), 90% of
these were coagulase negative strains and 10% were Staphylococcus aureus; 30.5%
were methicillin-resistant. All strains were susceptible to vancomycin, nearly
15% of coagulase negative strains were nonsusceptible to teicoplanin, and nearly
100% of the strains were susceptible to the 3 new antimicrobials. CONCLUSIONS: In
this large contemporary study, we show that Staphylococcus is by far the most
common cause of CIED infections, with the majority due to coagulase negative
strains. Methicillin-resistance is common in this population. Currently, we would
recommend vancomycin as first-line empirical therapy. However, given that not all
patients tolerate vancomycin, we believe that newer antimicrobial therapies
should now be tested in clinical trials to establish their clinical effectiveness
in treating patients with device infections.
PMID- 22082222
TI - Acceleration of ammonium nitrite denitrification by freezing: determination of
activation energy from the temperature of maximum reaction rate.
AB - A reaction of ammonium nitrite in ice was investigated. Upon freezing, some
nitrite is oxidized by dissolved oxygen and some nitrite reacts with ammonium to
produce nitrogen and water in a denitrification reaction. The former reaction was
accelerated only during freezing, and the latter one was accelerated even after
the whole sample was frozen. The denitrification reaction proceeded at very low
concentration in ice, which were conditions under which the reaction would not
proceed in solution. The nitrogen production increased linearly with increasing
initial concentration of ammonium nitrite. The concentration factor in the
unfrozen solution in ice was estimated to be 50.6 when the initial concentration
was 0.5 mmol dm(-3), as obtained from comparison of reaction rates in solution
and in ice. A new method for determination of the activation energy is proposed
that gives a value of 53 to 61 kJ mol(-1) for denitrification. The reaction order
of the denitrification process is also determined using our method, and it is
concluded to follow third-order kinetics.
PMID- 22082223
TI - In Arabidopsis, the spatial and dynamic organization of the endoplasmic reticulum
and Golgi apparatus is influenced by the integrity of the C-terminal domain of
RHD3, a non-essential GTPase.
AB - The mechanisms underlying the organization and dynamics of plant endomembranes
are largely unknown. Arabidopsis RHD3, a distant member of the dynamin
superfamily, has recently been implicated in plant ER morphology and Golgi
movement through analyses of dominant-negative mutants of the putative GTPase
domain in a heterologous system. Whether RHD3 is indispensable for ER
architecture and what role regions other than the putative GTPase domain play in
RHD3 function are unanswered questions. Here we characterized an EMS mutant,
gom8, with disrupted Golgi movement and positioning and compromised ER shape and
dynamics. gom8 mapped to a missense mutation in the RHD3 hairpin loop domain,
causing accumulation of the mutant protein into large structures, a markedly
different distribution compared with wild-type RHD3 over the ER network. Despite
the GOM8 distribution, tubules fused in the peripheral ER of the gom8 mutant.
These data imply that integrity of the hairpin region is important for the
subcellular distribution of RHD3, and that reduced availability of RHD3 over the
ER can cause ER morphology defects, but does not prevent peripheral fusion
between tubules. This was confirmed by evidence that gom8 was phenocopied in an
RHD3 null background. Furthermore, we established that the region encompassing
the RHD3 hairpin domain and the C-terminal cytosolic domain is necessary for RHD3
function. We conclude that RHD3 is important in ER morphology, but is dispensable
for peripheral ER tubulation in an endogenous context, and that its activity
relies on the C-terminal region in addition to the GTPase domain.
PMID- 22082225
TI - Longitudinal observation of changes in pain sensitivity during opioid tapering in
patients with chronic low-back pain.
AB - OBJECTIVE: Several studies have shown that exposure to opioids for short or
long periods alters pain sensitivity. Little is known about changes in pain
sensitivity during and after tapering of long-term prescribed opioid treatment in
chronic low-back pain (cLBP) patients. DESIGN: The goal of this prospective
longitudinal study was to investigate pain sensitivity in a homogeneous patient
population (cLBP patients only) after tapering of long-term (17 months) opioid
use and to monitor the changes in pain sensitivity for 6 months. METHODS: Pain
sensitivity (thermal sensation and thermal pain thresholds in low back and
nondominant hand) was measured by quantitative sensory testing (QST) at 1 day
before (T1), 3 weeks after (T2), and 6 months after the start of opioid tapering
(T3) in 35 patients with both cLBP and opioid medication (OP), 35 opioid-naive
cLBP patients (ON), and 28 individuals with neither pain nor opioid intake (HC).
RESULTS: Significant differences in heat pain thresholds were found among the
three groups at all three time points (T1: P=0.001, T2: P=0.015, T3: P=0.008),
but not between the two patient groups. OP patients showed lower cold pain
thresholds at T2 than ON patients and HC. At T3, the heat pain thresholds of OP
patients still remained lower than HC (P=0.017), while those of ON patients were
normalized. CONCLUSIONS: Our findings suggest that long-term use of opioids
does not reduce pain sensitivity in cLBP patients; opioid tapering may induce
brief hyperalgesia that can be normalized over a longer period.
PMID- 22082224
TI - Central venous catheter use in severe malaria: time to reconsider the World
Health Organization guidelines?
AB - BACKGROUND: To optimize the fluid status of adult patients with severe malaria,
World Health Organization (WHO) guidelines recommend the insertion of a central
venous catheter (CVC) and a target central venous pressure (CVP) of 0-5 cmH2O.
However there are few data from clinical trials to support this recommendation.
METHODS: Twenty-eight adult Indian and Bangladeshi patients admitted to the
intensive care unit with severe falciparum malaria were enrolled in the study.
All patients had a CVC inserted and had regular CVP measurements recorded. The
CVP measurements were compared with markers of disease severity, clinical
endpoints and volumetric measures derived from transpulmonary thermodilution.
RESULTS: There was no correlation between the admission CVP and patient outcome
(p = 0.67) or disease severity (p = 0.33). There was no correlation between the
baseline CVP and the concomitant extravascular lung water (p = 0.62), global end
diastolic volume (p = 0.88) or cardiac index (p = 0.44). There was no correlation
between the baseline CVP and the likelihood of a patient being fluid responsive
(p = 0.37). On the occasions when the CVP was in the WHO target range patients
were usually hypovolaemic and often had pulmonary oedema by volumetric measures.
Seven of 28 patients suffered a complication of the CVC insertion, although none
were fatal. CONCLUSION: The WHO recommendation for the routine insertion of a
CVC, and the maintenance of a CVP of 0-5 cmH2O in adults with severe malaria,
should be reconsidered.
PMID- 22082226
TI - Controlled chlorine plasma reaction for noninvasive graphene doping.
AB - We investigated the chlorine plasma reaction with graphene and graphene
nanoribbons and compared it with the hydrogen and fluorine plasma reactions.
Unlike the rapid destruction of graphene by hydrogen and fluorine plasmas, much
slower reaction kinetics between the chlorine plasma and graphene were observed,
allowing for controlled chlorination. Electrical measurements on graphene sheets,
graphene nanoribbons, and large graphene films grown by chemical vapor deposition
showed p-type doping accompanied by a conductance increase, suggesting
nondestructive doping via chlorination. Ab initio simulations were performed to
rationalize the differences in fluorine, hydrogen, and chlorine functionalization
of graphene.
PMID- 22082227
TI - Quantitative proteomics reveal up-regulated protein expression of the SET complex
associated with hepatocellular carcinoma.
AB - We combined culture-derived isotope tags (CDITs) with two-dimensional liquid
chromatography-tandem mass spectrometry (2D-LC-MS/MS) to extensively survey
abnormal protein expression associated with hepatocellular carcinoma (HCC) in
clinical tissues. This approach yielded an in-depth quantitated proteome of 1360
proteins. Importantly, 267 proteins were significantly regulated with a fold
change of at least 1.5. The proteins up-regulated in HCC tissues are involved in
regulatory processes, such as the granzyme A-mediated apoptosis pathway (The GzmA
pathway). The SET complex, a central component in the GzmA pathway, was
significantly up-regulated in HCC tissue. The elevated expressions of all of the
SET complex components were validated by Western blotting. Among them, ANP32A and
APEX1 were further investigated by immunohistochemistry staining using tissue
microarrays (59 cases), confirming their overexpression in tumors. The up
regulation and nuclear accumulations of APEX1 was associated not only with HCC
malignancy but also with HCC differentiation in 96 clinical HCC cases. Our work
provided a systematic and quantitative analysis and demonstrated key changes in
clinical HCC tissues. These proteomic signatures could help to unveil the
underlying mechanisms of hepatocarcinogenesis and may be useful for the discovery
of candidate biomarkers.
PMID- 22082228
TI - Cutaneous type adult T-cell leukaemia/lymphoma successfully treated with
narrowband ultraviolet B phototherapy.
PMID- 22082229
TI - Carbon nanotube nucleation driven by catalyst morphology dynamics.
AB - In situ observation of the carbon nanotube nucleation process accompanied by
dynamic reconstruction of the catalyst particle morphology is considered within a
thermodynamic approach. It reveals the driving force for the detachment of the
sp(2)-carbon cap, so-called lift-off-a crucial event in nanotube growth. A
continuum model and detailed atomistic calculations identify the critical factors
in the lift-off process: (i) catalyst surface energy, affected by the chemisorbed
carbon atoms at the exterior surface of the catalyst, exposed to the carbon
feedstock; and (ii) the emergence of a pristine, high-energy facet under the
sp(2)-carbon dome. This further allows one to evaluate the range of carbon
feedstock chemical potential, where the lift-off process occurs, to be followed
by emergence of single-walled nanotube, and provides insights into observed
catalyst morphology oscillations leading to formation of multiwalled carbon
nanotubes.
PMID- 22082230
TI - Degarelix: an antagonist to GnRH--theoretical and treatment considerations in
paraphilia.
PMID- 22082231
TI - Meconium obstruction in absence of cystic fibrosis in low birth weight infants:
an emerging challenge from increasing survival.
AB - BACKGROUND: Meconium abnormalities are characterized by a wide spectrum of
severity, from the meconium plug syndrome to the complicated meconium ileus
associated with cystic fibrosis. Meconium Related Ileus in absence of Cystic
Fibrosis includes a combination of highly viscid meconium and poor intestinal
motility, low grade obstruction, benign systemic and abdominal examination,
distended loops without air fluid levels. Associated risk factors are severe
prematurity and low birth weight, Caesarean delivery, Maternal MgSO4 therapy,
maternal diabetes. In the last 20 yrs a new specific type of these meconium
related obstructions has been described in premature neonates with low birth
weight. Its incidence has shown to increase while its management continues to be
challenging and controversial for the risk of complicated obstruction and
perforation. MATERIALS AND METHODS: Among 55 newborns admitted between 1992-2008
with Meconium Related Ileus as final diagnosis, data about Low Birth Weight
infants (LBW < 1500 g) were extracted and compared to those of patients >= 1500
g. Hischsprung's Diseases and Cystic Fibrosis were excluded by rectal biopsy and
genetic probe before discharge. A softening enema with Gastrografin was the first
option whenever overt perforation was not present. Temporary stoma or trans
appendiceal bowel irrigation were elected after unsuccessful enema while prompt
surgical exploration was performed in perforated cases. NEC was excluded in all
operated cases. Data collected were perinatal history and neonatal clinical data,
radiological signs, clinical course and complications, management and outcome.
RESULTS: 30 cases with BW >= 1500 g had an M/F ratio 16/14, Mean B.W. 3052 g,
Mean G.A. 37 w Caesarean section rate 40%. There were 10 meconium plug syndrome,
4 small left colon syndromes, and 16 meconium ileus without Cystic Fibrosis. Five
cases were born at our institution (inborn) versus 25 referred after a mean of 2,
4 Days (1-7) after birth in another Hospital (outborn). They were managed, after
a Gastrografin enema with 90% success rate, by 1 temporary Ileostomy and 2 trans
appendiceal irrigation. 25 cases with BW< 1500 g (LBW) had M/F ratio 11/14, Mean
B.W. 818 g, Mean G.A. 27 w, Caesarean section rate 70%, assisted ventilation
16/25. There were 8 inborn and 17 outborn. Gastrografin enema was successful in 6
out 8 inborn infants only, all referred within one week from birth. There were 12
perforations mainly among late referred LBW outborn. CONCLUSIONS: Meconium
Related Ileus without Cystic Fibrosis responds to conservative management and
softening enema in most of mature infants. In LBW clinical course is initially
benign but as any long standing bowel obstruction management may present
particular challenges. Clinical and plain radiographic criteria are reliable for
making diagnosis and testing for Cystic Fibrosis may not be indicated. Enema may
be resolutive when performed in a proper environment. Perforated cases may be
confused with NEC which is excluded by clinical history, no signs of sepsis, lab
signs missing, abdominal signs missing, typical radiological signs missing. The
higher complication rate is recorded among cases delivered and initially managed
in Neonatal Units without co-located Surgical Facilities. Early diagnosis and
aggressive medical therapy may lead to higher success rate and help avoiding
surgical interventions. Surgical therapy in uncomplicated cases, unresponsive to
medical management, should be minimally aggressive.
PMID- 22082232
TI - Lexical representation of schwa words: two mackerels, but only one salami.
AB - The present study investigated the lexical representations underlying the
production of English schwa words. Two types of schwa words were compared: words
with a schwa in poststress position (e.g., mackerel), whose schwa and reduced
variants differ in a categorical way, and words with a schwa in prestress
position (e.g., salami), whose variants differ in a noncategorical way.
Participants named pseudohomophones and matched pseudowords corresponding to
schwa and reduced variants of these words. Results revealed an advantage for
pseudohomophones over matched pseudowords for both variants of poststress schwa
words but only for schwa variants of prestress schwa words. As the
pseudohomophone advantage is assumed to reflect the activation of a
phonologically matching stored phonological representation, these results suggest
that both variants of poststress schwa words are lexically represented while only
schwa variants of prestress schwa words are. This result extends the proposal
that words with two categorically distinct variants are stored in the production
lexicon with 2 representations to another language and demonstrates that this 2
lexeme account does not generalize to pronunciation variants differing from one
another in a noncategorical fashion. This finding challenges one of the widely
shared assumption of generative models of word production: that content words
have only 1 phonological representation. On the other hand, it provides further
evidence in favor of another fundamental assumption of these models: that lexical
representations are abstract sets of segments rather than fully detailed
exemplars.
PMID- 22082233
TI - Generating and evaluating options for decision making: the impact of sequentially
presented evidence.
AB - We examined how decision makers generate and evaluate hypotheses when data are
presented sequentially. In the first 2 experiments, participants learned the
relationship between data and possible causes of the data in a virtual
environment. Data were then presented iteratively, and participants either
generated hypotheses they thought caused the data or rated the probability of
possible causes of the data. In a 3rd experiment, participants generated
hypotheses and made probability judgments on the basis of previously stored
general knowledge. Findings suggest that both the hypotheses one generates and
the judged probability of those hypotheses are heavily influenced by the most
recent evidence observed and by the diagnosticity of the evidence. Specifically,
participants generated a narrow set of possible explanations when the presented
evidence was diagnostic compared with when it was nondiagnostic, suggesting that
nondiagnostic evidence entices participants to cast a wider net when generating
hypotheses.
PMID- 22082234
TI - Judge for yourself: reply to Evans and Buehner (2011).
AB - In their comment, Evans and Buehner (2011) maintained that Fiedler and Kareev's
(2006) conclusion that decision quality does not always increase with the size of
information sample is wrong in every respect. They claimed, first, that the
decision model proposed by Fiedler and Kareev is normatively incorrect and is not
supported by earlier findings; second, that Fiedler and Kareev misinterpreted or
misrepresented their own data, which show in fact a large-sample advantage; and
third, that it is not true that small samples lead to clear data; rather, when
clear data are observed people make do with small samples. In this rebuttal, we
refute all these claims. First, the issue is whether the model is descriptively,
not normatively, correct. Furthermore, earlier data are commensurate with our,
not Evans and Buehner's, model. Second, our data do support our conclusion; it is
Evans and Buehner's dismissal of some of our data that led them to their
conclusions. Finally, Evans and Buehner's third point is discussed explicitly and
at length in the original article. However, whereas Evans and Buehner only
reiterate this point (and present it as novel), we continue from there and show
that small samples are likely to result in clear data.
PMID- 22082235
TI - EGCG inhibits the invasion of highly invasive CL1-5 lung cancer cells through
suppressing MMP-2 expression via JNK signaling and induces G2/M arrest.
AB - Tumor metastasis is the main obstacle to the treatment of lung cancer. According
to previous findings, matrix metalloproteinase-2 (MMP-2) is closely correlated
with metastatic potential in lung cancer. This study showed that epigallocatechin
3-gallate (EGCG), a natural polyphenol in green tea, is a potent inhibitor of MMP
2 expression. EGCG effectively suppressed the invasion and migration of highly
invasive CL1-5 lung cancer cells. Gelatin zymography, Western blot analysis, and
RT-PCR were used to investigate the effects of EGCG on MMP-2 expression. The
effects of EGCG on cell cycle and apoptosis were determined by flow cytometry
analysis. To investigate the effects of EGCG on cell migration and cell invasion,
Transwell migration/invasion assays were used. EGCG downregulated MMP-2
expression at the transcriptional level in CL1-5 cells. Moreover, the treatment
of CL1-5 cells with EGCG caused downregulation of c- Jun N-terminal kinase (JNK),
resulting in repression of the translocation of transcriptional factors, Sp1, and
NF-kappaB, from the cytosol into the nucleus. In addition, EGCG significantly and
synergistically enhanced the antitumor effects of the clinical drug, docetaxel,
in CL1-5 cells. Further, EGCG induced G2/M arrest at dosages higher than those of
suppression in cell invasion in CL1-5 cells. These results reveal that EGCG might
decrease MMP-2 mRNA expression through JNK signaling, further suggesting that a
combination of EGCG and docetaxel may be a promising strategy to help increase
the efficacy of docetaxel in suppressing metastasis in lung cancer cells. In
addition, EGCG may suppress cell proliferation in CL1-5 cells through inducing
G2/M arrest.
PMID- 22082236
TI - Impact of catheter ablation on quality of life in patients with atrial
fibrillation.
PMID- 22082237
TI - Synthesis of (-)-swainsonine and (-)-8-epi-swainsonine by the addition of
allenylmetals to chiral alpha,beta-alkoxy sulfinylimines.
AB - The asymmetric synthesis of (-)-swainsonine and (-)-8-epi-swainsonine is reported
through the addition of either the allenylzinc or the allenyl lithio cyanocuprate
reagents derived from [3-(methoxymethoxy)prop-1-ynyl]trimethylsilane to
enantiopure alpha,beta-dialkoxy N-tert-butanesulfinylimines derived from d
erythronolactone.
PMID- 22082238
TI - Potential role for elevated maternal enzymatic antioxidant status in Andean
protection against altitude-associated SGA.
AB - Oxidative stress has been implicated in the uteroplacental ischemia
characteristic of preeclampsia and small-for-gestational-age (SGA) birth, both of
which are more common at high (>2500 m) vs low altitude. Since Andeans are
protected relative to Europeans from the altitude-associated rise in SGA, we
asked whether alterations in maternal antioxidant status or oxidative stress
contributed to their protection. Enzymatic antioxidant (erythrocyte catalase and
superoxide dismutase [SOD]) activity and a plasma marker of lipid peroxidation (8
iso-PGF2alpha) were measured during pregnancy and in the non-pregnant state in
Andean or European residents of low (400 m) or high altitude (3600-4100 m).
Pregnancy and altitude increased catalase and/or SOD activity to a greater extent
in Andeans than Europeans. 8-iso-PGF2alpha levels were independent of altitude
and pregnancy. SOD was lower in mothers of SGA infants at weeks 20 and 36. Our
findings are consistent with the possibility that elevated enzymatic antioxidant
activity contributes to Andean protection against altitude-associated SGA.
PMID- 22082239
TI - A two-year randomized trial of obesity treatment in primary care practice.
AB - BACKGROUND: Calls for primary care providers (PCPs) to offer obese patients
behavioral weight-loss counseling have not been accompanied by adequate guidance
on how such care could be delivered. This randomized trial compared weight loss
during a 2-year period in response to three lifestyle interventions, all
delivered by PCPs in collaboration with auxiliary health professionals (lifestyle
coaches) in their practices. METHODS: We randomly assigned 390 obese adults in
six primary care practices to one of three types of intervention: usual care,
consisting of quarterly PCP visits that included education about weight
management; brief lifestyle counseling, consisting of quarterly PCP visits
combined with brief monthly sessions with lifestyle coaches who instructed
participants about behavioral weight control; or enhanced brief lifestyle
counseling, which provided the same care as described for the previous
intervention but included meal replacements or weight-loss medication (orlistat
or sibutramine), chosen by the participants in consultation with the PCPs, to
potentially increase weight loss. RESULTS: Of the 390 participants, 86% completed
the 2-year trial, at which time, the mean (+/-SE) weight loss with usual care,
brief lifestyle counseling, and enhanced brief lifestyle counseling was 1.7+/
0.7, 2.9+/-0.7, and 4.6+/-0.7 kg, respectively. Initial weight decreased at least
5% in 21.5%, 26.0%, and 34.9% of the participants in the three groups,
respectively. Enhanced lifestyle counseling was superior to usual care on both
these measures of success (P=0.003 and P=0.02, respectively), with no other
significant differences among the groups. The benefits of enhanced lifestyle
counseling remained even after participants given sibutramine were excluded from
the analyses. There were no significant differences between the intervention
groups in the occurrence of serious adverse events. CONCLUSIONS: Enhanced weight
loss counseling helps about one third of obese patients achieve long-term,
clinically meaningful weight loss. (Funded by the National Heart, Lung, and Blood
Institute; POWER-UP ClinicalTrials.gov number, NCT00826774.).
PMID- 22082240
TI - A case study on co-exposure to a mixture of organic solvents in a Tunisian
adhesive-producing company.
AB - OBJECTIVES: to assess environmental and biological monitoring of exposure to
organic solvents in a glue-manufacturing company in Sfax, Tunisia. METHODS:
Exposure of volunteer workers, in the solvented glue-work-stations, in the
control laboratory and in the storage rooms of the finished products, was
assessed through indoor-air and urine measurements. Informed consent of the
workers was obtained. RESULTS AND DISCUSSION: The exposure indexes were found
with high values in the solvented workshop as well as in the control laboratory
and were respectively, 8.40 and 3.12. These indexes were also correlated with
hexane and toluene indoor air concentrations. As to urine, the obtained results
for the 2,5-hexandione and hippuric acid, metabolites of hexane and toluene,
respectively, were in accord with the indoor-air measurements, with an average of
0.46 mg/l and 1240 mg/g of creatinine. CONCLUSION: This study assessed for the
first time biological exposure to organic solvents used in Tunisian adhesive
industries. Although values are likely to underestimate true exposure levels,
some figures exceed European and American occupational exposure guidelines.
PMID- 22082241
TI - Clot lysis time and the risk of myocardial infarction and ischaemic stroke in
young women; results from the RATIO case-control study.
AB - Reduced overall fibrinolytic capacity increases the risk of myocardial infarction
(MI), as demonstrated in studies with predominantly male participants. We
determined the influence of altered fibrinolysis on the risk of MI and ischaemic
stroke (IS) in young women. The RATIO (Risk of Arterial Thrombosis In relation to
Oral contraceptives) study is a population-based case-control study including
young women with MI (n=203), IS (N=175) and 638 matched healthy controls.
Fibrinolytic potential was determined with a tissue factor/tissue plasminogen
activator induced clot-lysis assay. Odds ratios (OR) adjusted for cardiovascular
risk factors were obtained with logistic regression. Clot-lysis time (CLT) was
divided into tertiles based on the control group (T1-T3), with T2 as reference.
Hypofibrinolysis (prolonged CLT) was associated with an increase in risk of MI
(T3 vs. T2, OR 2.8; 95%confidence interval [CI] 1.7-4.7). Hyperfibrinolysis
(decreased CLT) had no clear effect (T1 vs. T2, OR 1.6; 95% CI 0.9-2.9).
Hypofibrinolysis did not affect the risk of IS (T3 vs. T2, OR 1.5; 95% CI 0.7
3.0), whereas hyperfibrinolysis increased this risk (T1 vs. T2, OR 4.1; 95% CI
2.1-8.0). Oral contraceptive use and smoking further increased these risks.
Hypofibrinolysis increases the risk for MI in young women, a finding similar to
previous studies. Counter-intuitively, hyperfibrinolysis increased the risk of IS
four-fold, which suggests that MI and IS have different aetiologies.
PMID- 22082242
TI - Discovery of active enhancers through bidirectional expression of short
transcripts.
AB - BACKGROUND: Long-range regulatory elements, such as enhancers, exert substantial
control over tissue-specific gene expression patterns. Genome-wide discovery of
functional enhancers in different cell types is important for our understanding
of genome function as well as human disease etiology. RESULTS: In this study, we
developed an in silico approach to model the previously reported phenomenon of
transcriptional pausing, accompanied by divergent transcription, at active
promoters. We then used this model for large-scale prediction of non-promoter
associated bidirectional expression of short transcripts. Our predictions were
significantly enriched for DNase hypersensitive sites, histone H3 lysine 27
acetylation (H3K27ac), and other chromatin marks associated with active rather
than poised or repressed enhancers. We also detected modest bidirectional
expression at binding sites of the CCCTC-factor (CTCF) genome-wide, particularly
those that overlap H3K27ac. CONCLUSIONS: Our findings indicate that the signature
of bidirectional expression of short transcripts, learned from promoter-proximal
transcriptional pausing, can be used to predict active long-range regulatory
elements genome-wide, likely due in part to specific association of RNA
polymerase with enhancer regions.
PMID- 22082243
TI - DARC alleles and Duffy phenotypes in African Americans.
AB - BACKGROUND: The DARC (Duffy blood group, chemokine receptor) gene encodes for a
transmembrane glycoprotein that functions as a chemokine transporter, is a
receptor for Plasmodium vivax and P. knowlesi, and expresses the Duffy blood
group antigens (Fy). The Fy(a-b-) phenotype found in people of African descent is
typically associated with a -67t>c mutation in the 5'-untranslated region (UTR),
which prevents red blood cells being invaded by P. vivax and P. knowlesi. The aim
of this study was to establish DARC allele frequencies in an African American
blood donor cohort, determine a phylogenetic tree for DARC, and compare human and
Neandertal DARC genes. STUDY DESIGN AND METHODS: The DARC nucleotide sequence of
54 African American blood donors was determined from genomic DNA. Heterozygous
substitutions were resolved by sequencing of haplotype-specific amplifications. A
phylogenetic tree for DARC was established using the neighbor-joining method with
Pan troglodytes as root. RESULTS: A total of 108 haplotypes of the DARC gene
could be unambiguously determined from nucleotide position -300 in the 5' UTR to
+300 in the 3' UTR. Eleven different alleles were found, including the clinically
relevant FY*A, FY*B, FY*B-67C, FY*B298A, and FY*X alleles. All phenotype
predictions based on genotypes matched the serologically determined phenotypes
exactly: 52% Fy(a-b-), 28% Fy(a-b+), and 20% Fy(a+b-). CONCLUSIONS: The
nucleotide sequencing approach using one amplicon is a practical genotyping
method for DARC and allows the determination of haplotypes even in heterozygous
constellations. We developed a phylogenetic tree for DARC alleles and postulated
a distinct FY*B allele as ancestral for the extant DARC alleles in humans.
PMID- 22082245
TI - Burnout among foreign-born and native Swedish women: a longitudinal study.
AB - The authors of this study addressed burnout experiences (generally defined as
chronic depletion of an individual's energetic resources') over time in relation
to other factors (e.g., distress, sleep difficulties, job demands, etc.) among
foreign-born women and Swedish native women living in Stockholm. The study design
was a longitudinal panel survey with two waves one year apart. In the first wave,
3,616 of 6,000 randomly selected women took part, and 2,300 of the initial 3,616
women also participated in the second wave; 427 were foreign-born women, and
1,873 were Swedish native women. Baseline/emerging distress, emerging sleep
difficulties, worsening general social support, job demands at
baseline/escalating during the assessment period, emerging unemployment,
constraints in social support at work, and sustained/emerging financial strain
were associated with future burnout, regardless of background. More foreign-born
women than Swedish native women reported burnout, with these differences
maintained at one-year follow-up. The factors related to burnout were largely the
same in both groups, but smoking and cardiovascular disease were related to
burnout only among foreign-born women. Younger age, job demands, and working
hours were associated with burnout among Swedish native women. The authors found
that the women had concurrent problems such as burnout, distress, and sleep
problems, but foreign background was not independently related to burnout.
PMID- 22082244
TI - Substance use behavior among early-adolescent Asian American girls: the impact of
psychological and family factors.
AB - Confronting developmental tasks and challenges associated with bridging two
different cultures, Asian American adolescent girls face increasing risks for
substance use. Identifying risk and protective factors in this population is
essential, particularly when those factors can inform preventive programs. Guided
by family interaction theory, the present cross-sectional study explored the
associations of psychological and familial factors with use of alcohol,
prescription drugs, and other drugs among early-adolescent Asian American girls.
Between August 2007 and March 2008, 135 pairs of Asian American girls (mean age
13.21 years, SD=0.90) and their mothers (mean age 39.86 years, SD=6.99) were
recruited from 19 states that had significant Asian populations. Girls and
mothers each completed an online survey. Relative to girls who did not use
substances, girls who did had higher levels of depressive symptoms, perceived
peer substance use, and maternal substance use. Multiple logistic regression
modeling revealed that they also had significantly lower levels of body
satisfaction, problem-solving ability, parental monitoring, mother-daughter
communication, family involvement, and family rules about substance use.
Household composition, acculturation, and academic achievement were not
associated with girls' substance use. These findings point to directions for
substance abuse prevention programming among Asian American girls.
PMID- 22082246
TI - Purposiveness and leisure-time physical activity in women in early midlife.
AB - Physical activity in early midlife has important implications for women's health.
The present cross-sectional study investigated the relation of purposiveness to
leisure-time physical activity, as mediated by health investment, in a sample of
women in early midlife. Participants were 211 women between the ages of 35 and 45
years (mean 40.55 years, SD = 3.11) who responded to the second wave of the study
of Midlife Development in the United States. Participants were originally
selected by means of a nationally representative random-digit-dialing procedure.
A structural equation analysis of data with latent variables was conducted with
MPLUS. Purposiveness was indexed by measures of purpose in life, personal growth,
and future planning. Health investment was indexed by thought and effort
committed to health and the extent to which individuals worked hard to stay
healthy. Leisure-time physical activity was indexed by both moderate and vigorous
leisure-time activity. Results, controlling for sociodemographic factors, showed
that purposiveness was associated with more physical activity and that the
relation between purposiveness and leisure-time physical activity was fully
mediated by health investment. These results suggest that women with a sense of
purpose may be better able to achieve acceptable levels of physical activity.
PMID- 22082247
TI - Return to work outcomes of the Redesigning Daily Occupations (ReDO) program for
women with stress-related disorders--a comparative study.
AB - Stress-related disorders are a frequent cause for sick leave, with consequences
such as great distress and adverse economic effects for the affected person and
substantial costs for society. Identifying effective interventions that
facilitate return to work is thus important. The aim of this study was to
evaluate the effectiveness of the 16-week Redesigning Daily Occupations program
as a work rehabilitation method for Swedish women with stress-related disorders.
The authors of this study hypothesized that, compared to women who got Care as
Usual, 12 months after completed rehabilitation a larger proportion of the
Redesigning Daily Occupations women would have returned to work, and they would
have less sick leave, perceive less stress, and have greater self-esteem. Forty
two women entered the Redesigning Daily Occupations intervention and a matched
comparison group received Care as Usual. The data, collected between 2007 and
2010, consisted of registry information and questionnaires targeting socio
demographics, perceived stress, and self-esteem. The findings partly verified the
hypotheses. A larger proportion of the Redesigning Daily Occupations women
returned to work and they decreased their sick leave and increased their self
esteem more than the Care as Usual group, but the groups did not differ in stress
reduction. Thus, the Redesigning Daily Occupations seems to be a promising work
rehabilitation method for women with stress-related disorders.
PMID- 22082248
TI - Women's expectations of healthcare professionals in case of intimate partner
violence in Serbia.
AB - Women who have experienced intimate partner violence use health care services
more often than non-abused women, but it is unclear what they expect from
physicians in relation to their intimate partner violence experience. In this
study the authors explored whether women in Serbia expect physicians to help them
after having experienced intimate partner violence, what kind of help the women
expected, and if none, why none is expected. The authors of this study conducted
structured interviews with 120 women who visited six primary healthcare centres.
Quantitative data were analyzed using descriptive statistics, while qualitative
data were analyzed applying content analyses. The majority of women (81.7%)
expected healthcare professionals to help them in the event of intimate partner
violence, mainly through giving advice, information, contacting other
institutions, services, and providing understanding and support. Fewer women
expected help in the form of documenting violence and contacting police. Only a
minority (8.3%) did not expect help, noting that intimate partner violence is
beyond the scope of healthcare professionals' interest or competencies, and/or
that violence was a private problem, while 10% were unsure about the role of
physicians in the case of intimate partner violence. The majority of women in
this study expected help with intimate partner violence. Physicians should be
aware of these expectations and how to provide support to women experiencing
intimate partner violence.
PMID- 22082249
TI - Ebselen is a new skin depigmenting agent that inhibits melanin biosynthesis and
melanosomal transfer.
AB - We assessed the ability of ebselen, a glutathione peroxidase mimic, to reduce
pigmentation in various models. In murine B16 melanocytes, 25 MUm ebselen
inhibited melanogenesis and induced a depolymerisation of actin filaments. In co
cultures of B16 melanocytes with BDVII keratinocytes, a pretreatment of
melanocytes with ebselen resulted in a strong inhibition of melanosome transfer
to keratinocytes, as shown under optical and electron microscopy. In
reconstructed epidermis, topical 0.5% ebselen led to a twofold decrease of
melanin without affecting the density of active melanocytes. A similar result was
obtained with topical 0.5% ebselen in black guinea pig ears. Ebselen induced a
decrease of epidermal melanin parallel to a localisation of melanin and
melanosomes in the basal layer. Ebselen appears as a new depigmenting compound
that inhibits melanin synthesis and melanosome transfer to keratinocytes.
PMID- 22082250
TI - Effect of sleep-inducing music on sleep in persons with percutaneous transluminal
coronary angiography in the cardiac care unit.
AB - AIM AND OBJECTIVE: The study compared the effect of earplug-delivered sleep
inducing music on sleep in persons with percutaneous transluminal coronary
angiography in the cardiac care unit. BACKGROUND: Diverse types of music have
been claimed to improve sleeping elsewhere, but relatively little is known in
South Korea. Most studies investigating the effect of sleep-inducing music on
sleep have involved persons with insomnia, even though many persons with
cardiovascular disease in the intensive care unit suffer from sleeping problems.
There is a need to investigate the effect of sleep-inducing music on sleep
disorders in persons with percutaneous transluminal coronary angiography in the
cardiac care unit. DESIGN: An experimental research design was used. METHODS:
Data collection was conducted in the cardiac care unit of K University Hospital
in D city, from 3 September-4 October 2010. Fifty-eight subjects participated and
were randomly assigned to the experimental group (earplug-delivered sleep
inducing music for 52 min beginning at 10:00 pm, while wearing an eyeshield, n =
29) and the control group (no music, but earplugs and eyeshield worn, n = 29).
The quantity and quality of sleep were measured using questionnaires at 7 am the
next morning for each group. RESULTS: Participants in the experimental group
reported that the sleeping quantity and quality were significantly higher than
control group (t = 3.181, p = 0.002, t = 5.269, p < 0.001, respectively).
CONCLUSION: Sleep-inducing music significantly improved sleep in patients with
percutaneous transluminal coronary angiography at a cardiac care unit. Offering
earplugs and playing sleep-inducing music may be a meaningful and easily enacted
nursing intervention to improve sleep for intensive care unit patients. RELEVANCE
TO CLINICAL PRACTICE: Nurses working at cardiac care unit can use music to
improve sleeping in clients with percutaneous transluminal coronary angiography.
PMID- 22082251
TI - Whole mitochondrial genome sequencing of domestic horses reveals incorporation of
extensive wild horse diversity during domestication.
AB - BACKGROUND: DNA target enrichment by micro-array capture combined with high
throughput sequencing technologies provides the possibility to obtain large
amounts of sequence data (e.g. whole mitochondrial DNA genomes) from multiple
individuals at relatively low costs. Previously, whole mitochondrial genome data
for domestic horses (Equus caballus) were limited to only a few specimens and
only short parts of the mtDNA genome (especially the hypervariable region) were
investigated for larger sample sets. RESULTS: In this study we investigated whole
mitochondrial genomes of 59 domestic horses from 44 breeds and a single
Przewalski horse (Equus przewalski) using a recently described multiplex micro
array capture approach. We found 473 variable positions within the domestic
horses, 292 of which are parsimony-informative, providing a well resolved
phylogenetic tree. Our divergence time estimate suggests that the mitochondrial
genomes of modern horse breeds shared a common ancestor around 93,000 years ago
and no later than 38,000 years ago. A Bayesian skyline plot (BSP) reveals a
significant population expansion beginning 6,000-8,000 years ago with an ongoing
exponential growth until the present, similar to other domestic animal species.
Our data further suggest that a large sample of wild horse diversity was
incorporated into the domestic population; specifically, at least 46 of the mtDNA
lineages observed in domestic horses (73%) already existed before the beginning
of domestication about 5,000 years ago. CONCLUSIONS: Our study provides a window
into the maternal origins of extant domestic horses and confirms that modern
domestic breeds present a wide sample of the mtDNA diversity found in ancestral,
now extinct, wild horse populations. The data obtained allow us to detect a
population expansion event coinciding with the beginning of domestication and to
estimate both the minimum number of female horses incorporated into the domestic
gene pool and the time depth of the domestic horse mtDNA gene pool.
PMID- 22082252
TI - Modeling molecular acidity with electronic properties and Hammett constants for
substituted benzoic acids.
AB - Molecular acidity is an important physiochemical property essential in many
fields of molecular studies, but an efficient and reliable computational approach
to make accurate predictions is still missing. In this work, based on our
previous studies to use gas phase electronic properties such as molecular
electrostatic potential and valence natural atomic orbitals of the acidic atom
and leaving proton, we demonstrate here that different approaches can be employed
to tackle this problem. To that end, we employ 196 singly, doubly, and triply
substituted benzoic acids for the study. We show that two different approaches
are possible, one focusing on the carboxyl group through its localized electronic
properties and the other on the substituting groups via Hammett constants and
their additivity rule. Our present results clearly exhibit that with the linear
models built from the singly substituted species, one can accurately predict the
pK(a) values for the doubly and triply substituted species with both of these two
approaches. The predictions from these approaches are consistent with each other
and agree well with the experimental data. These intrinsically different
approaches are the two manifestations of the same molecular acidity property,
both valid and complementary to each other.
PMID- 22082253
TI - Using previous models to bias structural learning in the hierarchical BOA.
AB - Estimation of distribution algorithms (EDAs) are stochastic optimization
techniques that explore the space of potential solutions by building and sampling
explicit probabilistic models of promising candidate solutions. While the primary
goal of applying EDAs is to discover the global optimum or at least its accurate
approximation, besides this, any EDA provides us with a sequence of probabilistic
models, which in most cases hold a great deal of information about the problem.
Although using problem-specific knowledge has been shown to significantly improve
performance of EDAs and other evolutionary algorithms, this readily available
source of problem-specific information has been practically ignored by the EDA
community. This paper takes the first step toward the use of probabilistic models
obtained by EDAs to speed up the solution of similar problems in the future. More
specifically, we propose two approaches to biasing model building in the
hierarchical Bayesian optimization algorithm (hBOA) based on knowledge
automatically learned from previous hBOA runs on similar problems. We show that
the proposed methods lead to substantial speedups and argue that the methods
should work well in other applications that require solving a large number of
problems with similar structure.
PMID- 22082254
TI - Upper abdominal cytoreduction and thoracoscopy for advanced epithelial ovarian
cancer: unanswered questions and the impact on treatment.
AB - Gynaecological oncologists, by conducting Phase II and III chemotherapy trials,
have sought to improve survival in women with epithelial ovarian cancer. The
greatest impact on survival has been the use of intraperitoneal chemotherapy in
women who have had all visible disease removed. No change in drug regimen has had
an impact on survival equivalent to that associated with complete cytoreduction
or the use of intraperitoneal chemotherapy. Interestingly, these two treatment
modalities (complete cytoreduction and intraperitoneal chemotherapy) have not
been universally adopted. Most often it is the inability to achieve optimal
cytoreduction in the upper abdomen that defines the limit of the cytoreductive
effort, and ultimately the integration of intraperitoneal chemotherapy. The
importance of identifying disease outside the abdominal cavity, along with
achieving complete cytoreduction, is paramount, if the use of intraperitoneal
chemotherapy is to be logically integrated in treatment algorithms for women with
advanced-stage epithelial ovarian cancer. This report summarises pertinent
literature on upper abdominal cytoreduction, discusses surgical techniques and
introduces new data on women with epithelial ovarian cancer undergoing
thoracoscopy, suggesting consideration of its incorporation into the surgical
management of advanced epithelial ovarian cancer.
PMID- 22082255
TI - Endovascular palliation of AVM-associated intractable trigeminal neuralgia via
embolization of the artery of the foramen rotundum.
AB - OBJECTIVE: Trigeminal neuralgia is rarely caused by arteriovenous malformations
of the posterior fossa. Embolization of aberrant vessels can provide symptomatic
relief; however, embolization is not always technically possible, and its effects
can be temporary. Embolization of the nerve's blood supply could reduce its
excitability and provide pain relief. SETTING: The study was set in an academic
tertiary care center. STUDY DESIGN: The study was designed as a report of a
clinical case. SUMMARY: The authors report the case of a 13-year-old girl with
a large, unruptured posterior fossa arteriovenous malformation (AVM) presented
with left-sided V2-division trigeminal neuralgia. She had undergone multiple
previous embolizations of feeding vessels from the anterior inferior cerebellar
artery with temporary relief of her symptoms. Embolization of the middle
meningeal artery was attempted, but the vessel's tortuosity precluded safe
catheterization. Instead, the artery of the foramen rotundum, which had minimal
contribution to the AVM nidus, was embolized with Onyx copolymer. The patient had
immediate cessation of her neuralgia, with a small area of hypesthesia above her
left cheek. Complete pain relief lasted for 8 months, followed by a return of
mild dysesthesia episodes not requiring intervention. CONCLUSION: This case may
represent a new method of palliative treatment for AVM-associated trigeminal
neuralgia, or potentially trigeminal neuralgia of other etiologies. Based on this
case's success, a prospective study using additional provocative testing with
intraarterial lidocaine is proposed.
PMID- 22082256
TI - Application of ordinal logistic regression analysis in determining risk factors
of child malnutrition in Bangladesh.
AB - BACKGROUND: The study attempts to develop an ordinal logistic regression (OLR)
model to identify the determinants of child malnutrition instead of developing
traditional binary logistic regression (BLR) model using the data of Bangladesh
Demographic and Health Survey 2004. METHODS: Based on weight-for-age
anthropometric index (Z-score) child nutrition status is categorized into three
groups-severely undernourished (< -3.0), moderately undernourished (-3.0 to
2.01) and nourished (>=-2.0). Since nutrition status is ordinal, an OLR model
proportional odds model (POM) can be developed instead of two separate BLR models
to find predictors of both malnutrition and severe malnutrition if the
proportional odds assumption satisfies. The assumption is satisfied with low p
value (0.144) due to violation of the assumption for one co-variate. So partial
proportional odds model (PPOM) and two BLR models have also been developed to
check the applicability of the OLR model. Graphical test has also been adopted
for checking the proportional odds assumption. RESULTS: All the models determine
that age of child, birth interval, mothers' education, maternal nutrition,
household wealth status, child feeding index, and incidence of fever, ARI &
diarrhoea were the significant predictors of child malnutrition; however, results
of PPOM were more precise than those of other models. CONCLUSION: These findings
clearly justify that OLR models (POM and PPOM) are appropriate to find predictors
of malnutrition instead of BLR models.
PMID- 22082258
TI - Noniterative exact solution to the phase problem in optical imaging implemented
with scanning probe microscopy.
AB - An object is characterized by its amplitude and phase. However, when acquiring
optical data about such an object, using a recording medium such as a camera,
phase information is lost. Crystallography experienced a breakthrough in phase
retrieval for large molecular entities by Max Perutz's introduction of "heavy
atoms" using the method of isomorphous replacement. The availability of scanning
probe microscopy and its full integration with optical microscopy allows us to
apply these X-ray concepts to implement "heavy atom" restoration of phase in
optical phase retrieval. In analogy to the heavy atom method, we acquire Fourier
intensities in place of an X-ray diffraction pattern, and in place of the heavy
atom, we utilize a nanometrically translatable point source of light based on the
propagating field of a cantilevered near-field scanning optical microscopic
(NSOM) probe controlled by an atomic force microscope (AFM). This integration of
NSOM/AFM technology with far-field imaging achieves robust phase retrieval
independent of external parameters, leading to 3D optical imaging. The
methodology has super-resolution potential, and thus, heavy atom restoration of
phase with super-resolution (HARPS) shows the potential of transparently
integrated scanning probe microscopy with optical and other imaging modalities
such as electron or ion optical imaging.
PMID- 22082257
TI - Poly(2-aminoethyl methacrylate) with well-defined chain length for DNA vaccine
delivery to dendritic cells.
AB - Poly(2-aminoethyl methacrylate) (PAEM) homopolymers with defined chain length and
narrow molecular weight distribution were synthesized using atom transfer radical
polymerization (ATRP), and a comprehensive study was conducted to evaluate the
colloidal properties of PAEM/plasmid DNA polyplexes, the uptake and subcellular
trafficking of polyplexes in antigen-presenting dendritic cells (DCs), and the
biological performance of PAEM as a potential DNA vaccine carrier. PAEM of
different chain length (45, 75, and 150 repeating units) showed varying strength
in condensing plasmid DNA into narrowly dispersed nanoparticles with very low
cytotoxicity. Longer polymer chain length resulted in higher levels of overall
cellular uptake and nuclear uptake of plasmid DNA, but shorter polymer chains
favored intracellular and intranuclear release of free plasmid from the
polyplexes. Despite its simple chemical structure, PAEM transfected DCs very
efficiently in vitro in media with or without serum and led to phenotypic
maturation of DCs. When a model antigen-encoding ovalbumin plasmid was used,
transfected DCs stimulated the activation of naive CD8(+) T cells to produce high
levels of interferon-gamma. The efficiency of transfection, DC maturation, and
CD8(+) T cell activation showed varying degrees of polymer chain-length
dependence. These structurally defined cationic polymers may have much potential
as efficient DNA vaccine carriers and immunostimulatory adjuvants. They may also
serve as a model material system for elucidating structural and intracellular
mechanisms of polymer-mediated DNA vaccine delivery.
PMID- 22082259
TI - Lifestyle risk management--a qualitative analysis of women's descriptions of
taking hormone therapy following surgically induced menopause.
AB - AIM: This article is a report of a study that examined how women describe their
decisions in relation to the use of menopausal hormone therapy following surgical
menopause. BACKGROUND: Women who have had a surgically induced menopause
generally experience more intense menopausal symptoms than natural menopause and
are regularly prescribed menopausal hormone therapy. Since 2002 the risks
associated with this therapy have been widely reported. METHOD: This study is a
qualitative analysis of semi-structured interviews between March and May 2009
with 30 participants who had experienced surgical menopause and were, or had in
the past, taken menopausal hormone therapy. This was a community sample recruited
in Christchurch, New Zealand. A risk management theoretical approach underpinned
the analysis. FINDINGS: The womens' descriptions of managing the risks associated
with menopausal therapy fell into two main themes: Life has to go on and Waiting
for someone to tell me. All these women had either made an active decision to
continue on treatment because of the impact of menopausal symptoms or took their
doctor's advice to continue. A less dominant theme but one that was also evident
was Relying on my body to get me through in which the women had decided to
discontinue treatment because they regarded it as unnatural. CONCLUSION: The
study provided insights into how women utilize an experiential reasoning process
to manage the health and lifestyle risks associated with taking menopausal
hormone therapy. Nurses need to be aware of how this process influences women's
reasoning processes when working with women following surgical menopause.
PMID- 22082261
TI - Folding of human telomerase RNA pseudoknot using ion-jump and temperature-quench
simulations.
AB - Globally RNA folding occurs in multiple stages involving chain compaction and
subsequent rearrangement by a number of parallel routes to the folded state.
However, the sequence-dependent details of the folding pathways and the link
between collapse and folding are poorly understood. To obtain a comprehensive
picture of the thermodynamics and folding kinetics we used molecular simulations
of coarse-grained model of a pseudoknot found in the conserved core domain of the
human telomerase (hTR) by varying both temperature (T) and ion concentration (C).
The phase diagram in the [T,C] plane shows that the boundary separating the
folded and unfolded state for the finite 47-nucleotide system is relatively
sharp, implying that from a thermodynamic perspective hTR behaves as an apparent
two-state system. However, the folding kinetics following single C-jump or T
quench is complicated, involving multiple channels to the native state. Although
globally folding kinetics triggered by T-quench and C-jump are similar, the
kinetics of chain compaction are vastly different, which reflects the role of
initial conditions in directing folding and collapse. Remarkably, even after
substantial reduction in the overall size of hTR, the ensemble of compact
conformations are far from being nativelike, suggesting that the search for the
folded state occurs among the ensemble of low-energy fluidlike globules. The rate
of unfolding, which occurs in a single step, is faster upon C-decrease compared
to a jump in temperature. To identify "hidden" states that are visited during the
folding process we performed simulations by periodically interrupting the
approach to the folded state by lowering C. These simulations show that hTR
reaches the folded state through a small number of connected clusters that are
repeatedly visited during the pulse sequence in which the folding or unfolding is
interrupted. The results from interrupted folding simulations, which are in
accord with non-equilibrium single-molecule folding of a large ribozyme, show
that multiple probes are needed to reveal the invisible states that are sampled
by RNA as it folds. Although we have illustrated the complexity of RNA folding
using hTR as a case study, general arguments and qualitative comparisons to time
resolved scattering experiments on Azoarcus group I ribozyme and single
molecule non-equilibrium periodic ion-jump experiments establish the generality
of our findings.
PMID- 22082260
TI - Analysis of oxygen/glucose-deprivation-induced changes in SUMO3 conjugation using
SILAC-based quantitative proteomics.
AB - Transient cerebral ischemia dramatically activates small ubiquitin-like modifier
(SUMO2/3) conjugation. In cells exposed to 6 h of transient oxygen/glucose
deprivation (OGD), a model of ischemia, SUMOylation increases profoundly between
0 and 30 min following re-oxygenation. To elucidate the effect of transient OGD
on SUMO conjugation of target proteins, we exposed neuroblastoma B35 cells
expressing HA-SUMO3 to transient OGD and used stable isotope labeling with amino
acids in cell culture (SILAC) to quantify OGD-induced changes in levels of
specific SUMOylated proteins. Lysates from control and OGD-treated cells were
mixed equally, and HA-tagged proteins were immunoprecipitated and analyzed by 1D
SDS-PAGE-LC-MS/MS. We identified 188 putative SUMO3-conjugated proteins,
including numerous transcription factors and coregulators, and PIAS2 and PIAS4
SUMO ligases, of which 22 were increased or decreased more than +/-2-fold. In
addition to SUMO3, the levels of protein-conjugated SUMO1 and SUMO2, as well as
ubiquitin, were all increased. Importantly, protein ubiquitination induced by OGD
was completely blocked by gene silencing of SUMO2/3. Collectively, these results
suggest several mechanisms for OGD-modulated SUMOylation, point to a number of
signaling pathways that may be targets of SUMO-based signaling and recovery from
ischemic stress, and demonstrate a tightly controlled crosstalk between the SUMO
and ubiquitin conjugation pathways.
PMID- 22082262
TI - Greater resting heart rate variability is associated with orgasms through penile
vaginal intercourse, but not with orgasms from other sources.
AB - INTRODUCTION: Resting heart rate variability (HRV), a marker of parasympathetic
activity, is a predictor of health and longevity. Better erectile function is
associated with greater resting HRV (assessed by high frequency power [HF]), and
in both sexes, penile-vaginal intercourse (PVI) is the only sexual behavior
consistently associated with indices of better physical and mental health,
including greater resting HRV (assessed by standard deviation [SD] of heart rate
[HR]). AIMS: To examine the hypotheses that greater frequency of orgasms attained
through PVI (for women, without additional simultaneous clitoral stimulation;
vaginal orgasm) are associated with greater resting HRV. A differential
hypothesis is that HRV measures will be unrelated to orgasmic frequency from
noncoital sexual activities. METHODS: Coitally experienced men and women (N =
143) had their heart rate measured for 5 minutes and reported the frequency of
various sexual behaviors and corresponding orgasms in a recent representative
month. MAIN OUTCOME MEASURES: Partial correlations and analyses of covariance
controlling for social desirability responding were used to examine the
associations of sexual activities with time and frequency domains of HRV.
RESULTS: For men, greater resting SD of HR was associated with greater PVI orgasm
frequency. For women, greater resting SD of HR was associated with any vaginal
orgasm. These findings remained after controlling for cohabitation. Sexual
activities were unrelated to HF. Lifetime number of PVI partners was unrelated to
SD of HR and HF. CONCLUSIONS: Findings are discussed in the context of orgasms
through PVI enhancing HRV, and greater parasympathetic tone favoring the capacity
to engage in PVI, and in the case of women, to reach vaginal orgasm. The
possibility of healthier people having greater resting HRV and more frequent
orgasms through specifically PVI is also considered.
PMID- 22082263
TI - Translational research in sepsis - an ultimate challenge?
AB - In the era of evidence-based medicine, large, randomized, controlled,
multicenter studies represent the "summit of evidence". In contrast to
specialties like cardiology, the majority of randomized, controlled trials in
critical care medicine, however, have failed to demonstrate a survival benefit;
notably, despite encouraging results from experimental and phase-II clinical
studies. The difficulty in translating our theoretical knowledge into successful
multicenter randomized, controlled trials and subsequent treatment
recommendations may represent one reason, why the mortality of septic shock still
averages between 40-60%, although our knowledge about the underlying
pathophysiology has considerably increased and international guidelines have
widely been implemented. The present article elucidates some of the difficulties
in translating research from bench to bedside.
PMID- 22082264
TI - Cranberry proanthocyanidins: natural weapons against periodontal diseases.
AB - Cranberry ( Vaccinium macrocarpon ) is known to have a beneficial effect on
several aspects of human health. Proanthocyanidins (PACs), the most abundant
flavonoids extracted from red cranberry fruits, have been reported to possess
antimicrobial, antiadhesion, antioxidant, and anti-inflammatory properties.
Recent in vitro studies have shown that cranberry PACs may be potential
therapeutic agents for the prevention and management of periodontitis, an
inflammatory disease of bacterial origin affecting tooth-supporting tissues.
After presenting an overview of cranberry phytochemicals and their potential for
human health benefits, this review will focus on the effects of cranberry PACs on
connective tissue breakdown and alveolar bone destruction, as well as their
potential for controlling periodontal diseases. Possible mechanisms of action of
cranberry PACs include the inhibition of (i) bacterial and host-derived
proteolytic enzymes, (ii) host inflammatory response, and (iii) osteoclast
differentiation and activity. Given that cranberry PACs have shown interesting
properties in in vitro studies, clinical trials are warranted to better evaluate
the potential of these molecules for controlling periodontal diseases.
PMID- 22082265
TI - PVI's inconvenient truths: lights out for dormant reconnection?
PMID- 22082266
TI - Role of Epac proteins in mechanisms of cAMP-dependent immunoregulation.
AB - This review presents observations on the role of Epac proteins (exchange protein
directly activated by cAMP) in immunoregulation mechanisms. Signaling pathways
that involve Epac proteins and their domain organization and functions are
considered. The role of Epac1 protein expressed in the immune system cells is
especially emphasized. Molecular mechanisms of the cAMP-dependent signal via
Epac1 are analyzed in monocytes/macrophages, T-cells, and B-lymphocytes. The role
of Epac1 is shown in the regulation of adhesion, leukocyte chemotaxis, as well as
in phagocytosis and bacterial killing. The molecular cascade initiated by Epac1
is examined under conditions of antigen activation of T-cells and immature B
lymphocytes.
PMID- 22082267
TI - Whole Genome Methylation Scanning Based on phi29 Polymerase Amplification.
AB - Identifying differences in DNA methylation is critical to understanding how
epigenetics influences gene expression during processes such as development.
Here, we propose a method that employs a single, methylation-sensitive
restriction endonuclease of choice, to produce discrete pools of methylated and
unmethylated DNA from the same sample. A pool of restriction fragments
representing unmethylated regions of the genome is first obtained by digestion
with a methylation-sensitive endonuclease. The restriction-digested DNA is then
concatamerized in the presence of stuffer-adaptor DNA, which prevents
interference from originally unmethylated DNA by blocking the ends of the
restriction fragments. The concatamerized DNA is amplified by phi29 polymerase to
remove methylation marks, and again digested with the same endonuclease to
produce a pool of DNA fragments representing methylated portions of the genome.
The two pools of DNA fragments thus obtained can be analyzed by end-sequencing or
hybridization to a genomic array. In this report we detail a proof of concept
experiment that demonstrates the feasibility of our method.
PMID- 22082268
TI - Influence of placental mannose/n-acetyl glucosamine-binding proteins on the
interaction of insulin and insulin-like growth factors with their receptors.
AB - Placenta is a source of carbohydrate-binding proteins that function as molecular
scavengers, but they could also be involved in interactions that assist in
metabolic control. Mannose/N-acetyl-glucosamine (Man/GlcNAc)-binding proteins
from placenta were isolated and their reactivity towards placental insulin and
insulin-like growth factor receptors (IR and IGF-Rs) was analyzed. The lectins
reduced the binding of insulin and IGF-I in a dose-dependent manner, while almost
no effect was observed on the binding of IGF-II. The shape of the inhibition
curves changed, suggesting altered binding specificity. The presence of sugar
could not reverse completely the effect of the lectins, implicating both lectin
sugar and protein-protein conformational recognition. Since biological molecules
in our experimental system were those that are in close relation in vivo,
placental Man/GlcNAc-specific lectins may be regarded as potential allosteric
modulators of ligand-receptor interactions in a system of homologous ligands,
selectively affecting only binding to tyrosine kinase type receptors (IR and IGF
1R).
PMID- 22082269
TI - Influence of proteasome inhibitor bortezomib on the expression of multidrug
resistance genes and Akt kinase activity.
AB - The goal of this work was to study the mechanisms of ABC family transport
proteins' regulation by a new-generation antitumor drug - the proteasome
inhibitor bortezomib (Velcade). ABC transporters determine the multidrug
resistance of tumor cells (MDR). We confirmed our previously discovered
observation that bortezomib affects the expression of genes involved in the
formation of MDR (ABCB1 gene, also known as MDR1, and ABCC1-MRP1), reducing the
amount of their mRNA. This effect was found to depend on Akt kinase activity: the
Akt activity inhibitor Ly 294002 increased the amount of MRP1 mRNA in KB 8-5
cells. It was also shown that bortezomib increased the amount of Akt kinase
phosphorylated form in cell lines of malignant cells KB 8-5 and K 562/i-S9 that
overexpressed ABCB1 transporter (Pgp), and did not affect the amount of activated
Akt in the corresponding wild-type cells. When exposed to bortezomib, selection
of resistant to it cell variants was much faster for a Pgp-overexpressing cell
population (compared to wild-type cells). It is shown that bortezomib affects the
amount of MRP1 gene mRNA, relocating the multifunctional protein YB-1, dependent
on Akt activity, from cytoplasm to nuclei of MCF-7 breast cancer cells. The data
indicate that the transcriptional activity of YB-1 might be one of the mechanisms
that determine the effect of bortezomib on the amount of MRP1 gene mRNA.
PMID- 22082270
TI - Telomerase activity in the bats Hipposideros armiger and Rousettus leschenaultia.
AB - Telomerase activity was examined in two species of bat, Hipposideros armiger and
Rousettus leschenaultia, which have similar body mass and lifespan but differ in
use of hibernation. We found that telomerase activity was present in all tissues
sampled, but it was greater in metabolically active tissues such as liver,
spleen, and kidney. Of special interest is the raised activity found in the
heterothermic bat H. armiger, and the hibernating bats having raised values for
spleen, heart, and kidney. These findings show that maintenance of high levels of
telomerase is an essential part of the regulation of cellular activities during
hibernation.
PMID- 22082271
TI - Mitochondria-targeted plastoquinone derivative SkQ(1) decreases ischemia
reperfusion injury during liver hypothermic storage for transplantation.
AB - The ability of the mitochondria-targeted plastoquinone derivative 10-(6'
plastoquinonyl)decyl triphenylphosphonium (SkQ(1)) to decrease ischemia
reperfusion injury in isolated liver during hypothermic storage (HS) was studied.
Rat liver was stored for 24 h at 4 degrees C without or in the presence of 1 MUM
SkQ(1) with following reperfusion for 60 min at 37 degrees C. The presence in the
storage medium of SkQ(1) significantly decreased spontaneous production of
reactive oxygen species and intensity of lipid peroxidation in the liver during
HS and reperfusion. The GSH level after HS in solution with SkQ(1) was reliably
higher, but reperfusion leveled this effect. At all stages of experiment the
presence of SkQ(1) did not prevent the decrease of antioxidant enzyme activities
such as catalase, GSH peroxidase, GSH reductase, and glucose-6-phosphate
dehydrogenase. The addition of SkQ(1) to the storage medium improved energetic
function of the liver, as was revealed in increased respiratory control index of
mitochondria and ATP level. SkQ(1) exhibited positive effect on the liver
secretory function and morphology after HS as revealed in enhanced bile flow rate
during reperfusion and partial recovery of organ architectonics and state of
liver sinusoids and hepatocytes. The data point to promising application of
mitochondria-targeted antioxidants for correction of the ischemia-reperfusion
injury of isolated liver during long-term cold storage before transplantation.
PMID- 22082272
TI - Selenoprotein dSelK in Drosophila elevates release of Ca2+ from endoplasmic
reticulum by upregulating expression of inositol 1,4,5-tris-phosphate receptor.
AB - dSelK (G-rich), a homolog of human and mouse SelK, is one of three selenoproteins
in Drosophila melanogaster. It is the only trans-membrane selenoprotein in D.
melanogaster integrated into both the endoplasmic reticulum (ER) membrane and the
Golgi apparatus. The gene expression profile of Drosophila Schneider 2 (S2) cells
after the dsRNA interference (dsRNAi) targeting of dSelK was examined with the
GeneChip Drosophila Genome 2.0 Array (Affymetrix), a high-density oligonucleotide
microarray encompassing nearly the full Drosophila genome. The results showed
that the transcriptional expression of eight genes whose proteins are located on
(or related to) the ER or the Golgi apparatus was highly induced or repressed by
the dsRNAi treatment. The mRNA levels of the inositol 1,4,5-tris-phosphate
receptor (IP3 receptor), whose gene product is integrated into the ER membrane
and regulates the release of Ca2+ from the ER to the cytosol, were significantly
downregulated. In contrast, the expression of inositol 1,4,5-tris-phosphate
kinase 1, which is a cytosolic protein with opposing functions to the IP3
receptor, was significantly upregulated. Quantitative real-time PCR verified
these results. The concentration of intracellular free Ca2+ of the Drosophila S2
cells was significantly decreased after the knockdown of dSelK, whereas
overexpression of dSelK significantly increased the intracellular free Ca2+
concentration. These results indicate that dSelK in D. melanogaster is involved
in regulating the release of Ca2+ from the ER to the cytosol and may play
important roles in the signal transduction pathways involving Ca2+ mobilization.
PMID- 22082273
TI - Identification of latent periodicity in domains of alkaline proteases.
AB - Internal repeats in protein sequences have wide-ranging implications for the
structure and function of proteins. A keen analysis of the repeats in protein
sequences may help us to better understand the structural organization of
proteins and their evolutionary relations. In this paper, a mathematical method
for searching for latent periodicity in protein sequences is developed. Using
this method, we identified simple sequence repeats in the alkaline proteases and
found that the sequences could show the same periodicity as their tertiary
structures. This result may help us to reduce difficulties in the study of the
relationship between sequences and their structures.
PMID- 22082274
TI - Antiviral resistance mutations potentiate HBV surface antigen-induced
transcription of hfgl2 prothrombinase gene.
AB - Antiviral resistance mutations in the hepatitis B virus (HBV) polymerase (pol)
gene have been demonstrated to play an important role in the progression of liver
disease and the development of hepatocellular carcinoma. The HBV pol gene
overlaps the S gene encoding surface antigen (HBsAg). Previous studies from our
laboratory have shown that HBV core protein (HBc) and X protein (HBx), but not
HBV S protein (HBs), promote hfgl2 prothrombinase transcription. To investigate
whether the nucleotide (nucleoside)-induced resistant mutations of HBs potentiate
transcription of hfgl2 prothrombinase gene, we generated two mutant HB expression
constructs harboring rtM204V/sI195M or rtM204I/sW196L mutations. Two mutant
expression plasmids were co-transfected with hfgl2 promoter luciferase-reporter
plasmids and beta-galactosidase plasmid in CHO cells and HepG2 cells,
respectively. Luciferase assay showed that the rtM204I/V mutant HBs could
activate the transcription of hfgl2 promoter compared with the wild type HBs.
Site-directed mutagenesis and further experiment (co-transfection) demonstrated
that transcription factor Ets translocated to its cognate cis-element in the
hfgl2 promoter. The results show that mutated HBs caused by antiviral drug
resistance induce transcription of the hfgl2 gene dependent on the transcription
factor Ets.
PMID- 22082275
TI - Differential inhibition/inactivation of mitochondrial complex I implicates its
alteration in malignant cells.
AB - Methylglyoxal strongly inhibited mitochondrial respiration of a wide variety of
malignant tissues including sarcoma of mice, whereas no such significant effect
was noted on mitochondrial respiration of normal tissues with the exception of
cardiac cells. This inhibition by methylglyoxal was found to be at the level of
mitochondrial complex I (NADH dehydrogenase) of the electron transport chain. L
Lactaldehyde, which is structurally and metabolically related to methylglyoxal,
could protect against this inhibition. NADH dehydrogenase of submitochondrial
particles of malignant and cardiac cells was inhibited by methylglyoxal. This
enzyme of these cells was also inactivated by methylglyoxal. The possible
involvement of lysine residue(s) for the activity of NADH dehydrogenase was also
investigated by using lysine-specific reagents trinitrobenzenesulfonic acid
(TNBS) and pyridoxal 5' phosphate (PP). Inactivation of NADH dehydrogenase by
both TNBS and PP convincingly demonstrated the involvement of lysine residue(s)
for the activity of the sarcoma and cardiac enzymes, whereas both TNBS and PP
failed to inactivate the enzymes of skeletal muscle and liver. Together these
studies demonstrate a specific effect of methylglyoxal on mitochondrial complex I
of malignant cells and importantly some distinct alteration of this complex in
cancer cells.
PMID- 22082276
TI - Interaction of transketolase from human tissues with substrates.
AB - The Michaelis constant values for substrates of transketolase from human tissues
were determined over a wide range of substrate concentrations. It is shown that
K(m) values determined by other authors are significantly overestimated and
explained why this is so.
PMID- 22082277
TI - DNase, RNase, and phosphatase activities of antibodies formed upon immunization
by DNA, DNase I, and DNase II.
AB - Relative DNase, RNase (efficiency of hydrolysis of ribo- and
deoxyribooligonucleotides (ON)), and phosphatase (removal of the ON 5' terminal
phosphate) catalytic activities of antibodies (AB) obtained after rabbit
immunization by DNA, DNase I, and DNase II were compared. It is shown that
electrophoretically homogeneous preparations of polyclonal AB from non-immunized
rabbits did not exhibit such activities. Immunization of rabbits by DNA, DNase I,
and DNase II results in generation of IgG abzymes that exhibit high activity in
the ON hydrolysis reaction and even higher activity in cleavage of 5' terminal
phosphate of ON. In this case K(m) values for supercoiled plasmid DNA and ON
found in reactions of their AB-dependent nuclease hydrolysis and phosphatase
cleavage of 5' terminal phosphate differ by 2-4 orders of magnitude. This shows
that nuclease and phosphatase activities belong to different abzyme fractions
within polyclonal AB. Thus, in this work data indicative of the possibility of a
formation of antibodies exhibiting phosphatase activity after immunization of
animals with DNA, DNase I, and DNase II, were obtained for the first time.
Possible reasons for production of AB with phosphatase activity after
immunization of rabbits with these immunogens are discussed.
PMID- 22082278
TI - Charge transport anisotropy in n-type disk-shaped triphenylene
tris(aroyleneimidazole)s.
AB - Two novel n-type disk-shaped molecules containing a triphenylene core and three
fused naphthaleneimide imidazole or peryleneimide imidazole "arms" are
synthesized and characterized. The n-type charge carrier mobilities of these
molecules are evaluated by both field effect transistors and space-charge limited
current measurements, which exhibit drastically different mobility anisotropy. A
strong correlation between film morphology and the charge transport behavior is
established by X-ray scattering and atomic force microscopic analyses.
PMID- 22082279
TI - Peripheral doses in patients undergoing Cyberknife treatment for intracranial
lesions. A single centre experience.
AB - BACKGROUND: Stereotactic radiosurgery/radiotherapy procedures are known to
deliver a very high dose per fraction, and thus, the corresponding peripheral
dose could be a limiting factor for the long term surviving patients. The aim of
this clinical study was to measure the peripheral dose delivered to patients
undergoing intracranial Cyberknife treatment, using the MOSFET dosimeters. The
influence of the supplemental shielding, the number of monitor units and the
collimator size to the peripheral dose were investigated. METHODS: MOSFET
dosimeters were placed in preselected anatomical regions of the patient
undergoing Cyberknife treatment, namely the thyroid gland, the nipple, the
umbilicus and the pubic symphysis. RESULTS: The mean peripheral doses before the
supplemental shielding was added to the Cyberknife unit were 51.79 cGy, 13.31 cGy
and 10.07 cGy while after the shielding upgrade they were 38.40 cGy, 10.94 cGy,
and 8.69 cGy, in the thyroid gland, the umbilicus and the pubic symphysis,
respectively. The increase of the collimator size corresponds to an increase of
the PD and becomes less significant at larger distances, indicating that at these
distances the PD is predominate due to the head leakage and collimator scatter.
CONCLUSION: Weighting the effect of the number of monitor units and the
collimator size can be effectively used during the optimization procedure in
order to choose the most suitable treatment plan that will deliver the maximum
dose to the tumor, while being compatible with the dose constraints for the
surrounding organs at risk. Attention is required in defining the thyroid gland
as a structure of avoidance in the treatment plan especially in patients with
benign diseases.
PMID- 22082280
TI - Effects of co-existing alpha-thalassaemia in sickle cell disease on
hydroxycarbamide therapy and circulating nucleic acids.
PMID- 22082281
TI - A pilot feasibility trial of allocation of freshest available red blood cells
versus standard care in critically ill patients.
AB - BACKGROUND: Prolonged storage of red blood cells (RBCs) may increase
posttransfusion adverse events in critically ill patients. We aimed to evaluate
in intensive care unit (ICU) patients 1) the feasibility of allocating freshest
available compatible RBCs versus standard care and 2) the suitability of this
approach in the design of a large randomized controlled trial (RCT). STUDY DESIGN
AND METHODS: Eligible patients from two adult ICUs were randomly assigned to
receive either the freshest available compatible RBCs or the standard care (the
oldest compatible available RBCs) for all transfusions during their ICU stay.
Study group allocation was concealed from patients and bedside clinicians, but
the transfusion service was unblinded. The study endpoints were the feasibility
of the study procedures, including success of the ICU Web randomization, the ICU
staff blinding, and the correct delivery of the RBC units by the transfusion
service in accordance with the allocated study group. In addition, we measured
the difference in age of RBC units between the two groups. RESULTS: During a 3
month period, 177 RBC units were delivered to 51 patients. All study procedures,
including randomization, blinding, and delivery of blood in accordance with the
study group were successful. The mean (+/-SD) of the mean age of the RBC received
by each patient was lower in the "fresher blood" group compared with the standard
care group (12.1 [+/-3.8] days vs. 23 [+/-8.4] days; p<0.001). CONCLUSION:
Randomized delivery of the freshest available RBCs versus standard care to ICU
patients who were prescribed transfusion for clinical reasons is feasible, with a
clinically relevant degree of storage duration separation achievable between the
two study groups. These findings support the feasibility of a future large
pragmatic RCT.
PMID- 22082282
TI - Cancer of the body of the uterus: trends in mortality and incidence in England,
1985-2008.
AB - OBJECTIVE: To report on trends in mortality and incidence for uterine cancer in
England, 1985-2008. DESIGN: Database analysis. SETTING: England. POPULATION: Data
sets of English national mortality and cancer registration statistics. METHODS:
Analysis of data from death certificates with a mention of malignant neoplasm of
corpus uteri or malignant neoplasm of uterus without specification of part, and
analysis of incidence data from cancer registries in England. MAIN OUTCOME
MEASURES: Age-adjusted and age-specific trends in rates. RESULTS: Mortality rates
in the UK declined from 41.3 per million women in 1985 until about 1999 (30.0 per
million), and then increased to 35.9 per million in 2008. Incidence rates for
those aged 55 years and over showed little or no change from 1985 to the early
1990s, but then increased: for example, for women aged 60-64 years, the rates per
million women in 1985, 1993, 2001 and 2008 were, respectively, 448, 461, 647 and
728. Rates for younger women showed a decline, followed by an increase: for
example, for women aged 50-54 years the corresponding rates were 290, 254, 267
and 294. Over the period 2001-2008, the age-adjusted average annual percentage
increase was 2.55% per annum (95% CI 2.13-2.98%). The increase in incidence
rates, observed from the 1990s, preceded the increase in mortality by about 10
years. CONCLUSIONS: After many years of declining rates, uterine cancer has
become more common in the first decade of this century. One possible contributor
to this increase may be an association with the increase in body mass index in
women, but other causes are possible and are discussed.
PMID- 22082283
TI - Azole resistance in Aspergillus: a growing public health menace.
PMID- 22082285
TI - Report from Eurobiofilms 2011.
AB - A considerable number of the world?s leading biofilm scientists are located in
Denmark, and given the small size of Denmark it must to be the country with most
biofilm researchers per capita! It was therefore appropriate that the Second
European Biofilm Conference, Eurobiofilms 2011, was held in Copenhagen, Denmark.
The conference was organized by the European Society of Clinical Microbiology and
Infectious Diseases (ECSMID) Study Group on Biofilms (ESGB), and aimed to cover
basic and clinical aspects of biofilms in order to encourage synergistic
interdisciplinary interactions. Therefore, both basic biofilm science and
clinically related biofilm science were presented at the joint keynote lectures,
and the basic biofilm science symposia as well as clinically related biofilm
science symposia were run in parallel. The main program was preceded by four
workshops comprising: microfluid systems and confocal laser scanning microscopy;
new techniques for visualization of biofilms; animal models of biofilm
infections; and molecular diagnostics of biofilm bacteria. The number of
attendees exceeded 300, who were from 31 countries on six continents, and more
than 200 abstracts were submitted. The conference was opened by a warm welcome
from Niels Hoiby (University of Copenhagen, Denmark), the president of the
conference.
PMID- 22082286
TI - Examining the requirements for nucleoporins by HIV-1.
AB - A hallmark of HIV type 1 and other lentiviruses is their ability to infect and
replicate in nondividing cells by commandeering host nuclear transport factors.
During the early stages of infection, this is expected to permit the safe passage
of viral preintegration complexes (PICs) through nuclear pores. Numerous nuclear
transport factors have been identified as essential for HIV-1 infection by genome
wide small interfering RNA screens, and many of these are currently under
investigation. Here, using knockdown studies, Matreyek and Engelman further
characterize the importance of transportin-3 and nuclear pore complex component
nucleoporin 153 for the early stages of HIV-1 infection and show that these two
proteins operate synergistically. Also, as was previously observed for
transportin-3, they show that the requirement of nucleoporin 153 for PIC nuclear
entry is determined by the HIV-1 Capsid protein. The refinement of the list of
key nuclear pore complex and transport proteins required for PIC entry, along
with a better understanding of the specific mechanisms employed, will undoubtedly
lead to the development of future antiretroviral therapies that will have the
potential to block HIV-1 viral DNA integration.
PMID- 22082287
TI - Challenges in implementing HIV laboratory monitoring in resource-constrained
settings: how to do more with less.
AB - Laboratory monitoring for HIV disease in resource-limited settings has now become
one of the key challenges for antiretroviral treatment (ART) access and success,
as emphasized by the 2010 revised WHO guidelines for ART in resource-limited
settings. Thus, the most common method for initiating ART, and monitoring
treatment response in resource-constrained environments is the measurement of CD4
T-cell count. Affordable CD4 T-cell counting has gradually been made possible by
using simple, compact and robust, low-cost, new-generation cytometers, operating
as single-platform volumetric instruments. Several cost-effective point-of-care
CD4 T-cell testing options are also already on the market, in order to improve
access to CD4 T-cell monitoring, especially for rural patients, and to reduce
loss-to-follow-up of patients. In addition, HIV RNA viral load measurement is
becoming increasingly important, mainly for a systematic confirmation of first
line ART failure before switching to second-line treatment to avoid belated as
well as premature therapeutic decisions and their potentially negative
consequences. Viral load testing should now be considered as the standard of care
for therapeutic failure in all resource-limited settings. However, the
measurement of HIV viral load remains a centralized marker, carried out in a
limited number of reference laboratories. Finally, the costs of second-line ART
regimens, rather than the laboratory test costs themselves, currently constitute
the primary determinant of the total cost in ART switching. Laboratory monitoring
strategies may become more attractive as price negotiations render second-line
ART regimens less expensive worldwide.
PMID- 22082288
TI - The many roles of the highly interactive HSV protein ICP27, a key regulator of
infection.
AB - Human herpes viruses cause an array of illnesses ranging from cancers for
Epstein?Barr virus and Kaposi?s sarcoma-associated herpes virus, to painful skin
lesions, and more rarely, keratitis and encephalitis for HSV. All herpes viruses
encode a multifunctional protein, typified by HSV ICP27, which plays essential
roles in viral infection. ICP27 functions in all stages of mRNA biogenesis from
transcription, RNA processing and export through to translation. ICP27 has also
been implicated in nuclear protein quality control, cell cycle control,
activation of stress signaling pathways and prevention of apoptosis. ICP27
interacts with many proteins and it binds RNA. This article focuses on how ICP27
performs its many roles and highlights similarities with its homologs, which
could be targets for antiviral intervention.
PMID- 22082289
TI - Bacterial type I signal peptidases as antibiotic targets.
AB - Despite an alarming increase in morbidity and mortality caused by multidrug
resistant bacteria, the number of antibiotics available to efficiently combat
them is dwindling. Consequently, there is a pressing need for new drugs,
preferably with novel modes of action to avert the problem of cross-resistance.
Several new targets have been proposed, including proteins essential in the
protein secretion pathway such as the type I signal peptidase (SPase),
indispensable for the release of the signal peptide during secretion of Sec- and
Tat-dependent proteins. The type I SPase is considered to be an attractive target
because it is essential, substantially different from the eukaryotic counterpart,
and its active site is located at the outer leaflet of the cytoplasmic membrane,
permitting relatively easy access to potential inhibitors. A few SPase inhibitors
have already been identified, but their suitability as drugs is yet to be
confirmed. An overview is given on the currently known SPase inhibitors, how they
can give valuable information on the structural, biochemical and target
validation aspects of the SPases, the approaches to identify them, and their
future potential as drugs.
PMID- 22082291
TI - The twin-arginine translocation system: contributions to the pathobiology of
Campylobacter jejuni.
AB - The twin-arginine translocation (Tat) system is specialized in the transport of
folded proteins across the cytoplasmic membrane. Although the mechanisms that
govern the Tat transport and its scope are not well understood, this system and
its cognate substrates are involved in important functions that facilitate the
adaptation and survival of bacteria. Evidence also exists that connects the Tat
system to virulent traits of clinically relevant pathogens. Of interest is
Campylobacter jejuni, an important foodborne pathogen that is capable of
surviving in different hosts and environmental niches. Recent studies have shown
that the Tat system in this bacterium mediates key metabolic and stress
resistance traits. Furthermore, the majority of the identified Tat substrates in
C. jejuni are cofactor-containing redox proteins that contribute to the
bacterium?s branched electron transport chain, a component essential for survival
under differing conditions. These studies as well as the absence of Tat homologs
in the sequenced genomes of animals suggest that the Tat system might pose an
attractive target for therapeutics against C. jejuni.
PMID- 22082290
TI - Genetics of Coxiella burnetii: on the path of specialization.
AB - Coxiella burnetii is an extremely infectious, zoonotic agent that causes Q fever
in humans. With the exception of New Zealand, the bacterium is distributed
worldwide. Coxiella is classified as a select agent based on its past and
potential use as a bioweapon and its threat to public health. Despite decades of
research, we know relatively little regarding Coxiella?s molecular pathogenesis,
and a vaccine is not widely available. This article briefly reviews the unusual
genetics of C. burnetii; a pathogen that retains telltale genetic mementos
collected over the course of its evolutionary path from a free-living bacterium
to an obligate intracellular parasite of eukaryotic host cell phagosomes.
Understanding why these genetic elements are maintained may help us better
understand the biology of this fascinating pathogen.
PMID- 22082292
TI - Scenery of Staphylococcus implant infections in orthopedics.
AB - Infection is still the major complication of orthopedic implants and projections
based on the actual trend indicate that total hip and knee arthroplasties and
their consequent infection burden are destined to greatly increase.
Staphylococcus aureus and Staphylococcus epidermidis are the leading etiologic
agents of orthopedic implant infection. Here we report on epidemiology of implant
related Staphylococcus infections in orthopedics, also referring to our
experience, and focus on the crucial role of bacterial adhesins and on their
ability to direct the pathogenesis process. Bacteria initiate implant infection
by adhering to biomaterials. In the early steps of infection, adhesins mediate
the specific interaction between microbial cells and the extracellular matrix
proteins filming biomaterial surface. Then adhesin-mediated anchorage allows
bacteria to cling to the biomaterial surface and to produce a biofilm that favors
their ability to resist antibiotics. With the aim to prevent implant-related
infections, anti-infective and infection-resistant biomaterials are being
developed. The research for novel therapeutic strategies is incited by the
emergence of antibiotic-resistant bacteria. Vaccines against the adhesins or
antisense molecules against virulence genes can open a future in combating
implant infections.
PMID- 22082296
TI - Proton transfer from 2-naphthol to aliphatic amines in supercritical CO2.
AB - The proton transfer from 2-naphthol to aliphatic amines was studied in
supercritical CO(2) (scCO(2)) and in cyclohexane as reference solvent, by
absorption and fluorescence spectroscopy and by time-resolved emission.
Irradiation of 2-naphthol in scCO(2) in the presence of ethyldiisopropylamine
shows dynamic fluorescence quenching of the acidic form of 2-naphthol and
emission from the basic form. Fluorescence excitation spectra show that the
emission of the basic form is originated upon excitation of the acidic form. The
interaction between 2-naphthol and the amines is described by the formation of a
complex with proton donor-acceptor character in the ground and excited states of
2-naphthol. The acidity increase of 2-naphthol upon electronic excitation to the
first excited singlet in scCO(2) is as high as in water. Proton transfer quantum
yields of 0.6 can be easily achieved in scCO(2). The results have implications
for carrying out acid-base catalyzed reactions in scCO(2).
PMID- 22082294
TI - Vesicular transport systems in fungi.
AB - Canonical and unconventional mechanisms of secretion in many eukaryotic cells are
relatively well known. In contrast to the situation in animal cells, mechanisms
of secretion in fungi must include the capacity for trans-cell wall passage of
macromolecules to the extracellular space. Although these mechanisms remain
somewhat elusive, several studies in recent years have suggested that vesicular
transport is required for trans-cell wall secretion of large molecules. Several
fungal molecules, including proteins, lipids, polysaccharides and pigments, are
released to the extracellular space in vesicles. In pathogenic fungi, a number of
these vesicular components are associated with fungal virulence. Indeed,
extracellular vesicles produced by fungi can interfere with the immunomodulatory
activity of host cells. Fungal vesicles share many functional aspects with
mammalian exosomes and extracellular vesicles produced by bacteria, plants and
protozoa, but their cellular origin remains unknown. Here, we discuss the
involvement of vesicular transport systems in fungal physiology and pathogenesis,
making parallels with the mammalian, bacterial, protozoan and plant cell
literature.
PMID- 22082297
TI - Injury of fornix in patients with intracerebral hemorrhage.
AB - OBJECTIVES: Many diffusion tensor tractography (DTT) studies have reported on
fornix injury in various diseases. However, there has been no DTT study on fornix
injury by intracerebral hemorrhage (ICH). We attempted to investigate fornix body
injury in patients with ICH, using DTT. METHODS: We identified 58 consecutive
stroke patients using the following criteria: (1) first-ever stroke, (2) age: 45
65 years, (3) hemorrhage confined within the corona radiata and basal ganglion
level, (4) an available DTT scan performed during the early stage of ICH (1-5
weeks after onset). Among 58 consecutive patients, we identified six patients who
showed disruption at the fornix body. RESULTS: Following ICH, 10.7% of patients
revealed complete disruption of the fornix body on DTT. Results from DTT of the
fornix showed disruption in anterior and posterior portions of the fornix body in
three patients, in the anterior portion of the fornix body in two patient, and in
the posterior portion of the fornix body in one patient. CONCLUSIONS: We report
on six patients who showed complete disruption of the fornix body following ICH.
It is our belief that the fornix of patients with ICH could be evaluated using
DTT.
PMID- 22082293
TI - Gametocytogenesis in malaria parasite: commitment, development and regulation.
AB - Malaria parasites have evolved a complicated life cycle alternating between two
hosts. Gametocytes are produced in the vertebrate hosts and are obligatory for
natural transmission of the parasites through mosquito vectors. The mechanism of
sexual development in Plasmodium has been the focus of extensive studies. In the
postgenomic era, the advent of genome-wide analytical tools and genetic
manipulation technology has enabled rapid advancement of our knowledge in this
area. Patterns of gene expression during sexual development, molecular
distinction of the two sexes, and mechanisms underlying subsequent formation of
gametes and their fertilization have been progressively elucidated. However, the
triggers and mechanism of sexual development remain largely unknown. This article
provides an update of our understanding of the molecular and cellular events
associated with the decision for commitment to sexual development and regulation
of gene expression during gametocytogenesis. Insights into the molecular
mechanisms of gametocyte development are essential for designing proper control
strategies for interruption of malaria transmission and ultimate elimination.
PMID- 22082298
TI - The risk of cryptorchidism among sons of women working in horticulture in
Denmark: a cohort study.
AB - BACKGROUND: Androgens are crucial for normal testicular descent. Studies show
that some pesticides have estrogenic or antiandrogenic effects, and that female
workers exposed to pesticides have increased risk of having a boy with
cryptorchidism. The main objective of the present study was to investigate
whether pregnant women exposed to pesticides due to their work in horticulture
experience excess risk of having sons with cryptorchidism. METHODS: We conducted
a cohort study of pregnant women working in horticulture using four cohorts
including one cohort established with data from the departments of occupational
medicine in Jutland and Funen and three existing mother-child cohorts (n=1,468).
A reference group was established from the entire Danish population of boys born
in the period of 1986-2007 (n=783,817). Nationwide Danish health registers
provided information on birth outcome, cryptorchidism diagnosis and orchiopexy.
The level of occupational exposure to pesticides was assessed by expert judgment
blinded towards outcome status. Risk of cryptorchidism among exposed horticulture
workers compared to the background population and to unexposed horticulture
workers was assessed by Cox regression models. RESULTS: Pesticide exposed women
employed in horticulture had a hazard ratio (HR) of having cryptorchid sons of
1.39 (95% CI 0.84; 2.31) and a HR of orchiopexy of 1.34 (0.72; 2.49) compared to
the background population. Analysis divided into separate cohorts revealed a
significantly increased risk of cryptorchidism in cohort 2: HR 2.58 (1.07;6.20)
and increased risk of orchiopexy in cohort 4: HR 2.76 (1.03;7.35), but no
significant associations in the other cohorts. Compared to unexposed women
working in horticulture, pesticide exposed women had a risk of having sons with
cryptorchidism of 1.34 (0.30; 5.96) and of orchiopexy of 1.93 (0.24;15.4).
CONCLUSIONS: The data are compatible with a slightly increased risk of
cryptorchidism in sons of women exposed to pesticides by working in horticulture.
PMID- 22082299
TI - Clear cell meningioma with histologically aggressive appearance and clinically
aggressive behavior: a case report.
AB - Clear cell meningioma (CCM) is an uncommon variant of meningioma, corresponding
to WHO grade II. We present a case of CCM with histologically aggressive
appearance and clinically aggressive behavior. The tumor demonstrated rapid
regrowth and brain metastasis. The histological progression from the ordinal CCM
to the atypical area and higher MIB-1 index was observed. We assume that the
short time of recurrence and metastasis may result from atypical histological
features in our case. If the CCM has a histologically aggressive appearance as in
our case, we suggest that postoperative adjuvant radiotherapy should be performed
despite total resection of the tumor.
PMID- 22082300
TI - Worthless donations: male deception and female counter play in a nuptial gift
giving spider.
AB - BACKGROUND: In nuptial gift-giving species, benefits of acquiring a mate may
select for male deception by donation of worthless gifts. We investigated the
effect of worthless gifts on mating success in the spider Pisaura mirabilis.
Males usually offer an insect prey wrapped in silk; however, worthless gifts
containing inedible items are reported. We tested male mating success in the
following experimental groups: protein enriched fly gift (PG), regular fly gift
(FG), worthless gift (WG), or no gift (NG). RESULTS: Males that offered worthless
gifts acquired similar mating success as males offering nutritional gifts, while
males with no gift experienced reduced mating success. The results suggest that
strong selection on the nuptial gift-giving trait facilitates male deception by
donation of worthless gifts. Females terminated matings faster when males offered
worthless donations; this demonstrate a cost of deception for the males as
shorter matings lead to reduced sperm transfer and thus give the deceiving males
a disadvantage in sperm competition. CONCLUSION: We propose that the gift
wrapping trait allows males to exploit female foraging preference by disguising
the gift content thus deceiving females into mating without acquiring direct
benefits. Female preference for a genuine prey gift combined with control over
mating duration, however, counteracts the male deception.
PMID- 22082301
TI - The effect of an intervention combining self-efficacy theory and pedometers on
promoting physical activity among adolescents.
AB - AIM: To study the effect of an intervention combining self-efficacy theory and
pedometers on promoting physical activity among adolescents. BACKGROUND: The
beneficial effects of regular physical activity on health in youths are well
documented. However, adolescence is found to be the age of greatest decline in
physical activity participation. Physical activity participation among girls was
generally less frequent and less intense than boys. Therefore, there is a strong
need for effective interventions that can help promote physical activity in this
population. DESIGN: An experimental design. METHODS: Two classes of female junior
college students (mean age = 16) were randomly sampled from a total of four
classes and, of those, one each was randomly assigned to either the intervention
(n = 46) or the control group (n = 48). Self-efficacy was used as a core
theoretical foundation of the intervention design, and pedometers were provided
to the students in the intervention group. Distances between each domestic scenic
spot were illustrated graphically in a walking log for students to mark the
extent of their walking or running. Students in the control group participated in
a usual physical education programme. The primary outcome was a change in the
number of aerobic steps. The secondary outcomes were changes in cardiopulmonary
endurance and exercise self-efficacy. RESULTS: At 12-week follow-up, the mean
change in aerobic steps was 371 steps and 108 steps in the intervention and
control group, respectively. The difference in mean change between the two groups
was 467 steps. Effects of the intervention on changes of cardiopulmonary
endurance and perceived exercise self-efficacy scores were not found.
CONCLUSIONS: Among adolescent girls, a 12-week intervention designed on the
theoretical foundation of self-efficacy theory and provision of pedometers was
found to have an effect on increasing their physical activity. The intervention,
using graphs of domestic scenic spots to represent the distance of walking or
running as monitored by pedometers, might enhance motivation regarding physical
activity. RELEVANCE TO CLINICAL PRACTICE: It is important for health
professionals, including school health nurses, involved in the care of adolescent
health, to design and provide a physical activity intervention combining self
efficacy theory and provision of pedometer to promote physical activity.
PMID- 22082302
TI - Isolated distal deep vein thrombosis: what we know and what we are doing.
AB - Thromboses that are restricted to the infra-popliteal deep veins of the lower
limbs (isolated distal deep vein thrombosis, IDDVT) are frequently diagnosed in
subjects with suspected pulmonary embolism (PE; 7-10%) or DVT(4-15%), accounting
for 31-56% of all diagnosed leg DVTs. Despite their frequency, IDDVTs still
remain one of the most debated issues in the field of venous thromboembolism
(VTE). Conflicting clinical results have resulted in differing opinions on the
need to test for IDDVTs and how to treat them. Due to discordant results, the
real risk of IDDVT-associated PE is not well established. IDDVTs are associated
with (i) lower risk of recurrence when compared with other VTEs, and (ii) fewer
late sequelae than proximal DVT. Diagnosis of IDDVT is based on ultrasound
examination of all calf veins, which is more operator-dependent and less
sensitive than proximal vein examination. A series of studies has shown, however,
that a single complete ultrasound strategy in symptomatic patients has comparable
clinical results to serial proximal ultrasound, allowing approximately 15% better
DVT diagnosis. Optimal treatment of IDDVT is still controversial. Guidelines
recommend anticoagulation for 12 weeks, although 6 weeks may be sufficient. There
is, however, insufficient data to support the diagnosis and treatment of all
IDDVTs, and the necessary criteria to identify subjects at higher risk of
complication are lacking. It also seems likely that different approaches may be
better for unprovoked or secondary events and for deep or muscle veins.
Specifically designed and adequately powered clinical studies addressing the
issue of IDDVT need to be urgently undertaken.
PMID- 22082303
TI - Alkalinized lidocaine and heparin provide immediate relief of pain and urgency in
patients with interstitial cystitis.
AB - INTRODUCTION: It has been reported in an open-label study that the combination of
alkalinized lidocaine and heparin can immediately relieve the symptoms of urinary
urgency, frequency, and pain associated with interstitial cystitis (IC). This
combination has also been reported to relieve pain associated with sex in
patients with IC. AIM: The aim of this study was to corroborate these findings in
a multicenter setting. METHODS: The study design was a multicenter prospective,
double-blind, crossover, placebo-controlled trial. Each participant met all of
the clinical National Institute of Diabetes and Digestive and Kidney Diseases
criteria (excluding cystoscopy) for IC. Each patient received drug and control,
in random order, within 48 hours of enrolling in the study. MAIN OUTCOME
MEASURES: The primary outcome measure was percent change in pain score (11-point
analog pain scale) 12 hours after receiving the drug or control. Secondary
measures were the global assessment response (GAR) of symptoms and 12-hour
average urgency reduction determined from 11-point urgency scales. RESULTS:
Eighteen (18) patients completed the trial. The average reduction of pain over 12
hours was 21% for control and 42% for active drug (P = 0.0363). GAR was 13% for
control and 50% for drug (P = 0.0137). Average urgency reduction was 13% for
control and 35% for drug (P = 0.0328). CONCLUSIONS: The combination of
alkalinized lidocaine and heparin provides up to 12 hours of relief from urgency
and pain associated with IC. This combination provides significant immediate
relief of symptoms for patients with IC.
PMID- 22082304
TI - Binder phenotype in mothers affected with autoimmune disorders.
AB - OBJECTIVE: To report four foetal cases of the Binder phenotype associated with
maternal autoimmune disorders. PATIENTS AND METHODS: In three mothers with
autoimmune diseases, 2D and 3D ultrasonographic measurements were made on four
foetuses with the Binder profile, and were compared with postnatal phenotypes.
RESULTS: The Binder phenotype can be detected in early pregnancy (14.5 WG). All
foetuses had verticalized nasal bones and midfacial hypoplasia. Punctuate
calcifications were found in almost all the cases. No specific maternal auto
antibody has been associated with foetal Binder phenotype. CONCLUSION: Since the
Binder phenotype can be diagnosed at ultrasound examination during pregnancy, it
is important to establish the underlying cause so as to assess the foetal
prognosis. This study stresses the importance of systematic checks for maternal
autoimmune disease in cases of prenatally diagnosed Binder phenotypes.
PMID- 22082305
TI - Azobenzene photoswitching without ultraviolet light.
AB - Most azobenzene-based photoswitches use UV light for photoisomerization. This can
limit their application in biological systems, where UV light can trigger
unwanted responses, including cellular apoptosis. We have found that substitution
of all four ortho positions with methoxy groups in an amidoazobenzene derivative
leads to a substantial (~35 nm) red shift of the n-pi* band of the trans isomer,
separating it from the cis n-pi* transition. This red shift makes trans-to-cis
photoswitching possible using green light (530-560 nm). The cis state is
thermally stable with a half-life of ~2.4 days in the dark in aqueous solution.
Reverse (cis-to-trans) photoswitching can be accomplished with blue light (460
nm), so bidirectional photoswitching between thermally stable isomers is possible
without using UV light at all.
PMID- 22082306
TI - Phenomenological shifts for healthcare professionals after experiencing a
research-based drama on living with dementia.
AB - AIM: The aim of this article is to report research findings describing
phenomenological shifts, that is, changes in patterns of lived experience, for
healthcare professionals who attended a performance of a research-based drama,
called I'm Still Here. BACKGROUND: The research drama, based on six studies, was
created to help change the ways persons understand, think about and relate with
persons living with dementia. METHODS: In 2006-2007, 50 healthcare professionals
from various disciplines and eight nursing students participated in this study.
Participants were recruited from four Canadian cities in the province of Ontario
where focus groups were held before and after engaging in a live performance of
I'm Still Here. FINDINGS: Analysis of focus group transcripts showed shifts in
patterns of lived experience for the healthcare professional participants as
evident in the participants' descriptions. The phenomenological shifts reflected
a move from descriptions of 'diminishing humanness to discerning humanness', from
'disengaged care/mundane relating to reflexive relating in the now', and
'terrifying portrayals of loss to awakening to hopeful possibility'. The shifts
described herein are supported with examples from the focus group transcripts.
CONCLUSIONS: Findings reveal the power of drama as a vibrant and meaningful means
of shifting understandings, images and intended actions of healthcare professions
which have the potential to affect the lived experiences, relationships and
quality of life of persons with dementia.
PMID- 22082307
TI - Nanoparticle mediated targeting of VEGFR and cancer stem cells for cancer
therapy.
AB - Angiogenesis is a crucial process in tumor pathogenesis as it sustains malignant
cells with nutrients and oxygen. It is well known that tumor cells secrete
various growth factors, including VEGF, which triggers endothelial cells to form
new capillaries. Prevention of expansion of new blood vessel networks results in
reduced tumor size and metastasis. Production of VEGF is driven by hypoxia via
transcriptional activation of the VEGF gene by HIF-1alpha.Tumours are now
understood to contain different types of cells, and it is the cancer stem cells
that retain the ability to drive the tumour's growth. They are called cancer stem
cells because, like stem cells present in normal tissues of the body, they can
self-renew and differentiate. These cancer stem cells are responsible for the
relapse of cancer as they are found to be resistant to conventional modes of
cancer therapy like chemotherapy and radiation.In this review, a novel mode of
treatment of cancer is proposed, which utilizes the twin nanoparticle to target
endothelial cells in the niche of cancer stem cell. The nanoparticle discussed in
this review, is a twin nanoparticle of iron coated with gold, which targets VEGF
positive cell in the vicinity of cancer stem cell. In the twin nanoparticle, one
particle will recognize cancer stem cell, and another conjugated nanoparticle
will recognize VEGF positive cells, thereby inhibiting endothelial cells in the
proximity of cancer stem cell. This novel strategy will inhibit angiogenesis near
cancer stem cell hence new tumour cannot grow and old tumour will be unable to
metastasize.
PMID- 22082308
TI - The expression and clinical significance of pSTAT3, VEGF and VEGF-C in pancreatic
adenocarcinoma.
AB - Signal transducers and activators of transcription 3 (STAT3) is a central
cytoplasmic transcription factor and regulates a number of pathways important in
tumorigenesis including cell cycle progression, apoptosis, tumor angiogenesis,
invasion and metastasis.This study aims to investigate the expression of pSTAT3,
VEGF and VEGF-C in pancreatic adenocarcinoma and their relations to the
clinicopathological features, tumor angiogenesis and prognosis. In the present
study, the expression of pSTAT3, VEGF and VEGF-C and microvascular density (MVD)
were examined via immunohistochemistry. The clinicopathological information was
collected and patients were regularly followed up. The relationship between the
parameters and the clinicopathological features were analyzed, and the univariate
and multivariate prognostic factors were also analyzed. The expression of pSTAT3
in tumor tissues was significantly higher in contrast to that in normal tissues,
and pSTAT3 was related to VEGF and VEGF-C expression, MVD, tumor size,
lymphogenous status and TNM staging (P<0.05). Survival analysis suggested that
tumor size, TNM staging, pSTAT3 and VEGF expression were risk factors of
prognosis, but no independent factors were found. We concluded that pSTAT3, which
was a risk factor of prognosis, was abnormally expressed in pancreatic
adenocarcinoma and related to tumor size, TNM staging and lymphatic metastasis.
pSTAT3 may promote tumor angiogenesis via up-regulating VEGF on protein and even
gene levels, and enhance the early lymphatic metastasis through VEGF-C. Better
understanding of STAT3 signaling pathways in angiogenesis may contribute to the
development of novel therapeutic strategies in angiogenesis and metastasis of
pancreatic cancer.
PMID- 22082309
TI - The influence of neuropilin-1 silencing on semaphorin 3A and 3C activity in
B16(F10) murine melanoma cells.
AB - Neuropilin-1 (Nrp1), originally characterized as an adhesion molecule in the
nervous system, is a co-receptor for class-3 semaphorins. Neuropilins and
semaphorins are highly expressed in a wide spectrum of tumors and have been shown
to influence their growth and vascularization. Despite the growing body of data
on neuropilin/semaphorin regulation of tumor growth, still the exact mechanism of
their activity remains to be elucidated. Previously published data suggests that
Nrp1 has both anti- and promigratory characteristics in different tumor types,
although no data is available on its role in melanoma cells. In this paper, we
studied the effect of Nrp1 downregulation on B16(F10) melanoma cells migration.
Our results show that the silencing of Nrp1 significantly increases the overall
mobility of B16(F10) cells and changes their morphology. Moreover, Nrp1-silenced
B16(F10) cells show a decreased response to Sema3A. We also observed reduced
binding of Sema3A to these cells. Contrarily, no changes were observed in the
binding of Sema3C to Nrp1-silenced B16(F10) cells, nor in its chemorepellent
activity. Our results suggest that modulation of B16(F10) cells migratory ability
by semaphorin 3A can be preferentially mediated by Nrp1, while the contribution
of semaphorin 3C in this process is less evident. In addition, silencing of Nrp1
did not change the migratory ability of B16(F10) cells towards VEGF.
PMID- 22082310
TI - Repeated mitral valve replacement in a patient with extensive annular
calcification.
AB - BACKGROUND: Mitral valve replacement in the presence of severe annular
calcification is a technical challenge. CASE REPORT: A 47-year-old lady who had
undergone mitral and aortic valve replacement for rheumatic disease 27 years
before presented with dyspnea. At reoperation, extensive mitral annular
calcification was hindering the disc motion of the Starr-Edwards mitral
prosthesis. The old prosthesis was removed and a St Jude Medical mechanical valve
was implanted after thorough annular debridement. Postoperatively the patient
developed paravalvular leak and hemolytic anemia, subsequently undergoing
reoperation three days later. The mitral valve was replaced with an Edwards MIRA
valve, with a bulkier sewing cuff, after more aggressive annular debridement.
Although initially there was no paravalvular leak, it recurred five days later.
The patient also developed a small cerebral hemorrhage. As the paravalvular leak
and hemolytic anemia gradually worsened, the patient underwent reoperation 14
days later. A Carpentier-Edwards bioprosthetic valve with equine pericardial
patches, one to cover the debrided calcified annulus, another as a collar around
the prosthesis, was used to eliminate paravalvular leak. At 7 years
postoperatively the patient is doing well without any evidence of paravalvular
leak or structural valve deterioration. CONCLUSION: Mitral valve replacement
using a bioprosthesis with equine pericardial patches was useful to overcome
recurrent paravalvular leak due to severe mitral annular calcification.
PMID- 22082311
TI - Strawberries, blueberries, and cranberries in the metabolic syndrome: clinical
perspectives.
AB - Emerging science supports therapeutic roles of strawberries, blueberries, and
cranberries in metabolic syndrome, a prediabetic state characterized by several
cardiovascular risk factors. Interventional studies reported by our group and
others have demonstrated the following effects: strawberries lowering total and
LDL-cholesterol, but not triglycerides, and decreasing surrogate biomarkers of
atherosclerosis (malondialdehyde and adhesion molecules); blueberries lowering
systolic and diastolic blood pressure and lipid oxidation and improving insulin
resistance; and low-calorie cranberry juice selectively decreasing biomarkers of
lipid oxidation (oxidized LDL) and inflammation (adhesion molecules) in metabolic
syndrome. Mechanistic studies further explain these observations as up-regulation
of endothelial nitric oxide synthase activity, reduction in renal oxidative
damage, and inhibition of the activity of carbohydrate digestive enzymes or
angiotensin-converting enzyme by these berries. These findings need confirmation
in future studies with a focus on the effects of strawberry, blueberry, or
cranberry intervention in clinical biomarkers and molecular mechanisms underlying
the metabolic syndrome.
PMID- 22082312
TI - Intraprocedural and long-term incomplete occlusion of the left atrial appendage
following placement of the WATCHMAN device: a single center experience.
AB - INTRODUCTION: Transcatheter left atrial appendage (LAA) closure with the WATCHMAN
device has become one of the therapeutic options in atrial fibrillation (AF)
patients who are at high risk for ischemic stroke. However, the incidence and
evolution of incomplete occlusion of the LAA during and after placement of the
WATCHMAN device has not been reported. METHODS AND RESULTS: Fifty-eight
consecutive patients who had undergone WATCHMAN device implant were included in
the study. Intraprocedural, 45-day and 12-month transesophageal echocardiogram
images were reviewed and analyzed. Peridevice gap was noted in 16 (27.6%), 17
(29.3%), and 20 (34.5%) patients across the 3 time points. Intraprocedural gaps
are more likely to be persistent until 12 months and become larger in size over
time. New gap also occurs during follow-up even if the LAA was completely sealed
at implantation. One patient had an ischemic stroke 4.7 months after implant;
another patient developed a left atrial thrombus over the device 21.6 months
after implant. Both patients had intraprocedural gap and discontinued warfarin
therapy after the 45-day evaluation. CONCLUSION: Incomplete LAA occlusion with a
gap between the WATCHMAN device surface and the LAA wall is relatively common.
Intraprocedural gaps are more likely to become bigger over time and persist,
while new gaps also occur during follow-up. Further studies are warranted to
verify whether the presence and persistence of a peridevice gap is associated
with increased risk of thromboembolic event in AF patients implanted with a
WATCHMAN device.
PMID- 22082313
TI - Platelet transfusion alters CD40L blood level and release capacity in patients
suffering from thrombocytopenia.
AB - BACKGROUND: Platelet (PLT)-derived cytokines, such as soluble CD40 ligand
(sCD40L), play an important role in the development of adverse transfusion
reactions associated with the administration of PLT products. In this study, we
determined sCD40L concentration and release capacity in patients with
thrombocytopenia before and after receiving a PLT transfusion. STUDY DESIGN AND
METHODS: The study included 12 patients suffering from chemotherapy-induced
thrombocytopenia. sCD40L levels and release capacity were measured in plasma
samples of the patients before and after PLT administration as well as in the
respective plateletpheresis concentrates by enzyme-linked immunosorbent assay.
Sixteen healthy blood donors served as a control group. RESULTS: In PLT
concentrates, elevated sCD40L levels (2567+/-134 pg/mL) were observed in
comparison to plasma sCD40L levels in controls (238.4+/-35.3 pg/mL). sCD40L
plasma concentration of patients with thrombocytopenia was significantly reduced
(86.3+/-16.7 pg/mL) before transfusion and increased to nearly normal levels
(204.4+/-24.8 pg/mL) after PLT administration. In parallel, the sCD40L release
capacity per PLT showed no significant difference between controls and patients
with thrombocytopenia before transfusion (33.3+/-2.6 and 29.3+/-4.6 ag/PLT,
respectively) but was significantly reduced after PLT transfusion (22.4+/-2.7
compared to 29.3+/-4.6 ag/PLT). CONCLUSIONS: In patients with thrombocytopenia,
sCD40L levels were clearly influenced by PLT transfusions: PLT administration led
to a normalization of sCD40L plasma concentration. Nevertheless, adverse
transfusion reactions did not occur in these patients. The sCD40L release
capacity was enhanced by PLT administration dependent on the increase in the
amount of PLT count.
PMID- 22082314
TI - Temsirolimus, an mTOR inhibitor, in combination with lower-dose clofarabine as
salvage therapy for older patients with acute myeloid leukaemia: results of a
phase II GIMEMA study (AML-1107).
AB - The mammalian target of rapamycin (mTOR) signalling pathway has emerged as an
important therapeutic target for acute myeloid leukaemia (AML). This study
assessed the combination of temsirolimus, an mTOR inhibitor, and lower-dose
clofarabine as salvage therapy in older patients with AML. Induction consisted of
clofarabine 20mg/m(2) on days 1-5 and temsirolimus 25mg (flat dose) on days 1, 8
and 15. Patients achieving complete remission with (CR) or without (CRi) full
haematological recovery could receive monthly temsirolimus maintenance. In 53
evaluable patients, the overall remission rate (ORR) was 21% (8% CR, 13% CRi).
Median disease-free survival was 3.5months, and median overall survival was
4months (9.1months for responders). The most common non-haematological severe
adverse events included infection (48%), febrile neutropenia (34%) and
transaminitis (11%). The 30-d all-cause induction mortality was 13%. Laboratory
data from 25 patients demonstrated that a >50%in vivo inhibition of S6 ribosomal
protein phosphorylation was highly correlated with response rate (75% with
inhibition versus 0% without inhibition; P=0.0001), suggesting that targeting the
mTOR pathway is clinically relevant. The acceptable safety profile and the
predictive value of target inhibition encourage further investigation of this
novel regimen.
PMID- 22082315
TI - Microinjection of HSV-1 amplicon vector-mediated human proenkephalin into the
periaqueductal grey attenuates neuropathic pain in rats.
AB - We investigated the antinociceptive effect of microinjection of HSV-1 amplicon
vector-mediated human proenkephalin (hPPE) into the ventral periaqueductal grey
(PAG) on neuropathic pain in rats. Male Sprague-Dawley rats with chronic
constriction injury (CCI)-induced neuropathic pain were microinjected into the
ventral PAG with normal saline (NS), pHSVIRES-lacZ (SHZ), or HSV-1 amplicon
vector pHSVIRES-hPPE-lacZ (SHPZ), respectively. Pain thresholds in the SHPZ
treated rats were significantly higher at day 3, then reached peak at day 14 and
lasted until day 35 after PAG administration, and these effects were reversed by
naloxone. In contrast, NS or SHZ-treated rats did not significantly affect pain
thresholds. These results demonstrated that microinjection of HSV-1 amplicon
vector-mediated hPPE into the ventral PAG attenuates neuropathic pain in rats.
PMID- 22082317
TI - Synthesis of the asperparaline core by a radical cascade.
AB - A concise access to the pentacyclic core structure of the asperparalines is
described. The key step is a radical cascade sequence comprised of a 1,6-hydrogen
atom transfer followed by 6-exo-trig and 5-exo-trig cyclizations.
PMID- 22082316
TI - Automated time activity classification based on global positioning system (GPS)
tracking data.
AB - BACKGROUND: Air pollution epidemiological studies are increasingly using global
positioning system (GPS) to collect time-location data because they offer
continuous tracking, high temporal resolution, and minimum reporting burden for
participants. However, substantial uncertainties in the processing and
classifying of raw GPS data create challenges for reliably characterizing time
activity patterns. We developed and evaluated models to classify people's major
time activity patterns from continuous GPS tracking data. METHODS: We developed
and evaluated two automated models to classify major time activity patterns
(i.e., indoor, outdoor static, outdoor walking, and in-vehicle travel) based on
GPS time activity data collected under free living conditions for 47 participants
(N = 131 person-days) from the Harbor Communities Time Location Study (HCTLS) in
2008 and supplemental GPS data collected from three UC-Irvine research staff (N =
21 person-days) in 2010. Time activity patterns used for model development were
manually classified by research staff using information from participant GPS
recordings, activity logs, and follow-up interviews. We evaluated two models: (a)
a rule-based model that developed user-defined rules based on time, speed, and
spatial location, and (b) a random forest decision tree model. RESULTS: Indoor,
outdoor static, outdoor walking and in-vehicle travel activities accounted for
82.7%, 6.1%, 3.2% and 7.2% of manually-classified time activities in the HCTLS
dataset, respectively. The rule-based model classified indoor and in-vehicle
travel periods reasonably well (Indoor: sensitivity > 91%, specificity > 80%, and
precision > 96%; in-vehicle travel: sensitivity > 71%, specificity > 99%, and
precision > 88%), but the performance was moderate for outdoor static and outdoor
walking predictions. No striking differences in performance were observed between
the rule-based and the random forest models. The random forest model was fast and
easy to execute, but was likely less robust than the rule-based model under the
condition of biased or poor quality training data. CONCLUSIONS: Our models can
successfully identify indoor and in-vehicle travel points from the raw GPS data,
but challenges remain in developing models to distinguish outdoor static points
and walking. Accurate training data are essential in developing reliable models
in classifying time-activity patterns.
PMID- 22082318
TI - Phosphorylated alpha-synuclein immunoreactivity in the posterior pituitary lobe.
AB - Parkinson's disease is now recognized as a major form of alpha-synucleinopathy
involving both the central and peripheral nervous systems. However, no research
has focused on the posterior pituitary lobe (PPL), despite the fact that this
organ also plays an important role in systemic homeostasis. In the present study,
we aimed to distinguish phosphorylated alpha-synuclein (palphaSyn)-positive
deposits in the PPL, as is observed in Lewy body- and non-Lewy body-related
disorders. PalphaSyn deposits were immunohistochemically analyzed using formalin
fixed, paraffin-embedded PPL specimens obtained from 60 autopsy cases. Among the
cases with Lewy body-related disorders, PPL palphaSyn deposits were observed in
almost all cases of Parkinson's disease (22/23), and in one case of dementia with
Lewy bodies (1/1). On the other hand, only 3/36 cases of non-Lewy body-related
disorders had palphaSyn immunoreactivity in the PPL. The present study confirms
the presence of palphaSyn-positive deposits, as demonstrated by high specificity
(97.1%) and sensitivity (88.5%), in both Parkinson's disease and dementia with
Lewy bodies, suggesting that this finding can be a useful hallmark of Lewy body
related disorders.
PMID- 22082319
TI - Control of 1,3-cyclohexadiene photoisomerization using light-induced conical
intersections.
AB - We have studied the photoinduced isomerization from 1,3-cyclohexadiene to 1,3,5
hexatriene in the presence of an intense ultrafast laser pulse. We find that the
laser field maximally suppresses isomerization if it is both polarized parallel
to the excitation dipole and present 50 fs after the initial photoabsorption, at
the time when the system is expected to be in the vicinity of a conical
intersection that mediates this structural transition. A modified ab initio
multiple spawning (AIMS) method shows that the laser induces a resonant coupling
between the excited state and the ground state, i.e., a light-induced conical
intersection. The theory accounts for the timing and direction of the effect.
PMID- 22082320
TI - To lube or not to lube: experiences and perceptions of lubricant use in women
with and without dyspareunia.
AB - INTRODUCTION: There are few studies examining the relationship between lubricant
use and sexual functioning, and no studies have examined this relationship in
women with dyspareunia. Vaginal dryness is a prevalent complaint among women of
all ages. There is an association between vaginal dryness and painful
intercourse; therefore, women with dyspareunia represent a particularly relevant
sample of women in which to investigate lubricant use. AIM: The aim of this study
was to examine differences between women with and without dyspareunia in self
reported natural lubrication and attitudes toward and use of personal lubricants.
METHODS: Respondents completed an online survey including questions on
demographics, gynecological/medical history, sexual functioning, and lubricant
use and attitudes. MAIN OUTCOME MEASURES: The main outcome measures used were the
Female Sexual Function Index (FSFI) and questions regarding attitudes toward and
use of lubricants. RESULTS: Controls scored higher on the lubrication subscale of
the FSFI than women with dyspareunia (P < 0.001). Women with dyspareunia reported
greater frequency of lubricant use during sexual activity over the last year (P <
0.01). They were also more likely to use lubricant prior to penetration (P <
0.05). The most common use for controls was to enhance sexual experiences. This
was also a common answer for women with dyspareunia; however, in this group, the
most common reason was to reduce/alleviate pain. Lubricants were rated as less
effective among women with dyspareunia vs. controls across all reported reasons
for use. Nevertheless, lubricant use was still rated as being moderately
effective in alleviating pain for women with dyspareunia. CONCLUSIONS: Women with
dyspareunia have more difficulty with natural lubrication; it is consequently not
surprising that they reported using lubricant more frequently than control women.
Women with dyspareunia reported using lubricants more often than controls to try
to prevent or alleviate pain and reported this as being a moderately effective
strategy, suggesting that it may be a useful tool for some women with
dyspareunia.
PMID- 22082321
TI - Predicting factors of pressure ulcers in older Thai stroke patients living in
urban communities.
AB - AIM AND OBJECTIVE: To describe the contributing factors for pressure ulcer
development in older Thai stroke patients in an urban community. BACKGROUND:
Pressure ulcers are a common problem signifying a source of morbidity and
mortality in older stroke patients. In the past, most studies have focused on the
physiological factors affecting pressure ulcer development. However, studies
related to effect of stroke severity and psychosocial factors in community-based
setting have not found. DESIGN: Cross-sectional survey design. METHODS: One
hundred and sixty-eight older stroke patients from urban communities in three
districts in metropolitan Thailand were recruited for this cross sectional study.
Data were collected from the Skin Assessment Tool, the Braden scale, the Canadian
Neurological Scale, the Social Support Questionnaire and the Thai Geriatric
Depression Scale for each patient. The results were analysed using multiple
logistic regression and the chi-square test. RESULTS: Eighty older stroke
patients who developed pressure ulcers (47.6%). The participants were aged
between 60-93 years. Multiple logistic regression analysis was applied to
calculate the odds ratio. The significant predicting factors for pressure ulcers
were activity, moisture, nutrition, friction and shearing and depression. Sensory
perception, mobility, severity of stroke and social support were not found to be
significant predictors. CONCLUSIONS: Physiological factors and depression were
found to be significant predicting factors for pressure ulcer development in
older stroke patients living in an urban community. RELEVANCE TO CLINICAL
PRACTICE: To help prevent pressure ulcers in older stroke patients, the findings
suggest that healthcare providers assess activity, moisture, nutrition, friction
and shearing, as well as psychological assessment for depression. The results
indicate that models for pressure ulcer prevention merit further investigation.
PMID- 22082322
TI - Anacetrapib: a new weapon against dyslipidemia.
AB - Anacetrapib is a cholesteryl-ester-transfer-protein (CETP) inhibitor, a new class
of experimental drugs in the treatment of primary hypercholesterolemia and
dyslipidaemia associated with the metabolic syndrome. One of the major advantages
of this agent is, apart from the significant decrease in LDL-C it produces a
substantial increase in HDL-C. Phase I, II, and III clinical trials have shown
that anacetrapib is safe alone or in combination with statins. However, longterm
clinical trials are required in order to assess whether it reduces mortality in
individuals at high-risk of cardiovascular disease.
PMID- 22082323
TI - Olfactory dysfunction and cognitive impairment in age-related neurodegeneration:
prevalence related to patient selection, diagnostic criteria and therapeutic
treatment of aged clients receiving clinical neurology and community-based care.
AB - A decrease in olfactory function with age has been attributed to a variety of
factors including normal anatomical and physiological changes in aging, surgery,
trauma, environmental factors, medications and disease. Olfactory impairment has
also been associated with neurodegenerative diseases such as Alzheimer's disease
(AD) and Parkinson's disease. Deficits in these chemical senses cannot only
reduce the pleasure and comfort from food, but represent risk factors for
nutritional and immune deficiencies as well as adherence to specific dietary
regimens. Therapy is limited, but one should be aware of the existing medical and
surgical treatment modalities. Reactive oxygen and nitrogen species, copper and
zinc ions, glycating agents and reactive aldehydes, protein cross-linking and
proteolytic dysfunction may all contribute to neurodegeneration, olfactory
dysfunction, AD. Carnosine (beta-alanyl- L-histidine) is a naturally-occurring,
pluripotent, homeostatic transglycating agent. The olfactory lobe is normally
enriched in carnosine and zinc. Loss of olfactory function and oxidative damage
to olfactory tissue are early symptoms of AD. Protein and lipid oxidation and
glycation are integral components of the AD pathophysiology. Carnosine can
suppress amyloidbeta peptide toxicity, inhibit production of oxygen free
radicals, scavenge hydroxyl radicals and reactive aldehydes, and suppresses
protein glycation. The observations suggest that patented non-hydrolyzed
carnosine lubricant drug delivery or perfume toilet water formulations combined
with related moiety amino acid structures, such as beta-alanine, should be
explored for therapeutic potential towards olfactory dysfunction, AD and other
neurodegenerative disorders. "The olfactory system, anatomically, is right in the
middle of the part of the brain that's very important for memory. There are
strong neural connections between the two." ~ Donald Wilson.
PMID- 22082325
TI - Unexpected high levels of vorinostat when combined with vinorelbine in patients
with advanced cancer.
AB - BACKGROUND: This study was a multi-centre, dose-escalation trial in patients with
advanced cancers. Primary objective was to determine maximum tolerated dose (MTD)
of vorinostat, a competitive inhibitor of histone deacetylase (HDAC), in
combination with vinorelbine. Secondary aims were to determine (1) corresponding
pharmacokinetics, (2) safety of this regimen, and (3) impact of UGT1A1 and 2B17
polymorphisms on vorinostat pharmacokinetics. METHODS: Starting dose of once
daily oral vorinostat was 200 mg for 7 days every 21 days in combination with a
20-min intraveinous weekly infusion of vinorelbine 25 mg/m2, starting 4 hours
after the first vorinostat dose. During cycle 1, blood samples were collected at
day 1 for vorinostat and at days 1 and 8 for vinorelbine for pharmacokinetic
evaluation. RESULTS: Seven patients were included. Most of adverse events
observed were mild (grades 0-2) and reversible after treatment discontinuation
(hemotological toxicity, asthenia, diarrhea, dyspnea, fever, hyperglycemia and
nausea). Two patients had a dose limiting toxicity at the first dose level that
consisted of grade 3 hyperglycemia and vinorelbine administration was delayed.
The first dose-level was considered as the MDT and therefore dose escalation was
stopped. Mean vorinostat plasma AUC was higher than reported previously at a
similar dose when used as single agent or in combination with other cytotoxics.
There was no obvious vinorelbine-vorinostat interaction nor any correlation with
UGT1A1 or 2B17 polymorphisms. CONCLUSION: MDT of the combination was 200 mg oral
vorinostat for 7 days in combination with 25 mg/m2 weekly vinorelbine. Severity
of hyperglycemia was most likely related to unexpected high vorinostat exposures.
PMID- 22082326
TI - Supplementation of glutamine and omega-3 polyunsaturated fatty acids as a novel
therapeutic intervention targeting metabolic dysfunction and exercise intolerance
in patients with heart failure.
AB - With its increasing prevalence throughout the world, heart failure continues to
be associated with high morbidity and mortality. Patients with heart failure
develop progressive metabolic abnormalities, inflammation, and atrophy in the
myocardium and skeletal muscle. Improvement in functional capacity as defined by
exercise tolerance is essential for better quality of life and potentially
survival of these patients. Therapeutic management options aimed at improving
peripheral organ function are limited. Nutritional approaches with dietary
supplementation in addition to current therapies are particularly appealing as
they are novel and mechanistically different. In this article, we review the role
of glutamine and omega-3 polyunsaturated fatty acids on metabolism and functional
capacity in heart failure. These two compounds are of particular interest due to
their synergistic role on oxidative metabolism, lipolysis and inflammation.
PMID- 22082324
TI - Metabolic correction in the management of diabetic peripheral neuropathy:
improving clinical results beyond symptom control.
AB - Current Clinical Management Guidelines of Diabetic Peripheral Neuropathy (DPN)
are based on adequate glucose control and symptomatic pain relief. However,
meticulous glycemic control could delay the onset or slow the progression of
diabetic neuropathy in patients with DM type 2, but it does not completely
prevent the progression of the disease. Complications of DPN as it continues its
natural course, produce increasing pain and discomfort, loss of sensation,
ulcers, infections, amputations and even death. In addition to the increased
suffering, disability and loss of productivity, there is a very significant
economic impact related to the treatment of DPN and its complications. In USA
alone, it has been estimated that there are more than 5,000,000 patients
suffering from DPN and the total annual cost of treating the disease and its
complications is over $10,000 million dollars. In order to be able to reduce
complications of DPN, it is crucial to improve or correct the metabolic
conditions that lead to the pathology present in this condition. Pathophysiologic
mechanisms implicated in diabetic neuropathy include: increased polyol pathway
with accumulation of sorbitol and reduced Na+/K+-ATPase activity, microvascular
damage and hypoxia due to nitric oxide deficit and increased oxygen free radical
activity. Moreover, there is a decrease in glutathione and increase in
homocysteine. Clinical trials in the last two decades have demonstrated that the
use of specific nutrients can correct some of these metabolic derangements,
improving symptom control and providing further benefits such as improved
sensorium, blood flow and nerve regeneration. We will discuss the evidence on
lipoic acid, acetyl-L-carnitine, benfotiamine and the combination of active B
vitamins L-methylfolate, methylcobalamin and piridoxal-6-phosphate. In addition,
we discuss the role of metformin, an important drug in the management of
diabetes, and the presence of specific polymorphic genes, in the risk of
developing DPN and how metabolic correction can reduce these risks.
PMID- 22082327
TI - Drug-induced anemia and other red cell disorders: a guide in the age of
polypharmacy.
AB - Several medications have been linked to red blood cell (RBC) disorders. The
frequency of these side effects varies, depending on the condition, but they can
be associated with significant morbidity and mortality. The problem is likely to
exacerbate in aging populations with frequent comorbidities, proportional to the
growing number of medications used. Notable drug-related RBC disorders include
hemolytic anemia, megaloblastic anemia, sideroblastic anemia, polycythemia,
methemoglobinemia, anemia of irritation/inflammation, and anemia caused by
suppression of RBC production. The list of medications that are associated with
these disorders is long and includes many commonly-used drugs. This could pose a
challenge in timely diagnosis and management of these disorders. Prior knowledge
of the potential for drug-related RBC disorders and monitoring the patients who
are being treated with medications known to cause RBC disorders are critical to
ensure timely and effective response, should such adverse reactions occur.
PMID- 22082328
TI - Antibiotic resistance of bacterial strains isolated from patients with community
acquired urinary tract infections: an exploratory study in Palestine.
AB - BACKGROUND AND OBJECTIVES: No studies about resistance of bacteria isolated from
patients with community-acquired urinary tract infections (CA-UTI) or local
guidelines for antibiotic use in these infections have been published or
established in the West Bank, Palestine. The objectives of this study were to
determine the (1) type and frequency of isolated bacteria and (2) their
resistance to commonly used antibiotics. METHODS: A cross sectional study on
community urinary isolates was carried out in Nablus, Palestine between November
2009 and April 2010. A convenience sampling method was used for collection of
specimens. RESULTS: A total of 375 specimens were collected from 306 (81.6%)
females and 69 (18.4%) males. Three hundred and thirty nine (90.4%) of isolated
uropathogens were Gram-negative bacteria, of which 243 (71.7%) were Escherichia
coli. Thirty six (9.6 %) of the total isolates were Gram-positive bacteria, of
which 21 (58.3%) were Staphylococcus saprophyticus. High resistance rates were
recorded for E. coli against trimethoprim/sulfamethoxazole (37%), nitrofurantoin
(29%), ampicillin (65%), and nalidixic acid (37%). E. coli showed low resistance
to amoxicillin/clavulanic acid, ciprofloxacin, cefotaxime and ceftriaxone with
rates of 12.2, 17.2, 11.1, and 11.1% respectively. CONCLUSION: E. coli was the
most frequent bacterium in the studied sample and showed high resistance to first
line antibiotics. Our results highlight the need for developing local guidelines
where elevated resistance to antibiotics should influence prescribing decisions.
PMID- 22082329
TI - The clinical pharmacology of short acting analgo-sedatives in neonates.
AB - Effective pain management remains an important indicator of the quality of care
provided to neonates, not only from an ethical, but also from a clinical outcome
perspective. Significant progresses in non-pharmacological therapies have been
made. However - in the meanwhile - neonatal practice also evolved. These shifts
in clinical care also induced in a shift in pharmacodynamic end points, and
consequently, new pharmacological observations are needed with emphasis on short
acting procedural analgo-sedatives. Analgo-sedation in neonates remains a
balanced decision based on systematic assessment (pharmacodynamics, PD), followed
by titrated administration of the most appropriate analgesic(s) (PK) with
subsequent re-assessment (PD) to adapt and further titrate exposure and effects.
In this review, we will focus on aspects of the clinical pharmacology
(pharmacokinetics (PK) and -dynamics) of newly emerging, short acting analgo
sedatives (midazolam, propofol, remifentanil, inhalational agents, ketamine) in
neonates. Based on the currently available information on predictability of
disposition and the limited pharmacodynamic side effects (hemodynamics, neuro
apoptosis), it seems that remifentanil is the most promising compound for further
evaluation.
PMID- 22082331
TI - From laparoscopic assisted radical vaginal hysterectomy to vaginal assisted
laparoscopic radical hysterectomy.
AB - Radical hysterectomy with pelvic lymphadenectomy is the standard surgical
treatment for patients with early stage cervical cancer. The majority of radical
hysterectomies are performed with the open technique. However, laparoscopic,
combined laparoscopic and vaginal, and robotic-assisted approaches may also be
used. Compared with the abdominal radical hysterectomy (ARH), laparoscopic
techniques are associated with less blood loss, shorter hospital stay, better
cosmesis, and faster recovery. A further breakthrough in laparoscopic technique
can only be made if safety and oncological clearance are comparable with ARH. We
describe the technique and results of laparoscopic assisted radical vaginal
hysterectomy and the transition to vaginal assisted laparoscopic radical
hysterectomy.
PMID- 22082330
TI - Bacterial vaginosis, Atopobium vaginae and nifuratel.
AB - As bacterial vaginosis (BV) is a potential cause of obstetric complications and
gynecological disorders, there is substantial interest in establishing the most
effective treatment. Standard treatment - metronidazole or clindamycin, by either
vaginal or oral route ? is followed by relapses in about 30% of cases, within a
month from treatment completion. This inability to prevent recurrences reflects
our lack of knowledge on the origins of BV. Atopobium vaginae has been recently
reported to be associated with BV in around 80% of the cases and might be
involved in the therapeutic failures. This review looks at the potential benefits
of nifuratel against A. vaginae compared to the standard treatments with
metronidazole and clindamycin. In vitro, nifuratel is able to inhibit the growth
of A. vaginae, with a MIC range of 0.125-1 MUg/mL; it is active against G.
vaginalis and does not affect lactobacilli. Metronidazole is active against A.
vaginae only at very high concentrations (8-256 MUg/mL); it is partially active
against G. vaginalis and also has no effect on lactobacilli. Clindamycin acts
against A. vaginae with an MIC lower than 0.125 MUg/mL and is active on G.
vaginalis but it also affects lactobacilli, altering the vaginal environment.
These observations suggest that nifuratel is probably the most valid therapeutic
agent for BV treatment.
PMID- 22082332
TI - Nanoporous graphitic-C3N4@carbon metal-free electrocatalysts for highly efficient
oxygen reduction.
AB - Based on theoretical prediction, a g-C(3)N(4)@carbon metal-free oxygen reduction
reaction (ORR) electrocatalyst was designed and synthesized by uniform
incorporation of g-C(3)N(4) into a mesoporous carbon to enhance the electron
transfer efficiency of g-C(3)N(4). The resulting g-C(3)N(4)@carbon composite
exhibited competitive catalytic activity (11.3 mA cm(-2) kinetic-limiting current
density at -0.6 V) and superior methanol tolerance compared to a commercial Pt/C
catalyst. Furthermore, it demonstrated significantly higher catalytic efficiency
(nearly 100% of four-electron ORR process selectivity) than a Pt/C catalyst. The
proposed synthesis route is facile and low-cost, providing a feasible method for
the development of highly efficient electrocatalysts.
PMID- 22082333
TI - Microvesicles as novel biomarkers and therapeutic targets in transplantation
medicine.
AB - Microvesicles (MVs) including exosomes are emerging new biomarkers and potential
regulators of inflammation and immunological processes. Such particles contain
proteins and genetic information including DNA and microRNAs that may be of
importance for cell/cell communication. However, their role during and after
organ transplantation and immunomodulatory effects is only in its beginning of
understanding. We here, in brief, introduce generation and biological importance
of MVs, describe their (patho)physiological roles and their potential use as
future biomarkers and therapeutic agents in transplantation medicine. Circulating
MVs may have a great potential to detect possible immune rejections and MV
modulation may emerge as a therapeutic approach in organ rejection therapy.
PMID- 22082334
TI - 3D/4D sonography moved prenatal diagnosis of fetal anomalies from the second to
the first trimester of pregnancy.
AB - The introduction of 3D/4D sonography with high frequency transvaginal transducer
has resulted in remarkable progress in ultrasonographic visualization of early
embryos and fetuses and development of new fields of 3D sonoembryology. With the
proper use of this new diagnostic modality and with experienced examiner, both
structural and functional development in the first trimester of gestation can be
assessed more objectively and reliable. Indeed new technology moved embryology
from postmortem studies to the in vivo environment. Furthermore, there are good
reasons to believe that 3D/4D sonography moved prenatal diagnosis of fetal
abnormalities from the second to the first trimester of pregnancy. We will try to
illustrate it with the number of convincing slides.
PMID- 22082335
TI - Glutathione-deficient mice are susceptible to TCDD-Induced hepatocellular
toxicity but resistant to steatosis.
AB - 2,3,7,8-Tetrachlorodibenzo-p-dioxin (TCDD) generates both hepatocellular injury
and steatosis, processes that involve oxidative stress. Herein, we evaluated the
role of the antioxidant glutathione (GSH) in TCDD-induced hepatotoxicity.
Glutamate-cysteine ligase (GCL), comprising catalytic (GCLC) and modifier (GCLM)
subunits, is rate limiting in de novo GSH biosynthesis; GCLM maintains GSH
homeostasis by optimizing the catalytic efficiency of GCL holoenzyme. Gclm(-/-)
transgenic mice exhibit 10-20% of normal tissue GSH levels. Gclm(-/-) and
Gclm(+/+) wild-type (WT) female mice received TCDD for 3 consecutive days and
were then examined 21 days later. As compared with WT littermates, Gclm(-/-) mice
were more sensitive to TCDD-induced hepatocellular toxicity, exhibiting lower
reduction potentials for GSH, lower ATP levels, and elevated levels of plasma
glutamic oxaloacetic transaminase (GOT) and gamma-glutamyl transferase (GGT).
However, the histopathology showed that TCDD-mediated steatosis, which occurs in
WT mice, was absent in Gclm(-/-) mice. This finding was consistent with cDNA
microarray expression analysis, revealing striking deficiencies in lipid
biosynthesis pathways in Gclm(-/-) mice; qrt-PCR analysis confirmed that Gclm(-/
) mice are deficient in expression of several lipid metabolism genes including
Srebp2, Elovl6, Fasn, Scd1/2, Ppargc1a, and Ppara. We suggest that whereas GSH
protects against TCDD-mediated hepatocellular damage, GSH deficiency confers
resistance to TCDD-induced steatosis due to impaired lipid metabolism.
PMID- 22082338
TI - Effects of denervation at ileocecal junction and ileocecal resection in dogs.
AB - BACKGROUND: To investigate neural regulation at the ileocecal junction (ICJ) and
motility changes after ileocecal resection (ICR). Previous studies showed normal
basal motility at the ICJ directly by force transducers in dogs, but these
observations were limited to normal contractile activity. METHODS: Continuous
strain gauge recordings of stomach, terminal ileum, ileocecal sphincter (ICS),
and colon were performed in dogs. The dogs were divided into four groups, namely
control (CONT), extrinsic denervation at ICJ (ED), intrinsic denervation at ICJ
(ID), and ICR groups. Colonic activity was recorded 2 h before a meal, in the
early postprandial period (first 2 h), and in the late postprandial period (4-6 h
after a meal). The meal lasted 5 min. KEY RESULTS: Motility index was
significantly increased at the ICS (P = 0.0056) and proximal colon (P = 0.0059)
after feeding. However, such changes were not observed in the ED and ID groups.
The amplitude of contractions at proximal colon in the interdigestive state was
significantly decreased by ED. In the ID and ICR groups, the numbers of
nonmigrating contractions were significantly decreased (P < 0.05), and colonic
migrating motor complex (CMMC) ratio was significantly higher than that of the
CONT group (P < 0.001). The dogs in these two groups had diarrhea. CONCLUSIONS &
INFERENCES: Gastrocolonic response at the ICJ may require both intrinsic and
extrinsic innervation. When ID was performed, CMMC ratio increased. As a result,
intraluminal water absorption may have decreased. ID may be one of the causes of
diarrhea after ICR.
PMID- 22082336
TI - Global assessment of genomic variation in cattle by genome resequencing and high
throughput genotyping.
AB - BACKGROUND: Integration of genomic variation with phenotypic information is an
effective approach for uncovering genotype-phenotype associations. This requires
an accurate identification of the different types of variation in individual
genomes. RESULTS: We report the integration of the whole genome sequence of a
single Holstein Friesian bull with data from single nucleotide polymorphism (SNP)
and comparative genomic hybridization (CGH) array technologies to determine a
comprehensive spectrum of genomic variation. The performance of resequencing SNP
detection was assessed by combining SNPs that were identified to be either in
identity by descent (IBD) or in copy number variation (CNV) with results from SNP
array genotyping. Coding insertions and deletions (indels) were found to be
enriched for size in multiples of 3 and were located near the N- and C-termini of
proteins. For larger indels, a combination of split-read and read-pair approaches
proved to be complementary in finding different signatures. CNVs were identified
on the basis of the depth of sequenced reads, and by using SNP and CGH arrays.
CONCLUSIONS: Our results provide high resolution mapping of diverse classes of
genomic variation in an individual bovine genome and demonstrate that structural
variation surpasses sequence variation as the main component of genomic
variability. Better accuracy of SNP detection was achieved with little loss of
sensitivity when algorithms that implemented mapping quality were used. IBD
regions were found to be instrumental for calculating resequencing SNP accuracy,
while SNP detection within CNVs tended to be less reliable. CNV discovery was
affected dramatically by platform resolution and coverage biases. The combined
data for this study showed that at a moderate level of sequencing coverage, an
ensemble of platforms and tools can be applied together to maximize the accurate
detection of sequence and structural variants.
PMID- 22082339
TI - Myocardial recovery in peri-partum cardiomyopathy after continuous flow left
ventricular assist device.
AB - Left ventricular assist devices (LVADs) offer effective therapy for severe heart
failure (HF) as bridge to transplantation or destination therapy. Rarely, the
sustained unloading provided by the LVAD has led to cardiac reverse remodelling
and recovery, permitting explantation of the device. We describe the clinical
course of a patient with severe peri-partum cardiomyopathy (PPCM) rescued with a
continuous flow LVAD, who experienced recovery and explantation. We discuss
assessment of and criteria for recovery.
PMID- 22082340
TI - Nutrition and udder health in dairy cows: a review.
AB - Mastitis is still one of the three main diseases that affects the profitability
of dairy farmers. Despite the implementation of the five-point mastitis control
programme in the early 1970 s, the incidence in the UK has not reduced
dramatically over the past 10 years. A review of the scientific literature
indicates that there is a link between nutrition and mastitis in the dairy cow.
The major impact of nutrition on udder health is via suppression of the immune
system. Cows in negative energy balance are at a higher risk of ketosis and
clinical ketosis is associated with a two-fold increase in the risk of clinical
mastitis. Trace minerals and vitamins that can affect udder health are selenium
and vitamin E, copper, zinc, and vitamin A and beta-carotene.
PMID- 22082341
TI - East-West gradient in cardio-vascular mortality in Austria: how much can we
explain by following the pattern of risk factors?
AB - BACKGROUND: Various studies show major regional differences in the prevalence of
cardio-vascular disease morbidity and mortality, both in Europe and within
European countries. In Austria, these differences are documented by an East-West
gradient with declining morbidity and mortality rates when moving from the East
to the West of the country. It was the aim of this study to analyse if, and to
what extent, socio-demographic and socio-economic determinants, social resources
and health behaviour can contribute to the clarification of this East-West
gradient by conducting secondary analyses of an existing Austrian health dataset.
RESULTS: The data were analysed using bivariate analyses, as well as univariate
and multivariate logistic regression models. These analyses revealed significant
East-West gradients for various risk factors, as well as socio-demographic and
socio-economic health determinants. There was a gradual decrease of hypertension,
diabetes mellitus, obesity, and psycho-social discomfort in both sexes, with the
highest prevalences in those Austrian regions with the highest cardio-vascular
mortality and a stepwise decrease to the regions with the lowest cardio-vascular
mortality. Controlling for educational level significantly raised the odds for
diabetes, hypertension and obesity. In the results of the multivariate analyses,
factors that significantly and independently predicted diabetes mellitus were
geographic location, psycho-social discomfort, lack of physical exercise, and age
in both sexes. For women these factors additionally included a low educational
level, lack of social support, and being born abroad. CONCLUSIONS: Our study
shows a clear gradual decline of cardio-vascular mortality and some of its risk
factors from East to West in Austria. Concerning these risk factors, the
geographic region and psycho-social discomfort showed the greatest association
with diabetes mellitus, hypertension, and obesity. Hence, they contribute to the
explanation of the variance in spatial cardio-vascular disease mortality. Yet, a
large proportion of this variance remains unexplained. It would be of great
importance to public health and preventive measures to take a closer look at
spatial differences in cardio-vascular disease morbidity and mortality to better
tailor programmes to the regional environments and settings. Our results also
call for a greater importance of preventative measures for psycho-social
discomfort and increase of social support.
PMID- 22082342
TI - Significant background rates of HBV and HCV infections in patients and risks of
blood transfusion from donors with low anti-HBc titres or high anti-HBc titres
with high anti-HBs titres in Japan: a prospective, individual NAT study of
transfusion-transmitted HBV, HCV and HIV infections.
AB - BACKGROUND: The Japanese Red Cross (JRC) conducted a prospective study to
evaluate the frequency of transfusion-transmitted HBV, HCV and HIV infections to
assess the risk of transfusion of blood components routinely supplied to
hospitals. STUDY DESIGN AND METHODS: Post-transfusion specimens from patients at
eight medical institutes were examined for evidence of infection with HBV (2139
cases), HCV (2091) and HIV (2040) using individual nucleic acid amplification
testing (NAT). If these specimens were reactive, pre-transfusion specimens were
also examined for the virus concerned by individual NAT. In the event that the
pre-transfusion specimen was non-reactive, then all repository specimens from
implicated donors were tested for the viruses by individual donation NAT. In
addition, a further study was carried out to evaluate the risk of transfusion of
components from donors with low anti-HBc titres or high anti-HBc with high anti
HBs titres. RESULTS: Transfusion-transmitted HCV and HIV infections were not
observed. One case of post-transfusion HBV infection was identified (rate,
0.0004675; 95% CI for the risk of transmission, 1 in 451-41,841). The background
rates of HBV, HCV and HIV infections in patients prior to transfusion were 3.4%
(72/2139), 7.2% (150/2091) and 0% (0/2040), respectively. Sixty-four anti-HBc-
and/or anti-HBs-reactive blood components were transfused to 52 patients non
reactive for anti-HBc or anti-HBs before and after transfusion (rate, 0; 95% CI
for the risk of transmission, <1 in 22). CONCLUSION: This study demonstrated that
the current criteria employed by JRC have a low risk, but the background rates of
HBV and HCV infections in Japanese patients are significant.
PMID- 22082343
TI - Are the symptoms of cannabis use disorder best accounted for by dimensional,
categorical, or factor mixture models? A comparison of male and female young
adults.
AB - Despite the consensus that criteria for cannabis abuse and dependence and
symptoms of withdrawal are best explained by a single latent liability, it
remains unknown whether alternative models provide a better explanation of these
criteria. A series of latent factor, latent class, and hybrid factor mixture
models were fitted to data from 872 recent cannabis users from the Minnesota Twin
Family Study who completed Diagnostic and Statistical Manual of Mental Disorders
(3rd ed., revised, and 4th ed.) diagnostic criteria for cannabis abuse,
dependence, and symptoms of withdrawal. Despite theoretical appeal, results did
not support latent class or factor mixture modeling. Instead, symptoms of abuse,
dependence, and withdrawal were better summarized by a single latent factor
Cannabis Use Disorder (CUD) for male and female young adults. An almost 2-fold
sex difference in item endorsement was best explained by a single mean difference
on the CUD factor, indicating that young men have a greater latent liability
toward expressing CUD.
PMID- 22082344
TI - Reliability and validity of young adults' anonymous online reports of marijuana
use and thoughts about use.
AB - With growing interest in online assessment of substance abuse behaviors, there is
a need to formally evaluate the validity of the data gathered. The current
investigation evaluated the reliability and validity of anonymous, online reports
of young adults' marijuana use and related cognitions. Young adults age 18 to 25
who had smoked at least one cigarette in the past 30 days were recruited over 14
months to complete an anonymous online survey. Of 3,106 eligible cases, 1,617
(52%) completed the entire survey. Of those, 54% (n = 884) reported past-month
marijuana use (65% male, 70% Caucasian, mean age was 20.4 years [SD = 2.0]).
Prevalence of marijuana use was reported reliably across three similar items, and
interitem correlations ranged from fair to excellent for measures of marijuana
dependence symptoms and thoughts about marijuana use. Marijuana use frequency
demonstrated good construct validity through expected correlations with marijuana
use constructs, and nonsignificant correlations with thoughts about tobacco use.
Marijuana frequency distinguished among stages of change for marijuana use and
goals for use, but not among gender, ethnicity, or employment groups. Marijuana
use and thoughts about use differed by stage of change in the hypothesized
directions. Self-reported marijuana use and associated cognitions reported
anonymously online from young adults are generally reliable and valid. Online
assessments of substance use broaden the reach of addictions research.
PMID- 22082345
TI - The nature of coping in treatment for marijuana dependence: latent structure and
validation of the Coping Strategies Scale.
AB - The Coping Strategies Scale (CSS) was designed to assess adaptive changes in
substance-use specific coping that result from treatment. This study sought to
examine the latent structure of the CSS in the hope that it might shed light on
the coping processes of drug users and guide the development of a brief version
of the CSS. Respondents on the CSS were 751 men and women treated in 3 clinical
trials for marijuana dependence. Posttreatment CSS data were analyzed to
determine the nature of coping responses in patients who have been trained to use
specific strategies to deal with substance use disorders. Exploratory factor
analysis yielded 2 factors, categorized as problem-focused and emotion-focused
coping, but confirmatory factor analysis did not support this structure. When
infrequently endorsed items were removed, however, confirmatory factor analysis
revealed a good fit to the data. Contrary to expectations, practical strategies
that often form the basis for coping skills training, such as avoiding those who
smoke, were not frequently endorsed. Problem-focused items reflected cognitive
commitments to change. Emotion-focused items included cognitive reinterpretations
of emotions to help manage emotional reactions. Brief versions of the CSS based
on these factors showed good convergent and discriminant validity. The CSS, and
the brief versions of the CSS, may prove useful in future treatment trials to
evaluate effects of treatment on coping skills acquisition and utilization in
substance dependent individuals.
PMID- 22082346
TI - Successful catheter cryoablation of Hisian ectopy using 2 new diagnostic criteria
based on unipolar and bipolar recordings of the His electrogram.
AB - We describe the case of a 61-year-old woman who underwent successful catheter
cryoablation of a symptomatic Hisian ectopy. Diagnosis was based on features of
the HV interval assessed from a bipolar recording during mapping. The location of
the arrhythmic focus was identified using simultaneous unipolar and bipolar
recordings of the His electrogram. This case report highlights the use of 2 new
criteria for the diagnosis and mapping of Hisian ectopy, and the successful use
of cryothermia for the ablation of extrasystoles arising from the His bundle.
PMID- 22082347
TI - Developing a minimum data set for electronic nursing handover.
AB - AIMS AND OBJECTIVES: This study presents a minimum data set designed for an
electronic system to complement verbal nursing handover. Background. Poor
communication of patient information at handover has been implicated in adverse
events with changes to clinical handover being proposed as a solution. This study
developed a minimum data set for an electronic patient summary tool. DESIGN;
Using an observational design the scope of information being presented by nurses
at handover was identified in relation to a generic Nursing Handover Minimum Data
Set. METHOD: Patient handovers (n = 195) were observed and digitally recorded
across diverse specialties. RESULTS: Content analysis confirmed the frequent use
of the Nursing Handover Minimum Data Set items across all specialties. The use of
the items was affected by the patient context and the clinical setting. Aged care
patients often had several clinical alerts reported (pressure areas, falls risk).
Rapid changes in patient condition in emergency emphasised the need for a focus
on observations and presenting problems. Mental health and maternity required
further refinement of the items. CONCLUSION: The generic Nursing Handover Minimum
Data Set can direct nurses to give a comprehensive account of their patient's
condition and care. The data set needs to be flexible and adaptable to the
patient context and setting and complements structured content verbal handover.
This minimum data set provides an excellent framework for system development by
clinicians, managers and information technologists. Educators can use this tool
to teach student nurses, new graduates and experienced staff, about the patient
information to be presented at handover. RELEVANCE TO CLINICAL PRACTICE: The
Nursing Handover Minimum Data Set for electronic nursing handover complements
verbal handover and provides a tool to give clinicians access to comprehensive
information about all patients within the ward area.
PMID- 22082349
TI - Protective effects of Rg2 on hypoxia-induced neuronal damage in hippocampal
neurons.
AB - We investigated the neuroprotective effects of Rg(2) in anoxic cultured
hippocampal neurons of newborn rats. The cells were divided into a control group,
nimodipine group (5 MUmol/L), Rg(2) (0.025 mmol/L), and Rg(2) (0.05 mmol/L)
group. The apoptosis rate of hippocampal neurons was measured by flow cytometry
with staining of PI. The intracellular calcium ion [Ca(2+)]i was observed with
fluorospectrophotometer determined by fluorescent probe Fluo-2/AM. The contents
of MDA and NO and the activities of SOD in the supernatants of cells were
determined by biochemical methods. The results demonstrated that Rg(2) reduced
the hypoxia-induced apoptosis, decreased the calcium overload in neurons,
increased the activities of SOD, and decreased the contents of MDA and NO in the
supernatants of cells. Our study suggests that Rg(2) has a neuroprotective effect
against hypoxia-induced neuronal damage in hippocampal neurons mediated by anti
apoptosis, blocking calcium over-influx into neuronal cells, eliminating the free
radicals, and increasing the activities of anti-oxidative enzymes to inhibit the
oxidative damages caused by anoxic.
PMID- 22082350
TI - Emission mechanism of polyatomic ions Cs2Cl+ and Cs2BO2(+) in thermal ionization
mass spectrometry with various carbon materials.
AB - The emission behavior of polyatomic ions Cs(2)Cl(+) and Cs(2)BO(2)(+) in the
presence of various carbon materials (Graphite, Carbon, SWNTs, and Fullerenes) in
the ionization source of thermal ionization mass spectrometry (TIMS) has been
investigated. The emission capacity of various carbon materials are remarkably
different as evidenced by the obvious discrepancy in signal intensity of
polyatomic ions and accuracy/precision of boron and chlorine isotopic composition
determined using Cs(2)Cl(+)-graphite-PTIMS/Cs(2)BO(2)(+)-graphite-PTIMS methods.
Combined with morphology and microstructure properties of four selected carbon
materials, it could be concluded that the emission behavior of the polyatomic
ions strongly depends on the microstructure of the carbon materials used. A
surface-induced collision mechanism for formation of such kinds of polyatomic
ions in the ionization source of TIMS has been proposed based on the optimized
configuration of Cs(2)BO(2)(+) and Cs(2)Cl(+) ions in the gas phase using a
molecular dynamics method. The combination of the geometry of the selected carbon
materials with the configuration of two polyatomic ions explains the structure
effect of carbon materials on the emission behavior of polyatomic ions, where
graphite samples with perfect parallels and equidistant layers ensure the
capacity of emission to the maximum extent, and fullerenes worsen the emission of
polyatomic ions by blocking their pathway.
PMID- 22082348
TI - Epigenetic modifications in cancer.
AB - Cancer initiation and progression is controlled by both genetic and epigenetic
events. The complexity of carcinogenesis cannot be accounted for by genetic
alterations alone but also involves epigenetic changes. Epigenetics refers to the
study of mechanisms that alter gene expression without altering the primary DNA
sequence. Epigenetic mechanisms are heritable and reversible, and include changes
in DNA methylation, histone modifications and small noncoding microRNAs (miRNA).
Disruption of epigenetic processes can lead to altered gene function and
malignant cellular transformation. Aberrant epigenetic modifications probably
occur at a very early stage in neoplastic development, and they are widely
described as essential players in cancer progression. Recent advances in
epigenetics offer a better understanding of the underlying mechanism(s) of
carcinogenesis and provide insight into the discovery of putative cancer
biomarkers for early detection, disease monitoring, prognosis, and risk
assessment. In this review, we summarize the current literature on epigenetic
changes causing genetic alterations that are thought to contribute to cancer, and
discuss the potential impact of epigenetics future research.
PMID- 22082351
TI - The potential role of the lectin pathway of complement in the host defence of
full-term intrauterine growth restricted neonates at birth.
AB - OBJECTIVE: To prospectively investigate the potential role of the lectin pathway
of complement in intrauterine-growth-restriction (IUGR, associated with impaired
immunocompetence and increased risk for neonatal infections), by determining cord
blood concentrations of mannose-binding lectin (MBL), H-ficolin and L-ficolin
(important mediators of neonatal innate immunity) in IUGR and appropriate for
gestational age (AGA) pregnancies. Furthermore, we aimed to describe correlations
among cord blood MBL, H- and L-ficolin concentrations and with several
demographic parameters of the infants at birth. METHODS: Serum MBL, H- and L
ficolin concentrations were determined by ELISA in 154 mixed arteriovenous cord
blood samples from IUGR (n = 50) and AGA (n = 104) singleton full-term infants.
RESULTS: Cord blood MBL concentrations were significantly lower in IUGR cases
than AGA controls (p = 0.029). No differences in cord blood H- and L-ficolin
concentrations were observed between groups. In the IUGR group, cord blood MBL
concentrations negatively correlated with respective L-ficolin ones (r = -0.442,
p = 0.001). CONCLUSIONS: The relatively decreased MBL expression in IUGR fetuses
at term could possibly contribute to IUGR-associated neonatal immunodeficiency,
predisposing to increased susceptibility to infections. The negative correlation
between MBL and L-ficolin concentrations in the IUGR group might suggest an
underlying immune variation and needs to be further investigated.
PMID- 22082352
TI - Determination of water-soluble arsenic compounds in commercial edible seaweed by
LC-ICPMS.
AB - This paper reports arsenic speciation in edible seaweed (from the Galician coast,
northwestern Spain) produced for human consumption. Chondrus crispus , Porphyra
purpurea , Ulva rigida , Laminaria ochroleuca , Laminaria saccharina , and
Undaria pinnatifida were analyzed. The study focused on arsenosugars, the most
frequently occurring arsenic species in algae. As(III) and As(V) were also
determined in aqueous extracts. Total arsenic in the samples was determined by
microwave digestion and inductively coupled plasma mass spectrometry (ICPMS). For
arsenic speciation, a water extraction especially suitable for arsenosugars was
used, and the arsenic species were analyzed by liquid chromatography with both
anionic and cationic exchange and ICPMS detection (LC-ICPMS). The total arsenic
content of the alga samples ranged from 5.8 to 56.8 mg As kg(-1). The mass
budgets obtained in the extracts (column recovery * extraction efficiency) ranged
from 38 to 92% except for U. pinnatifida (4%). The following compounds were
detected in the extracts: arsenite (As(III)), arsenate (As(V)), methylarsonate
(MA), dimethylarsinate (DMA), sulfonate sugar (SO(3)-sug), phosphate sugar (PO(4)
sug), arsenobetaine (AB), and glycerol sugar (Gly-sug). The highest
concentrations corresponded to the arsenosugars.
PMID- 22082353
TI - Altered physiology of acid secretion in depression-prone Flinders rats results in
exacerbated NSAID and stress-induced gastric damage.
AB - BACKGROUND: Flinders Sensitive Line (FSL) rats are characterized by
hypersensitivity to cholinergic stimuli and have been extensively used for
studying depressive disorders. A link between depression and peptic ulcers has
long been established; however, there is a lack of data from animal models.
METHODS: We studied the physiology of acid secretion in FSL and Flinders
Resistant Line (FRL) rats in vivo and in vitro. We also examined the
susceptibility of Flinders rats to water immersion restraint stress (WIRS) or
NSAID-induced gastric damage and explored the effect of an anticholinergic agent,
atropine, in reversing this effect. KEY RESULTS: Basal acid output was more than
twofold greater in FSL compared with FRL rats in vivo, 213.5 and 92.8 MUEq/3
h/100 g (P = 0.02), respectively. Carbachol was a more potent secretagog in
vitro, and somatostatin was a less potent inhibitory agent, while paradoxically
stimulating acid secretion over and above the carbachol response in gastric
glands from FSL rats. The FSL rats were more susceptible to indomethacin and WIRS
induced gastric mucosal damage compared with FRL rats. Atropine reduced acid
output, which resulted in a reduction in indomethacin and stress-induced gastric
damage in FSL rats. CONCLUSIONS & INFERENCES: Our study, for the first time,
demonstrates that the altered vagally mediated physiology of acid secretion in
depression-prone FSL rats contributes to gastric hypersecretion and,
consequently, results in exacerbated stress and NSAID-induced gastric damage.
Flinders rats may be a useful animal model for studying acid-related and also
gastrointestinal functional disorders in depression.
PMID- 22082354
TI - Human intravenous immunoglobulins suppress seizure activities and inhibit the
activation of GFAP-positive astrocytes in the hippocampus of picrotoxin-kindled
rats.
AB - We previously showed that human intravenous immunoglobulin (IVIG) can lower
seizure severity and prolong seizure latency in picrotoxin-kindled rats. The aim
of this study was to further characterize the effects of IVIG on seizure activity
and investigate its influence on astrocytes in the hippocampus of picrotoxin
kindled rats. A rat kindling model was established by peritoneal injections of
picrotoxin for 21 days in Wistar rats. Seventy-five rats were equally divided
into five groups: picrotoxin, IVIG pretreatment, IVIG post-treatment, normal
saline control, and IVIG control. Seizure severity was evaluated according to a
six-stage classification. The number and morphology of glial fibrillary acidic
protein (GFAP)-positive astrocytes were studied by immunohistochemistry using the
anti-GFAP antibody. The cross-sectional area and grayscale of GFAP-positive
astrocytes were also determined. In picrotoxin-kindled rats, pretreatment with
IVIG appeared to inhibit full kindling rates, and it significantly reduced the
number of GFAP-positive cells in the hippocampus (p < .001). IVIG also
significantly (p < .001) attenuated the increase in the cross-sectional area and
grayscale of GFAP-positive astrocytes in the hippocampus. Our results suggest
that by suppressing the expression of GFAP, IVIGs may reduce seizure activity and
inhibit the activation of GFAP-positive astrocytes in picrotoxin-kindled rats.
PMID- 22082355
TI - Are there independent predisposing factors for postoperative infections following
open heart surgery?
AB - BACKGROUND: Nosocomial infections after cardiac surgery represent serious
complications associated with substantial morbidity, mortality and economic
burden. This study was undertaken to evaluate the frequency, characteristics, and
risk factors of microbiologically documented nosocomial infections after cardiac
surgery in a Cardio-Vascular Intensive Care Unit (CVICU). METHODS: All patients
who underwent open heart surgery between May 2006 and March 2008 were enrolled in
this prospective study. Pre-, intra- and postoperative variables were collected
and examined as possible risk factors for development of nosocomial infections.
The diagnosis of infection was always microbiologically confirmed. RESULTS:
Infection occurred in 24 of 172 patients (13.95%). Out of 172 patients, 8
patients (4.65%) had superficial wound infection at the sternotomy site, 5
patients (2.9%) had central venous catheter infection, 4 patients (2.32%) had
pneumonia, 9 patients (5.23%) had bacteremia, one patient (0.58%) had
mediastinitis, one (0.58%) had harvest surgical site infection, one (0.58%) had
urinary tract infection, and another one patient (0.58%) had other major
infection. The mortality rate was 25% among the patients with infection and 3.48%
among all patients who underwent cardiac surgery compared with 5.4% of patients
who did not develop early postoperative infection after cardiac surgery. Culture
results demonstrated equal frequencies of gram-positive cocci and gram-negative
bacteria. A backward stepwise multivariable logistic regression model analysis
identified diabetes mellitus (OR 5.92, CI 1.56 to 22.42, p = 0.009), duration of
mechanical ventilation (OR 1.30, CI 1.005 to 1.69, p = 0.046), development of
severe complications in the CICU (OR 18.66, CI 3.36 to 103.61, p = 0.001) and re
admission to the CVICU (OR 8.59, CI 2.02 to 36.45, p = 0.004) as independent risk
factors associated with development of nosocomial infection after cardiac
surgery. CONCLUSIONS: We concluded that diabetes mellitus, the duration of
mechanical ventilation, the presence of complications irrelevant to the infection
during CVICU stay and CVICU re-admission are independent risk factors for the
development of postoperative infection in cardiac surgery patients.
PMID- 22082358
TI - Rosalyn Sussman Yalow: Bronx gal who made great.
PMID- 22082356
TI - The MetJ regulon in gammaproteobacteria determined by comparative genomics
methods.
AB - BACKGROUND: Whole-genome sequencing of bacteria has proceeded at an exponential
pace but annotation validation has lagged behind. For instance, the MetJ regulon,
which controls methionine biosynthesis and transport, has been studied almost
exclusively in E. coli and Salmonella, but homologs of MetJ exist in a variety of
other species. These include some that are pathogenic (e.g. Yersinia) and some
that are important for environmental remediation (e.g. Shewanella) but many of
which have not been extensively characterized in the literature. RESULTS: We have
determined the likely composition of the MetJ regulon in all species which have
MetJ homologs using bioinformatics techniques. We show that the core genes known
from E. coli are consistently regulated in other species, and we identify
previously unknown members of the regulon. These include the cobalamin
transporter, btuB; all the genes involved in the methionine salvage pathway; as
well as several enzymes and transporters of unknown specificity. CONCLUSIONS: The
MetJ regulon is present and functional in five orders of gammaproteobacteria:
Enterobacteriales, Pasteurellales, Vibrionales, Aeromonadales and
Alteromonadales. New regulatory activity for MetJ was identified in the genomic
data and verified experimentally. This strategy should be applicable for the
elucidation of regulatory pathways in other systems by using the extensive
sequencing data currently being generated.
PMID- 22082359
TI - Fibrodysplasia ossificans progressiva: a blueprint for metamorphosis.
AB - The most important milestone in understanding a genetic disease is the
identification of the causative mutation. However, such knowledge is often
insufficient to decipher the pathophysiology of the disorder or to effectively
treat those affected. Fibrodysplasia ossificans progressiva (FOP) is a rare,
disabling, genetic disease of progressive heterotopic endochondral ossification
(HEO) enabled by missense mutations that promiscuously and provisionally activate
ACVR1/ALK2, a bone morphogenetic protein (BMP) type I receptor, in all affected
individuals. While activating mutations of the ACVR1/ALK2 receptor are necessary,
disease activity and progression also depend on altered cell and tissue
physiology. Recent findings identify inflammatory and immunological factors,
vascular-derived mesenchymal stem cells, and a hypoxic lesional microenvironment
that trigger, promote, and enable episodic progression of FOP in the setting of
the genetic mutation. Effective therapies for FOP will need to consider these
seminal pathophysiologic interactions.
PMID- 22082360
TI - Combinatorial control of ATF4-dependent gene transcription in osteoblasts.
AB - Osteoblast-specific gene transcription requires interaction between bone cell
specific transcription factors and more widely expressed transcriptional
regulators. This is particularly evident for the basic domain-leucine zipper
factor activating transcription factor 4 (ATF4), whose activity can be enhanced
or inhibited through interaction with other leucine zipper proteins, intermediate
filament proteins, components of the basic transcriptional machinery, nuclear
matrix attachment molecules, or ubiquitously expressed transcription factors. We
discuss the results supporting the relevance of these interactions and present
the first evidence of a functional interaction between ATF4, FIAT (factor
inhibiting ATF4-mediated transcription), and alphaNAC (nascent polypeptide
associated complex and coactivator alpha), three proteins that have been
previously shown to associate using various protein-protein interaction assays.
PMID- 22082357
TI - Endothelial dysfunction in the apolipoprotein E-deficient mouse: insights into
the influence of diet, gender and aging.
AB - Since the early 1990s, several strains of genetically modified mice have been
developed as models for experimental atherosclerosis. Among the available models,
the apolipoprotein E-deficient (apoE-/-) mouse is of particular relevance because
of its propensity to spontaneously develop hypercholesterolemia and
atherosclerotic lesions that are similar to those found in humans, even when the
mice are fed a chow diet. The main purpose of this review is to highlight the key
achievements that have contributed to elucidating the mechanisms pertaining to
vascular dysfunction in the apoE-/- mouse. First, we summarize lipoproteins and
atherosclerosis phenotypes in the apoE-/- mouse, and then we briefly discuss
controversial evidence relative to the influence of gender on the development of
atherosclerosis in this murine model. Second, we discuss the main mechanisms
underlying the endothelial dysfunction of conducting vessels and resistance
vessels and examine how this vascular defect can be influenced by diet, aging and
gender in the apoE-/- mouse.
PMID- 22082361
TI - Sclerostin is overexpressed by plasma cells from multiple myeloma patients.
AB - Sclerostin, an osteocyte-expressed negative regulator of bone formation, is one
of the inhibitors of Wnt signaling that is a critical pathway in the correct
process of osteoblast differentiation. It has been demonstrated that Wnt
signaling through the secretion of Wnt inhibitors, such as DKK1, sFRP-2, and sFRP
3, plays a key role in the decreased osteoblast activity associated with multiple
myeloma (MM) bone disease. We provide evidence that sclerostin is expressed by
myeloma cells that are human myeloma cell lines and plasma cells (CD138(+)
cells) obtained from the bone marrow (BM) of a large number of MM patients with
bone disease. Moreover, we show that there are no differences in sclerostin serum
levels between MM patients and controls. Thus, our data indicate that MM cells,
as a sclerostin source in the BM, could create a microenvironment with high
sclerostin concentration that could contribute toward inhibiting osteoblast
differentiation.
PMID- 22082362
TI - Transcription factors in parathyroid development: lessons from hypoparathyroid
disorders.
AB - Parathyroid developmental anomalies, which result in hypoparathyroidism, are
common and may occur in one in 4,000 live births. Parathyroids, in man, develop
from the endodermal cells of the third and fourth pharyngeal pouches, whereas, in
the mouse they develop solely from the endoderm of the third pharyngeal pouches.
In addition, neural crest cells that arise from the embryonic mid- and hindbrain
also contribute to parathyroid gland development. The molecular signaling
pathways that are involved in determining the differentiation of the pharyngeal
pouch endoderm into parathyroid cells are being elucidated by studies of patients
with hypoparathyroidism and appropriate mouse models. These studies have revealed
important roles for a number of transcription factors, which include Tbx1, Gata3,
Gcm2, Sox3, Aire1 and members of the homeobox (Hox) and paired box (Pax)
families.
PMID- 22082363
TI - Antagonists of LRP6 regulate PTH-induced cAMP generation.
AB - LRP6 is a common coreceoptor for different G protein-coupled seven-transmembrane
receptors in production of cAMP. Extracelluar proteins sclerostin and DKK1,
initially identified as antagonists for Wnt signaling by binding to LRP6, are
negative regulators for bone formation. Here, we show that both sclerostin and
DKK1 inhibit PTH-stimulated cAMP production. In addition, PTH suppresses
expression of sclerostin in osteocytes in mice. We also found that sclerostin and
DKK1 binds to LRP6 as antagonists to increase the availability of LRP6 to
facilitate PTH signaling in a positive-feedback fashion. These studies reveal a
previously unrecognized function of sclerostin and DKK1, which provides an
alternative explanation for the application of sclerostin and DKK1 neutralization
on enhancing bone formation as a potential therapy for skeletal diseases.
PMID- 22082364
TI - Dental pulp stem cells: osteogenic differentiation and gene expression.
AB - Dental pulp stem cells (DPSCs) are an adult stem cell population with high
proliferative potential and the ability to differentiate in many cell types, and
this has led scientists to consider these cells to be an alternative source of
postnatal stem cells comparable to mesenchymal stem cells from bone marrow. In
this work, we studied the osteoblastic phenotype developed by DPSCs cultured in
osteogenic medium. In particular, we analyzed the expression of the typical
osteoblast markers such as alkaline phosphatase, collagen type I, osteocalcin,
osteopontin, as well as mineralized matrix production. Furthermore, the gene
expression during DPSC differentiation into osteoblastic cells was studied by
microarray technology. Using microarray and reverse transcriptase-polymerase
chain reaction (RT-PCR) analysis, we found that IGFBP-5, JunB, and NURR1 genes
are upregulated during the differentiation of DPSCs. These data indicate that
opportunely differentiated DPSCs show a correct osteoblastic phenotype.
Therefore, during the osteoblastic differentiation process, IGFBP-5, JunB, and
NURR1 gene expression is significantly increased.
PMID- 22082365
TI - Adrenergic stimulation decreases osteoblast oxytocin synthesis.
AB - Oxytocin (OT) regulates bone mass by inducing the differentiation of osteoblasts
to a mature, mineralizing phenotype. We have shown recently that osteoblasts can
synthesize OT. In view of known interactions between OT-ergic and adrenergic
neurons in the central nervous system, we questioned whether the negative
regulation of osteoblast differentiation by adrenergic nerves was mediated
through its suppression of OT synthesis. We first confirmed that alpha(1b) and
beta(2) adrenergic receptors were expressed on both primary murine osteoblasts
and MC3T3-E1 cells. We then showed that alpha(1) and beta(2) adrenergic
agonists downregulated OT synthesis, and that the effect of each agonist was
reversed by its respective antagonist. The data suggest that the negative effects
of adrenergic stimulation on bone mass could, in part, arise from decreased OT
synthesis.
PMID- 22082366
TI - An essential role for the circadian-regulated gene nocturnin in osteogenesis: the
importance of local timekeeping in skeletal homeostasis.
AB - The role of circadian proteins in regulating whole-body metabolism and bone
turnover has been studied in detail and has led to the discovery of an elemental
system for timekeeping involving the core genes Clock, Bmal1, Per, and Cry.
Nocturnin (Noc; Ccrn4l), a peripheral circadian-regulated gene has been shown to
play a very important role in regulating adipogenesis by deadenylation of key
mRNAs and intracytoplasmic transport of PPARgamma. The role that it plays in
osteogenesis has previously not been studied in detail. In this report we
examined in vitro and in vivo osteogenesis in the presence and absence of Noc and
show that loss of Noc enhances bone formation and can rescue rosiglitazone
induced bone loss in mice. The circadian rhythm of Noc is likely to be an
essential element of marrow stromal cell fate.
PMID- 22082367
TI - Prostatic acid phosphatase is expressed in human prostate cancer bone metastases
and promotes osteoblast differentiation.
AB - Prostate cancer (PCa) bone metastases are a major cause of morbidity and
mortality. There are no effective therapies for PCa bone metastases that prolong
survival. Prostatic acid phosphatase (PAP) is a secretory protein expressed by
PCa cells. We demonstrate that PAP is strongly expressed in PCa bone metastases
in 7/7 patients, while prostate-specific antigen (PSA) is only weakly expressed.
The human PCa cell line VCaP secretes PAP and induces an osteoblastic reaction in
bone similar to that seen in human PCa bone metastases. Coculture of MC3T3 mouse
preosteoblast cells with VCaP cells induces MC3T3 cell growth and differentiation
as measured by alkaline phosphatase secretion, and this effect is inhibited by
addition of the PAP-inhibitor, l-tartrate. Taken together, these data indicate
that PAP is expressed in PCa bone metastases and may play a causal role in the
osteoblastic phase of the disease.
PMID- 22082368
TI - Immune regulation of the tumor/bone vicious cycle.
AB - The bone destruction attending skeletal metastasis is mediated by tumor-recruited
osteoclasts (OCs). Hence, OCs are principal therapeutic targets in afflicted
individuals. On the other hand, one-third of patients develop further skeletal
related events within two years of initiating antiresorptive therapies,
suggesting that additional cells modulate bone tumor growth. Previous studies
showing amelioration of bone metastases by targeting the OCs were performed in
immune-compromised animals injected with human breast cancer cells. Consequently,
the contribution of the immune system to bone tumor growth was unclear. Using
genetic models of immune and OC modulation (PLCgamma2 and Lyn), as well as
pharmacological inhibition of OCs and T cells, we now demonstrate that a
condition of immune deficiency can interfere with the antitumor effects of OC
blockade. Thus, our findings expand the current tumor/bone vicious cycle model to
include T cells as additional regulators of bone tumor growth, regardless of the
OC status.
PMID- 22082369
TI - Altered matrix at the chondro-osseous junction leads to defects in lymphopoiesis.
AB - The collagen X transgenic and null (ColX-Tg/KO) mice have revealed a link between
endochondral ossification (EO) and hematopoiesis, and thus serve as model systems
to study hematopoietic niches. The altered collagen X function in ColX-Tg/KO mice
resulted not only in skeletal defects, which included changes in growth plate
ultrastructure, altered localization of heparan sulfate proteoglycans (HSPG), and
reduced trabecular bone, but also in hematopoietic defects, which included
reduced B lymphocyte numbers throughout life without associated increases in B
cell apoptosis. Consequently, the ColX-Tg/KO mice exhibited diminished in vitro
and in vivo immune responses. Moreover, reduced expression of several
hematopoietic and B lymphopoietic cytokines were measured from ColX-KO-derived
hypertrophic chondrocyte and trabecular osteoblast cultures. Together, these data
expand the current hematopoietic niche model by including the EO-derived
extracellular matrix, for example, the collagen X/HSPG network, as well as the EO
derived hypertrophic chondrocytes and trabecular osteoblasts as hematopoietic
signal mediating cells.
PMID- 22082370
TI - Feedback inhibition of osteoclastogenesis during inflammation by IL-10, M-CSF
receptor shedding, and induction of IRF8.
AB - Inflammation plays a key role in excessive bone loss in conditions such as
rheumatoid arthritis and periodontitis. An important paradigm in immunology is
that inflammatory factors activate feedback inhibition mechanisms to restrain
inflammation and limit associated tissue damage. We hypothesized that
inflammatory factors would activate similar feedback mechanisms to restrain bone
loss in inflammatory settings. We have identified three mechanisms that inhibit
osteoclastogenesis and are induced by inflammatory factors such as toll-like
receptor ligands and cytokines; downregulation of expression of costimulatory
molecules such as TREM-2; induction of shedding, and thereby inactivation of the
M-CSF receptor c-Fms, leading to decreased RANK transcription; and induction of
transcriptional repressors such as interferon regulatory factor 8. It is likely
that these mechanisms work in a complementary and cooperative manner to fine tune
the extent of osteoclastogenesis in inflammatory settings, and their augmentation
may represent an alternative therapeutic approach to suppress bone resorption.
PMID- 22082371
TI - Translational musculoskeletal science: is sarcopenia the next clinical target
after osteoporosis?
AB - Translational medicine must increasingly turn its attention to the aging
population and the musculoskeletal deterioration that it entails. The latter
involves the integrated function of both muscle and bone. Musculoskeletal science
has an established interest in such problems in relationship to osteoporosis of
bone. The introductory concepts in this paper consider the extent to which loss
of muscle mass and function, or sarcopenia, will be the next major translational
target. Its epidemiology shows parallels with that of osteoporosis, and the two
tissues have a close functional relationship. Its etiology likely involves a loss
of motor units combined with cellular signaling and endocrine changes. Finally,
the possibility of modification of these physiological changes in the context of
management of the sarcopenic condition is considered.
PMID- 22082372
TI - HACCP-based quality risk management approach to udder health problems on dairy
farms.
AB - Against the background of prevailing udder health problems on dairy farms, this
paper discusses a new approach to mastitis control. Current udder health control
programmes, such as the 'five-point plan', are highlighted and their drawbacks
indicated. The concept and principles of hazard analysis critical control points
(HACCP) are introduced. The eight core elements of this concept are dealt with by
using the example of a dairy herd with a mastitis problem due to Staphylococcus
aureus. The various steps to be taken in the development of a HACCP-based quality
risk management programme are illustrated through the application of core
elements. Finally, it is shown that the HACCP key words, structure, organisation,
planning, communication and formalisation; which do not frequently appear in
conventional herd health and production management programmes can contribute to
better udder health. The role of the veterinarian can be paramount and of added
value, if he/she is willing to invest in new knowledge and skills, such as the
HACCP concept, farm economics, animal nutrition, and particularly the role of
coach to the dairy farmer in the implementation of preventative measures in
relation to udder health.
PMID- 22082373
TI - Sudden modification in QRS morphology during entrainment of ventricular
tachycardia: what is the mechanism?
PMID- 22082377
TI - Carol S. Dweck: Award for Distinguished Scientific Contributions.
AB - Presents Carol S. Dweck, 2011 winner of the American Psychological Association
Award for Distinguished Scientific Contributions. "For her insightful research
and incisive theorizing concerning perceptions and interpretations of success and
failure across many domains of human endeavor, but especially in the realm of
academic achievement. Drawing on a series of innovative and elegant experimental
paradigms, Carol S. Dweck has cast a theoretical net progressing from initial
studies of learned helplessness to studies of the larger self-theories of
ability, the activity goals individuals select, and the differential consequences
associated with helpless versus mastery-oriented responses to difficult problems.
Her widely cited work has produced, in addition, clever and effective strategies
for promoting more functional incremental theories, learning goals, and
persistence in students facing apparent failure." (PsycINFO Database Record (c)
2011 APA, all rights reserved).
PMID- 22082378
TI - Barry J. Everitt: Award for Distinguished Scientific Contributions.
AB - Presents Barry J. Everitt, 2011 winner of the American Psychological Association
Award for Distinguished Scientific Contributions. "For distinguished theoretical
and empirical contributions to basic research in experimental psychology and
neuroscience. Barry J. Everitt's original and elegant research has greatly added
to the understanding of monoaminergic and glutamatergic regulation of cortico
striatal-limbic function and its involvement in psychological processes and
pathological states, notably addiction. His stellar contributions have included
the development of animal models of addiction and groundbreaking studies on
learning and memory processes. He has combined sophisticated behavioral
approaches with molecular, cellular, anatomical, and psychopharmacological
approaches in rodents. His energy and enthusiasm for research, creativity, and
outstanding productivity have advanced our knowledge of brain function and
inspired several generations of behavioral neuroscientists." (PsycINFO Database
Record (c) 2011 APA, all rights reserved).
PMID- 22082379
TI - Trevor W. Robbins: Award for Distinguished Scientific Contributions.
AB - Presents Trevor W. Robbins, the 2011 winner of the American Psychological
Association Award for Distinguished Scientific Contributions."For distinguished
theoretical and empirical contributions to basic research in experimental
psychology and neuroscience. Trevor W. Robbins has made innovative and landmark
contributions to understanding monoaminergic and glutamatergic regulation of
cortico-striatal-limbic function and its involvement in psychological processes
and pathological states such as addiction, depression, schizophrenia, and
attention-deficit/hyperactivity disorder. His pioneering accomplishments have
included the development of methodologies for parallel sophisticated behavioral
assessments in humans, rodents, and monkeys combined with psychopharmacological
and imaging studies across species. His vigor and dedication to research,
exemplary leadership, scholarship, and stellar productivity have advanced our
knowledge of brain function and inspired several generations of cognitive
neuroscientists." (PsycINFO Database Record (c) 2011 APA, all rights reserved).
PMID- 22082380
TI - Daniel M. Wegner: Award for Distinguished Scientific Contributions.
AB - Presents Daniel M. Wegner, the 2011 winner of the American Psychological
Association Award for Distinguished Scientific Contributions. "For seminal
contributions that span psychology's breadth--from cognitive to social to
personality to clinical--and that reach beyond its borders to philosophy and
neuroscience. Daniel M. Wegner's studies on transactive memory, action
identification, ironic processes, and apparent mental causation all bear his
characteristic mark: a beautiful idea brought to life by an elegant experiment.
He has spent his scientific career identifying new and important problems and
then offering solutions that sparkle with originality and insight. He has seen
doors where others saw walls, opened them to reveal new rooms, and illuminated
their dark corners." (PsycINFO Database Record (c) 2011 APA, all rights
reserved).
PMID- 22082381
TI - Setting free the bears: escape from thought suppression.
AB - A person who is asked to think aloud while trying not to think about a white bear
will typically mention the bear once a minute. So how can people suppress
unwanted thoughts? This article examines a series of indirect thought suppression
techniques and therapies that have been explored for their efficacy as remedies
for unwanted thoughts of all kinds and that offer some potential as means for
effective suppression. The strategies that have some promise include focused
distraction, stress and load avoidance, thought postponement, exposure and
paradoxical approaches, acceptance and commitment, meditation, mindfulness,
focused breathing, attention training, self-affirmation, hypnosis, and disclosure
and writing. Many of these strategies entail thinking about and accepting
unwanted thoughts rather than suppressing them--and so, setting free the bears.
(PsycINFO Database Record (c) 2011 APA, all rights reserved).
PMID- 22082383
TI - Alan E. Kazdin: Award for Distinguished Scientific Applications of Psychology.
AB - Presents Alan E. Kazdin, the 2011 winner of the American Psychological
Association Award for Distinguished Scientific Applications of Psychology. "For
outstanding and pathbreaking contributions to the understanding of the
development, assessment, and treatment of psychopathology. Alan E. Kazdin's
theoretically innovative, methodologically rigorous, and scientifically informed
research has significantly advanced knowledge of child and adolescent
psychopathologies such as depression and conduct problems. His writings on
research strategies and methods have set a high standard for rigor in the field.
His work and his ideas have had an enormous impact on the science, practice, and
teaching of psychology, and his research has strengthened assessment and
treatment of children and adolescents in scientific and clinical settings. His
passion, energy, wisdom, and wit have inspired countless colleagues and students
over the years, and his work will no doubt continue to do so for many generations
to come." (PsycINFO Database Record (c) 2011 APA, all rights reserved).
PMID- 22082384
TI - Evidence-based treatment research: Advances, limitations, and next steps.
AB - This article highlights the development of evidence-based treatments (EBTs), the
accomplishment their development reflects, and the limitations of current
variations as a way of providing mental health services and care. Rather than
review EBTs, I use the occasion to provide an overview of my work on the
development of treatments for children referred clinically for aggressive and
antisocial behavior. This work reflects a larger genre of intervention research
that has developed treatments for a variety of disorders. After years of research
and a healthy list of EBTs, where are we and where do we need to be? It is still
the case that most people in need of services do not receive them, and
disparities in providing services remain enormous. The vast majority of
therapies, whether evidence based or not, use a model of delivery (one-to-one in
person treatment) that is inherently limited as a way of reaching the large swath
of people in need of services. Multiple models of delivery of treatment are
needed. The article underscores the importance of developing these models of
delivery and optimizing that development by understanding better the mechanisms
of therapeutic change. (PsycINFO Database Record (c) 2011 APA, all rights
reserved).
PMID- 22082386
TI - Nicholas Epley: Award for Distinguished Scientific Early Career Contributions to
Psychology.
AB - Presents Nicholas Epley, the 2011 winner of the American Psychological
Association Award for Distinguished Scientific Early Career Contributions to
Psychology. "For brilliant empirical and theoretical contributions to social
cognition in general and for creative insights into how people understand the
minds of others in particular. Nicholas Epley's empirical work demonstrates how
basic mechanisms of social cognition can lead to interpersonal conflict and
misunderstanding. His theoretical work expands social cognition beyond its
traditional focus on human beings as targets of judgment, showing how basic
mechanisms explain people's understanding of minds of all kinds, from pets to
gadgets to gods. His work shows how social psychology, at its best, increases
understanding of everyday life and inspires others to understand more." (PsycINFO
Database Record (c) 2011 APA, all rights reserved).
PMID- 22082387
TI - Adam M. Grant: Award for Distinguished Scientific Early Career Contributions to
Psychology.
AB - Presents Adam M. Grant, the 2011 winner of the American Psychological Association
Award for Distinguished Scientific Early Career Contributions to Psychology. "For
extensive, elegant, and programmatic research on the power of relational job
design in enhancing employee motivation, productivity, and satisfaction; for
creative and rigorous studies documenting the profound and surprising effects of
connecting employees to their impact on others; for highlighting prosocial
motivation, not only extrinsic and intrinsic motivations, as a key force behind
employee behavior; and for demonstrating by example the feasibility and benefits
of conducting field experiments, yielding studies rich in internal validity,
external validity, and practical impact. In addition to his accomplishments, Adam
M. Grant is known for his generosity as a scholar, teacher, and colleague."
(PsycINFO Database Record (c) 2011 APA, all rights reserved).
PMID- 22082388
TI - Wendy Johnson: Award for Distinguished Scientific Early Career Contributions to
Psychology.
AB - Presents Wendy Johnson, the 2011 winner of the American Psychological Association
Award for Distinguished Scientific Early Career Contributions to Psychology. "For
innovative research explicating the nature, origin, and consequences of
individual differences in intelligence and personality. With methodological rigor
and theoretical incisiveness, Wendy Johnson has addressed some of the most vexing
questions in the psychology of individual differences. She has shown how genetic
and environmental factors jointly influence many important life outcomes,
explicated the structure of cognitive abilities, and demonstrated how cognitive
ability and personality contribute to gender differences in academic achievement.
Her consummate mastery of research methodology, genetics, personality theory, and
human abilities has enabled her to advance an integrative program of research
that is having a fundamental impact on the field." (PsycINFO Database Record (c)
2011 APA, all rights reserved).
PMID- 22082389
TI - Cindy Lustig: Award for Distinguished Scientific Early Career Contributions to
Psychology.
AB - Presents Cindy Lustig, the 2011 winner of the American Psychological Association
Award for Distinguished Scientific Early Career Contributions to Psychology.
"Cindy Lustig has made groundbreaking contributions to the study of attention and
memory. She has contributed to our understanding of the role of interference in
implicit memory and of the neural underpinnings of age differences in cognition
as well as to a developing literature on effective interventions to boost
cognitive functioning. Her methods include a genetic approach to understanding
variability in cognitive functioning in old age as well as the use of animal
models. Her work has been widely cited and hailed for its innovative methods and
findings." (PsycINFO Database Record (c) 2011 APA, all rights reserved).
PMID- 22082390
TI - David Melcher: Award for Distinguished Scientific Early Career Contributions to
Psychology.
AB - Presents David Melcher, the 2011 winner of the American Psychological Association
Award for Distinguished Scientific Early Career Contributions to Psychology. "For
his elegant and groundbreaking work on one of the most important problems in
perceptual psychology, the transfer of perceptual representations across eye
movements. David Melcher's innovative experiments used perceptual aftereffects to
show how remapping of visual locations underlies the creation of the percept of a
clear and stable world. His work on the accumulation of memory contributed
importantly to the understanding of natural perceptual representations and their
neural underpinnings. His elegant reviews of transsaccadic perception
communicated to a broad audience the remarkable capacity of the brain to create
seamless perceptual representations despite the disruptions produced by eye
movements." (PsycINFO Database Record (c) 2011 APA, all rights reserved).
PMID- 22082393
TI - Roxane Cohen Silver: Award for Distinguished Senior Career Contributions to
Psychology in the Public Interest.
AB - Presents Roxane Cohen Silver, the 2011 winner of the American Psychological
Association Award for Distinguished Senior Career Contributions to Psychology in
the Public Interest. "Roxane Cohen Silver is an internationally known expert in
psychological responses to trauma. Her exceptional research has examined
adjustment to an array of crises, including sudden loss, war, natural disasters,
community violence, and the 9/11 attacks. As an advisor to the U.S. Department of
Homeland Security and in testimony to Congress, she has shaped policy concerning
psychosocial dimensions of disaster preparedness and response. Through her
service on the Board of Directors of Psychology Beyond Borders, she has addressed
community health effects of terror and disasters. Her warmth, humor, and
scholarship are truly inspiring, and she embodies the values this award was
created to honor." (PsycINFO Database Record (c) 2011 APA, all rights reserved).
PMID- 22082394
TI - Edward A. Delgado-Romero: Award for Distinguished Early Career Contributions to
Psychology in the Public Interest.
AB - Presents Edward A. Delgado-Romero, the 2011 winner of the American Psychological
Association Award for Distinguished Early Career Contributions to Psychology in
the Public Interest. "Societies, professions, and individual citizens are
enriched by the contributions of those who care. Edward A. Delgado-Romero has
demonstrated through his scholarship, leadership in psychological associations
such as the National Latina/Latino Psychological Association, and collaborations
with universities and school districts in Georgia that he cares and is committed
to addressing challenges in the provision of culturally sensitive psychological
services to benefit the public interest. His example of servant leadership leaves
a legacy to other early career professionals and graduate students alike. Es un
hijo honorado." (PsycINFO Database Record (c) 2011 APA, all rights reserved).
PMID- 22082396
TI - Richard Rogers: Award for Distinguished Contributions to Research in Public
Policy.
AB - Presents Richard Rogers as the 2001 winner of the American Psychological
Association Award for Distinguished Contributions to Research in Public Policy.
"Richard Rogers casts a long shadow over the realm of psychological research with
public policy implications. His achievements concerning criminal responsibility
and malingering have been important and lasting, but his most uniquely impactful
contribution to public policy is his enhancement of our understanding of the
constitutional protections embodied in Miranda rights. His investigations have
exploded the myth of a single, easily understood Miranda warning. The
ramifications of this work are profound, especially for socially marginalized
populations, and include its direct acknowledgment as the impetus for critical
developments in American Bar Association policy on custodial interrogations."
(PsycINFO Database Record (c) 2011 APA, all rights reserved).
PMID- 22082397
TI - Getting it wrong about Miranda rights: false beliefs, impaired reasoning, and
professional neglect.
AB - Television and other media inundate Americans with innumerable yet fragmentary
examples of Miranda warnings; however, familiarity born of repeated exposures
cannot be equated with accuracy or understanding. The intended purpose of these
warnings is to inform and caution rather than to pacify and reassure--a purpose
that cannot be realized when most custodial suspects assume that they already
know everything the law insists they should be told. Painstakingly negotiated
Constitutional safeguards are further imperiled when attorneys, judges, and
forensic evaluators are lulled into complacency by the commonly held
misconception that everyone understands their Miranda rights. This article
elucidates certain false beliefs and misapprehensions regarding Miranda
comprehension and identifies widespread neglect of these issues by the
professional community. (PsycINFO Database Record (c) 2011 APA, all rights
reserved).
PMID- 22082400
TI - Jon Carlson: Award for Distinguished Career Contributions to Education and
Training in Psychology.
AB - Presents Jon Carlson as the 2011 winner of the American Psychological Association
Award for Distinguished Career Contributions to Education and Training in
Psychology. "For his contributions in creating educational and training materials
in psychology for instruction and the public. Jon Carlson has a distinguished
career in higher education, maintained an active private practice, served 34
years as a school psychologist/counselor, is a prolific author and scholar, and
is an innovator in the development of media-based training materials. His
contribution to education and training is noteworthy for the volume of his
professional production, the scope of topics addressed, and his ability to
provide meaningful tools to change how psychologists are trained. His audiovisual
work documents the profession of psychology in terms of research and practice for
current scholars and for future generations." (PsycINFO Database Record (c) 2011
APA, all rights reserved).
PMID- 22082402
TI - Arthur C. Graesser: Award for Distinguished Contributions of Applications of
Psychology to Education and Training.
AB - Presents Arthur C. Graesser as the 2011 winner of the American Psychological
Association Award for Distinguished Contributions of Applications of Psychology
to Education and Training. "As a multifaceted psychologist, cognitive engineer of
useful education and training technologies, and mentor of new talent for the
world of applied and translational cognitive science, Arthur C. Graesser is the
perfect role model, showing how a strong scholar and intellect can shape both
research and practice. His work is a mix of top-tier scholarship in psychology,
education, intelligent systems, and computational linguistics. He combines
cognitive science excellence with bold use of psychological knowledge and
intelligent systems to design new generations of learning opportunities and to
help lay the foundation for a translational science of learning." (PsycINFO
Database Record (c) 2011 APA, all rights reserved).
PMID- 22082403
TI - Learning, thinking, and emoting with discourse technologies.
AB - This is an unusual moment in the history of psychology because of landmark
advances in digital information technologies, computational linguistics, and
other fields that use the computer to analyze language, discourse, and behavior.
The technologies developed from this interdisciplinary fusion are helping
students learn and think in ways that are sensitive to their cognitive and
emotional states. Recent projects have developed computer technologies that help
us understand the nature of conversational discourse and text comprehension in
addition to improving learning. AutoTutor and other systems with conversational
agents (i.e., talking heads) help students learn by holding conversations in
natural language. One version of AutoTutor is sensitive to the emotions of
students in addition to their cognitive states. Coh-Metrix analyzes texts on
multiple levels of language and discourse, such as text genre, cohesion, syntax,
and word characteristics. Coh-Metrix can assist students, teachers, principals,
and policymakers when they make decisions on the right text to assign to the
right student at the right time. Computers are not perfect conversation partners
and comprehenders of text, but the current systems are undeniably useful.
(PsycINFO Database Record (c) 2011 APA, all rights reserved).
PMID- 22082405
TI - Michael K. Scullin: Psi Chi/APA Edwin B. Newman Graduate Research Award.
AB - Presents Michael K. Scullin as the 2011 winner of the American Psychological
Association Psi Chi/APA Edwin B. Newman Graduate Research Award. "For an
outstanding research paper that examines the relationship between prospective
memory in executing a goal and various lapses of time from 20 minutes up to a 12-
hour wake delay and a 12-hour sleep delay. The results suggest that consolidation
processes active during sleep increase the probability of goal execution. The
paper, titled 'Remembering to Execute a Goal: Sleep On It!' was published in
Psychological Science in 2010 and was the basis for Michael K. Scullin's
selection as the recipient of the 2011 Psi Chi/APA Edwin B. Newman Graduate
Research Award. Mark A. McDaniel, PhD, served as faculty research advisor."
(PsycINFO Database Record (c) 2011 APA, all rights reserved).
PMID- 22082408
TI - Ronald F. Levant: Award for Distinguished Professional Contributions to Applied
Research.
AB - Presents Ronald F. Levant as the 2011 winner of the American Psychological
Association Award for Distinguished Professional Contributions to Applied
Research. "Ronald F. Levant is one of the world's leading authorities on the
psychology of men and masculinity. Through his pioneering research, he helped
define gender role strain theory, fostered a multicultural understanding of
fathering and masculinity issues, and developed and evaluated the Male Role Norms
Inventory and the Normative Male Alexithymia Scale. He also established the
empirical foundation for the normative male alexithymia hypothesis, which
proposes that male socialization inhibits emotional expression in males, and he
developed alexithymia reduction treatment, which is designed to prepare men to
engage more fully in psychotherapy and to experience greater benefit from it."
(PsycINFO Database Record (c) 2011 APA, all rights reserved).
PMID- 22082409
TI - Research in the psychology of men and masculinity using the gender role strain
paradigm as a framework.
AB - This article introduces the specialty area of the psychology of men and
masculinity to the broader community of American psychologists, focusing on
research conducted using the gender role strain paradigm. The review covers the
rationale for and aims of the psychology of men and masculinity and the gender
role strain paradigm. It provides an extensive discussion of masculinity
ideologies--the core construct in the strain paradigm--including the definition
of masculinity ideology and considerations of masculinity ideology versus
masculinity ideologies, traditional masculinity ideology, the measurement of
masculinity ideologies, the Male Role Norms Inventory-Revised, women's and
adolescent's masculinity ideologies, and conformity to masculine norms. It then
takes up the 3 types of masculine gender role strain (discrepancy, dysfunction,
and trauma) and the normative male alexithymia hypothesis. Finally, it considers
future research directions. (PsycINFO Database Record (c) 2011 APA, all rights
reserved).
PMID- 22082411
TI - Antonio E. Puente: Award for Distinguished Professional Contributions to
Independent Practice.
AB - Presents Antonio E. Puente as the 2011 winner of the American Psychological
Association Award for Distinguished Professional Contributions to Independent
Practice. "For his decades of efforts to enhance the recognition of psychologists
in providing health care services, through his work with the Current Procedural
Terminology (CPT) Panel to develop and maintain appropriate CPT codes for the
breadth of professional practice; for his willingness to participate in
litigation establishing the expertise of neuropsychologists to testify in court
about their findings; for his tireless work in cross-cultural assessment relative
to criminal trials; for his leadership of professional societies in clinical
neuropsychology; for his promotion of legislation and policy at all levels of
government; and for his countless other contributions to the enhancement of
independent practice in psychology. Antonio Puente is a far-thinking visionary
who freely shares his knowledge to improve the quality of psychological practice
and the reimbursement system that attests to the worth of the profession. He is
the very embodiment of the psychologist for whom this award is intended."
(PsycINFO Database Record (c) 2011 APA, all rights reserved).
PMID- 22082412
TI - Psychology as a health care profession.
AB - This article reviews the concept that professional psychology is synonymous with
mental health. The acceptance of this concept results in limiting the potential
impact that psychology has for both individuals and society. Historical
antecedents of both psychology and professional psychology are considered as
laying a foundation for a necessary paradigm shift from primarily mental health
to health. Clinical neuropsychology, health psychology, and prescriptive
authority are considered as three examples that may assist in guiding
professional psychology toward inclusiveness into a broader health care arena.
Limitations of the proposed paradigm and directions for its future are
considered. (PsycINFO Database Record (c) 2011 APA, all rights reserved).
PMID- 22082414
TI - Ronald H. Rozensky: Award for Distinguished Professional Contributions to
Institutional Practice.
AB - Presents Ronald H. Rozensky, the 2011 winner of the American Psychological
Association Award for Distinguished Professional Contributions to Institutional
Practice. "For his dedication and distinguished contributions to excellence in
institutional practice through creative leadership in education, training, and
patient care. Ronald H. Rozensky's accomplishments include serving as the
founding editor of the Journal of Clinical Psychology in Medical Settings,
contributing to federal health care policy recommendations while serving as
chairperson of a Health Resources and Services Administration health care
advisory committee, and working on regulatory changes made while representing APA
on the Joint Commission on the Accreditation of Healthcare Organizations. A
tireless champion of institutional practice while serving on APA's Board of
Directors and as chairperson of the Boards of Educational and Professional
Affairs and the Commission for the Recognition of Specialties and Proficiencies
in Professional Psychology, he is a dynamic advocate for psychology's
administrative independence in all settings and its interprofessional focus in
health care." (PsycINFO Database Record (c) 2011 APA, all rights reserved).
PMID- 22082415
TI - The institution of the institutional practice of psychology: health care reform
and psychology's future workforce.
AB - Implications for the future of professional psychology are discussed and related
to the Patient Protection and Affordable Care Act, patient-centered health care
homes and accountable care organizations, and the growing importance of
interprofessional competencies in health care. The need for increased information
about the psychology workforce is related to the history of the institutional
practice of psychology and how that data must be used to plan for the supply of
psychologists required to meet the service demands of the changing health care
system. Several challenges to the field of psychology are offered, along with
steps that must be taken by the profession to prepare for increased
institutionally based health care services in the future. (PsycINFO Database
Record (c) 2011 APA, all rights reserved).
PMID- 22082417
TI - Jordan M. Braciszewski: APA/APAGS Award for Distinguished Graduate Student in
Professional Psychology.
AB - Presents Jordan M. Braciszewski as the 2011 winner of the American psychological
Association APA/APAGS Award for Distinguished Graduate Student in Professional
Psychology. "For his concerted efforts to identify the needs of homeless and
other at-risk populations and to design and provide necessary services for them.
Jordan M. Braciszewski is committed to using applied psychological science and
evidence-based intervention methods to assist the most disadvantaged in our
society. He has already provided additions to the relevant research literature
and has volunteered countless hours of his time to implement community-based
interventions and provide direct services himself. He has sought out the training
experiences necessary to assist him in doing an even better job in the future in
these public service activities." (PsycINFO Database Record (c) 2011 APA, all
rights reserved).
PMID- 22082419
TI - Dan Olweus: Award for Distinguished Contributions to the International
Advancement of Psychology.
AB - Presents Dan Olweus, the 2011 winner for the American Psychological Association
Award for Distinguished Contributions to the International Advancement of
Psychology. "For his lifelong commitment to understand bullying among children
and create safe and humane school settings. Dan Olweus led the education of the
global public about the nature and prevalence of bullying, its often serious
consequences, and the behavior of adults that allows bullying to occur. He has
done so as a careful researcher, a thoughtful theoretician, a creative program
developer, and a tireless advocate. He uncovered the problem and created and
evaluated a comprehensive solution. Throughout his career, he has been guided by
a concern for careful psychological inquiry and a commitment to the promotion of
human rights." (PsycINFO Database Record (c) 2011 APA, all rights reserved).
PMID- 22082420
TI - Headaches and academic performance in university students: a cross-sectional
study.
AB - OBJECTIVES: To estimate the 1-year prevalence of headache, its repercussion and
its association with the academic performance of university students. METHODS:
Cross-sectional study. Three hundred eighty students were randomly selected out
of the 1718, 90.5% of them were interviewed. A semi-structured interview, the
Headache Impact Test (HIT-6) and the Hospital Anxiety and Depression Scale were
used. The variables related to academic performance: absenteeism, performance
coefficient and number of failures in disciplines, were obtained by consulting
the academic records. RESULTS: Three hundred forty-four students were
interviewed. The headache prevalence was 87.2%. Migraine prevalence was 48.5%.
Tension-type headache prevalence was 42.4%. During the 3 months prior to the
interview, 8.7% sought emergency services, 30.8% missed class, and 30.8% had a
reduction in their productive capacity because of headache. HIT-6:
substantial/severe impact = 49%. Multiple linear regressions have shown that
serious/very serious-impact headaches are significantly related to greater number
of discipline failure and absenteeism. There was no association between student
grades and headaches. CONCLUSION: A high prevalence of headache in the studied
population was verified. A high headache impact on a student's life was
associated with worse academic performance.
PMID- 22082421
TI - Increase of capsaicin-induced trigeminal Fos-like immunoreactivity by 5-HT(7)
receptors.
AB - OBJECTIVE: To explore whether pharmacological stimulation of the 5
hydroxytryptamine(7) (5-HT(7) ) receptor modulates Fos-like immunoreactivity in
the trigeminal nucleus caudalis of rats. BACKGROUND: The serotonin 5-HT(7)
receptor was proposed to be involved in migraine pathogenesis and evidence
suggests it plays a role in peripheral nociception and hyperalgesia through an
action on sensory afferent neurons. METHODS: The potential activating or
sensitizing role of 5-HT(7) receptors on trigeminal sensory neurons, as
visualized by Fos-like immunoreactivity in the superficial layers of the
trigeminal nucleus caudalis in rats, was investigated using the 5-HT(7) receptor
agonist, LP-211, in the absence and the presence of intracisternal capsaicin,
respectively. The agonist effect was characterized with the 5-HT(7) receptor
antagonist, SB-656104. Male Wistar rats received a subcutaneous injection of LP
211, SB-656104, and SB-656104 + LP-211. They were then anesthetized and prepared
to receive an intracisternal injection of capsaicin or its vehicle. Animals were
perfused and brains removed; sections of the brain stem from the area postrema to
the CI level were obtained and processed for Fos immunohistochemistry. RESULTS:
Capsaicin but not its vehicle induced Fos-like immunoreactivity within laminae I
and II of trigeminal nucleus caudalis. Pretreatment with LP-211 had no effect on
Fos-like immunoreactivity but strongly increased the response produced by
capsaicin; this effect was abolished by SB-656104. Interestingly, capsaicin
induced Fos-like immunoreactivity was abolished by SB-656104 pretreatment thus
suggesting involvement of endogenous 5-HT. CONCLUSIONS: Data suggest that 5-HT(7)
receptors increase activation of meningeal trigeminovascular afferents and/or
transmission of nociceptive information in the brain stem. This mechanism could
be relevant in migraine and its prophylactic treatment.
PMID- 22082422
TI - Photoreactivity of the occipital cortex measured by functional magnetic resonance
imaging-blood oxygenation level dependent in migraine patients and healthy
volunteers: pathophysiological implications.
AB - BACKGROUND: The brain of migraineurs is hyperexcitable, particularly the
occipital cortex, which is probably hypersensitive to light. Photophobia or
hypersensitivity to light may be accounted for by an increased excitability of
trigeminal, the visual pathways, and the occipital cortex. OBJECTIVE: To study
light sensitivity and photophobia by assessing the response to light stimuli with
functional magnetic resonance imaging-blood oxygenation level dependent (fMRI
BOLD) of the occipital cortex in migraineurs and in controls. Also, to try to
decipher the contribution of the occipital cortex to photophobia and whether the
cortical reactivity of migraineurs may be part of a constitutional (defensive)
mechanism or represents an acquired (sensitization) phenomenon. METHODS: Nineteen
patients with migraine (7 with aura and 12 without aura) and 19 controls were
studied with fMRI-BOLD during 4 increasing light intensities. Eight axial image
sections of 0.5 cm that covered the occipital cortex were acquired for each
intensity. We measured the extension and the intensity of activation for every
light stimuli. Photophobia was estimated according to a 0 to 3 semiquantitative
scale of light discomfort. RESULTS: Migraineurs had a significantly higher number
of fMRI-activated voxels at low (320.4 for migraineurs [SD = 253.9] and 164.3 for
controls [SD = 102.7], P = .027) and medium-low luminance levels (501.2 for
migraineurs [SD = 279.5] and 331.1 for controls [SD = 194.3], P = .034) but not
at medium-high (579.5 for migraineurs [SD = 201.4] and 510.2 for controls [SD =
239.5], P = .410) and high light stimuli (496.2 for migraineurs [SD = 216.2] and
394.7 for controls [SD = 240], P = .210). No differences were found with respect
to the voxel activation intensity (amplitude of the BOLD wave) between
migraineurs and controls (8.98 [SD = 2.58] vs 7.99 [SD = 2.57], P = .25; 10.82
[SD = 3.27] vs 9.81 [SD = 3.19], P = .31; 11.90 [SD = 3.18] vs 11.06 [SD = 2.56],
P = .62; 11.45 [SD = 2.65] vs 10.25 [SD = 2.22], P = .16). Light discomfort was
higher in the group of migraineurs at all the intensities tested, but there was
no correlation with the number of activated voxels in the occipital cortex and
photophobia. Repetitive light stimuli failed to demonstrate a lack of habituation
in migraineurs. CONCLUSIONS: Migraineurs during interictal periods showed
hyperxcitability of the visual cortex with a wider photoresponsive area, the
underlying mechanism probably being dual: constitutional-defensive and acquired
sensitizating.
PMID- 22082423
TI - Acute striatal necrosis in hemiplegic migraine with de novo CACNA1A mutation.
AB - We report the case of a 9-year-old girl with early-onset developmental delay,
chronic ataxia and prolonged hemiplegic migraine episodes bringing about
progressive deterioration. Two days into one episode, diffusion-weighted magnetic
resonance imaging disclosed unilateral striatal abnormal signal consistent with
cytotoxic edema, which evolved into atrophy on follow-up scans. Mutational screen
of CACNA1A gene identified a de novo p.Tyr1387Cys mutation.
PMID- 22082424
TI - Sumatriptan in excessive doses over 15 years in a patient with chronic cluster
headache.
AB - We report the case of a 49-year-old lady with cluster headache, who had received
sumatriptan s.c. treatment for 15 years with daily dosages between 12 and 222 mg
(average of 150 mg during the last year). The therapy was successful in aborting
CH attacks. Long-term overdosage of sumatriptan was well tolerated, without
adverse events.
PMID- 22082425
TI - Bruxism, temporomandibular dysfunction, tension type headache, and migraine: a
comment.
PMID- 22082426
TI - Pathophysiology of chronic migraine and mode of action of preventive medications:
a comment.
PMID- 22082428
TI - Botulinum toxin and the treatment of headache: a clinical review.
PMID- 22082430
TI - Chronic migraine: a road less travelled.
PMID- 22082429
TI - Insights into the mechanism of onabotulinumtoxinA in chronic migraine.
AB - OnabotulinumtoxinA has recently been approved by regulatory agencies in the UK
and United States for treatment of chronic migraine based on data generated from
the PREEMPT studies. As such, onabotulinumtoxinA is the only prophylactic therapy
specifically approved for chronic migraine. Most headache clinicians would agree
that acute episodic migraine and chronic migraine differ in their
pathophysiology, etiology, diagnosis, and response to pharmacological as well as
nonpharmacological therapies. Of the 7 botulinum neurotoxin serotypes, botulinum
neurotoxin type A (onabotulinumtoxinA) has been the most thoroughly investigated
in preclinical and clinical studies. Based on preclinical studies,
onabotulinumtoxinA is known to inhibit the release of excitatory
neurotransmitters from both motor and sensory neurons by preventing vesicle
fusion to the cell membrane. In addition to the well-documented myorelaxant
effects of this neurotoxin, onabotulinumtoxinA can exert a direct analgesic
effect that likely involves inhibition of primary and secondary nociceptive
neurons. The inhibitory effects of onabotulinumtoxinA are also likely to involve
suppressing the activity of myogenic trigger points and decreasing the persistent
nociceptive barrage that promotes and maintains central sensitization. This
article describes possible mechanisms to explain how onabotulinumtoxinA functions
as a therapy for chronic migraine and considers why treatment with the neurotoxin
is not effective in some chronic migraineurs.
PMID- 22082431
TI - Abuse and maltreatment: their effect on headache.
PMID- 22082432
TI - The efficacy of acupressure at the Sanyinjiao point in the improvement of women's
general health.
AB - OBJECTIVES: This study's objective was to examine the effectiveness of Sanyinjiao
point (SP6) acupressure on women's general health. DESIGN: This was a randomized,
single-blind clinical trial. SETTING: The setting for this trial was at Medical
University, Bojnoord University of Medical Science, Bojnoord, Iran. MATERIALS AND
METHODS: Eighty-six (86) university students were recruited for this study. Their
demographic characteristics were gathered before the intervention commenced.
Participants completed General Health Questionnaires (GHQ) prior to
participation. Next, they were randomly assigned to either the acupressure
receiving group or the sham-pressure-receiving group. The study group received
acupressure at the Sanyinjiao point, while the control group received sham
pressure. OUTCOME MEASURES: Both groups completed GHQ after both the first and
second months of intervention. Data analysis was carried out using SPSS version
13.5 for Windows. RESULTS: The mean age of those who completed the study was
20.84+/-1.64 years. Mean scores of GHQs were similar between groups before
intervention (p>0.05). The general health of participants in both groups improved
after intervention, and the amelioration in four domains was significant in the
groups (p<0.05 within group). It was found that acupressure was more effective
than sham pressure. In addition, there was a statistically significant difference
between the two groups in the four domains of their general heath after the first
month of the intervention (p<0.0001). Furthermore, the general health status of
the participants changed much more after the second month in both the acupressure
intervention and the sham pressure groups; nevertheless, acupressure was more
effective than sham pressure (p<0.05). CONCLUSIONS: Both acupressure and sham
pressure were effective in promoting women's general health; nevertheless, the
efficacy of acupressure was more than that of sham pressure. SP6 acupressure
could be regarded as a self-manageable approach to improve women's general
health.
PMID- 22082433
TI - The relationship between dental occlusion/temporomandibular joint status and
general body health: part 2. Fascial connection of TMJ with other parts of the
body.
AB - In part 1 of this study, it was discussed that dental occlusion/temporomandibular
joint (TMJ) status is functionally connected to general body health. The purpose
of this part of the study was to attempt to formulate a conceptual account, the
"fascial connection theory for TMJ and other parts of the body," to explain the
functional connection between TMJ and other parts of the body. The first
hypothesis that was studied is that TMJ and other parts of body are connected
through the fascia as asserted by the myofascial-release schools, and the second
one is that they are connected through the meridian system constituted of fascia
(connective tissue). The fascial connection theory proposed here can explain the
functional connection between dental occlusion/TMJ and other parts of the body
based on either myofascial release or the qi and meridian system, or a
combination of the two. Therefore, dental occlusion should be built up and
maintained in a normal natural condition, and causes of deterioration of TMJ
status should be treated in an effort to restore the natural condition. Other
possible mechanisms that can account for these connections require elucidation,
and additional experimental investigation should be undertaken.
PMID- 22082438
TI - Sporting activity following colic surgery in horses: a retrospective study.
AB - REASONS FOR PERFORMING STUDY: There is a paucity of studies addressing sporting
activity and horse owners' satisfaction after horses have undergone colic
surgery. OBJECTIVES: To determine 1) survival rate after colic surgery, 2)
prevalence of horses returning to, or starting, sporting activities and 3) assess
the owners' satisfaction regarding colic surgery. METHODS: Cases that underwent
exploratory celiotomy for colic between January 2005 and August 2010 were
reviewed. All horses that had one or more celiotomies and were discharged after
colic surgery were included in a telephone questionnaire survey. Only horses that
survived at least 6 months after colic surgery were included in the sporting
activity analysis. Data extracted from the records included case details, intra
operative diagnosis and surgical treatment. Information from a telephone
questionnaire included the horses' post surgical details (horse alive or
subjected to euthanasia, post operative complications, pre- and post surgical
use, return to sporting activity, sporting performance, behavioural changes,
management changes and recommendation by owner for colic surgery). A logistic
regression model was used for the statistical analysis of post hospitalisation
performance and an ordinal regression model used for analysis of post colic
complications and of owner's recommendation of surgery. A Kaplan-Meier survival
curve was computed to show survival of horses discharged after colic surgery.
RESULTS: The survival rates (%) at 6, 12, 24, 36, 48 and 60 months were 95.3,
86.6, 80.9, 76.9, 62.1 and 57.6, respectively. A large majority of horses (86.1%)
resumed or started sporting activities after colic surgery. The proportion of
horses that the owners believed to achieve the same or better performance after
surgery was 83.5%. In 89.9% of the cases, owners stated that they would recommend
colic surgery. CONCLUSIONS: Horses discharged after colic surgery had a high long
term survival rate. A high prevalence of horses resumed or started sporting
activities with a high proportion of horses at their presurgical performance
level. The large majority of owners of discharged horses were satisfied with
colic surgery performed on their horses.
PMID- 22082439
TI - Incidence of support limb laminitis in horses treated with half limb, full limb
or transfixation pin casts: a retrospective study of 113 horses (2000-2009).
AB - REASONS FOR PERFORMING STUDY: To determine the incidence of support limb
laminitis among horses treated with half limb, full limb or transfixation pin
casts and determine potential risk factors. METHODS: Medical records of 113
horses treated with half limb, full limb or transfixation pin casts at an equine
referral hospital from 2000 to 2009 were reviewed. Associations between potential
risk factors and development of support limb laminitis were evaluated by
bivariable and multivariable logistic regression analyses. RESULTS: Of the 113
horses that received casts, 14 (12%) developed confirmed support limb laminitis.
The bodyweight of the horse and duration of casting in weeks were significantly
associated with support limb laminitis. Horses requiring full limb casts or
transfixation pin casts were more likely to develop this complication than horses
requiring half limb casts. There were no significant associations between
developing support limb laminitis and weightbearing capacity on presentation to
the hospital, the limb affected (fore- or hind), whether there was a fracture
present or breed of horse. CONCLUSIONS: Support limb laminitis is a relatively
common complication among horses treated with half limb, full limb and
transfixation pin casts. Greater durations of casting and higher bodyweights
increase the likelihood of developing this complication. POTENTIAL RELEVANCE:
Support limb laminitis may occur secondary to any painful unilateral lameness and
is not necessarily more likely to develop in horses with severe orthopaedic
conditions such as fractures. However, heavier horses, those requiring casts for
longer periods of time and those that require a full limb or transfixation pin
cast as opposed to a half limb cast should be considered to have an increased
risk for developing support limb laminitis post operatively.
PMID- 22082440
TI - Phenylbutazone and flunixin meglumine used singly or in combination in
experimental lameness in horses.
AB - REASON FOR PERFORMING STUDY: Using an adjustable heart bar shoe model of foot
pain, the objective of this study was to test the hypothesis that the combined
use of phenylbutazone (PBZ) and flunixin meglumine (FM) would prove more
efficacious in alleviating lameness than either drug alone. MATERIALS AND
METHODS: One hour after induction of lameness at weekly intervals, 8 healthy
adult Thoroughbred horses randomly underwent one of 4 i.v. treatments: saline
(SAL) placebo (1 ml/45 kg bwt), PBZ (4.4 mg/kg bwt), FM (1.1 mg/kg bwt) or PBZ+FM
(at the same dosages as given individually). Heart rate (HR) and lameness score
(LS) responses were assessed in a blinded manner every 20 min for 5 h after
lameness induction and then hourly for 12 h after treatment. Jugular venous blood
samples were obtained at -1, 0, 0.05, 1, 2, 4, 6, 8, 10 and 12 h and subsequently
analysed for drug concentrations. Repeated measures ANOVA and post hoc Tukey's
test were used to identify analgesic effects at a significance level of P<0.05.
RESULTS: Heart rate was lower in all nonsteroidal anti-inflammatory drug (NSAID)
treated trials from 2 h to 10 h post treatment (P<0.05). Analgesic effects of FM
and PBZ+FM, as evidenced by decreases in HR, lasted for 12 h post treatment
(P<0.05). Lameness score decreased earlier in PBZ and PBZ+FM trials than in FM
trials (P<0.05) and the analgesic effect on LS lasted for 12 h post treatment for
all NSAID trials (P<0.05). Peak PBZ plasma concentration was 73.7 +/- 6.0 and
77.9 +/- 5.5 ug/ml. Peak FM concentration was 12.0 +/- 0.8 and 13.7 +/- 1.0
ug/ml. CONCLUSIONS: It was concluded that the combination of PBZ+FM was not more
effective than either PBZ or FM alone. These data do not support the hypothesis
that the combination is more efficacious at these dosages than either drug alone
in this model of acute foot pain.
PMID- 22082441
TI - Dynamic respiratory videoendoscopy in ridden sport horses: effect of head
flexion, riding and airway inflammation in 129 cases.
AB - REASONS FOR PERFORMING STUDY: Dynamic upper airway obstruction (UAO) is a cause
of respiratory noise and sometimes poor performance in sport horses. Riding, head
flexion and airway inflammation may impact upper respiratory tract stability
during exercise. OBJECTIVES: To evaluate upper airway mechanical behaviour in
ridden sport horses using overground endoscopy and the effect of head flexion,
rider intervention and underlying airway inflammation on the pharynx and larynx.
METHODS: Resting and exercising videoendoscopic recordings during ridden exercise
were obtained in 129 sport horses referred mainly for respiratory noise, poor
performance or routine evaluation. The rider modified poll flexion and way of
riding during the test and associated changes in UAO were recorded. Presence of
upper and lower airway inflammation was also assessed. RESULTS: Dynamic UAO was
diagnosed in 91% (64/70) of the horses referred for respiratory noise and in 71%
(29/41) of horses referred for poor performance. Pharyngeal instability was the
most frequently diagnosed problem. However, differences were observed between
dressage horses and showjumpers. Rider interaction and head flexion exacerbated
upper airway instability and promoted the occurrence of complex UAO. Both lower
airway inflammation and pharyngeal lymphoid hyperplasia were associated with
pharyngeal instability, but not with any other UAO. CONCLUSIONS: Rider
intervention during ridden exercise (i.e. the various movements a horse might be
asked to perform) influences upper airway morphology and function and, in cases
of upper airway dynamic obstruction, can contribute to increasing laryngeal
and/or pharyngeal instability in sport horses. POTENTIAL RELEVANCE: As these are
changes that would not usually be seen with treadmill videoendoscopy, ridden
videoendoscopy should be the preferred method for evaluation of the upper airway
in sport horses.
PMID- 22082442
TI - Adaptation and validation of a bacteria-specific enzyme-linked immunosorbent
assay for determination of farm-specific Lawsonia intracellularis seroprevalence
in central Kentucky Thoroughbreds.
AB - REASONS FOR PERFORMING STUDY: Lawsonia intracellularis is the causative agent of
equine proliferative enteropathy (EPE), a disease for which no large-scale
seroprevalence studies have been conducted. OBJECTIVES: To validate and use an
equine-specific enzyme-linked immunosorbent assay (ELISA) for L. intracellularis
to determine the seroprevalence of L. intracellularis on numerous farms. METHODS:
An ELISA, in which purified antigen was used, was adapted from previous work in
swine. A total of 337 Thoroughbreds from 25 central Kentucky farms were enrolled
and monthly serum samples collected from August 2010 to January/February 2011.
Samples were screened for L. intracellularis-specific antibodies using a modified
ELISA. Farms were classified into one of 3 groups based on 3 year prior history
with EPE. RESULTS: The ELISA intra-assay coefficient of variation (CV) was 6.73
and inter-assay CV was 9.60. An overall seroprevalence of 68% was obtained, with
farm-specific seroprevalances ranging from 14 to 100%. A significant difference
was found in the average seroprevalence (P<0.05) on farms with a confirmed recent
history of EPE cases. Additionally, both lower average ELISA unit (EU) values (P
= 0.079) and maximum EU values (P = 0.056) were detected on farms with no recent
EPE history when compared to the other groups. A bimodal exposure distribution to
L. intracellularis was detected in the fall and winter months. CONCLUSIONS:
Recent history of EPE was associated with higher average seroprevalence
indicating increased exposure on farms with prior cases of EPE. Seasonally
bimodal exposure was also observed. POTENTIAL RELEVANCE: The adapted ELISA
appears to be useful for determination of L. intracellularis-specific antibody
levels. The high farm-specific seroprevalences and bimodal distribution of
exposure to L. intracellularis were unexpected and suggest that farms with a
previous history of EPE remain at risk due to heightened exposure levels beyond
early winter.
PMID- 22082443
TI - Efficacy of human chorionic gonadotropin to induce ovulation in the mare, when
associated with a single dose of dexamethasone administered at breeding time.
AB - REASONS FOR PERFORMING STUDY: Human chorionic gonadotropin (hCG) and
dexamethasone have a role in the reproductive management protocols of mares
susceptible to persistent mating-induced endometritis (PMIE). However, it is
possible that there is interference between these 2 drugs. OBJECTIVES: The
objective of this retrospective study was to determine the efficacy of hCG to
induce ovulation in mares treated with dexamethasone at breeding time. METHODS:
Medical records from 152 mares and 223 cycles were analysed. In 113 cycles, mares
susceptible to PMIE were treated with 1500 iu hCG and a single dose of 50 mg
dexamethasone, 110 cycles were used as controls and subsequent ovulation was
assessed ultrasonographically and compared. RESULTS: Dexamethasone did not
inhibit ovulation in mares susceptible to PMIE. CONCLUSIONS AND POTENTIAL
RELEVANCE: Multiple administrations of dexamethasone to mares in early oestrus
have induced ovulation failure. However, a single dose of dexamethasone,
administered at breeding time, has been used as an effective modulator of PMIE in
susceptible mares and does not interfere with efficacy of hCG to induce
ovulation.
PMID- 22082444
TI - Sperm selection using single layer centrifugation prior to cryopreservation can
increase thawed sperm quality in stallions.
AB - REASONS FOR PERFORMING STUDY: The increasing use of modern reproductive
techniques in human medicine has led to a higher demand for isolation of motile
sperm. Several of these isolation techniques have been adapted for veterinary use
and can be applied for the selection of a superior sperm sample from stallion
semen. Until recently a major disadvantage of such isolation techniques was the
limitation in sperm volume that could be handled. Androcoll-E had been shown to
be successful for processing large volumes of equine semen but there are few data
to substantiate the potential beneficial effect of freezing an Androcoll-E
selected equine sperm sample to obtain higher quality following thawing.
OBJECTIVES AND METHODS: In this study, the effect of Androcoll-E treatment of
sperm prior to cryopreservation was compared with cushioned centrifugation using
ejaculates from 8 different stallions selected because they were known to have
semen of differing quality following freezing. RESULTS: Androcoll-E treatment
increased measures of semen quality prior to freezing. However, Androcoll-E
treatment reduced the yield of sperm following centrifugation when compared with
the cushion centrifuged control group (50.9 +/- 14.2% vs. 97.1 +/- 9.0%,
respectively). Quality analysis following thawing showed an overall improved
sperm quality for Androcoll-E treated samples and average post thaw progressive
motility (PM) was 41.6% compared with 30.5% for the cushion centrifuged group.
CONCLUSIONS AND POTENTIAL RELEVANCE: Androcoll-E can be used with good results to
select a superior sperm population prior to cryopreservation, in order to produce
good-quality frozen thawed semen.
PMID- 22082445
TI - Nictitating membrane resection in the horse: a comparison of long-term outcomes
using local vs. general anaesthesia.
AB - REASONS FOR PERFORMING STUDY: Neoplasia, for which surgical excision is a
frequent treatment, is the most common disease of the equine nictitating
membrane. There is little long-term follow-up information available to the
practitioner regarding the long-term effects of nictitating membrane excision on
ocular health. No information is available to compare recurrence of primary
neoplasia of the nictitating membrane after excision with local or general
anaesthesia. OBJECTIVES: To evaluate the long-term complications of nictitating
membrane resection in horses; recurrence of neoplasia of the nictitating membrane
when nictitating membrane resection is performed under local vs. general
anaesthesia and if the method of anaesthesia used to permit resection of the
affected membrane influences the recurrence of neoplasia of the nictitating
membrane after complete nictitating membrane resection. METHODS: Records of 26
horses receiving resection of the nictitating membrane for primary neoplasia of
the nictitating membrane 1999-2009 were reviewed. Clinical examination findings,
surgical procedure, anaesthesia type, histopathological findings and details of
adjunctive treatment were recorded. Owners were contacted via telephone regarding
post operative outcomes. Data were analysed using a Fisher's exact test (P<0.05).
RESULTS: The most common long-term complication of nictitating membrane excision
was mild ocular discharge. Squamous cell carcinoma was the most frequent
histopathological diagnosis. Recurrence of neoplasia was uncommon (2/26 horses).
No significant difference in the number of horses experiencing recurrence of
neoplasia was detected between groups receiving general anaesthesia vs. those
receiving local anaesthesia. CONCLUSIONS: Resection of the nictitating membrane
in horses following local anaesthesia is not associated with increased risk of
recurrence of neoplasia compared with excision under general anaesthesia.
Resection of the nictitating membrane is not associated with any long-term ocular
side effects and can be an effective modality for cure of primary neoplasia of
the nictitating membrane in selected cases.
PMID- 22082446
TI - In vitro comparison of three suture techniques for anastomosis of the equine
small intestine.
AB - REASONS FOR PERFORMING STUDY: The equine small intestine can be affected by a
variety of disorders that may require some form of bypass or anastomosis. Many
suture patterns have been used in equine anastomoses to minimise post operative
complications, which include leakage from the anastomosis site, stenosis and
adhesions. Because of the critical condition of horses undergoing colic surgery,
it is imperative this is performed as quickly as possible. OBJECTIVES: To
evaluate, in vitro, differences in the time of execution and leakage pressure
between the Lembert single layer, Gambee and Lembert double layer suture patterns
for intestinal anastomosis in the horse and correlate the time taken to complete
the anastomosis and hemicircumference of the anastomotic site. METHODS: Small
intestinal loops were anastomosed with one of the 3 suture patterns. The
intestines, immersed in polyionic solution, were insufflated via a flexible
rubber hose, connected to a sphygmomanometer bulb, inserted into the lumen at one
end and fixed at 25 cm from the anastomosis site with a locking clip. A tube
fixed in the same manner at the other end, connected to a pressure gauge, was
used to evaluate the pressure at which leakage from the anastomosis site,
revealed by the presence of bubbles, was present. RESULTS: The time spent in the
execution of the Lembert single layer was significantly less than that for both
Gambee and Lembert double layer. The leakage pressure of Lembert single layer was
significantly higher than that recorded for both Gambee and Lembert double layer.
CONCLUSIONS AND POTENTIAL RELEVANCE: This study shows that the continuous Lembert
single layer pattern takes less time to execute and fails at higher pressures
than the Lembert double layer or Gambee patterns.
PMID- 22082447
TI - Changes in the content of bioactive polyphenolic compounds of olive mill
wastewater by the action of exogenous enzymes.
AB - The aim behind the present research is to develop an enzymatic treatment for
olive mill wastewater (OMW) to release high amounts of simple phenolics having
high antioxidant value. OMW was hydrolyzed by a mixed enzyme preparation rich in
beta-glucosidase produced by Aspergillus niger . This research shows that A.
niger beta-glucosidase played a major role in the release of simple phenolic
compounds from OMW. These compounds were recovered by ethyl acetate extraction
and identified by HPLC and LC-MS. The main identified phenolic compound is
hydroxytyrosol. The results of enzymatic hydrolysis of OMW under optimum
conditions indicated a maximum hydroxytyrosol concentration of 2.9 g L(-1)
compared to 0.015 g L(-1) contained in the control (test without added enzyme).
The above results prove that OMW is a potential substrate for producing
hydroxytyrosol through enzymatic hydrolysis of its glycosides.
PMID- 22082448
TI - Perspectives on the role of bioengineering in neurotrauma research.
PMID- 22082449
TI - Blast-induced color change in photonic crystals corresponds with brain pathology.
AB - A high incidence of blast exposure is a 21st century reality in counter
insurgency warfare. However, thresholds for closed-head blast-induced traumatic
brain injury (bTBI) remain unknown. Moreover, without objective information about
relative blast exposure, warfighters with bTBI may not receive appropriate
medical care and may remain in harm's way. Accordingly, we have engineered a
blast injury dosimeter (BID) using a photonic crystalline material that changes
color following blast exposure. The photonic crystals are fabricated using SU-8
via multi-beam interference laser lithography. The final BID is similar in
appearance to an array of small colored stickers that may be affixed to uniforms
or helmets in multiple locations. Although durable under normal conditions, the
photonic crystalline micro- and nano-structure are precisely altered by blast to
create a color change. These BIDs were evaluated using a rat model of bTBI, for
which blast shockwave exposure was generated via a compressed air-driven shock
tube. With prototype BID arrays affixed to the animals, we found that BID color
changes corresponded with subtle brain pathologies, including neuronal
degeneration and reactive astrocytosis. These subtle changes were most notable in
the dentate gyrus of the hippocampus, cerebral cortex, and cerebellum. These data
demonstrate the feasibility of using a materials-based, power-free colorimetric
BID as the first self-contained blast sensor calibrated to correspond with brain
pathology.
PMID- 22082450
TI - Multistep ultrahigh performance liquid chromatography/tandem mass spectrometry
analysis for untargeted quantification of glycating activity and identification
of most relevant glycation products.
AB - The use of advanced glycation end-products (AGEs) as biomarkers for diagnosis and
clinical studies is still hampered by insufficient knowledge on clinically
relevant structures formed from precursors associated with defined disease
states. The present study conducted untargeted analysis of the glycating activity
of AGE-precursors by ultrahigh performance liquid chromatography/tandem mass
spectrometry multiple reaction monitoring (UHPLC/MSMS-MRM), monitoring the loss
of a nonapeptide as the glycation target. Thus, the glycating activities of seven
important AGE-precursors were determined (glucose 13% and the reactive carbonyl
compounds glucosone 39%, 3-deoxyglucosone 15%, 3-deoxygalactosone 26%, 3,4
dideoxyglucosone-3-ene 79%, methylglyoxal 94%, and glyoxal 97% peptide loss; 12
h/37 degrees C). Furthermore, UHPLC/MSMS with simultaneous precursor ion scan
and information-dependent acquisition of enhanced resolution spectra and
subsequent product ion scan was applied for untargeted analysis of the major AGE
structures derived from various AGE-precursors. The 20 most important
modifications could be assigned to 8 AGE-structures previously reported in the
literature. Seven loosely bound AGEs not yet covered by conventional methods were
detected and assigned to hemiaminals. Five AGE structures did not match any known
products. The method can be applied to analyze glycating activity and AGE
structures formed from various other precursors under defined reaction
conditions, supporting the selection and evaluation of diagnostic AGE-markers for
clinical studies.
PMID- 22082451
TI - Chemiosmotic coupling summarised.
PMID- 22082452
TI - Chemiosmotic coupling in oxidative and photosynthetic phosphorylation. 1966.
AB - 50 years ago Peter Mitchell proposed the chemiosmotic hypothesis for which he was
awarded the Nobel Prize for Chemistry in 1978. His comprehensive review on
chemiosmotic coupling known as the first "Grey Book", has been reprinted here
with permission, to offer an electronic record and easy access to this important
contribution to the biochemical literature. This remarkable account of Peter
Mitchell's ideas originally published in 1966 is a landmark and must-read
publication for any scientist in the field of bioenergetics. As far as was
possible, the wording and format of the original publication have been retained.
Some changes were required for consistency with BBA formats though these do not
affect scientific meaning. A scanned version of the original publication is also
provided as a downloadable file in Supplementary Information and can be found
online at doi:10.1016/j.bbabio.2011.09.018. See also Editorial in this issue by
Peter R. Rich. Original title: CHEMIOSMOTIC COUPLING IN OXIDATIVE AND
PHOTOSYNTHETIC PHOSPHORYLATION, by Peter Mitchell, Glynn Research Laboratories,
Bodmin, Cornwall, England.
PMID- 22082453
TI - Transcriptome and membrane fatty acid analyses reveal different strategies for
responding to permeating and non-permeating solutes in the bacterium Sphingomonas
wittichii.
AB - BACKGROUND: Sphingomonas wittichii strain RW1 can completely oxidize dibenzo-p
dioxins and dibenzofurans, which are persistent contaminants of soils and
sediments. For successful application in soil bioremediation systems, strain RW1
must cope with fluctuations in water availability, or water potential. Thus far,
however, little is known about the adaptive strategies used by Sphingomonas
bacteria to respond to changes in water potential. To improve our understanding,
strain RW1 was perturbed with either the cell-permeating solute sodium chloride
or the non-permeating solute polyethylene glycol with a molecular weight of 8000
(PEG8000). These solutes are assumed to simulate the solute and matric components
of the total water potential, respectively. The responses to these perturbations
were then assessed and compared using a combination of growth assays,
transcriptome profiling, and membrane fatty acid analyses. RESULTS: Under
conditions producing a similar decrease in water potential but without effect on
growth rate, there was only a limited shared response to perturbation with sodium
chloride or PEG8000. This shared response included the increased expression of
genes involved with trehalose and exopolysaccharide biosynthesis and the reduced
expression of genes involved with flagella biosynthesis. Mostly, the responses to
perturbation with sodium chloride or PEG8000 were very different. Only sodium
chloride triggered the increased expression of two ECF-type RNA polymerase sigma
factors and the differential expression of many genes involved with outer
membrane and amino acid metabolism. In contrast, only PEG8000 triggered the
increased expression of a heat shock-type RNA polymerase sigma factor along with
many genes involved with protein turnover and repair. Membrane fatty acid
analyses further corroborated these differences. The degree of saturation of
membrane fatty acids increased after perturbation with sodium chloride but had
the opposite effect and decreased after perturbation with PEG8000. CONCLUSIONS: A
combination of growth assays, transcriptome profiling, and membrane fatty acid
analyses revealed that permeating and non-permeating solutes trigger different
adaptive responses in strain RW1, suggesting these solutes affect cells in
fundamentally different ways. Future work is now needed that connects these
responses with the responses observed in more realistic scenarios of soil
desiccation.
PMID- 22082454
TI - Asian perspectives on patient education and health care communication.
PMID- 22082455
TI - The influence of light quality on C4 photosynthesis under steady-state conditions
in Zea mays and Miscanthus*giganteus: changes in rates of photosynthesis but not
the efficiency of the CO2 concentrating mechanism.
AB - Differences in light quality penetration within a leaf and absorption by the
photosystems alter rates of CO(2) assimilation in C(3) plants. It is also
expected that light quality will have a profound impact on C(4) photosynthesis
due to disrupted coordination of the C(4) and C(3) cycles. To test this
hypothesis, we measured leaf gas exchange, (13) CO(2) discrimination (Delta(13)
C), photosynthetic metabolite pools and Rubisco activation state in Zea mays and
Miscanthus * giganteus under steady-state red, green, blue and white light.
Photosynthetic rates, quantum yield of CO(2) assimilation, and maximum
phosphoenolpyruvate carboxylase activity were significantly lower under blue
light than white, red and green light in both species. However, similar leakiness
under all light treatments suggests the C(4) and C(3) cycles were coordinated
to maintain the photosynthetic efficiency. Measurements of photosynthetic
metabolite pools also suggest coordination of C(4) and C(3) cycles across light
treatments. The energy limitation under blue light affected both C(4) and C(3)
cycles, as we observed a reduction in C(4) pumping of CO(2) into bundle-sheath
cells and a limitation in the conversion of C(3) metabolite phosphoglycerate to
triose phosphate. Overall, light quality affects rates of CO(2) assimilation,
but not the efficiency of CO(2) concentrating mechanism.
PMID- 22082456
TI - The hierarchical face: higher rankings lead to less cooperative looks.
AB - In 3 studies, we tested the hypothesis that the higher ranked an individual's
group is, the less cooperative the facial expression of that person is judged to
be. Study 1 established this effect among business school deans, with observers
rating individuals from higher ranked schools as appearing less cooperative,
despite lacking prior knowledge of the latters' actual rankings. Study 2 then
experimentally manipulated ranking, showing that the effect of rankings on facial
expressions is driven by context rather than by individual differences per se.
Finally, Study 3 demonstrated that the repercussions of this effect extend beyond
the perception of cooperativeness to tangible behavioral outcomes in social
interactions. Theoretical and practical implications of this phenomenon are
discussed.
PMID- 22082457
TI - Supervisors' upward exchange relationships and subordinate outcomes: testing the
multilevel mediation role of empowerment.
AB - This study empirically examined the proposition that supervisors' exchange
relationships with their own supervisors (i.e., leader-leader exchange, or LLX)
are related to their subordinates' work-related outcomes through 3 mechanisms:
(a) leaders modeling their LLX to develop and maintain their exchange
relationships with their subordinates (i.e., leader-member exchange, or LMX), (b)
motivating the team and its members, captured by team and individual empowerment,
and (c) facilitating the relationships between LMX and individual outcomes.
Analyses of multisource and lagged data from 104 team supervisors and 577
subordinates showed that LMX mediated the positive relationship of LLX on
subordinates' individual empowerment. Furthermore, team empowerment and
individual empowerment sequentially mediated the positive relationships between
LLX and subordinates' job satisfaction and job performance. The authors also
found that the indirect relationships of LMX with job satisfaction and job
performance via individual empowerment were stronger when LLX was higher.
Theoretical and practical implications of these findings are discussed.
PMID- 22082458
TI - The impact of help seeking on individual task performance: the moderating effect
of help seekers' logics of action.
AB - Drawing from achievement-goal theory and the social psychological literature on
help seeking, we propose that it is the variance in the logic underpinning
employees' help seeking that explains divergent findings regarding the
relationship between help seeking and task performance. Using a sample of 110
newly hired customer contact employees, a prospective study design, and archival
performance data, we found no evidence of a hypothesized main effect of help
seeking on performance. However, we did find that the help seeking-performance
relationship was conditioned by the degree to which help seekers endorse 2
alternative help-seeking logics (autonomous vs. dependent logic) such that the
level of help seeking is more strongly related to performance among those either
more strongly endorsing an autonomous help-seeking logic or more weakly endorsing
a dependent help-seeking logic.
PMID- 22082459
TI - Treatment-related mortality in children with acute myeloid leukaemia in Central
America: incidence, timing and predictors.
AB - BACKGROUND: Cure rates in paediatric acute myeloid leukaemia in low-income
countries lag behind those in high-income countries, in part secondary to higher
rates of treatment-related mortality. Patterns of treatment-related mortality are
likely to differ between low and high-income centres. Understanding low-income
setting patterns is necessary before effective interventions aimed at decreasing
treatment-related mortality can be designed. Our aim was to describe the
incidence, timing and predictors of treatment-related mortality among Central
American children with acute myeloid leukaemia. PATIENTS AND METHODS: We
evaluated patients younger than 21 years diagnosed with acute myeloid leukaemia
from 2000 to 2008 in El Salvador, Honduras or Guatemala. Biologic, socioeconomic
and nutritional variables collected prospectively were examined as potential
predictors of treatment-related mortality. RESULTS: Among 279 patients, treatment
related mortality occurred in 65 (23%). Of 65 deaths, 51 (78.5%) occurred before
or during induction, resulting in an early death rate of 18.3%. The most common
causes of treatment-related mortality were infection (29/65; 45%) and haemorrhage
(13/65; 20%). Infection accounted for 33% of treatment-related mortality before
remission induction therapy versus 40% during induction and 77% after induction
(P = 0.03). Rates of treatment-related mortality did not vary between time
periods 1 and 2 (24.8% versus 21.4%; P = 0.32). Only lower initial platelet count
predicted early death (odds ratio per 10 * 10(9)/L = 0.88, 95% Confidence
Interval (CI) 0.79-0.97; P < 0.001). CONCLUSIONS: Treatment-related mortality
remains a significant cause of treatment failure. Supportive care interventions
are needed. Children presenting with low initial platelet counts were at highest
risk of induction death, suggesting that transfusion practices should be
evaluated.
PMID- 22082461
TI - Changing age of acne vulgaris visits: another sign of earlier puberty?
AB - The objective of the current study was to assess changes in the onset of pubertal
maturation by determining whether acne is occurring at an earlier age. We
assessed the age at which acne is occurring by assessing trends in the age of
people seeking medical attention for acne. The National Ambulatory Medical Care
Survey database was used to analyze physician visits for acne vulgaris in
children aged 6 to 18 from 1979 to 2007. The data were used to assess trends in
the mean age of children with acne and to compare these trends according to race
and sex. Regression analysis revealed a significant decrease in the mean age of
children seeking treatment for acne over this 28-year period (p < 0.001). There
was no significant change in the mean age of black children seeking treatment for
acne. Black girls had the lowest mean age whereas white boys had the highest mean
age. There has been a decrease in the average age of children seeking treatment
for acne that may be indicative of earlier acne onset. This finding provides
supporting evidence of the increasingly earlier onset of puberty in girls.
PMID- 22082462
TI - A retrospective study of the management of pediatric kerion in Trichophyton
tonsurans infection.
AB - Kerion celsi is the inflammatory extreme of tinea capitis, representing a delayed
hypersensitivity reaction to the causative dermatophyte. Some authors have
advocated the use of oral corticosteroids in patients with kerion formation to
inhibit the host inflammatory response and minimize the risk of scarring. This
retrospective study analyzed the management and outcome of all children younger
than 10 years old presenting to our pediatric dermatology service with tinea
capitis resulting in kerion formation between 2003 and 2009. We propose that
kerion treatment be directed toward the underlying dermatophyte. Oral and
intralesional corticosteroids are an unnecessary adjunct to oral antifungal
therapy for children with tinea capitis presenting with kerion in urban areas.
PMID- 22082460
TI - Functional connectivity during language processing in acute cocaine withdrawal: a
pilot study.
AB - Recent research revealed decreased access to semantic and associative networks in
acute cocaine withdrawal. In autism, such behavioral outcomes are associated with
decreased functional connectivity using functional magnetic resonance imaging.
Therefore, we wished to determine whether connectivity is also decreased in acute
cocaine withdrawal. Eight subjects in acute cocaine withdrawal were compared to
controls for connectivity in language areas while performing a task involving
categorization of words according to semantic and phonological relatedness. Acute
withdrawal subjects had significantly less overall connectivity during semantic
relatedness, and a trend towards less connectivity during phonological
relatedness. Of potential future interest is whether this might serve as an
imaging marker for treatment in patients.
PMID- 22082463
TI - Recurrence of infantile hemangiomas treated with propranolol.
AB - Propranolol has shown to be effective in the treatment of infantile hemangiomas
(IH), but several cases of recurrences have been reported so far. We describe
five cases of IH recurrence after propranolol treatment was stopped in 26
patients treated with propranolol all of whom were observed for at least 9 months
after treatment was discontinued. Recurrence was present in 5 of 26 cases,
yielding a recurrence rate of 19%. All cases were in females. Time from
withdrawal to recurrence ranged from 0 to 6 months. In four of five cases, the
lesion relapsed after the age of 11 months. Four of the five cases presented
partial recurrences, whereas in one case, recurrence was complete. In the
majority of cases, recurrence appeared in the deep component of the IH. Early
treatment withdrawal or a long proliferative phase of IH are potential causes of
hemangioma recurrence, although the exact mechanism remains unclear. The vascular
endothelial growth factor receptor might be involved, as well as incomplete
apoptosis during treatment.
PMID- 22082464
TI - Acute generalized exanthematous pustulosis and Coombs-positive hemolytic anemia
in a child following Loxosceles reclusa envenomation.
AB - Previously reported cases of acute generalized exanthematous pustulosis secondary
to brown recluse spider bite have been questioned due to lack of identification
of the spider or because of the concomitant administration of antibiotics. We
report a 9-year-old boy who arrived at the emergency department with a confirmed
Loxosceles reclusa bite to the neck. On the third day of hospitalization, he
developed hundreds of monomorphous, sterile pustules, initially in intertriginous
areas. The eruption disseminated and was followed by pinpoint desquamation
typical for acute generalized exanthematous pustulosis. During this he also
developed late onset Coombs-positive hemolytic anemia and systemic loxoscelism.
Sphingomyelinase in Loxosceles venom induces the production of interleukin-8 and
granulocyte-macrophage colony-stimulating factor, cytokines involved in the
pathogenesis of acute generalized exanthematous pustulosis, providing a mechanism
by which Loxosceles reclusa bite may trigger acute generalized exanthematous
pustulosis. We suggest that this case adds Loxosceles envenomation to the
spectrum of agents that can trigger acute generalized exanthematous pustulosis.
PMID- 22082465
TI - Novel SLC39A4 mutation in acrodermatitis enteropathica.
AB - Acrodermatitis enteropathica (AE) is a rare autosomal-recessive disorder
characterized by dermatitis, alopecia, diarrhea, and retardation of growth and
development. AE maps to 8q24.3 and is associated with mutations in the intestinal
zinc transporter ZIP4 encoded by the gene SLC39A4. We describe a novel homozygous
mutation, 1191insC, in SLC39A4 in a patient from Sierra Leone and suggest that AE
should be considered within the differential diagnosis for acrodermatitis in
children from Sierra Leone. Genetic testing for this founder mutation can be
easily performed for this treatable disorder.
PMID- 22082466
TI - A challenging case: Symmetrical drug related intertriginous and flexural
exanthem, fixed drug eruption, or both?
AB - We herein report a 12-year-old boy with amoxicillin-induced, recurrent, site
specific, symmetrical, sharply demarcated reddish plaques on the buttocks and the
major flexural and intertriginous areas. The lesions resolved with topical
corticosteroids, leaving hyperpigmentation. Histopathology showed nonspecific
features of inflammation and dermal melanophages. Amoxicillin was the probable
inducer based on oral provocation test with Amoksina((r)) tablet, however patch
testing with amoxicillin on previously affected and unaffected skin remained
negative. The diagnosis was challenging because of the overlapping features of
symmetrical drug-related intertriginous and flexural exanthema and fixed drug
eruption. This one represents a unique and challenging one with overlapping
clinical features of symmetrical drug-related intertriginous and flexural
exanthem (SDRIFE) and fixed drug eruption (FDE). We discuss the possible
immunopathogenetic mechanisms leading to the simultaneous occurrence of different
phenotypes of drug eruption in the same patient.
PMID- 22082467
TI - Phacomatosis pigmentokeratotica: a further case without extracutaneous anomalies
and review of the condition.
AB - Epidermal nevus syndrome is the term for the association of an epidermal nevus
and extracutaneous anomalies, including neurologic, ophthalmic, and skeletal
defects. Epidermal nevus syndromes include different disorders that share the
feature of mosaicism. Phacomatosis pigmentokeratotica (PPK) is a distinctive new
epidermal nevus syndrome first described in 1996 characterized by the presence of
multiple organoid nevi with sebaceous differentiation, a speckled lentiginous
nevus, and skeletal and neurologic abnormalities. Only a handful of cases of PPK
without extracutaneous manifestations have been reported. We report here an
individual with PPK with only cutaneous signs and confirm this distinctive
syndrome has two subtypes according to the presence or absence of extracutaneous
involvement.
PMID- 22082468
TI - Asymptomatic purpuric lesions in segmental arrangement in a 10-year-old boy.
PMID- 22082469
TI - Generalized eruptive white papules in a 9-year-old boy.
PMID- 22082470
TI - Petechial-like lesions, eye abnormalities, and albuminuria in a young boy.
PMID- 22082471
TI - Atypical diaper dermatitis: contact allergy to mercapto compounds.
AB - We present a case of allergic contact dermatitis in an 18-month-old boy caused by
type-IV allergy to mercapto mix and mercaptobenzothiazole as components of the
elastic border of diapers. Allergic contact dermatitis should be included in the
differential diagnosis of diaper dermatitis, especially in difficult-to-treat
cases or atypical clinical presentation.
PMID- 22082472
TI - Speckled lentiginous nevus syndrome: central nervous system abnormalities as a
critical diagnostic feature.
PMID- 22082473
TI - Marie-Unna hereditary hypotrichosis or autosomal recessive hereditary
hypotrichosis with woolly hair: the diagnostic dilemma of labeling cases with
hypotrichosis.
PMID- 22082475
TI - Diffusion tensor imaging in episodic cluster headache.
AB - BACKGROUND: Cluster headache (CH) is a rare headache disorder with severe
unilateral headache bouts and autonomic symptoms. The pathophysiology of CH is
not completely understood. Using a voxel-based morphometric paradigm or
functional imaging, a key role of the hypothalamus and the pain matrix could be
demonstrated during CH episodes. However, there are no diffusion tensor imaging
(DTI) data investigating the white matter microstructure of the brain in patients
with CH. Therefore, we used DTI to delineate microstructural changes in patients
with CH in a headache-free state. METHODS: Seven male patients with episodic CH
and 7 healthy subjects were included and examined with a routine 1.5 T magnetic
resonance imaging scanner. Whole-head DTI scans measuring fractional anisotropy
were analyzed without a priori hypotheses using track-based spatial statistics.
RESULTS: We found significant microstructural brain tissue changes bilaterally in
the white matter of the brainstem, the frontal lobe, the temporal lobe, the
occipital lobe, the internal capsule, and on the right side of thalamus and
cerebellum. There were further lesions in the basal frontal lobe that were part
of the olfactory system. Alterations of fractional anisotropy in the brainstem
might indicate changes of the medial lemniscus and central sympathetic pathways.
CONCLUSIONS: Patients with episodic CH have microstructural brain changes in
regions that belong to the pain matrix. Furthermore, we were able to detect
structural changes suggesting an involvement of the olfactory system as well as
lesions in the brainstem indicating an involvement of trigeminal and sympathetic
systems.
PMID- 22082476
TI - Solulin reduces infarct volume and regulates gene-expression in transient middle
cerebral artery occlusion in rats.
AB - BACKGROUND: Thrombolysis after acute ischemic stroke has only proven to be
beneficial in a subset of patients. The soluble recombinant analogue of human
thrombomodulin, Solulin, was studied in an in vivo rat model of acute ischemic
stroke. METHODS: Male SD rats were subjected to 2 hrs of transient middle
cerebral artery occlusion (tMCAO). Rats treated with Solulin intravenously
shortly before reperfusion were compared to rats receiving normal saline i.v.
with respect to infarct volumes, neurological deficits and mortality. Gene
expression of IL-6, IL-1beta, TNF-alpha, MMP-9, CD11B and GFAP were
semiquantitatively analyzed by rtPCR of the penumbra. RESULTS: 24 hrs after
reperfusion, rats were neurologically tested, euthanized and infarct volumes
determined. Solulin significantly reduced mean total (p=0.001), cortical
(p=0.002), and basal ganglia (p=0.036) infarct volumes. Hippocampal infarct
volumes (p=0.191) were not significantly affected. Solulin significantly
downregulated the expression of IL-1beta (79%; p<0.001), TNF-alpha (59%;
p=0.001), IL-6 (47%; p=0.04), and CD11B (49%; p=0.001) in the infarcted cortex
compared to controls. CONCLUSIONS: Solulin reduced mean total, cortical and basal
ganglia infarct volumes and regulated a subset of cytokines and proteases after
tMCAO suggesting the potency of this compound for therapeutic interventions.
PMID- 22082477
TI - The TWEAK-Fn14 system: breaking the silence of cytokine-induced skeletal muscle
wasting.
AB - The occurrence of skeletal muscle atrophy, a devastating complication of a large
number of disease states and inactivity/disuse conditions, provides a never
ending quest to identify novel targets for its therapy. Proinflammatory cytokines
are considered the mediators of muscle wasting in chronic diseases; however,
their role in disuse atrophy has just begun to be elucidated. An inflammatory
cytokine, tumor necrosis factor (TNF)- like weak inducer of apoptosis (TWEAK),
has recently been identified as a potent inducer of skeletal muscle wasting.
TWEAK activates various proteolytic pathways and stimulates the degradation of
myofibril protein both in vitro and in vivo. Moreover, TWEAK mediates the loss of
skeletal muscle mass and function in response to denervation, a model of disuse
atrophy. Adult skeletal muscle express very low to minimal levels of TWEAK
receptor, Fn14. Specific catabolic conditions such as denervation,
immobilization, or unloading rapidly increase the expression of Fn14 in skeletal
muscle which in turn stimulates the TWEAK activation of various catabolic
pathways leading to muscle atrophy. In this article, we have discussed the
emerging roles and the mechanisms of action of TWEAK-Fn14 system in skeletal
muscle with particular reference to different models of muscle atrophy and injury
and its potential to be used as a therapeutic target for prevention of muscle
loss.
PMID- 22082478
TI - Trends in wound repair: cellular and molecular basis of regenerative therapy
using electromagnetic fields.
AB - Chronic ulceration of the leg represents a major, underestimated problem of
modern health care, involving physical and cosmetic impairment and social stigma
along with high community costs for patients' treatment. The increasing
prevalence of chronic ulcers, currently reported to be as much as 0.3% in the
general population, should stimulate identification of more efficacious
therapeutic approaches to achieve complete healing. The strategies of
regenerative medicine based on small molecules, biomimetic scaffolds, gene or
cell therapy, and electromagnetic field manipulation represent some of the modern
therapeutic alternatives for wound healing. Here we review in an integrated,
interdisciplinary approach the modern cellular and molecular mechanistic concepts
regarding the involvement of extremely low frequency electromagnetic fields (ELF
EMF) in the complex process of tissue repair, with particular focus on chronic
wounds. The data analysis supports three main effects of electromagnetic fields
on the wound healing pathways: 1) an antiinflammatory effect, by modulation of
cytokine profile that induces the transition of the healing process from a
chronic pro-inflammatory to an anti-inflammatory state; 2) a neo-angiogenic
effect, by increased endothelial cells proliferation and tubulization and
production of fibroblast growth factor (FGF)-2; and 3) a reepithelialization
effect, by stimulation of collagen formation. We believe that utilization of ELF
EMF in larger clinical trials designed to optimize these functional parameters
would facilitate a better understanding of ELFEMF- induced healing mechanisms and
lead to improved therapeutic outcomes for this disabling condition which is often
totally resistant to treatment.
PMID- 22082479
TI - miR221/222 in cancer: their role in tumor progression and response to therapy.
AB - miRNAs are small non-coding RNAs of ~24 nt that can block mRNA translation and/or
negatively regulate its stability. There is a large body of evidence that
dysregulation of miRNAs is a hallmark of cancer. miRNAs are often aberrantly
expressed and their function is linked to the regulation of oncogenes and/or
tumor suppressor genes involved in cell signaling pathway. miR-221 and miR-222
are two highly homologous microRNAs, whose upregulation has been recently
described in several types of human tumors. miR-221/222 have been considered to
act as oncogenes or tumor suppressors, depending on tumor system. Silencing
oncomiRs or gene therapy approaches, based on re-expression of miRNAs that are
down-regulated in cancer cells, could represent a novel anti-tumor approach for
integrated cancer therapy. Here we will review the role of miR-221/222 in cancer
progression and their use as prognostic and therapeutic tools in cancer.
PMID- 22082481
TI - Nitric oxide and cancer: the emerging role of S-nitrosylation.
AB - Nitric oxide (NO) is a short-lived, endogenously produced gas that is highly
diffusible across cell membranes and acts as a signaling molecule in the body.
The redox state and chemistry of NO facilitate its interaction with various
proteins thus regulating various intracellular and intercellular events. One of
the key mechanisms by which NO regulates the function of various target proteins
is through the coupling of a nitroso moiety from NO-derived metabolites to a
reactive cysteine leading to the formation of a S-nitrosothiol (SNO), a process
commonly known as S-nitrosylation. S-nitrosylation signaling events within the
cell have led to the discovery of many other physiological functions of NO in
many other types of cells including cancer cells. Only recently are the diverse
roles of S-nitrosylation in cancer beginning to be understood. In the present
review we discuss the recent evidence for the diverse roles of NO/SNO-related
mechanisms in cancer biology and therapy, including the participation of NO in
the pathogenesis of cancer, its duality in protecting against or inducing cancer
cell death and the contribution of NO to metastatic processes. In addition, NO
can be therapeutically used in the reversal of tumor cell resistance to cytotoxic
drugs and as a sensitizing agent to chemo- and radiotherapy. Finally, recent
studies providing evidence for NO-related mechanisms of epigenetic gene
expression regulation will also be discussed. Undoubtedly, new exciting results
will contribute to this rapidly expanding area of cancer research.
PMID- 22082482
TI - The emerging role of endocrine disruptors in pathogenesis of insulin resistance:
a concept implicating nonalcoholic fatty liver disease.
AB - Endocrine disruptors or endocrine-disrupting chemicals (EDCs) represent a highly
heterogeneous group of molecules found in the environment or in consumer
products. Toxicology and epidemiology studies have suggested the involvement of
diverse EDCs in an increasing number of metabolic disorders, including insulin
resistance (IR) and IR-related co morbidities, such as obesity, type 2 diabetes
mellitus (T2DM) and polycystic ovary syndrome. Nonalcoholic fatty liver disease
(NAFLD), another IR related condition, is emerging as a significant public health
concern, affecting 30-45% of the general population in the Western world. To
evaluate whether EDCs may also play a role in the pathogenesis of NAFLD, we
reviewed the literature on well-studied EDCs, such as dioxins, bisphenol A,
phthalates and other persistent organic pollutants, in relation to pathways that
might contribute to the pathogenesis of fatty liver / NAFDL. Certain EDCs may be
responsible for inducing alterations similar to those encountered in NAFLD either
directly through a hepatotoxic effect and/or indirectly by triggering hepatic and
systematic IR. Considering these effects, which act in concert with the effects
of the epidemics of obesity and T2DM, EDCs may play a significant role in the
pathogenesis of fatty liver, thereby increasing the prevalence of NAFLD
worldwide. Translational studies and clinical trials investigating the
association between EDCs and NAFLD are required to confirm and extent these
studies.
PMID- 22082480
TI - Hematopoietic stem cells: transcriptional regulation, ex vivo expansion and
clinical application.
AB - Maintenance of ex vivo hematopoietic stem cells (HSC) pool and its differentiated
progeny is regulated by complex network of transcriptional factors, cell cycle
proteins, extracellular matrix, and their microenvironment through an
orchestrated fashion. Strides have been made to understand the mechanisms
regulating in vivo quiescence and proliferation of HSCs to develop strategies for
ex vivo expansion. Ex vivo expansion of HSCs is important to procure sufficient
number of stem cells and as easily available source for HSC transplants for
patients suffering from hematological disorders and malignancies. Our lab has
established a nanofiber-based ex vivo expansion strategy for HSCs, while
preserving their stem cell characteristics. Ex vivo expanded cells were also
found biologically functional in various disease models. However, the therapeutic
potential of expanded stem cells at clinical level still needs to be verified.
This review outlines transcriptional factors that regulate development of HSCs
and their commitment, genes that regulate cell cycle status, studies that attempt
to develop an effective and efficient protocol for ex vivo expansion of HSCs and
application of HSC in various non-malignant and malignant disorders. Overall the
goal of the current review is to deliver an understanding of factors that are
critical in resolving the challenges that limit the expansion of HSCs in vivo and
ex vivo.
PMID- 22082483
TI - HCV infection by cell-to-cell transmission: choice or necessity?
AB - In vitro models of HCV infection have allowed for the clarifying of molecules and
mechanisms involved in the main steps of virus cell-entry. HCV entry and
neutralization appear to be closely related. Neutralizing antibodies inhibit the
E2-CD81 binding, therefore CD81 is considered to be a major target of immune
response. The tight-junction proteins are also implicated in E2-binding to CD81
and successive steps of virus entry, in cooperation with several co-receptors,
whose involvement has still to be elucidated. Increasing evidence has emphasized
the importance of cell-to-cell HCV-transmission in chronic infection. This route
for infection could favour virus-escape from host-neutralization though its CD81
dependency is still debated. The main reasons which have delayed our
understanding of HCV-infection are here critically reviewed, as are the
challenges faced by investigators in the field. A deeper insight into the
different pathways involved could help to elucidate some crucial features of HCV
infection mechanisms and disclose important implications in its pathogenesis,
which could help in suggesting new targets for successful immune
prophylactic/therapeutic strategies.
PMID- 22082484
TI - Involvement of IL-1R/TLR signalling in experimental autoimmune encephalomyelitis
and multiple sclerosis.
AB - Multiple sclerosis is a complex disease characterised by chronic inflammation,
demyelination and axonal pathology resulting in progressive neurological
disabilities. Multiple sclerosis is generally considered to be an autoimmune
disease, even though the primary cause of the underlying autoimmune response is
unknown. Epidemiological evidence suggests that both genetic and environmental
factors play a key role in susceptibility to multiple sclerosis; however, the
relative contributions of these factors in triggering the onset of the disease
remain unclear. Several studies indicate that receptors belonging to the
Interleukin-1 and Toll-like receptor families are crucially involved in the
mechanisms underlying the development of experimental autoimmune
encephalomyelitis, an animal model that mimics multiple sclerosis. Moreover,
recent evidence highlights the importance of downstream signalling proteins in
the Interleukin-1 and Toll-like receptor signalling pathways, namely, myeloid
differentiation primary response protein 88 and Interleukin-1-receptor-associated
kinase. This review summarises the current knowledge concerning the involvement
of Interleukin-1/Toll-like receptor signalling in the development of experimental
autoimmune encephalomyelitis and multiple sclerosis. A deeper understanding of
the role of these important pathways in the pathogenesis of experimental
autoimmune encephalomyelitis may eventually yield clinical benefits in the
treatment of central nervous system-based inflammatory disorders.
PMID- 22082485
TI - DNA repair mechanisms in colorectal carcinogenesis.
AB - Colon cancer is among the most common cancers and the third cause of cancer
deaths worldwide. If detected at an early stage, treatment might often lead to
cure. The present review adduces the so far studied alterations in the expression
of genes, as well as polymorphisms of genes engaged in DNA repair systems, with
particular emphasis on indirect ones that are correlated with colorectal cancer.
Such aberrations could be linked to an increased risk for the development of
colorectal cancer and might serve as potential targets in the areas of prevention
and therapy.
PMID- 22082487
TI - Tomato plants overexpressing cryptochrome 2 reveal altered expression of energy
and stress-related gene products in response to diurnal cues.
AB - In order to sense and respond to the fluctuating light conditions, higher plants
possess several families of photoreceptors, such as phytochromes (PHYs),
cryptochromes (CRYs) and phototropins. CRYs are responsible for
photomorphogenesis and play a role in circadian, developmental and adaptive
growth regulation of plants. In tomato (Solanum lycopersicum), CRY2 controls
vegetative development, flowering time, fruit antioxidant content as well as the
diurnal transcription of several other photoreceptor genes. We applied large
scale molecular approaches to identify altered transcripts and proteins in tomato
wild-type (WT) versus a CRY2 overexpressing transgenic genotype, under a diurnal
rhythm. Our results showed that tomato CRY2 profoundly affects both gene and
protein expression in response to daily light cycle. Particularly altered
molecular pathways are related to biotic/abiotic stress, photosynthesis,
including components of the light and dark reactions and of starch and sucrose
biosynthesis, as well as to secondary metabolism, such as phenylpropanoid,
phenolic and flavonoid/anthocyanin biosynthesis pathways. One of the most
interesting results is the coordinated up-regulation, in the transgenic genotype,
of a consistent number of transcripts and proteins involved in photorespiration
and photosynthesis. It is conceivable that light modulates the energetic
metabolism of tomato through a fine CRY2-mediated transcriptional control.
PMID- 22082488
TI - Dissociation, trauma, and the role of lived experience: toward a new
conceptualization of voice hearing.
AB - Voice hearing (VH) is often regarded as pathognomic for schizophrenia. The
purpose of this article is to review and integrate historical, clinical,
epidemiological, and phenomenological evidence in order to suggest that VH may be
more appropriately understood as a dissociative rather than a psychotic
phenomenon. First, we discuss the lifetime prevalence of VH in the general
population, which is estimated to range between 1% and 16% for adult nonclinical
populations and 2% and 41% in healthy adolescent samples. Second, we demonstrate
how the ubiquity of VH phenomenology, including variables like voice location,
content, and frequency, limits its diagnostic and prognostic utility for
differentiating psychotic from trauma-spectrum and nonclinical populations.
Finally, we report on the empirical associations between VH, measures of
dissociation, and trauma particularly (though not exclusively) childhood sexual
abuse. There are 2 main conclusions from this review. First, we argue that
available evidence suggests that VH experiences, including those in the context
of psychotic disorders, can be most appropriately understood as dissociated or
disowned components of the self (or self-other relationships) that result from
trauma, loss, or other interpersonal stressors. Second, we provide a rationale
for clinicians to use psychotherapeutic methods for integrating life events as
precipitating and/or maintaining factors for distressing voices. Potential
mechanisms for the relationship between trauma, dissociation, VH, and clinical
diagnosis are described, including the relevance of literature from the field of
attachment in providing a diathesis for dissociation. Suggestions for future
research are also discussed.
PMID- 22082489
TI - Elevation of serum high molecular weight adiponectin in patients with Type 2
diabetes and orthostatic hypotension: association with arterial stiffness and
hypercoagulability.
AB - AIM: Orthostatic hypotension is a hallmark of diabetic autonomic neuropathy and
is associated with increased mortality. The serum level of adiponectin is
elevated in patients with heart failure or renal failure. In the present study,
we measured serum levels of total and high molecular weight adiponectin in
patients with Type 2 diabetes and orthostatic hypotension. We also investigated
the relationship between the presence of orthostatic hypotension and various
clinical variables in patients with Type 2 diabetes. METHODS: We studied 105
patients with Type 2 diabetes. Orthostatic hypotension was defined as a decrease
of 20 mmHg or more in systolic blood pressure and/or 10 mmHg in diastolic blood
pressure when blood pressure was measured for 3 min while standing. The brachial
ankle pulse-wave velocity was also measured as an index of arterial stiffness.
RESULTS: Orthostatic hypotension was found in 30 patients with diabetes (28.6%).
The haematocrit and estimated glomerular filtration rate were significantly lower
in patients with orthostatic hypotension than in those without it. Brachial-ankle
pulse-wave velocity and serum total and high molecular weight adiponectin were
significantly higher in patients with orthostatic hypotension than in those
without. Furthermore, the high molecular weight/total adiponectin ratio was
higher in patients with orthostatic hypotension than in those without and
hypertension was more common in patients with orthostatic hypotension. Plasma
prothrombin F1 + 2, a coagulation maker, was higher in patients with orthostatic
hypotension than in those without, while there were no differences of
fibrinolytic markers between the two groups. Multivariate analysis showed that
HDL cholesterol, haematocrit, F1 + 2, brachial-ankle pulse-wave velocity and a
decline of systolic blood pressure on standing were independent determinants of
high molecular weight adiponectin. CONCLUSIONS: Patients with Type 2 diabetes and
orthostatic hypotension had an elevated serum level of high molecular weight
adiponectin, which was associated with the simultaneous presence of renal
dysfunction, anaemia, arterial stiffness and hypercoagulability.
PMID- 22082486
TI - Basal breast cancer: a complex and deadly molecular subtype.
AB - During the last decade, gene expression profiling of breast cancer has revealed
the existence of five molecular subtypes and allowed the establishment of a new
classification. The basal subtype, which represents 15-25% of cases, is
characterized by an expression profile similar to that of myoepithelial normal
mammary cells. Basal tumors are frequently assimilated to triple-negative (TN)
breast cancers. They display epidemiological and clinico-pathological features
distinct from other subtypes. Their pattern of relapse is characterized by
frequent and early relapses and visceral locations. Despite a relative
sensitivity to chemotherapy, the prognosis is poor. Recent characterization of
their molecular features, such as the dysfunction of the BRCA1 pathway or the
frequent expression of EGFR, provides opportunities for optimizing the systemic
treatment. Several clinical trials dedicated to basal or TN tumors are testing
cytotoxic agents and/or molecularly targeted therapies. This review summarizes
the current state of knowledge of this aggressive and hard-to-treat subtype of
breast cancer.
PMID- 22082490
TI - Simvastatin protects auditory hair cells from gentamicin-induced toxicity and
activates Akt signaling in vitro.
AB - BACKGROUND: Inhibitors of 3-hydroxy-3-methylglutaryl-coenzyme A reductase, known
as statins, are commonly used as cholesterol-lowering drugs. During the past
decade, evidence has emerged that statins also have neuroprotective effects.
Research in the retina has shown that simvastatin, a commonly used statin,
increases Akt phosphorylation in vivo, indicating that the PI3K/Akt pathway
contributes to the protective effects achieved. While research about
neuroprotective effects have been conducted in several systems, the effects of
statins on the inner ear are largely unknown. RESULTS: We evaluated whether the 3
hydroxy-3-methylglutaryl-coenzyme A reductase is present within the rat cochlea
and whether simvastatin is able to protect auditory hair cells from gentamicin
induced apoptotic cell death in a in vitro mouse model. Furthermore, we evaluated
whether simvastatin increases Akt phosphorylation in the organ of Corti. We
detected 3-hydroxy-3-methylglutaryl-coenzyme A reductase mRNA in organ of Corti,
spiral ganglion, and stria vascularis by reverse transcriptase-polymerase chain
reaction (RT-PCR). Moreover, we observed a dose-dependent and significant
reduction of hair cell loss in organs of Corti treated with simvastatin in
addition to gentamicin, as compared to samples treated with gentamicin alone. The
protective effect of simvastatin was reversed by addition of mevalonate, a
downstream metabolite blocked by simvastatin, demonstrating the specificity of
protection. Finally, Western blotting showed an increase in organ of Corti Akt
phosphorylation after simvastatin treatment in vitro. CONCLUSION: These results
suggest a neuroprotective effect of statins in the inner ear, mediated by reduced
3-hydroxy-3-methylglutaryl-coenzyme A reductase metabolism and Akt activation.
PMID- 22082492
TI - Host quality and spatial patterning in infections of the Eastern mudsnail
(Ilyanassa obsoleta) by two trematodes (Himasthla quissetensis and Zoogonus
rubellus).
AB - Several studies have suggested that the fitness of a parasite can be directly
impacted by the quality of its host. In such cases, selective pressures could act
to funnel parasites towards the highest-quality hosts in a population. The
results of this study demonstrate that snail host quality is strongly correlated
with spatial patterning in trematode infections and that habitat type is the
underlying driver for both of these variables. Two trematodes (Himasthla
quissetensis and Zoogonus rubellus) with very different life cycles assume the
same spatial infection pattern in populations of the first intermediate host
(Ilyanassa obsoleta) in coastal marsh habitats. Infected snails are
disproportionately recovered from intertidal panne habitats, which offer more
hospitable environs for snails than do adjacent habitats (intertidal creeks,
coastal flats, and subtidal creeks), in terms of protection from turbulence and
wave action, as well as the availability of food stuffs. Snails in intertidal
panne habitats are of higher quality when assessed in terms of average size
specific mass, growth rate, and fecundity. In mark-recapture experiments, snails
frequently dispersed into intertidal pannes but were never observed leaving them.
In addition, field experiments demonstrate that snails confined to intertidal
panne habitats are disproportionately infected by both trematode species,
relative to conspecifics confined to adjacent habitats. Laboratory experiments
show that infected snails suffer significant energetic losses and consume more
than uninfected conspecifics, suggesting that infected snails in intertidal
pannes may survive better than in adjacent habitats. We speculate that 1 possible
mechanism for the observed patterns is that the life cycles of both trematode
species allows them to contact the highest-quality snails in this marsh
ecosystem.
PMID- 22082491
TI - Fetal cells traffic to injured maternal myocardium and undergo cardiac
differentiation.
AB - RATIONALE: Fetal cells enter the maternal circulation during pregnancy and may
persist in maternal tissue for decades as microchimeras. OBJECTIVE: Based on
clinical observations of peripartum cardiomyopathy patients and the high rate of
recovery they experience from heart failure, our objective was to determine
whether fetal cells can migrate to the maternal heart and differentiate to
cardiac cells. METHODS AND RESULTS: We report that fetal cells selectively home
to injured maternal hearts and undergo differentiation into diverse cardiac
lineages. Using enhanced green fluorescent protein (eGFP)-tagged fetuses, we
demonstrate engraftment of multipotent fetal cells in injury zones of maternal
hearts. In vivo, eGFP+ fetal cells form endothelial cells, smooth muscle cells,
and cardiomyocytes. In vitro, fetal cells isolated from maternal hearts
recapitulate these differentiation pathways, additionally forming vascular tubes
and beating cardiomyocytes in a fusion-independent manner; ~40% of fetal cells in
the maternal heart express Caudal-related homeobox2 (Cdx2), previously associated
with trophoblast stem cells, thought to solely form placenta. CONCLUSIONS: Fetal
maternal stem cell transfer appears to be a critical mechanism in the maternal
response to cardiac injury. Furthermore, we have identified Cdx2 cells as a novel
cell type for potential use in cardiovascular regenerative therapy.
PMID- 22082493
TI - Themes elicited during motivational interviewing to improve glycaemic control in
adults with Type 1 diabetes mellitus.
AB - AIMS: To elicit the barriers and motivators to better diabetes self care in
patients with Type 1 diabetes. METHODS: We obtained a purposive sample of 47
patients with Type 1 diabetes and persistent suboptimal glycaemic control from a
randomized controlled trial of nurse-delivered psychological interventions. Each
participant's second session of motivational interviewing was analysed using
content analysis. RESULTS: Four major themes emerged: emotions of living with
Type 1 diabetes, perceived barriers to diabetes management, motivators for change
and methods of coping. Increased assistance and support from family and
healthcare teams, the prospect of improved emotional and physical well-being and
feelings of success were described as factors that might motivate participants to
practice more effective self care. CONCLUSIONS: An enhanced awareness of the
range of psychological concepts in diabetes may enable a better therapeutic
relationship between clinicians and patients.
PMID- 22082495
TI - A wearable robotic knee orthosis for gait training: a case-series of hemiparetic
stroke survivors.
AB - BACKGROUND AND AIM: Until recently, robotic devices for stroke rehabilitation had
multi-joint designs that were often tethered to a treadmill for gait training. A
new single-joint wearable robotic knee orthosis (RKO) has been designed that
provides patient-initiated powered-assistance in untethered functional mobility.
This case-series documents application of the wearable RKO in untethered
functional training with stroke survivors. TECHNIQUE: Three ambulatory adult
stroke survivors used a wearable RKO during 18 one-hour sessions within a six
week physical therapy programme. Subjects were assessed with a variety of
balance, gait and functional tests including the Berg Balance Scale (BBS); six
minute walk test (6MWT); and Emory Functional Ambulation Profile (EFAP) at pre
treatment, post-treatment, one-month and three-month follow-up. DISCUSSION: All
subjects improved balance, gait and functional performances with mean individual
improvements of 12.6% for BBS, 12.0% for 6MWT and 16.7% for EFAP post-treatment.
No adverse events occurred. These three stroke survivors may have benefited from
the task-specific functional training programme augmented by RKO use.
PMID- 22082494
TI - Inhibin removes the inhibitory effects of activin on steroid enzyme expression
and androgen production by normal ovarian thecal cells.
AB - Activin and inhibin are important local modulators of theca cell steroidogenesis
in the ovary. Using a serum-free primary theca cell culture system, this study
investigated the effects of inhibin on theca cell androgen production and
expression of steroidogenic enzymes. Androstenedione secretion from theca cells
cultured in media containing activin, inhibin and follistatin was assessed by RIA
over 144 h. Activin (1-100 ng/ml) suppressed androstenedione production. Inhibin
(1-100 ng/ml) blocked the suppressive effects of added activin, but increased
androstenedione production when added alone, suggesting it was blocking
endogenous activin produced by theca cells. Addition of SB-431542 (activin
receptor inhibitor) and follistatin (500 ng/ml) increased androstenedione
production, supporting this concept. Infection of theca cells with adenoviruses
expressing inhibitory Smad6 or 7 increased androstenedione secretion, confirming
that the suppressive effects of activin required activation of the Smad2/3
pathway. Activin decreased the expression levels of steroidogenic acute
regulatory protein (STAR), whereas STAR expression was increased by inhibin and
SB-431542, alone and in combination. CYP11A was unaffected. The expression of
CYP17 encoding 17alpha-hydroxylase was unaffected by activin but increased by
inhibin and SB-431542, and when added in combination the effect was further
enhanced. The expression of 3beta-hydroxysteroid dehydrogenase (3beta-HSD) was
significantly decreased by activin, while inhibin alone and in combination with
SB-431542 both potently increased the expression of 3beta-HSD. In conclusion,
activin suppressed theca cell androstenedione production by decreasing the
expression of STAR and 3beta-HSD. Inhibin and other blockers of activin action
reversed this effect, supporting the concept that endogenous thecal activin
modulates androgen production in theca cells.
PMID- 22082496
TI - Non-universal equilibrium crystal shape results from sticky steps.
AB - The anisotropic surface free energy, Andreev surface free energy and equilibrium
crystal shape (ECS) z = z(x,y) are calculated numerically using a transfer matrix
approach with the density matrix renormalization group (DMRG) method. The adopted
surface model is a restricted solid-on-solid (RSOS) model with 'sticky' steps,
i.e. steps with a point-contact-type attraction between them (p-RSOS model). By
analyzing the results, we obtain a first-order shape transition on the ECS
profile around the (111) facet; and on the curved surface near the (001) facet
edge, we obtain shape exponents having values different from those of the
universal Gruber-Mullins-Pokrovsky-Talapov (GMPT) class. In order to elucidate
the origin of the non-universal shape exponents, we calculate the slope
dependence of the mean step height of 'step droplets' (bound states of steps)
(n(p)) using the Monte Carlo method, where p = (?z/?x,?z/?y) and (.) represents
the thermal average. Using the result of the |p| dependence of (n(p)), we derive
a |p|-expanded expression for the non-universal surface free energy f(eff)(p),
which contains quadratic terms with respect to |p|. The first-order shape
transition and the non-universal shape exponents obtained by the DMRG
calculations are reproduced thermodynamically from the non-universal surface free
energy f(eff)(p).
PMID- 22082497
TI - The 2011-2012 flu season is upon us.
PMID- 22082498
TI - Survival of bacterial pathogens on paper and bacterial retrieval from paper to
hands: preliminary results.
AB - BACKGROUND: Paper is omnipresent on hospital units, but few studies have examined
the possible role of paper in the spread of nosocomial pathogens. OBJECTIVE: To
determine by laboratory investigation how long bacterial pathogens can survive on
office paper and whether bacteria can be transferred from hands to paper and back
to hands in a "worst-case scenario." METHODS: Samples of four bacterial pathogens
(Escherichia coli, Staphylococcus aureus, Pseudomonas aeruginosa, and
Enterococcus hirae) were prepared according to standard laboratory procedures.
Sterile swatches of office paper were inoculated with the pathogens and bacterial
survival was tested over seven days. To test the transmission of bacteria from
one person's hands to paper and back to another person's hands, the fingertips of
volunteers were inoculated with a nonpathogenic strain of E. coli; these
volunteers then pressed the inoculum onto sterile paper swatches. Another group
of volunteers whose hands had been moistened pressed their fingertips onto the
contaminated paper swatches. Bacteria transferred to the moistened fingertips
were cultivated according to standard laboratory procedures. RESULTS: The four
tested organisms showed differences in length of survival depending on
environmental room conditions, but were stable on paper for up to 72 hours and
still cultivable after seven days. Test organisms were transferred to paper,
survived on it, and were retransferred back to hands. CONCLUSION: Paper can serve
as a vehicle for cross-contamination of bacterial pathogens in medical settings
if current recommendations on hand hygiene aren't meticulously followed.
PMID- 22082499
TI - Sarcopenic obesity: strategies for management.
AB - OVERVIEW: Sarcopenia is the age-related loss of muscle mass. Sarcopenic obesity,
which describes the process of muscle loss combined with increased body fat as
people age, is associated with loss of strength and function, reduced quality of
life, and early death. This article describes the clinical significance of
sarcopenia and sarcopenic obesity, their pathophysiology, and management
strategies for healthy older adults. Both diet and exercise are essential for
preventing and reversing loss of muscle and gains in fat. Dietary approaches
include protein supplementation and a high protein diet. Exercise strategies
promote resistance training in order to maintain muscle mass and maximize energy
expenditure. Nurses should be knowledgeable about this condition and its
management and routinely educate older patients on the benefits of resistance
training and dietary protein to prevent or reverse sarcopenia and sarcopenic
obesity.
PMID- 22082500
TI - Management of distressing procedures in children and young people: time to adhere
to the guidelines.
PMID- 22082501
TI - Competent for confidence at 12 years of age?
PMID- 22082502
TI - Complete thoracic myelocystocele: a rare benign spinal dysraphism with clinical
significance.
PMID- 22082503
TI - Survey of undergraduate sleep medicine teaching in UK medical schools.
PMID- 22082504
TI - Zinc finger nuclease and homing endonuclease-mediated assembly of multigene plant
transformation vectors.
AB - Binary vectors are an indispensable component of modern Agrobacterium tumefaciens
mediated plant genetic transformation systems. A remarkable variety of binary
plasmids have been developed to support the cloning and transfer of foreign genes
into plant cells. The majority of these systems, however, are limited to the
cloning and transfer of just a single gene of interest. Thus, plant biologists
and biotechnologists face a major obstacle when planning the introduction of
multigene traits into transgenic plants. Here, we describe the assembly of
multitransgene binary vectors by using a combination of engineered zinc finger
nucleases (ZFNs) and homing endonucleases. Our system is composed of a modified
binary vector that has been engineered to carry an array of unique recognition
sites for ZFNs and homing endonucleases and a family of modular satellite
vectors. By combining the use of designed ZFNs and commercial restriction
enzymes, multiple plant expression cassettes were sequentially cloned into the
acceptor binary vector. Using this system, we produced binary vectors that
carried up to nine genes. Arabidopsis (Arabidopsis thaliana) protoplasts and
plants were transiently and stably transformed, respectively, by several
multigene constructs, and the expression of the transformed genes was monitored
across several generations. Because ZFNs can potentially be engineered to digest
a wide variety of target sequences, our system allows overcoming the problem of
the very limited number of commercial homing endonucleases. Thus, users of our
system can enjoy a rich resource of plasmids that can be easily adapted to their
various needs, and since our cloning system is based on ZFN and homing
endonucleases, it may be possible to reconstruct other types of binary vectors
and adapt our vectors for cloning on multigene vector systems in various binary
plasmids.
PMID- 22082505
TI - MYB8 controls inducible phenolamide levels by activating three novel
hydroxycinnamoyl-coenzyme A:polyamine transferases in Nicotiana attenuata.
AB - A large number of plants accumulate N-acylated polyamines (phenolamides [PAs]) in
response to biotic and/or abiotic stress conditions. In the native tobacco
(Nicotiana attenuata), the accumulation of two major PAs, caffeoylputrescine and
dicaffeoylspermidine (DCS), after herbivore attack is known to be controlled by a
key transcription factor, MYB8. Using a broadly targeted metabolomics approach,
we show that a much larger spectrum of PAs composed of hydroxycinnamic acids and
two polyamines, putrescine and spermidine, is regulated by this transcription
factor. We cloned several novel MYB8-regulated genes, annotated as putative
acyltransferases, and analyzed their function. One of the novel acyltransferases
(AT1) is shown to encode a hydroxycinnamoyl-coenzyme A:putrescine acyltransferase
responsible for caffeoylputrescine biosynthesis in tobacco. Another gene
(acyltransferase DH29), specific for spermidine conjugation, mediates the initial
acylation step in DCS formation. Although this enzyme was not able to perform the
second acylation toward DCS biosynthesis, another acyltransferase gene, CV86,
proposed to act on monoacylated spermidines, was isolated and partially
characterized. The activation of MYB8 in response to herbivore attack and
associated signals required the activity of LIPOXYGENASE3, a gene involved in
jasmonic acid (JA) biosynthesis in N. attenuata. These new results allow us to
reconstruct a complete branch in JA signaling that defends N. attenuata plants
against herbivores: JA via MYB8's transcriptional control of AT1 and DH29 genes
controls the entire branch of PA biosynthesis, which allows N. attenuata to mount
a chemically diverse (and likely efficient) defense shield against herbivores.
PMID- 22082507
TI - Involvement of sensory neurons in bone defect repair in rats.
AB - We investigated bone repair in sensory-denervated rats, compared with controls,
to elucidate the involvement of sensory neurons. Nine-week-old male Wistar rats
received subcutaneous injections of capsaicin to denervate sensory neurons. Rats
treated with the same amount of vehicle served as controls. A standardized bone
defect was created on the parietal bone. We measured the amount of repaired bone
with quantitative radiographic analysis and the mRNA expressions of osteocalcin
and cathepsin K with real-time polymerase chain reaction (PCR). Quantitative
radiographic analysis showed that the standard deviations and coefficients of
variation for the amount of repaired bone were much higher in the capsaicin
treated group than in the control group at any time point, which means that
larger individual differences in the amount of repaired bone were found in
capsaicin-treated rats than controls. Furthermore, radiographs showed
radiolucency in pre-existing bone surrounding the standardized defect only in the
capsaicin-treated group, and histological observation demonstrated some
multinuclear cells corresponding to the radiolucent area. Real-time PCR indicated
that there was no significant difference in the mRNA expression levels of
osteocalcin and cathepsin K between the control group and the capsaicin-treated
group. These results suggest that capsaicin-induced sensory denervation affects
the bone defect repair.
PMID- 22082506
TI - Nucleoli: composition, function, and dynamics.
PMID- 22082508
TI - Husbandry risk factors associated with subclinical coccidiosis in young cattle.
AB - This paper describes an observational longitudinal study of cattle farms in
England and Wales, which aimed to identify management practices associated with
the presence of Eimeria spp. infection in young cattle. Thirty cattle farms
situated in England and Wales were selected and one group of more than 20 young
cattle aged 5-18 months of age was monitored on each farm. Three variables were
identified as significantly associated with status in a multivariable model. The
odds of finding Eimeria spp. were lower on farms that kept sheep on the same
premises as the cattle, as was an increase in the maximum age within the sampled
group. The latter probably reflects the development of post-infection immunity
within the sampled animals. Good water-trough hygiene protected against Eimeria
spp. oocyst excretion, with the odds of detection being higher on farms where it
was reported that the water troughs were not cleaned and emptied more than once
per month. The value of frequent emptying and cleaning of water troughs in
reducing the exposure of calves to Eimeria spp. and thus lowering the impact of
coccidiosis, both clinical and subclinical should be communicated to cattle
farmers.
PMID- 22082509
TI - Gait analysis using 3D accelerometry in horses sedated with xylazine.
AB - The aim of the present study was to verify the efficacy and sensitivity of an
accelerometric device in detecting and quantifying the degree of movement
alteration produced in horses sedated with xylazine. Horses (n=6) were randomly
administered either xylazine or a control by intravenous injection, with at least
1 week between each treatment. A triaxial accelerometric device was used for the
accelerometric gait assessment 15 min before (baseline) and 5, 15, 30, 45, 60,
75, 90, 105 and 120 min after each treatment. Eight different accelerometric
parameters were calculated, including speed, stride frequency, stride length,
regularity, dorsoventral power, propulsion power, mediolateral power and total
power, with the force of acceleration and the dorsoventral, mediolateral and
craniocaudal (propulsive) parts of the power then calculated. Administration of
xylazine decreased many of the parameters investigated, with significant
differences for speed, stride frequency, dorsoventral power, propulsion power and
total power at 5, 15, 30 and 45 min after injection. There were no significant
differences in stride length values at any time point. Decreases in regularity
values were evident with significant differences at every time point from 5 to
120 min following xylazine injection. Force values were also significantly
reduced from 5 to 30 min after treatment and a redistribution of the total power
was observed 5 min after injection as the mediolateral power increased
significantly, while the dorsoventral power decreased. Accelerometry offers a
practical, accurate, easy to use, portable and low cost method of objectively
monitoring gait abnormalities at the walk in horses after sedation with xylazine.
PMID- 22082510
TI - Preparation of 2-amino-2-C-glycosyl-acetonitriles from C-glycosyl aldehydes by
Strecker reaction.
AB - Synthesis of new 2-amino-2-C-D-glycosyl-acetonitriles in a Strecker reaction from
various C-glycosyl aldehydes, chiral amines, and HCN was carried out. While
aminonitriles from glycal and 2-deoxy-beta-D-glycosyl aldehydes were prepared in
satisfactory yields, lower yields were obtained with C-glycosyl aldehydes.
Strecker reaction with the benzyl-protected 1-C-formyl-D-galactal and S- or R-1
phenylethylamine (S-PEA or R-PEA) yielded predominantly the R-configured C
glycosyl aminoacetonitrile. The direction of the nucleophilic addition appears to
be governed by the configuration of the anomeric carbon with beta-linked sugars.
Since the stereochemistry of the transition state is unknown according to the
configuration of the major product a Felkin-Ahn selectivity can be mainly
presumed.
PMID- 22082511
TI - Novel in situ product removal technique for simultaneous production of propionic
acid and vitamin B12 by expanded bed adsorption bioreactor.
AB - A new type of in situ product removal (ISPR) technique of expanded bed adsorption
(EBA) bioreactor was studied to simultaneously produce extracellular propionic
acid and intracellular vitamin B12 by Propionibacterium freudenreichii CICC
10019. Resin screening experiments showed that the ZGA330 resin have the best
biocompatibility and highest adsorption for propionic acid. Through the EBA
bioreactor, propionic acid could be recovered efficiently by semi-continuous
recirculation of the unfiltered broth, which eliminated the feedback inhibition
of propionic acid. Fed-batch fermentation was carried out using the EBA system,
resulting in a propionic acid concentration of 52.5 g L(-1) and vitamin B12
concentration of 43.04 mg L(-1) at 160 h, which correspond to product yields of
0.66 g g(-1) and 0.54 mg g(-1), respectively. The present study suggests that the
EBA bioreactor can be utilized for the simple and economical production of
propionic acid and vitamin B12 in a single fermentation process.
PMID- 22082512
TI - A microwave reactor for characterization of pyrolyzed biomass.
AB - A microwave reactor (MWR) was designed to investigate microwave-induced pyrolysis
of biomass. Condensation of tars on the quartz reactor and the pipelines was
prevented by an electric heating device, and a temperature control function
allowed determination of product characteristics at constant temperatures.
Temperature had an important influence on microwave pyrolysis; the yields of gas
products increased from 17.69 wt.% to 22.27 wt.% and the ratio of combustible gas
to total gas products increased from 67.21 vol.% to 77.14 vol.% as the
temperature increased from 400 degrees C to 600 degrees C. A large number of
volatiles was released as temperature increased, resulting in an increase in the
number of pores of the coke and a uniform pore structure was obtained. The
specific surface area of coke increased from 0.89 m(2)/g (400 degrees C) to
9.81m(2)/g (600 degrees C) and the pore volume increased from 0.006 cm(3)/g (400
degrees C) to 0.012 cm(3)/g (600 degrees C), but the average pore size
decreased from 282.16 nm (400 degrees C) to 46.64 nm (600 degrees C).
PMID- 22082513
TI - Venous thromboembolism prophylaxis in critically ill patients.
AB - Venous thromboembolism (VTE) is a frequent but often silent complication of
critical illness that has a negative impact on patient outcomes. The prevention
of VTE is an essential component of patient care in the intensive care unit (ICU)
setting, and is the focus of this article. The use of anticoagulant
thromboprophylaxis significantly decreases the risk of VTE in ICU patients and is
discussed at length.
PMID- 22082514
TI - Vena cava interruption.
AB - Anticoagulation has been proven to be effective in preventing and treating deep
vein thrombosis and pulmonary embolus. However, many critically ill patients are
unable to receive anticoagulation or suffer recurrent venous thromboembolism
despite adequate treatment. This article examines the use of vena cava filters in
the critically ill. Indications for, techniques, and complications of vena cava
filter insertion are reviewed. The importance of vena cava filters with the
option to be retrieved and bedside insertion in the intensive care unit is
emphasized.
PMID- 22082515
TI - Heparin-induced thrombocytopenia in critically ill patients.
AB - Critically ill patients commonly evince thrombocytopenia, either evident on
admission to the intensive care unit (ICU) or that develops during their stay.
Heparin-induced thrombocytopenia (HIT) explains thrombocytopenia in only
approximately 1/100 critically ill patients; also, only 1 or 2 in 10 ICU patients
with a positive PF4-dependent enzyme immunoassay has "true" HIT. Thus, there is
major potential for overdiagnosis of HIT in the ICU. A recent study showing that
dalteparin is associated with a reduced frequency of HIT indicates that
critically ill patients too can benefit from the HIT-reducing potential of this
low molecular weight heparin preparation.
PMID- 22082516
TI - Treatment of pulmonary embolism: anticoagulation, thrombolytic therapy, and
complications of therapy.
AB - During the last two decades, considerable progress in technology and clinical
research methods have led to advances in the approach to the diagnosis,
prevention, and treatment of acute venous thromboembolism (VTE). Despite this,
however, the diagnosis is often delayed and preventive methods are often ignored.
Thus, the morbidity and mortality associated with VTE remain high. The
therapeutic approach to acute VTE is discussed in this article, with a particular
focus on the intensive care unit setting.
PMID- 22082517
TI - Diagnostic approach to deep venous thrombosis and pulmonary embolism in the
critical care setting.
AB - Considerable progress has been made during the last 30 years in the prevention,
diagnosis, and therapy of venous thromboembolism. This article discusses the
epidemiology, pathophysiology, and clinical presentation of the disease as well
as the diagnostic uncertainty that exists in the critical care setting.
Diagnostic approaches for deep venous thrombosis and pulmonary embolism are
considered, including clinical prediction rules, D-dimer, contrast venography,
duplex ultrasonography, computed tomographic angiography and venography, magnetic
resonance imaging, ventilation-perfusion scanning, chest radiograph, arterial
blood gases, electrocardiography, and echocardiography.
PMID- 22082518
TI - Natural history of venous thromboembolism.
AB - Venous thromboembolism (VTE) originates in systemic venous thrombosis and has
different etiological mechanisms and natural history from arterial thrombosis.
VTE typically originates as deep venous thrombosis in a lower extremity, where it
may give rise to acute symptoms "upstream" from the obstructed vein, result in
pulmonary embolism, and/or cause chronic venous obstruction. Pulmonary embolism
may result in acute respiratory symptoms, cardiovascular collapse and,
uncommonly, may also cause chronic disease.
PMID- 22082519
TI - Major pulmonary embolism.
AB - The scope and spectrum of pulmonary embolism (PE) that are likely to challenge
the intensivist are dominantly confined to 2 scenarios; first, a patient
presenting with undifferentiated shock or respiratory failure and, second, an
established intensive care unit (ICU) or hospital patient who develops
hemodynamically unstable PE after admission. In either scenario, the diagnostic
approach and therapeutic options are challenging. Differentiating PE from other
life-threatening cardiopulmonary disorders can be exceedingly difficult. This
article will review a structured pathophysiologic approach to the diagnostic,
resuscitative and management strategies related to PE in the ICU.
PMID- 22082520
TI - Epidemiology and incidence: the scope of the problem and risk factors for
development of venous thromboembolism.
AB - The proportion of hospitalized patients with pulmonary embolism (PE) is
increasing. Whether this represents more admissions with PE or more diagnoses
made in hospitalized patients is uncertain. The proportion of hospitalized
patients with deep venous thrombosis has decreased precipitously as a result of
home treatment. Asians and Native Americans have a lower incidence of PE than
whites or African Americans. The incidence of PE increases exponentially with
age, but no age group, including infants and children, is immune. Several medical
illnesses have now been shown to be associated with a higher risk for venous
thromboembolism. Epidemiologic data and new information on risk factors provide
insight into making an informed clinical assessment and evaluation for
antithrombotic prophylaxis.
PMID- 22082521
TI - Hypercoagulable states.
AB - Hypercoagulable states can be inherited or acquired. Inherited hypercoagulable
states can be caused by a loss of function of natural anticoagulant pathways or a
gain of function in procoagulant pathways. Acquired hypercoagulable risk factors
include a prior history of thrombosis, obesity, pregnancy, cancer and its
treatment, antiphospholipid antibody syndrome, heparin-induced thrombocytopenia,
and myeloproliferative disorders. Inherited hypercoagulable states combine with
acquired risk factors to establish the intrinsic risk of venous thromboembolism
for each individual. Venous thromboembolism occurs when the risk exceeds a
critical threshold. Often a triggering factor, such as surgery, pregnancy, or
estrogen therapy, is required to increase the risk above this critical threshold.
PMID- 22082522
TI - Mortality risk assessment and the role of thrombolysis in pulmonary embolism.
AB - Acute venous thromboembolism remains a frequent disease, with an incidence
ranging between 23 and 69 cases per 100,000 population per year. Of these
patients, approximately one-third present with clinical symptoms of acute
pulmonary embolism (PE) and two-thirds with deep venous thrombosis (DVT). Recent
registries and cohort studies suggest that approximately 10% of all patients with
acute PE die during the first 1 to 3 months after diagnosis. Overall, 1% of all
patients admitted to hospitals die of acute PE, and 10% of all hospital deaths
are PE-related. These facts emphasize the need to better implement our knowledge
on the pathophysiology of the disease, recognize the determinants of death or
major adverse events in the early phase of acute PE, and most importantly,
identify those patients who necessitate prompt medical, surgical, or
interventional treatment to restore the patency of the pulmonary vasculature.
PMID- 22082523
TI - Venous thromboembolism in critical care.
PMID- 22082524
TI - When age is irrelevant: distractor inhibition and target activation in priming of
pop-out.
AB - OBJECTIVES: Recent research suggests that inhibition at early stages of visual
processing may be age invariant. We test this proposal using a priming of pop-out
(PoP) measure developed by Lamy, Antebi, Aviani, and Carmel (2008. Priming of pop
out provides reliable measures of target activation and distractor inhibition in
selective attention. Vision Research, 48, 30-41.
doi:10.1016/j.visres.2007.10.009). In PoP, a unique item, which visually "pops
out" in a field of distractors, grabs our attention faster when its defining
feature (e.g., color red) repeats across trials and slower when distractor- and
target-defining features switch between trials. Here, we explore whether the
processes underlying PoP, which prevent access to distractors and facilitate
access to the singleton, remain intact with age. METHOD: Participants faced a
display of circles and judged the direction of a letter T inscribed within a
uniquely colored circle. RESULTS: All underlying components of PoP were present
in older and younger adults. Participants revealed distractor inhibition by
responding faster to a color singleton when the color of surrounding distractors
repeated and slower when the singleton assumed the color of distractors from the
previous trial. DISCUSSION: Our findings suggest that the inhibitory processes
underlying PoP remain intact with age.
PMID- 22082525
TI - Corrosion in drinking water pipes: the importance of green rusts.
AB - Complex crystallographic composition of the corrosion products is studied by
diffraction methods and results obtained after different pre-treatment of samples
are compared. The green rusts are found to be much more abundant in corrosion
scales than it has been assumed so far. The characteristic and crystallographic
composition of corrosion scales and deposits suspended in steady waters were
analyzed by X-ray diffraction (XRD). The necessity of the examination of
corrosion products in the wet conditions is indicated. The drying of the samples
before analysis is shown to substantially change the crystallographic phases
originally present in corrosion products. On sample drying the unstable green
rusts is converted into more stable phases such as goethite and lepidocrocite,
while the content of magnetite and siderite decreases. Three types of green rusts
in wet materials sampled from tubercles are identified. Unexpectedly, in almost
all corrosion scale samples significant amounts of the least stable green rust in
chloride form was detected. Analysis of corrosion products suspended in steady
water, which remained between tubercles and possibly in their interiors, revealed
complex crystallographic composition of the sampled material. Goethite,
lepidocrocite and magnetite as well as low amounts of siderite and quartz were
present in all samples. Six different forms of green rusts were identified in the
deposits separated from steady waters and the most abundant was carbonate green
rust GR(CO(3)(2-))(I).
PMID- 22082526
TI - Novel magnetically induced membrane vibration (MMV) for fouling control in
membrane bioreactors.
AB - Conventional submerged membrane bioreactors (MBRs) rely on the coarse bubbles
aeration to generate shear at the liquid-membrane interface to limit membrane
fouling. Unfortunately, it is a very energy consuming method, still often
resulting in a rapid decrease of membrane permeability and consequently in higher
expenses. In this paper, the feasibility of a novel magnetically induced membrane
vibration (MMV) system was studied in a lab-scale MBR treating synthetic
wastewater. The effects on membrane fouling of applied electrical power of
different operation strategies, of membrane flux and of the presence of multiple
membranes on one vibrating engine on membrane fouling were investigated. The
filtration performance was evaluated by determining the filtration resistance
profiles and critical flux. The results showed clear advantages of the vibrating
system over conventional MBR processes by ensuring higher fluxes at lower fouling
rates. Intermittent vibration was found a promising strategy for both efficient
fouling control and significant energy saving. The optimised MMV system is
presumed to lead to significant energy and cost reduction in up-scaled MBR
operations.
PMID- 22082527
TI - Reverse osmosis concentrate treatment via a PAC-MF accumulative countercurrent
adsorption process.
AB - Organic pollutants in reverse osmosis (RO) concentrates from wastewater
reclamation are mainly comprised of low molecular weight biorefractory compounds.
Generally, advanced oxidation methods for oxidizing these organics require a
relatively high level of energy consumption. In addition, conventional adsorption
removal methods require a large dose of activated carbon. However, the dose can
be reduced if its full adsorption capacity can be used. Therefore, the combined
technology of powdered activated carbon (PAC) adsorption and microfiltration (MF)
membrane filtration was studied to develop a countercurrent two-stage adsorption
process. A PAC accumulative adsorption prediction method was proposed based on
the verification of a PAC multi-stage adsorption capacity equation. Moreover, the
prediction method was amended for a more accurate prediction of the effluent
quality because adsorption isotherm constants were affected by the initial
adsorbate concentration. The required PAC dose for the accumulative
countercurrent two-stage adsorption system was 0.6 g/L, whereas that of the
conventional adsorption process was 1.05 g/L when the dilution factor(F) was 0.1
and the COD and DOC removal rates were set to 70% and 68.1%, respectively.
Organic pollutants were satisfactorily removed with less consumption of PAC.
Effluent from this combined technology can be further reclaimed by an RO process
to improve the overall recovery rate to between 91.0% and 93.8% with both
economic and environmental benefits.
PMID- 22082528
TI - A model for predicting resuspension of Escherichia coli from streambed sediments.
AB - To improve the modeling of water quality in watersheds, a model is developed to
predict resuspension of Escherichia coli from sediment beds in streams. The
resuspension rate is expressed as the product of the concentration of E. coli
attached to sediment particles and an erosion rate adapted from work on sediment
transport. The model uses parameter values mostly taken from previous work, and
it accounts for properties of the flow through the bottom shear stress and
properties of the sediment through the critical shear stresses for cohesive and
non-cohesive sediment. Predictions were compared to resuspension rates inferred
from a steady mass balance applied to measurements at sixteen locations in a
watershed. The model's predictions matched the inferred rates well, especially
when the diameter of particles to which E. coli attach was allowed to depend on
the bottom shear stress. The model's sensitivity to the parameters depends on the
contributions of particle packing and binding effects of clay to the critical
shear stress. For the current data set, the uncertainty in the predictions is
controlled by the concentration of E. coli attached to sediment particles and the
slope used to estimate the bottom shear stress.
PMID- 22082529
TI - Down-regulation of mitogen-inducible gene 6, a negative regulator of EGFR,
enhances resistance to MEK inhibition in KRAS mutant cancer cells.
AB - Previously, we found that KRAS mutant cancer cells showed variable response to
AZD6244, a MEK inhibitor through differential activation of EGFR/AKT. To
investigate its mechanism, we performed cDNA microarray using four KRAS mutant
cancer cells. We found that treatment with AZD6244 reduced the expression of
mitogen-inducible gene 6 (MIG6), a negative feedback regulator for EGFR, in
AZD6244-resistant cells, while activity of EGFR and AKT was increased in these
cells. Reconstitution or knockdown of MIG6 expression affected cancer cell
responses to AZD6244. Treatment with a combination of EGFR inhibitor and AZD6244
inhibited cell proliferation synergistically without activation of AKT in AZD6244
resistant cells. Our study provides a mechanism of differential response to MEK
inhibition in KRAS mutant cancer.
PMID- 22082530
TI - DNA demethylation by 5-aza-2-deoxycytidine treatment abrogates 17 beta-estradiol
induced cell growth and restores expression of DNA repair genes in human breast
cancer cells.
AB - Prolonged exposure to elevated levels of estrogen is a risk factor for breast
cancer. Though increased cell growth and loss of DNA repair capacity is one of
the proposed mechanisms for estrogen-induced cancers, the mechanism through which
estrogen induces cell growth and decreases DNA repair capacity is not clear. DNA
hypermethylation is known to inactivate DNA repair genes and apoptotic response
in cancer cells. Therefore, the objective of this study was to determine the role
of DNA hypermethylation in estrogen-induced cell growth and regulation of DNA
repair genes expression in breast cancer cells. To achieve this objective, the
estrogen-responsive MCF-7 cells either pretreated with 5-aza-2-deoxycytidine (5
aza-dC) or untreated (as control) were exposed to 17 beta-estradiol (E2), and its
effect on cell growth and expression of DNA repair genes were measured. The
result revealed that 5-aza-dC abrogates the E2-induced growth in MCF-7 cells. An
increased expression of OGG1, MSH4, and MLH1 by 5-aza-dC treatment alone, suggest
the DNA hypermethylation as a potential cause for decreased expression of these
genes in MCF-7 cells. The decreased expression of ERCC1, XPC, OGG1, and MLH1 by
E2 alone and its restoration by co-treatment with 5-aza-dC further suggest that
E2 reduces the expression of these DNA repair genes potentially through promoter
hypermethylation. Reactivation of mismatch repair (MMR) gene MLH1 and abrogation
of E2-induced cell growth by 5-aza-dC treatment suggest that estrogen causes
increased growth in breast cancer cells potentially through the inhibition of MMR
mediated apoptotic response. In summary, this study suggests that estrogen
increases cell growth and decreases the DNA repair capacity in breast cancer
cells, at least in part, through epigenetic mechanism.
PMID- 22082531
TI - Anomalous diffusion and memory effects on the impedance spectroscopy for finite
length situations.
AB - The contribution of ions to the electrical impedance of an electrolytic cell
limited by perfect blocking electrodes is determined by considering the role of
the anomalous diffusion process and memory effects. Analytical solutions for
fractional diffusion equations together with Poisson's equation relating the
effective electric field to the net charge density are found. This procedure
allows the construction of general expressions for the electrochemical impedance
satisfying the Kramers-Kronig relations when the diffusion of ions in the cell is
characterized by the usual, as well as by anomalous, behavior.
PMID- 22082532
TI - Forgiveness results from integrating information about relationship value and
exploitation risk.
AB - Exploitation is a fact of life for social organisms, and natural selection gives
rise to revenge mechanisms that are designed to deter such exploitations.
However, humans may also possess cognitive forgiveness mechanisms designed to
promote the restoration of valuable social relationships following exploitation.
In the current article, the authors test the hypothesis that decisions about
forgiveness result from a computational system that combines information about
relationship value and exploitation risk to produce decisions about whom to
forgive following interpersonal offenses. The authors examined the independent
and interactive effects of relationship value and exploitation risk across two
studies. In Study 1, controlling for other constructs related to forgiveness, the
authors assessed relationship value and exploitation risk. In Study 2,
participants experienced experimental manipulations of relationship value and
exploitation risk. Across studies, using hypothetical and actual offenses and
varied forgiveness measures, the combination of low exploitation risk and high
relationship value predicted the greatest forgiveness.
PMID- 22082533
TI - Computed tomography and magnetic resonance features of gliosarcoma: a study of
54 cases.
AB - OBJECTIVE: To investigate the features of pathologically confirmed gliosarcomas
using computed tomography (CT) and magnetic resonance (MR) imaging. METHODS: We
retrospectively reviewed the cross-sectional CT and MR images of 54 patients (37
males and 17 females; mean age, 44.5 years; range, 13-74 years) with gliosarcomas
confirmed by histopathology. RESULTS: Across all patients, there were 59 lesions.
On nonenhanced CT and MR images, tumors were predominantly inhomogeneous. On the
postcontrast CT and MR images, 50 (84.7%) irregular lesions had thick walls with
a strong rim- and ringlike enhancement, whereas the remaining 9 (15.3%) round or
oval lesions had even thin walls with an enhanced peripheral ring. Magnetic
resonance spectroscopy showed increased choline and lactate values, along with
decreased N-acetylaspartate and creatine values. On diffusion-weighted imaging,
the tumor was slightly or markedly hyperintense compared with the white matter.
CONCLUSION: A well-demarcated mass located peripherally, with rimlike or ring
enhancement, is a common presentation of gliosarcoma on CT and MR images. In
addition, magnetic resonance spectroscopy and diffusion-weighted imaging can be
used to make a differential diagnosis.
PMID- 22082534
TI - Dilated dysplastic vestibule: a new computed tomographic finding in patients
with large vestibular aqueduct syndrome.
AB - OBJECTIVE: Large vestibular aqueduct syndrome (LVAS) is one of the most common
anomalies of the inner ear. The purpose of our study was to evaluate the
vestibule for associated aberrations. In particular, we assessed the vestibular
volume in patients with LVAS, compared it to an age-matched control population,
and evaluated the relationship between the size of the vestibular aqueduct and
the vestibule. METHODS: We reviewed studies of high-resolution computed
tomography of temporal bone of 24 consecutive patients with LVAS (15 girls and 9
boys; average age, 8.1 years). Of these, 21 patients had bilateral LVAS and 3
patients had unilateral LVAS. Each ear was evaluated for the size of the
vestibular aqueduct and the volume of the vestibule. Similar measurements were
obtained in an age-matched control population (28 girls and 18 boys; average age,
8.3 years). RESULTS: The volume of the vestibule was found to be significantly
enlarged in patients with LVAS compared to the control population (right ear, P <
0.0001; left ear, P < 0.0001). A linear correlation could be established between
an enlarged vestibular aqueduct and corresponding increase in the volume of the
vestibule (right side, P < 0.01; left side, P < 0.01). CONCLUSION: A dilated
dysplastic vestibule is a consistently associated finding in patients with LVAS.
PMID- 22082535
TI - Diagnostic usefulness of 3'-deoxy-3'-[18F]fluorothymidine positron emission
tomography in recurrent brain tumor.
AB - OBJECTIVE: We evaluated the diagnostic usefulness of 3'-deoxy-3'
[F]fluorothymidine (FLT) compared with 2-[F]fluoro-2-deoxy-D-glucose (FDG)
positron emission tomography (PET) in recurrent brain tumors. METHODS: Twenty
patients with suspected recurrence after surgical removal of primary tumors were
studied. The uptake was assessed visually and quantified by standardized uptake
value (SUV) and SUV ratio of tumor to white matter, tumor to gray matter, and
tumor to normal tissue. Final diagnoses were made by histopathology or clinical
and radiological follow-up. RESULTS: Of 20 lesions, 15 were recurrences. 3'-Deoxy
3'-[F]fluorothymidine PET showed high diagnostic sensitivity (15/15 [100%]) and
moderate specificity (3/5 [60.0%]). 2-[F]fluoro-2-deoxy-D-glucose PET showed
moderate diagnostic sensitivity (11/15 [73.3%]) and specificity (4/5 [80%]). All
of 4 recurrent tumors without FDG uptake showed FLT uptake. Tumor-to-normal
tissue ratios (3.99 +/- 1.72) of recurrent tumors on FLT PET were significantly
higher than tumor-to-white matter ratios (1.96 +/- 0.93) and tumor-to-gray matter
ratios (1.32 +/- 0.33) on FDG PET (P < 0.001), although SUVs (0.62 +/- 0.32) of
recurrent tumors on FLT PET were lower than those (2.44 +/- 1.02) on FDG PET (P <
0.001). CONCLUSION: 3'-Deoxy-3'-[F]fluorothymidine PET has a high sensitivity but
a lower specificity, which has a limited role in the diagnosis of recurrent brain
tumors as a complimentary tool of magnetic resonance imaging.
PMID- 22082536
TI - Fetal MR imaging of constriction band syndrome involving the skull and brain.
AB - We present here the case of a fetus with constriction band syndrome involving the
skull and brain. Whereas prenatal ultrasound did not show direct signs of this
condition, fetal magnetic resonance imaging (MRI) revealed it clearly. This may
be the first case of constriction band syndrome to be diagnosed using fetal MRI.
As a supplementary tool, fetal MRI may lead to an accurate diagnosis when
prenatal ultrasound presents equivocal findings with respect to central nervous
system development.
PMID- 22082537
TI - Subarcuate artery and canal: an important anatomic variant.
AB - An aberrant subarcuate artery and its related canal are rare. The presence of
this variation has a surgical significance, that is, risk of hemorrhage, if
accidentally nicked. It is therefore important to be aware of this entity, and
its relative anatomy, to avoid any untoward complication. We present a case of a
29-year-old man who presented with hearing loss after trauma. High-resolution
computed tomographic scan of the temporal bone demonstrated an enlarged
subarcuate canal.
PMID- 22082538
TI - Liver metastases from colorectal cancer treated with conventional and
antiangiogenetic chemotherapy: evaluation with liver computed tomography
perfusion and magnetic resonance diffusion-weighted imaging.
AB - OBJECTIVE: The objectives of the study were to determine whether perfusion
computed tomography (CT-p) and magnetic resonance diffusion-weighted imaging (MR
DWI) can allow evaluation of the effects of chemotherapy combined with
antiangiogenetic treatment on liver metastases in patients with advanced
colorectal cancer and to determine if changes in CT-p and MR-DWI correlate with
the response to therapy as assessed by conventional Response Evaluation Criteria
in Solid Tumors (RECIST). METHODS: Eighteen patients with liver metastases from
colorectal cancer underwent CT-p and MR-DWI before and 6 months after
chemotherapy and antiangiogenetic treatment. Lesions were classified according to
RECIST criteria (complete response [CR], partial response [PR], stable disease
[SD], and progressive disease) and calculations of CT-p parameters including
blood flow (BF), blood volume (BV), capillary permeability (CP), and MR-DWI
apparent diffusion coefficient (ADC) values were performed; RECIST, CT-p, and MR
DWI measurements at baseline and follow-up were tested for statistically
significant differences using the paired-samples t test. Baseline and follow-up
perfusion parameters of the lesions were also compared on the basis of therapy
response assessed by RECIST criteria using independent-samples t test. P < 0.05
was considered indicative of a statistically significant difference for all
statistical test. RESULTS: Six patients (6/18; 33.3%) were classified as PR (),
and the remaining 12 (12/18; 66.7%) were classified as SD. On a per-lesion basis,
2 (2/32; 6.3%) cannot be identified at follow-up, 6 (6/32; 18.8%) showed a
decrease in size of more than 30%, and 24 (24/32; 75%) were substantially stable
in size. No cases of progressive disease were demonstrated at follow-up. No
statistically significant differences were demonstrated between PR, CR, and SD
lesions for BF (P = 0.19), BV (P = 0.14), and ADC (P = 0.68) measurements,
whereas CP was significantly higher in CR and PR lesions (P = 0.038). Considering
differences between baseline and follow-up values, no statistically significant
differences were noted between PR and CR lesions versus SD lesions for CT-p
values (BF: P = 0.77; BV: P = 0.15; CP: P = 0.64). A statistically significant
difference between PR and CR lesions and SD lesions was noted for ADC values (P =
0.047). CONCLUSION: Both CT-p and MR-DWI can detect therapy-induced modifications
in lesion vascularization before significant changes in size are evident.
PMID- 22082539
TI - Three-phase helical computed tomographic findings of hepatic neuroendocrine
tumors: pathologic correlation with revised WHO classification.
AB - OBJECTIVE: To evaluate 3-phase helical computed tomographic (CT) findings of
hepatic neuroendocrine tumors and to correlate them with pathologic diagnoses
based on the revised World Health Organization classification. METHODS: Over a 14
year period, we collected 38 patients with pathologically proven neuroendocrine
tumors of the liver, either primary or metastatic, who had 3-phase helical CT
scans. CT findings were evaluated for the morphologic and enhancement patterns
(ie, hepatocellular carcinomalike, cholangiocarcinomalike, and combined
patterns), and correlated those with pathologic diagnoses. RESULTS: The
morphologic patterns were variable regardless of the pathologic diagnoses, except
necrosis (P = 0.024). For the enhancement pattern, almost half of the tumors
showed hepatocellular carcinomalike pattern, and the other half showed
cholangiocarcinomalike or combined patterns, without correlation between the
enhancement pattern and pathologic diagnoses (P = 0.402). CONCLUSIONS: The CT
features of hepatic neuroendocrine tumors were variable and did not correlate
with their pathologic diagnoses. However, hepatic neuroendocrine tumors
frequently show hepatocellular carcinomalike arterial enhancement, and often show
cholangiocarcinomalike delayed enhancement.
PMID- 22082540
TI - Evaluation of the maximum depth of intraluminal appendiceal fluid to diagnose
appendicitis with a 64-detector row CT scanner.
AB - OBJECTIVE: The objective of this study was to investigate whether the criterion
"maximum depth of intraluminal appendiceal fluid greater than 2.6 mm" ("DEPTH
>2.6 mm"), with the use of 64-detector row computed tomography, is useful to
diagnose appendicitis. METHODS: We retrospectively evaluated 0.68-mm-thick images
of 2894 intravenously enhanced abdominal-pelvic computed tomography using the
following criteria: (1) appendiceal wall thickness greater than 3 mm, (2)
appendiceal wall enhancement, (3) focal cecal wall thickening, (4) adjacent
lymphadenopathy greater than 5 mm, (5) appendicolith, (6) periappendiceal
inflammation, and (7) the new criterion, DEPTH >2.6 mm. Of the 2894 images, 1013
were classified into normal group (including 622 distended [diameter >6 mm] but
normal appendices without adjacent lesions), modified group (235 distended normal
appendices modified with adjacent lesions), proven-appendicitis group (82
operatively proven appendicitis cases), and clinical-appendicitis group (62
clinically certified appendicitis cases). RESULTS: The new criterion, DEPTH >2.6
mm, demonstrated both higher sensitivities and higher specificities in all groups
(>90%), although this criterion showed lower specificities than some conventional
criteria. In contrast, conventional criteria showed lower sensitivities or lower
specificities (<60%) in one or more of these groups. CONCLUSIONS: DEPTH >2.6 mm
is particularly useful for differentiating appendicitis from distended normal
appendix.
PMID- 22082541
TI - Can the patient with cirrhosis be imaged for hepatocellular carcinoma without
gadolinium?: Comparison of combined T2-weighted, T2*-weighted, and diffusion
weighted MRI with gadolinium-enhanced MRI using liver explantation standard.
AB - PURPOSE: This study aimed to evaluate a non-gadolinium-enhanced magnetic
resonance imaging (MRI) protocol including T2-weighted, T2*-weighted, and
diffusion-weighted MRI sequences for identifying hepatocellular carcinoma (HCC)
with liver explantation as the reference standard. Also, a stand-alone pre- and
dynamic post-gadolinium-enhanced liver MRI data set was interpreted from the
available patient data for relative comparison purposes. MATERIALS AND METHODS: A
retrospective review identified 37 appropriately selected liver transplant
patients who had had preoperative MRI. Two data sets were created from the MRI
studies: (1) non-gadolinium-enhanced (including T2-weighted, T2*-weighted, and
diffusion-weighted sequences) and (2) pre- and dynamic post-gadolinium-enhanced
(3-dimensional T1-weighted gradient recalled echo) and were presented to 2
independent, blinded observers. A separate blinded observer assessed the
pathologic results from liver explantation to establish the reference standard.
RESULTS: On explant pathology, 21 of 37 patients had 31 HCC (mean [SD] largest
diameter, 19 [9] cm; range, 7-40 mm). Per-lesion sensitivity of non-gadolinium
enhanced MRI for identifying HCC was 52% (reader 1) and 55% (reader 2), and
specificity was 90% (reader 1) and 88% (reader 2). Per-lesion sensitivity of the
stand-alone pre- and dynamic post-gadolinium-enhanced MRI was 84% (reader 1) and
81% (reader 2), and specificity was 62% (reader 1) and 65% (reader 2).
CONCLUSIONS: Non-gadolinium-enhanced MRI had a moderate sensitivity for HCC but
had a high specificity. Although non-gadolinium-enhanced MRI cannot be
recommended as a primary imaging approach for HCC, the results demonstrate the
contribution of non-gadolinium-enhanced sequences to imaging of HCC. A non
gadolinium-enhanced MRI protocol may have a diagnostic value when gadolinium
cannot be administered.
PMID- 22082542
TI - Computed tomographic cholangiography in living liver transplant donors: factors
determining the degree of contrast enhancement.
AB - OBJECTIVE: To determine factors affecting liver and bile duct contrast
enhancement during computed tomographic cholangiography (CTC) in living-donor
transplant candidates. METHODS: Forty-four candidates underwent preoperative
triphasic CT followed by intravenous infusion of 20 mL of iodipamide for CTC.
Body size indices and liver volume were correlated to parenchymal and biliary
enhancement. Bile duct visibility was compared to duct enhancement. RESULTS:
Poorly visualized first- and second-order bile ducts demonstrated diminished
enhancement (P < 0.015). Both CTC parenchymal and biliary enhancement correlated
inversely with body surface area, height, and weight (P < 0.001); inverse
correlation was also seen between liver volume and parenchymal enhancement (P <
0.001). A moderately positive correlation was noted between CTC biliary and
parenchymal portal venous enhancement (r = 0.421; P = 0.004). CONCLUSIONS:
Computed tomographic cholangiography parenchymal and biliary enhancement
diminishes with increased body size and liver volume, supporting a need for
adjustable contrast dosing. Portal venous parenchymal enhancement may serve as a
preinfusion indicator.
PMID- 22082544
TI - Investigation of a logistic model for T2* dynamic susceptibility contrast
magnetic resonance imaging (dscMRI) perfusion studies.
AB - There are a number of T1- and T2-based dynamic contrast-enhanced magnetic
resonance imaging pharmacokinetic modeling approaches to study cancer
microvasculature. Alternatively, model-free approaches offer an easy,
quantitative assessment of microcirculation. In this work, we investigate a 6
parameter model-free approach applied to a T2*-weighted echo-planar imaging bolus
response curve. We tested this new approach on a small cohort of patients with
clinically diagnosed primary rectal carcinoma before adjuvant chemoradiotherapy
and surgical excision. Comparison with healthy muscle tissue shows that logistic
parameters P1/P2, P4, and P5 offer good discrimination between tumor and healthy
tissue. Bolus response logistic parameters P4 and P5 have been implicated in
previous T1-based works as being important in the assessment of cancer
malignancy. Further comparison of T2* parameters with signal attenuation
amplitude (maximum signal drop) and percentage baseline signal loss also
corroborates the models' ability to quantify the microenvironment.
PMID- 22082543
TI - Clinical significance of the transitional phase at gadoxetate disodium-enhanced
hepatic MRI for the diagnosis of hepatocellular carcinoma: preliminary results.
AB - OBJECTIVES: To investigate the clinical significance of the "transitional phase"
at gadoxetate disodium (Gd-EOB)-enhanced magnetic resonance imaging for
diagnosing hepatocellular carcinoma (HCC). METHODS: We studied 54 patients with
70 histologically diagnosed HCC. Transitional- and hepatobiliary-phase (TP, HBP)
images were acquired 3 and 20 minutes after Gd-EOB injection, respectively.
Radiologists measured the size of the hepatic tumors on images and surgical
specimens and qualitatively evaluated the signal intensity of the hepatic tumors
during TP and HBP independently. RESULTS: In 4 patients with portal tumor
embolism who had undergone percutaneous transhepatic portal embolization and who
manifested arterioportal (AP) shunts, the low-intensity area was larger during
HBP than TP. Of the 70 HCCs, 4 were of high signal intensity during HBP and 2
were of slightly low intensity during TP. CONCLUSION: Tumor extension seen during
TP rather than HBP more accurately reflected histological findings in patients
with HCC with portal tumor thrombi, percutaneous transhepatic portal
embolization, or AP shunt.
PMID- 22082545
TI - The prognostic value of vascular diameter measurements on routine chest computed
tomography in patients not referred for cardiovascular indications.
AB - OBJECTIVES: The aim of the study was to investigate whether diameter measurements
of the thoracic aorta and the heart can be used as prognostic markers for future
cardiovascular disease. METHODS: Following a case-cohort design, a total of
10,410 patients undergoing chest computed tomography were followed up for a mean
period of 17 months. The ones with a cardiovascular indication were excluded.
Diameter measurements were evaluated with Cox proportional hazard analysis.
RESULTS: Five hundred fifteen incident cardiovascular events occurred during
follow-up. The heart (hazard ratio [HR], 1.04; 95% confidence interval [CI], 1.03
1.06) and ascending thoracic (HR, 1.002; 95% CI, 1.001-1.004) diameter showed an
exponential prognostic effect beyond a threshold diameter of, respectively, 11
and 30 mm; the descending aortic diameter (HR, 1.04; 95% CI, 1.01-1.13) and
cardiothoracic ratio (HR, 1.06; 95% CI, 1.04-1.08) showed linear prognostic
effects beyond, respectively, 25 and 0.45 mm. CONCLUSION: Intrathoracic diameter
measurements can be used as markers to predict cardiovascular events in patients
not referred for that disease outcome.
PMID- 22082546
TI - Aortic endograft surveillance: use of fast-switch kVp dual-energy computed
tomography with virtual noncontrast imaging.
AB - OBJECTIVE: To assess endoleak detection and patients' radiation exposure using
fast-switch peak kilovoltage (kVp) dual-energy computed tomography (DECT) with
virtual noncontrast (VNC) imaging. METHODS: Institutional review board approved
retrospective review of triphasic CTs for endograft follow-up: single-energy true
noncontrast (TNC) and dual-energy arterial- and venous-phase postcontrast scans
on GE HD-750 64-detector scanners. Iodine-subtracted VNC images generated from
dual-energy data. Two radiologists (VNC readers) independently performed 2
reading sessions without TNC images: (1) arterial and VNC and (2) venous and VNC.
Interrater agreement, leak detection sensitivity, and dose estimates were
calculated. RESULTS: Original dictations described 24 endoleaks in 78 scans.
Virtual noncontrast reader agreement was high (kappa = 0.78-0.79). Virtual
noncontrast reader ranges for sensitivity and negative predictive value for leak
detection were 87.5% to 95.8% and 94.0% to 98.0% in venous phase. Dose reduction
estimate was 40% by eliminating one phase and 64% by eliminating 2 phases of
imaging. CONCLUSION: Virtual noncontrast images from fast-switch peak kilovoltage
DECT data can substitute for TNC imaging in the postendograft aorta, conferring
substantial dose reduction. Eliminating 1 of 2 postcontrast phases further
reduces dose, with greater negative predictive value for leak detection in the
venous versus the arterial phase. Thus, the use of a monophasic venous-phase DECT
with VNC images is suggested for long-term endograft surveillance in stable
patients.
PMID- 22082547
TI - Advanced adaptive axial-sequential prospectively electrocardiogram-triggered dual
source coronary computed tomographic angiography in a patient with atrial
fibrillation.
AB - Atrial fibrillation is considered a relative contraindication to coronary
computed tomographic angiography (CCTA) because image quality and radiation dose
saving measures largely depend on low and stable heart rates. Most published
experiences with CCTA during atrial fibrillation have relied on retrospective
gating to mitigate artifacts via electrocardiogram editing. However, a large
number of patients arrive at the CT suite with irregular heart rates. We present
a case in which we used a prospectively electrocardiogram-triggered axial
sequential scan mode with a novel arrhythmia protection algorithm to obtain
motion-free, high-quality CCTA images at a low radiation dose.
PMID- 22082548
TI - Use of dynamic phase subtraction (DPS) map in dynamic contrast-enhanced MRI of
the breast.
AB - BACKGROUND: The Breast Imaging Reporting and Data System (BI-RADS) of the
American College of Radiology recommends careful examination of the region of
interest (ROI) in areas that seem to show a washout pattern on time-intensity
curve (TIC). However, it is difficult to identify malignancies because many
benign lesions also show enhancement, and these include cysts, hemorrhage,
fibrosis, and necrosis in the mass. PURPOSE: This study was performed to assess
the performance of the dynamic phase subtraction (DPS) map for dynamic contrast
enhanced magnetic resonance imaging (MRI) of the breast. A DPS map is a map image
with pixel-by-pixel subtraction of an early-phase image from a delayed-phase
image obtained in a dynamic study. MATERIALS AND METHODS: The use of the DPS map
was analyzed retrospectively in 53 patients (32-84 years old) who underwent
dynamic contrast-enhanced MRI of the breast. Sensitivity and specificity were
compared with and without a DPS map for masses diagnosed as malignant lesions by
biopsy. In addition, the patterns of time-intensity curves 30 seconds, 90
seconds, and 5 minutes after injection of contrast agent were compared with and
without a DPS map. RESULTS: Sensitivity increased from 0.78 to 0.95, and
specificity increased from 0.71 to 0.95 with reference to the DPS map. The
pattern of TIC changed from continuous to a plateau in 9 cases, from a plateau to
washout in 21 cases, and from continuous to washout in 7 cases. CONCLUSION: Use
of the DPS map of dynamic contrast-enhanced MRI of the breast results in high
detection rates of malignant masses, allows accurate ROI setting of TIC, and
reduces operator's task.
PMID- 22082549
TI - Internet-based videoconferencing and data collaboration for the imaging
community.
AB - Internet protocol-based digital data collaboration with videoconferencing is not
yet well utilized in the imaging community. Videoconferencing, combined with
proven low-cost solutions, can provide reliable functionality and speed, which
will improve rapid, time-saving, and cost-effective communications, within large
multifacility institutions or globally with the unlimited reach of the Internet.
The aim of this project was to demonstrate the implementation of a low-cost
hardware and software setup that facilitates global data collaboration using
WebEx and GoToMeeting Internet protocol-based videoconferencing software. Both
products' features were tested and evaluated for feasibility across 2 different
Internet networks, including a video quality and recording assessment. Cross
compatibility with an Apple OS is also noted in the evaluations. Departmental
experiences with WebEx pertaining to clinical trials are also described. Real
time remote presentation of dynamic data was generally consistent across
platforms. A reliable and inexpensive hardware and software setup for complete
Internet-based data collaboration/videoconferencing can be achieved.
PMID- 22082550
TI - Compressive sensing-based interior tomography: preliminary clinical application.
AB - Compressive sensing (CS)-based interior tomography is a state-of-the-art method
for accurate image reconstruction from only locally truncated projections. Here,
we report our preliminary interior tomography results reconstructed from raw
projections of a patient acquired on a GE Discovery CT750 HD scanner. This is the
first clinical application of the CS-based interior reconstruction techniques,
and the results show an excellent match with those reconstructed from global
projections.
PMID- 22082552
TI - Simulation and adult learning.
PMID- 22082553
TI - Growth of a simulation lab: engaging the learner is key to success.
PMID- 22082554
TI - Procedural simulation.
PMID- 22082555
TI - Physiological stress responses of emergency medicine residents during an
immersive medical simulation scenario.
PMID- 22082556
TI - Use of mannequin-based simulators in anesthesiology.
PMID- 22082557
TI - Critical care simulation.
PMID- 22082558
TI - Simulation applications in emergency medical services.
PMID- 22082559
TI - High-fidelity simulation-emergency medicine.
PMID- 22082560
TI - Simulations in internal medicine.
PMID- 22082561
TI - Characterisation of [11C]PR04.MZ in Papio anubis baboon: a selective high
affinity radioligand for quantitative imaging of the dopamine transporter.
AB - N-(4-fluorobut-2-yn-1-yl)-2beta-carbomethoxy-3beta-(4'-tolyl)nortropane (PR04.MZ,
1) is a PET radioligand for the non-invasive exploration of the function of the
cerebral dopamine transporter (DAT). A reliable automated process for routine
production of the carbon-11 labelled analogue [(11)C]PR04.MZ ([(11)C]-1) has been
developed using GMP compliant equipment. An adult female Papio anubis baboon was
studied using a test-retest protocol with [(11)C]-1 in order to assess test
retest reliability, metabolism and CNS distribution profile of the tracer in non
human primates. Blood sampling was performed throughout the studies for
determination of the free fraction in plasma (f(P)), plasma input functions and
metabolic degradation of the radiotracer [(11)C]-1. Time-activity curves were
derived for the putamen, the caudate nucleus, the ventral striatum, the midbrain
and the cerebellum. Distribution volumes (V(T)) and non-displaceable binding
potentials (BP(ND)) for various brain regions and the blood were obtained from
kinetic modelling. [(11)C]-1 shows promising results as a selective marker of the
presynaptic dopamine transporter. With the reliable visualisation of the extra
striatal dopaminergic neurons and no indication on labelled metabolites, the
tracer provides excellent potential for translation into man.
PMID- 22082562
TI - Methylenebissantin: a rare methylene-bridged bisflavonoid from Dodonaea viscosa
which inhibits Plasmodium falciparum enoyl-ACP reductase.
AB - A new methylene-bridged bisflavonoid, methylenebissantin (1), and nine known
compounds, including flavonoids (2-5), diterpenoids (6 and 7), and phenol
derivatives (8-10) were isolated from the aerial parts of Dodonaea viscosa Jacq.
The structure elucidation was based on spectroscopic data analyses. The isolated
compounds were evaluated for the inhibition of Plasmodium falciparum enoyl-ACP
reductase (PfENR). Methylenebissantin (1) exhibited a moderate inhibition (IC(50)
91.13 MUM) against PfENR.
PMID- 22082563
TI - A key cytochrome P450 hydroxylase in pradimicin biosynthesis.
AB - Pradimicins A-C (1-3) are a group of antifungal and antiviral polyketides from
Actinomadura hibisca. The sugar moieties in pradimicins are required for their
biological activities. Consequently, the 5-OH that is used for glycosylation
plays a critical role in pradimicin biosynthesis. A cytochrome P450 monooxygenase
gene, pdmJ, was amplified from the genomic DNA of A. hibisca and expressed in
Escherichia coli BL21(DE3). PdmJ introduced a hydroxyl group to G-2A (4), a key
pradimicin biosynthetic intermediate, at C-5 to form JX134 (5). A d-Ala
containing pradimicin analog, JX137a (6) was tested as an alternative substrate,
but no product was detected by LC-MS, indicating that PdmJ has strict substrate
specificity. Kinetic studies revealed a typical substrate inhibition of PdmJ
activity. The optimal substrate concentration for the highest velocity is 115MUM
under the test conditions. Moreover, the conversion rate of 4 to 5 was reduced by
the presence of 6, likely due to competitive inhibition. Coexpression of PdmJ and
a glucose 1-dehydrogenase in E. coli BL21(DE3) provides an efficient method to
produce the important intermediate 5 from 4.
PMID- 22082564
TI - Ocular ischemia with hypotony after injection of hyaluronic acid gel.
AB - The authors experienced a case with ocular ischemia with hypotony following
injection of a dermal filler for augmentation rhinoplasty. Immediately after
injection, the patient demonstrated a permanent visual loss with typical fundus
features of central retinal artery occlusion. Multiple crusted ulcerative patches
around the nose and left periorbit developed, and the left eye became severely
inflamed, ophthalmoplegic, and hypotonic. Signs of anterior and posterior segment
ischemia were observed including severe cornea edema, iris atrophy, and
chorioretinal swelling. The retrograde arterial embolization of hyaluronic acid
gel from vascular branches of nasal tip to central retinal artery and long
posterior ciliary artery was highly suspicious. After 6 months of follow up, skin
lesions and eyeball movement became normalized, but progressive exudative and
tractional retinal detachment was causing phthisis bulbi.
PMID- 22082565
TI - Increased IL-17-producing CD4(+) T cells in patients with esophageal cancer.
AB - Increased interleukin-17 (IL-17)-producing Th (Th17) cells have been described in
a variety of human carcinoma cases, however, the mechanism of Th17 cells'
accumulation in a tumor microenvironment remains elusive. This study was designed
to investigate whether Th17 cells were involved in the development of esophageal
cancer. We found that the proportion of Th17 cells increased within the
peripheral blood and tumor tissues of esophageal cancer patients. Furthermore,
the proportion of circulating Th17 cells was higher in advanced esophageal cancer
patients than that in early esophageal cancer patients. In addition, the Th17
cells differentiation-related cytokines (IL-23, IL-1beta, and IL-6) and
accumulation-related chemokines (CCL22 and CCL20) were present in a tumor
microenvironment. Therefore, the findings may partly explain the cause for the
increased proportion of Th17 cells and indicate a potential prognostic marker of
Th17 cells in esophageal cancer.
PMID- 22082566
TI - Serum amyloid A protects murine macrophages from lethal toxin-mediated death.
AB - Lethal toxin, a key virulence factor produced by Bacillus anthracis, induces cell
death, in part by disrupting numerous signaling pathways, in mouse macrophages.
However, exposure to sublethal doses of lethal toxin allows some cells to
survive. Because these pro-survival signaling events occur within a few hours
after exposure to sublethal doses, we hypothesized that acute phase proteins
might influence macrophage survival. Our data show that serum amyloid A (SAA) is
produced in response to lethal toxin treatment. Moreover, pre-treatment of
macrophages with exogenous SAA protected macrophages from lethal toxin-mediated
death. Exogenous SAA activated the p38 mitogen activated protein kinase (MAP)
kinase pathway, while lethal toxin mutants incapable of p38 activation were
incapable of causing cell death. Chemical inhibition of the p38 activation
pathway abrogated the protective effects of SAA. These data show that SAA affords
protection against lethal toxin in mouse macrophages and link this response to
the p38 pathway.
PMID- 22082567
TI - Human myeloid dendritic cells treated with supernatants of rotavirus infected
Caco-2 cells induce a poor Th1 response.
AB - We have previously shown that human myeloid dendritic cells treated with purified
rotavirus induce an allogenic Th1 response. To determine if rotavirus in the
context of an intestinal microenvironment modulates the function of dendritic
cells, we treated these cells with supernatants from non-infected or infected
Caco-2 cells and studied their capacity to promote Th1 or Th2 responses.
Dendritic cells treated with supernatants from rotavirus-infected Caco-2 cells
promoted a significantly lower Th1 response, in comparison with those treated
with purified rotavirus. We wanted to establish if TGF-beta1, induced, or TSLP,
not induced, during rotavirus infection, could mediate this effect.
Neutralization of TGF-beta but not TSLP in the supernatant prior to treatment of
dendritic cells increased their capacity to promote a Th1 response. The results
suggest that the TGF-beta1 induced by rotavirus could be an immune evasion
mechanism, and may partially explain the poor rotavirus-specific T cell response
we have previously evidenced.
PMID- 22082568
TI - Induced cytokine response of human PMBC-cultures: correlation of gene expression
and secretion profiling and the effect of cryopreservation.
AB - The immune system is regulated by the complex interaction of multiple cytokines,
which are secreted signaling molecules affecting other cells. In this work, we
studied the cytokine response to several well-known stimulants, such as OKT-3,
Con A, PWM, and SEB. Healthy donor cells (PBMCs) were cultivated for up to 72 h
and the mRNA levels and cytokine release of four key cytokines (IL-2, IL-4, IFN
gamma, and TNF-alpha) were analyzed by RT-PCR and bead-based multiplex analyses.
The generated cytokine profiles showed characteristic expression patterns and
secretion kinetics for each cytokine and substance. PWM/SEB and OKT-3 led to a
very fast and long-lasting immune response, whereas Con A induced the slowest
cytokine production. Cytokine concentrations also differed greatly. The highest
IFN-gamma concentration was 1000 times higher than the respective IL-4
concentration. Gene expression and cytokine concentration profiles were strongly
correlated during the time course. The chronological response of the donors'
cytokine profiles coincided, but showed individual characteristics regarding the
strength of the cytokine release. The comparison of stimulation experiments using
freshly isolated and cryopreserved PBMCs showed that, for the observation of an
immunological response at early points in time, gene expression experiments are
more reliable than the measurement of cytokines in the cell culture supernatant.
However, the freezing of cells influences the response significantly. The
measurement of secreted proteins is the superior method at later points in time.
PMID- 22082569
TI - Comparative dental development in Hispanopithecus laietanus and Pan troglodytes.
PMID- 22082570
TI - Intrathecal combination of ziconotide and morphine for refractory cancer pain: a
rapidly acting and effective choice.
AB - Ziconotide is a nonopioid intrathecal analgesic drug used to manage moderate to
severe chronic pain. The aim of this work is to assess the safety and efficacy of
intrathecal (IT) combination of ziconotide and morphine in malignant pain
refractory to high doses of oral opioids. Patients with malignant pain refractory
to high oral opioids doses with a mean visual analogue scale of pain intensity
(VASPI) score of >= 70 mm were enrolled. An IT combination therapy was
administered: Ziconotide was started at a dose of 2.4 MUg/day, followed by
increases of 1.2 MUg/day at intervals of at least 7 days, and an initial IT daily
dose of morphine was calculated based on its oral daily dose. Percentage change
in VASPI scores from baseline was calculated at 2 days, at 7 days, and weekly
until the first 28 days. The mean percentage change of VASPI score from baseline
was used for efficacy assessment. Safety was monitored based on adverse events
and routine laboratory values. Twenty patients were enrolled, with a mean daily
VASPI score at rest of 90+/-7. All had a disseminated cancer with bone metastases
involving the spine. The percentage changes in VASPI mean scores from baseline to
2 days, 7 days, and 28 days were 39+/-13% (95% confidence interval [CI]=13.61
64.49, P<.001), 51+/-12% (95% CI=27.56-74.56, P<.001), and 62+/-13% (95% CI=36.03
87.89%, P<.001), respectively. Four patients experienced mild adverse events
related to the study drugs. In conclusion, an IT combination of low doses of
ziconotide and morphine allows safe and rapid control of oral opioid-refractory
malignant pain.
PMID- 22082571
TI - Automated image interpretation computer-assisted diagnostics.
PMID- 22082572
TI - Where have all the pioneers gone?
PMID- 22082573
TI - Following the cutting edge....
PMID- 22082574
TI - First clinical evaluation of a luminal self-expanding shield in patients with
intermediate coronary lesions.
AB - AIMS: Earlier generation self-expanding stents (SExS) showed high restenosis
rates and long-term stent over-expansion. A novel SExS with reduced outward
expansive force has been developed to overcome these limitations. This first-in
human study aimed to evaluate the safety and feasibility of the low pressure self
expanding nitinol-based vProtectTM luminal shield (LS) in the treatment of
intermediate coronary lesions. METHODS AND RESULTS: A total of 29 patients with
clinical evidence of myocardial ischaemia and intermediate de novo coronary
lesions were included. The LS was deployed after low-pressure balloon pre
dilatation. Acute procedural and device success was achieved in all patients.
Angiographic follow-up at nine months showed an in-stent lumen loss of 0.50+/
0.30 mm and a binary restenosis rate of 10.3%. There were no cases of late LS
over-expansion or acute/late malapposition as evaluated by intravascular
ultrasound (IVUS). The cumulative major adverse cardiac events (MACE) rate at
nine months was 10.3%, consisting of three target lesion revascularisations, with
no cases of death, myocardial infarction or stent thrombosis. CONCLUSIONS:
Implantation of the LS in non-complex coronary lesions of intermediate severity
was feasible, safe, and resulted in low rates of late loss and restenosis. IVUS
analysis at nine months showed favourable mechanical properties of the LS without
evidence of late device over-expansion.
PMID- 22082575
TI - Comparison of target-lesion revascularisation between left main coronary artery
bifurcations and left anterior descending coronary artery bifurcations using the
one and two stent approach with sirolimus-eluting stents.
AB - AIMS: Lesion location might influence the efficacy of the bifurcation two-stent
approach relative to the one-stent approach. METHODS AND RESULTS: One-year
outcomes after sirolimus-eluting stent (SES) implantation with the two-stent
approach were compared to those with the one-stent approach in left main coronary
artery (LMCA) bifurcation (945 lesions) and in left anterior descending coronary
artery (LAD) bifurcation (1271 lesions). The two-stent approach was used more
frequently in LMCA than in LAD. The target-lesion revascularisation (TLR) rate in
the two-stent group was significantly higher than that in the one-stent group in
LMCA (24.2% vs. 5.6%, p<0.0001), but not in LAD (9.0% vs. 5.4%, p=0.056).
Adjusted odds ratio of two-stent versus one-stent for TLR was 4.93 (3.01-8.08,
p<0.0001) in LMCA and 1.15 (0.64-2.07, p=0.63) in LAD, respectively (interaction
p<0.0001). Angiographic restenosis rates in the main branch were significantly
higher in the two-stent group in both LMCA and LAD (11.0% vs. 4.9%, p=0.02; and
13.2% vs. 6.8%, p=0.02). Restenosis rates in the side branch were significantly
higher in the two-stent group in LMCA (35.3% vs. 14.5%, p<0.0001), but not in LAD
(15.1% vs. 22.6%, p=0.07). CONCLUSIONS: Risk of TLR after bifurcation stenting in
lesions that needed the two-stent approach was significantly different between
LMCA and LAD bifurcations.
PMID- 22082576
TI - Transendocardial autologous bone marrow in myocardial infarction induced heart
failure, two-year follow-up in an open-label phase I safety study (the TABMMI
study).
AB - AIMS: To assess the hypothesis that fluoroscopically-guided helical needle
transendocardial delivery of autologous bone marrow (ABM) mononuclear cells
(MNCs) in chronic post myocardial infarction patients is safe and improves
ejection fraction (EF). METHODS AND RESULTS: Twenty ischaemic heart failure
patients with an EF <=40% were enrolled. ABMMNCs were prepared, counted for CD34+
and CD133+ content, and delivered percutaneously to the heart at 5 to 10 peri
infarct sites. Two-dimensional (2D) transthoracic echocardiography, EF
measurements, Holter, and exercise tolerance time (ETT) were performed at
baseline, one week (wk), and 6, 12, and 24 months (mo). 96+/-29 million ABMMNCs
were injected into 8.5+/-2.6 peri-infarct sites over 42+/-17 minutes (n=20).
There were no adverse events associated with the catheter-based cell
transplantation procedure or significant increases in ventricular events on
Holter. EF improved over baseline from 34.9+/-4.3% to 41.9+/-5.1% at 12 mo to
42.2+/-7.1% (p=0.00005) at 24 mo. ETT improvements were statistically significant
from 246+/-113 sec to 373+/-183 sec at 12 mo and 371+/-181 sec at 24 mo
(p=0.006). CONCLUSIONS: ABMMNCs delivered with the helical needle
transendocardial catheter was safe in this uncontrolled open label study.
Increased EF and ETT support the safety of the procedure and technologies
involved and warrant additional investigation.
PMID- 22082577
TI - Evaluating the safety of very short-term (10 days) dual antiplatelet therapy
after GenousTM bio-engineered R stentTM implantation: the multicentre pilot
Genous trial.
AB - AIMS: Percutaneous coronary stenting is synonymous with dual antiplatelet
therapy, ranging from four weeks to lifelong. However, even short-term (four
weeks) therapy with aspirin and thienopyridines is occasionally contraindicated.
No study has ever appraised very short-term dual antiplatelet therapy after
stenting. We thus aimed to exploit the pro-healing features of the GenousTM Bio
engineered R stentTM (Genous) (OrbusNeich Medical Technologies, Hong Kong,
People's Republic of China) and evaluate the safety of a 10-day dual antiplatelet
regimen after its implantation in up to 50 patients. METHODS AND RESULTS: Forty
nine consecutive patients with de novo lesions located in vessels able to receive
a 2.5 mm Genous stent were included. After stenting, they received lifelong
aspirin plus clopidogrel for 10 days. The primary endpoint of the study was
sudden cardiac death, myocardial infarction or angiographic evidence of stent
thrombosis ascribable to the study stent. Almost 70% of patients effectively
discontinued clopidogrel nine to 11 days after stenting. At three-month clinical
follow-up, no patient had died or reached the primary endpoint (95%; confidence
interval 0-7.3%). Repeat revascularisation occurred instead in three (6.1%[2.1
16.5%]), with target lesion revascularisation in two (4.1%[1.1-13.7%]).
CONCLUSIONS: Even very short-term dual antiplatelet therapy seems safe after
coronary stenting with Genous in de novo coronary artery lesions located in
secondary branch vessels. This preliminary exploratory study gives some support
to planning a large trial to test the hypothesis of short dual antiplatelet
therapy following Genous stent implantation.
PMID- 22082578
TI - Optical coherence tomography for guidance of treatment of in-stent restenosis
with cutting balloons.
AB - AIMS: The treatment of in-stent restenosis (ISR) remains a challenge with poor
immediate results and higher restenosis rate than in de novo lesions. We propose,
based on a consecutive series of patients treated with cutting balloon, a
strategy of aggressive device selection based on the results of serial FD-OCT
assessment. METHODS AND RESULTS: Fourteen consecutive ISR lesions were evaluated
both angiographically and with frequency domain optical coherence tomography (FD
OCT) (C7; LightLab Imaging Inc., Westford, MA, USA). Cutting balloon (CB) was
used in all cases, sized firstly according to conventional angiographic criteria
and then upgraded based on OCT assessment with the aim of creating cuts as close
as possible to the struts. OCT data analysis included measurements of lumen and
stent area, minimal distance lumen-struts and residual plaque neointimal
hyperplasia. With an OCT guided CB strategy, the final minimal lumen cross
sectional area (MLCSA) after the final treatment reached 6.68+/-1.14 mm2, with a
reduction from 69% to 25% of neointimal hyperplasia. In the patients with a two
step strategy using a first CB guided by angiography and a second CB guided by
OCT, the increase in CB diameter was 0.5 mm, achieving an increase in MLCSA area
from 4.9+/-0.42 to 6.35+/-0.92 mm2 with a reduction from 41% to 27% of neointimal
hyperplasia. CONCLUSIONS: The OCT measurements of strut-to-strut distance allow
safe upsizing of the CB with an acceptable lumen increase before deployment of a
new DES. The strategy appears of particular usefulness for a DEB strategy with no
intention to implant new stents.
PMID- 22082579
TI - In vitro and in vivo characterisation of biodegradable polymer-based drug-eluting
stent.
AB - AIMS: The objective of this study was to investigate the structural integrity and
early vascular response of a polylactic acid-coated (i.e., biodegradable polymer)
coronary drug-eluting stent (DES) (BioMatrixTM; Biosensors International,
Singapore) to three currently marketed FDA/CE- mark approved non-erodible polymer
coated DES in a porcine model. METHODS AND RESULTS: BioMatrixTM, XIENCE VTM
(Abbott Vascular, Santa Clara, CA, USA), TAXUS(r) LiberteTM (Boston Scientific,
Natick, MA, USA), and Cypher SELECTTM (Cordis, Johnson & Johnson, Miami, FL, USA)
stents were implanted in pig coronaries for seven days. Polymer integrity was
assessed by scanning electron microscopy (SEM) following tissue digestion. In
vitro expansion of the BioMatrixTM was also performed. SEM analysis of in vivo
stents demonstrated polymer defects on the abluminal surface of all DES including
polymer cracking (BioMatrixTM), bridging (TAXUS LiberteTM), round-small defects
(Cypher SELECTTM), and flaking (XIENCE VTM). Histologically, the myocardium
revealed no evidence of acute myocardial infarction or microscopic scarring,
moreover all intramyocardial vessels were found to be patent with no evidence of
emboli. In vitro results demonstrated greater BioMatrixTM polymer cracking and
lifting. CONCLUSIONS: These results illustrate the presence of polymer defects in
all DES (TAXUS LiberteTM, Cypher SELECTTM, XIENCE VTM, BioMatrixTM) implanted
seven-days in pigs, with absence of myocardial damage in this small number of
samples. Polymer coating irregularity was greater in BioMatrixTM stent expanded
in vitro as compared to in vivo, suggesting simulated benchtop deployment induces
greater damage to the biodegradable polymer coating than in vivo deployment in
healthy porcine coronary arteries.
PMID- 22082580
TI - Percutaneous renal artery intervention versus medical therapy in patients with
renal artery stenosis: a meta-analysis.
AB - AIMS: Patients with renal artery stenosis are treated with percutaneous
intervention, but randomised studies are inconclusive. We aimed to compare renal
percutaneous revascularisation versus medical therapy. METHODS AND RESULTS: A
systematic search for randomised controlled studies yielded three studies
comparing renal angioplasty and two studies comparing renal angioplasty with
stenting versus medical therapy, respectively. Six sets of data were extracted
focusing on systolic and diastolic blood pressure as well as serum creatinine at
follow-up. The five trials included 1,030 patients with renal artery stenosis.
There was a trend toward, but no significant improvement in, systolic blood
pressure (weighted mean difference [WMD] -2.76 mmHg, 95% confidence interval (CI)
-5.71 to 0.18; p=0.07), diastolic blood pressure, (WMD -1.18 mmHg, 95% CI -2.69
to 0.32; p=0.12), or serum creatinine (WMD -7.26 mmol/L, 95% CI -14.99 to 0.47;
p=0.07) in the patients who underwent percutaneous intervention compared to the
medical therapy group. All but one trial showed at least a moderate overall bias
risk. CONCLUSIONS: We did not find a significant improvement in blood pressure or
renal function in patients with renal artery stenosis treated with renal artery
revascularisation compared to medical therapy alone. However, trial quality was a
limitation.
PMID- 22082581
TI - Current insights in endovascular repair of ruptured abdominal aortic aneurysms.
AB - In patients presenting with a ruptured abdominal aortic aneurysms (AAA), a choice
can be made whether or not to offer treatment (selective treatment policy).
Patients with a realistic expectation of survival after surgery, identified by
several available prediction models, can be offered two treatment options:
conventional "open" surgical repair and endovascular "minimally invasive" repair.
Conventional open repair carries a significant morbidity and mortality, due to
the combined effects of general anaesthesia and surgical exposure. Based on
anatomical criteria assessed on a pre-operative CT angiography scan,
approximately half of the ruptured AAA are suitable for endovascular aneurysm
repair (EVAR). The majority of comparative studies show a clear trend towards
lower perioperative mortality for endovascular repair compared to open surgery.
The overall analyses of EVAR compared to open surgery, taking one randomised
controlled trial and 23 available observational studies into account, showed a
38% decrease in 30-day or hospital mortality rate (Peto odds ratio 0.62; 95% CI
0.52 to 0.74). However, these mainly observational studies show considerable
heterogeneity. Furthermore, potential selection bias, selecting patients for
endovascular repair constituting a haemodynamically lower-risk category with a
more favourable EVAR suitable anatomic configuration, makes a proper comparison
unlikely. Therefore, randomised controlled trials, although difficult to perform
in an acute severe condition like ruptured AAA, are needed to identify possible
benefits of EVAR over open surgery in patients with a ruptured AAA.
PMID- 22082582
TI - Cardiovascular risk profile of patients included in stent trials; a pooled
analysis of individual patient data from randomised clinical trials: insights
from 33 prospective stent trials in Europe.
AB - AIMS: Few data document trends in cardiovascular (CV) risk-factors in patients
with or without previous symptomatic CV disease. We assessed the prevalence and
trends in (non) modifiable CV risk-factors, and the use of cardioprotective
therapies in patients enrolled in coronary stent trials. METHODS AND RESULTS:
This analysis included prospective data on 10,253 mainly European adults who were
enrolled in 32 coronary stent studies between 1995 and 2006. Data was collected
at the time of enrolment using a standardised patient clinical record form, and
was analysed by considering three consecutive time periods: 1995-1997 (I), 1998
2002 (II) and 2003-2006 (III) rendering approximately equal numbers per period.
Overall the proportion of active smokers remained constant (Period I to III: 28%,
27%, 21%, p=0.45), however the proportion increased in females below 50 years
(about 2%/ year, R.RR: 1.20, P: 0.05 period III versus I). Prevalent diabetes
increased (16%, 17%, 25%; p=0.029). The prevalence of a body-mass index (BMI)
>=25 kg/m2 was high, but no trend was observed (69%, 68%, 70%; p=0.24). The
proportion of patients with elevated blood pressure (i.e., >=140/90 mmHg, in
diabetes >=130/80 mmHg) remained unchanged (55%, 50.%, 53%; p=0.22), despite an
increase in the number of patients taking anti-hypertensive agents (84%, 89%,
90%; p=0.30). Conversely, the proportion of patients with elevated total
cholesterol (i.e., >=4.5 mmol/L) decreased (80%, 66%, 52%; p=0.002), which was
consistent with the increase in patients taking lipid lowering drugs (32%, 62%,
69%; p=0.083). The portion of patients reaching therapeutic targets for blood
lipids improved, but no improvement was seen in blood pressure control (p=0.29).
CONCLUSIONS: There is an unmet clinical need in primary and secondary CV
prevention in Europe. Patients requiring PCI are an important target population
in whom lifestyle changes and aggressive secondary preventative measures should
be aimed. Ultimately PCI should open the door towards optimising secondary
prevention.
PMID- 22082583
TI - Tools & techniques: Percutaneous intervention of saphenous vein graft lesions.
PMID- 22082584
TI - How should I treat pulmonary arteriovenous malformations in a patient with Rendu
Osler disease presenting with transient ischaemic attack.
AB - BACKGROUND: A 42-year-old man with no cardiovascular risk factors or history was
admitted for evaluation of an episode of transient ischaemic attack (TIA). He had
no cardiovascular risk factors and his physical examination was unremarkable.
INVESTIGATION: Physical examination, electrography, transesophageal
echocardiogram, coronary angiogram. DIAGNOSIS: Intrapulmonary shunting, in the
presence of arteriovenous malformations, possible hereditary haemorrhagic
telangectasia. TREATMENT: Transcatheter occlusion of multiple pulmonary
arteriovenous malformations using an AMPLATZER vascular plug.
PMID- 22082585
TI - Argan oil improves surrogate markers of CVD in humans.
AB - Limited - though increasing - evidence suggests that argan oil might be endowed
with potential healthful properties, mostly in the areas of CVD and prostate
cancer. We sought to comprehensively determine the effects of argan oil
supplementation on the plasma lipid profile and antioxidant status of a group of
healthy Algerian subjects, compared with matched controls. A total of twenty
healthy subjects consumed 15 g/d of argan oil - with toasted bread - for
breakfast, during 4 weeks (intervention group), whereas twenty matched controls
followed their habitual diet, but did not consume argan oil. The study lasted 30
d. At the end of the study, argan oil-supplemented subjects exhibited higher
plasma vitamin E concentrations, lower total and LDL-cholesterol, lower TAG and
improved plasma and cellular antioxidant profile, when compared with controls. In
conclusion, we showed that Algerian argan oil is able to positively modulate some
surrogate markers of CVD, through mechanisms which warrant further investigation.
PMID- 22082586
TI - beta-catenin represses expression of the tumour suppressor 15-prostaglandin
dehydrogenase in the normal intestinal epithelium and colorectal tumour cells.
AB - BACKGROUND: Cyclooxygenase-2 (COX-2) overexpression in colorectal cancer
increases levels of its pro-tumorigenic product prostaglandin E2 (PGE(2)). The
recently identified colorectal tumour suppressor 15-prostaglandin dehydrogenase
(15-PGDH) catalyses prostaglandin turnover and is downregulated at a very early
stage in colorectal tumorigenesis; however, the mechanism responsible remains
unclear. As Wnt/beta-catenin signalling is also deregulated early in colorectal
neoplasia, a study was undertaken to determine whether beta-catenin represses 15
PGDH expression. METHODS: The effect of modulating Wnt/beta-catenin signalling
(using beta-catenin siRNA, mutant TCF4, Wnt3A or GSK3 inhibition) on 15-PGDH
mRNA, protein expression and promoter activity was determined in colorectal cell
lines by immunoblotting, qRT-PCR and reporter assays. The effect of beta-catenin
deletion in vivo was addressed by 15-PGDH immunostaining of beta-catenin(-/lox)
villin-creERT2 mouse tissue. 15-PGDH promoter occupancy was determined using
chromatin immunoprecipitation and PGE(2) levels by ELISA. RESULTS: The study
shows for the first time that beta-catenin knockdown upregulates 15-PGDH in
colorectal adenoma and carcinoma cells without affecting COX-2 protein levels. A
dominant negative mutant form of TCF4 (dnTCF4), unable to bind beta-catenin, also
upregulated 15-PGDH; conversely, increasing beta-catenin activity using Wnt3A or
GSK3 inhibition downregulated 15-PGDH. Importantly, inducible beta-catenin
deletion in vivo also upregulated intestinal epithelial 15-PGDH. 15-PGDH
regulation occurred at the protein, mRNA and promoter activity levels and
chromatin immunoprecipitation indicated beta-catenin/TCF4 binding to the 15-PGDH
promoter. beta-catenin knockdown decreased PGE(2) levels, and this was
significantly rescued by 15-PGDH siRNA. CONCLUSION: These data suggest a novel
role for beta-catenin in promoting colorectal tumorigenesis through very early 15
PGDH suppression leading to increased PGE(2) levels, possibly even before COX-2
upregulation.
PMID- 22082587
TI - Clinical application of a systems model of apoptosis execution for the prediction
of colorectal cancer therapy responses and personalisation of therapy.
AB - OBJECTIVE: Key to the clinical management of colorectal cancer is identifying
tools which aid in assessing patient prognosis and determining more effective and
personalised treatment strategies. We evaluated whether an experimental systems
biology strategy which analyses the susceptibility of cancer cells to undergo
caspase activation can be exploited to predict patient responses to 5
fluorouracil-based chemotherapy and to case-specifically identify potential
alternative targeted treatments to reactivate apoptosis. DESIGN: We quantified
five essential apoptosis-regulating proteins (Pro-Caspases 3 and 9, APAF-1, SMAC
and XIAP) in samples of Stage II (n = 13) and III (n=17) tumour and normal
colonic (n = 8) tissue using absolute quantitative immunoblotting and employed
systems simulations of apoptosis signalling to predict the susceptibility of
tumour cells to execute apoptosis. Additional systems analyses assessed the
efficacy of novel apoptosis-inducing therapeutics such as XIAP antagonists,
proteasome inhibitors and Pro-Caspase-3-activating compounds in restoring
apoptosis execution in apoptosis-incompetent tumours. RESULTS: Comparisons of
caspase activity profiles demonstrated that the likelihood of colorectal tumours
to undergo apoptosis decreases with advancing disease stage. Systems-level
analysis correctly predicted positive or negative outcome in 85% (p=0.004) of
colorectal cancer patients receiving 5-fluorouracil based chemotherapy and
significantly outperformed common uni- and multi-variate statistical approaches.
Modelling of individual patient responses to novel apoptosis-inducing
therapeutics revealed markedly different inter-individual responses. CONCLUSIONS:
Our study represents the first proof-of-concept example demonstrating the
significant clinical potential of systems biology-based approaches for predicting
patient outcome and responsiveness to novel targeted treatment paradigms.
PMID- 22082588
TI - Three cases of myasthenia gravis from one family with variations in clinical
features and serum antibodies.
AB - Myasthenia gravis, an autoimmune disorder affecting neuromuscular transmission,
is mainly sporadic while familial cases are very rare. Usually familial
myasthenia gravis cases have uniform clinical symptoms as well as serum anti
acetylcholine receptor antibodies. Interestingly, in our cases varying clinical
types of myasthenia gravis and seropositive/seronegative anti-acetylcholine
receptor antibodies coexisted in the same family. The mother and her daughter
both had ocular myasthenia gravis, detectable anti-acetylcholine receptor
antibodies and non-detectable anti-muscle-specific kinase antibodies, and good
responses to medications. The son displayed ocular symptoms at the onset, and
then progressed into a generalized form after 1 year. His serum anti
acetylcholine receptor antibodies and anti-muscle-specific kinase antibodies were
both negative. Neither corticosteroids nor thymectomy alleviated his symptoms.
Human leukocyte antigen DQA1*0301 allele sharing by the three patients may be
involved in their genetic susceptibility to myasthenia gravis, and subtle
differences in human leukocyte antigen DQB1 alleles may be associated with their
variations in clinical features and serum antibodies.
PMID- 22082589
TI - Neurotrophic corneal ulcer after retrobulbar injection of chlorpromazine.
AB - An 80-year-old woman with a painful, poorly seeing right eye underwent
retrobulbar chlorpromazine injection for pain control. After the injection, the
patient's symptoms improved; however, a neurotrophic ulcer developed within 2
weeks after the procedure. It is postulated that chlorpromazine may lead to
sensory denervation to the cornea with the subsequent development of neurotrophic
keratopathy, as observed in this case. Awareness of this potential adverse effect
is important for proper patient safety, education, and postinjection management.
PMID- 22082590
TI - Non-traumatic subperiosteal orbital hematoma as a presenting sign of chronic
myelogenous leukemia.
AB - We present a case with a nontraumatic subperiosteal orbital hematoma as a
presenting sign of chronic myelogenous leukemia. A previously healthy 34 year-old
man presented with a 2-day history of right upper eyelid swelling. CT scan
revealed a subperiosteal mass, and routine blood tests revealed a white blood
cell count of 290,000/MUl with normal platelet count. Chronic myelogenous
leukemia was diagnosed based on a subsequent bone marrow biopsy. After orbitotomy
and evacuation of the hematoma, the patient's visual acuity and motility returned
to normal. In conclusion, chronic myelogenous leukemia should be considered in
the differential diagnosis of nontraumatic subperiosteal orbital hematoma.
PMID- 22082591
TI - A unique case of foreign-body associated orbital myositis.
AB - A 50-year-old woman presented with a 2-week history of diplopia and right-sided
orbital pain with eye movement. Examination revealed an edematous, ptotic right
upper eyelid with conjunctival hyperemia, proptosis, and significant limitation
to upward and downward ductions on the right. MRI was significant for a
homogeneously enhancing lesion within the superior rectus muscle. A laboratory
evaluation seeking an infectious, inflammatory, or autoimmune process was
nonrevealing. A diagnosis of orbital myositis was made, and the patient
experienced significant improvement with oral corticosteroids. The patient's
symptoms, however, recurred after attempts at a slow taper of the
corticosteroids. An orbital biopsy of the lesion revealed fibroadipose tissue
containing irregularly shaped yellow-white deposits birefringent under polarized
light, suggestive of silica crystals. The patient denied any history of trauma or
prior surgery. An intraorbital triamcinolone injection to the superior orbit
allowed resolution of symptoms and a successful taper off systemic
corticosteroids.
PMID- 22082592
TI - Idiopathic inflammation of the orbit and contiguous structures.
AB - Idiopathic orbital inflammation is a common cause of acute orbital signs and
symptoms. It is typically confined to the bony orbit; however, it can rarely
involve contiguous structures with or without lytic change raising clinical
suspicion for malignancy. Three cases of idiopathic inflammation of the orbit
that affected adjacent structures are reported here; 2 cases had maxillary sinus
involvement, while a third had extension in the temporal fossa.
PMID- 22082593
TI - Congenital dacryocystocele: comparative findings of dacryoendoscopy and
histopathology in a patient.
AB - A full-term baby girl showed a bluish mass at birth in the right medial canthal
area. She later demonstrated dacryocystitis and cellulitis. Although probing was
performed, it was unsuccessful, and the dacryocystitis and cellulitis worsened.
Computed tomography showed a dilated lacrimal sac, an enlarged nasolacrimal canal
and a nasal cyst. Topical and intravenous systemic antibiotics were then started,
and the symptoms partially subsided. Surgery was performed under general
anesthesia with endoscopic assistance, under which cystic distention was
visualized beneath the inferior turbinate. A dacryoendoscope showed injection and
hemorrhages on the inner wall of the cyst. Marsupialization of the cyst was
performed. Histopathologic examination showed submucosal tissue including fibrous
thickening with infiltration of mononuclear leukocytes. The patient remained
symptom free 6 months thereafter. Dacryoendoscopy for a congenital
dacryocystocele demonstrated injection with hemorrhages, and this inflammatory
sign corresponded to the histopathologic findings.
PMID- 22082594
TI - Isolated squamous cell carcinoma of the orbital apex.
AB - A 43-year-old woman (Case 1), 63-year-old man (Case 2), and a 67-year-old man
(Case 3) presented with diplopia (Cases 1, 2, and 3) and upper-eyelid ptosis
(Cases 1 and 3). The cases had preceding cranial nerve V1 disturbances ranging
from 3 months to 8 years. Each demonstrated complete internal ophthalmoplegia and
external ophthalmoplegia. No case had a cutaneous squamous cell carcinoma (SCC)
on presentation or by history. Imaging revealed isolated orbital apex masses.
Tumor biopsies revealed SCCs of various differentiations. Systemic workup
revealed no extraorbital malignancy. All received radiation therapy, and 2
patients underwent adjuvant chemotherapy. One patient is alive since diagnosis
(49 months). In Case 2, SCC developed in the contralateral orbit, and the patient
died 19 months after diagnosis; and Case 3 died 12 months after diagnosis. These
isolated cases of orbital SCC may have arisen from orbital choristomatous
squamous epithelium, may represent de novo or metastatic tumors, or may be
manifestations of occult perineural spread.
PMID- 22082595
TI - Histopathology of brow fat in thyroid-associated orbitopathy.
AB - PURPOSE: We propose that brow enlargement seen in patients with thyroid
associated orbitopathy (TAO) occurs secondary to the autoimmune process in Graves
disease and that the changes in brow fat are histologically identical to those
seen in orbital fat. METHODS: With informed consent, brow and orbital fat was
obtained from patients with TAO and from patients with no significant past
medical history undergoing orbital decompression, blepharoplasty, and/or brow fat
removal. Histologic examination was performed on the orbital and brow fat.
RESULTS: Fat histologies obtained from patients with TAO and those without known
systemic disease were compared. Specimens from patients with TAO showed an
increase of fibrosis and fibrous septae. Furthermore, certain biologic markers,
including insulin-like growth factor 1 receptor beta (IGF-1Rbeta) and thyroid
stimulating hormone receptor (TSHR), were increased in the fat obtained from
patients with TAO. This was identical in both the brow and the orbital fat. Fat
from patients with no significant past medical history showed normal fat
histology, absence of fibrous septae, and decreased marker expression.
CONCLUSION: Graves disease is a systemic autoimmune disease that affects patients
in a variety of ways. In addition to the orbital changes seen in these patients,
we have observed an increase in the brow fat compartment. We are intrigued to
find that the histologic changes are identical in both the orbital and the brow
fat of patients with TAO. The increased IGF-1Rbeta and TSHR expression in both
the brow and the orbital fat further support their role as putative markers in
patients with Graves disease.
PMID- 22082596
TI - A unique association of granuloma of the lower eyelid to prior trauma.
AB - A 5-year-old girl presented with a mass of the lower eyelid causing lower eyelid
retraction and traction in upgaze. The patient had a recent history of minor
trauma to the area. An orbitotomy was performed with lateral canthotomy and
cantholysis to allow for dissection of the lesion, which was found in the
anterior lamella of the lower eyelid. Pathologic review showed non-necrotizing
granulomatous inflammation with granulation tissue. Reports of granulomas of the
oral mucosa secondary to trauma are common in the dental literature. This is a
unique case in that it is the first report of a subcutaneous granuloma of the
eyelid arising secondary to trauma.
PMID- 22082597
TI - Eyelid lacerations secondary to caesarean section delivery.
AB - A 32-week-gestation female was delivered emergently via caesarian section to a
mother in premature labor due to placental abruption. On delivery, the neonate
was noted to have sustained right upper and lower eyelid full-thickness
lacerations extending from the medial canthal area with associated right upper
and lower full-thickness canalicular lacerations. The neonate underwent same-day
surgical repair of her eyelid lacerations with stenting of her canalicular system
with a Crawford tube. At 2 months postoperatively, the child is opening her right
upper eyelid without any signs of deprivation ambylopia. This case demonstrates
the need to inform expectant mothers of the intrinsic risks of periorbital trauma
during the birthing process that may result in visual loss through either
ambylopia and/or globe injury.
PMID- 22082599
TI - Acute recurrence of orbital cavernous hemangioma in a young man: a case report.
AB - A 29-year-old man presented with a history of prominent left eye of 6 months'
duration. He also reported fluctuating blurred vision since 15 days ago. On
examination, proptosis of 3 mm was noted in the left eye. Computed tomography (CT
scan) of the orbits showed a well-circumscribed, hyperdense, intraconal mass
lesion in left orbit, located in the inferotemporal quadrant. Orbitotomy was
performed, and the tumor was delivered with an intact capsule. The clinical
diagnosis of cavernous hemangioma was confirmed on histopathologic examination.
The presence of intact capsule was confirmed grossly and with histopathology. The
patient returned 6 weeks after surgery with recurrent proptosis. Repeated CT scan
showed a recurrent intraconal mass of similar characteristics as in the primary
presentation. A repeated orbitotomy was done, and the tumor was removed intact.
Histopathology of the recurrent tumor confirmed the diagnosis of cavernous
hemangioma. We report a rare case of orbital cavernous hemangioma with short-term
recurrence (6 weeks).
PMID- 22082598
TI - Blepharospasm in a patient with pontine capillary telangiectasia.
AB - Blepharospasm is rarely due to an identifiable etiology. In the majority of
cases, imaging fails to reveal any structural lesion. Here we describe an
otherwise healthy patient with blepharospasm who was found to have pontine
capillary telangiectasia. We propose a potential association between
blepharospasm and pontine capillary telangiectasia.
PMID- 22082600
TI - External dacryocystorhinostomy outcomes in sarcoidosis patients.
AB - PURPOSE: To determine surgical outcomes after external dacryocystorhinostomy
(DCR) surgery in patients with sarcoidosis. METHODS: We retrospectively reviewed
the charts of all patients with sarcoidosis who underwent external DCR surgery
between January 2001 and January 2010. Clinical data reviewed included patient
demographics, immunosuppressive therapies, biopsy results, use of intraoperative
triamcinolone, and postoperative outcomes and complications. Success was defined
as resolution of epiphora. RESULTS: External DCR was performed on 13 sides of 9
patients with sarcoidosis. Four patients were systemically immunosuppressed with
methotrexate or plaquenil, and 4 patients used inhaled corticosteroids only.
Intraoperative biopsy in 10 cases (9 patients) revealed non-necrotizing
granulomatous inflammation (8 cases) and chronic inflammation (2 cases). Silicone
stents were removed at a mean of 2.9 months. Initial DCR surgery was successful
in 10 of 13 (87%) surgeries with an average follow up of 31 months (range, 14 to
48 months). None of the 5 surgeries (4 patients) with intralesional triamcinolone
injections failed, compared with 3 of 8 (38%) surgeries without intralesional
triamcinolone. Of the 3 failures, 2 early failures (3 months) were successfully
treated with balloon catheter dilation. In the one patient with a late failure
(47 months), subsequent balloon catheter dilation failed. All 3 patients who
experienced failures used inhaled corticosteroids only. In contrast, 4 of the 6
patients with successful surgery were systemically immunosuppressed.
Complications such as punctal erosion, wound necrosis, or cerebrospinal fluid
leak did not occur. CONCLUSIONS: External DCR surgery successfully treats
nasolacrimal duct obstruction associated with sarcoidosis. Intralesional
triamcinolone may improve the success rate without added complications. Long-term
success may be less in patients not receiving systemic immunosuppressive therapy.
PMID- 22082601
TI - Head and neck large cell neuroendocrine carcinoma should be separated from
atypical carcinoid on the basis of different clinical features, overall survival,
and pathogenesis.
AB - According to the 2005 World Health Organization classification of head and neck
tumors, neuroendocrine tumors can be subdivided into typical carcinoid, atypical
carcinoid, and small cell carcinoma. Similar tumors diagnosed as large cell
neuroendocrine carcinomas (LCNECs) in the lung are diagnosed as atypical
carcinoids in the head and neck region. We studied neuroendocrine tumors and
analyzed whether LCNEC should be separated from atypical carcinoid in the head
and neck region. Twenty-three cases of primary head and neck neuroendocrine
tumors were included and subdivided into typical carcinoid, atypical carcinoid,
and small cell carcinoma according to the 2005 World Health Organization
guidelines, and then LCNECs were separated from atypical carcinoids according to
modified criteria using the Ki-67-labeling index and mitotic count. Clinical
information and survival data were obtained, and immunohistochemical studies for
p53 were conducted. The 5-year survival rates for the 2 typical carcinoids, 7
atypical carcinoids, 7 LCNECs, and 7 small cell carcinomas were 100.0%, 83.3%,
21.4%, and 20.8%, respectively (P=0.032). The LCNEC patients were older (mean
age, 61 vs. 41 y; P=0.038), more commonly in advanced stage (stages III and IV
100% vs. 28.6%, P=0.01), with a poorer prognosis (5-year survival 21.4% vs.
83.3%, P=0.03), and more commonly had tumors overexpressing p53 (85.7% vs. 0%,
P=0.005) as compared with atypical carcinoid patients. LCNECs should be separated
from atypical carcinoids as a new entity of neuroendocrine carcinoma in the head
and neck region. The new classification may provide better risk stratification
and useful information for proper treatment.
PMID- 22082602
TI - Composite intestinal adenoma-microcarcinoid.
AB - Composite intestinal adenoma and microcarcinoid is a rare intestinal neoplasm
consisting of intermingled adenomatous and well-differentiated neuroendocrine
components. A few case reports and small series have suggested an indolent
clinical course for this entity. We reported 7 cases of composite intestinal
adenoma-microcarcinoid, including their morphologic features and clinical follow
up, both in biopsy and resection specimens. We identified 7 cases of composite
intestinal adenoma-microcarcinoid from our pathology database. Five were from the
large intestine, and 2 were in the duodenum. Morphologically, all microcarcinoids
exhibited low-grade cytologic atypia and were devoid of significant pleomorphism,
necrosis, and mitotic activity. Among the 7 lesions, 6 had a lobular architecture
with smooth borders and mucosa-confined microcarcinoids; none had neuroendocrine
carcinoma in subsequent resections. However, 1 colonic case had carcinoid cells
penetrating the muscularis mucosae into the submucosa with an infiltrative
border, and the resection showed metastatic high-grade neuroendocrine carcinoma
in 1 lymph node. Composite intestinal adenoma-microcarcinoid is extremely rare.
Although composite mucosa-confined adenoma-microcarcinoid is likely to have an
indolent behavior, submucosal invasion by the neuroendocrine component may be
associated with aggressive behavior.
PMID- 22082603
TI - Low-grade ovarian serous neoplasms (low-grade serous carcinoma and serous
borderline tumor) associated with high-grade serous carcinoma or undifferentiated
carcinoma: report of a series of cases of an unusual phenomenon.
AB - Recent literature has suggested a dual pathway of ovarian serous carcinogenesis,
with most serous carcinomas falling into 1 of 2 categories, low grade and high
grade. These are considered to represent 2 distinct tumor types with a different
underlying pathogenesis and associated with different molecular events, clinical
behavior, and prognosis. Low-grade serous carcinoma is thought to evolve in many
instances from a preexisting serous borderline tumor and cystadenoma. Given the
distinct pathogenesis and different molecular events, it is expected that the
coexistence of low-grade and high-grade serous carcinoma would be rare or may
even be mutually exclusive; moreover, there are very few reported examples in the
literature. We report a series of 7 cases in patients aged 34 to 78 years in whom
ovarian low-grade serous carcinoma (4 cases, including 3 with associated serous
borderline tumor), serous borderline tumor (2 cases), or seromucinous borderline
tumor (1 case) was associated with a high-grade carcinoma, either high-grade
serous (5 cases) or undifferentiated carcinoma (2 cases). The low-grade and high
grade components coexisted in the original neoplasm in 4 cases, and the high
grade component was present only in recurrence in 3 cases. In both instances, the
undifferentiated carcinoma had a focal rhabdoid morphology, and alternative
primary sites of tumor were excluded by a combination of clinical, radiologic,
and pathologic parameters. We illustrate that low-grade serous carcinoma or
serous borderline tumor ("low-grade" serous neoplasms) may rarely be associated
with, and probably give rise to, a high-grade carcinoma, either high-grade serous
or undifferentiated carcinoma. The coexistence of a low-grade serous neoplasm and
undifferentiated carcinoma can be regarded as a form of dedifferentiation. p53
was diffusely positive in 4 of 6 high-grade carcinomas, which raises the
possibility that secondary Tp53 mutation is important in high-grade
transformation in some of these cases. WT1 was negative in the 2 undifferentiated
carcinomas, and PAX8 was positive in 1, suggesting that the latter marker is more
useful in helping to confirm a Mullerian origin in dedifferentiated low-grade
serous neoplasms.
PMID- 22082604
TI - Vascular invasion in infiltrating ductal adenocarcinoma of the pancreas can mimic
pancreatic intraepithelial neoplasia: a histopathologic study of 209 cases.
AB - Although vascular invasion is a well-established indicator of poor prognosis for
patients with infiltrating ductal adenocarcinoma of the pancreas (PDAC), the
histopathologic characteristics of vascular invasion are not well described.
Hematoxylin and eosin-stained slides from 209 surgically resected infiltrating
PDACs were systematically evaluated for the presence or absence of microscopic
vascular invasion. For the cases with vascular invasion, we further categorized
the histologic pattern of invasion into conventional and pancreatic
intraepithelial neoplasia-like (PanIN-like). In addition, several histopathologic
factors in the surrounding blood vessels, including lymphocytic infiltration and
luminal fibrosis, were carefully assessed. Data were compared with
clinicopathologic variables, including patient survival. Microscopic vascular
invasion was observed in 136 of the 209 PDACs (65.1%). Vascular invasion
mimicking pancreatic intraepithelial neoplasia (PanIN-like invasion) was observed
in 94 of the 136 cases (69.1%) with vascular invasion. Microscopic vascular
invasion was associated with increased tumor size (P=0.04), higher pT
classification (P=0.003), lymph node metastasis (P<0.0001), and perineural
invasion (P=0.005). Vascular invasion was inversely correlated with neo-adjuvant
therapy (P<0.0001). Examination of adjacent blood vessels revealed that
peritumoral blood vessels with intimal lymphocytes (P=0.002), intimal (P=0.007)
and medial (P=0.001) fibrosis, and cancer cells in vascular wall (P<0.0001) were
all highly associated with the intraluminal vascular invasion. In univariate
analysis, patients whose cancers had microscopic vascular invasion (median
survival, 15.3 mo) had a significantly worse survival than did patients with
carcinomas without vascular invasion (25.1 mo; P=0.01, log-rank test).
Microscopic vascular invasion is a poor prognostic indicator and can
histologically mimic PanIN.
PMID- 22082605
TI - Diffuse cystic lung disease of unexplained cause with coexistent small airway
disease: a possible causal relationship?
AB - Diffuse "true" cystic lung disease is rare, and the specificity of high
resolution computed tomography (HRCT) has reduced the need for biopsy. We present
5 patients with similar clinical and HRCT features of cystic lung disease that
were sufficiently atypical to warrant surgical lung biopsies that showed
coexistent small airway diseases (SAD). There were 4 female patients and 1 male
patient with a mean age of 43 years. All were never smokers. Four had symptoms
such as dyspnea (1), cough (2), or both (1). HRCTs showed variably sized thin
walled cystic airspaces without zonal distribution, some with prominent vessels
in their walls. One case was unilateral. Surgical lung biopsy showed cystic
change comprising localized loss of alveolar density with coexistent SADs
[chronic bronchiolitis (n=2), eosinophilic bronchiolitis, probable asthma (n=1),
and diffuse idiopathic neuroendocrine cell hyperplasia (n=2)]. Two patients who
were tested for Birt-Hogg-Dube-related gene mutations proved negative, and all
lacked other features of Birt-Hogg-Dube. We hypothesize that chronic damage to
small airways may lead to cystic degeneration in a minority of patients.
Precedents in relation to Sjogren syndrome and hypersensitivity pneumonitis raise
the possibility of a causal association between pathologies in these 2 anatomic
compartments, although HRCT data in relation to common SADs indicate that this
would be a rare phenomenon. The driving factor remains unknown.
PMID- 22082606
TI - Epithelioid malignant peripheral nerve sheath tumor arising in a schwannoma, in a
patient with "neuroblastoma-like" schwannomatosis and a novel germline SMARCB1
mutation.
AB - Epithelioid malignant peripheral nerve sheath tumors arising in preexisting
schwannomas are extremely rare. We report an unusual example occurring in a
patient with multiple schwannomas (schwannomatosis), all but 1 of which showed
"neuroblastoma-like" histology. By immunohistochemistry, both the epithelioid
malignant peripheral nerve sheath tumor and the schwannomas showed a complete
loss of the Smarcb1 protein. Subsequent genetic evaluation revealed the presence
of a novel germline mutation in the SMARCB1/INI1 gene in the patient and in 3 of
her children, 2 of whom were diagnosed with atypical teratoid/rhabdoid tumors of
the brain.
PMID- 22082608
TI - The prevalence of pancreatic acinar differentiation in gastric adenocarcinoma:
report of a case and immunohistochemical study of 111 additional cases.
AB - Although pancreatic acinar metaplasia in the gastric mucosa is well recognized in
chronic gastritis, gastric carcinoma with acinar differentiation is very rare. We
encountered a case of gastric adenocarcinoma with prominent histologic and
immunohistochemical features of pancreatic acinar differentiation in the absence
of identifiable heterotopic pancreatic tissue. Distinct glandular and diffuse
patterns of adenocarcinoma were also present, and there was focal mucin
production. The tumor strongly expressed pancreatic exocrine enzymes trypsin and
chymotrypsin, and focal neuroendocrine staining was also present. To investigate
the prevalence of acinar differentiation in histologically typical gastric
cancers, we performed immunohistochemical staining for trypsin and chymotrypsin
on a tissue microarray containing 111 conventional gastric adenocarcinomas (60
intestinal, 28 mixed, 22 diffuse type, and 1 undifferentiated). No obvious
morphologic evidence of acinar differentiation was identified in any of the 111
cases. Although some cases showed equivocal staining for at least 1 pancreatic
exocrine enzyme on the initial tissue microarray sections, repeat
immunohistochemical staining on representative whole-tissue sections failed to
reproduce positive staining. Thus, acinar differentiation is rare in gastric
adenocarcinomas, other than in histologically unusual cases such as the one we
report, and in others from the literature, which are reviewed.
PMID- 22082607
TI - Atypical teratoid/rhabdoid tumor arising in a ganglioglioma: genetic
characterization.
AB - Atypical teratoid/rhabdoid tumor (AT/RT) is an uncommon, aggressive, embryonal
pediatric brain tumor that almost always develops de novo and does not arise
within, or evolve from, other brain tumor types. Although rhabdoid morphology can
be seen in other tumor types, these are phenotypic mimics and, with only rare
exceptions, do not manifest the INI-1 deletion at the 22q11.2 locus or the INI-1
nuclear protein loss that characterizes AT/RT. A few reports of AT/RT evolving
from a low-grade ganglioglioma (GG) or pleomorphic xanthoastrocytoma have
appeared. We present the case of a 6-year-old boy with a large right parietal
mass whose tumor at initial presentation manifested 2 distinct components: GG
with neoplastic neurons, low MIB-1 rate, and retention of INI-1 nuclear
immunostaining (immunohistochemical) and, second, AT/RT with rhabdoid cells,
polyphenotypic immunohistochemical expression, high MIB-1 rate, and loss of INI-1
nuclear expression. The 2 areas were separately assessed by fluorescence in situ
hybridization for monosomy 22; monosomy 22 was identified in the AT/RT component
but not in the GG areas. BRAF V600E mutation, a genetic abnormality seen in a
significant percentage of pleomorphic xanthoastrocytomas and GGs, was assessed by
polymerase chain reaction and identified in the tumor. Dual abnormalities of INI
1 loss and V600E BRAF mutation were identified in a cell culture line established
from cerebrospinal fluid metastatic tumor cells. This cell line exhibited
extremely rapid growth rate and rhabdoid morphology. Results suggest a postclonal
modification in a subset of GG cells, with acquisition of INI-1 loss, confirming
by biological methods what was previously suspected in rare reports of AT/RT
evolving from other tumor types.
PMID- 22082609
TI - Plasma gelsolin: a general prognostic marker of health.
AB - Plasma gelsolin (pGSN) is the only component of two member extracellular actin
scavenger system capable of severing circulating actin microfilaments. Here, we
put forth the hypothesis that pGSN level is an important and sensitive general
prognostic biomarker for health and disease conditions in humans, urging the need
for gelsolin replacement therapy to improve patient's health status. Clinical
significance and the therapeutic importance of this protein have been well
illustrated in animal models as well as in patients with various diseases.
Patients with decreased pGSN levels were observed to have higher mortality rate,
longer hospital stay and longer ventilation time in intensive care units as
compared to healthy controls. pGSN levels were found to be increasing in patients
recovering from diseases; furthermore, it has been confirmed that repletion with
exogenous recombinant pGSN increases the survival rate in animal models of
different acute insults. To be used as a biomarker of health, however,
establishing the accurate levels of gelsolin in human plasma and understanding
its variance with age, race, gender and health status is a prerequisite. Upon
establishing the accurate levels of pGSN in healthy individuals, this biomarker
would predict the prognosis/disease progression in multiple health conditions and
help in prioritizing the ones in-need of gelsolin replacement therapy.
PMID- 22082610
TI - [Renal toxicity of contrast agents in oncologic patients].
AB - Cancer patients frequently undergo imaging examinations to diagnosis but also to
evaluate their responses to treatment. These patients are also at high risk of
kidney impairment before considering the possible nephrotoxicity of their
chemotherapy. In this context, it is overriding to know contrast agents induced
risks and what are the good practices to avoid them. Renal function evaluation
takes a major part in there. The X-ray radiology using iodinated contrast agent
(ICA) exposes patients to acute renal failure. This induced nephropathy is
prevented by adequate hydration prior to injection when the glomerular filtration
rate (GFR) of the patient is less than 60 ml/min/1.73 m(2). For hardly
nephrotoxic, gadolinium-based contrast agents (GBCA) injected in magnetic
resonance imaging, were considered for a long as a safe alternative to ICA. Yet
they may induce nephrogenic systemic fibrosis (NSF). The recommendations of
European and U.S. drugs safety agencies have recently converged defining groups
at risk of NSF based on the level of patients GFR and the type of GBCA used. How
to assess the risk-benefit balance of the cancer patient for whom you should
choose an informative, effective and safe imaging examination?
PMID- 22082611
TI - Facing Europe: visualizing spontaneous in-group projection.
AB - Individuals perceive their own group to be more typical of a shared superordinate
identity than other groups are. This in-group projection process has been
demonstrated with both self-report and indirect measures. The two studies
reported here extend this research to the visual level, specifically, within the
domain of faces. Using an innovative reverse-correlation approach, we found that
German and Portuguese participants' visual representations of European faces
resembled the appearance typical for their own national identity. This effect was
found even among participants who explicitly denied that one nation was more
typical of Europe than the other (Study 1). Moreover, Study 2 provides
experimental evidence that in-group projection is restricted to inclusive
superordinate groups, as the effect was not observed for visual representations
of a category ("Australian") that did not include participants' in-group.
Implications for the in-group projection model, as well as for the applicability
of reverse-correlation paradigms, are discussed.
PMID- 22082612
TI - Body configuration modulates the usage of local cues to direction in biological
motion perception.
AB - The presence of information in a visual display does not guarantee its use by the
visual system. Studies of inversion effects in both face recognition and
biological-motion perception have shown that the same information may be used by
observers when it is presented in an upright display but not used when the
display is inverted. In our study, we tested the inversion effect in scrambled
biological-motion displays to investigate mechanisms that validate information
contained in the local motion of a point-light walker. Using novel biological
motion stimuli that contained no configural cues to the direction in which a
walker was facing, we found that manipulating the relative vertical location of
the walker's feet significantly affected observers' performance on a direction
discrimination task. Our data demonstrate that, by themselves, local cues can
almost unambiguously indicate the facing direction of the agent in biological
motion stimuli. Additionally, we document a noteworthy interaction between local
and global information and offer a new explanation for the effect of local
inversion in biological-motion perception.
PMID- 22082613
TI - Effects of soya oligosaccharides and soya oligopeptides on lipid metabolism in
hyperlipidaemic rats.
AB - In the present study, we aimed to examine the effects of soya oligosaccharides
(SOS) and soya oligopeptides (SOP) on blood lipid levels, release of vasoactive
substances, antioxidant activity and faecal bile acid (FBA) excretion in rats fed
a high-fat diet (HFD). Male Sprague-Dawley rats were evenly divided into five
groups according to diets as follows: regular diet (control), HFD, HFD enriched
with 2 % of SOS (SOS), HFD enriched with 3 % of SOP (SOP) and HFD enriched with 2
% SOS and 3 % SOP (SOSP). The results showed that SOS and SOP significantly
reduced plasma total cholesterol, LDL-cholesterol and TAG, whereas HDL
cholesterol concentration was significantly increased. Furthermore, SOS and SOP
reduced plasma apoB, apoE and the apoB:apoAI ratio, whereas apoAI was
significantly increased. Moreover, SOS and SOP also reduced plasma thromboxane A2
(TXA2) and the TXA2:prostacyclin (PGI2) ratio, whereas plasma PGI2 and nitric
oxide were significantly increased. In addition, SOS and SOP significantly
reduced serum and liver malondialdehyde concentrations and increased FBA
excretion. However, we did not observe obvious influences of SOS and SOP on
superoxide dismutase activities in the liver of HFD-fed rats. The combination of
2 % SOS and 3 % SOP showed a more marked effect than SOS or SOP alone in
improving the lipid profile, release of vasoactive substances and increasing FBA
excretion (P < 0.05). In summary, SOS and SOP might help prevent atherosclerosis
through improving abnormal blood lipid levels, regulating vasoactive substances
and protecting against oxidative stress.
PMID- 22082614
TI - Does 18F-FDG uptake by respiratory muscles on PET/CT correlate with chronic
obstructive pulmonary disease?
AB - (18)F-FDG muscle uptake is evident in some benign physiologic processes as seen
in the respiratory muscles of patients with chronic obstructive pulmonary disease
(COPD) and labored breathing. The purpose of this study was to correlate the
presence of COPD with the patterns of (18)F-FDG uptake by muscles as demonstrated
by PET/CT scans. METHODS: (18)F-FDG PET/CT scans and pulmonary function tests
(PFTs) were performed for 63 consecutive patients with newly diagnosed or highly
suspected lung cancer. Presurgical pulmonary function tests by way of spirometry
examinations were performed as the standard of care. Patients were grouped into
those with normal spirometry findings and those with mild to very severe COPD.
The guidelines of the Global Initiative for Chronic Obstructive Lung Disease were
used for staging COPD and obstructive impairment. A nuclear medicine physician
and 2 residents who did not know the COPD status retrospectively reviewed PET/CT
scans and kept a log for cases of increased (18)F-FDG uptake in the respiratory
muscles (diaphragm, intercostal muscles, and scalene muscles). The chi(2) test
and Cramer V were used to evaluate the correlation between increased (18)F-FDG
uptake by muscles and the presence of COPD. RESULTS: Sixty-three patients
underwent both (18)F-FDG PET/CT and PFT within 1 mo of each another without
interval therapy. Of the 63 patients, 26 (41%) had no spirometric obstruction and
37 (59%) had spirometric obstruction. Of these, 30 (81%) had a previously
established diagnosis of COPD (1 mild, 26 moderate, 9 severe, and 1 very severe).
Excessive (18)F-FDG uptake was seen in at least 2 of the 3 muscles (diaphragm and
intercostal muscles) in 27 (73%) of the 37 patients with COPD and obstructive
ventilatory impairment. The severity of COPD and obstruction showed a significant
correlation with the presence of abnormal (18)F-FDG uptake by any of the 3 muscle
types, particularly when 2 groups of muscles were involved (Cramer V = 0.60,
chi(2) P < 0.001). CONCLUSION: Our study revealed a strong correlation between
increased (18)F-FDG uptake by respiratory muscles and the presence of COPD.
PMID- 22082616
TI - Co-morbidities associated with tuberculosis in an autopsy case series.
AB - A retrospective review of cases of tuberculosis examined by our Autopsy Division
was undertaken to determine the most common associated co-morbidities. Forty-six
cases of tuberculosis were examined between 2000 and 2010. The subpopulation of
decedents studied included a large number of incarcerated individuals and showed
an age distribution from 30 to 78 years. Thirty-five of the cases reviewed showed
one or more co-morbidities, primarily viral hepatitis C, cancer, human
immunodeficiency virus (HIV), cardiovascular diseases, and chronic obstructive
pulmonary diseases. Almost 30% of the cases showed evidence of extrapulmonary
disease, including one case of tuberculous meningitis. In approximately 20% of
the cases, rapid progressive or disseminated tuberculosis was identified as
immediate cause of death. Tuberculosis was the immediate cause of death in 20% of
the hepatitis C-infected group and in 14% of the decedents diagnosed with cancer,
compared to over 45% of the HIV-infected decedents. This observation is
consistent with previous studies reporting an enhanced mortality from
tuberculosis in HIV-infected subjects. Interestingly, rapid progressive
tuberculosis was identified as immediate cause of death in two cases with no
associated co-morbidities; both decedents were young immunocompetent adults,
suggesting an increasing susceptibility of this subpopulation to tuberculosis
exposure and to severe disease.
PMID- 22082615
TI - The DeltafbpA attenuated candidate vaccine from Mycobacterium tuberculosis, H37Rv
primes for a stronger T-bet dependent Th1 immunity in mice.
AB - The DeltafbpA candidate vaccine derived from Mycobacterium tuberculosis (H37Rv)
(Mtb) protects mice better than BCG against tuberculosis, and we investigated the
hypothesis that DeltafbpA may induce a stronger Th1 immunity. Since T-bet
transcription factor regulates Th1 immunity, mice infected with DeltafbpA, BCG
vaccine and related mycobacteria were analyzed for T-bet positive T cells. Mouse
dendritic cells (DCs) or macrophages were also pulsed with excretory-secreted
antigens (ES; Antigen-85B, ESAT-6 and CFP10) and cocultured with T cells from
immunized or naive mice and tested for in vitro induction of T-bet and IFN-gamma.
In both models, DeltafbpA mutant induced a stronger response of T-bet(+)CD4 T
cells, which correlated with an increased expansion of IFN-gamma(+)CD4 T cells in
vivo and in vitro. When DCs pulsed with ES antigens were allowed to stimulate T
cells, ESAT-6 and CFP-10 failed to induce a recall expansion of T-bet(+)IFN
gamma(+)CD4 T cells from BCG vaccinated mice. Thus, deletion of RD1 in BCG seems
to reduce its ability to induce T-bet and induce stronger Th1 immunity. Finally,
mice were vaccinated with DeltafbpA and BCG and challenged with virulent Mtb for
evaluation of protection and T cell expansion. DeltafbpA vaccinated mice showed a
rapid and stronger expansion of CD4(+)CXCR3(+) IFN-gamma(+) T cells in the lungs
of Mtb challenged mice, compared to those which had BCG vaccine. DeltafbpA
immunized mice also showed a better decline of the Mtb bacterial counts of the
lungs. Mtb derived DeltafbpA candidate vaccine therefore induces qualitatively
better T-bet dependent Th1 immunity than BCG vaccine.
PMID- 22082617
TI - On the pathogenesis of post primary tuberculosis: the role of bronchial
obstruction in the pathogenesis of cavities.
AB - Mycobacterium tuberculosis produces two distinct types of disease: primary and
post primary tuberculosis. We recently reported that post primary tuberculosis
begins in immunocompetent adults as an endogenous lipid pneumonia that abruptly
undergoes necrosis to produce cavities. Obstruction of bronchi by endobronchial
tuberculosis is a consistent finding in developing post primary tuberculosis.
This paper reports a case of obstructive pneumonia caused by cancer that
underwent rapid necrosis to produce a cavity that was similar to those produce by
tuberculosis. Analysis of this case with the relevant literature supports the
hypothesis that bronchial obstruction is an essential contributor to the
development of post primary tuberculosis and that it may help explain the
localized suppression of host defense mechanisms in adult pulmonary tuberculosis.
PMID- 22082618
TI - Anti-inflammatory effect with high intensity focused ultrasound-mediated
pulsatile delivery of diclofenac.
AB - A pulsatile ultrasound controlled drug release platform with diclofenac-loaded
alginate microcapsules (fabricated with a home-made electrostatic device, 75%
embedded rate) was established to evaluate anti-inflammation efficiency. Better
anti-inflammation efficiency was found using the ultrasound system and the drug
delivery can be adjusted based on the programmed ultrasound cycle. The results of
the in vitro study show that an approx. 30% higher drug release rate was obtained
by using continuous ultrasound irradiation (9-Watt, 180 min), and an approx. 16%
higher drug release rate was obtained by using pulsatile ultrasound irradiation
(9-Watt, 60 min) compared to without ultrasound activation. For the in vivo
study, the anti-inflammatory test with carrageenan-induced rat's paw edema shows
that diclofenac-loaded microcapsules followed by ultrasound irradiation (9-Watt,
60 min) contributed to an 81% inhibition rate, which was significantly higher
than diclofenac only (approx. 60% higher). In addition, because of their heat
conducting properties, gold nanoparticles encapsulated in the diclofenac-loaded
microcapsules resulted in better drug release efficiency, but tended to depress
the anti-inflammation effect.
PMID- 22082619
TI - The role of microstructured and interconnected pore channels in a collagen-based
nerve guide on axonal regeneration in peripheral nerves.
AB - The use of bioengineered nerve guides as alternatives for autologous nerve
transplantation (ANT) is a promising strategy for the repair of peripheral nerve
defects. In the present investigation, we present a collagen-based micro
structured nerve guide (Perimaix) for the repair of 2 cm rat sciatic nerve
defects. Perimaix is an open-porous biodegradable nerve guide containing
continuous, longitudinally orientated channels for orientated nerve growth. The
effects of these nerve guides on axon regeneration by six weeks after
implantation have been compared with those of ANT. Investigation of the
regenerated sciatic nerve indicated that Perimaix strongly supported directed
axon regeneration. When seeded with cultivated rat Schwann cells (SC), the
Perimaix nerve guide was found to be almost as supportive of axon regeneration as
ANT. The use of SC from transgenic green-fluorescent-protein (GFP) rats allowed
us to detect the viability of donor SC at 1 week and 6 weeks after
transplantation. The GFP-positive SC were aligned in a columnar fashion within
the longitudinally orientated micro-channels. This cellular arrangement was not
only observed prior to implantation, but also at one week and 6 weeks after
implantation. It may be concluded that Perimaix nerve guides hold great promise
for the repair of peripheral nerve defects.
PMID- 22082621
TI - Hydrophobic polycationic coatings that inhibit biofilms and support bone healing
during infection.
AB - Adhesion of microorganisms to biomaterials with subsequent formation of biofilms
on such foreign bodies as orthopedic trauma hardware is a critical factor in
implant-associated infections; once a biofilm has been established, its
microorganisms become recalcitrant to the host's immune surveillance and markedly
resistant to drugs. We have previously reported that painting with the
hydrophobic polycation N,N-dodecyl,methyl-PEI (PEI = polyethylenimine) renders
solid surfaces bactericidal in vitro. Herein we observe that N,N-dodecyl,methyl
PEI-derivatized titanium and stainless steel surfaces resist biofilm formation by
Staphylococcus aureus compared to the untreated ones. Using imaging, microbiology
, histopathology-, and scanning electron microscopy (SEM) experiments in a
clinically relevant large-animal (sheep) trauma model, we subsequently
demonstrate in vivo that orthopedic fracture hardware painted with N,N
dodecyl,methyl-PEI not only prevents implant colonization with biofilm but also
promotes bone healing. Functionalizing orthopedic hardware with hydrophobic
polycations thus holds promise in supporting bone healing in the presence of
infection in veterinary and human orthopedic patients.
PMID- 22082620
TI - Effect of oligonucleotide mediated immobilization of bone morphogenic proteins on
titanium surfaces.
AB - The aim of the present study was to test the hypothesis that oligonucleotides can
be used for anchorage and slow release of osteogenic growth factors such as BMP
to enhance the osteogenic activity of a titanium implant surface. Strands of 60
mer non-coding DNA oligonucleotides (ODN) were bound to an acid-etched
sandblasted cp Ti-surface by nanomechanical fixation using anodic polarization.
RhBMP2 that had been conjugated to complementary strands of DNA oligonucleotides
was then bound to the anchored ODN strands by hybridization. Binding studies
showed a higher binding capacity compared to non-conjugated BMP2. Long term
release experiments demonstrated a continuous release from all surfaces that was
lowest for the conjugated BMP2 bound to the ODN anchor strands. Proliferation of
human bone marrow stroma cells (hBMSC) was significantly increased on these
surfaces. Immunofluorescence showed that hBMSC grown on surfaces coated with
specifically bound conjugated BMP2 developed significantly higher numbers of
focal adhesion points and exhibited significantly higher levels of transcription
of osteogenic markers alkaline phosphatase and osteopontin at early intervals.
Biological activity (induction of alkaline phosphatase) of conjugated BMP2
released from the surface was comparable to released non-conjugated BMP2,
indicating that conjugation did not negatively affect the activity of the
released molecules. In conclusion the present study has shown that BMP2
conjugated to ODN strands and hybridized to complementary ODN strands anchored to
a titanium surface has led to slow growth factor release and can enhance the
osteogenic activity of the titanium surface.
PMID- 22082622
TI - L-eye to me: the combined role of Need for Cognition and facial trustworthiness
in mimetic desires.
AB - Recent studies showed that stimuli are evaluated more favourably when they are
perceived to capture others' attention, an effect coined "mimetic desire". The
aim of the present research was to examine the combined role of Need for
Cognition and target's facial trustworthiness in this effect. Participants saw
movie excerpts of trustworthy and untrustworthy 3D faces (Oosterhof & Todorov,
2008) turning their attention towards one art painting and away from another art
painting. Results showed that looked-at paintings were preferred to looked-away
paintings when associated with a trustworthy face. However, the reversed finding
was observed for paintings associated with untrustworthy faces. The latter
interaction was in turn moderated by participant's Need for Cognition, with a
larger reversal effect for participants scoring lower on the NFC scale.
Theoretical implications of these findings are discussed.
PMID- 22082623
TI - Sharing transnational experiences of psychogeriatric units dedicated to the
management of behavioral and psychological symptoms in demented patients.
PMID- 22082624
TI - Occipitocervical fusion.
AB - The evolution of occipitocervical fixation and new rigid universal screw-rod
construct technology has allowed secure anchorage at each level of the
occipitocervical junction with the elimination of rigid external orthoses. Rigid
occipitocervical instrumentation constructs have achieved higher fusion rates and
less postoperative immobilization-associated complications. Outcomes have
improved compared with former nonrigid instrumentation techniques; however, with
advances of rigid occipitocervical stabilization capability have come new
challenges, risks, and operative techniques. A thorough understanding of the
relevant cervical bony and soft tissue anatomy is essential for safe implantation
and a successful outcome.
PMID- 22082625
TI - C1-C2 posterior fixation: indications, technique, and results.
AB - The atlantoaxial motion segment, which is responsible for half of the rotational
motion in the cervical spine, is a complex junction of the first (C1) and second
(C2) cervical vertebrae. Destabilization of this joint is multifactorial and can
lead to pathologic motion with neurologic sequelae. Posterior spinal fixation of
the C1-C2 articulation in the presence of instability has been well described in
the literature. Early reports of interspinous/interlaminar wiring have evolved
into modern-day pedicle screw/translaminar constructs, with excellent results.
The success of a C1-C2 posterior fusion rests on appropriate indications and
surgical techniques.
PMID- 22082626
TI - Subaxial cervical and cervicothoracic fixation techniques--indications,
techniques, and outcomes.
AB - The subaxial and cervicothoracic junction is a relatively difficult area for
spine surgeons to navigate. Because of different transitional stressors at the
junction of the smaller cervical vertebrae and the larger thoracic segments,
proximity to neurovascular structures, and complex anatomy, extreme care and
precision must be assumed during fixation in these regions. Lateral mass screws,
pedicle screws, and translaminar screws are currently the standard of choice in
the subaxial cervical and upper thoracic spine. This article addresses the
relevant surgical anatomy, pitfalls, and pearls associated with each of these
fixation techniques.
PMID- 22082627
TI - Posterior surgery for cervical myelopathy: indications, techniques, and outcomes.
AB - This article details the controversies associated with the different treatment
strategies in patients with cervical spondylotic myelopathy. The natural history,
incidence, pathophysiology, physical examination, and imaging findings are
discussed followed by the indications, techniques, and outcomes of patients
treated with posterior cervical decompression via decompressive laminectomy,
laminectomy and instrumented fusion, and laminoplasty.
PMID- 22082628
TI - Anterior approach for complex cervical spondylotic myelopathy.
AB - Cervical spondylotic myelopathy (CSM) is a slowly progressive disease resulting
from age-related degenerative changes in the spine that can lead to spinal cord
dysfunction and significant functional disability. The degenerative changes and
abnormal motion lead to vertebral body subluxation, osteophyte formation,
ligamentum flavum hypertrophy, and spinal canal narrowing. Repetitive movement
during normal cervical motion may result in microtrauma to the spinal cord.
Disease extent and location dictate the choice of surgical approach. Anterior
spinal decompression and instrumented fusion is successful in preventing CSM
progression and has been shown to result in functional improvement in most
patients.
PMID- 22082629
TI - Management of adjacent segment disease after cervical spinal fusion.
AB - Adjacent segment disease (ASD) was described after long-term follow-up of
patients treated with cervical fusion. The term describes new-onset radiculopathy
or myelopathy referable to a motion segment adjacent to previous arthrodesis and
often attributed to alterations in the biomechanical environment after fusion.
Evidence suggests that ASD affects between 2% and 3% of patients per year.
Although prevention of ASD was one major impetus behind the development of motion
sparing surgery, the literature does not yet clearly distinguish a difference in
the rate of ASD between fusion and disk replacement. Surgical techniques during
index surgery may reduce the rate of ASD.
PMID- 22082630
TI - Esophageal and vertebral artery injuries during complex cervical spine surgery-
avoidance and management.
AB - Vertebral artery and esophageal injuries are rare but feared complications of
cervical spine surgery. Appropriate understanding of treatment algorithms for
prompt intervention in the event of a vertebral artery injury minimizes the risk
of exsanguination and/or profound neurologic consequences. Esophageal injuries
are often more subtle, and although intraoperative injuries can sometimes be
diagnosed at the time of surgery, they frequently do not present until the week
after surgery. They can additionally be seen as a late complication of
instrumentation usage and/or failure. Expedient diagnosis and management of these
injuries minimize their impact and allow for optimal treatment outcome.
PMID- 22082631
TI - Diagnosis and management of metastatic cervical spine tumors.
AB - The bony spine is overall the third most common site for distant cancer
metastasis, with the cervical spine involved in approximately 8 to 20% of
metastatic spine disease cases. Diagnosis and management of metastatic spine
disease requires disease categorization into the compartment involved, pathology
of the lesion, and anatomic region involved. The diagnostic approach should
commence with careful physical examination, and the workup should include plain
radiographs, magnetic resonance imaging, computed tomography, and bone
scintigraphy. Management ranges from palliative nonoperative to aggressive
surgical treatment. Optimal management requires proper patient selection to
individualize the most appropriate treatment modality.
PMID- 22082632
TI - Management of cervical spine trauma: can a prognostic classification of injury
determine clinical outcomes?
AB - Although the management of cervical spine trauma is relatively complex, multiple
classification systems have attempted to simplify it through the use of
descriptive terms. Most historical classification systems failed to yield
sufficient prognostic information to guide clinical treatment until the Subaxial
Injury Classification system was developed. This classification system takes into
account the injury morphology, discoligamentous complex, and the most important
prognostic factor, neurologic status. The early results of this classification
system have been encouraging and it is expected to improve spinal trauma care
through enhancing more uniform nomenclature and communication for surgeons
managing spinal trauma.
PMID- 22082633
TI - Cervical total disk replacement: complications and avoidance.
AB - Anterior cervical diskectomy and fusion for neurologic deficits, radicular arm
pain, and neck pain refractory to conservative management are successful. The
approach and procedure were first described in 1955 and have become the anterior
cervical standard of care for orthopedic surgeons and neurosurgeons. Advancements
and innovations have addressed disease processes of the cervical spine with
motion-preserving technology. The possibility of obtaining anterior cervical
decompression while maintaining adjacent segment motion led to the advent of
cervical total disk replacement. The Food and Drug Administration has approved 3
cervical devices with other investigational device exemption trials under way.
PMID- 22082634
TI - Surgical management of complex spinal deformity.
AB - Surgical treatment of complex cervical spinal deformities can be challenging
operations. Patients often present with debilitating conditions ranging from
generalized decreased quality of life to quadriplegia. Surgical treatment can be
divided into anterior, posterior, or combined procedures. A thorough
understanding of anatomy, pathology, and treatment options is necessary. This
article focuses on the surgical treatment of complex spinal deformity.
PMID- 22082635
TI - Revision cervical spine surgery.
AB - Principles of revision cervical spine surgery are based on adequate decompression
of neural elements and mechanical stability via appropriate selection of surgical
approach and constructs producing long-term stability with arthrodesis. When
planning revision surgery, the surgeon must consider the cause of the underlying
problem (eg, biological, mechanical), the potential for complications, and
clinical outcomes that can reasonably be expected. This information should be
clearly explained to the patient during the informed consent process. This
article provides the spine care provider with an understanding of how to
appropriately evaluate and manage the most common cervical conditions that
require revision cervical spine surgery.
PMID- 22082636
TI - Minimally invasive approaches to the cervical spine.
AB - Minimally invasive approaches and operative techniques are becoming increasingly
popular for the treatment of cervical spine disorders. Minimally invasive spine
surgery attempts to decrease iatrogenic muscle injury, decrease pain, and speed
postoperative recovery with the use of smaller incisions and specialized
instruments. This article explains in detail minimally invasive approaches to the
posterior spine, the techniques for posterior cervical foraminotomy and
arthrodesis via lateral mass screw placement, and anterior cervical foraminotomy.
Complications are also discussed. Additionally, illustrated cases are presented
detailing the use of minimally invasive surgical techniques.
PMID- 22082637
TI - Treatment of complex cervical spine disorders. Preface.
PMID- 22082638
TI - Antiangiogenic therapy of breast cancer: how did we get here?: the road not
taken.
PMID- 22082639
TI - Heterogeneity of breast cancer: etiology and clinical relevance.
AB - Cancer progression is a dynamic process of clonal adaptation to changing
microenvironments. From the single founder cell until the clinical detection of
tumours, there are consecutive clonal expansions and a constant acquisition of
genetic and epigenetic alterations, events that contribute to the generation of
intra-tumor heterogeneity. In breast cancer intra-tumor heterogeneity can arise
from the differentiation of stem-like cells along with the clonal selection
during tumor progression, and represents a major challenge for the design of
effective therapies. To infer breast cancer progression and its response to
particular treatments it is important to understand the origins of the inter- and
intra-tumor heterogeneity and the forces that control tumor evolution. Insights
about the evolution of breast cancer heterogeneity would contribute to the design
of most effective therapeutic strategies to target the tumors at single clon
level. This review is intended to give a general overview about the origins of
breast cancer heterogeneity and its impact in the clinical management of the
disease.
PMID- 22082640
TI - Treatment for ALK-mutated non-small-cell lung cancer: a new miracle in the
research race.
AB - The discovery of anaplastic lymphoma kinase (ALK) rearrangements in a subset of
patients with nonsmall- cell lung cancer (NSCLC) and its potential blockage by
specific inhibitors such as crizotinib has been one of the latest advances in the
treatment of this disease. In this article, we will review the most important
clinical aspects of ALK alterations in NSCLC patients and the pending questions
to answer: the most effective means of diagnosing ALK-rearranged NSCLC, and
efficacy, toxicity profile and potential mechanisms of resistance to crizotinib.
PMID- 22082641
TI - Non-FDG PET in oncology.
AB - Although FDG PET and PET/CT have a well established role in the management of
most cancer patients, they also have some limitations. For the last 15-20 years a
growing number of non-FDG PET tracers have been used in research. Many of these
new PET tracers are being investigated for the non-invasive assessment of
different biologic functions in cancer cells. This unique information should
contribute to making personalized cancer therapy a reality. This paper reviews
the non-FDG PET tracers that are most likely to find clinical application, some
of them in the near future.
PMID- 22082642
TI - A new era in the treatment of melanoma: from biology to clinical practice.
AB - Melanoma is the deadliest cutaneous malignancy and its incidence continues to
grow. Until 2011, the treatment options for metastatic melanoma were scarce and
without any overall survival benefit. The emergence of new targeted therapies for
BRAF mutant melanoma (vemurafenib) and immunotherapy (ipilimumab) has changed the
standard of care for this disease. The objective of the present review is to
summarise the biological background of the new therapeutic approaches in
melanoma, focusing on apoptosis resistance, immune modulation and angiogenesis,
and the direct translation into clinical practice.
PMID- 22082643
TI - Ameloblastoma, a rare benign odontogenic tumour: an interesting tumour review
targeting the role of radiation therapy.
AB - Ameloblastoma is known as a benign, slow-growing, rare, odontogenic neoplasm. The
solid/multicystic, the unicystic with a fibrous connective-tissue capsule and the
peripheral ameloblastoma represent the three well distinguished clinical types of
ameloblastoma. Surgical resection with an attempt to achieve adequate free
margins constitutes a well documented and accepted treatment modality.
Controversies exist, however, with regard to the extent of operative
intervention. Patients with inadequate or positive surgical margins or
unresectable lesions can be treated with radiation or combined radiation and
chemotherapy. The authors present a review of this sparse disease focusing on the
special role and efficacy of radiation therapy in its management.
PMID- 22082644
TI - Recommendations and expert opinion on the adjuvant treatment of colon cancer in
Spain.
AB - Adjuvant chemotherapy is the current standard in the management of patients with
localised colon cancer (CC) following curative resection. The use of oxaliplatin
plus 5 fluorouracil/leucovorin (FOLFOX) or oxaliplatin plus capecitabine-based
(XELOX) regimens, both approved in Europe as adjuvant treatment for stage III CC,
has improved prognosis in this stage, but questions on their usefulness in high
risk stage II or elderly CC patients and on the role of some prognostic
biomarkers are still pending. In April 2010, a consensus meeting on adjuvant CC
treatment based on a revision of the most recent literature was held in Spain.
The panel considered the use of adjuvant chemotherapy for high-risk stage II CC
patients to be justified. Additionally, the more convenient administration of
oral fluoropyrimidines vs. IV continuous infusion 5-FU would make XELOX a more
suitable alternative for the patient. A more cautious decision should be taken
when prescribing oxaliplatin treatment in patients aged >=70.
PMID- 22082645
TI - VEGF-A-independent and angiogenesis-dependent tumour growth in patients with
metastatic breast cancer.
AB - BACKGROUND The mechanisms of tumour progression during anti-VEGF-A treatment are
poorly understood. PATIENTS AND MATERIALS Two patients with metastatic breast
cancer are described who developed new metastases while receiving anti-VEGF-A
treatment. Angiogenic parameters were determined by CD34/Ki67 double staining,
Chalkley counts (CC) and endothelial cell proliferation fractions (ECP). RT-PCR
Taqman low-density arrays with a gene panel of 94 angiogenesis-related genes were
performed on both metastases and compared to 10 unselected primary breast
tumours. RESULTS Both lesions showed a high and intermediate CC of, respectively,
7.5+/-0.62 and 4.8+/-0.2. Both lesions had elevated ECP values of 14% and 8%. Low
density array screening showed that VEGFR1 mRNA was overexpressed in both samples
(z-score=7.85 and 7.81) compared to control samples (out of range [min-max]).
Additional analysis confirmed this finding at the protein level by
immunohistochemistry. CONCLUSION These observations suggest that tumour
progression under continuous anti-VEGF-A continues to be angiogenesis dependent.
Further exploration is needed to identify the mechanisms of anti-VEGF-A
resistance in order to design combination-targeted therapies.
PMID- 22082646
TI - Fine-needle aspiration cytopathology in the diagnosis of Wilms tumor.
AB - BACKGROUND/PURPOSE The International Society of Paediatric Oncology (SIOP)
protocol for Wilms tumor (WT) includes preoperative chemotherapy as the initial
approach. However, an inadequate treatment may be performed in case of
histological misdiagnosis. We evaluated the impact of fine-needle aspiration
cytopathology (FNAC) in the diagnosis of unilateral WT in our group of patients.
MATERIALS AND METHODS A retrospective descriptive study of patients with
diagnosis of unilateral WT who underwent FNAC prior to neoadjuvant chemotherapy
between 1993 and 2009 was performed. We reviewed the cytological diagnosis
obtained by ultrasound-guided FNAC and the histological correlation with the
resected specimens. RESULTS FNAC was performed in 66 patients with unilateral WT.
In 57 of the 58 patients with positive FNAC for WT, the final diagnosis was
correct (PPV: 98.2%). In 8 cases with negative FNAC for WT, the final diagnosis
was positive for WT in 3 patients (NPV: 62.5%). Sensitivity was 95% and
specificity was 83.3%. No complications were found associated with the procedure,
except for an episode of haematuria, which resolved spontaneously. CONCLUSIONS
FNAC is a useful and feasible technique in children that may confirm the
suspected diagnosis of unilateral WT, avoiding inadequate preoperative
chemotherapy in case of a non-Wilms renal tumor.
PMID- 22082647
TI - Epidermal growth factor receptor (EGFR) mutations in a series of non-small-cell
lung cancer (NSCLC) patients and response rate to EGFR-specific tyrosine kinase
inhibitors (TKIs).
AB - INTRODUCTION Epidermal growth factor receptor (EGFR) mutation related to tyrosine
kinase inhibitors' (TKIs) responsiveness in non-small cell lung cancer (NSCLC)
has become an important issue for therapeutic decision-making in NSCLC patients.
MATERIAL AND METHODS Sixty-nine Caucasian NSCLC patients were screened for
mutations in the tyrosine kinase (TK) domain of EGFR by direct sequencing from
December 2005 to September 2010. RESULTS Activating mutations in the EGFR TK
domain were found in 8 of 69 (11.6%) (7 deletions in exon 19 and one L858R
mutation in exon 21). Seven of those mutations were found in adenocarcinoma and
one mutation in bronchiolo-alveolar carcinoma; five of them in females (one
smoker) and three of them in males (one smoker). All patients carrying activating
mutations in the TK domain of EGFR were treated with TKIs. Ten patients not
carrying an activating mutation in EGFR, who progressed after chemotherapy, were
also treated with compassionate use of EGFR-specific TKIs (gefitinib or
erlotinib). An objective response (partial response) was observed in all patients
carrying an activating mutation in EGFR that received TKIs. Median overall
survival for these patients has not been reached, however mean survival has been
estimated at 39.5 months (95% CI, 22-57). CONCLUSIONS As previously reported,
EGFR TK mutational analysis was a predictive test for response to targeted
therapy with EGFR TKIs. The early identification of these patients consistently
attains disease response and clearly improves outcomes.
PMID- 22082648
TI - Uncertainties and CTV to PTV margins quantitative assessment using cone-beam CT
technique in clinical application for prostate, and head and neck irradiation
tumours.
AB - PURPOSE To evaluate the magnitude of systematic and random errors from a subset
of 100 prostate and 26 head and neck (H&N) cancer patients treated with
conventional conformal radiotherapy and using image-guided radiotherapy (IGRT).
After treatment, the uncertainties involved and the CTV to PTV margin were
evaluated. MATERIAL AND METHODS An Elekta Synergy(r) linear accelerator was used,
taking advantage of 3D on-board computed tomography. IGRT with no-action level
(NAL) protocol was applied, reporting the 3D translation and rotation
corrections. A statistical study was performed to analyse systematic, random and
interobserver uncertainties, and, finally, to obtain the CTV to PTV margins.
RESULTS The H&N patients' uncertainties found were smaller than those of prostate
patients. The CTV to PTV margins assessed, following the guidelines found in the
literature, in the three dimensions of space (right-left, superior-inferior,
anterior-posterior) were (5.3, 3.5, 3.2) mm for H&N and (7.3, 7.0, 9.0) mm for
prostate cancer treatments. CONCLUSIONS It was found that assessing all the
involved uncertainties within radiation treatments was very revealing; their
quality improves using IGRT techniques and performing extensive data analysis.
PMID- 22082649
TI - Immunohistochemical expression of excision repair cross-complementing 1 (ERCC1)
in non-small-cell lung cancer: implications for patient outcome.
AB - INTRODUCTION The identification of novel prognostic markers may help to better
assess survival probability in different subgroups of patients with non-small
cell lung cancer (NSCLC) and to tailor treatment according to the molecular
profile of the tumour. AIM We sought to examine whether the immunohistochemical
expression of excision repair cross-complementing 1 (ERCC1), an essential
component of the nucleotide excision repair pathway, may predict prognosis in
NSCLC. MATERIAL AND METHOD Formalin-fixed paraffin-embedded tumour samples from
44 Turkish patients with NSCLC treated by adjuvant platinum-based chemotherapy
were included in the study. Immunohistochemical expression levels of ERCC1 were
correlated with clinical outcomes by Kaplan-Meier curves and multivariable Cox
proportional hazards regression analysis. RESULTS A total of 29 patients had
ERCC1-negative tumours while 15 had ERCC1-positive tumours. The mean progression-
free survival (PFS) was significantly lower in patients with ERCC1-positive
tumours (13+/-2 months) than in those with ERCC1-negative tumours (27+/-5 months,
p<0.05). Similarly, the mean overall survival (OS) was significantly lower in
patients with ERCC1-positive tumours (20+/-3 months) than in those with ERCC1
negative tumours (33+/-5 months, p<0.05). After allowance for potential
confounders, Cox regression analysis demonstrated that ERCC1 expression was
significantly associated with both PFS and OS (both p<0.05). CONCLUSION This
study provides support for the prognostic value of ERCC1 immunohistochemical
expression in patients with NSCLC treated by adjuvant platinum-based
chemotherapy. If independently confirmed, these findings may improve prognostic
stratification in this group of patients.
PMID- 22082650
TI - Sexual maturation and aging of adult male mealybug (Hemiptera: Pseudococcidae).
AB - The physiological age of adult males of seven mealybug species was measured in
relation to the elongation of the male pair of the waxy caudal filaments. These
filaments begin to emerge after eclosion and reached their maximum length from
29.4-46.6 h. The studied males were divided into three age groups, expressed as
percentages of the total waxy caudal filaments length. Attraction to a sex
pheromone source was significantly higher in the oldest male group (maximum
filaments growth) compared with youngest one. Only the oldest male group
copulated successfully; few of the younger males tested displayed 'courtship'
behavior towards conspecific virgin females. The calculated duration of the
sexually active phase of the adult male life cycle varied among species ranging
from 34.4 to 46.6 h. There were marked variations in the strength of attraction
to a pheromone source according to time of day. There was a continuous decrease
in sexual activity from morning to evening. Our findings reveal clear maturation
periods for adult males of the seven studied species. The long immature phase of
the adult male mealybug is probably also related to several physiological
processes that are needed to complete male maturation. The most noticeable change
is the elongation of the waxy caudal filaments. However, mating may be performed
at any time ambient conditions are suitable. Whereas male mealybug flight towards
a pheromone source is restricted to a few hours, the male may continue mating
activity throughout its sexually active period.
PMID- 22082651
TI - At-line prediction of fatty acid profile in chicken breast using near infrared
reflectance spectroscopy.
AB - Near infrared reflectance (NIR) spectroscopy was evaluated as at-line technique
to predict FA profile of chicken breast directly at the slaughterhouse. Intact
breasts of 214 chickens were scanned by applying a fiber optic probe to the
Pectoralis superficialis muscle. Meat samples were analyzed by gas chromatography
as the reference method for the determination of FA composition. Calibration
equations were developed considering NIR wavelengths between 1100 and 1830nm, and
modified partial least square (MPLS) was chosen as the chemometrics method to
perform the calibrations. Different mathematical pre-treatments were tested and
the best calibration equation for each FA was retained. Near infrared reflectance
spectroscopy did not result in satisfactory predictions of FA. The best
predictions were observed for oleic acid (C18:1n-9), monounsaturated FA (MUFA),
and polyunsaturated FA (PUFA), and for a few minor FA. Results suggest that for
chicken breast muscle, a lean meat, it was not possible to predict FA using NIR
spectroscopy as an at-line technique in the abattoir.
PMID- 22082652
TI - Association of ABCC10 polymorphisms with nevirapine plasma concentrations in the
German Competence Network for HIV/AIDS.
AB - BACKGROUND: Nevirapine exhibits marked interpatient variability in
pharmacokinetics. CYP2B6 activity and demographic factors are important, but
there are a few data on drug transporters for nevirapine. ABCC10 (MRP7) is an
efflux transporter highly expressed in liver, intestine, and peripheral blood
cells. We investigated whether nevirapine is a substrate for ABCC10 and whether
genetic variants contribute to variability in nevirapine plasma concentrations.
METHODS: Accumulation of nevirapine was assessed in parental and ABCC10
transfected HEK293 cells (HEK293-ABCC10), CD4+ cells, and monocyte-derived
macrophages from healthy volunteers (n=8). ABCC10 small interfering RNA studies
were also conducted. DNA samples with paired plasma drug concentrations were
available from 163 HIV-infected patients receiving nevirapine-containing
regimens. Sequenom was used to screen 14 single nucleotide polymorphisms in
ABCC10. Linear regression models were used to identify factors independently
associated with nevirapine plasma concentration. RESULTS: Nevirapine accumulation
was 37% lower in HEK293-ABCC10 cells compared with parental HEK293 cells
(P=0.02), and this was reversed by cepharanthine (an ABCC10 inhibitor). After
small interfering RNA knockdown of ABCC10, there was an increase in accumulation
of nevirapine in CD4 cells (32%; P=0.03) and monocyte-derived macrophages (38%;
P=0.04). Marked differences in the haplotype structure of ABCC10 was observed
between White and Black patients in the cohort. In Whites, an exonic single
nucleotide polymorphism (rs2125739) was significantly associated with nevirapine
plasma concentration (P=0.02). Multivariate regression analysis identified
carriage of a composite genotype of ABCC10 rs2125739 and CYP2B6 516G>T (P=0.001),
time post dose (P=0.01) and BMI (P=0.07) to be independently associated with
nevirapine plasma concentrations. CONCLUSION: Nevirapine is a substrate for
ABCC10 and genetic variants influence its plasma concentrations. ABCC10 in
lymphocytes and macrophages may also contribute to variability in intracellular
permeation of nevirapine. Further studies are required to determine the clinical
implications of these findings.
PMID- 22082654
TI - The GNB3 C825T polymorphism influences platelet aggregation in human whole blood.
AB - BACKGROUND: Platelet aggregation varies among individuals; and genetic factors
may alter platelet activation through G-protein-coupled receptors, thus
influencing results of point-of-care platelet aggregometry in whole blood. We
tested the hypothesis that the C825T polymorphism of the gene GNB3 encoding the G
protein beta-3 subunit and the platelet GPIIIa Pl(A1)/(A2) polymorphism of the
glycoprotein IIIa influence platelet aggregation. METHODS: Evoked [thrombin
receptor activating peptide (TRAP), ADP, TXA(2) agonist U46619, epinephrine, and
collagen] platelet aggregation in whole blood was measured using impedance
aggregometry (Multiplate) in 143 healthy individuals (age: 40.2 years +/-11.7
SD). Genotypes were determined using pyrosequencing and restriction analysis.
Data were analyzed by linear one-way analysis of variance and Student's t-test,
linear and multiple regression, and the chi(2)-test, as appropriate. RESULTS:
Homozygous carriers of the GNB3 825C-allele showed significantly (P<=0.022)
increased maximum aggregation for EC(75) dosages compared with CT and TT
genotypes [e.g. ADP: CC 150+/-36 vs. TT 126+/-33 aggregation unit (AU); thrombin
receptor activating peptide: CC 175+/-46 vs. TT 150+/-38 AU; U46619: CC 164+/-33
vs. 149+/-32 AU; epinephrine: CC 66+/-41 vs. TT 48+/-34 AU]. In contrast,
genotypes of glycoprotein IIb/IIIa PI(A)-polymorphism had no effect. Regression
analysis revealed the GNB3 C825T polymorphism as an independent factor for
enhanced platelet aggregation, besides factors such as female sex and blood cell
values. CONCLUSION: In human whole blood, the GNB3 825CC genotype is associated
with enhanced platelet aggregation.
PMID- 22082653
TI - Effects of vitamin A and D receptor gene polymorphisms/haplotypes on immune
responses to measles vaccine.
AB - OBJECTIVE: Vitamins A and D, and their receptors, are important regulators of the
immune system, including vaccine immune response. We assessed the association
between polymorphisms in the vitamin A receptors [retinoic acid receptor alpha,
retinoic acid receptor beta (RARB), and retinoic acid receptor gamma] and vitamin
D receptor (VDR)/retinoid X receptor alpha (RXRA) genes and interindividual
variations in immune responses after two doses of measles vaccine in 745
children. METHODS: Using a tag single nucleotide polymorphism (SNP) approach, we
genotyped 745 healthy children for the 391 polymorphisms in vitamin A receptor
and VDR genes. RESULTS: The RARB haplotype (rs6800566/rs6550976/rs9834818) was
significantly associated with variations in both measles antibody (global,
P=0.013) and cytokine secretion levels, such as interleukin (IL)-10 (global,
P=0.006), interferon (IFN)-alpha (global, P=0.008), and tumor necrosis factor
alpha (global, P=0.039) in the Caucasian subgroup. Specifically, the RARB
haplotype, AAC, was associated with higher (t-statistic: 3.27, P=0.001) measles
antibody levels. At the other end of the spectrum, haplotype GG for
rs6550978/rs6777544 was associated with lower antibody levels (t-statistic:
2.32, P=0.020) in the Caucasian subgroup. In a sensitivity analysis, the RARB
haplotype, CTGGGCAA, remained marginally significant (P<0.02) when the single SNP
rs12630816 was included in the model for IL-10 secretion levels. A significant
association was found between lower measles-specific IFN-gamma Enzyme-linked
immunosorbent spot responses and haplotypes
rs11102986/rs11103473/rs11103482/rs10776909/rs12004589/rs35780541/rs2266677/rs875
44 (global, P=0.004) and rs6537944/rs3118571 (global, P<0.001) in the RXRA gene
for Caucasians. We also found associations between multiple RARB, VDR, and RXRA
SNPs/haplotypes and measles-specific IL-2, IL-6, IL-10, IFN-alpha, IFN-gamma,
IFNlambda-1, and TNF-alpha cytokine secretions. CONCLUSION: Our results suggest
that specific allelic variations and haplotypes in the vitamin A receptor and VDR
genes may influence adaptive immune responses to measles vaccine.
PMID- 22082655
TI - Transplacental transfer of melamine.
AB - OBJECTIVE: To characterize transplacental transfer of melamine and related
mechanisms as well as toxicity using human placental perfusion and cultured
cells. METHODS: Transfer and toxicity were analyzed in 4-h perfusions with 10 MUM
or 1 mM melamine, or 10 MUM melamine with 10 nM cyanuric acid (CYA). Efflux
transporters were studied in accumulation assay and toxicity in BeWo cells by MTT
assay. RESULTS: Of added melamine 34-45% was transferred to fetal circulation and
CYA made no difference. Histology, hCG production, and PLAP activity indicated
functionality of placental tissue with no grave toxicity. Highest concentration
of melamine used (2 mM) with CYA and long treatment time decreased viability of
BeWo cells. Inhibitors of ABCB1, ABCG2, ABCC2 did not affect the accumulation of
melamine in cells. CONCLUSION: Melamine goes through human term placenta with no
contribution of efflux transporters. Toxicity of melamine is low in placental
tissue and BeWo cells.
PMID- 22082656
TI - Regarding "Therapeutic plasma exchange in amitriptyline intoxication: case report
and review of the literature".
PMID- 22082657
TI - Leukopheresis for profound hyperleukocytosis.
AB - BACKGROUND: Leukocytoreduction by leukopheresis is recommended for
hyperleukocytosis with leukemia, especially when accompanied by neurologic or
respiratory symptoms. A single 1-1.5 blood volume leukocytopheresis is expected
to reduce the leukocyte count by 30-60%. CASE REPORT: A 35 year old man presented
with a 2 month history of hearing and visual loss and was found to have chronic
myelogenous leukemia (CML) in chronic phase with 700,000 WBC/MUL. The blast count
was 1%. The spleen was markedly enlarged. He was referred for leukocytopheresis
and treatment of his leukemia. Despite the extremely high white cell count, he
had a hematocrit of 24, platelets of 161,000/MUL, and normal lung, liver and
renal function. RESULTS: A 15 L leukocytapheresis was performed with a Cobe
Spectra with the removal of 1.86 L of bloody fluid with a hematocrit of 10% and a
leukocrit of 28%. The blood white cell count decreased from 599,000 to
498,500/MUL, and the patient felt better. He was started on hydroxyuria and 8
days later his WBC was 7000/MUL. DISCUSSION: The patient's oncologists were
initially concerned by the only 17% reduction in his white cell count. However,
calculations based on his hematocrit and leukocrit in blood and waste bag
suggested that he was 140% blood volume expanded by his leukemia and that the
cytopheresis removed about half of the extra volume along with an additional 250
mL of leukocytes, about 35% of his pre-treatment WBC volume. The case and its
implications for similar patients are discussed.
PMID- 22082658
TI - Rescue of synaptic failure and alleviation of learning and memory impairments in
a trisomic mouse model of down syndrome.
AB - Down syndrome (DS) is caused by the triplication of ~240 protein-coding genes on
chromosome 21 and is the most prevalent form of developmental disability. This
condition results in abnormalities in many organ systems, as well as in
intellectual retardation. Many previous efforts to understand brain dysfunction
in DS have indicated that cognitive deficits are coincident with reduced synaptic
plasticity and decreased neuronal proliferation. One therapeutic strategy for
optimizing the microenvironment for neuronal proliferation and synaptic
plasticity in the brain is the use of neurotrophins to restore the homeostasis of
the brain biochemical milieu. Here, we show that peripheral administration of
Peptide 6, an 11-mer corresponding to an active region of ciliary neurotrophic
factor, amino acid residues 146 to 156, can inhibit learning and memory
impairments in Ts65Dn mice, a trisomic mouse model of DS. Long-term treatment
with Peptide 6 enhanced the pool of neural progenitor cells in the hippocampus
and increased levels of synaptic proteins crucial for synaptic plasticity. These
findings suggest a therapeutic potential of Peptide 6 in promoting functional
neural integration into networks, thereby strengthening biologic substrates of
memory processing.
PMID- 22082659
TI - Promoter variants determine gamma-aminobutyric acid homeostasis-related gene
transcription in human epileptic hippocampi.
AB - The functional consequences of single nucleotide polymorphisms associated with
episodic brain disorders such as epilepsy and depression are unclear. Allelic
associations with generalized epilepsies have been reported for single nucleotide
polymorphisms rs1883415 (ALDH5A1; succinic semialdehyde dehydrogenase) and
rs4906902 (GABRB3; GABAA beta3), both of which are present in the 5' regulatory
region of genes involved in gamma-aminobutyric acid (GABA) homeostasis. To
address their allelic association with episodic brain disorders and allele
specific impact on the transcriptional regulation of these genes in human brain
tissue, DNA and messenger RNA (mRNA) isolated from hippocampi were obtained at
epilepsy surgery of 146 pharmacoresistant mesial temporal lobe epilepsy (mTLE)
patients and from 651 healthy controls. We found that the C allele of rs1883415
is accumulated to a greater extentin mTLE versus controls. By real-time
quantitative reverse transcription-polymerase chain reaction analyses,
individuals homozygous for the C allele showed higher ALDH5A1 mRNA expression.
The rs4906902 G allele of the GABRB3 gene was overrepresented in mTLE patients
with depression; individuals homozygous for the G allele showed reduced GABRB3
mRNA expression. Bioinformatic analyses suggest that rs1883415 and rs4906902
alter the DNA binding affinity of the transcription factors Egr-3 in ALDH5A1 and
MEF-2 in GABRB3 promoters, respectively. Using in vitro luciferase transfection
assays, we observed that, in both cases, the transcription factors regulate gene
expression depending on the allelic variant in the same direction as in the human
hippocampi. Our data suggest that distinct promoter variants may sensitize
individuals for differential, potentially stimulus-induced alterations of GABA
homeostasis-relevant gene expression. This might contribute to the episodic onset
of symptoms and point to new targets for pharmacotherapies.
PMID- 22082661
TI - Clusterin overexpression and its possible protective role in transthyretin
deposition in familial amyloidotic polyneuropathy.
AB - Extracellular chaperones such as clusterin may contribute to extracellular
protein homeostasis in neurodegenerative disorders. It has been implicated in
fibrillogenesis and extracellular misfolded protein clearance in Alzheimer
disease. We investigated the localization and potential functions of clusterin in
familial amyloidotic polyneuropathy (FAP), a neurodegenerative disorder
characterized by extracellular deposition of mutant transthyretin (TTR) in the
peripheral nervous system. We observed increased clusterin expression in human
FAP nerves, in the dorsal root ganglia of mutant TTR transgenic mice with TTR
deposition, and in human neuroblastoma cells incubated with oligomeric TTR.
Clusterin colocalized with extracellular TTR aggregates in human FAP nerves and
was detected in aggregates extracted from FAP tissues. Abolition of clusterin
expression using small interfering RNA in a HEK293 cell line that secretes wild
type TTR resulted in increased TTR aggregation in the medium, thus suggesting a
protective role for clusterin in inhibition of TTR aggregation. However, under
the conditions examined, toxicity of oligomeric TTR in neuroblastoma cells was
unaltered by clusterin gene silencing. These data suggest that clusterin can
influence TTR aggregation but may not modulate TTR aggregate toxicity or play a
role in TTR clearance in FAP. Further studies will elucidate neuroprotective
mechanisms conferred by clusterin in FAP and other neurodegenerative diseases.
PMID- 22082660
TI - Morphologic and functional correlates of synaptic pathology in the cathepsin D
knockout mouse model of congenital neuronal ceroid lipofuscinosis.
AB - Mutations in the cathepsin D (CTSD) gene cause an aggressive neurodegenerative
disease (congenital neuronal ceroid lipofuscinosis) that leads to early death.
Recent evidence suggests that presynaptic abnormalities play a major role in the
pathogenesis of CTSD deficiencies. To identify the early events that lead to
synaptic alterations, we investigated synaptic ultrastructure and function in
presymptomatic CTSD knockout (Ctsd) mice. Electron microscopy revealed that there
were significantly greater numbers of readily releasable synaptic vesicles
present in Ctsd mice than in wild-type control mice as early as postnatal day 16.
The size of this synaptic vesicle pool continued to increase with disease
progression in the hippocampus and thalamus of the Ctsd mice. Electrophysiology
revealed a markedly decreased frequency of miniature excitatory postsynaptic
currents (mEPSCs) with no effect on paired-pulse modulation of the evoked
excitatory post synaptic potentials in the hippocampus of Ctsd mice. The reduced
mEPSCs frequency was observed before the appearance of epilepsy or any
morphologic sign of synaptic degeneration. Taken together, these data indicate
that CTSD is required for normal synaptic function and that a failure in synaptic
trafficking or recycling may bean early and important pathologic mechanism in
Ctsd mice; these presynaptic abnormalities may initiate synaptic degeneration in
advance of subsequent neuronal loss.
PMID- 22082662
TI - Angiogenesis is regulated by angiopoietins during experimental autoimmune
encephalomyelitis and is indirectly related to vascular permeability.
AB - The regulation of angiogenesis was studied over the course of the animal model of
multiple sclerosis, acute experimental autoimmune encephalomyelitis (EAE) in mice
using immunohistochemistry. During EAE, angiogenesis peaked 21 days after disease
induction, with significant increases in gray matter and adjacent to the
leptomeninges. Angiogenesis correlated with clinical and pathologic scores.
Spinal cord expression of angiopoietin 1 (Ang-1) by neurons and glia was reduced
at Day 14, but expression by inflammatory cells restored earlier levels at Day
21. Angiopoietin 2 expression increased markedly at Day 21 and was mostly
associated with inflammatory cells. Levels of the angiopoietin receptor Tie-2
were reduced at Day 14, but recovered by day D21. Double labeling demonstrated
Ang-1 expression on infiltrating CD3-positive T cells; Ang-2 was expressed by
monocytes/macrophages. During EAE, the expression of vascular endothelial growth
factor peaked at Day 14 and began to decrease by Day 21. Double labeling showed
expression of Tie-2 and vascular endothelial growth factor receptor 2 but not Ang
2 in blood vessels at Day 21. Vascular permeability increased early in EAE, but
was reduced by Day 21. Although individual values did not correlate with
angiogenesis, the volume of permeable tissue showed a weak positive correlation
with angiogenesis. These temporal changes in angiogenic factors suggest an
integral role during EAE-related angiogenesis.
PMID- 22082663
TI - Developmental aspects of the intracerebral microvasculature and perivascular
spaces: insights into brain response to late-life diseases.
AB - The development of the microvasculature of the human cerebral cortex offers
insight into the response of the cerebral cortex to later-life brain injury. We
describe the 3 basic and distinct components of the developmental anatomy of the
cerebral cortical microvascular system. The first compartment is meningeal and,
therefore, extracerebral. In addition to the major venous sinuses, arachnoidal
arteries, and veins, the pial anastomotic capillary plexus that covers the
surface of the developing and adult cerebral cortex represents the source of
thepenetrating vessels that become the second component, the intracerebral
extrinsic microvascular compartment. During embryogenesis, sprouting vascular
elements from pial capillaries pierce the brain's external glial limiting
membrane and penetrate the cortex. These vessels, which eventually differentiate
into arterioles and venules, are separated from the cortical tissue by the
extravascular Virchow-Robin compartment (V-RC) formed between the internal
vascular and the external glial basal laminae. The V-RC remains open to the
meningeal interstitial spaces and outside the blood-brain barrier (BBB) and acts
asa prelymphatic drainage system for removal of substances that cannot be
transported into the blood or catabolized intracellularly. The third element is
the dense intracerebralintrinsic microvascular compartment. Intracerebral
capillary vessels sprout from the perforating vessels, penetrate through the
Virchow-Robin glial membrane, and enter the neuropil. Intracerebral capillaries
lack smooth muscle and a V-RC and consist only of endothelial cells separated
from the intracerebral space by a basal lamina. Their role as the physiological
BBB is the exchange of oxygen, glucose, and small molecules. This developmental
perspective highlights 3 principles: (a) the V-RC is intimately related to the
cortical penetrating arterioles and venules and represents an inefficient
protolymphatic system that lacks the anatomic and physiological constituents
found in lymphatic beds elsewhere in the body; (b)the anatomic contiguity of the
V-RC and the penetrating vascular compartment (arterioles and venules) implies
that the pathology in 1 compartment could lead to dysfunction in the others; and
(c) the anatomic localization of the immunologic BBB at the level of the
penetrating venules might impose constraints on immunologically mediated
transport involving the V-RC.
PMID- 22082664
TI - Changes in brain beta-amyloid deposition and aquaporin 4 levels in response to
altered agrin expression in mice.
AB - Conditions that compromise the blood-brain barrier (BBB) have been increasingly
implicated in the pathogenesis of Alzheimer disease (AD). AGRIN is a heparan
sulfate proteoglycan found abundantly in basement membranes of the cerebral
vasculature, where it has been proposed to serve a functional role in the BBB.
Furthermore, AGRIN is the major heparan sulfate proteoglycan associated with
amyloid plaques in AD brains. To examine the relationship of AGRIN, the BBB, and
AD-related pathologies, we generated mice in which the Agrn gene was deleted from
either endothelial cells or neurons using gene targeting or was overexpressed
using a genomic transgene construct. These mice were combined with a transgenic
model of AD that over expresses disease-associated forms of amyloid precursor
protein and presenilin 1. In mice lacking endothelial cell expression of Agrn,
the BBB remained intact but aquaporin 4 levels were reduced, indicating that the
loss of AGRIN affects BBB-associated components. This change in Agrn resulted in
an increase in beta-amyloid (Abeta) in the brain. Conversely, overexpression of
Agrn decreased Abeta deposition, whereas elimination of Agrn from neurons did not
change Abeta levels. These results indicate that AGRIN is important for
maintaining BBB composition and that changes in Agrn expression (particularly
vessel-associated AGRIN) influence Abeta homeostasis in mouse models of AD.
PMID- 22082665
TI - On the occurrence of hypomyelination in a transgenic mouse model: a consequence
of the myelin basic protein promoter?
AB - Central nervous system hypomyelination is a feature common to a number of
transgenic (Tg) mouse lines that express a variety of unrelated exogenous (i.e.
non-central nervous system) transgenes. In this report, we document
hypomyelination structurally by immunocytochemistry and functionally in the Tg
line MBP-JE, which over expresses the chemokine CCL2 (MCP-1) within
oligodendrocytes targeted by a myelin basic protein (MBP) promoter. Analysis of
hypomyelinated optic nerves of Tg mice revealed progressive decrease in
oligodendrocyte numbers with age (p < 0.01). Although molecular mechanisms
underlying hypomyelination in this and other Tg models remain largely unknown, we
present preliminary findings on oligodendrocyte progenitor cell (OPC) cultures in
which, although OPC expressed CCR2, the receptor for CCL2, treatment with CCL2
had no significant effect on OPC proliferation, differentiation, or apoptosis. We
suggest that hypomyelination in the MBP-JE model might not be due to CCL2
expression but rather the result of transcriptional dysfunction related to random
insertion of the MBP promoter that disrupts myelinogenesis and leads to
oligodendrocyte demise. Because an MBP promoter is a common denominator in most
Tg lines displaying hypomyelination, we hypothesize that use of myelin gene
sequences in the regulator region of Tg constructs might underlie this
perturbation of myelination in such models.
PMID- 22082666
TI - Strigolactone analogues and mimics derived from phthalimide, saccharine, p
tolylmalondialdehyde, benzoic and salicylic acid as scaffolds.
AB - A series of new strigolactone (SL) analogues is derived from simple and cheap
starting materials. These SL analogues are designed using a working model. The
first analogue is a modified Nijmegen-1, the second contains saccharin as
substituent (bio-isosteric replacement of a carbonyl in Nijmegen-1 by a sulfonyl
group) and the third one is derived from p-tolylmalondialdehyde. These new SL
analogues are appreciably to highly active as germination stimulants of seeds of
Striga hermonthica and Orobanche cernua. The SL analogue derived from saccharin
is the most active one. A serendipitous and most rewarding finding is that the
compound obtained by a direct coupling of saccharin with the chlorobutenolide
exhibits a high germination activity especially towards O. cernua seeds. Two
other SL mimics are obtained from benzoic and salicylic aid by a direct coupling
reaction with chlorobutenolide, both of them are very active germinating agents.
These SL mimics represent a new type of germination stimulants. A tentative
molecular mechanism for the mode of action of these SL mimics has been proposed.
PMID- 22082667
TI - Time-dependent botulinum neurotoxin serotype A metalloprotease inhibitors.
AB - Botulinum neurotoxins (BoNTs) are the most lethal of biological substances, and
are categorized as class A biothreat agents by the Centers for Disease Control
and Prevention. There are currently no drugs to treat the deadly flaccid
paralysis resulting from BoNT intoxication. Among the seven BoNT serotypes, the
development of therapeutics to counter BoNT/A is a priority (due to its long half
life in the neuronal cytosol and its ease of production). In this regard, the
BoNT/A enzyme light chain (LC) component, a zinc metalloprotease responsible for
the intracellular cleavage of synaptosomal-associated protein of 25 kDa, is a
desirable target for developing post-BoNT/A intoxication rescue therapeutics. In
an earlier study, we reported the high throughput screening of a library
containing 70,000 compounds, and uncovered a novel class of benzimidazole
acrylonitrile-based BoNT/A LC inhibitors. Herein, we present both structure
activity relationships and a proposed mechanism of action for this novel
inhibitor chemotype.
PMID- 22082668
TI - Triglycerides are independently associated with albuminuria in Taiwanese Type 2
diabetic patients.
AB - BACKGROUND: Lipid abnormalities in albuminuria in patients with Type 2 diabetes
differ by race. AIM: To perform a biochemical investigation of association
between dyslipidemia and albuminuria in Type 2 diabetes in Taiwan. MATERIALS/
SUBJECTS AND METHODS: We recruited a total of 2349 Chinese patients with Type 2
diabetes from two medical centers in Taiwan over a 1-yr period. Patients were
categorized into those with normoalbuminuria, microalbuminuria, and
macroalbuminuria defined as albumin-to-creatinine ratio of <30, 30- 299, and
>=300 MUg/mg. We then investigated the significance of the clinical and
biochemical parameters and risk of albuminuria. RESULTS: We found significant
differences in total cholesterol (TC) between those with normoalbuminuria and
micro/ macroalbuminuria, no significant difference in LDL cholesterol (LDL-C)
among the 3 subgroups, a significant difference in HDL cholesterol (HDL-C)
between those with normoalbuminuria and macroalbuminuria, and significant
increases in triglyceride (TG) paralleling increases in albuminuria. TG was found
by logistic regression to be significantly associated with micro/macroalbuminuria
in our unadjusted model [odds ratio (OR) = 1.859 (1.596~2.165)], and remained
significant after adjusting for various confounders [OR = 1.415 (1.123~1.784)].
Increases in albuminuria paralleled quartile increases in serum TG (p<0.001).
CONCLUSIONS: We conclude that TG increases significantly throughout the 3 stages
of albuminuria in Taiwanese Type 2 diabetic patients, but TC, HDL-C, and LDL-C do
not.
PMID- 22082671
TI - Introductory perspective.
PMID- 22082670
TI - Is the association between ACE genes and blood pressure mediated by postnatal
growth during the first 3 years?
AB - Unlike the defined role of angiotensin-converting enzyme (ACE) gene in adult
hypertension, ACE gene did not show direct influence on childhood blood pressure
(BP), rather, seemed to be related to childhood growth with age-dependent
characteristics. Thus, we examined intermediate effects of postnatal growth
between the ACE polymorphisms and BP. We analyzed data from 257 children born in
2001-04 at Ewha Womans University Hospital in Seoul, Korea, and followed them up
until 3 years of age. Children with excessive adiposity had higher BP, as rapid
growers did to no-change and decelerated growers. The ACE II genotype was
associated with greater growth acceleration than the DD genotype (II: 46.8% vs.
DD: 23.9%), and with a higher BP. The interactions between ACE genotype and
adiposity at age 3 were significant on the BP levels. The highest BP increase
with the same degree of adiposity was observed in those with the II genotype
[beta (SE) for BMI: 1.9 (0.9), p=0.04]; particularly, only rapid grown II
carriers demonstrated statistical significance on this linear association. These
results suggested that ACE polymorphisms and BP association are mediated by
postnatal growth. Further studies are required to determine the age-specific ACE
genetic effects and its undefined biological mechanism.
PMID- 22082669
TI - Statistical mechanics and molecular dynamics in evaluating thermodynamic
properties of biomolecular recognition.
AB - Molecular recognition plays a central role in biochemical processes. Although
well studied, understanding the mechanisms of recognition is inherently difficult
due to the range of potential interactions, the molecular rearrangement
associated with binding, and the time and length scales involved. Computational
methods have the potential for not only complementing experiments that have been
performed, but also in guiding future ones through their predictive abilities. In
this review, we discuss how molecular dynamics (MD) simulations may be used in
advancing our understanding of the thermodynamics that drive biomolecular
recognition. We begin with a brief review of the statistical mechanics that form
a basis for these methods. This is followed by a description of some of the most
commonly used methods: thermodynamic pathways employing alchemical
transformations and potential of mean force calculations, along with end-point
calculations for free energy differences, and harmonic and quasi-harmonic
analysis for entropic calculations. Finally, a few of the fundamental findings
that have resulted from these methods are discussed, such as the role of
configurational entropy and solvent in intermolecular interactions, along with
selected results of the model system T4 lysozyme to illustrate potential and
current limitations of these methods.
PMID- 22082673
TI - Atrial fibrillation catheter ablation versus surgical ablation treatment (FAST):
a 2-center randomized clinical trial.
AB - BACKGROUND: Catheter ablation (CA) and minimally invasive surgical ablation (SA)
have become accepted therapy for antiarrhythmic drug-refractory atrial
fibrillation. This study describes the first randomized clinical trial comparing
their efficacy and safety during a 12-month follow-up. METHODS AND RESULTS: One
hundred twenty-four patients with antiarrhythmic drug-refractory atrial
fibrillation with left atrial dilatation and hypertension (42 patients, 33%) or
failed prior CA (82 patients, 67%) were randomized to CA (63 patients) or SA (61
patients). CA consisted of linear antral pulmonary vein isolation and optional
additional lines. SA consisted of bipolar radiofrequency isolation of the
bilateral pulmonary vein, ganglionated plexi ablation, and left atrial appendage
excision with optional additional lines. Follow-up at 6 and 12 months was
performed by ECG and 7-day Holter recording. The primary end point, freedom from
left atrial arrhythmia >30 seconds without antiarrhythmic drugs after 12 months,
was 36.5% for CA and 65.6% for SA (P=0.0022). There was no difference in effect
for subgroups, which was consistent at both sites. The primary safety end point
of significant adverse events during the 12-month follow-up was significantly
higher for SA than for CA (n=21 [34.4%] versus n=10 [15.9%]; P=0.027), driven
mainly by procedural complications such as pneumothorax, major bleeding, and the
need for pacemaker. In the CA group, 1 patient died at 1 month of subarachnoid
hemorrhage. CONCLUSION: In atrial fibrillation patients with dilated left atrium
and hypertension or failed prior atrial fibrillation CA, SA is superior to CA in
achieving freedom from left atrial arrhythmias after 12 months of follow-up,
although the procedural adverse event rate is significantly higher for SA than
for CA. CLINICAL TRIAL REGISTRATION: URL: http://clinicaltrials.gov. Unique
identifier: NCT00662701.
PMID- 22082676
TI - Referral, enrollment, and delivery of cardiac rehabilitation/secondary prevention
programs at clinical centers and beyond: a presidential advisory from the
American Heart Association.
PMID- 22082674
TI - Pak1 as a novel therapeutic target for antihypertrophic treatment in the heart.
AB - BACKGROUND: Stress-induced hypertrophic remodeling is a critical pathogenetic
process leading to heart failure. Although many signal transduction cascades are
demonstrated as important regulators to facilitate the induction of cardiac
hypertrophy, the signaling pathways for suppressing hypertrophic remodeling
remain largely unexplored. In this study, we identified p21-activated kinase 1
(Pak1) as a novel signaling regulator that antagonizes cardiac hypertrophy.
METHODS AND RESULTS: Hypertrophic stress applied to primary neonatal rat
cardiomyocytes (NRCMs) or murine hearts caused the activation of Pak1. Analysis
of NRCMs expressing constitutively active Pak1 or in which Pak1 was silenced
disclosed that Pak1 played an antihypertrophic role. To investigate the in vivo
role of Pak1 in the heart, we generated mice with a cardiomyocyte-specific
deletion of Pak1 (Pak1(cko)). When subjected to 2 weeks of pressure overload,
Pak1(cko) mice developed greater cardiac hypertrophy with attendant blunting of
JNK activation compared with controls, and these knockout mice underwent the
transition into heart failure when prolonged stress was applied. Chronic
angiotensin II infusion also caused increased cardiac hypertrophy in Pak1(cko)
mice. Moreover, we discovered that the Pak1 activator FTY720, a sphingosine-like
analog, was able to prevent pressure overload-induced hypertrophy in wild-type
mice without compromising their cardiac functions. Meanwhile, FTY720 failed to
exert such an effect on Pak1(cko) mice, suggesting that the antihypertrophic
effect of FTY720 likely acts through Pak1 activation. CONCLUSIONS: These results,
for the first time, establish Pak1 as a novel antihypertrophic regulator and
suggest that it may be a potential therapeutic target for the treatment of
cardiac hypertrophy and heart failure.
PMID- 22082675
TI - A critical role for the protein apoptosis repressor with caspase recruitment
domain in hypoxia-induced pulmonary hypertension.
AB - BACKGROUND: Pulmonary hypertension (PH) is a lethal syndrome associated with the
pathogenic remodeling of the pulmonary vasculature and the emergence of apoptosis
resistant cells. Apoptosis repressor with caspase recruitment domain (ARC) is an
inhibitor of multiple forms of cell death known to be abundantly expressed in
striated muscle. We show for the first time that ARC is expressed in arterial
smooth muscle cells of the pulmonary vasculature and is markedly upregulated in
several experimental models of PH. In this study, we test the hypothesis that ARC
expression is essential for the development of chronic hypoxia-induced PH.
METHODS AND RESULTS: Experiments in which cells or mice were rendered ARC
deficient revealed that ARC not only protected pulmonary arterial smooth muscle
cells from hypoxia-induced death, but also facilitated growth factor-induced
proliferation and hypertrophy and hypoxia-induced downregulation of selective
voltage-gated potassium channels, the latter a hallmark of the syndrome in
humans. Moreover, ARC-deficient mice exhibited diminished vascular remodeling,
increased apoptosis, and decreased proliferation in response to chronic hypoxia,
resulting in marked protection from PH in vivo. Patients with PH have
significantly increased ARC expression not only in remodeled vessels but also in
the lumen-occluding lesions associated with severe disease. CONCLUSIONS: These
data show that ARC, previously unlinked to pulmonary hypertension, is a critical
determinant of vascular remodeling in this syndrome.
PMID- 22082677
TI - Heparin elevates circulating soluble fms-like tyrosine kinase-1 immunoreactivity
in pregnant women receiving anticoagulation therapy.
AB - BACKGROUND: Alterations in circulating levels of pro- and antiangiogenic factors
have been associated with adverse pregnancy outcomes. Heparin is routinely
administered to pregnant women, but without clear knowledge of its impact on
these factors. METHODS AND RESULTS: We conducted a longitudinal study of 42
pregnant women. Twenty-one women received prophylactic heparin anticoagulation,
and 21 healthy pregnant women served as controls. Compared with gestational age
matched controls, heparin treatment was associated with increased circulating
levels of soluble fms-like tyrosine kinase-1 (sFlt-1) in the third trimester
(P<0.05), in the absence of preeclampsia, placental abruption, or fetal growth
restriction. Heparin had no effect on circulating levels of vascular endothelial
growth factor, placenta growth factor, or soluble endoglin as assessed by ELISA.
In vitro, low-molecular weight and unfractionated heparins stimulated sFlt-1
release from placental villous explants, in a dose- and time-dependent manner.
This effect was not due to placental apoptosis, necrosis, alteration in protein
secretion, or increased transcription. Western blot analysis demonstrated that
heparin induced shedding of the N-terminus of Flt-1 both in vivo and in vitro as
indicated by a predominant band of 100-112 kDa. By using an in vitro angiogenesis
assay, we demonstrated that serum of heparin-treated cases inhibited both basal
and vascular endothelial growth factor-induced capillary-like tube formation.
CONCLUSIONS: Heparin likely increases the maternal sFlt-1 through shedding of the
extracellular domain of Flt-1 receptor. Our results imply that upregulation of
circulating sFlt-1 immunoreactivity in pregnancy is not always associated with
adverse outcomes, and that heparin's protective effects, if any, cannot be
explained by promotion of angiogenesis.
PMID- 22082678
TI - Pathogenic cycle between the endogenous nitric oxide synthase inhibitor
asymmetrical dimethylarginine and the leukocyte-derived hemoprotein
myeloperoxidase.
AB - BACKGROUND: The nitric oxide synthase inhibitor asymmetrical dimethylarginine
(ADMA) and the leukocyte-derived hemoprotein myeloperoxidase (MPO) are associated
with cardiovascular diseases. Activation of monocytes and polymorphonuclear
neutrophils (PMNs) with concomitant release of MPO is regulated in a nitric oxide
dependent fashion. The aim of the study was to investigate a potential 2-way
interaction between ADMA and MPO. METHODS AND RESULTS: Ex vivo, ADMA uptake by
isolated human PMNs, the principal source of MPO in humans, significantly
impaired nitric oxide synthase activity determined by gas chromatography-mass
spectrometry. In humans, short-term ADMA infusion (0.0125 mg . kg(-1) . min(-1))
significantly increased MPO plasma concentrations. Functionally, PMN exposure to
ADMA enhanced leukocyte adhesion to endothelial cells, augmented NADPH oxidase
activity, and stimulated PMN degranulation, resulting in release of MPO. In vivo,
a 28-day ADMA infusion (250 MUmol . kg(-1) . d(-1)) in C57Bl/6 mice significantly
increased plasma MPO concentrations, whereas this ADMA effect on MPO was
attenuated by human dimethylarginine dimethylaminohydrolase1 (hDDAH1)
overexpression. Moreover, the MPO-derived reactive molecule hypochlorous acid
impaired recombinant hDDAH1 activity in vitro. In MPO(-/-) mice, the
lipopolysaccharide-induced increase in systemic ADMA concentrations was
abrogated. CONCLUSIONS: ADMA profoundly impairs nitric oxide synthesis of PMNs,
resulting in increased PMN adhesion to endothelial cells, superoxide generation,
and release of MPO. In addition, MPO impairs DDAH1 activity. Our data reveal an
ADMA-induced cycle of PMN activation, enhanced MPO release, and subsequent
impairment of DDAH1 activity. These findings not only highlight so far
unrecognized cytokine-like properties of ADMA but also identify MPO as a
regulatory switch for ADMA bioavailability under inflammatory conditions.
PMID- 22082679
TI - Absence of SOCS3 in the cardiomyocyte increases mortality in a gp130-dependent
manner accompanied by contractile dysfunction and ventricular arrhythmias.
AB - BACKGROUND: Suppressor of cytokine signaling-3 (SOCS3) is a key negative-feedback
regulator of the gp130 receptor that provides crucial signaling for cardiac
hypertrophy and survival; however, an in vivo role of SOCS3 regulation on cardiac
gp130 signaling remains obscure. METHODS AND RESULTS: We generated cardiac
specific SOCS3 knockout (SOCS3 cKO) mice. These mice showed increased activation
of gp130 downstream signaling targets (STAT3, ERK1/2, AKT, and p38) from 15 weeks
of age and developed cardiac dysfunction from approximately 25 weeks of age with
signs of heart failure. Surprisingly, SOCS3 cKO failing hearts had minimal
histological abnormalities with intact myofibril ultrastructure. In addition,
Ca(2+) transients were significantly increased in SOCS3 cKO failing hearts
compared with wild-type hearts. We also found that Ser23/24 residues of troponin
I were hypophosphorylated in SOCS3 cKO hearts before the manifestation of cardiac
dysfunction. These data suggested the presence of abnormalities in myofilament
Ca(2+) sensitivity in SOCS3 cKO mice. In addition to the contractile dysfunction,
we found various ventricular arrhythmias in SOCS3 cKO nonfailing hearts
accompanied by a sarcoplasmic reticulum Ca(2+) overload. To determine the
contribution of gp130 signaling to the cardiac phenotype that occurs with SOCS3
deficiency, we generated cardiac-specific gp130 and SOCS3 double KO mice. Double
KO mice lived significantly longer and had different histological abnormalities
when compared with SOCS3 cKO mice, thus demonstrating the importance of gp130
signaling in the SOCS3 cKO cardiac phenotype. CONCLUSIONS: Our results
demonstrate an important role of SOCS3 regulation on cardiac gp130 signaling in
the pathogenesis of contractile dysfunction and ventricular arrhythmias.
PMID- 22082680
TI - A key role for matrix metalloproteinases and neutral sphingomyelinase-2 in
transplant vasculopathy triggered by anti-HLA antibody.
AB - BACKGROUND: Outcomes for organ transplantation are constantly improving because
of advances in organ preservation, surgical techniques, immune clinical
monitoring, and immunosuppressive treatment preventing acute transplant
rejection. However, chronic rejection including transplant vasculopathy still
limits long-term patient survival. Transplant vasculopathy is characterized by
progressive neointimal hyperplasia leading to arterial stenosis and ischemic
failure of the allograft. This work sought to decipher the manner in which the
humoral immune response, mimicked by W6/32 anti-HLA antibody, contributes to
transplant vasculopathy. METHODS AND RESULTS: Studies were performed in vitro on
cultured human smooth muscle cells, ex vivo on human arterial segments, and in
vivo in a model consisting of human arterial segments grafted into severe
combined immunodeficiency/beige mice injected weekly with anti-HLA antibodies. We
report that anti-HLA antibodies are mitogenic for smooth muscle cells through a
signaling mechanism implicating matrix metalloproteinases (MMPs) (membrane type 1
MMP and MMP2) and neutral sphingomyelinase-2. This mitogenic signaling and
subsequent DNA synthesis are blocked in smooth muscle cells silenced for MMP2 or
for neutral sphingomyelinase-2 by small interfering RNAs, in smooth muscle cells
transfected with a vector coding for a dominant-negative form of membrane type 1
MMP, and after treatment by pharmacological inhibitors of MMPs (Ro28-2653) or
neutral sphingomyelinase-2 (GW4869). In vivo, Ro28-2653 and GW4869 reduced the
intimal thickening induced by anti-HLA antibodies in human mesenteric arteries
grafted into severe combined immunodeficiency/beige mice. CONCLUSIONS: These data
highlight a crucial role for MMP2 and neutral sphingomyelinase-2 in vasculopathy
triggered by a humoral immune response and open new perspectives for preventing
transplant vasculopathy with the use of MMP and neutral sphingomyelinase
inhibitors, in addition to conventional immunosuppression.
PMID- 22082682
TI - Sympathetic nerve blocks, pragmatic trials, and responder analysis.
PMID- 22082681
TI - Predictive value of the high-sensitivity troponin T assay and the simplified
Pulmonary Embolism Severity Index in hemodynamically stable patients with acute
pulmonary embolism: a prospective validation study.
AB - BACKGROUND: The new, high-sensitivity troponin T (hsTnT) assay may improve risk
stratification of normotensive patients with acute pulmonary embolism (PE). We
externally validated the prognostic value of hsTnT, and of the simplified
Pulmonary Embolism Severity Index (sPESI), in a large multicenter cohort. METHODS
AND RESULTS: We prospectively examined 526 normotensive patients with acute PE;
of those, 31 (5.9%) had an adverse 30-day outcome. The predefined hsTnT cutoff
value of 14 pg/mL was associated with a high prognostic sensitivity and negative
predictive value, comparable to those of the sPESI. Both hsTnT >=14 pg/mL (OR,
4.97 [95% CI, 1.71-14.43]; P=0.003) and sPESI >=1 point(s) (OR, 9.51 [2.24
40.29]; P=0.002) emerged, besides renal insufficiency (OR, 2.97 [1.42-6.22];
P=0.004), as predictors of early death or complications; in a multivariable
model, they remained independent predictors of outcome (P=0.044 and 0.012,
respectively). A total of 127 patients (24.1%) were identified as low risk by a
sPESI of 0 and hsTnT <14 pg/mL; none of them had an adverse 30-day outcome.
During 6-month follow-up, 52 patients (9.9%) died. Kaplan-Meier analysis
illustrated that patients with hsTnT >=14 pg/mL (P=0.001) and those with sPESI
>=1 (P<0.001) had a decreased probability of 6-month survival. Patients with
sPESI of 0 and hsTnT <14 pg/mL at baseline had a 42% reduction in the risk of
dying (hazard ratio, 0.58 [0.01-0.42]; P=0.005). CONCLUSIONS: The hsTnT assay and
the sPESI improve risk stratification of acute PE. Combination of both modalities
may yield additive prognostic information and particularly identify possible
candidates for out-of-hospital treatment.
PMID- 22082683
TI - Selective 5-HT(1A)-R-agonist repinotan prevents remifentanil-induced ventilatory
depression and prolongs antinociception.
AB - BACKGROUND: 5-HT(1A)-R-agonist repinotan was shown to counteract a morphine
induced ventilatory depression but had pronociceptive effects at small doses (0.2
MUg/kg). It remained to be clarified (1) whether a moderate dose of repinotan,
sufficient to stimulate spontaneous breathing, impairs antinociception if plasma
concentration decreases over time, and if (2) moderate doses prevent ventilatory
depression if given before the opioid. METHODS: A dose-response curve of the
repinotan effects on spontaneous minute ventilation during continuous
remifentanil infusion in anesthetized rats was established to identify moderate
doses: (1) tail-flick reflex latencies to assess nociception were recorded until
60 min after cessation of a continuous remifentanil infusion with or without a
concomitant moderate repinotan dose (10 MUg/kg), and (2) remifentanil boluses
(2.5 MUg/kg) were given after repinotan (10 and 20 MUg/kg). RESULTS: (1)
Remifentanil-induced antinociception lasted only 5 min after infusion was stopped
(tail-flick reflex latencies; median [interquartile range], 97 [54-100]% of
maximum possible effect; P = 0.034), but was extended by repinotan (10 MUg/kg) to
30 min (tail-flick reflex latencies, 100 [75-100]% of maximum possible effect; P
= 0.031). Repinotan (10 MUg/kg) alone did not have any significant
antinociceptive effect. (2) The ventilatory depression by remifentanil boluses
(2.5 MUg/kg; minute ventilation, -65 [-81 to -56]%; P = 0.031, n = 5) was blunted
by repinotan (20 MUg/kg; minute ventilation, -24 [-53 to 13]%; P = 0.313,
compared with the pretreatment level). CONCLUSIONS: Repinotan prevented
remifentanil-induced ventilatory depression in spontaneously breathing,
anesthetized rats. Although repinotan did not depress nociception itself, it
prolonged the profound antinociception after discontinuation of remifentanil
infusion.
PMID- 22082685
TI - Reliability and component structure of the modified Daily Symptom Report (DSR
20).
AB - OBJECTIVES: The purpose of the present study was to modify Freeman et al.'s
(1996) Daily Symptom Report (DSR) for premenstrual syndrome (PMS) by adding items
depicting aggressive and impulsive symptoms, to explore the component structure
of this revised measure (DSR-20) in a sample of PMS sufferers, and to compare
their scores with those from controls during the follicular and luteal cycle
phases. METHODS: The DSR-20 was administered to 140 PMS sufferers who were
seeking treatment for PMS and 54 controls who considered themselves to be free
from premenstrual complaints daily for three menstrual cycles. RESULTS:
Cronbach's alpha was 0.95 for the luteal DSR-20 scores of the PMS sufferers,
indicating very high internal consistency of the 20 items. Exploratory Principal
Components Analysis (PCA) of the luteal ratings of the PMS sufferers identified
two components with high internal consistency (>0.90), describing psychological
and physical premenstrual symptoms. PMS sufferers scored significantly higher
than the controls on each of these components during the luteal, but not
follicular, phase. CONCLUSIONS: The DSR-20 total scale score is an internally
consistent global measure of the intensity of PMS. The division of PMS symptoms
into psychological and physical components, both of which significantly
differentiated PMS sufferers from controls during the luteal phase, sheds further
light on the description of PMS and provides a clinically relevant and practical
means by which to summarise and interpret daily symptom ratings, necessary for
the identification and investigation of the syndrome.
PMID- 22082686
TI - Early predictors of short term neurodevelopmental outcome in asphyxiated cooled
infants. A combined brain amplitude integrated electroencephalography and near
infrared spectroscopy study.
AB - BACKGROUND: Brain Cooling (BC) represents the elective treatment in asphyxiated
newborns. Amplitude Integrated Electroencephalography (aEEG) and Near Infrared
Spectroscopy (NIRS) monitoring may help to evaluate changes in cerebral
electrical activity and cerebral hemodynamics during hypothermia. OBJECTIVES: To
evaluate the prognostic value of aEEG time course and NIRS data in asphyxiated
cooled infants. METHODS: Twelve term neonates admitted to our NICU with moderate
severe Hypoxic-Ischemic Encephalopathy (HIE) underwent selective BC. aEEG and
NIRS monitoring were started as soon as possible and maintained during the whole
hypothermic treatment. Follow-up was scheduled at regular intervals; adverse
outcome was defined as death, cerebral palsy (CP) or global quotient <88.7 at
Griffiths' Scale. RESULTS: 2/12 Infants died, 2 developed CP, 1 was normal at 6
months of age and then lost at follow-up and 7 showed a normal outcome at least
at 1 year of age. The aEEG background pattern at 24 h of life was abnormal in 10
newborns; only 4 of them developed an adverse outcome, whereas the 2 infants with
a normal aEEG developed normally. In infants with adverse outcome NIRS showed a
higher Tissue Oxygenation Index (TOI) than those with normal outcome (80.0+/
10.5% vs 66.9+/-7.0%, p=0.057; 79.7+/-9.4% vs 67.1+/-7.9%, p=0.034; 80.2+/-8.8%
vs 71.6+/-5.9%, p=0.069 at 6, 12 and 24 h of life, respectively). CONCLUSIONS:
The aEEG background pattern at 24h of life loses its positive predictive value
after BC implementation; TOI could be useful to predict early on infants that may
benefit from other innovative therapies.
PMID- 22082687
TI - Unusual Doppler trace in mitral stenosis: prominent isovolumic relaxation flow.
PMID- 22082672
TI - Efficacy and safety of celivarone, with amiodarone as calibrator, in patients
with an implantable cardioverter-defibrillator for prevention of implantable
cardioverter-defibrillator interventions or death: the ALPHEE study.
AB - BACKGROUND: Celivarone is a new antiarrhythmic agent developed for the treatment
of ventricular arrhythmias. This study investigated the efficacy and safety of
celivarone in preventing implantable cardioverter-defibrillator (ICD)
interventions or death. METHODS AND RESULTS: Celivarone (50, 100, or 300 mg/d)
was assessed compared with placebo in this randomized, double-blind, placebo
controlled, parallel-group study. Amiodarone (200 mg/d after loading dose of 600
mg/d for 10 days) was used as a calibrator. A total of 486 patients with a left
ventricular ejection fraction <=40% and at least 1 ICD intervention for
ventricular tachycardia or ventricular fibrillation in the previous month or ICD
implantation in the previous month for documented ventricular
tachycardia/ventricular fibrillation were randomized. Median treatment duration
was 9 months. The primary efficacy end point was occurrence of ventricular
tachycardia/ventricular fibrillation-triggered ICD interventions (shocks or
antitachycardia pacing) or sudden death. The proportion of patients experiencing
an appropriate ICD intervention or sudden death was 61.5% in the placebo group;
67.0%, 58.8%, and 54.9% in the celivarone 50-, 100-, and 300-mg groups,
respectively; and 45.3% in the amiodarone group. Hazard ratios versus placebo for
the primary end point ranged from 0.860 for celivarone 300 mg to 1.199 for
celivarone 50 mg. None of the comparisons versus placebo were statistically
significant. Celivarone had an acceptable safety profile. CONCLUSIONS: Celivarone
was not effective for the prevention of ICD interventions or sudden death.
CLINICAL TRIAL REGISTRATION: http://www.clinicaltrials.gov. Unique identifier:
NCT00993382.
PMID- 22082684
TI - Relationship between testosterone deficiency and cardiovascular risk and
mortality in adult men.
AB - Classic male hypogonadism is associated with known adverse effects including
decreased libido, erectile dysfunction, osteoporosis, and changes in body
composition. Recently, we have come to appreciate that reduction in serum
testosterone (T) levels resulting from aging or chronic disease or androgen
deprivation therapy (ADT) have consequences similar to those seen in classic male
hypogonadism which include increased fat mass, decreased lean body mass,
decreased muscle strength, and sexual dysfunction. These data suggest that low T
levels may represent a newly recognized cardiometabolic risk factor. Therefore,
we carried out a careful review of the literature, focusing on major turning
points of research and studies which gave more important and controversial
contribution to the cardiovascular role of T. Observational studies and clinical
trials investigating the relationship between T levels and cardiovascular disease
and mortality were identified byMedline search. The results were synthesized,
tabulated, and interpreted. The aim of this review is to discuss the association
between low T levels and adverse metabolic profile such as insulin resistance,
metabolic syndrome, and diabetes. We will also investigate the potential
mechanisms by which male hypogonadism, especially age related or induced by ADT,
may increase cardio-metabolic risk. Finally we will detail the emerging
relationship between low T and mortality in men addressing also the reverse
hypothesis that low T has a protective role by turning off T-dependent functions.
PMID- 22082688
TI - Myocardial perfusion scintigraphy: technical innovations and evolving clinical
applications.
PMID- 22082689
TI - Cardiac MRI of Lyme disease myocarditis.
PMID- 22082690
TI - Pulmonary hypertension due to a large acquired systemic arteriovenous fistula.
PMID- 22082691
TI - Electron tomography of cells.
AB - The electron microscope has contributed deep insights into biological structure
since its invention nearly 80 years ago. Advances in instrumentation and
methodology in recent decades have now enabled electron tomography to become the
highest resolution three-dimensional (3D) imaging technique available for unique
objects such as cells. Cells can be imaged either plastic-embedded or frozen
hydrated. Then the series of projection images are aligned and back-projected to
generate a 3D reconstruction or 'tomogram'. Here, we review how electron
tomography has begun to reveal the molecular organization of cells and how the
existing and upcoming technologies promise even greater insights into structural
cell biology.
PMID- 22082692
TI - Spinal cord tumor versus transverse myelitis.
AB - BACKGROUND CONTEXT: Longitudinally extensive transverse myelitis (LETM) is one of
the defining features of neuromyelitis optica (NMO). Despite the well-established
criteria, clinical and paraclinical features, the disease is often misdiagnosed
and erroneously treated. PURPOSE: We report on a case of LETM in a patient with
spatially limited NMO spectrum disorder that was misdiagnosed as spinal cord
tumor and underwent spinal cord biopsy. STUDY DESIGN: A 43-year-old female
patient is described. METHODS: The patient developed spastic tetraparesis over 1
week. Spinal cord magnetic resonance imaging (MRI) revealed LETM, and she was
treated with steroids and recovered. Nine months later, her condition worsened
and repeat spinal cord MRI was interpreted as a large intramedullary tumor in the
cervical region with irregular postcontrast enhancement. Biopsy revealed
demyelination. Cerebrospinal fluid (CSF) analysis revealed positive oligoclonal
IgG bands, and serum was positive for NMO-IgG antibody. RESULTS: The patient was
diagnosed with spatially limited NMO spectrum disorder, treated with plasma
exchange, high-dose corticosteroids, and cyclophosphamide, and with good
recovery. CONCLUSIONS: The factors favoring inflammatory LETM are acute or
subacute onset of clinical symptoms, positive oligoclonal bands in the CSF,
positive NMO-IgG or other antibodies, and brain MRI showing demyelinating
lesions. Postcontrast axial MRI sequences of the spinal cord can also be helpful.
In doubtful situations, a trial of therapy and follow-up MRI a month later might
be a more prudent approach if the patient is not rapidly deteriorating.
PMID- 22082693
TI - Mantle cell lymphoma.
PMID- 22082694
TI - Factors affecting patients' pain intensity during in office intravitreal
injection procedure.
AB - PURPOSE: To determine factors associated with patients' comfort during routine in
office intravitreal injection. METHODS: Sixty patients receiving intravitreal
injections over 15 months for macular edema because of diabetes, age-related
macular degeneration, or retinal vein occlusion who were randomized into 3 groups
to receive 1 of 3 commonly used forms of anesthesia-TetraVisc, proparacaine HCl,
or tetracaine HCl-before receiving intravitreal injection were studied. Fifteen
minutes after injection, patients were asked to rate their pain from 0 (no
pain/no distress) to 10 (agonizing pain/unbearable distress) using a Visual
Analog Pain score survey. Self-reported pain scores were stratified by age,
gender, diagnosis, injection number, substance injected, needle gauge, and visual
acuity improvement. RESULTS: Intravitreal injection was associated with low pain
scores. Patients receiving tetracaine reported a statistically significant lower
pain score (3.05 +/- 2.01) than patients receiving proparacaine (3.17 +/- 2.18)
or TetraVisc (3.3 9+/- 2.26; P < 0.01). Other important factors influencing pain
score significantly (P < 0.01) included improved vision from previous injection,
female sex, and age >65 years. Pain scores decreased with each consecutive
injection. CONCLUSION: Pain associated with intravitreal injection is generally
mild, and may be associated with epidemiologic and environmental factors.
PMID- 22082695
TI - Loss, gain, and the reframing of perspectives in long-term stroke survivors: a
dynamic experience of quality of life.
AB - PURPOSE: Little is known about stroke survivors' subjective quality of life
experience. The purpose of this study was to understand the lived experience of
quality of life in 6 long-term stroke survivors. METHOD: Using a phenomenological
design, we interviewed participants (who were 21 months to 11 years post stroke)
twice and gave them journals to write in between interviews. Data were evaluated
using thematic analysis. RESULTS: The main theme, "It makes me feel like a human
again," integrates 2 subthemes - activity loss: "That's what I miss" and
awakening: "Reality comes to your world." The main theme describes quality of
life as a constantly changing experience, and the subthemes elaborate on the
process of change. CONCLUSION: Themes described quality of life as a dynamic
experience that changed as activities of value were lost or gained and as
participants experienced changes in their perspectives, beliefs, values, and
behaviors. The discussion examines these themes through the lens of the Model of
Human Occupation and the Shifting Perspectives Model of Chronic Illness. Clinical
implications of the results are also discussed.
PMID- 22082696
TI - Expanding poststroke depression research: movement toward a dyadic perspective.
AB - Research on depression following stroke has traditionally been oriented toward
understanding the experiences of individual survivors or their spousal caregivers
outside of the context of their committed relationship. Moving toward a dyadic
orientation to the problem of poststroke depression, in which the stroke survivor
spouse dyad is viewed as the primary unit of analysis, will open the door to new
lines of inquiry and may eventually lead to more effective treatments for
survivors and their spouses. The first half of this article discusses the
rationale for moving poststroke depression research toward a more dyadic
perspective and highlights current efforts in this area. The second half of this
article discusses some methodological challenges associated with dyadic data and
the practical benefits of one statistical methodology, multilevel modeling, for
examining depression in survivor-stroke dyads.
PMID- 22082697
TI - A follow-up study of psychological problems after stroke.
AB - PURPOSE: Psychological problems are common complications following stroke and
have an impact on all aspects of recovery. This article investigates levels of
psychological distress in patients during hospital admission and after discharge
into the community. Early detection and review of poststroke psychological
problems may optimize recovery from stroke as recommended in the national stroke
guideline. Currently, there are very few follow-up investigations on poststroke
mood disorders available that could inform clinical practice. METHOD:
Psychological symptoms were defined as poststroke anxiety and depression and were
measured using the Hospital Anxiety and Depression Scale (HADS). Revised cutoff
scores for this clinical group were applied. Seventy-seven stroke patients were
assessed in the hospital. Forty-two patients from this sample were reassessed
after their discharge into the community. RESULTS: Patients in the hospital
sample presented with mean anxiety and depression scores above the recommended
cutoff for stroke. Far more than 50% of male and female patients presented with
heightened psychological distress. Psychological symptoms were slightly less
intense and less frequent in the follow-up after discharge sample. The
differences between the HADS outcomes of hospitalized and community patients were
nonsignificant but remained beyond cutoff for more than 50% of follow-up
patients. CONCLUSION: The study highlights the importance of mood assessments for
all stroke patients. The percentage of patients with residual psychological
problems was lower than that reported in the literature. However, it is highly
recommended for those patients with chronic poststroke psychological distress to
have access to specialist psychological interventions.
PMID- 22082698
TI - Boosting exercise beliefs and motivation through a psychological intervention
designed for poststroke populations.
AB - BACKGROUND: The effect of patient education on reducing stroke has had mixed
effects, raising questions about how to achieve optimal benefit. Because past
evaluations have typically lacked an appropriate theoretical base, the design of
past research may have missed important effects. METHOD: This study used a social
cognitive framework to identify variables that might change in response to
education. A mixed design was used to evaluate 2 approaches to an intervention,
both of which included education. Twenty-six seniors completed a measure of
stroke knowledge and beliefs twice: before and after an intervention that was
either "standard" (educational brochure plus activities that were not about
stroke) or "enhanced" (educational brochure plus activities designed to enhance
beliefs about stroke). Outcome measures were health beliefs, intention to
exercise to reduce stroke, and stroke knowledge. RESULTS: Selected beliefs
changed significantly over time but not differentially across conditions. Beliefs
that changed were (1) perceived susceptibility to stroke, and (2) perceived
benefit of exercise to reduce risk. Benefit beliefs, in particular, were strongly
and positively associated with intention to exercise. CONCLUSION: Findings
suggest that basic approaches to patient education may influence health beliefs.
More effective stroke prevention programs may result from continued consideration
of the role of health beliefs in such programs.
PMID- 22082699
TI - Stroke patients' awareness of risk and readiness to change behaviors.
AB - PURPOSE: Behavior change is an important component of secondary stroke
prevention. The transtheoretical model, which describes behavior change as
occurring through a series of stages, may be a useful way of assessing patients'
readiness to change behavior. The model has been successfully applied to other
chronic conditions and argues that people progressing "forward" through the
stages are more likely to successfully change their behavior. The aim of this
study was to describe stroke patients' readiness to change behaviors for stroke
related risk factors using this model, in the absence of a behavior modification
intervention. METHOD: Patients (n = 27) from an acute stroke ward of a major
metropolitan hospital in Brisbane, Australia, were interviewed prior to and at 3
months following hospital discharge regarding their awareness of stroke risk
factors and their readiness to change stroke risk-related behaviors. RESULTS: At
both points in time, 30% of patients could not spontaneously nominate one or more
stroke risk factors. Despite a trend of "forward" progression in stages of change
between the 2 interviews for behaviors relating to hypertension, heart disease,
and high cholesterol, there were no statistically significant changes over time
for any of the behaviors. Patients' readiness to change stroke risk-related
behaviors differed for each risk factor. CONCLUSION: Acknowledging that patients'
readiness to change may differ for each risk factor may promote more effective
facilitation of stroke secondary prevention behaviors.
PMID- 22082700
TI - Understanding hope after stroke: a systematic review of the literature using
concept analysis.
AB - PURPOSE: Hope is said to be important in recovery from illness or injury,
supporting adjustment, perseverance, and positive outcomes. There has been little
exploration of hope in people after stroke. This is reflected in the literature,
which lacks consistent definition and terminology. This purpose of this article
is to clarify the concept of hope after stroke and to synthesize the findings to
propose a working model of hope. METHOD: A systematic literature search was
completed. Articles were included if they explored hope from the perspective of
people with stroke or if hope was reported as a key finding in a study. Coding,
analytic questions, and matrices were used to extract data and to compare,
contrast, and synthesize conceptualizations, processes, and outcomes of hope.
This was guided by a concept analysis methodology. RESULTS: The literature search
identified 20 articles that met the inclusion criteria. Analysis of these
articles suggested hope was conceptualized in 3 interrelated ways - as an inner
state, as being outcome-oriented, and as an active process. Findings suggested
that internal and external resources contributed to the development of hope. Hope
was perceived to be linked with positive outcomes and functioned as a motivator
and source of strength through recovery. Hope reflected elements also found in
the concepts of expectations, goals, and optimism. CONCLUSION: This novel
approach to analysis has furthered the understanding of hope. It has proposed a
working model of hope that could be used by clinicians in considering hope with
their clients and patients.
PMID- 22082701
TI - An ecological approach to activity after stroke: it takes a community.
AB - BACKGROUND: Biopsychosocial recovery from stroke is remarkable for some
individuals, but the majority of stroke survivors have difficulty resuming
activities. Even survivors with mild disability become disengaged. METHODS:
Situational analysis grounded theory and ecological models were used to examine
the barriers and facilitators to choice of everyday activities of stroke
survivors aged 50 to 64 years. RESULTS: Resuming activities was an iterative
process of scaffolding small tasks into activities through bargaining for access
to practical support and inclusion into social situations. Although participants
geared up to manage their condition and access activities, for the most part they
were not in charge of the services and supports they required. They had little
control over who was accepted to rehabilitation, for which services they
qualified or disability policies. CONCLUSIONS: There are layers of interactions
between individuals and multiple factors in their environments that influence
participation. Low poststroke activity levels may be amenable to intervention.
Further research should consider the following: (1) participation in activities
through the lens of all levels of the socioecological model; (2) the impact of
disability and aging-related stigma; (3) the results of ad hoc community
navigation; and (4) the effects of restrictive health and disability policies on
meaningful activity.
PMID- 22082702
TI - Testing the effectiveness of knowledge and behavior therapy in patients of
hemiplegic stroke.
AB - OBJECTIVES: The purpose of this study was to examine demographic variables
associated with anger and well-being in patients with stroke, to investigate the
hypothesis that knowledge and behavior (K&B) therapy improves patients' emotional
and physical well-being, and to discover psychological variables associated with
therapeutic outcomes. METHODS: Seventy-seven patients with hemiplegic stroke were
randomly assigned to either a control condition (the conventional therapy) or an
experimental condition (K&B therapy). Both the experimental and control groups
received physical therapy, which included prescribed medications and
rehabilitation training programs for body functions. In addition, the
experimental group received counseling, which consisted of a knowledge component
and a behavioral training component. RESULTS: Patients' gender and ratings of
stressful events were related to emotional health and well-being. Compared to the
control group, the experimental group generally reported greater improvements in
state anger, external anger, anger control, depression, quality of life, and
activities of daily living. CONCLUSIONS: Consistent with our expectations,
patients with stroke who received K&B training showed greater improvements in
mental and physical health. Our findings suggest that the K&B therapy effectively
targeted anger and improved the well-being among patients with stroke. It is our
recommendation that K&B therapy should be implemented with a hospital's standard
procedure for stroke recovery.
PMID- 22082703
TI - Living successfully with aphasia: family members share their views.
AB - Language and lifestyle changes experienced following the onset of aphasia extend
beyond the individual to impact family members of persons with aphasia. Research
exploring the meaning of living successfully with aphasia has explored the
perspectives of individuals with aphasia and speech-language pathologists. Family
members' views of living successfully with aphasia may also contribute valuable
insights into positive adaptive processes and factors that may influence clinical
interventions and community-based services for individuals with aphasia and their
families. PURPOSE: To explore, from the perspectives of family members of
individuals with aphasia, the meaning of living successfully with aphasia.
METHOD: Twenty-four family members (nominated by individuals with aphasia)
participated in semistructured in-depth interviews about living successfully with
aphasia. Interviews were transcribed verbatim and analyzed using interpretative
phenomenological analysis to identify themes relating to the meaning of living
successfully with aphasia. RESULTS: Seven themes were identified from analysis of
family member participant transcripts: getting involved in life, support for the
person with aphasia, communication, family members' own needs, putting life in
perspective, focusing on and celebrating strengths and improvements, and
experiences with services. CONCLUSION: Findings provide evidence to support
previous research indicating that aphasia affects the whole family and not just
an individual. The inclusion of family members as part of the rehabilitation team
is indicated. Family members' needs and priorities must be considered in
conceptualizing living successfully with aphasia to ensure family members are
included in intervention programs.
PMID- 22082704
TI - Self-evaluation of driving simulator performance after stroke.
AB - BACKGROUND AND PURPOSE: Despite the potential dangers associated with premature
return to driving after stroke, very little research has examined the
relationship between impaired self-awareness (ISA) and driving. This study
examined self-awareness of driving simulator and neuropsychological performance
among stroke patients, comparing them with healthy control participants. METHODS:
Thirty stroke survivors and 30 controls each were asked for prediction and
postdiction ratings of their performance on various driving simulator and
neuropsychological tasks. Self-estimates versus actual performance discrepancy
scores were calculated for various simulator and neuropsychological measures by
converting scores to a shared metric. RESULTS: Across all measures, the stroke
survivors greatly overestimated their performance in comparison with the accuracy
of self-evaluations among the controls, thus suggesting ISA. This pattern of
overestimating was observed on both novel (neuropsychological) and familiar
(driving) tasks. However, there was some evidence to suggest that stroke
survivors can benefit from feedback, as seen by increased accuracy in postdiction
versus prediction self-evaluation scores. Both stroke survivors and controls also
showed a greater shift toward accurate self-estimation on postdiction of driving
performance than on postdiction of neuropsychological test performance.
CONCLUSION: Although the temporal stability of the shift in awareness is not
known, these results support the use of driving simulators as a useful and safe
method of assessing and potentially improving stroke survivors' ISA.
PMID- 22082705
TI - The effect of vocational rehabilitation on return-to-work rates post stroke: a
systematic review.
AB - PURPOSE: Returning to work has been identified as an important rehabilitation
goal following stroke. Twenty percent of stroke survivors are of working age. The
purpose of this systematic review was to determine the effect of vocational
rehabilitation programs on return-to-work rates post stroke. METHOD: Searches
were performed in electronic databases and Web-based sites. Studies were eligible
for inclusion if they included an adult population of working age (18 to 65
years) who had survived a stroke and had participated in a vocational
rehabilitation program. The exclusion criteria included any other type of
rehabilitation that did not specifically address vocation, other diagnostic
groups or studies where stroke population results were not reported
independently, as well as publications not translated to English. The primary
outcome was return-to-work rates. RESULTS: Six studies, involving a total of 462
participants, were included in this review. All studies were a retrospective
single cohort design. The rates of employment following these vocational
rehabilitation programs ranged from 12% to 49%. CONCLUSION: There was not an
adequate number of high-quality trials to make recommendations that support or
refute the use of specific vocational rehabilitation programs to increase return
to-work rates following a stroke. Standardized terminology definitions as well as
quality, randomized controlled trials are required before conclusions can be made
about the effect of vocational rehabilitation programs on the return-to-work
rates for stroke survivors.
PMID- 22082706
TI - Cognitive behavior therapy, exercise, or both for treating chronic widespread
pain.
AB - BACKGROUND: The clinical impact of telephone-delivered cognitive behavioral
therapy (TCBT), exercise, or a combined intervention in primary care patients
with chronic widespread pain (CWP) is unclear. METHODS: A total of 442 patients
with CWP (meeting the American College of Rheumatology criteria) were randomized
to receive 6 months of TCBT, graded exercise, combined intervention, or treatment
as usual (TAU). The primary outcome, using a 7-point patient global assessment
scale of change in health since trial enrollment (range: very much worse to very
much better), was assessed at baseline and 6 months (intervention end) and 9
months after randomization. A positive outcome was defined as "much better" or
"very much better." Data were analyzed using logistic regression according to the
intention-to-treat principle. RESULTS: The percentages reporting a positive
outcome at 6 and 9 months, respectively, were TAU group, 8% and 8%; TCBT group,
30% and 33%; exercise group, 35% and 24%; and combined intervention group, 37%
and 37% (P < .001). After adjustment for age, sex, center, and baseline
predictors of outcome, active interventions improved outcome compared with TAU:
TCBT (6 months: odds ratio [OR], 5.0 [95% CI, 2.0-12.5]; 9 months: OR, 5.4 [95%
CI, 2.3-12.8]), exercise (6 months: OR, 6.1 [95% CI, 2.5-15.1]; 9 months: OR, 3.6
[95% CI, 1.5-8.5]), and combined intervention (6 months: OR, 7.1 [95% CI, 2.9
17.2]; 9 months: OR, 6.2 [95% CI, 2.7-14.4]). At 6 and 9 months, combined
intervention was associated with improvements in the 36-Item Short Form Health
Questionnaire physical component score and a reduction in passive coping
strategies. Conclusions on cost-effectiveness were sensitive to missing data.
CONCLUSION: TCBT was associated with substantial, statistically significant, and
sustained improvements in patient global assessment. TRIAL REGISTRATION:
clinicaltrials.gov Identifier: ISRCTN67013851.
PMID- 22082707
TI - Implantable cardioverter/defibrillators in the primary prevention of sudden
death: we know what to do but are we doing it?
PMID- 22082708
TI - Early signals of harmful drugs.
PMID- 22082709
TI - Balancing transparency and uncertainty.
PMID- 22082710
TI - Thinking our way to better treatments of chronic pain.
PMID- 22082711
TI - Optimal medical therapy use among patients receiving implantable
cardioverter/defibrillators: insights from the National Cardiovascular Data
Registry.
PMID- 22082712
TI - Potential safety signals and their significance.
PMID- 22082713
TI - Aortic stiffness is increased in acromegaly regardless the method of assessment.
PMID- 22082714
TI - The incidence and characteristics of supraventricular tachycardia in left atrial
isomerism: a high incidence of atrial fibrillation in young patients.
AB - BACKGROUND: In left atrial isomerism (LAI), both atria show left atrial
morphology. Although bradyarrhythmias are frequent and highly complex in LAI
patients, previous studies have reported a low incidence of supraventricular
tachycardia (SVT). METHODS: To evaluate the incidence and characteristics of SVT
in LAI, we retrospectively evaluated the clinical characteristics of SVTs in 83
patients with LAI (age at last follow-up, 15.3+/-10.5 years). RESULTS: There were
27 SVTs in 19 patients (23%), including nine episodes of atrial fibrillation (AF)
and eight non-reentrant SVTs. Sixteen of the 19 patients with SVT had histories
of atriotomy, but the three patients with AF or non-reentrant tachycardia had no
history of atriotomy. The rates of freedom from SVT were 66% and 59% at ages of
20 and 30 years, respectively; the corresponding rates for freedom from AF were
89% and 74%. In multivariate analysis, the predictors of SVT were age (OR, 1.14;
95% CI, 1.06-1.26; p=0.003) and sinus node dysfunction (SND) (OR, 3.88; 95% CI,
1.57-13.34; p=0.01). CONCLUSIONS: In patients with LAI, SVTs are common, and AF
and non-reentrant SVTs are the major type of SVTs. The incidence of AF was high
in young patients with LAI. The lack of anatomical barriers in the atria that
allow the formation of macro-reentrant circuits may account for the higher
incidence of AF and non-reentrant SVT than macro-reentrant tachycardia. Moreover,
the increasing prevalence of SND with age should contribute to a higher incidence
of SVT.
PMID- 22082715
TI - Early onset of puberty in young girls: an Italian cross-sectional study.
AB - BACKGROUND: International literature and clinical practice have referred to
Marshall and Tanner data to define the physiological age at onset of puberty. A
study in the United States (1997) showed an anticipation in pubertal onset,
whereas several European studies did not confirm this trend. AIM: To describe the
onset of secondary sexual characteristics in a large Italian population of girls
and to compare it to reference literature data. SUBJECTS AND METHODS: A cross
sectional study on 7311 2-14-yr-old girls who spontaneously requested a clinical
evaluation for routine health check-up or acute illness by family pediatrician's
offices in a northern Italian region (Lombardy), between September 2005 and
November 2006. Trained family pediatricians performed a complete physical
examination; pubertal status was evaluated following Tanner's criteria; breast
development was assessed by palpation. RESULTS: Mean age of thelarche (B2),
pubarche (PH2), menarche were 9.75, 10.09, and 12.49 yr, respectively. The
prevalence of B2 and PH2 at ages 7-7.99 was 5.9% and 5.6%, respectively, at ages
8-8.99 was 15.5% and 13.8%, respectively. Mean time lapse from B2 to B3 and B2 to
menarche was 1.46 and 2.74 yr, respectively. Mean age at menarche of our
population and their respective mothers was almost identical. CONCLUSIONS: Our
population presented earlier clinical signs of pubertal development than those
defined by Marshall and Tanner. Mean age of menarche was not different in
comparison to the previous generation. A different progression of pubertal
development was found, in which the shift to B3 may have more clinical relevance.
PMID- 22082716
TI - Livestock veterinarians at high risk of acquiring methicillin-resistant
Staphylococcus aureus ST398.
AB - The prevalence and risk factors associated with livestock-associated MRSA (LA
MRSA) carriage was examined in Danish and Belgian veterinarians. The MRSA and LA
MRSA carriage rates were 9.5% (95% CI 5.3-15.6) and 7.5% (95% CI 3.8-13.1) for
MRSA and LA-MRSA, respectively, in Belgium and 1.4% (95% CI: 0.17-5.05) in
Denmark (all Danish MRSA isolates belonged to the LA-MRSA genotype). All LA-MRSA
isolates were resistant to tetracycline and 53.4% (7/13) showed a multi-resistant
phenotype. LA-MRSA was significantly associated with veterinarians in contact
with livestock (P=0.046). In the multivariable analysis, working with small
animals in a veterinary clinic seems to be negatively associated (OR 0.15, 95% CI
0-1.0, P=0.05) and a strong direct association was found for LA-MRSA acquisition
and exposure to live pigs (OR 12.1, 95% CI 1.6-548.5, P=0.01). Since carriage of
MRSA ST398 may increase the risk of complications during hospitalization, our
results underline that preventive measures may need to be developed for
veterinary professionals, particularly for livestock veterinarians.
PMID- 22082717
TI - The 30-year war on AIDS: have we reached the tipping point?
PMID- 22082719
TI - Early awareness and uptake of an effective waiting room video intervention by STD
clinics.
AB - BACKGROUND: Successful diffusion and adoption is critical for the effectiveness
and impact of a new intervention. The objective of this research was to evaluate
the uptake and implementation of a newly released educational waiting room video
in US sexually transmitted diseases (STD) Clinics. METHODS: A telephone survey
was administered to a random sample of 73 clinic directors representing 76 US STD
clinics 3 to 5 months following the availability of the intervention. A
qualitative analysis was used to categorize survey responses and detect
associations among them. RESULTS: Of the 76 clinics, 22% were aware of the
intervention and 17% had ordered the intervention kit. The most frequently
reported sources for hearing about the video were interpersonal
communication/word of mouth, national conferences, and the
STDPreventionOnline.org Website. The majority (74%) of clinic directors reported
using state or local entities as primary sources of new information; however,
reporting these channels was associated with unawareness of the new intervention.
Facilitators to adoption included having adequate video/DVD equipment (55%) and a
separate, nonshared waiting room for STD services in which to show the video
(47%). CONCLUSIONS: The data from this sample suggest that making greater use of
prominent thought leaders and interpersonal communication, including social
networking, and professional groups may improve awareness of new interventions.
Some barriers to adoption, such as lack of equipment, have relatively inexpensive
solutions yet bureaucratic or technical support issues may still play a role.
However, some structural barriers, such as shared waiting areas, will require
innovative alternatives to conventional practice.
PMID- 22082718
TI - The association between Trichomonas infection and incarceration in HIV
seropositive and at-risk HIV-seronegative women.
AB - BACKGROUND: The prevalence of Trichomonas vaginalis is higher among incarcerated
women than in the general community. We sought to determine whether a history of
incarceration itself was independently associated with trichomoniasis. METHODS:
The HIV Epidemiology Research Study is a prospective cohort study of 871 HIV
seropositive and 439 high-risk seronegative women in 4 urban centers (Bronx, NY;
Detroit, MI; Providence, RI; Baltimore, MD). All participants enrolled between
April 1993 and January 1995, with interviews and physical examinations conducted
at baseline and at follow-up visits every 6 months up to 7 years. RESULTS: Of
1310 subjects, 427 (33%) reported being incarcerated on at least one occasion. In
addition, 724 (55%) were found to have a sexually transmitted infection on at
least one occasion during the study; baseline rates were 21% for T. vaginalis,
4.3% for Chlamydia trachomatis, 0.6% for N. gonorrhea, and 8% for syphilis.
Incarceration was associated with the detection of trichomonas infection (between
subject, odds ratio, 2.4; 95% confidence interval: 1.85-3.14; P < 0.01 and within
subject, odds ratio, 1.56; 95% confidence interval: 1.26-1.92; P < 0.01). The
association with incarceration remained significant after adjusting for age,
race, HIV status, enrollment risk group, number of sexual partners, marital
status, education, bacterial vaginosis, vaginal candidiasis, drug use (crack,
cocaine, heroin), alcohol use, health insurance, receipt of public assistance,
employment status, visit number, and study site. CONCLUSIONS: A history of
incarceration was independently associated with the detection of trichomonas
infection in a cohort of high-risk women. These data have implications for
increased sexually transmitted infection prevention, screening, and treatment
upon entry to jail as well as in the communities most affected by incarceration.
PMID- 22082720
TI - Self-screening for Neisseria gonorrhoeae and Chlamydia trachomatis in the human
immunodeficiency virus clinic--high yields and high acceptability.
AB - BACKGROUND: Despite antiretroviral therapy (ART), incident human immunodeficiency
virus (HIV) continues to rise, and sexually transmitted infections (STI) are well
known for their part in HIV transmission. National guidelines recommend routine
STI screening in HIV-positive individuals, but despite this, reported uptake
remains low. METHODS: We implemented a nurse-led self-screening program for
Chlamydia trachomatis (CT) and Neisseria gonorrhoeae (GC) in asymptomatic HIV
patients. Self-collected samples were tested for CT and GC using the GenProbe
Aptima Combo 2 assay. Clinical records were reviewed for ART history, CD4 T-cell
count, and plasma viral load. A screening service evaluation questionnaire was
handed out. RESULTS: During an 8-month period, 976 screens were performed. In
all, 143 infections were detected which would have been missed without the
screening program. Overall prevalence of infection among men who have sex with
men was 17.4%: rectal CT and GC, 9.8% (56/571) and 4.2% (24/571), respectively;
urethal CT and GC, 2.6% (16/605) and 1.3% (8/605), respectively; and pharyngeal
CT and GC, 1.7% (10/589) and 3.9% (23/589), respectively. Among heterosexual men
and women, the rates of CT were 2.1% (3/141) and 1.5% (3/201), and there was no
GC. Transient viremia was observed at the time of STI diagnosis in 6 patients on
ART. All men who have sex with men and most women found self-swabbing acceptable,
and most patients indicated that they would like to be offered testing in future.
CONCLUSION: These findings highlight the need for the introduction of similar
screening approaches in HIV clinics. Self-collected specimens using sensitive and
specific GC and CT nucleic acid amplification tests are a convenient and
acceptable way of testing, and it may address some of the barriers to screening
in this population.
PMID- 22082721
TI - Racial/ethnic and gender differences among older adults in nonmonogamous
partnerships, time spent single, and human immunodeficiency virus testing.
AB - BACKGROUND: A higher frequency of nonmonogamy, due in part to lower marriage
prevalence, may contribute to elevated human immunodeficiency virus
(HIV)/sexually transmitted disease rates among older blacks. METHODS: To examine
race and gender differences in nonmonogamy, time spent single (i.e., not married
or cohabiting), and HIV testing in older adults, we analyzed US population-based
data from the 2005-2006 National Social Life, Health, and Aging Project for 2825
heterosexual participants ages 57 to 85 years. RESULTS: Blacks spent greater
portions of their adult lives single than did Hispanics or whites and were far
more likely to report recent nonmonogamous partnerships (23.4% vs. 10.0% and
8.2%). Among individuals reporting sex in the prior 5 years, nonmonogamous
partnerships were strongly associated with time spent single during the period.
Control for time spent single and other covariates reduced the association of
black race with nonmonogamous partnerships for men, but increased it for women.
Less than 20% reported ever testing for HIV; less than 6% had been recommended
testing by a provider. Testing rates, highest in black men and white women,
differed little by history of nonmonogamous partnerships within gender strata.
CONCLUSIONS: Singlehood helps to explain higher nonmonogamous partnership rates
in older black men but not in older black women. Older adults rarely receive or
are recommended HIV testing, a key strategy for reducing heterosexual HIV
transmission.
PMID- 22082722
TI - Sexually transmitted infections and risk behaviors among African American women
who have sex with women: does sex with men make a difference?
AB - OBJECTIVE: We sought to determine the prevalence of infection with Chlamydia
trachomatis, Neisseria gonorrhoeae, Trichomonas vaginalis, Mycoplasma genitalium,
syphilis, and HIV among African American women who have sex with women (AAWSW),
and compare sociodemographics, sexual risk behavior characteristics, and STI
diagnoses among women reporting sex exclusively with women (exclusive AAWSW) to
women reporting sex with both women and men (AAWSWM) during the past 12 months.
METHODS: Eligible women presenting to the Mississippi State Department of Health
STD Clinic between February 2009 and October 2010 were invited to participate. A
survey on sociodemographics, sexual history, and sexual risk behavior
characteristics was completed. Women were tested for the presence of C.
trachomatis, N. gonorrhoeae, T. vaginalis, M. genitalium, syphilis, and HIV.
RESULTS: A total of 196 African American women were enrolled; 56.6% of all women
reported engaging in sexual activity exclusively with women (AAWSW) during the
past 12 months and 40.8% reported engaging in sexual activity with both men and
women (AAWSWM). As compared with exclusive AAWSW, AAWSWM were significantly more
likely to report prior infection with C. trachomatis (35.0% vs. 13.5%, P <
0.001), prior infection with N. gonorrhoeae (28.75% vs. 2.7%, P < 0.001), and
transactional sex (18.8% vs. 2.7%, P = 0.001). Additionally, 13.8% of AAWSWM
reported having sex with a homosexual or bisexual man during the past 12 months.
Trichomoniasis was diagnosed in 18.3% of all women, C. trachomatis in 11.0%, M.
genitalium in 7.6%, and N. gonorrhoeae in 3.7%. There were no cases of syphilis
or HIV. AAWSWM were significantly more likely to be diagnosed with trichomoniasis
(25.0% vs. 13.5%, P = 0.04), C. trachomatis (22.5% vs. 2.7%, P < 0.001), N.
gonorrhoeae (7.5% vs. 0.9%, P = 0.01), or any STI (47.5% vs. 18.3%, P < 0.001)
than exclusive AAWSW. CONCLUSIONS: AAWSW in this study were at high risk for STI.
AAWSWM, as a subgroup, may demonstrate heightened sexual risk-taking behaviors
and higher STI rates compared with exclusive AAWSW. Sexual health services
provided to AAWSW should take into account partner gender heterogeneity when
counseling and screening for STI.
PMID- 22082723
TI - Impact of age, gender, and pregnancy on syphilis screening using the Captia
Syphilis-G assay.
AB - BACKGROUND: Discordant results have been reported between treponemal-specific
enzyme immunoassays (EIA) screening and confirmatory tests such as the Treponemal
pallidum particle agglutination (TPPA) assay. The performance of IgG EIA
screening in specific populations, such as pregnant women, is not well defined.
We reviewed laboratory results of 34,251 samples from individuals who underwent
IgG EIA screening at a large Boston academic medical center, so as to calculate
positive concordance of these screening tests with a confirmatory TPPA or
subsequent rapid plasma reagin (RPR) test by age, gender, pregnancy, and
obstetric or gynecologic (Ob/Gyn) service. METHODS: We conducted a retrospective,
cross-sectional study of the Captia Syph-G EIA serum samples between 2004 and
2007. Binary regression modeling was used to identify independent associations
between demographic variables and positive concordance of EIA screening with RPR
and confirmatory TPPA tests. RESULTS: Of 34,251 samples, 631 (1.8%) had a
positive IgG EIA screen. In all, 79% of samples with a positive EIA had a
reactive TPPA, and 48% had a positive RPR. Patients less than 40 years of age,
females, and women on an Ob/Gyn clinical service had significantly lower rates of
positive concordance between EIA screening and TPPA reactivity when covariate
adjusted in regression modeling, whereas women on Ob/Gyn service were
significantly associated with lower positive concordance with RPR testing.
CONCLUSIONS: The relatively low positive concordances between EIA screening and
confirmatory studies were more pronounced in low-risk patients, and it is
important to define test performance in diverse patient populations.
PMID- 22082724
TI - An evaluation of the natural history of bacterial vaginosis using transition
models.
AB - BACKGROUND: The natural history of bacterial vaginosis (BV) is complex given the
variability across and within women over time. This article considers 3 different
transition models for analyzing longitudinal BV data. METHODS: Data from the
Longitudinal Study of Vaginal Flora were used to evaluate 3 transition modeling
strategies: (1) a Markov regression, (2) a Markov regression with random effects,
and (3) a mover-stayer model. The effect of covariates on the transition process
of BV, defined as a Nugent score of 7 to 10, was estimated using a logistic
regression parameterization. Models were compared using various model assessment
techniques. We analyzed a subset of women completing all 5 visits (n = 1731) as
well as the complete data (n = 3626), in which 1 or more visit measurements were
missing. RESULTS: The Markov regression model had a poor fit to the data. A
random-effects or mover-stayer model accounted for additional unexplained
heterogeneity and had a better fit to the data. Across all models, douching was
significantly associated with BV fluctuation. In the mover-stayer model, both
douching and number of sexual partners were associated with persisting with
(lambda11 = 0.90, P < 0.001; lambda12 = -0.41, P < 0.03, respectively) or without
(lambda01 = -0.73, P < 0.001; lambda02 = -0.33, P = 0.023, respectively) BV
across all visits. Using a random-effects model, we demonstrated that an
individual propensity to initiate BV was positively associated with their
propensity to resolve BV. CONCLUSIONS: Transition models that account for
additional heterogeneity provide an attractive approach for describing the effect
of covariates on the natural history of BV.
PMID- 22082726
TI - Would gay men change their sexual behavior to reduce syphilis rates?
AB - BACKGROUND: The community at which public health strategies for reducing syphilis
epidemics are potentially targeted may have different considerations with regards
to their sexual and health priorities. We aimed to elicit information on the
acceptability of behavior change interventions among gay men for reducing
syphilis transmission. METHODS: We conducted an online survey (n = 2306
participants) and focus groups to determine whether further sexual behavior
change to reduce syphilis is likely to be acceptable to gay men in Australia.
RESULTS: One quarter of survey respondents (26%) indicated that they would be
highly likely to reduce partner acquisition rates in order to reduce their
chances of syphilis infection. However, among the 475 (21%) men who reported
greater than 10 partners in the previous 6 months, only 11% indicated being
"highly likely" to reduce partner numbers to avoid syphilis. Among 606 (26%)
survey respondents who reported not always using condoms in the previous 6
months, 34% indicated being highly likely to always use condoms with casual
partners to avoid syphilis. In the focus groups, men indicated little commitment
to sexual behavior change but some willingness to consider short-term changes to
reduce community syphilis levels. CONCLUSIONS: Interventions promoting partner
reduction or increased condom use are unlikely to be adopted on a long-term basis
by men at greatest risk. Behavioral interventions alone are unlikely to
materially contribute to syphilis prevention among gay men.
PMID- 22082725
TI - Effect of sexual activity on vaginal colonization with hydrogen peroxide
producing lactobacilli and Gardnerella vaginalis.
AB - BACKGROUND: Bacterial vaginosis is associated with sexual activity, but
mechanisms for this association are unclear. STUDY DESIGN: Cross-sectional
analysis of data from women reporting sex with women who provided information on
sexual behaviors as part of a study of vaginal bacteria was conducted. Vaginal
bacteria were detected by semiquantitative culture. Binomial regression with log
link evaluated associations between detection of bacteria and sexual behaviors
reported to occur before enrollment. Linear regression evaluated associations
between these behaviors and quantity of bacteria. RESULTS: Of the 320 women, 216
(68%) were colonized with H2O2-producing lactobacilli and 142 (44%) with
Gardnerella vaginalis. Colonization with G. vaginalis was associated with >20
digital-vaginal sex acts (risk ratio, 2.01; 1.22, 3.29) or >10 toy-vaginal acts
in the past 3 months (risk ratio, 1.76; 1.32, 2.36). Quantity of H2O2-producing
lactobacilli was 1.3 log lower in colonized women reporting >10 acts of insertive
vaginal sex-toy use in the past 3 months (95% confidence interval: -2.04, -0.56),
1.19 log lower with toy-vaginal sex in past 7 days (-1.75, -0.62), and 0.78 log
lower in women sharing toys with a partner (-1.25, -0.31). CONCLUSIONS: Vaginal
insertive use and sharing of sex toys were associated with decreased quantities
of H2O2-producing lactobacilli and higher risk of colonization with G. vaginalis.
PMID- 22082727
TI - Will changes in gay men's sexual behavior reduce syphilis rates?
AB - BACKGROUND: Reducing rates of partner change and increasing condom usage among
gay men are obvious targets for potentially reducing syphilis transmission among
gay men. METHODS: We developed an agent-based stochastic model to examine
syphilis transmission among a population of gay men, representative of gay men in
Australia. This model was used to explore the potential impact of changes in
sexual behavior over 1 month, 3 month, and indefinite time frames on syphilis
epidemics. RESULTS: Simulations of interventions showed that short-term
reductions in rates of partner change and increased condom use would have
negligible impact on the long-term trends of syphilis epidemics. If no
interventions are introduced, then the model forecasts that the syphilis
prevalence in the population could continue to rise, with an increase of 80% in
the number of men infected with syphilis during the next decade. However, if
changes in sexual behavior are maintained in the long-term, then syphilis
epidemics can be mitigated. If condom use is sustained at 80% in partnerships
that are HIV discordant or of unknown status, then the prevalence of syphilis is
estimated to decrease by 9% over 10 years. Similarly, if partner acquisition
rates decrease by 25%, then there will be a 22% reduction in syphilis prevalence.
CONCLUSIONS: Interventions promoting partner reduction or increased condom use
would be ineffective in the short-term, and would have limited prospects for
success in the long-term unless very large changes in behavior are sustained.
Complementary social research indicates that such long-term changes in behavior
are unlikely to be adopted, and therefore other intervention strategies need to
be developed to reduce syphilis among gay men.
PMID- 22082728
TI - What difference can we make in reducing syphilis among gay men? And how?
PMID- 22082729
TI - Role of sexual transmission of HIV among young noninjection and injection opiate
users: a respondent-driven sampling study.
AB - BACKGROUND: Sexual transmissibility of HIV among young drug users in China has
been investigated in few studies. The objective of this study was to examine the
role of sexual transmission on HIV infection among injection drug users (IDUs)
and noninjection drug users (NIDUs). METHODS: Respondent-driven sampling (RDS)
was used to recruit 426 young heroin/opium drug users in Yunnan, China. Logistic
regression modeling was performed to examine interrelationships among risky
sexual behaviors, drug-use modes, and drug-use practices. RESULTS: Substantial
proportions of NIDUs and IDUs reported engagement in risky sexual behaviors
including: (1) multiple sexual partners (42% of NIDUs vs. 37% of IDUs), (2)
concurrent sexual partnerships (48% vs. 46%), (3) commercial sex partners (23%
vs. 24%), and sex partners who were NIDUs (14% vs. 17%). Both NIDUs and IDUs
reported low levels of condom use with nonregular partners (48% vs. 42%) and
regular partner (24% vs. 27%), and having a history of recent methamphetamine use
(21% vs. 18%). Compared to IDUs, NIDUs reported having had fewer sex partners who
were IDUs, fewer IDU network peers, more NIDU network peers, and having lower
levels of HIV knowledge and self-perceived HIV risk. CONCLUSIONS: Generalization
of the HIV epidemic from high-risk groups to the general population may be driven
by risky sexual behavior among drug users. Reducing sexual transmission of HIV
among both IDUs and NIDUs is the next major challenge for HIV intervention among
drug users in China.
PMID- 22082730
TI - The cytotoxic effects of three different bisphosphonates in-vitro on human
gingival fibroblasts, osteoblasts and osteogenic sarcoma cells.
AB - INTRODUCTION: Osteonecrosis of the jaw (ONJ) is an emerging condition in patients
undergoing long-term administration of bisphosphonates (BP) for the treatment of
osteoporosis and hypercalcaemia associated with malignancy, multiple myeloma, and
metastatic breast and prostate cancers. This is a follow-up study, its purpose
was to examine the effects in-vitro of intravenous zoledronic acid (ZOL) and
pamidronate (PAM) and oral alendronate (FOS) on the human oral cavity using
gingival fibroblasts and osteoblasts cells and, in addition, osteogenic sarcoma
cells (SaOS-2-cells). MATERIALS AND METHODS: Human gingival fibroblasts,
osteoblasts and SaOS-2-cells were seeded on multiple 6-well plates at a density
of 5 * 10(5)cells in a 4-week cell culture. Four different concentrations (1, 5,
10, 20 MUM) of each BP (ZOL, PAM, FOS) and pyrophosphate were used in this study.
RESULTS: All BP decreased collagen production and lowered cell proliferation in
vitro. ZOL was the component with most inhibitory effect. CONCLUSION: The
findings in this study suggest that ZOL, PAM and FOS generally diminish cell
proliferation and collagen production of human gingival fibroblasts, osteoblasts
and SaOS-2-cells. The present follow-up study shows that not only ZOL and PAM but
also FOS have a strong inhibitory effect on collagen production and cell survival
in-vitro.
PMID- 22082731
TI - Clinical, therapeutic and prognostic features of osteosarcoma of the jaws -
experience of 36 cases.
AB - INTRODUCTION: Osteosarcoma of the jaws (OSJ) differs from osteosarcoma of other
skeletal regions due to later development, a high mortality associated with the
local disease, fewer incidences of metastases and its extreme rarity. In regard
to clinical and pathological parameters as well as therapeutic approaches and
prognosis, OSJ has not been specifically examined to date. In order to achieve a
better understanding of this special malignancy, an evaluation of incidence,
treatment and prognosis of patients with OSJ in our department over the past 38
years was conducted. MATERIALS AND METHODS: A retrospective analysis of patients
with OSJ between 1972 and 2010 was performed. Information regarding patient
characteristics, site of the lesion, main presenting symptoms, latency of initial
diagnosis, treatment, histology, local recurrence, development of metastatic
disease, duration of follow up and survival was obtained. The data were compared
to the literature. RESULTS: Thirty-six patients (2-81 years, mean: 33.9, standard
deviation: 21.3) were diagnosed and treated for OSJ (maxillar:mandibular nearly
1:2). Initial symptoms were local swelling (81%) and pain (47%). The latency
period between fist symptoms and clinical presentation was 3.7 months (1-24). A
radical resection alone was conducted in 15 patients. In nine patients, resection
and radiotherapy was used. Resection with chemotherapy was the treatment of
choice in seven patients. Five patients received a triple combination of
resection, chemo- and radiation therapy. The osteoblastic subtype of osteosarcoma
was most frequent (42%). In 15 cases (42%) local recurrences, in two cases (5%)
metastasis were seen. Of these patients, 13 died within the observation period.
One other patient (3%) died as a result of progressive pulmonary metastasis. A
mean total survival rate of 61% could be seen whereas the highest survival rate
(80%) was found in patients who were treated with neoadjuvant chemotherapy,
radical resection and adjuvant radiation. Positive prognostic factors were a
younger age and tumour-free resection margins. DISCUSSION: OSJ is a highly lethal
tumour entity. According to the data at hand, therapy should possibly include
chemotherapy, radical resection and irradiation. Nevertheless, due to the rarity
of OSJ, information remains limited and the treatment of choice should be within
the focus of clinical multi-centre studies.
PMID- 22082732
TI - Mucormycosis of the head and neck: report of five cases with different
presentations.
AB - Mucormycosis is a lethal fungal disease with a general poor prognosis.
Rhinocerebral presentation is the more frequent form. The purpose of this study
was to review and show our experience in the management of 5 cases of
mucormycosis of the head and neck with different clinical presentations. The high
suspicion led us a prompt diagnosis and aggressive surgical treatment that
allowed a good outcome in our series.
PMID- 22082733
TI - Neonates with seizures: what to consider, how to image.
AB - The immature brain is more prone to seize than the mature brain. Causes of
seizure are multiple and affect different neuroimaging modalities. The most
common associated diseases are hypoxia-ischemia, intracranial hemorrhage and
cerebral infarction, central nervous system infections, and acute metabolic
disturbances. Ultrasound (US) is not specific. Computed tomography (CT) carries
the risk of irradiation and is not as productive as magnetic resonance (MR)
imaging. MR imaging is the modality of choice; it is difficult to perform in a
neonate, but it is more sensitive and versatile than US or CT, and is now widely
used in specialized centers.
PMID- 22082734
TI - MR imaging of the term and preterm neonate with diffuse brain injury.
AB - Both term and preterm neonates suffer from diffuse brain injury. Global hypoxic
ischemic injury (HII) describes the diffuse brain injury most common in term
neonates. HII is thought to result from decreases in blood flow and oxygen
supply. Diffuse white matter injury of prematurity describes the most common
diffuse brain injury in preterm neonates. The cause is likely multifactorial.
Magnetic resonance (MR) imaging is the most sensitive imaging technique for early
diagnosis of brain injury in neonates. This article discusses neonatal diffuse
brain injury, the role of MR imaging in predicting neurodevelopmental outcome,
and research results using MR imaging techniques.
PMID- 22082735
TI - MR imaging workup of inborn errors of metabolism of early postnatal onset.
AB - Immediate or early postnatal onset forms of neurometabolic disorders represent a
clinically important subgroup because these often present as a life-threatening
episode of metabolic decompensation shortly after birth. This article focuses on
this group of diseases, often referred to as "devastating neurometabolic
diseases" of the newborn. Awareness of the most common entities and their
clinical, biochemical, and diagnostic imaging manifestations is important because
if undiagnosed and untreated, the diseases may have catastrophic consequences.
Although formal diagnosis relies on laboratory tests, diagnostic imaging is often
pivotal in both reaching the correct diagnosis and/or orienting further targeted
investigative efforts.
PMID- 22082736
TI - MR imaging of neonatal brain infections.
AB - Infections of the brain in the postnatal period differ from those in older
children as a result of a combination of distinct epidemiologic factors in
general, and immaturity of neonatal brain and immunologic host response in
particular. It has been recognized that clinical and neurologic signs are often
nonspecific, sometimes scarce, and seldom correlate with the extent of
neuroimaging findings, thus warranting an early MR imaging examination in the
course of the disease, enabling rapid therapy institution and better clinical
outcome. This article reviews most of postnatal pathogen agents involved in
neonatal brain infections, related physiopathology, and neuroimaging findings.
PMID- 22082737
TI - Birth-related injury to the head and cervical spine in neonates.
AB - Birth-related injury is defined as any traumatic or ischemic event sustained
during the process of delivery. Perinatally acquired disease processes secondary
to birth-related injury can be traumatic or ischemic in nature. In this article,
the authors focus on traumatic/mechanical injuries. Other diseases of the
perinatal time period, including germinal matrix hemorrhages and hypoxic-ischemic
encephalopathy, are beyond the objective of this review.
PMID- 22082738
TI - Imaging of neonatal child abuse with an emphasis on abusive head trauma.
AB - Child abuse and neglect is a serious clinical and socioeconomic problem that is
sometimes underestimated. One of the most devastating forms is abusive head
trauma. This review addresses the radiological workup in cases of suspected child
abuse. The use of all modalities, and their advantages and disadvantages, is
discussed. A special section is devoted to the radiological report in cases of
child abuse, as a clinical record and a legal document.
PMID- 22082739
TI - Congenital cardiovascular malformations: noninvasive imaging by MRI in neonates.
AB - Owing largely to advances in fetal echocardiography, in most developed countries
the diagnosis of severe congenital heart disease (CHD) is now made during
gestation, and delivery is electively planned in hospitals that have the
facilities and expertise to manage these patients, with magnetic resonance (MR)
imaging performing an important complementary role. MR imaging as a sole imaging
modality for comprehensive presurgical evaluation is also increasingly being
explored. This article focuses on the imaging of neonatal CHD by MR, followed by
a brief discussion of the safety of gadolinium-based contrast agents in this age
group.
PMID- 22082740
TI - Congenital cardiac defects and MR-guided planning of surgery.
AB - In neonates and infants with congenital heart disease (CHD), cardiovascular
magnetic resonance (CMR) is an established imaging modality in all patients in
whom echocardiography does not provide sufficient information and definitive
diagnosis. CMR is noninvasive, and does not involve vascular catheterization or
ionizing radiation. Therefore the use of CMR obviates the potential risks of
cardiac catheterization in critically ill infants. This article discusses the use
of CMR in newborns with CHD before cardiac surgery, focusing on conotruncal
anomalies, pulmonary venous anomalies, complex CHD in visceroatrial heterotaxy,
borderline hypoplastic left heart syndrome, and the use of contrast medium in
newborns.
PMID- 22082741
TI - MR imaging of the neonatal musculoskeletal system.
AB - Experience in magnetic resonance (MR) imaging of the neonatal musculoskeletal
system is rapidly increasing. The exquisite ability of MR to image the soft
tissues, especially cartilage, without radiation is its key strength. Although it
is not practical or sensible to undertake MR imaging in conditions in which
radiography and ultrasound provide adequate information, MR is proving to be a
useful adjunct and problem-solving tool in many neonatal musculoskeletal
conditions.
PMID- 22082742
TI - MR imaging of the newborn.
PMID- 22082746
TI - Pleomorphic adenoma of the submandibular gland in children: a case report and a
review of the Japanese literature.
AB - An 8-year-old girl was introduced to our department due to the presence of a left
painless submandibular mass. The mass had been initially noticed at 7 years of
age. Preoperative imaging showed the mass to have originated from the left
submandibular gland. The mass was removed with a part of submandibular gland
attached to it. The pathologic findings showed the mass to be pleomorphic adenoma
without any malignant components. The postoperative clinical course was
uneventful. During the 1-year follow-up period, no recurrence was noticed. In
addition to the clinical report of our case, we reviewed the pertinent Japanese
literature to clarify the clinical features of this disease in children.
PMID- 22082744
TI - Histiocyte-rich xanthomatous pseudotumor mimicking relapse on positron emission
tomography imaging in an adolescent with primary mediastinal diffuse large B-cell
lymphoma.
AB - Inflammatory pseudotumors (IPTs) are rare, enigmatic lesions that may develop as
a late manifestation of a reparative process. We describe the case of a teenager
with primary mediastinal subtype of diffuse large B-cell lymphoma who developed
an IPT at the site of the original lymphoma, mimicking relapse of disease on
positron emission tomography/computed tomography imaging. This is the first
report of IPT in a teenager with mediastinal lymphoma. This case is an important
reminder of the limitations of positron emission tomography/computed tomography
imaging in patients with lymphoma and stresses the importance of histologic
confirmation of suspected treatment failure or relapse.
PMID- 22082743
TI - Juvenile cobalamin deficiency in a 17-year-old child with autonomic dysfunction
and skin changes.
AB - We report a rare case of juvenile cobalamin deficiency who presented at the age
of 17 years. He was underweight and had skin changes, normocytic anemia, and
autonomic dysfunction, which led to adynamic ileus and acute postrenal failure.
The expected macrocytosis was masked by an underlying alpha-thalassemia trait.
The patient had an excellent response to parenteral cobalamin treatment.
PMID- 22082747
TI - A multimethod assessment of psychosocial functioning and late effects in
survivors of childhood cancer and hematopoietic cell transplant.
AB - Previous research in childhood cancer and hematopoietic cell transplant (HCT)
survivorship has relied on the use of standardized questionnaires that assess
symptoms of psychological functioning but do not sufficiently capture the cancer
survivorship experience. Study aims are to quantitatively and qualitatively
assess the psychosocial functioning of pediatric cancer and HCT survivors seen in
a multidisciplinary survivorship clinic, determine survivorship concerns, and
assess potential demographic and medical correlates of psychosocial outcomes.
Data were collected using a retrospective chart review of a parent-report
questionnaire of the child's psychological functioning, responses to a
semistructured interview that qualitatively assessed adjustment to life after
treatment, and documented medical late effects. Results indicated the majority of
survivors had healthy psychological adjustment based upon a parent-report
questionnaire. However, nearly 72% of survivors reported 1 or more survivorship
concerns during the interview, with the primary concerns being current and future
health or physical functioning, including the possibility of cancer recurrence. A
content analysis of the interview responses indicated HCT survivors had more
school or cognitive functioning concerns compared with survivors who did not have
an HCT. Further research should use survivorship-specific measures to better
identify survivors at risk and determine the impact of late effects on their
quality of life.
PMID- 22082748
TI - Breast-feeding for the management of painful procedures.
PMID- 22082749
TI - Right pulmonary artery to left atrial fistula: a description of two cases,
emphasising a diagnostic approach.
AB - Right pulmonary artery to left atrial fistula is a rare pathology characterised
by a right to left shunt. Another important aspect of this pathology is the
difficulty encountered in making a diagnosis, which is why the diagnosis is
frequently delayed into adulthood. A description of two cases is used to
emphasise the importance of the different modes of echocardiography as a
diagnostic tool in diagnosis, as well as the two different clinical forms that it
adopts: a group of patients suffering cardiac failure and cyanosis without
apparent cause generally in neonates and a second group of mostly older patients
with dyspnoea and cyanosis without apparent cause. Symptoms thus differ depending
on the time of presentation and are related to the size of the fistula.
PMID- 22082751
TI - Flaporhexis: rapid and effective technique to limit epithelial ingrowth after
LASIK enhancement.
AB - We describe a method of lifting and replacing the laser in situ keratomileusis
(LASIK) flap to reduce the incidence of epithelial ingrowth beneath the flap
after LASIK enhancement. In the rapid flaporhexis technique, the flap edge is
opened by 1 clock hour with a Sinskey hook and the flap is peeled back after the
exposed edge is grasped with a forceps. When necessary, further blunt retraction
of the flap is performed with a triangular polyvinyl acetate sponge. After
ablation and before the flap is replaced, a triangular sponge is used to clear
epithelial remnants from the interface. This method consistently produces a
smooth epithelial dissection and decreases the possibility that epithelium is
retained beneath the flap.
PMID- 22082750
TI - Preoperative iris configuration and intraocular pressure after cataract surgery.
AB - PURPOSE: To determine predictors of long-term intraocular pressure (IOP) after
cataract surgery. SETTING: Hunter Holmes McGuire Veterans Administration
Hospital, Richmond, Virginia, USA. DESIGN: Case series. METHODS: Clinical
variables, IOP by applanation tonometry, anatomic features on anterior segment
optical coherence tomography (AS-OCT), and gonioscopy were assessed before and
after uneventful cataract surgery in eyes with open filtration angles.
Multivariate linear regression of preoperative measurements was used to predict
the mean IOP from 2 to 18 months postoperatively. RESULTS: The study enrolled 77
eyes (77 patients). Prediction of the mean postoperative IOP improved when up to
4 preoperative IOP values were averaged (r(2) = 0.20) compared with using the
final preoperative IOP value only (r(2) = 0.13). The mean iris cross-sectional
area decreased after surgery, from 3.84 mm(2) to 3.70 mm(2) (P=.01). The mean
convex hull of the iris segments also decreased, from 5.05 mm(2) to 4.19 mm(2)
(P<.001). The mean postoperative IOP was independently predicted by the
preoperative average IOP, primary open-angle glaucoma, and the convex hull of
cross-sectional iris segments (P=.001, model r(2) = 0.38) or iris cross-sectional
area (P=.003, model r(2) = 0.36). Phacoemulsification parameters, incision type,
and anterior chamber angle and depth did not predict postoperative IOP.
CONCLUSIONS: Averaging up to 4 preoperative IOP values improved postoperative IOP
predictions. A high iris cross-sectional area or convex hull of the iris segments
on AS-OCT was associated with lower postoperative IOP. These findings might help
identify patients who are likely to have the largest IOP drop after cataract
surgery.
PMID- 22082753
TI - Testosterone and cardiovascular risk in patients with erectile dysfunction.
AB - BACKGROUND: The relationship between cardiovascular (CV) diseases (CVD) and
testosterone (T) levels in men has not been completely clarified. AIM: To
evaluate the association between T levels and CV risk in subjects with erectile
dysfunction (ED) and to verify whether their body mass index might (BMI)
represents a possible confounder in T-related CV stratification. MATERIAL AND
METHODS: A consecutive series of 2269 male patients attending the Outpatient
Clinic for ED was studied. The assessment of CV risk was evaluated using the
engine derived from the Progetto Cuore study. RESULTS: After adjustment and for
BMI and associated morbidities, SHBG-bound and -unbound T levels decreased as a
function of CV risk assessed thorough Progetto Cuore risk engine. In addition, a
higher prevalence of hypogonadism related symptoms and signs was associated with
a higher CV risk. Among factors included in the Progetto Cuore risk engine age,
total and HDL cholesterol and diabetes were all significantly associated with CV
risk-dependent modification of total and calculated free-T levels. When the
relationship between SHBG bound and unbound T and CV risk was evaluated as a
function of obesity (BMI>30 kg/m(2)), all the aforementioned associations were
confirmed only in non obese patients. CONCLUSIONS: Hypogonadism could be
associated either with an increased or reduced CV risk, depending on the
characteristics of subjects. Low T observed in obese patients might represent the
result of higher CV risk rather than a direct pathogenetic mechanism.
PMID- 22082752
TI - Comparison of clinical outcomes with 2 small-incision diffractive multifocal
intraocular lenses.
AB - PURPOSE: To evaluate and compare the clinical results of 2 diffractive multifocal
small-incision intraocular lenses (IOLs) implanted after biaxial microincision
cataract surgery (MICS). SETTING: Ataturk Training and Research Hospital, 2nd
Ophthalmology Department, Ankara, Turkey. DESIGN: Comparative case series.
METHODS: Eyes that had biaxial MICS with implantation of an Acri.Lisa 366D IOL
(Group 1) or Acriva Reviol MFM 611 IOL (Group 2) were followed for at least 6
months postoperatively. Uncorrected distance (UDVA), intermediate (UIVA), and
near (UNVA) visual acuities; corrected distance visual acuity; distance-corrected
intermediate and near visual acuities; and contrast sensitivity measurements with
and without glare were determined. Early and late complications and subjective
complaints were recorded and evaluated. RESULTS: The study enrolled 60 eyes of 32
patients. The preoperative and intraoperative data were comparable in the 2 IOL
groups. There were no statistically significant postoperative differences in the
mean spherical equivalent (Group 1, -0.30 diopter (D) +/- 0.30 [SD]; Group 2,
0.26 +/- 0.28 D; P=.584), mean UDVA (0.80 +/- 0.14 and 0.86 +/- 0.17,
respectively; P=.158), and mean Jaeger UNVA (1.46 +/- 0.73 and J 1.23 +/- 0.50,
respectively; P=.155). However, there was a significant difference in mean Jaeger
UIVA (3.06 +/- 0.90 and 2.23 +/- 0.72, respectively; P=.000). Mesopic contrast
sensitivity and the incidence of complications and dysphotopsia symptoms were not
significantly different between the 2 IOL groups. CONCLUSIONS: Both IOLs provided
excellent distance and near visual acuity and contrast sensitivity. The Group 2
IOL gave better intermediate distance results.
PMID- 22082754
TI - Microwave induced shift of the main phase transition in phosphatidylcholine
membranes.
AB - Numerous experimental evidence show that exposure of biological systems to
extremely high frequency microwaves may induce significant effects even at low
powers. These effects are thought to occur via nonthermal mechanisms involving
primarily the interaction of microwaves with phospholipid membrane structures.
However, no conclusive experimental evidence that biomembranes exhibit remarkable
sensitivity to this radiation has been provided up to now. Here, deuterium
nuclear magnetic resonance spectroscopy is used to study the effects of
microwaves on 1,2-Dimyristoyl-sn-glycero-3-phosphatidylcholine/(2)H(2)O
multilamellar vesicles that serve as biomimetic membranes. Here we show that, if
the membrane is brought into close proximity to the transition point, microwaves
induce a reduction of water ordering at the membrane interface, an upward shift
of the main phase transition temperature and a broadening of the transition
region. A deep dosimetric analysis shows that the above effects are nonthermal,
indicating the need for a nonthermal hypothesis to explain them. This study
suggests that exposure to high-frequency microwaves can have far reaching
consequences on active biological systems.
PMID- 22082755
TI - Early-stage Hodgkin disease in a 24-year-old woman.
PMID- 22082756
TI - Low-grade follicular lymphoma of the small intestine: a challenge for management.
PMID- 22082757
TI - MicroRNAs and cancer: introduction.
PMID- 22082758
TI - MicroRNAs in the pathogenesis of cancer.
AB - MicroRNAs (miRs) are small (19-25 nucleotides) non-protein-coding RNAs involved
in development, differentiation, and aging; they act by inducing messenger RNA
(mRNA) silencing through degradation, and post-transcriptional or decoy activity.
miR profiles of human solid and hematologic malignancies have highlighted their
potential value as tumor markers in cancer patient management. Different
experimental lines of evidence have confirmed that deregulation of miRs not only
results as consequence of cancer progression but also directly promotes tumor
initiation and progression in a cause-effect manner. These findings reveal a
potential and appealing role for miRs as cancer therapeutic targets. This review
focuses on the causes and consequences of miR deregulation in carcinogenesis and
tumor progression. The work aims at providing the molecular bases for the
understanding of the potential role of miRs in the translational and clinical
setting.
PMID- 22082760
TI - MicroRNAs in mutagenesis, genomic instability, and DNA repair.
AB - MicroRNAs (miRNAs) are aiding our understanding of cancer biology, and are now
coming close to therapeutic use as well. Here, we focus specifically on the
interaction between miRNAs and genomic instability. MiRNA regulation is essential
to many cellular processes, and escape from this regulatory network seems to be a
common characteristic of malignant transformation. Genomic instability may
preferentially target miRNAs either because of selective pressure or because of
inherent vulnerability related to their location near fragile sites. Furthermore,
disruption of miRNA processing elements affords a more global release from miRNA
regulation. Finally, we review how miRNAs function as both effectors and
modulators of the DNA damage response, intricately weaved with traditional
elements such as ATM, P53, and MMR. Thus, miRNAs are important substrates for
genomic instability and play a crucial role in cellular DNA sensing and repair
mechanisms.
PMID- 22082759
TI - Alterations of microRNAs contribute to colon carcinogenesis.
AB - MicroRNAs are being evaluated as biomarkers and therapeutic targets for colon
cancer. MicroRNAs have a functional role in the initiation and progression of
colon cancer. Altered microRNA expression is found in tumors and their expression
patterns may serve as useful cancer biomarkers. Polymorphisms in microRNAs or
microRNA binding sites may modify the risk of developing cancer. As we continue
to improve our understanding of the role for microRNAs in the initiation and
progression of cancer, one goal is to gain insights that will allow for the
development of microRNAs as biomarkers and therapeutic targets for cancer. This
review provides a current understanding of the connection between microRNAs and
colon cancer. We will cover evidence that global microRNA expression patterns are
altered in colon tumors, that specific microRNAs have a functional role in colon
carcinogenesis, that polymorphisms in microRNAs may be associated with risk of
colon cancer, and the potential for using circulating microRNAs as a noninvasive
biomarker for the detection of cancer.
PMID- 22082762
TI - MicroRNAs: toward the clinic for breast cancer patients.
AB - Expression of microRNAs (miRNAs) has been found to be deregulated in all human
cancers, where they may behave either as oncogenes or as tumor-suppressor genes.
In the last 5 years, miRNA investigations in breast cancer represented an
exciting area of discovery, which produced new knowledge on the molecular basis
of this disease, tools for molecular classification, and new markers with
diagnostic and prognostic relevance, as well as the discovery of novel breast
cancer-predisposing genes. In this review, we describe current knowledge of the
role of microRNAs in breast cancer.
PMID- 22082761
TI - The role of microRNAs in human liver cancers.
AB - Hepatocellular carcinoma (HCC) is a primary malignancy of the liver of global
importance. Recent studies of the expression and role of microRNA (miRNA) in HCC
are providing new insights into disease pathogenesis. In addition, therapeutic
efforts targeting specific miRNAs are being evaluated in animal models of HCC.
The potential of miRNAs as biomarkers of disease or prognostic markers is being
explored. Herein, we review studies of miRNA expression in human HCC, and discuss
recent advances in knowledge about the involvement and role of selected miRNAs in
disease pathogenesis, as biomarkers, or as therapeutic targets for HCC.
PMID- 22082763
TI - Clinical implications of microRNAs in lung cancer.
AB - Lung cancer still represents a very deadly disease in strong need of new,
effective, therapeutic approaches. MicroRNAs (miRNAs) represent a new category of
noncoding RNAs with gene expression regulatory functions. Several studies have
shown that miRNAs are frequently deregulated in lung cancer patients with respect
to healthy individuals. These aberrations of the miRNome (defined as the full
spectrum of miRNAs in a given genome) occur at several levels, including primary
tumors and patient body fluids (such as blood and sputum), suggesting that miRNAs
can be effectively used as biological markers with diagnostic, prognostic, and
predictive implications. This review focuses on these translational aspects of
research on the field of miRNAs and lung cancer.
PMID- 22082764
TI - Potential applications of microRNAs in cancer diagnosis, prognosis, and
treatment.
AB - Early studies have established that microRNAs (miRNAs) are widely deregulated in
cancer and play a critical role in cancer pathogenesis. Recent research efforts
are directed now towards translating these basic discoveries into novel tests or
treatments that could improve the diagnosis and outcome of cancer patients. In
this review, we will summarize the potential applications of miRNAs for cancer
diagnosis, prognosis, and treatment. In addition, we will discuss current
pitfalls and future directions.
PMID- 22082765
TI - Using virtual reality to provide health care information to people with
intellectual disabilities: acceptability, usability, and potential utility.
AB - BACKGROUND: People with intellectual disabilities have poor access to health
care, which may be further compromised by a lack of accessible health
information. To be effective, health information must be easily understood and
remembered. People with intellectual disabilities learn better from multimodal
information sources, and virtual reality offers a 3-dimensional (3D) computer
generated environment that can be used for providing information and learning. To
date, research into virtual reality experiences for people with intellectual
disabilities has been limited to skill-based training and leisure opportunities
within the young to mid age ranges. OBJECTIVE: This study assessed the
acceptability, usability, and potential utility of a virtual reality experience
as a means of providing health care-related information to people with
intellectual disabilities. We designed a prototype multimodal experience based on
a hospital scenario and situated on an island in the Second Life 3D virtual
world. We wanted to know how people of different ages and with varying levels of
cognitive function would participate in the customized virtual environment, what
they understood from being there, and what they remembered a week later. METHODS:
The study drew on qualitative data. We used a participatory research approach
that involved working alongside people with intellectual disabilities and their
supporters in a community setting. Cognitive function was assessed, using the
Matrix Analogies Test and the British Picture Vocabulary Scale, to describe the
sample. Participants, supported by facilitators, were video recorded accessing
and engaging with the virtual environment. We assessed recall 1 week later, using
a specialized interview technique. Data were downloaded into NVivo 8 and analyzed
using the framework analysis technique. RESULTS: Study participants were 20
people aged between 20 and 80 years with mild to severe intellectual
disabilities. All participants were able to access the environment and
voluntarily stayed there for between 23 and 57 minutes. With facilitator support,
all participants moved the avatar themselves. Participants engaged with the
scenario as if they were actually there, indicating cognitive presence. Some
referred back to previous medical experiences, indicating the potential for
experiential knowledge to become the foundation of new learning and retention of
knowledge. When interviewed, all participants remembered some aspects of the
environment. CONCLUSIONS: A sample of adults with intellectual disabilities of
all ages, and with varying levels of cognitive function, accessed and enjoyed a
virtual-world environment that drew on a health care-related scenario, and
remembered aspects of it a week later. The small sample size limits
generalizability of findings, but the potential shown for experiential learning
to aid retention of knowledge on which consent is based appears promising.
Successfully delivering health care-related information in a non-National Health
Service setting indicates potential for delivery in institutional, community, or
home settings, thereby widening access to the information.
PMID- 22082766
TI - The impact of rhythm complexity on brain activation during simple singing: an
event-related fMRI study.
AB - PURPOSE: Since rhythmical aspects of singing have been neglected up to now our
objective was to investigate if it was possible to specify areas concerned with
rhythm processing during simple singing. METHODS: In an event-related fMRI
experiment we tested 30 healthy non-musicians with rhythm sequences, which had to
be repeated as monotonously sung vowel changes with (1) regular groupings, (2)
regular groupings and rests, and (3) irregular groupings. RESULTS: Common
activations for all conditions were found in bilateral supplementary motor area,
premotor cortex more distinct in the left hemisphere, left cingulate gyrus, and
right basal ganglia. Only irregular groupings making the highest demands on
attention, working memory, and sequencing capabilities resulted in additional
activation of pars orbitalis and insula more distinct in the left hemisphere, as
well as bilateral cingulate gyrus, and parietal lobes. Our analyses demonstrated
that bilateral pars orbitalis (BA 47), insula, and left cingulate gyrus are core
areas whose activity correlates with rhythm complexity. CONCLUSIONS: Rhythm
structure is a decisive factor concerning lateralization as well as activation of
specific areas during simple singing. This finding suggests a directed use of the
singing voice e.g., in order to support language rehabilitation in patients.
PMID- 22082767
TI - 4-iodophenyl isothiocyanate: a neuroprotective compound.
AB - PURPOSE: Naturally occurring isothiocyanates (ITCs) are known to possess
chemopreventive and neuroprotective properties. Our objective was to study the
synthetic ITC 4-iodophenyl isothiocyanate (4-IPITC) in different models of
neurodegeneration. METHODS: In vitro, we exposed primary cortical neurons to
various insults such as excessive glutamate exposure, oxygen-glucose deprivation,
oxidative stress and 1-methyl-phenylpyridinium (MPP+). In vivo, experimental
autoimmune encephalomyelitis (EAE) was induced in dark agouti rats treated with 4
IPITC in 3 different concentrations (10, 20 and 40 mg/kg), orally for 28 days. In
a Parkinson's model, 1-methyl-4-phenyl-1,2,3,6-tetrahydropyridine (MPTP) was
injected in mice pretreated with 4-IPITC (5 mg/kg, orally) for 1 week. Nest
building behavior at day 1, 3 and 6 after MPTP injection was assessed along with
dopamine and metabolites, and tyrosine hydroxylase (TH) staining on termination
day 6. RESULTS: 4-IPITC successfully reduced cell death in all in vitro assays.
Moreover, in two independent neurite outgrowth assays the compound showed
neurotrophic properties. In the EAE study, 4-IPITC significantly delayed the day
of onset and decreased the cumulative EAE score. Although the number of animals
in this MPTP study was limited, 4-IPITC showed potential for dampening toxicity.
CONCLUSIONS: Taken together, our in vitro findings suggest robust neuroprotective
and neurotrophic properties of 4-IPITC, which was confirmed in two in vivo models
of neurodegeneration.
PMID- 22082768
TI - Imaging in gynecology.
PMID- 22082769
TI - Imaging of acute pelvic pain.
AB - Determining the cause of acute pelvic pain in the female patient is often a
clinical challenge. Diagnostic imaging can be invaluable in this situation.
Ectopic pregnancy, pelvic inflammatory disease, and hemorrhagic ovarian cysts are
the most commonly diagnosed gynecologic conditions presenting with acute pelvic
pain. Ovarian torsion and degenerating fibroids occur less frequently. Other
causes to consider include endometriosis, and postpartum causes such as
endometritis, or ovarian vein thrombosis. Finally, nongynecologic conditions may
overlap in their presentation of acute pelvic pain and should also be considered.
The most important of these is acute appendicitis.
PMID- 22082770
TI - Imaging of benign adnexal masses: characteristic presentations on ultrasound,
computed tomography, and magnetic resonance imaging.
AB - Adnexal masses are common in the general population. Although ovarian cancer is a
significant cause of mortality, large screening studies demonstrate that the
majority of adnexal masses are benign. These benign masses include physiologic
cysts, paraovarian masses, and benign ovarian lesions. It is important that
gynecologists and radiologists appreciate the appearance of these lesions on
multiple imaging modalities, including ultrasound, computed tomography, and
magnetic resonance imaging to avoid unnecessary intervention.
PMID- 22082771
TI - Imaging of Mullerian duct anomalies.
AB - Mullerian duct anomalies (MDAs) are relatively common disorders that are
particularly relevant in patients with infertility, recurrent pregnancy loss, or
a history of preterm labor. Accurate characterization of MDAs is crucial to the
implementation of appropriate treatment. Various imaging modalities have been
used in the evaluation of MDAs, including hysterosalpingography, ultrasound, and
magnetic resonance imaging (MRI). Although hysterosalpingography and ultrasound
may suggest a Mullerian duct anomaly, further evaluation by MRI is often
required. MRI is the best imaging method available because of its superior
ability to reliably visualize complex uterovaginal anatomy.
PMID- 22082772
TI - Imaging of endometrial pathology.
AB - The normal endometrium changes regularly with the menstrual cycle and atrophies
after menopause. It is important to be aware of the normal spectrum of
endometrial appearances at imaging to accurately detect and diagnose pathologic
conditions. This article reviews imaging features of the normal and abnormal
endometrium, and conditions which may mimic endometrial pathology. Emphasis will
be on ultrasound with sonohysterography and magnetic resonance imaging as these
are the imaging modalities of choice for evaluation of the endometrium. The
complementary role of hysterosalpingography, computed tomography, and 18
fluorodeoxyglucose-positron emission tomography will also be discussed.
PMID- 22082773
TI - Ovarian cancer: detection and radiologic staging.
AB - Ovarian cancer is the second most common gynecologic malignancy. It is the
deadliest, largely owing to late stage at the time of diagnosis. Ultrasound is
modality of choice in the evaluation of suspected adnexal masses. Magnetic
resonance imaging is an excellent problem solver when an adnexal mass is
indeterminate on ultrasound. Staging of ovarian cancer remains surgical, though
preoperative imaging can identify inoperable patients and identify suspicious
sites for intraoperative biopsy. This article reviews the use of different
imaging modalities in the detection and staging of ovarian carcinoma, and
discusses imaging indications, radiologic features, and the shortcomings of
imaging.
PMID- 22082774
TI - Imaging of cervical pathology.
AB - A variety of benign and malignant entities affect the uterine cervix. These are
discussed and illustrated. Cross-sectional and functional imaging can improve the
accuracy of traditional clinical cervical cancer staging. Emphasis is placed on
magnetic resonance imaging for initial staging and fused positron emission
tomography-computed tomography for restaging and surveillance. The imaging
appearance of benign cervical pathology is reviewed with ultrasonography as the
first-line imaging modality and magnetic resonance imaging for problem solving in
difficult cases.
PMID- 22082775
TI - Effect of colour LEDs on mycelia growth of Aspergillus ficuum and phytase
production in photo-fermentations.
AB - Aspergillus ficuum grown on plates and in liquid cultures were illuminated by a
white fluorescent light and four different colour LED lights (white, blue, green
and red) to evaluate the regulation of LED lights on fungal growth. Biomass
conversion, pellet size and phytase activity were examined. In liquid culture,
luminous intensity was highly correlated with the rate of biomass conversion but
did not affect pellet size. The white fluorescent light contained several
different wavelengths, and therefore, its effect on A. ficuum represents the
cooperative effect of these wavelengths. Strong luminance of a white fluorescent
light inhibited growth of A. ficuum mycelia on plates, whereas white LED light
enhanced growth. The development of mycelia was also inhibited by blue LED light
and enhanced by red LED light illumination. Investigating the effect of LED
lights on the growth of A. ficuum could provide evidence on the luminous
intensity that is sufficient for regulating fermentation by light.
PMID- 22082776
TI - Lifetime-based sensing of the hyaluronidase using fluorescein labeled hyaluronic
acid.
AB - In this report we propose a lifetime-based sensing (LBS) for the detection of
hyaluronidase (HA-ase). First, we heavily label hyaluronan macromolecules (HAs)
with fluorescein amine. The fluorescein labeled HA (HA-Fl) has a weak
fluorescence and short fluorescence lifetime due to an efficient self-quenching.
Upon the addition of HA-ase, the brightness and lifetime of the sample increase.
The cleavage of an HA macromolecule reduces the energy migration between
fluorescein molecules and the degree of the self-quenching. A first order of the
cleavage reaction depends on the amount of the HA-ase enzyme. We describe an HA
ase sensing strategy based on the lifetime changes of the fluorescein labeled HA
in the presence of HA-ase. We demonstrate that the calibration of the sensing
response is the same for the average lifetime as for a single exponential decay
approximation, which significantly simplifies the analysis of the sensing
measurements.
PMID- 22082777
TI - Nonneoplastic signet-ring cell change in gastrointestinal and biliary tracts: a
pitfall for overdiagnosis.
AB - Nonneoplastic signet-ring cell change (SRCC) is a rare but known phenomenon in
gastrointestinal and biliary tracts and is always associated with underlying
mucosal ulceration/erosion secondary to infection, ischemia, or other etiology.
Because nonneoplastic SRCC closely mimics signet-ring cell adenocarcinoma (SRCA),
differentiation of these 2 entities is critical because misdiagnosis of
nonneoplastic SRCC as SRCA can lead to intense therapeutic interventions such as
surgery and/or chemoradiation therapy. In this review, a brief overview on
nonneoplastic SRCC in gastrointestinal and biliary tracts, including the spectrum
of clinical presentation, important histologic features, and immunohistochemical
markers that are useful in differentiating nonneoplastic SRCC from SRCA, is
provided. The pathogenesis of nonneoplastic SRCC in gastrointestinal and biliary
tracts is discussed.
PMID- 22082778
TI - Post-ablation tubal sterilization syndrome.
PMID- 22082779
TI - The final option.
PMID- 22082780
TI - Prenatal screening for fetal aneuploidy.
PMID- 22082781
TI - Prenatal screening for fetal aneuploidy.
PMID- 22082782
TI - Evaluation of fetal liver volume by tridimensional ultrasound in women with
gestational diabetes mellitus.
AB - OBJECTIVE: To evaluate the effect of gestational diabetes mellitus (GDM) on fetal
liver growth during the third trimester. METHODS: We performed a longitudinal
study of pregnant women recruited at the time of GDM screening (24 to 28 weeks of
gestation), with follow-up visits at 32 weeks, 36 weeks, and delivery. Women with
GDM were followed with nutritional recommendations and insulin when necessary
according to the Canadian Diabetes Association guidelines. Fetal liver volume was
evaluated using 3-D ultrasound at each antenatal visit, and fetal liver growth
was compared between women with and without GDM. RESULTS: Twenty-seven women were
recruited, 10 with normal glucose tolerance (NGT) and 17 with confirmed GDM, five
who required insulin and 12 who were treated by diet only. We found no difference
in fetal liver volume between groups at any of the three visits, and median birth
weight was also similar between groups. On the other hand, we found a strong
correlation between fetal liver volume at 36 weeks' gestation and birth weight
(rho = 0.61, P < 0.001). CONCLUSIONS: In our preliminary study, we found that
fetal liver volume could be a strong predictor of infant birth weight independent
of GDM status. This suggests that fetal liver volume of offspring of women with
NGT is similar to that of offspring of women with GDM treated following
recommended targets. Larger studies are required.
PMID- 22082783
TI - Carbetocin versus oxytocin for prevention of postpartum hemorrhage in patients
with severe preeclampsia: a double-blind randomized controlled trial.
AB - OBJECTIVE: In patients with severe preeclampsia there is an increased risk of
postpartum hemorrhage, but the hemodynamic changes associated with severe
preeclampsia make the management of any kind of bleeding particularly
troublesome. There are many pharmacological options for the management of
postpartum hemorrhage, oxytocin being the first line of treatment. There is as
yet no evidence about the safety and efficacy of using carbetocin, an oxytocin
agonist, in these patients. We aimed to compare oxytocin with carbetocin for the
routine prevention of postpartum hemorrhage in patients with severe preeclampsia.
METHODS: We performed a prospective double-blind randomized controlled trial in
60 women with severe preeclampsia, recruited between July and September 2010. The
women were randomized to receive either oxytocin or carbetocin during the third
stage of labour. The primary outcome measure was postpartum hemorrhage requiring
additional uterotonics, and the secondary outcome measures were the difference in
hemoglobin levels between groups, the development of oliguria, and hemodynamic
status (mean arterial pressure and heart rate) after administration of the drug.
RESULTS: Carbetocin was as effective as oxytocin in the prevention of postpartum
hemorrhage in women with severe preeclampsia. Carbetocin had a safety profile
similar to that of oxytocin, and it was not associated with the development of
oliguria or hypertension in this cohort. CONCLUSIONS: Carbetocin is an
appropriate alternative to oxytocin for the prevention of postpartum hemorrhage
in women with severe preeclampsia. Considering that it appears not to have a
major hemodynamic effect in women with severe preeclampsia and that it uses a
lower volume per dose than oxytocin, it should be considered a valid option in
the management of the third stage of labour in women with hypertensive disorders
of pregnancy.
PMID- 22082784
TI - Comparison of maternity experiences of Canadian-born and recent and non-recent
immigrant women: findings from the Canadian Maternity Experiences Survey.
AB - OBJECTIVE: To compare the maternity experiences of immigrant women (recent, <= 5
years in Canada; non-recent > 5 years) with those of Canadian-born women.
METHODS: This study was based on data from the Canadian Maternity Experiences
Survey of the Public Health Agency of Canada. A stratified random sample of 6421
women was drawn from a sampling frame based on the 2006 Canadian Census of
Population. Weighted proportions were calculated using survey sample weights.
Multivariable logistic regression was used to estimate odds ratios comparing
recent immigrant women with Canadian-born women and non-recent immigrant women
with Canadian-born women, adjusting for education, income, parity, and maternal
age. RESULTS: The sample comprised 7.5% recent immigrants, 16.3% non-recent
immigrants, and 76.2% Canadian-born women. Immigrant women reported experiencing
less physical abuse and stress, and they were less likely to smoke or consume
alcohol during pregnancy, than Canadian women; however, they were more likely to
report high levels of postpartum depression symptoms and were less likely to have
access to social support, to take folic acid before and during pregnancy, to rate
their own and their infant's health as optimal, and to place their infants on
their backs for sleeping. Recent and non-recent immigrant women also had
different experiences, suggesting that duration of residence in Canada plays a
role in immigrant women's maternity experiences. CONCLUSION: These findings can
assist clinicians and policy-makers to understand the disparities that exist
between immigrant and non-immigrant women in order to address the needs of
immigrant women more effectively.
PMID- 22082786
TI - The Ottawa and Kingston (OaK) Birth Cohort: development and achievements.
AB - OBJECTIVE: The Ottawa and Kingston (OaK) Birth Cohort collected data on maternal
and infant genetic and epidemiologic variables in order to (1) explore the
association between folate supplementation or thrombophilias and adverse,
placenta-mediated pregnancy outcomes; (2) create a biobank of maternal and infant
biological and genetic samples; and (3) stimulate future research using this
cohort data. METHODS: A prospective cohort design was used to collect mother and
infant data. Women were recruited at 12 to 20 weeks' gestation, during prenatal
care visits at The Ottawa Hospital and Kingston General Hospital from October
2002 to April 2009. Data on maternal and infant demographics, maternal health,
obstetrical history, and pregnancy outcomes were collected. Testing was done for
biological/serological markers associated with adverse pregnancy outcomes.
Maternal and cord blood samples were biobanked. RESULTS: A total of 8085 mothers
were recruited to the OaK Birth Cohort. Analysis of the cohort data showed that
taking multivitamin supplements containing folic acid was associated with a
reduced risk of preeclampsia (aOR 0.37; 95% CI 0.18 to 0.75). The majority of
participants agreed to have their genetic/biological samples biobanked (samples
collected for n = 7241 mothers, n = 2175 infants) and to be re-contacted
regarding future research opportunities. CONCLUSION: Birth cohorts are a useful
tool in determining associations between risk factors and adverse maternal and
fetal outcomes, and can serve as a repository of data for future research.
Creating strong research partnerships helped enhance financial resources and
increase participant recruitment potential. Epidemiological and biobanked data
and samples from the OaK Birth Cohort are available for use by other
investigators.
PMID- 22082785
TI - Why do Canadian women fail to achieve optimal pre-conceptional folic acid
supplementation? An observational study.
AB - OBJECTIVES: To determine the factors that put Canadian women at risk for not
supplementing with folic acid (FA) in the three months before conception, as
recommended for the prevention of infant neural tube defects. METHODS: This study
used data from the Canadian Maternity Experiences Survey. We used Poisson
regression analysis with a robust variance to determine which factors were
associated with women not supplementing with FA in the three months prior to
pregnancy as compared with women who did supplement. RESULTS: Of the 6421 women
surveyed, 57.7% were supplementing with FA pre-conceptionally. The risk factors
associated with a lack of FA supplementation pre-conceptionally were maternal age
<19 (prevalence ratio [PR] = 0.50; 95% CI 0.36 to 0.69) or 20 to 24 (PR = 0.75;
95% CI 0.67 to 0.84); education below high school level (PR = 0.73; 95% CI 0.61
to 0.87), at high school level (PR = 0.77; 95% CI 0.71 to 0.83), or at post
secondary level other than university (PR = 0.93; 95% CI 0.88 to 0.97); being at
or below the low-income cut-off (PR = 0.74; 95% CI 0.67 to 0.81); smoking before
pregnancy (PR = 0.79; 95% CI 0.73 to 0.86); being non-fluent in the language of
the health care provider (PR = 0.66; 95% CI 0.49 to 0.88); being obese (BMI >=
30) (PR = 0.91; 95% CI 0.85 to 0.98); being unemployed (PR = 0.94; 95% CI 0.89 to
1.00); and being born outside of Canada (PR = 0.79; 95% CI 0.74 to 0.84).
CONCLUSION: Young maternal age, low education, low income, smoking, language
barriers, obesity, unemployment, and being born outside Canada are risk factors
for suboptimal or lack of FA supplementation pre-conceptionally.
PMID- 22082787
TI - "They were my eggs; they were her babies": known oocyte donors'
conceptualizations of their reproductive material.
AB - OBJECTIVE: We sought the views of women who took part in an altruistic known
oocyte donation program to provide insights into the dynamics of known oocyte
donation in Canada. METHODS: Semi-structured interviews were conducted face-to
face or by telephone with 15 Canadian women who had donated oocytes to a friend
or a relative for family-building and 18 women who had received oocytes donated
by a friend or a relative in the eight years prior to the interview. RESULTS:
Donors and recipients recounted few problems with their experiences of oocyte
donation. Donors' positive experiences were contingent on their desire to
facilitate the family-building aspirations of a friend or relative and the
trusting relationship they had with their recipient. These dynamics subsequently
affected their perceptions regarding the embryos created using their oocytes and
their relationship with any child born as a result of their donation. Most donors
would not donate their oocytes to an anonymous recipient and would not support
donating unused embryos created with their oocytes to an unknown recipient.
CONCLUSION: Altruistic known donation is an important feature of oocyte donation
programs in Canada, where commercial procurement of gametes is forbidden. Legal
clarity, information, and psychosocial counselling are essential to minimize
relationship problems in known oocyte donation.
PMID- 22082788
TI - Revisiting the association between endometriosis and bipolar disorder.
AB - OBJECTIVE: We sought to study the association between endometriosis and bipolar
disorder. METHODS: Using the Structured Clinical Interview for DSM-IV Axis I
Disorders, the prevalence of bipolar disorder was compared in 27 patients with
endometriosis and 12 women with pelvic pain not related to endometriosis who were
seen at a specialty gynaecology clinic for women with chronic pelvic pain.
RESULTS: A significantly greater proportion of women in the endometriosis group
were found to have bipolar disorder and a poorer quality of life than women with
pelvic pain not related to endometriosis. CONCLUSION: There may be an association
between endometriosis and bipolar disorder. An optimal approach to managing
endometriosis should include evaluation and treatment of psychiatric comorbidity,
particularly bipolar disorder.
PMID- 22082789
TI - Randomized controlled trial of cystocele plication risks: a pilot study.
AB - OBJECTIVE: We wished to determine the feasibility of a single-centre randomized
controlled trial to investigate whether avoiding cystocele plication in women
undergoing transvaginal repair of cystocele decreases the need for
catheterization beyond the second postoperative day. METHODS: Patients undergoing
transvaginal repair of cystocele were randomly assigned to either have or not
have plication sutures during their procedure. We assessed the success of
recruitment, physician acceptability, and adherence to protocol, and we also
assessed the need for catheterization beyond the second postoperative day.
RESULTS: Twenty-two women were randomized (a recruitment rate of 85%), and
participating surgeons did not feel that one surgical technique was superior to
the other. There were no protocol violations after randomization. No cases of
postoperative voiding dysfunction were identified. CONCLUSION: A multicentre
randomized controlled trial is required to determine the short-term and long-term
risks and benefits of avoiding plication sutures in women undergoing transvaginal
repair of cystocele. If patients and physicians support the study protocol,
conducting such a trial is feasible.
PMID- 22082790
TI - Oral contraceptives and venous thromboembolism: pill scares and public health.
AB - Post-marketing surveillance of combined oral contraceptives (COCs) for rare
complications such as venous thromboembolism (VTE) presents unique challenges.
Prospective studies, which are costly and time consuming, have to date been
undertaken by only a few contraceptive manufacturers willing to commit to full
evaluation of product safety. Often such studies are conducted with the approval
of regulatory authorities as a precondition for marketing. Alternatively,
independent investigators with access to large databases have conducted
retrospective studies to compare the incidence of VTE between new and older
products. Such studies, however, run the risk of erroneous conclusions if they
cannot ensure comparable risk profiles for users of these different products.
Often database studies are unable to access information on important confounders,
and medical records may not be available to validate the actual diagnosis of VTE.
"Pill scares" generated following publication and media dissemination of
worrisome findings, when the conclusions are in doubt and not corroborated by
stronger prospective study designs, are frequently damaging to public health.
From a review of recent publications on the VTE risk with drospirenone-containing
COCs, it can be concluded that the best quality evidence does not support a
difference in risk between users of COCs containing drospirenone and those of
COCs containing levonorgestrel.
PMID- 22082791
TI - The diagnosis and management of ovarian hyperstimulation syndrome.
AB - OBJECTIVE: To review the clinical aspects of ovarian hyperstimulation syndrome
and provide recommendations on its diagnosis and clinical management. OUTCOMES:
These guidelines will assist in the early recognition and management of ovarian
hyperstimulation. Early recognition and prompt systematic supportive care will
help avert poor outcomes. EVIDENCE: Medline, Embase, and the Cochrane database
were searched for relevant articles, using the key words "ovarian
hyperstimulation syndrome" and "gonadotropins," and guidelines created by other
professional societies were reviewed. VALUES: The quality of evidence was rated
using the criteria described in the Report of the Canadian Task Force on
Preventive Health Care. Recommendations for practice were ranked according to the
method described in that report (Table 1). RECOMMENDATIONS: 1. Once the diagnosis
of ovarian hyperstimulation syndrome is made, disease severity should be
classified as mild, moderate, severe, or critical. (III-B) 2. The physician
prescribing gonadotropins should inform each woman of her personal risk for
ovarian hyperstimulation syndrome. (III-A) 3. In areas where patients do not have
ready access to physicians familiar with the diagnosis and management of ovarian
hyperstimulation syndrome, the physician prescribing gonadotropins should ensure
that women are made aware that they should contact a physician or a member of the
team within the hospital unit who has relevant experience, should the need arise.
(III-B) 4. Outpatient management is recommended for women with mild and moderate
ovarian hyperstimulation syndrome. If outpatient management for more severe
ovarian hyperstimulation syndrome is to be undertaken, the physician should
ensure that the woman is capable of adhering to clinical instructions and that
there is a system in place to assess her status every 1 to 2 days. (III-A) 5.
Paracentesis should be performed in admitted patients with tense ascites to
alleviate their discomfort. (II-2B) 6. Outpatient culdocentesis should be
considered for the prevention of disease progression in moderate or severe
ovarian hyperstimulation syndrome. (II-2B) 7. Women with severe and critical
ovarian hyperstimulation syndrome should be admitted to hospital for intravenous
hydration and observation. (III-A) 8. Intravenous hydration should be initiated
with a crystalloid solution to prevent hemoconcentration and provide adequate end
organ perfusion. If end-organ perfusion is not maintained with a crystalloid
solution, an alternate colloid solution should be administered. (II-2B) 9. Pain
relief in admitted patients should be managed with acetaminophen and/or opioid
analgesics. (III-B) Non-steroidal anti-inflammatory drugs with antiplatelet
properties should not be used. (III-B) 10. Women with severe ovarian
hyperstimulation syndrome should be considered for treatment with prophylactic
doses of anticoagulants. (II-2B) 11. Critical ovarian hyperstimulation syndrome
should be managed by a multidisciplinary team, according to the end organ
affected. (III-C).
PMID- 22082793
TI - Effect of attentional focus strategies on peak force and performance in the
standing long jump.
AB - Significant benefits in standing long jump performance have been demonstrated
when subjects were provided verbal instructions that promoted an external focus
of attention compared with an internal focus of attention, suggesting differences
in ground reaction forces. The purpose of the present study was to evaluate peak
force and jump performance between internal and external focus of attention
strategies. Untrained subjects were assigned to both experimental conditions in
which verbal instructions were provided to promote either an external or internal
focus of attention. All subjects completed a total number of 5 standing long
jumps. The results of the study demonstrated that the external focus of attention
condition elicited significantly greater jump distance (153.6 +/- 38.6 cm) than
the internal focus of attention condition (139.5 +/- 46.7 cm). There were no
significant differences observed between conditions in peak force (1429.8 +/-
289.1 N and 1453.7 +/- 299.7 N, respectively). The results add to the growing
body of literature describing the training and learning benefits of an external
focus of attention. Practitioners should create standardized verbal instructions
using an external focus of attention to maximize standing long jump performance.
PMID- 22082794
TI - Enhancing jump performance after combined vs. maximal power, heavy-resistance,
and plyometric training alone.
AB - Saez Saez de villarreal, E, Izquierdo, M, and Gonzalez-Badillo, JJ. Enhancing
jump performance after combined vs. maximal power, heavy-resistance, and
plyometric training alone. J Strength Cond Res 25(12): 3274-3281, 2011-The
purpose of this study was to examine the effects of 5 different stimuli on
jumping ability and power production after 7 weeks of training. Sixty-five (47
men and 18 women) physical education students were randomly assigned to 5
experimental groups that performed: combination of all training methods (A);
heavy-resistance training using full-squat exercise (i.e., 56-85% of 1 RM for 3-6
repetitions) (B); power-oriented strength training using a parallel-squat
exercise (i.e., 100-130% of load that maximizes power output for 2-6 repetitions)
(C); power-oriented strength training using a loaded countermovement jumping
(i.e., 70-100% of load that maximizes power output for 2-5 repetitions;
countermovement jump [CMJ]) (D); and plyometric jumping (E). The CMJ (cm), loaded
CMJ (cm), maximum rate of force development (RFDmax) during early concentric
phase of loaded CMJ (N.s) and power output during early concentric phase of
loaded CMJ (watts) were measured before and after 7 weeks of training.
Significant improvements in CMJ (from 7.8 to 13.2%) were observed in all groups.
Significantly greater increases in power output during loaded jumps were observed
in A (10-13%) and D (8-12%) groups compared with in the other groups. Significant
increases in RFDmax were observed in A (20-30%), C (18-26%), and D (20-26%)
groups. The results of this study provide evidence to suggest that if training
program is designed and implemented correctly, both traditional slow velocity
training and faster power-oriented strength training alone, or in combination
with plyometric training, would provide a positive training stimulus to enhance
jumping performance.
PMID- 22082792
TI - Advanced reproductive age and fertility.
AB - OBJECTIVE: To improve awareness of the natural age-related decline in female and
male fertility with respect to natural fertility and assisted reproductive
technologies (ART) and provide recommendations for their management, and to
review investigations in the assessment of ovarian aging. OPTIONS: This guideline
reviews options for the assessment of ovarian reserve and fertility treatments
using ART with women of advanced reproductive age presenting with infertility.
OUTCOMES: The outcomes measured are the predictive value of ovarian reserve
testing and pregnancy rates with natural and assisted fertility. EVIDENCE:
Published literature was retrieved through searches of PubMed or Medline, CINAHL,
and The Cochrane Library in June 2010, using appropriate key words (ovarian
aging, ovarian reserve, advanced maternal age, advanced paternal age, ART).
Results were restricted to systematic reviews, randomized controlled
trials/controlled clinical trials, and observational studies. There were no date
or language restrictions. Searches were updated on a regular basis and
incorporated into the guideline to December 2010. VALUES: The quality of evidence
was rated using the criteria described in the Report of the Canadian Task Force
on Preventive Health Care. Recommendations for practice were ranked according to
the method described in that report (Table). BENEFITS, HARMS, AND COSTS: Primary
and specialist health care providers and women will be better informed about
ovarian aging and the age-related decline in natural fertility and about options
for assisted reproductive technology. RECOMMENDATIONS: 1. Women in their 20s and
30s should be counselled about the age-related risk of infertility when other
reproductive health issues, such as sexual health or contraception, are addressed
as part of their primary well-woman care. Reproductive-age women should be aware
that natural fertility and assisted reproductive technology success (except with
egg donation) is significantly lower for women in their late 30s and 40s. (II-2A)
2. Because of the decline in fertility and the increased time to conception that
occurs after the age of 35, women > 35 years of age should be referred for
infertility work-up after 6 months of trying to conceive. (III-B) 3. Ovarian
reserve testing may be considered for women >= 35 years of age or for women < 35
years of age with risk factors for decreased ovarian reserve, such as a single
ovary, previous ovarian surgery, poor response to follicle-stimulating hormone,
previous exposure to chemotherapy or radiation, or unexplained infertility. (III
B) 4. Ovarian reserve testing prior to assisted reproductive technology treatment
may be used for counselling but has a poor predictive value for non-pregnancy and
should be used to exclude women from treatment only if levels are significantly
abnormal. (II-2A) 5. Pregnancy rates for controlled ovarian hyperstimulation are
low for women > 40 years of age. Women > 40 years should consider IVF if they do
not conceive within 1 to 2 cycles of controlled ovarian hyperstimulation. (II-2B)
6. The only effective treatment for ovarian aging is oocyte donation. A woman
with decreased ovarian reserve should be offered oocyte donation as an option, as
pregnancy rates associated with this treatment are significantly higher than
those associated with controlled ovarian hyperstimulation or in vitro
fertilization with a woman's own eggs. (II-2B) 7. Women should be informed that
the risk of spontaneous pregnancy loss and chromosomal abnormalities increases
with age. Women should be counselled about and offered appropriate prenatal
screening once pregnancy is established. (II-2A) 8. Pre-conception counselling
regarding the risks of pregnancy with advanced maternal age, promotion of optimal
health and weight, and screening for concurrent medical conditions such as
hypertension and diabetes should be considered for women > age 40. (III-B) 9.
Advanced paternal age appears to be associated with an increased risk of
spontaneous abortion and increased frequency of some autosomal dominant
conditions, autism spectrum disorders, and schizophrenia. Men > age 40 and their
partners should be counselled about these potential risks when they are seeking
pregnancy, although the risks remain small. (II-2C).
PMID- 22082795
TI - Do compression garments enhance the active recovery process after high-intensity
running?
AB - Lovell, DI, Mason, DG, Delphinus, EM, and McLellan, CP. Do compression garments
enhance the active recovery process after high-intensity running? J Strength Cond
Res 25(12): 3264-3268, 2011-This study examined the effect of wearing waist-to
ankle compression garments (CGs) on active recovery after moderate- and high
intensity submaximal treadmill running. Twenty-five male semiprofessional rugby
league players performed two 30-minute treadmill runs comprising of six 5-minute
stages at 6 km.h, 10 km.h, approximately 85% VO(2)max, 6 km.h as a recovery stage
followed by approximately 85% VO(2)max and 6 km.h wearing either CGs or regular
running shorts in a randomized counterbalanced order with each person acting as
his own control. All stages were followed by 30 seconds of rest during which a
blood sample was collected to determine blood pH and blood lactate concentration
[La]. Expired gases and heart rate (HR) were measured during the submaximal
treadmill tests to determine metabolic variables with the average of the last 2
minutes used for data analysis. The HR and [La] were lower (p <= 0.05) after the
first and second 6 km.h recovery bouts when wearing CGs compared with when
wearing running shorts. The respiratory exchange ratio (RER) was higher and [La]
lower (p <= 0.05) after the 10 km.h stage, and only RER was higher after both 85%
VO(2)max stages when wearing CGs compared with when wearing running shorts. There
was no difference in blood pH at any exercise stage when wearing the CGs and
running shorts. The results of this study indicate that the wearing of CGs may
augment the active recovery process in reducing [La] and HR after high-intensity
exercise but not effect blood pH. The ability to reduce [La] and HR has important
consequences for many sports that are intermittent in nature and consist of
repeated bouts of high-intensity exercise interspersed with periods of low
intensity exercise or recovery.
PMID- 22082796
TI - Sulfonic acid functionalized poly(ethylene glycol dimethacrylate-1-vinyl-1,2,4
triazole) as a high-performance solid acid catalyst for the esterification of
lactic acid with methanol.
AB - Sulfonic acid functionalized poly(ethylene glycol dimethacrylate-1-vinyl-1,2,4
triazole), poly(EGDMA-VTAZ-SO(3)H), is evaluated in the esterification of lactic
acid with methanol. From nitrogen physisorption data, high surface area (220
m(2)/g) and type IV isotherm are obtained. Conversion measurements indicate a
stronger interaction of poly(EGDMA-VTAZ-20%SO(3)H) with water molecules than that
occurring in poly(EGDMA-VTAZ-10%SO(3)H). Hence, the higher catalytic activity of
poly(EGDMA-VTAZ-10%SO(3)H) is related to the more hydrophobic microenvironment of
-SO(3)H sites, which reduces the acid site deactivation associated with
adsorption of water generated during the reaction. Moreover, apparent activation
energy is found to be 48.02 kJ mol(-1), which can be comparable with the
literature values.
PMID- 22082798
TI - Packing effects on argon and methanol adsorption inside graphitic cylindrical and
slit pores: a GCMC simulation study.
AB - Using Grand Canonical Monte Carlo simulation, we have studied the effects of
confinement on argon and methanol adsorption in graphitic cylindrical and slit
pores. Linear chain, zigzag and incomplete helical packing are observed for argon
adsorption in cylindrical pores. However, for methanol adsorption different
features appear because the electrostatic interactions favour configurations that
maximize the hydrogen bonding among methanol molecules. We have found zigzag
chains with hydrogen-bonded structures for methanol adsorption in cylindrical and
slit pores. To investigate how dense the adsorbed phase is and how many molecules
could be packed per unit physical volume of the solid, we consider two different
definitions of pore density; one based on the physical volume and the other on
the accessible volume. That based on accessible volume gives a measure of the
fluid density, while that based on the physical volume gives a measure of how
much adsorbate can be stored per unit volume of the adsorbent. It is found that
the adsorbate is denser in cylindrical pores, but that slit pores can pack more
molecules per unit solid volume. We also discuss the effects on the isosteric
heat of argon and methanol of pore size, pore geometry and loading.
PMID- 22082797
TI - Photoswitching in azobenzene self-assembled monolayers capped on zinc oxide:
nanodots vs nanorods.
AB - We report the synthesis and spectroscopic characterization of nanohybrid
structures consisting of an azobenzene compound grafted on the surface of zinc
oxide nanoparticles. Characteristic bathochromic shifts indicate that the
azobenzene photochromic molecules self-assemble onto the surface of the
nanocrystals. The extent of packing is dependent on the shape of the
nanoparticle. ZnO nanorods, with flat facets, enable a tighter organization of
the molecules in the self-assembled monolayer than in the case of nanodots that
display a more curvated shape. Consistently, the efficiency of photochromic
switching of the self-assembled monolayer on ZnO nanoparticles is also shown to
be strongly affected by nanoparticle shape.
PMID- 22082799
TI - Modeling carbon dioxide adsorption on polyethylenimine-functionalized TUD-1
mesoporous silica.
AB - Samples of porous, foam-like TUD (Technische Universitat Delft)-1 mesoporous
silica were functionalized with polyethylenimine and were used as a substrate for
CO(2) adsorption. Produced solids were characterized by means of electron
microscopy, thermogravimetric analysis, and N(2) adsorption/desorption at 77K, in
order to prove that polymer chains efficiently filled the pores of functionalized
samples. CO(2) adsorption isotherms on polyethylenimine-containing TUD-1 were
evaluated at T=298, 313, 328, and 348 K for pressures up to 100 kPa by means of a
volumetric technique. The CO(2) adsorption capacity proved to be significantly
dependent on temperature, with the highest capacity encountered at T=348 K. The
experimental data for CO(2) adsorption were satisfactorily described by means of
the Langmuir isotherm, and the dependence of the isosteric heat on the fractional
coverage of the adsorbent was evaluated by means of the van't Hoff equation,
showing values in the order of 80 kJ/mol for a fractional coverage of about 50%.
PMID- 22082800
TI - In situ synthesis of Pt/carbon nanofiber nanocomposites with enhanced
electrocatalytic activity toward methanol oxidation.
AB - Pt/carbon nanofiber (Pt/CNF) nanocomposites were facilely synthesized by the
reduction of hexachloroplatinic acid (H(2)PtCl(6)) using formic acid (HCOOH) in
aqueous solution containing electrospun carbon nanofibers at room temperature.
The obtained Pt/CNF nanocomposites were characterized by TEM and EDX. The Pt
nanoparticles could in situ grow on the surface of CNFs with small particle size,
high loading density, and uniform dispersion by adjusting the concentration of
H(2)PtCl(6) precursor. The electrocatalytic activities of the Pt/CNF
nanocomposites were also studied. These Pt/CNF nanocomposites exhibited higher
electrocatalytic activity toward methanol oxidation reaction compared with
commercial E-TEK Pt/C catalyst. The results presented may offer a new approach to
facilely synthesize direct methanol fuel cells (DMFCs) catalyst with enhanced
electrocatalytic activity and low cost.
PMID- 22082801
TI - An efficient route to aqueous phase synthesis of nanocrystalline gamma-Al2O3 with
high porosity: from stable boehmite colloids to large pore mesoporous alumina.
AB - In this paper we emphasise the important role of Pluronic F127 on the porosity of
mesoporous alumina prepared from boehmite colloids. By focusing on the
F127/boehmite interactions we show how the concepts of interface science may help
to predict and improve the textural characteristics of mesoporous alumina. By
varying the synthetic parameters, in particular the copolymer content, we show
that the porosity of gamma-Al(2)O(3) can be enhanced by 400% and the average pore
diameter can be expanded from 5 to 14 nm. These results are discussed in terms of
interactions between the Pluronic F127 and boehmite colloids, and are correlated
to the critical micelle concentration (CMC) of the copolymer. The textural
characteristics of the mesoporous alumina can be further improved either by
introducing hydrocarbons in the preformed boehmite/copolymer sols or by
concentrating the sols. In comparison with as-synthesised alumina, those prepared
with F127 showed improved thermal stability. Furthermore, boehmite/copolymer sols
were stable for all surfactant concentrations investigated and can give high
quality coatings suitable for catalytic applications.
PMID- 22082802
TI - A novel orchid-like polyaniline superstructure by solvent-thermal method.
AB - An orchid-like polyaniline (PANI) structures was synthesized by initialing the
polymerization at 80 degrees C and growing at 25 degrees C using ethanol and
water as co-solvent in the presence of toluene-p-sulfonic acid (p-TSA) as the
dopant. The "flowers" are consisted of 8-14 pieces of "petals" with 5-8 MUm in
length. By adjusting the molar ratio of p-TSA/aniline, the cooling rate and the
component of the solvent, flake-like and peony-like morphology can also be
obtained. The formation mechanism of the orchid-like structure is proposed.
PMID- 22082803
TI - Interactions between beta-cyclodextrin and an amino acid-based anionic gemini
surfactant derived from cysteine.
AB - The interaction between beta-cyclodextrin (beta-CD) and an amino acid-based
anionic gemini surfactant derived from cysteine (C(8)Cys)(2) was studied by three
independent techniques: electrical conductivity, UV-Vis spectral displacement
technique using phenolphthalein as probe, and (1)H NMR spectroscopy. The data
obtained indicated the formation of a 1:1 inclusion complex between beta-CD and
the gemini surfactant studied and allowed for the determination of the binding
constant, K(1), by considering this stoichiometry. Electrical conductivity,
spectral displacement technique, and NMR chemical shift measurements, obtained
for aqueous beta-CD-surfactant systems, yielded consistent K(1) values in the
order of 10(2) dm(3) mol(-1), typical of a weakly bound beta-CD-surfactant
complex. The influence of the presence of the inclusion complex on the
micellization process of the gemini surfactant has also been studied and the
apparent critical micelle concentration (cmc(*)) has been obtained. Increasing
beta-CD concentration was found to shift the cmc(*) to higher values, as
complexed surfactant monomers are not available to form micelles and aggregation
takes place only when all beta-CD cavities are occupied.
PMID- 22082804
TI - Aberrant expressions of leptin and adiponectin receptor isoforms in chronic
myeloid leukemia patients.
AB - BACKGROUND: Leptin and adiponectin receptors mediate the role of leptin in
stimulating the growth of leukemic cells and the protective function of
adiponectin undertaken in several malignancies such as leukemia. In this study,
we investigated the involvement of the expression of leptin and adiponectin
receptors in chronic myeloid leukemia (CML) pathogenesis. METHODS: The expression
of leptin receptor isoforms, OB-Rt, OB-Ra, and OB-Rb, and the expression of
adiponectin receptors, AdipoR1 and AdipoR2, were measured as mRNA levels in two
CML cell lines (K562 and Meg-01) and 20 CML patients and 24 healthy controls by
using RT-PCR. RESULTS: OB-Rt and OB-Ra isoforms expression of the leptin
receptors were found to be significantly lower in Meg-01 cell lines than K562
cells. All leptin receptors were downregulated in CML patients and more
particularly OB-Rb level was found to be undetectably low in normal PBMC as well
as in CML patients. AdipoR1 expression level was higher in Meg-01 than in K562,
whereas AdipoR2 level was found to be unchanged in both cell lines.
Interestingly, while AdipoR1 expression increased in CML patients, AdipoR2
decreased. Moreover, imatinib therapy did not affect both leptin and adiponectin
isoform expressions. CONCLUSION: While the decrease in leptin receptor levels in
CML patients was confirmed, the increase in AdipoR1 levels and relevant decrease
in AdipoR2 levels depicted their possible involvement in CML pathogenesis. This
suggests different functions of adiponectin receptors in CML development.
PMID- 22082805
TI - Effects by anthrax toxins on hematopoiesis: a key role for cytokines as
mediators.
AB - An understanding of anthrax toxins on the emerging immune system and blood
production are significant to medicine. This study examined the effects of
anthrax toxin on hematopoiesis and determined roles for cytokines. Anthrax
holotoxin toxin is three components: protective antigen (PA) binds to the target
cell and mediates the entry of lethal factor (LF) and edema factor (EF). Anthrax
toxin dramatically inhibits signaling in immune cells. We first identified the
cell subsets that interacted with the protective antigen (PA) and then studied
the effects on hematopoietic progenitors in clonogenic assays: granulocytic
monocytic (CFU-GM) and late erythroid (CFU-E). Multi-color immunofluorescence
with FITC-PA indicated its interaction with early and late myeloid cells.
Clonogenic assays, in the presence or absence of holotoxin and individual toxin
proteins resulted in significant suppression by hologenic toxic alone, despite
the presence of growth-promoting cytokines. Antibodies to anthrax receptor (ATR1)
reversed the suppressive effects, indicating specificity. Monomeric proteins
showed different effects on myeloid and erythroid progenitors. Suppression was
not due to cell death, based on undetectable active caspase 3. Cytokine array
analyses with supernatants from toxin-stimulated stroma showed an increase in the
hematopoietic suppressor, MIP-1alpha. This finding, in addition to our previous
studies, showing an increase in IL-10, suggested indirect roles for cytokines in
toxin-mediated hematopoietic suppression. The chemokine, SDF-1alpha was
increased. Since SDF-1 is involved in the mobilization of hematopoietic cells, it
is likely that anthrax holotoxin could induce cell exit from BM. In summary,
anthrax holotoxin, but not individual toxins, exerted hematopoietic effects on
myeloid and erythroid progenitors via specific receptor, partly through the
induction of cytokines.
PMID- 22082806
TI - Function and expression of renal epithelial sodium transporters in rats with
thyroid dysfunction.
AB - Thyroid disorders are accompanied by major changes in renal sodium handling and
blood pressure. Sodium transporters play a crucial role in regulating sodium
excretion. We determined the function and expression of type 3 Na/H (NHE3)
exchanger, type 2 Na+K+2Cl co-transporter (NKCC2) co-transporter, NaCl co
transporter (NCC) cotransporter, and epithelial sodium channel (ENaC) in hypoand
hyperthyroid rats at 6 weeks after each thyroid disorder induction. We measured
the renal response to functional blockade of the tubular sodium transporters,
using acetazolamide to inhibit the activity of NHE3, furosemide for NKCC2,
hydrochlorotiazide for NCC, and amiloride for ENaC. Expression of sodium
transporters was analyzed by measuring the protein abundance by Western blot. The
responsiveness to NHE3 inhibition and NHE3 protein was lower in hypothyroid rats
and higher in hyperthyroid rats vs controls. Hypothyroid rats showed greater
diuretic and natriuretic responses to NKCC2 and ENaC blockade and higher protein
abundance of NKCC2 vs controls. Hyperthyroid rats showed greater protein
expression of NKCC2 and NCC vs controls. Groups did not differ in responsiveness
to NCC blockade. The expression and activity of ENaC were lower in hyperthyroid
rats. In conclusion, reduced NHE3 activity may participate in the low blood
pressure of hypothyroid rats and elevated NHE3 activity in the high blood
pressure of hyperthyroid rats. These proximal alterations are counter-balanced by
functional upregulation of NKCC2 and ENaC in downstream nephron segments of
hypothyroid rats and by downregulation of alphaENaC activity and expression in
hyperthyroid rats.
PMID- 22082810
TI - Improvement of mitral valve coaptation with supraannular plication of the
posterior annulus--a newly designed strip for posterior annular plication--.
AB - PURPOSE: The aim of this study was to evaluate a newly-designed mitral
annuloplasty strip (the Mitra-Lift((r)) strip) in patients undergoing mitral
valve repair for mitral regurgitation (MR). METHODS: A total of 30 patients who
underwent posterior mitral strip annuloplasty for moderately severe to severe MR
were evaluated in this study. The strip annuloplasty (SA) consisted of the use of
the newly-designed strip and the suture of the supra-annular atrial wall of 5.0
mm width and the posterior annulus. In addition to SA, six patients (20.0%) with
tethered posterior leaflets required posterior leaflet augmentation. Improvement
in MR and hemodynamic parameters of the valve with the fixed strip were assessed.
RESULTS: After SA, all patients exhibited little or no MR, with no individual
exhibiting signs of exacerbation during the follow-up period. A stable coaptation
occurred below the strip and the posterior annulus due to forward movement and
lifting of the posterior annulus without significant reduction of
intercommissural dimension. During the cardiac cycle, the intercommissural
dimensions showed considerable changes, which meant a dynamic motion of the
anterior leaflet and the commissures. CONCLUSIONS: Formation of a stable leaflet
coaptation was associated with a dynamic change of the intercommissural dimension
during the cardiac cycle and resulted in a reliable, annuloplasty strip,
representing a new concept in annuloplasty.
PMID- 22082811
TI - Thoracoscopic segmentectomy with intraoperative evaluation of sentinel nodes for
stage I non-small cell lung cancer.
AB - OBJECTIVES: Segmentectomy is the treatment of choice for small-sized non-small
cell lung cancer (NSCLC); however, it is difficult to decide the surgical
procedure because accurate evaluation of hilar lymph node metastasis remains
unclear. We here report the outcome of video-assisted thoracic surgery (VATS)
segmentectomy with and without the assessment of sentinel nodes. MATERIALS AND
METHODS: Eighty-three patients with stage IA NSCLC underwent VATS segmentectomy
between January 2003 and December 2010. Twenty patients underwent indocyanine
green fluorescence imaging for sentinel node biopsy (SNB) and 63 did not.
Intraoperative real-time quantitative RT-PCR to determine the expression of CK-19
was used for evaluation of metastasis. Perioperative outcome, local recurrence
rates and survival were compared in both groups. RESULTS: Sentinel lymph nodes
were identified in 16 of 20 patients (80%) with segmentectomy in the SNB group.
The false negative rate was 0%. By RT-PCR for CK-19 expression, only one of these
patients showed positive sentinel nodes, which indicated isolated tumor cells;
however, segmentectomy was not converted to lobectomy. Seven of 63 patients with
VATS segementectomy without SNB and none of the SNB group relapsed. In the
relapsed patients without SNB, 4 (6.3%) were local recurrences and 3 (4.7%) were
distant metastases. Recurrence-free survival rates in both groups were not
significantly different because of the short follow-up period of the SNB group.
CONCLUSIONS: Our study demonstrated that VATS segmentectomy with SNB was useful
for deciding intraoperatively to perform segmentectomy with an accurate lymph
node status.
PMID- 22082812
TI - Long-term results after the box pulmonary vein isolation procedure for chronic
atrial fibrillation in mitral valve surgery.
AB - BACKGROUND: We hypothesized that chronic atrial fibrillation (AF) originated from
the pulmonary veins, and was maintained by irregular activations of the posterior
left atrium. We had performed the box pulmonary vein isolation procedure for the
elimination of chronic AF associated with solitary mitral valve disease from 1999
to 2004. This paper evaluated the long-term results of this procedure over more
than 6 years, and discussed the role of the pulmonary veins and posterior left
atrium in maintaining AF. METHODS: Fifty-three patients were examined after box
pulmonary vein isolation procedure concomitant with solitary mitral valve surgery
retrospectively. We divided the patients into two groups with or without the
elimination of AF (AF group and non-AF group). The disappearance of AF was
determined by electrocardiography, and atrial function was evaluated by
transthoracic echocardiography. The elimination of chronic AF and the recovery of
atrial systolic function after surgery were evaluated over more than 6 years of
follow-up. RESULTS: In a total of 462.8 patient years of follow-up (range 6.3 to
11.5 years, mean: 8.9 +/- 2.7 years), AF disappeared in 77.3% of the patients
(41/53) at 3 months and in 70.6% (36/51) of the patients at 6 years after the box
pulmonary vein isolation, respectively. Among the preoperative variables, a long
duration of AF and a large diameter of the left atrium were the predictive
factors for recurrences of AF (p <0.05). There was no left atrial tachycardia
even though we did not perform ablation towards the mitral valve annulus.
CONCLUSIONS: The box pulmonary vein isolation procedure can terminate chronic AF
associated with solitary mitral valve disease, and maintain a sinus rhythm for
more than 6 years in 70% of chronic AF patients. This study implicates the
pulmonary veins and posterior left atrium in maintaining chronic AF associated
with mitral valve disease.
PMID- 22082813
TI - Conact lens & anterior eye.
PMID- 22082814
TI - Cardioprotective effect of apelin-13 on cardiac performance and remodeling in end
stage heart failure.
AB - BACKGROUND: Apelin and its cognate G protein-coupled receptor, APJ, constitute a
signaling pathway with a positive inotropic effect on cardiac function. Recently,
we and other investigators demonstrated that a reduction in myocardial apelin/APJ
expression might play a critical role in experimental models of end-stage heart
failure (HF). Therefore, we evaluated whether exogenous apelin infusion restores
apelin/APJ expression and improves cardiac function in the failing heart of Dahl
salt-sensitive hypertensive (DS) rats. METHODS AND RESULTS: High salt-loaded DS
rats were treated with vehicle and pyroglutamylated apelin-13 (Pyr-AP13;
200ug.kg(-1).day(-1), IP) from the age of 11 to 18 weeks. Decreased end-systolic
elastance and percent fractional shortening in failing rats was significantly
ameliorated by Pyr-AP13. Pyr-AP13 effectively inhibited vascular lesion formation
and suppressed expression of inflammation factors such as tumor necrosis factor
alpha and interleukin-1beta protein. Downregulation of apelin and APJ expression,
and phosphorylation of endothelial nitric oxide synthase at Ser(1177) and Akt at
Ser(473) in failing rats was significantly increased by Pyr-AP13. Upregulation of
NAD(P)H oxidase p22(phox), p47(phox), and gp91(phox) in DS rats was significantly
suppressed by Pyr-AP13. CONCLUSIONS: Exogenous apelin-13 may ameliorate cardiac
dysfunction and remodeling and restore apelin/APJ expression in DS rats with end
stage HF. Thus, apelin-13 may have significant therapeutic potential for end
stage HF.
PMID- 22082815
TI - Routine depression assessment for patients with coronary artery disease. A new
standard of care?
PMID- 22082816
TI - Platelet inhibition and surgical bleeding. A plea for more science and evidence
based guidelines.
PMID- 22082817
TI - Renal protection from prolonged cold ischemia and warm reperfusion in hibernating
squirrels.
AB - BACKGROUND: We have previously shown that cold ischemia (CI) results in massive
increases in caspase-3 activity, tubular apoptosis, and brush border injury (BBI)
in mouse kidneys. During hibernation, the 13-lined ground squirrel (GS) cycles
through repeated CI during torpor, followed by warm ischemia/reperfusion (WI)
during interbout arousal (IBA). We sought to determine whether CI and WI during
hibernation caused caspase-3 activation, tubular apoptosis, acute tubular
necrosis, or BBI, and reduced renal function. We also determined whether
protection was dependent on the stage of hibernation. METHODS: Radiotelemeters
were implanted in 1-year-old GS, and core body temperature was remotely
monitored. GS kidneys at various stages of hibernation were subjected to ex vivo
CI. RESULTS: Tubular apoptosis was not detected and caspase-3-like activity was
not different between hibernating and summer kidneys. Despite prolonged CI
followed by WI and reperfusion, acute tubular necrosis and apoptosis did not
occur in hibernating kidneys. BBI was absent in torpid kidneys but significantly
increased in IBA kidneys and associated with an increase in caspase-3-like
activity, suggesting that IBA kidneys are more susceptible to injury than summer
or torpid kidneys. Renal function and urine concentrating ability diminished
during torpor but returned during IBA. CONCLUSIONS: Despite BBI, IBA kidneys
clear serum creatinine and concentrate urine. Kidneys from both summer and
hibernating animals tolerated ex vivo CI, confirming that protection from
apoptotic and necrotic cell death is independent of the stage of hibernation. An
understanding of how renal protection occurs during hibernation may help in
understanding the pathophysiology of delayed graft function.
PMID- 22082818
TI - Temporal association between increased virus-specific Th17 response and
spontaneous recovery from recurrent hepatitis C in a liver transplant recipient.
AB - BACKGROUND: Spontaneous clearance of hepatitis C virus (HCV) after orthotopic
liver transplantation (OLT) is a rare occurrence. Here, we present detailed
immunological analysis of an interferon naive OLT recipient receiving
uninterrupted immunosuppression who cleared HCV spontaneously 2 years after
transplantation. METHODS: Enzyme-linked immunospot assay analysis of peripheral T
cell interferon gamma (IFN-gamma), interleukin (IL)-10, and IL-17 response to HCV
core and nonstructural antigen 4 and enzyme-linked immunosorbent assay (ELISA) to
collagen (Col) subtypes I, II, IV, and V were performed in the index patient at
the time of viral clearance and compared with an OLT cohort with persistent
viremia matched for time from OLT, immunosuppression, and histology. Enzyme
linked immunospot assay and ELISA analysis were repeated on the patient 4 years
after OLT. Transcription-mediated amplification assays were used to confirm viral
clearance. RESULTS: Compared with a cohort of post-OLT and nontransplanted
viremic HCV patients, the index patient with HCV clearance demonstrated higher IL
17, IL-10, and lower IFN-gamma response to nonstructural antigen 4 and core
antigen and a higher titer of antibodies (Abs) to Col subtypes I, II, and V
during clearance. On follow-up 2 years later, HCV-specific IFN-gamma was
increased in the index patient, with a decline in IL-17 and IL-10 response and
Col I, II, and V Ab titer. CONCLUSIONS: Virus-induced activation of Th-17 cells
may contribute to HCV clearance post-OLT. Maintenance of viral suppression may be
facilitated by restoration of Th1 (IFN-gamma) responses. Modulation of Th17
immunity deserves further attention as a therapeutic strategy in the treatment of
HCV recurrence post-OLT.
PMID- 22082819
TI - Tolerance to vascularized composite allografts in canine mixed hematopoietic
chimeras.
AB - BACKGROUND: Mixed donor-host chimerism, established through hematopoietic cell
transplantation (HCT), is a reproducible strategy for the induction of tolerance
toward solid organs. Here, we ask whether a nonmyeloablative conditioning regimen
establishing mixed donor-host chimerism leads to tolerance of antigenic
vascularized composite allografts. METHODS: Stable mixed chimerism was
established in dogs given a sublethal dose (1-2 Gy) total body irradiation before
and a short course of immunosuppression after dog leukocyte antigen-identical
marrow transplantation. Vascularized composite allografts from marrow donors were
performed after a median of 36 months (range, 4-54 months) after HCT. RESULTS:
All marrow recipients maintained mixed donor-host hematopoietic chimerism and
accepted vascularized composite allografts for periods ranging between 52 and 90
weeks; in turn, marrow donors rejected vascularized composite allografts from
their respective marrow recipients within 18 to 29 days. Biopsies of muscle and
skin of vascularized composite allografts from mixed chimeras showed few
infiltrating cells compared with extensive infiltrates in biopsies of
vascularized composite allografts from marrow donors. Elevated levels of CD3+
FoxP3+ T-regulatory cells were found in skin and muscle of vascularized composite
allografts of mixed chimeras compared with normal tissues. In mixed chimeras,
increased numbers of T-regulatory cells were found in draining compared with
nondraining lymph nodes of vascularized composite allografts. CONCLUSIONS: These
data suggest that nonmyeloablative HCT may form the basis for future clinical
applications of solid organ transplantation and that T-regulatory cells may
function toward maintenance of the vascularized composite allograft.
PMID- 22082820
TI - Current status of hepatocyte transplantation.
AB - Hepatocyte transplantation (HT) has been performed in patients with liver-based
metabolic disease and acute liver failure as a potential alternative to liver
transplantation. The results are encouraging in genetic liver conditions where HT
can replace the missing enzyme or protein. However, there are limitations to the
technique, which need to be overcome. Unused donor livers to isolate hepatocytes
are in short supply and are often steatotic, although addition of N
acetylcysteine improves the quality of the cells obtained. Hepatocytes are
cryopreserved for later use and this is detrimental to metabolic function on
thawing. There are improved cryopreservation protocols, but these need further
refinement. Hepatocytes are usually infused into the hepatic portal vein with
many cells rapidly cleared by the innate immune system, which needs to be
prevented. It is difficult to detect engraftment of donor cells in the liver, and
methods to track cells labeled with iron oxide magnetic resonance imaging
contrast agents are being developed. Methods to increase cell engraftment based
on portal embolization or irradiation of the liver are being assessed for
clinical application. Encapsulation of hepatocytes allows cells to be
transplanted intraperitoneally in acute liver failure with the advantage of
avoiding immunosuppression. Alternative sources of hepatocytes, which could be
derived from stem cells, are needed. Mesenchymal stem cells are currently being
investigated particularly for their hepatotropic effects. Other sources of cells
may be better if the potential for tumor formation can be avoided. With a greater
supply of hepatocytes, wider use of HT and evaluation in different liver
conditions should be possible.
PMID- 22082822
TI - Ventilatory functions in cotton textile workers and the role of some inflammatory
cytokines.
AB - Exposure to cotton dust in industrial environments causes inflammation in the
airways of the exposed workers. This may manifest as respiratory complaints and
changes in the respiratory functions after work shift and in the baseline of
their ventilatory functions. The study aimed to investigate the effect of
occupational exposure to cotton dust on respiratory symptoms, ventilatory
functions and pro-inflammatory cytokine levels (tumor necrosis factor alpha,
interleukin 6 and interleukin 1beta). The study was conducted on 63 textile
workers and 65 nonexposed subjects. Both groups were matched for age,
socioeconomic status and smoking habit. The respirable dust measured in the
workplace did not exceed the permissible values of the Egyptian law 1994. The
bacterial counts detected were within the occupational exposure limits of the
industrial settings. The results revealed that the percentage of respiratory
symptoms was higher in textile workers. Respiratory complaints were chronic cough
(33.2%), chronic bronchitis (39.7%) and dyspnea (23.8%) in textile workers
compared to (6.2%, 6.2% and 1.5%), respectively, in controls. There was a marked
reduction in the ventilatory functions (forced vital capacity and forced
expiratory volume in 1 s) in the textile workers compared to the controls. The
additive effect of smoking on the ventilatory functions was not apparent. The
ventilatory functions of the workers were significantly positively correlated
with the duration of exposure. The cytokines were insignificantly higher in the
textile workers compared to their controls. The textile workers with respiratory
complaints showed significant decline in ventilatory functions and elevation in
the cytokine levels compared to the nonsymtomatizing workers with significant
difference in interleukin 1beta and interleukin 6. In conclusion, the results
supported the fact that exposure to cotton dust deteriorates ventilatory
functions and elevates proinflammatory cytokine levels. Analysis of the release
of cytokines can be used to evaluate the immune responses to organic dust-induced
airway inflammation.
PMID- 22082823
TI - Fatal methanol poisoning: features of liver histopathology.
AB - Methanol poisoning has become a considerable problem in Iran. Liver can show some
features of poisoning after methanol ingestion. Therefore, our concern was to
examine liver tissue histopathology in fatal methanol poisoning cases in Iranian
population. In this study, 44 cases of fatal methanol poisoning were identified
in a year. The histological changes of the liver were reviewed. The most striking
features of liver damage by light microscopy were micro-vesicular steatosis,
macro-vesicular steatosis, focal hepatocyte necrosis, mild intra-hepatocyte bile
stasis, feathery degeneration and hydropic degeneration. Blood and vitreous humor
methanol concentrations were examined to confirm the proposed history of methanol
poisoning. The majority of cases were men (86.36%). In conclusion, methanol
poisoning can cause histological changes in liver tissues. Most importantly in
cases with mean blood and vitreous humor methanol levels greater than 127 +/-
38.9 mg/dL more than one pathologic features were detected.
PMID- 22082824
TI - Anti-inflammatory and antioxidant effects of infliximab in a rat model of
intestinal ischemia/reperfusion injury.
AB - The aim of this study was to investigate the possible protective effects of
infliximab on oxidative stress, cell proliferation and apoptosis in the rat
intestinal mucosa after ischemia/reperfusion (I/R). A total of 30 male Wistar
albino rats were divided into three groups: sham, I/R and I/R+ infliximab; each
group comprised 10 animals. Sham group animals underwent laparotomy without I/R
injury. I/R groups after undergoing laparotomy, 1 hour of superior mesenteric
artery ligation occurred, which was followed by 1 hour of reperfusion. In the
infliximab group, 3 days before I/R, infliximab (3 mg/kg) was administered
intravenously. All animals were killed at the end of reperfusion and intestinal
tissues samples were obtained for biochemical and histopathological investigation
in all groups. To date, no biochemical and histopathological changes have been
reported regarding intestinal I/R injury in rats due to infliximab treatment.
Infliximab treatment significantly decreased the elevated tissue malondialdehyde
levels and increased reduced superoxide dismutase and glutathione peroxidase
enzyme activities in intestinal tissues samples. I/R caused severe
histopathological injury including mucosal erosions, inflammatory cell
infiltration, necrosis, hemorrhage, and villous congestion. Infliximab treatment
significantly attenuated the severity of intestinal I/R injury, inhibiting I/R
induced apoptosis, and cell proliferation. Because of its anti-inflammatory and
antioxidant effects, infliximab pretreatment may have protective effects on the
experimental intestinal I/R model of rats.
PMID- 22082825
TI - Biochemical evidence on the potential role of organophosphates in hepatic glucose
metabolism toward insulin resistance through inflammatory signaling and free
radical pathways.
AB - Several studies show that organophosphate pesticides exert several effects on
glucose homeostasis. The current study investigates the influence of subchronic
exposure to malathion (MT) on hepatic gluconeogenesis in relation to acetyl
cholinesterase (AChE) inhibition, oxidative stress and inflammatory response in
the rat. MT was administered by gavage at doses of 25, 50 and 100 mg/kg for 32
days. Fasting hyperglycemia was seen in line with an increased activity of
hepatic phosphoenolpyruvate carboxykinase, glucose 6-phosphatase and tumor
necrosis factor alpha. In addition to the impaired glucose tolerance and
inhibition of AChE in a dose-dependent manner, there were significant increases
in hepatic lipid peroxidation, carbonyl groups and 8-deoxyguanosine as the
biomarkers of reactive oxygen species-mediated damage to lipid, protein and DNA,
respectively. Altered quality of the liver in glucose production especially
gluconeogenesis could be a compensatory mechanism against MT toxicity or even
result in tissue damage. MT-induced insulin resistance in the liver occurs
through oxidative and inflammatory signaling pathways.
PMID- 22082826
TI - Heat stress decreases testicular germ cell proliferation and increases apoptosis
in short term: an immunohistochemical and ultrastructural study.
AB - Scrotal hyperthermia has been known as a cause of male infertility but the exact
mechanism leading to impaired spermatogenesis is unknown. This work was aimed to
investigate the role of scrotal hyperthermia on cell proliferation and apoptosis
in testes. The rats were randomly allotted into one of the four experimental
groups: A (control), B (1 day after scrotal hyperthermia), C (14 days after
scrotal hyperthermia), and D (35 days after scrotal hyperthermia); each group
comprised 7 animals. Scrotal hyperthermia was carried out in a thermostatically
controlled water bath at 43 degrees C for 30 min once daily for 6 consecutive
days. Control rats were treated in the same way, except the testes were immersed
in a water bath maintained at 22 degrees C. Hyperthermia-exposed rats were killed
under 50 mg/kg ketamine anaesthesia and tissue samples were obtained for
biochemical and histopathological investigations. Hyperthermia treatment
significantly decreased the testicular antioxidant system, including decreases in
the glutathione level, superoxide dismutase, and glutathione peroxidase
activities. Moreover, exposure to hyperthermia resulted in lipid peroxidation
increase in testes. Our data indicate a significant reduction in the expression
of proliferating cell nuclear antigen and an enhancement in the activity of
terminal deoxynucleotidyl transferase dUTP nick end labelling after scrotal
hyperthermia. In scrotal hyperthermia, the mitochondrial degeneration, dilatation
of smooth endoplasmic reticulum, and enlarged intercellular spaces were observed
in both Sertoli and spermatid cells. Scrotal hyperthermia is one of the major
factors that impair spermatogenesis in testis. This heat stress is shown to be
closely associated with oxidative stress, followed by apoptosis of germ cells.
PMID- 22082827
TI - Tissues distribution of heavy metals and erythrocytes antioxidant status in rats
exposed to Nigerian bonny light crude oil.
AB - The harmful effects of folkloric uses of Nigerian bonny light crude oil (BLCO) in
ailments management may outweigh the expected beneficial effects. We investigated
the levels of heavy metal concentrations in the tissues as well as the effect of
BLCO on the antioxidant status of erythrocytes of rats after oral exposure to 0,
200 and 800 mg/kg BLCO for 7 days. Analysis of heavy metal concentrations in BLCO
showed that Zn > Fe > Pb > Cu > Ni. The trend of accumulation of the metals in
the tissues is blood-Fe > Pb >Zn whereas Cu and Ni levels were not affected;
Liver-Ni > Zn > Fe > Cu > Pb and Testes-Ni > Cu > Pb > Zn > Fe. The order of
concentration of the metals in the tissues is as follows: iron-blood > liver >
testes; zinc-liver > blood > testes; lead-blood > liver > testes; copper-testes >
liver > blood; nickel-liver > testes > blood. Activities of the antioxidant
enzymes of erythrocytes such as superoxide dismutase, catalase, glutathione S
transferase and glutathione peroxidase increased significantly in a dose
dependent manner with significant elevation in hydrogen peroxide and
malondialdehyde levels, whereas glutathione level was not significantly decreased
in BLCO-treated animals. Collectively, the results showed that BLCO induces
oxidative damage to erythrocytes of rats.
PMID- 22082828
TI - Aspergillus hazardous problem in ceramic workers.
AB - Ceramic workers are at a high risk of developing respiratory problems as they are
exposed to high levels of respirable dust containing silica and high microbial
counts, including high Aspergillus counts. The aim of the study was to study the
percentage of ceramic workers with positive Aspergillus (A.) through polymerase
chain reaction (PCR) and specific IgE (sIgE) for the different Aspergillus
species. PCR and specific IgE (sIgE) for the different Aspergillus species (A.
flavus, A. fumigatus and A. niger) were estimated in 40 ceramic workers and 56
control subjects. Results revealed that 32.5% of the workers' sputum was PCR
positive for Aspergillus. About 69.2% of them were A. flavus positive, 15.4% A.
niger positive, 7.7% A. fumigatus positive and 7.7% A. flavus and A. fumigatus
positive. The percentage change in sIgE for A. fumigatus between the workers and
their controls was over 100%, while less than 50% for the other two species. The
sIgE levels for the three Aspergillus species were not significantly correlated
with the duration of exposure. Fungal exposure could be considered potential
hazardous problem in ceramic industry. There were no significant correlations
between the duration of exposure and the sIgE for the different Aspergillus
species.
PMID- 22082829
TI - Effect of fenugreek seed extract on adriamycin-induced hepatotoxicity and
oxidative stress in albino rats.
AB - The purpose of this work was to evaluate the effect of aqueous extract of
fenugreek seeds against hepatotoxicity induced in albino rats by the anticancer
drug adriamycin (ADR). Animals were given single dose of ADR (10 mg/kg body
weight) and were killed after 2 and 4 weeks. Liver of ADR-treated animals showed
histopathological and biochemical alterations. The histopathological changes
include hepatic tissue impairment, cytoplasmic vacuolization of the hepatocytes,
congestion of blood vessels, leucocytic infiltrations and fatty infiltration.
Moreover, the expression of proliferating cell nuclear antigen was increased in
ADR-treated rats. The liver enzymes, aspartate aminotransferase (ALT) and alanine
aminotransferase (AST) were increased in the sera of treated rats. Moreover, ADR
significantly increased the concentration of malondialdehyde (MDA) and decreased
the activities of superoxide dismutase (SOD) and catalase (CAT) in hepatic
tissue. Treating animals with ADR and aqueous extract of fenugreek (0.4 g/kg body
weight) seeds led to an improvement in histological and biochemical alterations
induced by ADR. The biochemical results showed that AST and ALT appeared normal
together with reduction in the level of MDA (lipid peroxidation marker) and
increase in SOD and CAT activities. It was concluded from this study that the
aqueous extract fenugreek seeds has a beneficial impact on ADR-induced
hepatotoxicity due to its antioxidant effect in albino rats.
PMID- 22082830
TI - Parkin interacts with Klokin1 for mitochondrial import and maintenance of
membrane potential.
AB - Parkin is a multifunctional protein, including maintaining mitochondrial
homeostasis. Recent evidence suggests that Parkin is recruited from the cytoplasm
to damaged mitochondria with low membrane potential. We found that intracellular
localization of Parkin changed with cellular growth phase. Parkin was
preferentially localized in the mitochondria of cultured cells. The mitochondria
with large amounts of Parkin showed preserved membrane potentials even during
treatment with carbonyl cyanide m-chlorophenylhydrazone. Here we report a novel
protein named Klokin 1 that transports Parkin to the mitochondria. Klokin 1 was
localized to the mitochondria and enhanced mitochondrial expression of Parkin.
Klokin 1 enhanced cell viability in Parkin-silenced cells. Klokin 1 expression
was enhanced in the brains of Parkin-deficient mice but not in an autopsied PARK2
brain. Our findings indicate that mitochondrial Parkin prevents mitochondrial
depolarization and that Klokin 1 may compensate for Parkin deficiency.
PMID- 22082831
TI - Targeted mutation of SLC4A5 induces arterial hypertension and renal metabolic
acidosis.
AB - The human SLC4A5 gene has been identified as a hypertension susceptibility gene
based on the association of single nucleotide polymorphisms with blood pressure
(BP) levels and hypertension status. The biochemical basis of this association is
unknown particularly since no single gene variant was linked to hypertension in
humans. SLC4A5 (NBCe2, NBC4) is expressed in the collecting duct of the kidney
and acts as an electrogenic ion-transporter that transports sodium and
bicarbonate with a 1:2 or 1:3 stoichiometry allowing bicarbonate reabsorption
with relatively minor concurrent sodium uptake. We have mutated the Slc4a5 gene
in mice, which caused a persistent increase in systolic and diastolic BP. Slc4a5
mutant mice also displayed a compensated metabolic acidosis and hyporeninemic
hypoaldosteronism. Analysis of kidney physiology revealed elevated fluid intake
and urine excretion and increased glomerular filtration rate. Transcriptome
analysis uncovers possible compensatory mechanisms induced by SLC4A5 mutation,
including upregulation of SLC4A7 and pendrin as well as molecular mechanisms
associated with hypertension. Induction of metabolic alkalosis eliminated the BP
difference between wild-type and Slc4a5 mutant mice. We conclude that the
impairment of the function of SLC4A5 favors development of a hypertensive state.
We reason that the loss of sodium-sparing bicarbonate reabsorption by SLC4A5
initiates a regulatory cascade consisting of compensatory bicarbonate
reabsorption via other sodium-bicarbonate transporters (e.g. SLC4A7) at the
expense of an increased sodium uptake. This will ultimately raise BP and cause
hypoaldosteronism, thus providing a mechanistic explanation for the linkage of
the SLC4A5 locus to hypertension in humans.
PMID- 22082833
TI - Cause of death for those with diabetes and/or cancer provides further support for
an important role of vitamin D in reducing risk of many types of disease.
PMID- 22082834
TI - Design, synthesis and anticonvulsant evaluation of novel N-(4-substituted phenyl)
2-[4-(substituted) benzylidene]-hydrazinecarbothio amides.
AB - Thirty six new N-(4-substituted phenyl)-2-[4-(substituted) benzylidene]
hydrazinecarbothioamides were synthesized and evaluated for anticonvulsant
activity and neurotoxicity. The anticonvulsant activity was established in three
seizure models i.e. MES, scMET and 6 Hz model. The most active compound was 2-[4
(4-chlorophenoxy)benzylidene]-N-(4-fluorophenyl)hydrazinecarbothioamide PC 31
which showed 100% protection at 0.5 h in the 6 Hz test. Compound 2-[4-(4
bromophenoxy) benzylidene]-N-(4-bromophenyl) hydrazinecarbothioamide PC 23 was
found to be active in both the MES and 6 Hz test. A computational study was
carried out from calculation of a pharmacophore pattern and the prediction of
pharmacokinetic properties. Titled compounds have also exhibited good binding
properties with epilepsy molecular targets such as glutamate, GABA (A) delta and
GABA (A) alpha-1 receptors, in the Lamarckian genetic algorithm based on flexible
docking studies.
PMID- 22082832
TI - Hyperpolarizing GABAergic transmission depends on KCC2 function and membrane
potential.
AB - KCC2 comprises the major Cl(-) extruding mechanism in most adult neurons.
Hyperpolarizing GABAergic transmission depends on KCC2 function. We recently
demonstrated that glutamate reduces KCC2 function by a phosphorylation-dependent
mechanism that leads to excitatory GABA responses. Here we investigated the
methods by which to estimate changes in E(GABA), as well as the processes that
lead to depolarizing GABA responses and their effects on neuronal excitability.
We demonstrated that current-clamp recordings of membrane potential responses to
GABA can determine upper and lower limits of E(GABA). We also further
characterized depolarizing GABA responses, which both excited and inhibited
neurons. Our analyses revealed that persistently active GABA(A) receptors
contributed to loading Cl(-) during the glutamate exposure, indicating that tonic
inhibition can facilitate the development of depolarizing GABA responses and
increase excitability after pathophysiological insults. Finally, we demonstrated
that hyperpolarizing GABA responses could temporarily switch to depolarizing
responses when they coincided with an afterhyperpolarization.
PMID- 22082835
TI - Immunological similarity of thyroid stimulating antibody (TSAb) and thyroid
blocking antibody (TBAb) with animal IgG.
AB - Previously we reported neutralization and partial purification of TSAb and TBAb
activity using heterophilic antibody (Ab) to animal IgG from Graves' disease.
Thus, we examined immunological similarity of TSAb and TBAb with animal IgG using
experimentally generated anti-animal IgG [dog (d), bovine (b), porcine (p) and
rabbit (rb)] Abs. TBII activity of TSAb- and TBAb-positive serum was neutralized
by these anti-animal IgG Abs. Applied TSAb- or TBAb-IgG protein (purified by
Protein A) on these anti-animal IgG Abs-bound column was found mainly in the
unbound fraction (UF) (>65%) and partially in the bound fraction(BF) (<35%). The
TBII and TSAb activity of TSAb-IgG in the BF showed significantly higher than the
UF. Thus, the ratio of TBII activity (U/L)/mg protein in the BF/UF was high. TBII
activity of TBAb-IgG was similarly purified by this column. We examined
immunological characteristics of TSAb-and TBAb-Fab or F(ab')2 using rabbit anti
bF(ab')2 Ab. TBII and TSAb activity of TSAb-Fab or- F(ab')2 and TBII activity of
TBAb-Fab or -F(ab')2 were neutralized by anti-bF(ab')2 Ab. Partial purification
of TSAb- or TBAb-Fab and -F(ab')2 by anti-bF(ab')2 Ab-bound column was also
possible. Immunological similarity of TSAb- and TBAb-IgG with animal IgG such as
d, b, p, rb by anti-animal IgG Ab, and TSAb- or TBAb-Fab and -F(ab')2 with bFab
by anti-bF(ab')2 Ab were demonstrated. These fact suggest that both Fab and Fc
portion of TSAb- and TBAb-IgG molecule have immunological similarity with animal
IgG.
PMID- 22082836
TI - Neutralization and purification of thyroid stimulating antibody (TSAb) and
thyroid blocking antibody (TBAb) by heterophilic antibody to animal IgG in
Graves' disease.
AB - There are several reports that sera from Graves' patients contain heterophilic
antibody (Ab) to animal IgG such as human anti-mouse antibody (HAMA). We
examined the binding of TSAb and TBAb with heterophilic Ab. The binding of
animal IgG with patient's IgG was examined by the inhibition of animal IgG on the
binding of labeled bovine (b) IgG with patient's IgG. The binding to labeled
bIgG was detected in the serum of 5 patients (2.7 %) among 185 patients with
Graves' disease. The binding of the labeled bIgG with patient's IgG was
inhibited by animal serum or the crude IgG (45% ammonium sulfate fraction of
serum)(such as dog, horse, bovine, porcine, goat, ovine, rabbit, guinea-pig, rat,
mouse) except human, monkey and chick. This heterophilic Ab which had cross
reaction with mammalian IgG (except human, monkey) was used as human anti-animal
IgG Ab. TBII and TSAb activity of TSAb-positive serum, and TBII activity of TBAb
positive serum were neutralized by incubation with this Ab-bound column. Partial
purification of TSAb- or TBAb- IgG from Protein A-purified TSAb- or TBAb-IgG was
possible using this Ab-bound column. TBII and TSAb activity of TSAb-IgG and TBII
activity of TBAb-IgG were neutralized by incubation with rabbit anti-human (h)
IgG Ab (having cross-reaction with animal IgG). Further purification of Protein
A-purified TSAb-IgG or TBAb-IgG by rabbit anti-hIgG Ab-bound column was
impossible. The binding of TSAb and TBAb with heterophlic Ab means that TSAb-and
TBAb-specific IgG have immunological similarity with mammalian species IgG
compared to human IgG.
PMID- 22082837
TI - Association between Leapfrog safe practices score and hospital mortality in major
surgery.
AB - BACKGROUND: The Leapfrog Group reports on hospitals' adoption of the National
Quality Forum Patient Safety Practices. However, it is unknown whether hospital
compliance with these safe practices is associated with improved outcomes in
patients undergoing major surgery. METHODS: We analyzed the association between
hospital mortality and Leapfrog Safe Practices among patients undergoing coronary
artery bypass graft surgery (n=18,565), abdominal aortic aneurysm repair
(n=2777), and hip replacement (n=25,067) in hospitals participating in the 2007
Leapfrog Hospital Survey using logistic regression. RESULTS: After adjusting for
patient and hospital factors, we found that the total safety score (adjusted odds
ratio: 1.000, 95% confidence interval: 0.999-1.001) was not associated with
hospital mortality. Computerized physician order entry and ICU physician staffing
were also not associated with hospital mortality. CONCLUSIONS: We did not find
evidence that patients undergoing major surgery at hospitals which scored higher
on the Leapfrog Safe Practices Survey had lower mortality rates. The Leapfrog
safe practices score as a standalone quality measure may have limited power to
distinguish between high-quality and low-quality hospitals.
PMID- 22082838
TI - Spitz nevi and other Spitzoid lesions part I. Background and diagnoses.
AB - Spitz nevi are melanocytic proliferations that are characterized by spindled
and/or epithelioid nevomelanocytes. First interpreted as juvenile melanoma, these
lesions were later characterized as benign and were observed to affect all age
groups. Today, contrasting opinions persist regarding the fundamental benignancy
versus malignancy within the spectrum of Spitz tumors. Beyond clinical outcome,
this controversy has also been fueled by complex and sometimes convoluted
classification schemes based on pathologic characteristics. More recently,
immunophenotypic and molecular analyses have begun to clarify the etiologic
nature of these tumors. Recent evidence suggests that histopathologic features
that suggest more aggressiveness in Spitz tumors relate to mitoses and
inflammation.
PMID- 22082840
TI - Alopecia areata incognita.
PMID- 22082839
TI - Spitz nevi and other Spitzoid lesions part II. Natural history and management.
AB - For dermatologists, evidence-based management guidelines for Spitz tumors have
not been established. Despite the lack of a standardized approach, most
dermatologists recommend the excision of Spitz tumors occurring in adults and
adopt more conservative measures towards pediatric cases. The histopathologic
attributes and the clinical scenario are factored into management in each case.
While the metastatic behavior of certain Spitz tumors is well known, the
malignant potential of these lesions remains unclear because they only rarely
result in negative outcomes. The risks and benefits of adjunctive measures, such
as sentinel lymph node biopsy and interferon use, remain untested and are
subjects of ongoing controversy. (In part II of this continuing medical education
article, we will continue to use the terminology defined in part I for purposes
of continuity. "Spitz tumor" is used as the umbrella term for the entire category
of lesions, "common Spitz nevi" refers to only the most typical lesions seen in
pediatric cases, and "atypical Spitz tumors" encompass the "all other" category,
which continues to cause debate.).
PMID- 22082841
TI - Skin carcinogenesis and driving.
PMID- 22082842
TI - Statins differ significantly in their anti-inflammatory activity.
PMID- 22082843
TI - Left-sided skin cancers on the arm from driving? Past and future lessons from
Australia.
PMID- 22082845
TI - Antibiotic susceptibility of Corynebacterium minutissimum isolated from lesions
of Turkish patients with erythrasma.
PMID- 22082846
TI - A randomized controlled crossover trial: lidocaine injected at a 90-degree angle
causes less pain than lidocaine injected at a 45-degree angle.
PMID- 22082847
TI - The AC Rule for melanoma: a simpler tool for the wider community.
PMID- 22082848
TI - Actinomycosis presenting as a destructive ulcerated plaque on the palate and
gingiva.
PMID- 22082849
TI - Gemcitabine-associated sweet syndrome-like eruption.
PMID- 22082850
TI - Zoledronic acid-induced cutaneous B-cell pseudolymphoma.
PMID- 22082853
TI - Mechanical fatigue cycling on teeth restored with fiber posts: impact of coronal
grooves and diameter of glass fiber post on fracture resistance.
AB - AIM: The aim of this paper was to evaluate the effect of different diameters and
surface characteristics of a glass fiber post on the fracture resistance of teeth
restored with fiber posts. METHODS: Eighty single-rooted bovine teeth were
prepared, embedded in a PVC cylinder using acrylic resin, and allocated into 8
groups (N.=10) according to post diameter and shape: (smooth double-tapered fiber
post) G1, G2, G3, and G4 with cervical diameters of 1.4 mm, 1.6 mm, 1.8 mm, and 2
mm, respectively; (double-tapered fiber posts with coronal grooves) G5, G6, G7,
and G8 with cervical diameters of 1.4 mm, 1.6 mm, 1.8 mm, and 2 mm, respectively.
A self-adhesive cement was used for post cementation, and the core build-up was
standardized and made with composite resin. Specimens were stored for 7 days and
then submitted to the mechanical fatigue testing (load=50 N., angle= 45 degrees ,
frequency=1 Hz, temperature=37 +/- 1 degrees C, number of cycles=1000000); the
specimens that survived were submitted to static resistance testing (1 mm/min, 45
degrees ). The fracture loads and fracture modes was recorded. Data were
submitted to 2-way ANOVA, post-hoc Tukey test and Pearson Correlation analysis.
RESULTS: The cervical diameter of the post (P<0.0001) and surface characteristics
(P=0.01013) significantly affected the fracture resistance (2-way ANOVA). Grooves
reduced the fracture resistance when post diameter was 1.4 mm (G1 and G5). A
moderate positive correlation was found between the fracture resistance and the
fiber post diameter (r2=0.4445; P<0.0001) (Pearson correlation test). CONCLUSION:
It appears that there is a direct relation between the diameter of the fiber post
and the fracture strength of roots restored with fiber posts. But other factors
may have influenced the fracture strength such as the reduction of intracanal
dentin by the preparation for placement of wider fiber posts, since no difference
was found for smooth fiber posts with different diameter. Otherwise, grooves at
coronal part of the fiber post can damage the fracture resistance.
PMID- 22082854
TI - Antimicrobial prescribing practice by dentists: a study from two primary care
centres in UK.
AB - AIM: Antimicrobials are often prescribed by dental practitioners for various
dental conditions. However, non-adherence to standard prescribing guidelines is
common in day to day dental practice. The aim of this paper was to investigate
the types of antimicrobials used for various orofacial infections by primary care
dental practitioners and to verify their adherence to standard prescribing
guidelines. METHODS: Clinical data was analyzed from random electronic files of
patients for whom antimicrobials were prescribed at two Dental Practices in UK
between January 2009 and December 2010. British National Formulary (60th Edn),
guidelines of Scottish Dental Clinical Effectiveness Programme and UK National
Institute for Health and Clinical Excellence (NICE) Guidelines were used to
identify adherence to prescribing guidelines. RESULTS: A total of 200 cases were
analyzed. Amoxicillin (52%) was the commonest antimicrobial prescribed followed
by metronidazole (43.5%). Mean age of the patients was 37.2 (+/- 15.1) years.
Mean duration of antimicrobial prescription was for 4.3 (+/- 1.4) days. Non
adherence to the standard prescribing guidelines was observed in 116 (58%)
prescriptions. CONCLUSION: Amoxicillin continues to be the commonest
antimicrobial preferred by primary care dental practitioners. Non-adherence to
standard antimicrobial prescribing guidelines still remains high among primary
care dentists.
PMID- 22082855
TI - Comparison between two methods to evaluate temperature changes produced by
composite light curing units and polymerization techniques.
AB - AIM: This study evaluated the temperature change into the pulp chamber during the
light curing of composite resin by direct (bovine tooth) and indirect (matrix)
methods. METHODS: Direct method: fifty standardized cavities (2x2x2 mm) were
prepared in bovine incisors, which were randomly assigned to evaluation of the
temperature changes in the pulp chamber. Indirect method: temperature changes
were evaluated through a dentine slice of 1.0 mm thickness in a elastomer cubic
mold (2x2x2 mm). Filtek Z250 composite resin (3M/ESPE) was photo-activated using
three light curing units: quartz-tungsten-halogen (QTH) by continuous, soft-start
or intermittent light modulations; light emitting diode (LED); and plasma arc
curing (PAC). Ten groups (N.=10) were established according to technique
evaluation and photo-activation methods. All experiments were carried out in a
controlled environment (37 degrees C and 50 +/- 10% relative humidity). The
temperature changes were recorded using a digital thermometer attached to a type
K thermocouple in contact with the dentin slice (indirect method) or in contact
with the axial wall (dentin) of pulp chamber (direct method). The results were
submitted to ANOVA and Tukey's test (alpha=0.05). RESULTS: Temperature changes
were statistically higher for the matrix indirect method (2.56 oC) than bovine
teeth direct method (1.17oC). The change temperature was statistically higher for
the PAC (1.77 oC) when compared to other photo-activation modes in bovine teeth
direct method. CONCLUSION: The two methods of temperature evaluation were
different, however indirect method detected the higher temperature increase.
Higher energy density arising from the light curing units and polymerization
techniques promoted higher temperature increase.
PMID- 22082856
TI - A consensus conference on management of the lower third molar. Italian Society of
Odontostomatological Surgery.
AB - During the first Congress of the Italian University Schools of Oral Surgery, held
in Rome on 18-20th February, 2010, a task force was convened by the Italian
Society of Odontostomatological Surgery (SIdCO) to summarize the data collected
from the current literature on selected aspects relating to the mandibular third
molar and its removal. The task of the Conference Participants was to review and
analyze the pertinent literature and to elaborate conclusive recommendations for
the management of the lower third molar. The statements made and the
recommendations presented represent the consensus of the Conference, which can be
considered the official statement of the SIdCO.
PMID- 22082857
TI - Pit and fissure sealants: review of literature and application technique.
AB - Molars and premolars are the most vulnerable teeth to caries attack. The high
susceptibility of these teeth to caries is directly related to morphology of
their occlusal surface that prevents both chemical cleaning by saliva and
mechanical cleaning by toothbrush. Pit and fissures are therefore the most prone
areas to caries and need special protection to prevent carious lesions. Fluoride
is the only chemical element used for caries prevention. In fact, it favors the
remineralisation of initial lesions, prevents the production of polysaccharides
essential for the development and sustainment of bacterial plaque, and the
absorption of salivary glycoprotein. Fluoride also reinforces enamel, making it
less susceptible to caries. Two methods of fluoroprophylaxis have been proposed:
the first is the systemic fluoroprophylaxis which is particularly efficient in
preventing interproximal caries, but it does not form an adequate protective
barrier on the occlusal surfaces; the other is the topical application of a
fluoride gel to the tooth surface, although this second method does not
significantly reduce the incidence of caries. The efficacy of the sealing
procedures depends on the correct application technique. Observing an operative
protocol will ensure a longer lasting retention of the sealant on the occlusal
surface and subsequently prolongs the protection against caries.
PMID- 22082858
TI - The controversial issue of centric relation: a historical and current dental
perspective?
AB - Human mandible is related to the anatomic skull in several positions among these;
centric relation is a significant spatial position. It contributes not only as a
reference position to build optimal occlusion in artificial dentition, but is
also related to sound periodontal health and stomatognatic function. The purpose
of this article is to critically discuss the historical and current definitions
of centric relation, the different methods used for recording the same and its
clinical implication in the restorative dental practice.
PMID- 22082859
TI - Two-flap palatoplasty for treatment of oronasal fistula by trauma sequel.
AB - The oronasal fistula is a chronic communication between the oral and nasal cavity
that often affects patients with cleft palate. However, others uncommon causes of
oronasal fistula are associated with facial traumas, infections and neoplasias.
In this report we present a case of oronasal fistula as consequence of facial
trauma that was treated by two flaps technique for palatoplasty. In this sense,
we discussed treatment indications, surgical technique and patient prognostic of
a relatively simple option that can provide a definitive repair with minimal
morbidity.
PMID- 22082860
TI - The reading man flap for closure of large meningomyelocele defects.
AB - BACKGROUND: Closure of the skin defect in myelomeningocele repair is an essential
step that determines the quality of the surgical result. In large
myelomeningoceles, however, adequate skin coverage may not be accomplished by
direct closure or skin undermining. In such cases, the skin defect is best
repaired using flaps. The aim of this study is to evaluate the reading man
procedure for closure of large meningomyelocele defect. METHODS: In this
procedure, after neurosurgical repair and closure of the placode, the defect
surgically becomes a circle in shape. Then, the circular defect is closed by
transposition of two skin flaps designed in an unequal Z-plasty manner. Over 5
years, the reading man procedure was used for closure of large meningomyelocele
defects in seven patients (four females and three males), aged between 1.5 and 6
months. The defect size was 10.5*7.25 cm (8.5*5.3 and 12.6*9.5 cm) on average.
The localisation of the lesions was thoracolumbar in two patients and lumbosacral
in five patients. RESULTS: In all patients, a successful tension-free one-stage
closure was obtained without dog-ear formation. Except for one patient with
minimal tip necrosis, healing was uneventful without any complications. There was
no patient with late breakdown of the wound during 1.5 years (8 months-4 years)
of mean follow-up. CONCLUSIONS: The reading man procedure enables the surgeon to
achieve a tension-free defect closure of considerably large meningomyeleocele
defects. Using two well-vascularised fasciocutaneous flaps, it provides a durable
coverage and soft tissue padding over the neural tissues with no suture seam at
midline. With these advantages, the Reading Man Procedure seems to be a useful
and safe alternative for closure of large meningomyelocele defects.
PMID- 22082861
TI - A transthyroidal method for arytenoid adduction: a basic anatomical study.
AB - INTRODUCTION: Arytenoid adduction is a very effective procedure for medializing
the posterior part of the vocal fold in vocal fold paralysis. Major drawback of
the method is the technically sometimes-difficult access to the arytenoid with
increased postoperative morbidity. Aim of this study was to provide basic
anatomical data regarding the accessibility of the arytenoid cartilage through a
thyroplasty window. Furthermore, to investigate the feasibility of an arytenoid
adduction by fixation of a surgical screw to the arytenoid cartilage by using
this approach. MATERIALS AND METHODS: 10 cadaver larynges, six female and four
male, were dissected and measured for our points of interest. A standard
manufacture-made surgical screw attached to a suture was anchored to the fovea
oblonga of the arytenoid cartilage. RESULTS: Our anatomical measurements proved a
mean distance from the posterior edge of the thyroid window to the arytenoid of
about 8-9 mm in male larynges and 7-8 mm in female larynges. The distances did
not differ significantly between the sexes. Pulling the anchored surgical screw
medializes the posterior part of the vocal fold. DISCUSSION: Our data showed that
there is a very constant morphometric relation between the thyroplasty window and
the arytenoid cartilage. It is known that gender-related differences result in a
veritable laryngeal dimorphism in nearly all absolute laryngeal dimensions. These
differences appear to a much lesser extend in the distances from the surface to
the depth, as was confirmed in our series. Using these findings led us to
identification of the fovea oblonga near the muscular process as the most
favorable point for fixation of a surgical screw through a conventional
thyroplasty window. Pulling the attached suture medializes the arytenoid
cartilage.
PMID- 22082862
TI - The effect of experience on classification of voice quality.
AB - OBJECTIVES/HYPOTHESIS: The purpose of this study was to compare the agreement
among several groups of listeners with different types of experience in regard to
classifying voice quality. STUDY DESIGN: This is a retrospective cross-sectional
quasi-experimental design. METHOD: This study compared three groups: speech
language pathologists who specialize in voice, singing voice teachers, and
inexperienced listeners. All groups were asked to classify voice samples as
breathy, rough, or normal. RESULTS: Results show a significant difference across
all groups with speech-language pathologists demonstrating a substantial
interrater agreement, kappa=0.67, z=103.07 (P<0.01); singing voice teachers
demonstrating a moderate interrater agreement, kappa=0.53, z=79.10 (P<0.01); and
inexperienced listeners demonstrating a fair interrater agreement, kappa=0.24,
z=35.82 (P<0.01). CONCLUSIONS: Experienced listeners demonstrated a higher
interrater agreement as compared with inexperienced listeners, with speech
language pathologists demonstrating a superior agreement as compared with all
groups.
PMID- 22082863
TI - Laryngeal manifestations of relapsing polychondritis and a novel treatment
option.
AB - OBJECTIVES: Laryngotracheal involvement in relapsing polychondritis (RP) is rare.
However, it is one of the most common causes of death in this patient population.
We present three patients who primarily presented with laryngeal manifestations
of RP and a novel treatment option for bamboo nodules. STUDY DESIGN:
Retrospective chart review and comprehensive review of the literature. RESULTS:
Two patients first presented to an otolaryngologist because of hoarseness and
chronic cough that eventually progressed to dyspnea upon exertion. Laryngeal
examination revealed subglottic stenoses. Upon rheumatologic workup both were
diagnosed with RP. After treatment with steroids and immunosuppressive drugs, one
of the patient's laryngeal symptoms improved, whereas the other required dilation
procedures. Neither patient had classic auricular or nasal symptoms upon initial
presentation. The third patient was being treated for spasmodic dysphonia and was
noted to have bamboo nodules with accompanying dysphonia. Rheumatologic workup
revealed RP and systemic treatment ensued. Unfortunately, her symptoms of
hoarseness persisted despite systemic treatment. A pulsed-potassium-titanyl
phosphate (KTP) laser was applied to the bilateral bamboo nodules, which
eventually caused resolution of her vocal fold lesions and dysphonia.
CONCLUSIONS: We present three patients with RP, all of whom sought health care by
an otolaryngologist primarily. Awareness of this disease entity and the
possibility for early laryngeal involvement is crucial for proper care of those
with this life-threatening disease.
PMID- 22082864
TI - Resolution of vocal fold polyps with conservative treatment.
AB - OBJECTIVES: Vocal fold polyp is generally thought to require surgical removal.
However, a certain proportion of polyps resolve with conservative treatment. This
study was performed to clarify the frequency of spontaneous resolution of vocal
fold polyp and identify features associated with polyps that are likely to
resolve without surgery. STUDY DESIGN: Retrospective study. METHODS: A review of
the medical records of patients diagnosed with vocal fold polyps in Tokyo Voice
Center from January 2001 to December 2008. RESULTS: Of 644 patients with the
diagnosis of vocal fold polyp, 132 received conservative treatment, 433 were
treated surgically, and 79 dropped out without attending for further consultation
after the initial visit. Of those treated conservatively, 55 experienced complete
resolution after a mean of 5.1 months of follow-up from the outset, and 29 showed
lesion shrinkage after a mean of 4.1 months of follow-up. Polyps that resolved
with conservative therapy were more likely than those that remained unchanged or
enlarged to occur in women, be smaller, and have a shorter duration of symptoms.
We could not determine the superiority of voice therapy. CONCLUSIONS: At least
9.7% of vocal fold polyps might resolve without surgery. Conservative treatment
should be considered as an option for selected patients with smaller and more
recent-onset polyps.
PMID- 22082865
TI - Glottal contact quotient in Mediterranean tongue trill.
AB - OBJECTIVE: To determine the effect of a Mediterranean tongue trill (Zalghouta) on
estimated glottal closed quotient (CQ). MATERIAL AND METHOD: A total of 10 female
subjects participated in this study. Vocal fold CQ was measured for both
sustained vowel [a] and the tongue trill named Zlaghouta using
electroglottography. Frequencies and means (+/-standard deviation) were used to
describe categorical and continuous variables, respectively. The Wilcoxon signed
nonparametric test was used to determine any significant changes in CQ means
pretrill and during trill. RESULTS: There was a significant decrease in the mean
CQ during the Mediterranean tongue trill (Zalghouta) versus the sustained vowel
[a] (P value of 0.002) by 15.98. When stratified by training status there was a
significant decrease for the trained group (P value of 0.031) and a
nonsignificant decrease for the untrained group (P value of 0.125). CONCLUSION:
Zalghouta induces a decrease in the vocal fold contact time. The potential
benefits of the Mediterranean tongue trill in vocal therapy need consideration.
PMID- 22082866
TI - The effect of cochlear implantation on nasalance of speech in postlingually
hearing-impaired adults.
AB - OBJECTIVES/HYPOTHESIS: Hypernasality is considered a prevalent speech abnormality
that could significantly contribute to the unintelligibility of the hearing
impaired speakers. The aim of this study was to evaluate the effect of cochlear
implantation and the duration of hearing loss on nasalance of speech of a
postlingually impaired group of Saudi adult patients. STUDY DESIGN: Retrospective
study. METHODS: This study included 25 postlingually hearing-impaired patients
who underwent cochlear implantation and 25 age-matched control subjects. Patients
were divided into three groups according to the duration of hearing loss. The
nasometric data of the hearing-impaired group were compared with the control
group. Also, the preoperative values were compared with the postoperative values
6, 12, and 24 months after surgery. RESULTS: Significant differences were
demonstrated between the preimplantation nasalance scores of the three subgroups
and between the patients and control groups. There were statistically significant
differences demonstrated between the pre- and the postimplantation nasalance
values for the three groups of patients. CONCLUSION: Cochlear implantation
appears to have significant effects on improving the nasalance of the speech of
postlingually hearing-impaired adult patients. However, the degree of improvement
might vary according to the duration of hearing loss the patients had
preimplantation.
PMID- 22082867
TI - Validation and standardization of the Pediatric Voice Symptom Questionnaire: a
double-form questionnaire for dysphonic children and their parents.
AB - The aim of our study was to validate a Pediatric Voice Symptom Questionnaire
(PVSQ) presenting with a parallel form for children and their parents. The items
of the questionnaire were elaborated from the results of structured interviews
with dysphonic children (DP) and normophonic children (NP) and their mothers and
were tested for feasibility in a pilot study involving 42 normophonic children
aged 5-13 years. The items were then administered in a test-retest mode to 333
children and their parents (154 boys and 179 girls with a mean age of 9 years,
standard deviation: 1.8); 45 consulting DP, 34 nonconsulting dysphonics (NcDP),
163 NP, and 91 others. Classical statistical analyses and an item response
modeling approach were used to analyze the results. High internal consistency and
good test-retest stability were found. Significant differences between total
score of the NP, DP, and NcDP groups were observed both in the children and the
parents and also between parental and child score for the NP and NcDP groups
(P<0.001-P=0.014). Correlations between child and parental scores were found only
in the DP groups (r=0.478; P<0.001). Based on our results, the PVSQ is a valid
and reliable instrument for the autoevaluation of dysphonia in the child
population.
PMID- 22082868
TI - Differences in vocal characteristics between Cantonese and English produced by
proficient Cantonese-English bilingual speakers--a long-term average spectral
analysis.
AB - OBJECTIVES: The present study objectively examined the possible difference in
vocal characteristics associated with English and Cantonese produced by
proficient Cantonese-English bilingual speakers. SUBJECTS AND METHODS: Forty
native speakers of Cantonese (20 males and 20 females) who were proficient in
Cantonese and English participated in the study. An array of acoustical
parameters, including fundamental frequency (F0) values and first spectral peak
(FSP), mean spectral energy (MSE), and spectral tilt (ST) extracted from long
term average speech spectra were obtained from connected speech samples produced
in Cantonese and English by the bilingual speakers. Acoustical parameters were
measured using Praat (P. Boersma & D. Weenink, Amsterdam, The Netherlands) and
used to objectively describe the voice quality. RESULTS: Results indicated that
female bilingual speakers had significantly higher F0 values in speaking English
than Cantonese. Although exhibiting comparable FSP values, the bilingual speakers
showed significantly higher MSE and lower ST values when speaking Cantonese
compared with English. CONCLUSIONS: The present findings imply that, even with
the same phonatory apparatus, language being spoken can have an effect on the
speakers' voice quality.
PMID- 22082869
TI - Activation of autophagy is required for muscle homeostasis during physical
exercise.
AB - Skeletal muscle fibers of collagen VI null (Col6a12/2) mice show signs of
degeneration due to a block in autophagy, leading to the accumulation of damaged
mitochondria and excessive apoptosis. Attempts to induce autophagic flux by
subjecting these mutant mice to long-term or shorter bursts of physical activity
are unsuccessful (see Grumati, et al., pp. 1415-23). In normal mice, the
induction of autophagy in the skeletal muscles post-exercise is able to prevent
the accumulation of damaged organelles and maintain cellular homeostasis. Thus,
these studies provide an important connection between autophagy and exercise
physiology.
PMID- 22082870
TI - Impaired mitophagy at the heart of injury.
AB - Recent publications link mitophagy mediated by PINK1 and Parkin with
cardioprotection and attenuation of inflammation and cell death. The field is in
need of methods to monitor mitochondrial turnover in vivo to support the
development of new therapies targeting mitochondrial turnover.
PMID- 22082871
TI - Expression pattern and functions of autophagy-related gene atg5 in zebrafish
organogenesis.
AB - The implications of autophagy-related genes in serious neural degenerative
diseases have been well documented. However, the functions and regulation of the
family genes in embryonic development remain to be rigorously studied. Here, we
report on for the first time the important role of atg5 gene in zebrafish
neurogenesis and organogenesis as evidenced by the spatiotemporal expression
pattern and functional analysis. Using morpholino oligo knockdown and mRNA
overexpression, we demonstrated that zebrafish atg5 is required for normal
morphogenesis of brain regionalization and body plan as well as for expression
regulation of neural gene markers: gli1, huC, nkx2.2, pink1, beta-synuclein, xb51
and zic1. We further demonstrated that ATG5 protein is involved in autophagy by
LC3-II/LC3I ratio and rapamycin-induction experiments, and that ATG5 is capable
of regulating expression of itself gene in the manner of a feedback inhibition
loop. In addition, we found that expression of another autophagy-related gene,
atg12, is maintained at a higher constant level like a housekeeping gene. This
indicates that the formation of the ATG12-ATG5 conjugate may be dependent on ATG5
protein generation and its splicing, rather than on ATG12 protein in zebrafish.
Importantly, in the present study, we provide a mechanistic insight into the
regulation and functional roles of atg5 in development of zebrafish nervous
system.
PMID- 22082872
TI - Atg16L2, a novel isoform of mammalian Atg16L that is not essential for canonical
autophagy despite forming an Atg12-5-16L2 complex.
AB - A large protein complex consisting of Atg5, Atg12 and Atg16L1 has recently been
shown to be essential for the elongation of isolation membranes (also called
phagophores) during mammalian autophagy. However, the precise function and
regulation of the Atg12-5-16L1 complex has largely remained unknown. In this
study we identified a novel isoform of mammalian Atg16L, termed Atg16L2, that
consists of the same domain structures as Atg16L1. Biochemical analysis revealed
that Atg16L2 interacts with Atg5 and self-oligomerizes to form an ~800-kDa
complex, the same as Atg16L1 does. A subcellular distribution analysis indicated
that, despite forming the Atg12-5-16L2 complex, Atg16L2 is not recruited to
phagophores and is mostly present in the cytosol. The results also showed that
Atg16L2 is unable to compensate for the function of Atg16L1 in autophagosome
formation, and knockdown of endogenous Atg16L2 did not affect autophagosome
formation, indicating that Atg16L2 does not possess the ability to mediate
canonical autophagy. Moreover, a chimeric analysis between Atg16L1 and Atg16L2
revealed that their difference in function in regard to autophagy is entirely
attributable to the difference between their middle regions that contain a coiled
coil domain. Based on the above findings, we propose that formation of the Atg12
5-16L complex is necessary but insufficient to mediate mammalian autophagy and
that an additional function of the middle region (especially around amino acid
residues 229-242) of Atg16L1 (e.g., interaction with an unidentified binding
partner on phagophores) is required for autophagosome formation.
PMID- 22082873
TI - Ins(1,4,5)P3 receptor-mediated Ca2+ signaling and autophagy induction are
interrelated.
AB - The role of intracellular Ca2+ signaling in starvation-induced autophagy remains
unclear. Here, we examined Ca2+ dynamics during starvation-induced autophagy and
the underlying molecular mechanisms. Tightly correlating with autophagy
stimulation, we observed a remodeling of the Ca2+ signalosome. First, short
periods of starvation (1 to 3 h) caused a prominent increase of the ER Ca2+-store
content and enhanced agonist-induced Ca2+ release. The mechanism involved the
upregulation of intralumenal ER Ca2+-binding proteins, calreticulin and
Grp78/BiP, which increased the ER Ca2+-buffering capacity and reduced the ER Ca2+
leak. Second, starvation led to Ins(1,4,5)P3R sensitization. Immunoprecipitation
experiments showed that during starvation Beclin 1, released from Bcl-2, first
bound with increasing efficiency to Ins(1,4,5)P3Rs; after reaching a maximal
binding after 3 h, binding, however, decreased again. The interaction site of
Beclin 1 was determined to be present in the N-terminal Ins(1,4,5)P3-binding
domain of the Ins(1,4,5)P3R. The starvation-induced Ins(1,4,5)P3R sensitization
was abolished in cells treated with BECN1 siRNA, but not with ATG5 siRNA,
pointing toward an essential role of Beclin 1 in this process. Moreover,
recombinant Beclin 1 sensitized Ins(1,4,5)P3Rs in 45Ca2+-flux assays, indicating
a direct regulation of Ins(1,4,5)P3R activity by Beclin 1. Finally, we found that
Ins(1,4,5)P3R-mediated Ca2+ signaling was critical for starvation-induced
autophagy stimulation, since the Ca2+ chelator BAPTA-AM as well as the
Ins(1,4,5)P3R inhibitor xestospongin B abolished the increase in LC3 lipidation
and GFP-LC3-puncta formation. Hence, our results indicate a tight and essential
interrelation between intracellular Ca2+ signaling and autophagy stimulation as a
proximal event in response to starvation.
PMID- 22082874
TI - Accumulation of p62 in degenerated spinal cord under chronic mechanical
compression: functional analysis of p62 and autophagy in hypoxic neuronal cells.
AB - Intracellular accumulation of altered proteins, including p62 and ubiquitinated
proteins, is the basis of most neurodegenerative disorders. The relationship
among the accumulation of altered proteins, autophagy, and spinal cord
dysfunction by cervical spondylotic myelopathy has not been clarified. We
examined the expression of p62 and autophagy markers in the chronically
compressed spinal cord of tiptoe-walking Yoshimura mice. In addition, we examined
the expression and roles of p62 and autophagy in hypoxic neuronal cells. Western
blot analysis showed the accumulation of p62, ubiquitinated proteins, and
microtubule-associated protein 1 light chain 3 (LC3), an autophagic marker, in
the compressed spinal cord. Immunohistochemical examinations showed that p62
accumulated in neurons, axons, astrocytes, and oligodendrocytes. Electron
microscopy showed the expression of autophagy markers, including autolysosomes
and autophagic vesicles, in the compressed spinal cord. These findings suggest
the presence of p62 and autophagy in the degenerated compressed spinal cord.
Hypoxic stress increased the expression of p62, ubiquitinated proteins, and LC3
II in neuronal cells. In addition, LC3 turnover assay and GFP-LC3 cleavage assay
showed that hypoxic stress increased autophagy flux in neuronal cells. These
findings suggest that hypoxic stress induces accumulation of p62 and autophagy in
neuronal cells. The forced expression of p62 decreased the number of neuronal
cells under hypoxic stress. These findings suggest that p62 accumulation under
hypoxic stress promotes neuronal cell death. Treatment with 3-methyladenine, an
autophagy inhibitor decreased the number of neuronal cells, whereas lithium
chloride, an autophagy inducer increased the number of cells under hypoxic
stress. These findings suggest that autophagy promotes neuronal cell survival
under hypoxic stress. Our findings suggest that pharmacological inducers of
autophagy may be useful for treating cervical spondylotic myelopathy patients.
PMID- 22082876
TI - Mathematical arterialization of venous blood in emergency medicine patients.
AB - OBJECTIVES: Arterial punctures represent a painful and unpleasant experience.
Acid-base and oxygenation status can be assessed from peripheral venous blood,
but agreement with arterial values is not always clinically acceptable. This
study evaluates a method for mathematically transforming peripheral venous values
into arterial values in emergency medicine patients. METHODS: Paired arterial and
peripheral venous samples were analysed in groups A (47 patients) and B (101
patients), corresponding to the clinical need for arterial blood sampling (A) and
without (B). Venous values were input into the mathematical arterialization
method and the values of arterial pH, PCO2 and PO2 were calculated and compared
with the measured values. RESULTS: The calculated and measured arterial pH and
PCO2 values correlated well with the correlation coefficients (r ) of group A, pH
0.94, PCO2 0.97; group B, pH 0.87, PCO2 0.83; and Bland-Altman limits of
agreement well within the limits of acceptable laboratory and clinical
performance. The calculated values of arterial PO2 followed a set of predefined
rules relating calculated and measured PO2 levels in all cases. The method
represents an improvement on the use of venous blood alone where the correlation
coefficients were as follows: group A, pH 0.85, PCO2 0.88; group B, pH 0.79, PCO2
0.59; and limits of agreement for PCO2 at the border of (group A) or beyond
(group B) acceptable clinical limits. CONCLUSION: Application of the mathematical
arterialization method may reduce the pain associated with assessment of acid
base and oxygenation status, maximize the information obtained from peripheral
venous blood and allow venous measurements to be presented as more commonly
interpreted arterial values.
PMID- 22082875
TI - Resveratrol-mediated autophagy requires WIPI-1-regulated LC3 lipidation in the
absence of induced phagophore formation.
AB - Canonical autophagy is positively regulated by the Beclin 1/phosphatidylinositol
3-kinase class III (PtdIns3KC3) complex that generates an essential phospholipid,
phosphatidylinositol 3-phosphate (PtdIns(3)P), for the formation of
autophagosomes. Previously, we identified the human WIPI protein family and found
that WIPI-1 specifically binds PtdIns(3)P, accumulates at the phagophore and
becomes a membrane protein of generated autophagosomes. Combining siRNA-mediated
protein downregulation with automated high through-put analysis of PtdIns(3)P
dependent autophagosomal membrane localization of WIPI-1, we found that WIPI-1
functions upstream of both Atg7 and Atg5, and stimulates an increase of LC3-II
upon nutrient starvation. Resveratrol-mediated autophagy was shown to enter
autophagic degradation in a noncanonical manner, independent of Beclin 1 but
dependent on Atg7 and Atg5. By using electron microscopy, LC3 lipidation and GFP
LC3 puncta-formation assays we confirmed these results and found that this effect
is partially wortmannin-insensitive. In line with this, resveratrol did not
promote phagophore localization of WIPI-1, WIPI-2 or the Atg16L complex above
basal level. In fact, the presence of resveratrol in nutrient-free conditions
inhibited phagophore localization of WIPI-1. Nevertheless, we found that
resveratrol-mediated autophagy functionally depends on canonical-driven LC3-II
production, as shown by siRNA-mediated downregulation of WIPI-1 or WIPI-2. From
this it is tempting to speculate that resveratrol promotes noncanonical
autophagic degradation downstream of the PtdIns(3)P-WIPI-Atg7-Atg5 pathway, by
engaging a distinct subset of LC3-II that might be generated at membrane origins
apart from canonical phagophore structures.
PMID- 22082877
TI - Accuracy of urine dipstick in the detection of patients at risk for crush-induced
rhabdomyolysis and acute kidney injury.
AB - OBJECTIVES: To evaluate the utility of urine dipstick test (UDT) for detecting
rhabdomyolysis and acute kidney injury (AKI) due to crush injury. METHODS: All
the rescued victims of the Bam earthquake who had a documented urine analysis and
serum creatine phosphokinase and creatinine levels during their hospitalization
period were eligible to enter the study. The sensitivity and the specificity,
along with the positive and negative likelihood ratios, of UDT in detecting at
risk patients for rhabdomyolysis and crush-related AKI were calculated. RESULTS:
Urine red blood cell count of 5 or less in blood-positive UDT, as a surrogate
marker for myoglobinuria, was reported in 210 (31.7%) of the total 1821 urine
analyses. Blood-positive UDTs (without considering the urine red blood cell
count) had a 92.5% (95% confidence interval: 79.6-98.4) sensitivity in creatine
phosphokinase, with a cut-off of 15,000 (IU/l). Comparing the results of the
serum creatinine level and the urine blood, analysis showed that UDT had a
sensitivity and a specificity of as high as 83.3 and 56.6% in detecting high-risk
patients for AKI, respectively. CONCLUSION: UDT can be considered as an early
screening tool for the detection and triage of patients at risk of developing AKI
because of traumatic rhabdomyolysis after mass disasters.
PMID- 22082878
TI - Absolute blood eosinophil count and 1-year mortality risk following
hospitalization with acute heart failure.
AB - OBJECTIVE: In acute heart failure (AHF), hemoglobin, red cell distribution width,
mean platelet volume, leukocytes, and relative lymphocyte count have been
associated with mortality. It is not known whether absolute blood neutrophil,
eosinophil, and monocyte counts are mortality predictors. METHODS: One hundred
and seventy-six patients hospitalized due to AHF were enrolled. Treatment
modalities and comorbidities influencing leukocyte counts were excluded.
Hemogram, pro-brain natriuretic peptide, D-dimer, biochemistry, thyroid hormones,
sensitive C-reactive protein, and echocardiography were obtained. Cardiovascular
deaths during the first year after hospitalization were determined. RESULTS:
Leukocyte and absolute neutrophil count were significantly higher and absolute
lymphocyte count and absolute eosinophil count (AEC) were significantly lower in
deceased patients than patients who survived. Groups were similar in terms of
monocyte counts. BMI albumin, estimated glomerular filtration rate, free T3,
ejection fraction were significantly lower, and ferritin, uric acid, D-dimer, pro
brain natriuretic peptide were significantly higher in deceased patients. Mitral
regurgitation, hypotension, hyponatremia, and acute renal failure were also
significantly more frequent among the deceased group. Binary logistic regression
analysis employing significant variables showed that lower BMI, lower ejection
fraction, hyponatremia, lower free T3, and lower AEC were independent predictors
of death and as a whole were responsible from 81.8% of cardiovascular deaths.
Death rate among patients with an AEC of 0.02 n/l*10 or less was 4.4-fold higher
than patients with an AEC of more than 0.02 n/l*10. CONCLUSION: AEC of AHF
patients measured at admission was found to be a stronger predictor of mortality
than all other hemogram parameters and this is consistent with the increased
sympatho-adrenal activity theory.
PMID- 22082879
TI - The Clinical Decision Unit has a role to play in the management of acute
undifferentiated abdominal pain.
AB - INTRODUCTION AND AIMS: Patients with undifferentiated acute abdominal pain (AAP)
frequently present to the Emergency Department (ED). The most common diagnosis is
the nonspecific abdominal pain although missed occult surgical pathology in the
haemodynamically stable patient with equivocal symptoms and signs is a potential
source of morbidity. The objectives of this study were two-fold. Firstly, to
ascertain the accuracy of ED clinicians in the diagnosis of AAP, and to delineate
if there was a population of patients who would normally require admission to
hospital that would be suitable to be managed on a Clinical Decision Unit (CDU)
protocol. Secondly, to prospectively evaluate such a strategy by way of a pilot
study. METHODS: An initial retrospective cohort study of consecutive patients
presenting to the ED with undifferentiated AAP were analysed. Data were extracted
on admission, length of stay and the correlation between initial ED clinical
diagnosis and final discharge diagnosis. Following this, a protocol was developed
within our institution for the management of patients with stable AAP on a CDU
pathway. This was then formally evaluated over a period of 25 months as part of a
quality improvement exercise. RESULTS: A total of 501 patients were analysed of
whom 48% were admitted from the ED. The initial ED diagnosis was correct in 57%
of patients, and 28% of admitted patients were discharged within 48 h with no
specific intervention. During the period of the pilot study, 189 patients were
entered on to the AAP CDU pathway, of which 85% were safely discharged directly
from the CDU and 67% within 24 h. Of the 28 patients admitted only four required
an operation. Use of the protocol in this population resulted in the initial ED
assessment being correct in 69% of cases. CONCLUSION: The management of stable
AAP within the ED environment on a well-defined CDU pathway is feasible and can
facilitate safe, efficient and effective care with early discharge and an
increase in the accuracy of the final diagnosis.
PMID- 22082880
TI - Evaluating human, social and cultural capital in nurse education.
AB - Using the concepts of human, social and cultural capital this paper will review
the literature on these theories and evaluate their application to nurse
education in the United Kingdom (UK). Each concept will be explored before
considering the impact and application within nurse education. Issues of
sponsorship via mentoring and increased skills and contribution to the knowledge
economy alongside the delivery of quality care by nursing students will be
discussed with reference to theory and current policy drivers. As nursing
education moves to a graduate profession in the UK this paper evaluates the
drivers of human, social and cultural capital that affect this development.
PMID- 22082881
TI - Impact of a web based interactive simulation game (PULSE) on nursing students'
experience and performance in life support training--a pilot study.
AB - The delivery of effective life support measures is highly associated with the
quality, design and implementation of the education that underpins it.
Effectively responding to a critical event is a requirement for all nurses
illustrating the need for effective educational approaches from pre-registration
training through to enhancing and maintaining life support skills after
qualification. This paper reports the findings of utilising a web-based
multimedia simulation game PULSE (Platform for Undergraduate Life Support
Education). The platform was developed to enhance the student experience of life
support education, to motivate on-going learning and engagement and to improve
psychomotor skills associated with the provision of Intermediate Life Support
(ILS) training. Pre training participants played PULSE and during life support
training data was collected from an intervention and a control group of final
year undergraduate nursing students (N=34). Quantitative analysis of performance
took place and qualitative data was generated from a questionnaire assessing the
learning experience. A statistically significant difference was found between the
competence the groups displayed in the three skills sets of checking equipment,
airway assessment and the safe/effective use of defibrillator at ILS level, and
PULSE was positively evaluated as an educational tool when used alongside
traditional life support training.
PMID- 22082882
TI - Relationship between kyphosis and Barrett's esophagus in Japanese patients.
AB - BACKGROUND: Kyphosis is a risk factor for the presence of hiatal hernia, which is
a strong predictor of Barrett's esophagus. However, the association between
kyphosis and Barrett's esophagus has not yet been clarified. To investigate this
relationship, the Cobb angle, a marker of kyphosis, was measured in patients with
and without Barrett's esophagus. METHODS: From January 2006 to December 2010, 26
patients with long-segment Barrett's esophagus (LSBE) were retrospectively
enrolled. As the comparative groups, 100 consecutive patients with short-segment
Barrett's esophagus (SSBE) and 100 consecutive control patients without Barrett's
esophagus were also enrolled in this study. Cobb angles were measured on lateral
chest radiographs, and kyphosis was defined as a Cobb angle of greater than 50
degrees . Kyphosis, along with other patient characteristics, were evaluated as
possible predictors for SSBE and LSBE. RESULTS: The mean Cobb angles in the non
BE, SSBE, and LSBE groups were 31.6 degrees (95% CIs, 29.3 degrees -33.9 degrees
), 34.8 degrees (32.1 degrees -37.4 degrees ) and 49.4 degrees (44.9 degrees
53.9 degrees ), respectively. Statistically significant differences were found
between the LSBE and the other 2 groups (p<0.001). The mean Cobb angles were 33.3
degrees +/-12.4 degrees and 37.0 degrees +/-14.1 degrees , respectively in
patients without and with hiatal hernia (p=0.039). Predictors for Barrett's
esophagus of any length were erosive esophagitis and hiatal hernia. Kyphosis had
the highest odds ratio for the presence of LSBE (OR, 1.50; 95% CI, 1.05-1.94;
p=0.033). Other predictors were hiatal hernia and the absence of Helicobacter
pylori infection. CONCLUSION: Kyphosis is a risk factor for the presence of LSBE
in Japanese patients.
PMID- 22082883
TI - Partial splenic embolization facilitates the adherence to peginterferon in
chronic hepatitis C with thrombocytopenia.
AB - OBJECTIVE: Platelet counts before starting the treatment affect the
discontinuation and dose reduction of peginterferon in chronic hepatitis C.
Thrombocytopenia leads to failure to achieve sustained virological response. This
study was undertaken to evaluate the efficacy of partial splenic embolization
(PSE) prior to starting peginterferon therapy combined with ribavirin in chronic
hepatitis C patients showing thrombocytopenia. PATIENTS AND METHODS: We compared
the clinical features of 11 patients receiving PSE (PSE group) prior to starting
the combined therapy with those of 13 patients not receiving PSE (non-PSE group).
All of the patients showed platelet counts <=12*10(4)/mm(3) and serum hepatitis C
virus-RNA levels >=100 KIU/mL at baseline. The end-point of PSE was a volume of
splenic infarction over 75%. Peginterferon alpha-2b at a dose of 1.2 ug/kg was
administered by subcutaneous injection once a week. The dose of ribavirin was
weight adjusted. RESULTS: PSE was successfully performed without serious adverse
events. The period from PSE to starting the combined therapy was 14 (6-27) days.
After PSE, platelet counts were significantly increased. In PSE group, platelet
counts during the combined therapy were maintained above those at baseline. In
non-PSE group, platelet counts at the 2nd week after the start of the combined
therapy significantly decreased to less than those at baseline. Overall, 80%
adherence to expected peginterferon dose was not achieved in 5 patients (45%) of
PSE group and in 11 (85%) of non-PSE group (p=0.043). CONCLUSION: Increased
platelet counts after PSE facilitates the adherence to peginterferon therapy in
chronic hepatitis C patients with thrombocytopenia.
PMID- 22082884
TI - Rice cake ileus--a rare and ethnic but important disease status in east-southern
Asia.
AB - INTRODUCTION: Rice cake is a traditional but very popular food in Asia including
Japan and has never been known as a cause of ileus. Rice cake is now becoming
widespread in the United States and European countries along with other Japanese
foods. We may encounter rice cake ileus all over the world. This study was aimed
at characterizing the rice cake ileus. METHODS: We consecutively encountered 14
patients with rice cake ileus from April 2003 to October 2010 in our hospital.
All of the characteristics of the cases were reviewed and analyzed. RESULTS: All
patients had ingested rice cake by swallowing without chewing. It has most
frequently occurred in January (57.1%). The main symptoms were abdominal colicky
pain (100%) and nausea (85.7%) and physical findings included abdominal
tenderness (100%) and muscular defense (28.6%). All patients improved by
conservative therapy including fluid supply (100%), naso-gastric tube (28.6%) and
long tube (28.6%) insertion. No patient needed emergency open surgery.
CONCLUSION: Rice cake ileus which is caused by swallowing the rice cake without
chewing, frequently occurs in January, has previous history of abdominal surgery
and shows high density intestinal contents on CT and only needs conservative
therapy. Globalism in food culture may provide a new disease entity. Therefore,
we should be aware of this type of ileus and be prepared to manage it
appropriately.
PMID- 22082885
TI - Blood pressure and sympathetic nerve tone relation during hemodialysis may
reflect cardiovascular dysfunction.
AB - BACKGROUND: The blood pressure response to the rapid removal of fluid during
hemodialysis is complex and the pathophysiological mechanisms underlying
intradialytic hypotension are not clear and sometimes these mechanisms render
dialysis difficult to continue. PURPOSE: We analyzed the changes in blood
pressure and sympathetic nerve tone and attempted to clarify whether the dynamic
pattern of this relationship reflects cardiovascular dysfunction. METHODS: The
dynamic pattern of sympathetic nerve activity throughout dialysis was analyzed by
frequency analysis of RR intervals recorded by 24 hours Holter
electrocardiography in 64 patients and 3 minutes ECG every 15 minutes during
dialysis in 121 stable end-stage renal failure patients who underwent maintenance
hemodialysis. Blood pressure and fluid volume removed was measured every 15-30
minutes during dialysis and the average value of the ratio of low to high
frequency components (LF/HF) was calculated as an index of sympathetic nerve
tone. The relationship between removed fluid volume, systolic blood pressure (Bp)
and LF/HF was analyzed. RESULTS: The patients were classified into 3 groups based
on the correlation between the LF/HF and Bp as follows: positive (52 cases),
inverse (54 cases), and not significant (NS; 61 cases). Eighteen patients who
showed multiple arrhythmias, atrial fibrillation and other artifacts or noises
were eliminated as they were inadequate for frequency analysis of RR intervals.
The positive group was characterized by a hypotension-resistant response with a
low LF/HF, whereas the inverse group was characterized by a hypotension-prone
response with high LF/HF. These results suggest that cardiovascular dysfunction
is responsible for the inverse correlation. CONCLUSION: Analysis of the
relationship between sympathetic nerve tone and Bp is effective in predicting the
existing of cardiovascular dysfunction.
PMID- 22082886
TI - Prevalence of preexisting metabolic syndrome as defined by Japanese original
criteria among patients with non-fatal myocardial infarction.
AB - OBJECTIVE: We aimed to clarify the prevalence of preexisting Metabolic Syndrome
(MetS) defined by the Japanese original criteria among patients with non-fatal
myocardial infarction (MI). METHODS: This is a retrospective cohort study using
the computer database obtained by the preliminary health checkup from April 2003
to December 2008. We extracted the subjects with newly developed non-fatal MI
from the study population. The newly non-fatal MI was diagnosed by the history of
coronary heart disease (CHD) and new appearance of abnormal Q wave on
electrocardiograms. MetS was diagnosed by using the Japanese original criteria.
If waist circumference was not available, BMI was used alternatively. We
evaluated the prevalence of preexisting MetS and other risk factors of CHD among
the subjects. We compared the prevalence of preexisting risk factors between MetS
group and non-MetS group. RESULTS: From a study population of 298,455 subjects,
446 subjects with a history of CHD were found. Among the 446, 92 subjects (85 men
and 7 women) with abnormal Q wave on electrocardiogram were found. The prevalence
of preexisting MetS with non-fatal MI was 19.6% (95% CI; 15.5-23.7%). The
prevalence of other preexisting risk factors were 60.0% with smoking history,
55.6% with over-work, 53.3% with stressful life and 36.1% with impaired glucose
tolerance. These prevalence rates were not significantly different between MetS
group and non-MetS group. Only the prevalence (22.3%) of elevated LDL-cholesterol
in the non-MetS group was significantly higher than in the MetS group (14.4%).
CONCLUSION: Preexisting MetS may be able to predict only 20% of future MI. To
prevent future myocardial infarction, precaution guidance may be required for
people with not only preexisting MetS but also other preexisting risk factors of
CHD.
PMID- 22082887
TI - Association of hemoglobin with ambulatory arterial stiffness index in untreated
essential hypertensive patients without anemia.
AB - OBJECTIVE: Increased hemoglobin (Hb) levels are known to be associated with
increased cardiovascular events and mortality in hypertensive patients, but the
underlying mechanism remains unclear. However, an increased Ambulatory Arterial
Stiffness Index (AASI), the surrogate maker of arterial stiffness, has been
proven to be an independent predictor of cardiovascular disease. This pilot study
evaluated the association between Hb and AASI in untreated essential hypertensive
patients without anemia. METHODS: A total of 566 untreated essential hypertensive
patients without anemia were divided into Normal-Hb and High-Hb groups according
to their Hb levels. The AASI and its symmetric calculation (Sym_AASI) were
derived from 24h-Ambulatory Blood Pressure Monitoring (24h-ABPM). A multivariable
linear regression analysis was performed to determine the relationship between Hb
and AASI, Sym_AASI. RESULTS: High-Hb group (n=127) showed higher AASI and
Sym_AASI (0.51+/-0.11 vs 0.43+/-0.12, p<0.001; 0.33+/-0.10 vs 0.27+/-0.08,
p<0.001) compared to Normal-Hb group (n=439). Univariate correlation analysis
showed that Hb levels were positively related to AASI and Sym_AASI values
(r=0.459, p<0.001; r=0.353, p<0.001). After adjustment for age, sex, BMI, current
smoker, eGFR, uric acid, total cholesterol, high-density lipoprotein, 24h-SBP,
24h-PP and dipper status, Hb persisted as a independent determinant of AASI and
Sym_AASI (beta=0.402, p<0.001 and beta=0.298, p<0.001, respectively). CONCLUSION:
High hemoglobin seems be to associated with increased AASI in untreated essential
hypertensive patients without anemia.
PMID- 22082888
TI - Significant correlation between visceral adiposity and high-sensitivity C
reactive protein (hs-CRP) in Japanese subjects.
AB - OBJECTIVE: High-sensitivity C-reactive protein (hs-CRP) is a marker for low-grade
inflammation, as well as atherosclerosis, obesity, hyperglycemia and
hypertension. Because the factor showing the strongest association with
inflammation is currently unknown, we investigated the associations between hs
CRP and clinical and biochemical characteristics in Japanese subjects with mild
obesity or impaired glucose tolerance. METHODS: Subjects aged <65 years old,
attending the Seirei Medical Examination Center, underwent complete physical and
laboratory examinations. A total of 112 subjects (mean age 59.9+/-5.9 years old,
males/females: n=50/62) with a waist circumference of >85 cm in males and >90 cm
in females, homeostasis model assessment-insulin resistance (HOMA-IR) >=1.7, or
impaired glucose tolerance were eligible for this study. All subjects had normal
albuminuria. RESULTS: Log-transformed hs-CRP concentrations were significantly
correlated with BMI (r=0.278, p<0.01), HOMA-IR (r=0.296, p<0.005), 2-h post
challenge IRI during an oral glucose tolerance test (r=0.218, p<0.05), maximum
intima-media thickness (r=0.240, p<0.05), visceral fat area evaluated by computed
tomography (r=0.423, p<0.0001) and subcutaneous fat area (r=0.231, p<0.05).
Multiple linear regression analysis showed that visceral fat was the most
significantly correlated factor with hs-CRP. CONCLUSION: Visceral fat mass was a
significant and independent predictor for serum hs-CRP levels in Japanese
subjects with mild obesity and/or impaired glucose tolerance.
PMID- 22082889
TI - PSP as distinguished from CBD, MSA-P and PD by clinical and imaging differences
at an early stage.
AB - OBJECTIVE: Because it is often difficult to precisely diagnose and distinguish
progressive supranuclear palsy (PSP) from corticobasal degeneration (CBD),
multiple system atrophy-parkinsonism (MSA-P) and Parkinson's disease (PD) at the
onset of the disease, we compared the patients and clarified the features of
these diseases. METHODS: We compared 77 PSP, 26 CBD, 26 MSA-P and 166 PD patients
from clinical and imaging points of view including cerebral blood flow (CBF) in
the frontal eye field. RESULTS: The clinical characteristics of PSP were
supranuclear gaze disturbance, optokinetic nystagmus (OKN) impairment and falls
at the first visit. On head MRI, midbrain tegmentum atrophy was much more
frequently detected in PSP than in all of the other groups. Heart-to-mediastinum
average count ratio (H/M) in iodine-123 meta-iodobenzyl guanidine ((123)I-MIBG)
myocardial scintigraphy was not decreased in PSP, CBD, MSA-P and PD-Yahr 1 (-1),
but patients of PD-2, 3, 4 and 5 showed a significant decrease compared with the
PSP group. The CBF in the left frontal eye field of PD-3 group and that in right
frontal eye field of PD-3 and PD-4 groups were lower than that of PSP group,
although other groups showed a tendency without a significant decrease compared
with PSP group. CONCLUSION: PSP is distinguishable from CBD, MSA-P and PD even at
the early stage with extra-ocular movement (EOM) disturbance, falls, atrophy of
the midbrain tegmentum, and H/M in (123)I-MIBG myocardial scintigraphy, and the
reduction of CBF in area 8 could serve as a supplemental diagnostic method for
distinguishing PSP from PD-3 or PD-4.
PMID- 22082890
TI - Diagnosis of invasive fungal disease using serum (1->3)-beta-D-glucan: a
bivariate meta-analysis.
AB - BACKGROUND: The (1->3)-beta-D-Glucan (BG) assay has been approved for diagnosing
invasive fungal disease (IFD). However, the test performance has been variable.
We conducted a meta-analysis to determine the overall accuracy of BG assay for
diagnosing IFD. METHODS: The sensitivity, specificity, and positive and negative
likelihood ratios (PLR and NLR, respectively) of BG for diagnosing IFD were
pooled using a bivariate meta-analysis. We also performed subgroup analyses.
RESULTS: Twelve reports, including 15 studies, were included for the analysis
(proven and probable IFD vs possible or no IFD). The sensitivity, specificity,
PLR and NLR were 0.76 (95% CI, 0.67-0.83), 0.85 (95% CI, 0.73-0.92), 5.05 (95%
CI, 2.71-9.43), and 0.28 (95% CI, 0.20-0.39), respectively. Subgroup analyses
showed that the BG assay had higher specificities for patients with hematological
disorders and a positive BG result with two consecutive samples. The combination
of galactomannan and BG increased the specificity value to 0.98 (95% CI, 0.95
0.99) for diagnosing invasive aspergillosis. CONCLUSION: Serum BG determination
is clinically useful for diagnosing IFD in at-risk patients, especially for
hematology patients. The combination of galactomannan and BG was sufficient for
diagnosing invasive aspergillosis. Since the BG assay is not absolutely sensitive
and specific for IFD, the BG results should be interpreted in parallel with
clinical findings.
PMID- 22082891
TI - Management and treatment of osteoporosis in patients receiving long-term
glucocorticoid treatment: current status of adherence to clinical guidelines and
related factors.
AB - OBJECTIVE: The aim of this study was to evaluate the adherence of guidelines for
the management and treatment of glucocorticoid-induced osteoporosis, and to
investigate whether it is associated with factors such as age, gender,
glucocorticoid dose, physician specialty, and size of facility. METHODS: This was
a cross-sectional study utilizing administrative data from a database of health
insurance claims (2004-2007); 2,368 patients who received glucocorticoid
treatment for >=90 days were extracted. The guideline adherence was determined by
evaluations based on glucocorticoid prescription dose, prescription of anti
osteoporosis drugs, and whether or not bone mineral density was measured.
RESULTS: Overall proportion of guideline adherence was 23.3%. In cases in which
the equivalent dose of prednisolone was <5 mg/d and >=5 mg/d, the adherence was
8.3% and 30.5% respectively. Factors correlating with low adherence included
young age, male gender, and lower glucocorticoid doses. Surgery and
otolaryngology specialties had lower adherence than internal medicine. Smaller
clinical facilities had lower adherence than larger facilities. CONCLUSION: The
adherence of guidelines for the management and treatment of glucocorticoid
induced osteoporosis is still low, and improvements in treatment quality can be
expected through education of patient groups and medical care providers with
large deviations from the guidelines.
PMID- 22082892
TI - A case of thyroid storm with multiple organ failure effectively treated with
plasma exchange.
AB - We describe a 48-year-old man with thyroid storm presenting with heart failure.
He presented severely impaired left ventricular wall motion and a marked increase
in the liver enzymes. He developed disseminated intravascular coagulation on day
2. Due to elevated serum thyroid hormone level, anti-thyroid hormone receptor
antibody positivity, and his clinical symptoms, he was diagnosed as thyroid storm
due to untreated Graves' disease. His condition did not improve even after 6 days
of conventional therapy including steroids. After therapeutic plasma exchange was
carried out, his thyroid hormone level decreased markedly. Consequently, his
condition recovered gradually, and he was discharged at day 43.
PMID- 22082893
TI - Pulmonary tumor thrombotic microangiopathy associated with esophageal squamous
cell carcinoma.
AB - Pulmonary tumor thrombotic microangiopathy (PTTM) is an uncommon cancer-related
complication that has been most frequently reported to be associated with
adenocarcinoma. We present a case of PTTM which developed in a 60-year-old man
with esophageal carcinoma. One year after definitive treatment of the tumor, he
developed pulmonary hypertension. Transbronchial lung biopsy (TBLB) specimens
showed fibrocellular intimal proliferation and luminal stenosis of the small
pulmonary vessels, which contained squamous cell carcinoma cells. Thus, PTTM
associated with esophageal carcinoma was diagnosed. This is the first reported
case of PTTM associated with esophageal squamous cell carcinoma. TBLB seemed to
be useful for obtaining a definitive diagnosis.
PMID- 22082894
TI - A pitfall of fractional flow reserve associated with the presence of collateral
circulation.
AB - An 82-year-old man had a severe stenosis in the proximal left anterior descending
artery (LAD) and an intermediate stenosis in the distal right coronary artery
(RCA). The territory of mid to distal LAD was perfused via an angiographically
well-developed collateral circulation from the distal RCA. Fractional flow
reserve (FFR) in the distal RCA was 0.84. After successful coronary intervention
for the proximal LAD, repeat FFR in the distal RCA was 0.96. In this case, the
severity of the stenosis in the donor artery was overestimated by using FFR due
to the presence of well-developed collateral circulation.
PMID- 22082895
TI - An appropriate defibrillation threshold obtained by the combined connection
between two shock leads and ICD generator.
AB - A 60-year-old man with arrhythmogenic right ventricular cardiomyopathy was
readmitted for the battery exchange of his implantable cardioverter-defibrillator
(ICD). Since (i) he had been treated with a dual-coil shock lead (Sprint Fidelis,
Medtronic) and (ii) pre-operative venography showed mild collateral flow to the
left subclavian vein, a single-coil lead was additionally implanted. However, the
single-coil defibrillation system was unable to terminate the induced ventricular
fibrillation (VF), thus dual defibrillation shock pathways were created using the
connection to the superior vena cava coil of the Fidelis lead. The combined
connections of the two shock leads provided an appropriate margin of the
defibrillation threshold.
PMID- 22082896
TI - Notable pink excreta and severe myocardial suppression in superwarfarin
(difethialone) intoxication.
AB - Patients rarely consult physicians before developing coagulopathy or bleeding in
most reported cases of superwarfarin intoxication. A 57-year-old woman ingested
red-dyed pellets of anticoagulant rodenticide containing difethialone and
warfarin as well as tablets of nitrazepam. Although she presented to the hospital
in a comatose state, notable pink-colored excreta hinted at the consumption of
anticoagulant rodenticide, which led to the early diagnosis of superwarfarin
intoxication. Supplementation of large doses of intravenous and oral vitamin K
successfully prevented coagulopathy and bleeding. On the other hand, temporary
and reversible myocardial suppression was extremely severe, and required the
introduction of percutaneous cardiopulmonary support.
PMID- 22082897
TI - Severe obliterative bronchitis associated with Stevens-Johnson syndrome.
AB - We report a case of Stevens-Johnson syndrome (SJS) in which the patient had been
diagnosed with severe obliterative bronchitis. A 29-year-old woman was admitted
with a high fever and a widespread vesicular rash. She was diagnosed with SJS and
betamethasone administration was started. After one month, her vesicular skin
rash improved; however, she developed respiratory failure and was assisted with
mechanical ventilation. Computed tomography of the chest demonstrated a
hyperlucent lung with narrowing of the peripheral vessels. Bronchoscopy revealed
an occlusion of the bronchus when the patient exhaled. The flow-volume curve
revealed a severe obstructive pattern. The patient was diagnosed with
obliterative bronchitis following SJS. She was treated with a bronchodilator and
steroids, but could not breathe adequately without the ventilator. During the
following year, her PaCO(2) increased to 100 torr and her heart function also
continued to worsen. Despite intensive treatment, she died one year and seven
months after the onset of SJS. In SJS and toxic epidermal necrolysis (TEN)
patients, chronic pulmonary complications are rare, but there is no effective
therapy for obliterative bronchitis following SJS/TEN. Therefore, early awareness
of this condition is needed and lung transplantation must be considered at an
early stage of this disease.
PMID- 22082898
TI - Neuromyelitis optica in Japanese sisters.
AB - We report cases of Japanese sisters with neuromyelitis optica (NMO). The elder
sister was 25, when she was diagnosed with right optic neuritis. After 3 months,
she developed left optic neuritis and myelitis. At age 27, she had the second
relapse, but she has been free from episodes thereafter. The younger sister was
26, when she was diagnosed with optic neuritis. Thus far, she has 9 relapses,
comprising both myelitis and optic neuritis. Both sisters had normal brain MRI
scans, longitudinally extensive transverse myelitis over 3 vertebral segments,
and positive results for anti-aquaporin-4 antibody (AQAP4Ab). They fulfilled the
Wingerchuk criteria for definite NMO. Both sisters shared some immunogenetic
factors, but they were not exposed to the same environmental factors after their
early twenties. The final disability status was almost the same in both cases,
and both showed a very benign course. These data suggest that genetic factors
affect the age at onset and environmental factors may affect the frequency of
relapse.
PMID- 22082899
TI - p.Arg332Cys mutation of NOTCH3 gene in two unrelated Japanese families with
CADASIL.
AB - Cerebral autosomal dominant arteriopathy with subcortical infarcts and
leukoencephalopathy is a cerebrovasuclar disease caused by NOTCH3 mutations,
usually localized to exons 3 and 4. This report describes the clinical and
neuroradiological findings of 2 subjects of two unrelated Japanese families who
shared a common p.Arg332Cys mutation. The subject from family A presented syncope
attacks as the sole clinical presentation at the beginning of his disease course.
The subject from family B showed recurrent ischemic attacks, followed by a large
intracranial hemorrhage. This is the first report to describe the detailed
phenotypes of patients with a rare p.Arg332Cys mutation in Japan.
PMID- 22082900
TI - A Japanese case with Nasu-Hakola disease of DAP12 gene mutation exhibiting
precuneus hypoperfusion.
AB - A 38-year-old Japanese man with Nasu-Hakola disease (NHD) had repeated
pathological fractures and frontal lobe symptoms which developed when he was 18
and 26 years old, respectively. Neuropsychological testing showed memory
impairment, and in particular, visuo-spatial memory at the age of 35.
Furthermore, single-photon emission computed tomography revealed precuneus
hypoperfusion. The patient later suffered prolonged convulsive seizures, which
left him in a persistent vegetative state. Genetic testing confirmed a
heterozygous mutation in the DAP12 gene (a single-base deletion of 141 G in exon
3) specific to NHD. Precuneus dysfunction might contribute to characteristic
memory impairment of NHD.
PMID- 22082901
TI - Sclerosing mesenteritis presenting as protein-losing enteropathy: a fatal case.
AB - Sclerosing mesenteritis is a rare, benign disorder characterized by non-specific
and chronic inflammation of the mesenteric adipose tissue. The disease usually
presents with gastrointestinal symptoms and abdominal masses. The long-term
prognosis is favorable, but it often becomes severe. In the present report we
describe a 77-year-old man who presented with diarrhea, massive ascites and an
abdominal mass. The rapid deterioration of the general condition of the patient
limited invasive examinations and left the primary disease unclear. Despite
symptomatic therapy, malnutrition and hypovolemia were prolonged, and he died.
The definitive diagnosis of sclerosing mesenteritis and the cause of the fatal
outcome were disclosed at autopsy. This case indicates that sclerosing
mesenteritis is a potentially-fatal disease and the need for aggressive treatment
should be discussed.
PMID- 22082902
TI - Fulminant amebic colitis in an HIV-infected homosexual man.
AB - We present a case of fulminant amebic colitis in a human immunodeficiency virus
(HIV)-infected homosexual man. The patient developed colonic perforation over a
short time despite empirical therapy with metronidazole, and underwent right
hemicolectomy. Amebic colitis was pathologically diagnosed by identifying
invasive trophozoites of Entamoeba in a surgical specimen. Amebic colitis is one
of the important differential diagnoses of acute abdomen in HIV-infected patients
and/or homosexual men, especially in East Asia. Although fulminant amebic colitis
is a rare manifestation of amebiasis, early diagnosis and treatment are thought
to be important to improve the outcome of this highly fatal complication.
PMID- 22082903
TI - Visceral leishmaniasis mimicking as second line anti retroviral therapy failure.
AB - Visceral leishmaniasis (VL) has increased as a complicating infection in subjects
with human immunodeficiency virus (HIV) in developing countries. Both infections
tend to lower the cell-mediated immunity resulting in poor drug response. In HIV
positive subjects the clinical course as well as organ involvement of VL
simulates tuberculosis, another very common tropical infection. We present a case
of VL/HIV co-infection where the individual failed to respond to first and second
line antiretroviral therapy with persistently low CD4 counts. This patient was
also subjected empirically to antitubercular therapy with no clinical
improvement; he was finally diagnosed as a case of VL in HIV upon revelation of
amastigotes in bone marrow despite the initial negative serology on two
occasions. He showed dramatic improvement in CD4 counts and clinical status on
Amphotericin B therapy. In endemic areas and in HIV positive subjects a systemic
and careful parasitology follow-up is necessary to ensure that no clinical form
of leishmaniasis is overlooked.
PMID- 22082904
TI - Emphysematous pyelonephritis concurrent with psoas muscle abscess.
PMID- 22082905
TI - Polymorphic ventricular tachycardia complicated with Cushing syndrome.
PMID- 22082906
TI - Isolated impairment of confrontation naming task due to left premotor subcortical
infarct.
PMID- 22082907
TI - Thoracic sandwich sign.
PMID- 22082908
TI - Thinker's sign.
PMID- 22082909
TI - Autoantibody profile and other immunological parameters in recurrent spontaneous
abortion patients.
AB - BACKGROUND: An autoimmune cause and related immunological alterations resulting
in recurrent spontaneous abortion (RSA) have been suggested in patients with
unknown etiology. MATERIALS AND METHODS: This study evaluated the autoantibody
profile and other immunological parameters among RSA patients and normal pregnant
women from Mumbai western India. Fifty RSA patients with unknown cause and
greater than three consecutive abortions along with 50 normal pregnant women were
studied for various auto antibodies such as ANA, anti-dsDNA, ANCA, AECA, 2 micro
globulin, anti-HLA antibodies and ACLA using immunofluorescence
microlymphocytotoxicity and ELISA. Immunological parameters such as HLA class I
monoclonal antibody expression, CD3 (T cell), CD19 (B cell), and CD56 (NK cell)
were estimated by flow cytometry. RESULTS: The results revealed 34% positivity of
all auto antibodies tested among patients. ANA(12%), ANCA (20%), AECA (24%), ACLA
(8%), anti-dsDNA(0%), beta2 microglobulin (14%), and anti-HLA antibodies(10%)
among RSA patients were identified. An increased expression of HLA class I
specific monoclonal antibody (10%) with HLA A3 (16%) specificity were found to
correlate with shared HLA alleles among the RSA couples. Among normal pregnant
(control) group ANA (2%), ANCA (2%), AECA (3%), ACLA (4%) and increased
expression of CD56 with reduced HLA class I monoclonal were observed. CONCLUSION:
Our findings suggest a possible role of various autoantibodies along with the
related immunological parameters underlying RSA.
PMID- 22082910
TI - Deciphering the transcriptional regulatory logic of amino acid metabolism.
AB - Although metabolic networks have been reconstructed on a genome scale, the
corresponding reconstruction and integration of governing transcriptional
regulatory networks has not been fully achieved. Here we reconstruct such an
integrated network for amino acid metabolism in Escherichia coli. Analysis of
ChIP-chip and gene expression data for the transcription factors ArgR, Lrp and
TrpR showed that 19 out of 20 amino acid biosynthetic pathways are either
directly or indirectly controlled by these regulators. Classifying the regulated
genes into three functional categories of transport, biosynthesis and metabolism
leads to the elucidation of regulatory motifs that constitute the integrated
network's basic building blocks. The regulatory logic of these motifs was
determined on the basis of relationships between transcription factor binding and
changes in the amount of transcript in response to exogenous amino acids.
Remarkably, the resulting logic shows how amino acids are differentiated as
signaling and nutrient molecules, revealing the overarching regulatory principles
of the amino acid stimulon.
PMID- 22082912
TI - Re: comparison of laparoendoscopic single-site radical nephrectomy with
conventional laparoscopic radical nephrectomy for localized renal-cell carcinoma.
PMID- 22082913
TI - Re: laparoendoscopic single-site surgery versus standard laparoscopic simple
nephrectomy: a prospective randomized study.
PMID- 22082911
TI - A neutral diphosphate mimic crosslinks the active site of human O-GlcNAc
transferase.
AB - Glycosyltransferases (Gtfs) catalyze the formation of a diverse array of
glycoconjugates. Small-molecule inhibitors to manipulate Gtf activity in cells
have long been sought as tools for understanding Gtf function. Success has been
limited because of challenges in designing inhibitors that mimic the negatively
charged diphosphate substrates. Here we report the mechanism of action of a small
molecule that inhibits O-linked N-acetylglucosamine transferase (OGT), an
essential human enzyme that modulates cell signaling pathways by catalyzing a
unique intracellular post-translational modification, beta-O-GlcNAcylation. The
molecule contains a five-heteroatom dicarbamate core that functions as a neutral
diphosphate mimic. One dicarbamate carbonyl reacts with an essential active site
lysine that anchors the diphosphate of the nucleotide-sugar substrate. A nearby
cysteine then reacts with the lysine adduct to form a carbonyl crosslink in the
OGT active site. Though this unprecedented double-displacement mechanism reflects
the unique architecture of the OGT active site, related dicarbamate scaffolds may
inhibit other enzymes that bind nucleotide-containing substrates.
PMID- 22082914
TI - Re: long-term follow-up of the treatment of renal angiomyolipomas after selective
arterial embolization with alcohol.
PMID- 22082915
TI - Re: gemcitabine versus bacille Calmette-Guerin after initial bacille Calmette
Guerin failure in non-muscle-invasive bladder cancer: a multicenter prospective
randomized trial.
PMID- 22082916
TI - Re: a new multimodality technique accurately maps the primary lymphatic landing
sites of the bladder.
PMID- 22082917
TI - Re: effect of a minimum lymph node policy in radical cystectomy and pelvic
lymphadenectomy on lymph node yields, lymph node positivity rates, lymph node
density, and survivorship in patients with bladder cancer.
PMID- 22082918
TI - Re: Risk Profiles and Treatment Patterns Among Men Diagnosed as Having Prostate
Cancer and a Prostate-Specific Antigen Level Below 4.0 ng/ml.
PMID- 22082919
TI - Re: the relationship between prostate-specific antigen and prostate cancer risk:
the prostate biopsy collaborative group.
PMID- 22082920
TI - Re: years of potential life lost caused by prostate cancer deaths in the United
States-projection from 2004 through 2050.
PMID- 22082921
TI - Re: comparative risk-adjusted mortality outcomes after primary surgery,
radiotherapy, or androgen-deprivation therapy for localized prostate cancer.
PMID- 22082922
TI - Re: impact of common medications on serum total prostate-specific antigen levels:
analysis of the national health and nutrition examination survey.
PMID- 22082923
TI - Re: Prevalence and Impact of Bacteriuria and/or Urinary Tract Infection in
Interstitial Cystitis/Painful Bladder Syndrome.
PMID- 22082924
TI - Re: Malignant Renal Cysts: Diagnostic Performance and Strong Predictors at MDCT.
PMID- 22082925
TI - Re: Use of Inverted Fluoroscope's C-Arm During Endoscopic Treatment of Urinary
Tract Obstruction in Pregnancy: A Practicable Solution to Cut Radiation.
PMID- 22082926
TI - Re: multicenter experience with metallic ureteral stents for malignant and
chronic benign ureteral obstruction.
PMID- 22082927
TI - Re: Complications of the AdVance Transobturator Male Sling in the Treatment of
Male Stress Urinary Incontinence.
PMID- 22082928
TI - Re: penoscrotal plication as a uniform approach to reconstruction of penile
curvature.
PMID- 22082929
TI - Re: clinical experience in a modified roux-y-shaped sigmoid neobladder:
assessment of complications and voiding patterns in 43 patients.
PMID- 22082930
TI - Re: antagonists of growth hormone-releasing hormone inhibit the proliferation of
human benign prostatic hyperplasia cells.
PMID- 22082931
TI - Re: Long-Term Treatment and Prognostic Factors of alpha1-Blockers for Lower
Urinary Tract Symptoms Associated With Benign Prostatic Hyperplasia: A Pilot
Study Comparing Naftopidil and Tamsulosin Hydrochloride.
PMID- 22082932
TI - Re: effects of age on levator function and morphometry of the levator hiatus in
women with pelvic floor disorders.
PMID- 22082933
TI - Re: prevalence and correlates of nocturia in community-dwelling older adults.
PMID- 22082934
TI - Re: lessons learned: impact of a continence promotion activity for older
community-dwelling women.
PMID- 22082935
TI - Re: randomized trial of transcutaneous tibial nerve stimulation to treat urge
urinary incontinence in older women.
PMID- 22082936
TI - Re: Effect of Sexual Function on Health-Related Quality of Life Mediated by
Depressive Symptoms in Cardiac Rehabilitation. Findings of the SPARK Project in
493 Patients.
PMID- 22082937
TI - Re: persistent sexual dysfunction impairs quality of life after cardiac
transplantation.
PMID- 22082938
TI - Re: A Low Intake of Antioxidant Nutrients is Associated With Poor Semen Quality
in Patients Attending Fertility Clinics.
PMID- 22082939
TI - Re: testicular spermatozoa have statistically significantly lower DNA damage
compared with ejaculated spermatozoa in patients with unsuccessful oral
antioxidant treatment.
PMID- 22082940
TI - Re: soybean isoflavone exposure does not have feminizing effects on men: a
critical examination of the clinical evidence.
PMID- 22082941
TI - Re: a novel application of 1H magnetic resonance spectroscopy: non-invasive
identification of spermatogenesis in men with non-obstructive azoospermia.
PMID- 22082942
TI - Re: cystic dysplasia of the rete testis: a case of spontaneous regression and
review of published reports.
PMID- 22082943
TI - Re: our initial experience with the technique of complete primary repair for
bladder exstrophy.
PMID- 22082944
TI - Re: renal impairment in children with cystic fibrosis.
PMID- 22082945
TI - Re: reconstitution of experimental neurogenic bladder dysfunction using skeletal
muscle-derived multipotent stem cells.
PMID- 22082946
TI - Re: Innervation of Reconstructed Bladder Above the Level of Spinal Cord Injury
for Inducing Micturition by Contractions of the Abdomen-to-Bladder Reflex Arc.
PMID- 22082947
TI - Biliary atresia in the Netherlands: outcome of patients diagnosed between 1987
and 2008.
AB - OBJECTIVE: To examine the outcome of biliary atresia (BA) and to identify
prognostic factors using a national database. STUDY DESIGN: All children born
between January 1987 and December 2008 who underwent the Kasai surgical procedure
for BA were retrieved from the Netherlands Study Group on Biliary Atresia
Registry database. Outcomes were measured in terms of clearance of jaundice
(bilirubin <1.17 g/dL, or 20 MUmol/L, within 6 months after surgery) and 4-year
transplant-free survival. Two cohorts, one from 1987-1997 and the other from 1998
2008, were compared. Survival rates were determined using Kaplan-Meier analysis,
and prognostic factors were tested with univariate and multivariate analyses.
RESULTS: Between January 1987 and December 2008, 214 patients underwent Kasai
surgery for BA. In this series, the 4-year transplant-free survival was 46%+/-4%,
and 4-year overall survival was 73%+/-3%. Clearance of jaundice, surgery within
60 days, and postoperative antibiotic prophylaxis use were independently
associated with increased transplant-free survival. The yearly caseload per
center (range, 0.5-2.1) was not correlated with transplant-free survival
(r=0.024; P=.73). CONCLUSION: During the past 2 decades, outcome parameters have
remained constant and are comparable with those reported from other Western
countries, despite a relatively low annual caseload per center. Timely surgical
correction and postoperative antibiotic therapy were associated with a higher
transplant-free survival rate.
PMID- 22082948
TI - Hypertension in a girl with severe coarctation of the aorta and renal agenesis.
PMID- 22082949
TI - Non-blood group-specific red blood cell transfusions in preterm infants and
necrotizing enterocolitis.
PMID- 22082951
TI - Blood pressure in pediatric chronic kidney disease--it's in the ears of the
beholder.
PMID- 22082952
TI - Hip ultrasounds: where do we go from here?
PMID- 22082953
TI - Longitudinal, three-dimensional analysis of head shape in children with and
without deformational plagiocephaly or brachycephaly.
AB - OBJECTIVES: To assess 3-dimensional (3D) changes in head shape in infancy and at
age 18 months in children with and without plagiocephaly or brachycephaly. STUDY
DESIGN: Using a longitudinal design, we evaluated head shape using 3D surface
imaging. We compared the head shapes of children with (1) diagnosed deformational
plagiocephaly or brachycephaly (cases; n=233); (2) unaffected controls, with no
evidence of dysmorphology (n=167); and (3) affected controls, who despite having
no previous diagnosis demonstrated skull dysmorphology on 3D surface imaging
(n=70). RESULTS: Cases had greater skull flattening and asymmetry than unaffected
controls at both time points, as did controls with skull dysmorphology. In all
groups, head shapes became less flat and more symmetric over time. Among cases,
symmetry improved slightly more for those who received orthotic treatment.
CONCLUSIONS: Although head shape improves over time for children with
deformational plagiocephaly or brachycephaly, skull dysmorphology persists
relative to unaffected controls. Further research is needed to clarify the extent
to which these differences are detectable to clinicians and lay observers.
PMID- 22082955
TI - Development and validation of educational materials for food allergy.
AB - OBJECTIVE: To develop and validate a food allergy educational program. STUDY
DESIGN: Materials developed through focus groups and parental and expert review
were submitted to 60 parents of newly referred children with a prior food allergy
diagnosis and an epinephrine autoinjector. The main outcome was correct
demonstration of an autoinjector. RESULTS: The correct number of autoinjector
activation steps increased from 3.4 to 5.95 (of 6) after training (P < .001) and
was 5.47 at 1 year (P < .05). The mean score for comfort with using the
autoinjector (7-point Likert scale) before the curriculum was 4.63 (somewhat
comfortable) and increased to 6.23 after the intervention (P < .05) and remained
elevated at 1 year (6.03). Knowledge tests (maximum 15) increased from a mean
score of 9.2 to 12.4 (P < .001) at the initial visit and remained at 12.7 at 1
year. The annualized rate of allergic reactions fell from 1.77 (historical) the
year prior, to 0.42 (P < .001) after the program. On a 7-point Likert scale, all
satisfaction categories remained above a favorable mean score of 6: straight
forward, organized, interesting, relevant, and recommend to others. CONCLUSIONS:
This food allergy educational curriculum for parents, now available online at no
cost, showed high levels of satisfaction and efficacy.
PMID- 22082956
TI - Radiotherapy as a risk factor for malignant melanoma after childhood skin
hemangioma.
AB - The aim of this study was to determine therapy-related risk factors for the
development of melanoma after hemangioma. A cohort study was conducted among 4620
patients treated before 16 years of age for skin hemangioma in France. A nested
case-control study was also conducted on 13 patients who developed a melanoma
(cases) matched with five controls in cohort according to sex, age at the
hemangioma diagnostic, the calendar year of occurrence of the hemangioma, and
follow-up. The radiation dose received at the site of the melanoma and at the
same site in controls was estimated, and named 'local dose'. A total of 13
melanomas were registered during an average follow-up of overall 35 years, the
risk of developing melanoma after a hemangioma treatment was 2.5-fold higher [95%
confidence interval (CI): 1.4-4.1] compared with that of the general population,
this ratio being only 0.8 (95% CI: 0.05-3.6) in 896 patients who did not receive
radiotherapy, but 3.0 (95% CI: 1.6-5.1) after radiotherapy. When adjusting on
sex, age, and year of the treatment and follow-up duration, melanoma risk was
11.9 (95% CI: 1.4-123) times higher in patients treated with ytrium 90 than in
the ones who did not received radiotherapy. In the case-control study, the risk
of melanoma was not linked to the local radiation dose. Indeed, the increase in
melanoma risk was observed even for very low local doses. Compared with the
corresponding skin areas in patients who did not receive radiotherapy, the ones
having received less than 0.001 Gy had a melanoma risk of 3.9 (95% CI: 0.5-32)
and those who received more than 0.01 Gy had a risk of 6.9 (0.5-99). This study
suggests that radiation therapy of skin hemangioma increases the risk of further
melanoma, but we were not able to evidence a relation with the local dose.
Nevertheless, childhood treated for hemangioma should be considered at risk for
developing melanoma and suspicious pigmented lesions should be carefully
evaluated even far from treated areas.
PMID- 22082957
TI - Regional lymphatic immunity in melanoma.
AB - Melanoma is an immunogenic tumor that has developed methods to successfully evade
immune recognition, while paradoxically spreading through the lymphatic system.
Increasing evidence supports that melanoma-derived factors suppress regional
immunity within the host. At a very early stage, melanoma communicates with the
tumor-draining lymph nodes, and prepares them for seeding of metastatic disease
by stimulating lymphangiogenesis and downregulation of the sentinel lymph node
immunity well before the malignant cells arrive. Investigations have demonstrated
that the induction of suppressor cells, peripheral tolerance, and a less tumor
responsive Th2 cytokine environment may provide a hospitable environment for
subsequent lymphatic metastasis. Patients with early-stage disease may benefit
from the restoration of the regional immune function to a level that controls the
progression of residual occult metastases and ensures a durable clinical
response. Herein we provide a succinct summary of the current progress in this
field in order to guide future investigations.
PMID- 22082958
TI - Listeria and autophagy escape: involvement of InlK, an internalin-like protein.
AB - Autophagy is a cell-autonomous mechanism of innate immunity that protects the
cytosol against bacterial infection. Invasive bacteria, including Listeria
monocytogenes, have thus evolved strategies to counteract a process that limits
their intracellular growth. ActA is a surface protein produced by L.
monocytogenes to polymerize actin and mediate intra- and intercellular movements,
which plays a critical role in autophagy escape. We have recently investigated
the role of another L. monocytogenes surface protein, the internalin InlK, in the
infection process. We showed that in the cytosol of infected cells, InlK
interacts with the Major Vault Protein (MVP), the main component of cytoplasmic
ribonucleoprotein particles named vaults. Although MVP has been implicated in a
variety of key cellular process, its role remains elusive. We demonstrated that
L. monocytogenes is able, via InlK, to decorate its surface with MVP in order to
escape autophagic recognition. Strikingly, this new strategy used by L.
monocytogenes to avoid autophagy is independent of ActA, suggesting that InlK-MVP
interactions and actin polymerization are two processes that favor in the same
manner the infection process. Understanding the role of MVP may provide new
insights into bacterial infection and autophagy.
PMID- 22082959
TI - Pro-tumorigenic function of autophagy in mammary oncogenesis.
AB - Autophagy is a highly conserved catabolic cellular process by which cells degrade
intracellular constituents in lysosomes, and its dysfunctions have been
associated with a variety of human diseases including cancer. Previous studies
have linked autophagy to both tumor-suppressive and promoting functions in
different contexts, although the pro-tumorigenic function of autophagy has not
been examined directly in breast or other cancers in animal models with intact
immune functions in vivo. FIP200 (focal adhesion kinase family interacting
protein of 200 kD) is a component of the ULK1-Atg13-FIP200-Atg101 complex that is
essential for the induction of mammalian autophagy. In our recent study, we show
that conditional knockout (KO) of FIP200 in the well-characterized MMTV-PyMT
mouse model of human breast cancer significantly suppresses mammary tumorigenesis
and progression. Similar to a number of recent studies in Ras-transformed cells,
our studies revealed the importance of autophagy in promoting tumorigenesis
through regulation of tumor cell glycolysis and proliferation. In addition to the
intrinsic defects in proliferation of FIP200-null tumor cells, we also showed
that FIP200 deletion in mammary tumor cells triggers increased host anti-tumor
immune surveillance, which also contributes to the decreased mammary
tumorigenesis and progression. Our study provides the first direct demonstration
of a pro-tumorigenic role of autophagy in oncogene-driven tumor models with
intact immune functions in vivo. They also suggest FIP200 and other autophagy
proteins as potential therapeutic targets for cancer treatment, and raise a
number of questions for future studies on the potentially dual functions of
autophagy in promoting and suppressing tumorigenesis under different conditions
in vivo.
PMID- 22082960
TI - Autophagy: a new player in hepatic stellate cell activation.
AB - Hepatic stellate cell (HSC) activation, the transition from a resident quiescent
HSC to a myofibroblastic collagen-producing HSC, is a fundamental feature of
liver fibrosis. Autophagy has been implicated in major liver pathologies, such as
HCV infection and hepatocarcinoma. However, its role in HSC biology is largely
unknown. Recently, we were able to demonstrate that HSC activation is followed by
an increased autophagic flux and that its inhibition can partially inhibit the
HSC myofibroblastic transition. These results point to autophagy as a possible
target in the prevention of HSC activation.
PMID- 22082954
TI - Physical activity, fitness, and serum leptin concentrations in adolescents.
AB - OBJECTIVE: To examine the association of physical activity and fitness with
leptin concentrations in European adolescents, after taking into account several
potential confounders including total body fat (TBF). STUDY DESIGN: We conducted
a cross-sectional study in a school setting for the Healthy Lifestyle in Europe
by Nutrition in Adolescence Cross-Sectional Study. This study included 902 (509
girls) adolescents aged 12.5-17.5 years. Weight, height, and TBF (sum of 6
skinfold thickness) were measured, and fat free mass and body mass index were
calculated. Physical activity was assessed by accelerometry. Physical fitness was
assessed by the handgrip, standing long jump, 4 * 10-m shuttle run, and 20-m
shuttle run tests. Serum fasting leptin, insulin, and glucose concentrations were
measured, and homeostasis model assessment was computed. Multiple linear
regression models were used. RESULTS: Vigorous physical activity and fitness
tests (all P < .05) were negatively associated with leptin, independently of
several confounders including TBF and homeostasis model assessment. These
associations remained significant after further controlling for each other
(physical activity and fitness). CONCLUSION: These results suggest that vigorous
physical activity and fitness moderate the levels of leptin concentrations,
regardless of relevant confounders including TBF. Intervention programs addressed
to increase high intensity physical activity and fitness as well as to assess its
impact on leptin concentration are required.
PMID- 22082962
TI - Circadian regulation of autophagy rhythm through transcription factor C/EBPbeta.
AB - Temporal organization of nutrient and energy metabolism is important for
maintaining homeostasis in mammals. Autophagy is a conserved cellular pathway
that is activated in response to nutrient limitation, resulting in the
degradation of cytoplasmic components and the release of amino acids and other
nutrients. Recently, we reported that autophagy exhibits robust circadian rhythm
in mouse liver, accompanied by cyclic induction of genes involved in various
steps of autophagy. Rhythmic activation of physiological autophagy appears to be
regulated by transcription factor C/EBPbeta, which is sufficient and required for
nutritional and circadian regulation of autophagy gene expression. These findings
provide new insight into transcriptional control of autophagy and reveal a
potentially important role of autophagy cycles in metabolic homeostasis.
PMID- 22082961
TI - Vid30 is required for the association of Vid vesicles and actin patches in the
vacuole import and degradation pathway.
AB - When Saccharomyces cerevisiae is starved of glucose, the gluconeogenic enzymes
fructose-1,6-bisphosphatase (FBPase), malate dehydrogenase (MDH2), isocitrate
lyase (Icl1) and phosphoenolpyruvate carboxykinase (Pck1) are induced. However,
when glucose is added to prolonged starved cells, these enzymes are degraded in
the vacuole via the vacuole import and degradation (Vid) pathway. Recent evidence
suggests that the Vid pathway merges with the endocytic pathway at actin patches
where endocytic vesicles are formed. The convergence of the Vid pathway with the
endocytic pathway allows cells to remove intracellular and extracellular proteins
simultaneously. However, the genes that regulate this step of the convergence
have not been identified previously. Here we show that VID30 plays a critical
role for the association of Vid vesicles and actin patches. Vid30 is
constitutively expressed and interacts with Vid vesicle proteins Vid24 and Sec28
but not with the cargo protein FBPase. In the absence of SEC28 or VID24, Vid30
association with actin patches was prolonged. In cells lacking the VID30 gene,
FBPase and Vid24 were not localized to actin patches, suggesting that Vid30 has a
role in the association of Vid vesicles and actin patches. Vid30 contains a LisH
and a CTLH domain, both of which are required for FBPase degradation. When these
domains were deleted, FBPase trafficking to the vacuole was impaired. We suggest
that Vid30 also has a role in the Vid pathway at a later step in a process that
is mediated by the LisH and CTLH domains.
PMID- 22082964
TI - The end of autophagic cell death?
AB - In the mammalian system, cell death is often preceded or accompanied by
autophagic vacuolization, a finding that initially led to the widespread belief
that so-called "autophagic cell death" would be mediated by autophagy. Thanks to
the availability of genetic tools to disable the autophagic machinery, it has
become clear over recent years that autophagy usually constitutes a futile
attempt of dying cells to adapt to lethal stress rather than a mechanism to
execute a cell death program. Recently, we systematically addressed the question
as to whether established or prospective anticancer agents may induce "autophagic
cell death". Although a considerable portion among the 1,400 compounds that we
evaluated induced autophagic puncta and actually increased autophagic flux, not a
single one turned out to kill tumor cells through the induction of autophagy.
Thus, knockdown of essential autophagy genes (such as ATG5 and ATG7) failed to
prevent and rather accelerated chemotherapy-induced cell death, in spite of the
fact that this manipulation efficiently inhibits autophagosome formation. Herein,
we review these finding and--polemically--raise doubts as to the very existence
of "autophagic cell death".
PMID- 22082963
TI - DRAM-1 encodes multiple isoforms that regulate autophagy.
AB - Macro(autophagy) is a cellular mechanism which delivers cytoplasmic constituents
to lysosomes for degradation. Due to its role in maintaining cellular integrity,
autophagy protects against various diseases including cancer. p53 is a major
tumor suppressor gene which can modulate autophagy both positively and
negatively. p53 induces autophagy via transcriptional activation of Damage
Regulated Autophagy Modulator (DRAM-1). We report here that DRAM-1 encodes not
just one mRNA, but a series of p53-inducible splice variants which are expressed
at varying levels in multiple human and mouse cell lines. Two of these new splice
variants, termed SV4 and SV5, result in mature mRNA species. Different to 'full
length' DRAM-1 (SV1), SV4 and SV5 do not localise to lysosomes or endosomes, but
instead partially localise to peroxisomes and autophagosomes respectively. In
addition, SV4 and SV5 can also be found co-localised with certain markers of the
endoplasmic reticulum. Similar to SV1, SV4 and SV5 do not appear to be inducers
of programmed cell death, but they do modulate autophagy. In summary, these
findings identify new autophagy regulators that provide insight into the control
of autophagy downstream of p53.
PMID- 22082965
TI - Propagation direction of natural mechanical oscillations in the biceps brachii
muscle during voluntary contraction.
AB - The aim of the study was to determine the directionality of the coupling of
mechanical vibrations across the biceps brachii muscle at different frequencies
of interest during voluntary contraction. The vibrations that are naturally
generated by skeletal muscles were recorded by a two-dimensional array of skin
mounted accelerometers over the biceps brachii muscle (surface mechanomyogram, S
MMG) during voluntary isometric contractions in ten healthy young men. As a
measure of the similarity of vibration between a given pair of accelerometers,
the spatial coherence of S-MMG at low (f<25Hz) and high (f>25Hz) frequency bands
were investigated to determine if the coupling of the natural mechanical
vibrations were due to the different physiological muscle activity at low and
high frequencies. In both frequency bands, spatial coherence values for sensor
pairs aligned longitudinally along the proximal to distal ends of the biceps were
significantly higher compared with those for the sensor pairs oriented
perpendicular to the muscle fibers. This difference was more evident at the
higher frequency band. The findings indicated that coherent mechanical
oscillations mainly propagated along the longitudinal direction of the biceps
brachii muscle fibers at high frequencies (f>25Hz).
PMID- 22082966
TI - A protocol for computer-based protein structure and function prediction.
AB - Genome sequencing projects have ciphered millions of protein sequence, which
require knowledge of their structure and function to improve the understanding of
their biological role. Although experimental methods can provide detailed
information for a small fraction of these proteins, computational modeling is
needed for the majority of protein molecules which are experimentally
uncharacterized. The I-TASSER server is an on-line workbench for high-resolution
modeling of protein structure and function. Given a protein sequence, a typical
output from the I-TASSER server includes secondary structure prediction,
predicted solvent accessibility of each residue, homologous template proteins
detected by threading and structure alignments, up to five full-length tertiary
structural models, and structure-based functional annotations for enzyme
classification, Gene Ontology terms and protein-ligand binding sites. All the
predictions are tagged with a confidence score which tells how accurate the
predictions are without knowing the experimental data. To facilitate the special
requests of end users, the server provides channels to accept user-specified
inter-residue distance and contact maps to interactively change the I-TASSER
modeling; it also allows users to specify any proteins as template, or to exclude
any template proteins during the structure assembly simulations. The structural
information could be collected by the users based on experimental evidences or
biological insights with the purpose of improving the quality of I-TASSER
predictions. The server was evaluated as the best programs for protein structure
and function predictions in the recent community-wide CASP experiments. There are
currently >20,000 registered scientists from over 100 countries who are using the
on-line I-TASSER server.
PMID- 22082967
TI - Is there still a place for LASER technology in modern neurosurgery?
PMID- 22082968
TI - In situ reconstruction of parietal bone craniectomy after convexity meningioma
resection.
PMID- 22082969
TI - Pituitary carcinoma.
PMID- 22082970
TI - Is it useful to administer routine antihelminthic therapy after the resection of
intraventricular cysticercosis?
PMID- 22082971
TI - A pathway to endoscopic bariatric therapies.
AB - The American Society for Gastrointestinal Endoscopy (ASGE) is dedicated to
advancing patient care and digestive health by promoting excellence in
gastrointestinal endoscopy. The American Society for Metabolic and Bariatric
Surgery (ASMBS) is dedicated to improving public health and well-being by
lessening the burden of the disease of obesity and related diseases. They are the
largest professional societies for their respective specialties of
gastrointestinal endoscopy and bariatric surgery in the world. The ASGE/ASMBS
task force was developed to collaboratively address opportunities for endoscopic
approaches to obesity, reflecting the strengths of our disciplines, to improve
patient and societal outcomes. This white paper is intended to provide a
framework for, and a pathway towards, the development, investigation, and
adoption of safe and effective endoscopic bariatric therapies (EBT).
PMID- 22082975
TI - Temporal variation and provenance of thorium deposition observed at Tsukuba,
Japan.
AB - Temporal variations of monthly thorium (Th) deposition observed at Tsukuba, Japan
during the period 1990-2007, comparing with plutonium deposition, was studied.
The monthly (232)Th deposition as did (239,240)Pu, varied according to season and
inter-annually. In particular, (232)Th deposition increased significantly in
spring coinciding with Asian dust (Kosa) events. The (230)Th/(232)Th activity
ratios vary according to sources and can therefore be used to differentiate
between locally derived and remotely derived (232)Th. The (230)Th/(232)Th
activity ratios in deposition samples showed large variability with high ratios
occurring in early spring. These high (230)Th/(232)Th ratios in deposition can be
attributed to local dust storms, especially in early spring, that cause
resuspension of soils from cultivated fields which are characterized by high
(230)Th/(232)Th activity ratios. The results reveal that both locally and
remotely derived (232)Th deposition showed seasonal variations with maxima in
spring, although the remotely derived fraction is dominant rather than the
locally derived one. The (232)Th deposition maxima later in spring is
attributable to the remotely derived fraction, corresponding to the Kosa events.
Annual (232)Th deposition exhibited an increasing trend, suggesting the presence
of sources other than soil dust such as fly ash from increasing coal burning.
PMID- 22082976
TI - Please close this skin wound.
AB - Acute cutaneous wounds following trauma are commonly encountered in the emergency
department. This article explains the principles of their closure and provides
advice on optimal management. Wound healing occurs in four phases: haemostatic,
inflammatory, proliferative and maturation (Janis et al, 2010). The guidance
below is based on the authors' personal practice, designed to promote transition
through these stages and result in optimized healing with minimal scarring. It is
intended to guide the reader through what can be a daunting prospect for many
doctors in training: the acute closure of simple wounds.
PMID- 22082977
TI - Splenomegaly.
AB - Splenomegaly is a common finding on clinical examination, and frequently features
in postgraduate assessments. The spleen does not normally descend below the left
costal margin. The routine abdominal examination will identify whether the spleen
is palpable, and if so splenomegaly is almost universally present. This is
generally pathological and warrants investigation.
PMID- 22082978
TI - Pregnancy and the liver.
AB - In pregnancy the liver can be affected by diseases specific to pregnancy as well
as unrelated conditions. The possible effect of the disease and its management on
both the fetus and mother must be considered. Several physiological changes occur
during pregnancy as liver metabolism is altered. Serum protein concentrations
fall, with a decrease in serum albumin in part the result of the dilutional
effect of an increase in plasma volume. Alanine transaminase and aspartate
transaminase levels decrease (Table 1), complicating the diagnosis of disorders
involving subtle changes in liver function. Alkaline phosphatase is also produced
by the placenta, making this an unreliable marker of liver dysfunction in
pregnancy.
PMID- 22082979
TI - Management and prognosis of multiple sclerosis.
AB - Multiple sclerosis is a common disease of the CNS. The first of these articles
(Hassan-Smith and Douglas, 2011) examined its epidemiology and diagnosis. This
article explores the management and prognosis of multiple sclerosis, including
the rapidly evolving field of disease-modifying therapeutics. However, equally
important is the management of problems related to chronic multiple sclerosis and
knowledge of which symptomatic treatments may be helpful. Finally, the prognosis
is discussed; vital when counselling newly diagnosed patients.
PMID- 22082980
TI - Evaluation of global left ventricular systolic function using three-dimensional
echocardiography speckle-tracking strain parameters.
AB - BACKGROUND: The aim of this study was to evaluate the capacity and
reproducibility of three-dimensional echocardiographic (3DE) strain parameters in
the assessment of global left ventricular (LV) systolic function. METHODS: A
total of 128 subjects with differing LV ejection fractions were investigated
using two-dimensional echocardiographic (2DE) and 3DE strains. Three-dimensional
echocardiographic strain allows obtaining longitudinal, circumferential, radial,
and area strains. First, values of global longitudinal strain (GLS) by 2DE and
3DE speckle-tracking analyses were compared. Thereafter, 3DE strain parameters
were correlated with LV ejection fraction and indexed output. Last, the
variability of 3DE versus 2DE strain measurements as well as recorded time of
analysis were assessed. RESULTS: After excluding 21 patients for insufficient
image quality, four for arrhythmia, two for severe valvular disease, and one for
severe dyspnea, the final population consisted of 100 patients. Comparison
between 2DE and 3DE GLS revealed high correspondence (r = 0.91, y = 1.04x - 0.71)
and mean error measurement of -1.3% (95% confidence interval, -5.7 to 3.2). Among
strain parameters, global area strain exhibited the highest correlation with LV
ejection fraction (y = -1.65 + 10.4, r = -0.92, P < .001). Intraobserver
measurement variability proved acceptable: 8% for GLS (vs 6% on 2DE analysis), 7%
for circumferential strain (vs 15% on 2DE analysis), 7% for radial strain (vs 33%
on 2DE analysis), and 5% for global area strain. The mean error between two
measurements was lower with 3DE than 2DE analysis for circumferential and radial
strains but similar for GLS. The mean time of analysis was of 117 +/- 16 sec for
3DE analysis, which was 25% less than for 2DE analysis (P < .001). CONCLUSIONS:
Of all strain parameters, new 3DE area strain correlated best with common LV
systolic function parameters and is thus the most promising approach, while all
3DE strain markers exhibited good reproducibility.
PMID- 22082981
TI - Elective oocyte cryopreservation: who should pay?
AB - Despite the initial reactions of disapproval, more and more fertility clinics are
now offering oocyte cryopreservation to healthy women in order to extend their
reproductive options. However, so-called social freezing is not placed on an
equal footing with 'regular' IVF treatments where public funding is concerned. In
those countries or states where IVF patients receive a number of free cycles, we
argue that fertilization and transfer cycles of women who proactively
cryopreserved their oocytes should be covered. Moreover, when the argument of
justice is consistently applied, coverage should also include the expenses of
ovarian stimulation, oocyte retrieval and storage. Different modalities are
possible: full coverage from the onset, reimbursement in cash or reimbursement in
kind, by offering more free transfer cycles.
PMID- 22082982
TI - The efficiency of male fertility restoration is dependent on the recovery
kinetics of spermatogonial stem cells after cytotoxic treatment with busulfan in
mice.
AB - BACKGROUND: Spermatogonial stem cells (SSCs) are the foundation of
spermatogenesis and represent a crucial resource for male fertility restoration.
It has not been well documented, however, whether the recovery of SSC population
size after cytotoxic damage associates with the kinetics of male fertility
restoration. We addressed this issue using the mouse as a model. METHODS:
Following single injections of busulfan at 15, 30 or 45 mg/kg into male mice, we
examined their ability to sire offspring at different times by natural mating and
determined SSC numbers using spermatogonial transplantation. We measured testis
physiological parameters (testis weights, sperm counts, serum and intratesticular
testosterone levels, and histological assessments of spermatogenic recovery) and
quantified the expression of glial-cell-line-derived neurotrophic factor (GDNF)
transcripts. RESULTS: Regardless of busulfan doses, fertility was lost within 4
weeks after treatment, while more than 95% of SSCs were lost within 3 days.
Fertility and SSC numbers gradually recovered with time, but the recoveries were
delayed at higher busulfan doses. Interestingly, SSC numbers reached ~30% of
before-treatment levels by 4 weeks prior to the time of fertility restoration,
across the dose groups. Sperm counts were ~20% of before-treatment levels at the
onset of fertility restoration, regardless of busulfan doses. We detected a
significant increase in total GDNF mRNA per testis immediately after busulfan
treatment. CONCLUSIONS: The loss and restoration of fertility after busulfan
treatment are direct consequences of SSC loss and expansion. Our data suggest
that there is a threshold in SSC numbers that allows for male fertility
restoration and that the testicular somatic environment responds rapidly and
temporarily to the loss of spermatogonia, including SSCs, by altering GDNF mRNA
levels. This study provides fundamental information to clinically apply SSCs for
male fertility restoration in the future.
PMID- 22082983
TI - Overexpression of receptor for advanced glycation end products induces monocyte
chemoattractant protein-1 expression in rat vascular smooth muscle cell line.
AB - AIM: The receptor for advanced glycation end-products (RAGE) has been suggested
to play a pivotal role in the development of diabetic vasculopathy and
atherosclerosis; however, due to its low expression, the physiological role of
RAGE in vascular smooth muscle cells (VSMC) remains unknown. METHODS: Using VSMC
lines stably expressing RAGE (RAGE-A10), we studied the molecular mechanism by
which S100B, a RAGE ligand, induces proinflammatory gene expression. RESULTS:
S100B induced NF-kappaB activation and the expression of several proinflammatory
genes (MCP-1, IL-6, ICAM-1) at mRNA and protein levels in RAGE-A10, among which
MCP-1 expression was the most robust. S100B-induced MCP-1 expression was dose
dependently blocked by inhibitors of JNK (SP600125), p38 (SB203580), MEK-1
(U0126) as well as NF-kappaB (Bay117085). In RAGE-A10, S100B activated JNK, MEK-1
and p38. S100B-induced MCP-1 promoter activity via NF-kappaB binding sites and
nuclear translocation of NF-kappaB p65 subunit were blocked by SP600125, U0126,
and SB203580 in RAGE-A10. CONCLUSION: Our study demonstrates that S100B increased
MCP-1 expression via NF-kappaB and mitogen-activated protein kinase (JNK, ERK1/2,
and p38) pathways in RAGE-overexpressed A10 cell lines. Thus, RAGE-A10 could be a
useful cell model for studying the molecular mechanism(s) of up-regulated RAGE in
the vasculature.
PMID- 22082984
TI - Studying cell behavior in whole zebrafish embryos by confocal live imaging:
application to hematopoietic stem cells.
AB - Confocal live imaging is a key tool for studying cell behavior in the whole
zebrafish embryo. Here we provide a detailed protocol that is adaptable for
imaging any progenitor cell behavior in live zebrafish embryos. As an example, we
imaged the emergence of the first hematopoietic stem cells from the aorta. We
discuss the importance of selecting the appropriate zebrafish transgenic line as
well as methods for immobilization of embryos to be imaged. In addition, we
highlight the confocal microscopy acquisition parameters required for stem cell
imaging and the software tools we used to analyze 4D movies. The whole protocol
takes 2 h 15 min and allows confocal live imaging from a few hours to several
days.
PMID- 22082985
TI - Chromatin immunoprecipitation and high-throughput sequencing from paraffin
embedded pathology tissue.
AB - Formalin-fixed, paraffin-embedded (FFPE) samples represent the gold standard for
storage of pathology samples. Here we describe pathology tissue chromatin
immunoprecipitation (PAT-ChIP), a technique for extraction and high-throughput
analysis, by techniques such as ChIP-seq, of chromatin derived from FFPE samples.
Technically, the main challenge of PAT-ChIP is the preparation of good-quality
chromatin from FFPE samples. Here we provide a detailed explanation of the
methodology used, the choice of reagents and the troubleshooting steps required
to establish a robust chromatin preparation procedure. Other steps have also been
adapted from existing techniques to optimize their use for PAT-ChIP-seq. The
protocol requires 4 d from the start to the end of the PAT-ChIP procedure. PAT
ChIP provides, for the first time, the chance to perform analyses of histone
modifications and transcription factor binding on a genome-wide scale using
patient-derived FFPE samples. This technique therefore allows the immediate use
of pathology archives (even those that are several years old) for epigenetic
analyses and the identification of candidate epigenetic biomarkers or targets.
PMID- 22082986
TI - Generating human intestinal tissue from pluripotent stem cells in vitro.
AB - Here we describe a protocol for generating 3D human intestinal tissues (called
organoids) in vitro from human pluripotent stem cells (hPSCs). To generate
intestinal organoids, pluripotent stem cells are first differentiated into
FOXA2(+)SOX17(+) endoderm by treating the cells with activin A for 3 d. After
endoderm induction, the pluripotent stem cells are patterned into CDX2(+) mid-
and hindgut tissue using FGF4 and WNT3a. During this patterning step, 3D mid- or
hindgut spheroids bud from the monolayer epithelium attached to the tissue
culture dish. The 3D spheroids are further cultured in Matrigel along with
prointestinal growth factors, and they proliferate and expand over 1-3 months to
give rise to intestinal tissue, complete with intestinal mesenchyme and
epithelium comprising all of the major intestinal cell types. To date, this is
the only method for efficiently directing the differentiation of hPSCs into 3D
human intestinal tissue in vitro.
PMID- 22082988
TI - Efficacy of pregabalin in a case of stiff-person syndrome: clinical and
neurophysiological evidence.
AB - Symptomatic treatment of stiff-person syndrome (SPS) might be challenging and a
significant improvement of stiffness and rigidity is generally reached with high
doses of benzodiazepines or baclofen causing side effects. A 71-year old woman
diagnosed with SPS complained of marked stiffness of trunk and lower limb muscles
with sudden painful spasms. She was unable to walk and she could not lean on her
right leg. Cortical silent period (CSP) duration evaluated from right abductor
pollicis brevis (APB) with transcranial magnetic stimulation was shortened.
Polygraphic electromyographic (EMG) evaluation from paraspinal and leg muscles
disclosed continuous motor unit activity at rest with interference muscular
pattern. Symptomatic treatment with diazepam was withdrawn because of excessive
sedation. In order to relieve the intense lumbar pain, she was prescribed
pregabalin; since the day after, rigidity and painful spasms dramatically
improved and she could walk without assistance. The clinical benefit persisted at
3 months follow-up and was paralleled by almost complete disappearance of EMG
activity at rest and prolongation of CSP. The clinical and electrophysiological
data in this SPS patient suggest the possible efficacy of pregabalin as
symptomatic treatment without any significant side effects, which needs to be
replicated in larger case series.
PMID- 22082987
TI - Measuring and interpreting point spread functions to determine confocal
microscope resolution and ensure quality control.
AB - This protocol outlines a procedure for collecting and analyzing point spread
functions (PSFs). It describes how to prepare fluorescent microsphere samples,
set up a confocal microscope to properly collect 3D confocal image data of the
microspheres and perform PSF measurements. The analysis of the PSF is used to
determine the resolution of the microscope and to identify any problems with the
quality of the microscope's images. The PSF geometry is used as an indicator to
identify problems with the objective lens, confocal laser scanning components and
other relay optics. Identification of possible causes of PSF abnormalities and
solutions to improve microscope performance are provided. The microsphere sample
preparation requires 2-3 h plus an overnight drying period. The microscope setup
requires 2 h (1 h for laser warm up), whereas collecting and analyzing the PSF
images require an additional 2-3 h.
PMID- 22082990
TI - Movement-related neuromagnetic fields and performances of single trial
classifications.
AB - In order to clarify whether neurophysiological profiles affect the performance of
brain machine interfaces (BMI), we examined the relationships between amplitudes
of movement-related cortical fields (MRCFs) and decoding performances during
movement. Neuromagnetic activities were recorded in nine healthy participants
during three types of unilateral upper limb movements. The movement types were
inferred by a support vector machine. The amplitude of MRCF components, motor
field (MF), movement-evoked field I (MEFI), and movement-evoked field II (MEFII)
were compared with the decoding accuracies in all participants. Decoding
accuracies at the latencies of MF, MEFI, and MEFII surpassed the chance level in
all participants. In particular, accuracies at MEFI and MEFII were significantly
higher in comparison with that of MF. The amplitudes and decoding accuracies were
strongly correlated (MF, r(s)=0.90; MEFI, r(s)=0.90; and MEFII, r(s)=0.87). Our
results show that the variation of MRCF components among participants reflects
decoding performance. Neurophysiological profiles may serve as a predictor of
individual BMI performance and assist in the improvement of general BMI
performance.
PMID- 22082989
TI - Specific knockdown of the D2 long dopamine receptor variant.
AB - Dopamine signaling in the nucleus accumbens is critical in mediating the effects
of cocaine. There are two splice variants of dopamine D2 receptors, D2L and D2S,
which are believed to have different functional roles. Here, we show, that
knocking down D2L selectively using viral-mediated short-hairpin RNA led to a
slight but significant decrease in basal locomotor activity with no significant
change in cocaine-induced stimulation of locomotion. The knockdown appears to
produce a trend of reduced conditioned place preference to cocaine but the
difference was not statistically significant. Our results demonstrated that the
splice variants of D2 receptors can be selectively manipulated in vivo in
specific brain regions allowing more specific studies of each D2 receptor
isoform.
PMID- 22082991
TI - Outcomes of surgical management of bowel obstruction in relapsed epithelial
ovarian cancer (EOC).
AB - OBJECTIVE: To describe the outcomes of surgical management of bowel obstruction
in relapsed epithelial ovarian cancer (EOC) so as to define the criteria for
patient selection for palliative surgery. METHODS: 90 women with relapsed EOC
underwent palliative surgery for bowel obstruction between 1992 and 2008.
RESULTS: Median age at time of surgery for bowel obstruction was 57 years (range,
26 to 85 years). All patients had received at least one line of platinum-based
chemotherapy. Median time from diagnosis of primary disease to documented bowel
obstruction requiring surgery was 19.5 months (range, 29 days-14 years). Median
interval from date of completed course of chemotherapy preceding surgery for
bowel obstruction was 3.8 months (range, 5 days-14 years). Ascites was present in
38/90(42%). 49/90(54%) underwent emergency surgery for bowel obstruction. The
operative mortality and morbidity rates were 18% and 27%, respectively.
Successful palliation, defined as adequate oral intake at least 60 days
postoperative, was achieved in 59/90(66%). Only the absence of ascites was
identified as a predictor for successful palliation (p=0.049). The median overall
survival (OS) was 90.5 days (range, <1 day-6 years). Optimal debulking, treatment
free interval (TFI) and elective versus emergency surgery did not predict
survival or successful palliation from surgery for bowel obstruction (p>0.05).
CONCLUSION: Surgery for bowel obstruction in relapsed EOC is associated with a
high morbidity and mortality rate especially in emergency cases when compared to
other gynaecological oncological procedures. Palliation can be achieved in almost
two thirds of cases, is equally likely in elective and emergency cases but is
less likely in those with ascites.
PMID- 22082993
TI - Indoor air pollution from gas cooking and infant neurodevelopment.
AB - BACKGROUND: Gas cooking is a main source of indoor air pollutants, including
nitrogen dioxide and particles. Because concerns are emerging for
neurodevelopmental effects of air pollutants, we examined the relationship
between indoor gas cooking during pregnancy and infant neurodevelopment. METHODS:
Pregnant mothers were recruited between 2004 and 2008 to a prospective birth
cohort study (INfancia y Medio Ambiente) in Spain during the first trimester of
pregnancy. Third-trimester questionnaires collected information about the use of
gas appliances at home. At age 11 to 22 months, children were assessed for mental
development using the Bayley Scales of Infant Development. Linear regression
models examined the association of gas cooking and standardized mental
development scores (n = 1887 mother-child pairs). RESULTS: Gas cookers were
present in 44% of homes. Gas cooking was related to a small decrease in the
mental development score compared with use of other cookers (-2.5 points [95%
confidence interval = -4.0 to -0.9]) independent of social class, maternal
education, and other measured potential confounders. This decrease was strongest
in children tested after the age of 14 months (-3.1 points [-5.1 to -1.1]) and
when gas cooking was combined with less frequent use of an extractor fan. The
negative association with gas cooking was relatively consistent across strata
defined by social class, education, and other covariates. CONCLUSIONS: This study
suggests a small adverse effect of indoor air pollution from gas cookers on the
mental development of young children.
PMID- 22082995
TI - Health effects of flooding in rural Bangladesh.
AB - BACKGROUND: There is little information available on nontraumatic health risks as
the result of floods, and on the factors that determine vulnerability to them
(especially in low-income settings). We estimated the pattern of mortality,
diarrhea, and acute respiratory infection following the 2004 floods in rural
Bangladesh. METHODS: We conducted controlled interrupted time-series analysis of
adverse health outcomes, from 2001 to 2007, in a cohort of 211,000 residents of
the Matlab region classified as flooded or nonflooded in 2004. Ratios of
mortality, diarrhea, and acute respiratory infection rates in flooded compared
with nonflooded areas were calculated by week for mortality and diarrhea, and by
month for acute respiratory infection. We controlled for baseline differences as
well as normal seasonal patterns in the flooded and nonflooded areas. Variations
in flood-related health risks were examined by age, income level, drinking-water
source, latrine type, and service area. RESULTS: After fully controlling for pre
flood rate differences and for seasonality, there was no clear evidence of
excesses in mortality or diarrhea risk during or after flooding. For acute
respiratory infection, we found no evidence of excess risk during the flood
itself but a moderate increase in risk during the 6 months after the flood
(relative risk = 1.25 [95% confidence interval = 1.06-1.47]) and the subsequent
18 months. CONCLUSIONS: We found little evidence of increased risk of diarrhea or
mortality following the floods, but evidence of a moderate elevation in risk of
acute respiratory infection during the 2 years after flooding. The discrepancies
between our results and the apparent excesses for mortality and diarrhea reported
in other situations, using less- controlled estimates, emphasize the importance
of stringent confounder control.
PMID- 22082994
TI - Maternal vitamin D status in pregnancy and risk of lower respiratory tract
infections, wheezing, and asthma in offspring.
AB - BACKGROUND: Adequate vitamin D status in mothers during pregnancy may influence
the health status of the child later in life. We assessed whether maternal
circulating 25-hydroxyvitamin D (25[OH]D) concentrations in pregnancy are
associated with risk of lower respiratory tract infections, wheezing, and asthma
in the offspring. METHODS: Data were obtained from 1724 children of the INfancia
y Medio Ambiente (INMA) Project, a population-based birth cohort study. Maternal
circulating 25(OH)D concentrations were measured in pregnancy (mean gestational
age = 12.6 [SD = 2.5] weeks). When the child was age 1 year, parents were asked
if their child had a physician-confirmed history of lower respiratory tract
infections or a history of wheezing. The questions about wheezing were repeated
annually thereafter. Asthma was defined as parental report of doctor diagnosis of
asthma or receiving treatment at the age of 4-6 years or wheezing since the age
of 4 years. RESULTS: The median maternal circulating 25(OH)D concentration in
pregnancy was 29.5 ng/mL (interquartile range, 22.5-37.1 ng/mL). After
multivariable adjustment, there was a trend for an independent association
between higher levels of maternal circulating 25(OH)D levels in pregnancy and
decreased odds of lower respiratory tract infections in offspring (for cohort-
and season-specific quartile Q4 vs. Q1, odds ratio = 0.67 [95% confidence
interval = 0.50-0.90]; test for trend, P = 0.016). We found no association
between 25(OH)D levels in pregnancy and risk of wheezing at age 1 year or 4
years, or asthma at age 4-6 years. CONCLUSIONS: Higher maternal circulating
25(OH)D concentrations in pregnancy were independently associated with lower risk
of lower respiratory tract infections in offspring in the first year of life but
not with wheezing or asthma in childhood.
PMID- 22082996
TI - Cell phone use and crash risk: evidence for positive bias.
AB - BACKGROUND: Recent epidemiologic studies have estimated little or no increased
risk of automotive crashes related to cell phone conversations by the driver,
whereas earlier case-crossover studies estimated the relative risk as close to 4.
Did earlier studies introduce a positive bias in relative risk estimates by
overestimating driving exposure in control windows? METHODS: Driving exposures in
a "control" window and a corresponding "case" window on the subsequent day were
tabulated across 100 days for 439 GPS-instrumented vehicles in the Puget Sound
area during 2005-2006. RESULTS: For control windows containing at least some
driving, driving exposure was about one-fourth that of case windows. Adjusting
for this imbalance reduces relative risk estimates in the earlier case-crossover
studies from 4 to 1. CONCLUSION: Earlier case-crossover studies likely
overestimated the relative risk for cell phone conversations while driving by
implicitly assuming that driving during a control window was full-time when it
may have been only part-time.
PMID- 22082998
TI - Functional somatic syndromes.
AB - Common medical disorders that fail to achieve proportionate clinical or research
attention are usually complex, hidden or stigmatized. Functional somatic
syndromes are all three of these, complicated further by ongoing disagreements
about terminology and diagnostic criteria.
PMID- 22082999
TI - Frontline health care can be improved by bringing research into the clinic.
AB - Progress in clinical research has played a huge role in the great improvements in
frontline health care achieved over the last 50 years, both in general practice
and in hospitals.
PMID- 22082997
TI - Effects of ambient pollen concentrations on frequency and severity of asthma
symptoms among asthmatic children.
AB - BACKGROUND: Previous studies on the associations between ambient pollen exposures
and daily respiratory symptoms have produced inconsistent results. We
investigated these relationships in a cohort of asthmatic children using pollen
exposure models to estimate individual ambient exposures. METHODS: Daily symptoms
of wheeze, night symptoms, shortness of breath, chest tightness, persistent
cough, and rescue medication use were recorded in a cohort of 430 children with
asthma (age 4-12 years) in Connecticut, Massachusetts, and New York. Daily
ambient exposures to tree, grass, weed, and total pollen were estimated using
mixed-effects models. We stratified analyses by use of asthma maintenance
medication and sensitization to grass or weed pollens. Separate logistic
regression analyses using generalized estimating equations were performed for
each symptom outcome and pollen type. We adjusted analyses for maximum daily
temperature, maximum 8-hour average ozone, fine particles (PM2.5), season, and
antibiotic use. RESULTS: Associations were observed among children sensitized to
specific pollens; these associations varied by use of asthma maintenance
medication. Exposures to even relatively low levels of weed pollen (6-9
grains/m(3)) were associated with increased shortness of breath, chest tightness,
rescue medication use, wheeze, and persistent cough, compared with lower exposure
among sensitized children on maintenance medication. Grass pollen exposures >= 2
grains/m(3) were associated with wheeze, night symptoms, shortness of breath, and
persistent cough compared with lower exposure among sensitized children who did
not take maintenance medication. CONCLUSION: Even low-level pollen exposure was
associated with daily asthmatic symptoms.
PMID- 22083001
TI - Pseudomonas aeruginosa in cystic fibrosis: pathogenesis and new treatments.
AB - Pseudomonas aeruginosa is the most problematic organism in patients with cystic
fibrosis. This article reviews its pathogenic mechanisms, with particular
relevance to the pathophysiology of the cystic fibrosis airway, and recent
advances in therapeutic approaches.
PMID- 22083002
TI - Lyme disease and the heart in the UK.
AB - Heart involvement from Lyme disease is uncommon in the UK but, when it does
occur, can be rapidly progressive and require intensive therapy. A history of
exposure and frequently a characteristic rash (erythema migrans) are the cardinal
features in diagnosis.
PMID- 22083003
TI - Morbidity and mortality in schizophrenia.
AB - Schizophrenia is known to increase morbidity and mortality. Physical illness in
schizophrenia is influenced by a combination of genetic and environmental
factors. This review highlights the multifactorial aetiology of morbidity and
mortality in schizophrenia and considers the use of antipsychotics for patients
with this disorder.
PMID- 22083004
TI - Coexistent asymptomatic myeloma and hereditary cardiac amyloidosis: an unusual
case of heart failure.
AB - A 76-year-old Afro-Caribbean man presenting with heart failure was diagnosed with
isolated cardiac amyloid. He had evidence of myeloma on bone marrow biopsy
suggesting AL amyloid, the commonest type of systemic amyloidosis, as the
underlying cause. He had no other myeloma-related organ damage. However,
endocardial biopsy revealed amyloid fibrils composed of transthyretin and genetic
typing established heterozygozity for the valine to isoleucine mutation at
position 122 (Val122Ile). The diagnosis was therefore hereditary systemic
amyloidosis as a result of a genetic transthyretin variant (ATTR) causing cardiac
amyloidosis and coexistent asymptomatic myeloma. This requires symptomatic
treatment of heart failure only. This article discusses a rare cause of heart
failure and uses this case to illustrate that histological confirmation of the
amyloid-causing protein is essential. Mistaken assumption of AL amyloid could
have resulted in inappropriate cytotoxic therapy targeting the plasma cell clone.
PMID- 22083005
TI - Positron emission tomography computed tomography in oncology.
AB - The role of positron emission tomography computed tomography in oncological
imaging has rapidly evolved. It has proven itself to be cost effective and alters
patient management in a significant proportion of cases. This article discusses
its current and future applications.
PMID- 22083006
TI - Medical leadership: an international perspective.
AB - Doctors are increasingly seen as key to embedding health service improvements and
there has been much international debate over how best this can be achieved. This
article takes an international perspective on medical leadership and leadership
development through discussion of case study examples and initiatives from around
the world.
PMID- 22083007
TI - Learning to make better clinical decisions.
AB - To achieve the optimum patient outcome one must be able to choose the most
appropriate course of action. How this choice is made may not be actively
contemplated, and there may be many unrecognized pitfalls for the unwary. An
increased awareness of how we think should increase both the efficiency and
success of clinical decision making.
PMID- 22083008
TI - Inverted takotsubo cardiomyopathy secondary to adrenaline injection.
AB - A young woman presented with severe chest pain and an inverted takotsubo
cardiomyopathy following adrenaline injection for treatment of an allergic
reaction. To the authors' knowledge, this is the first reported case of inverted
takotsubo cardiomyopathy following systemic adrenaline administration. The case
strongly suggests a central role of catecholamines in the pathogenesis of
takotsubo cardiomyopathy.
PMID- 22083009
TI - Vasodepressor syncope and recurrent pharyngeal carcinoma: a form of carotid sinus
syndrome?
AB - Syncope occurring in the setting of head and neck malignancy may have several
possible causes. Local effects of a tumour in the parapharyngeal area may produce
a syncopal syndrome similar to carotid sinus hypersensitivity, but with
distinctive differentiating features. This article presents a case of profound
vasodepressor syncope in a patient with recurrent pharyngeal malignancy, and
discusses the mechanisms by which this occurs and the possible therapeutic
options.
PMID- 22083010
TI - Pulmonary and paradoxical embolism with platypnoea-orthodeoxia.
AB - This article outlines the case of a 62-year-old man who presented with a deep
vein thrombosis, pulmonary embolus and right middle cerebral artery territory
ischaemic stroke in association with a patent foramen ovale with right-to-left
shunting. Despite anticoagulation, he was noted to have desaturation and
tachypnoea when upright, without other symptoms or cardiovascular compromise,
that resolved on lying down. The article describes the syndrome of platypnoea
orthodeoxia, characterized by breathlessness, hypoxia and/or cyanosis while in
the upright position that improves on lying down, its relationship to this case,
and its resolution by closure of the patent foramen ovale.
PMID- 22083011
TI - Ileo-ileal intussusception from Crohn's ileitis.
AB - Crohn's disease can cause abdominal pain and diarrhoea. A 33-year-old man
presented with a 3-month history of recurrent abdominal pain and occasional
diarrhoea.
PMID- 22083012
TI - Sir Samuel Wilks (1824-1911): brilliant observer who 'rediscovered' Hodgkin's
disease.
AB - Guy's Hospital and its medical school rejoiced in a remarkable group of
physicians in the 19th century. These included Richard Bright, a pioneer in the
study of chronic nephritis, Thomas Addison, who described two diseases that bear
his name (Addison's disease of the suprarenals and Addison's, or pernicious,
anaemia), Thomas Hodgkin, who gave the first clear account of lymphadenoma and
Sir William Gull, who documented myxoedema, its relationship to the thyroid and
its resemblance to cretinism in children.
PMID- 22083013
TI - Dupuytrent's disease and occupation: still a debate?
PMID- 22083014
TI - Helping your children save for the future.
AB - This autumn sees the launch of junior individual saving accounts, which allow
family members and friends to save on behalf a child. This article explains what
they are and the other options available to help parents save for their
children's future.
PMID- 22083016
TI - Supportive therapy with extracorporeal membrane oxygenation for acute respiratory
distress syndrome.
AB - Acute respiratory distress syndrome is a severe form of respiratory failure
characterized by acute onset of significant hypoxaemia (PaO2:FiO2<200mmHg) with
diffuse bilateral pulmonary air-space shadowing on chest X-ray, without clinical
signs of heart failure (Bernard et al, 1994). It has a heterogeneous aetiology
with wide-ranging pulmonary and extra-pulmonary causes.
PMID- 22083017
TI - H2 dissociation on individual Pd atoms deposited on Cu(111).
AB - We present a Molecular Dynamics (MD) study based on Density Functional Theory
(DFT) calculations for H(2) interacting with a Pd-Cu(111) surface alloy for low
Pd coverages, Theta(Pd). Our results show, in line with recent experimental data,
that single isolated Pd atoms evaporated on Cu(111) significantly increase the
reactivity of the otherwise inert pure Cu surface. On top of substitutional Pd
atoms in the Pd-Cu(111) surface alloy, the activation energy barrier for H(2)
dissociation is smaller than the lowest one found on Cu(111) by a factor of two:
0.25 eV vs. 0.46 eV. Also in agreement with experiments, our DFT-MD calculations
show that a large fraction of the dissociating H atoms efficiently spillover from
Pd (i.e. the active sites), thanks to their extra kinetic energy due to the ~0.50
eV chemisorption exothermicity. Still, our DFT-MD calculations predict a
dissociative sticking probability for low energy H(2) molecules that is much
smaller than the estimated value from scanning tunneling microscopy experiments.
Thus, further theoretical and experimental investigations are required for a
complete understanding of H(2) dissociation on low-Theta(Pd) Pd-Cu(111) surface
alloys.
PMID- 22083018
TI - Should minimization replace randomization in all clinical trials?
PMID- 22083019
TI - Efficient derivation of human cardiac precursors and cardiomyocytes from
pluripotent human embryonic stem cells with small molecule induction.
AB - To date, the lack of a suitable human cardiac cell source has been the major
setback in regenerating the human myocardium, either by cell-based
transplantation or by cardiac tissue engineering. Cardiomyocytes become
terminally-differentiated soon after birth and lose their ability to proliferate.
There is no evidence that stem/progenitor cells derived from other sources, such
as the bone marrow or the cord blood, are able to give rise to the contractile
heart muscle cells following transplantation into the heart. The need to
regenerate or repair the damaged heart muscle has not been met by adult stem cell
therapy, either endogenous or via cell delivery. The genetically stable human
embryonic stem cells (hESCs) have unlimited expansion ability and unrestricted
plasticity, proffering a pluripotent reservoir for in vitro derivation of large
supplies of human somatic cells that are restricted to the lineage in need of
repair and regeneration. Due to the prevalence of cardiovascular disease
worldwide and acute shortage of donor organs, there is intense interest in
developing hESC-based therapies as an alternative approach. However, how to
channel the wide differentiation potential of pluripotent hESCs efficiently and
predictably to a desired phenotype has been a major challenge for both
developmental study and clinical translation. Conventional approaches rely on
multi-lineage inclination of pluripotent cells through spontaneous germ layer
differentiation, resulting in inefficient and uncontrollable lineage-commitment
that is often followed by phenotypic heterogeneity and instability, hence, a high
risk of tumorigenicity (see a schematic in Fig. 1A). In addition, undefined
foreign/animal biological supplements and/or feeders that have typically been
used for the isolation, expansion, and differentiation of hESCs may make direct
use of such cell-specialized grafts in patients problematic. To overcome these
obstacles, we have resolved the elements of a defined culture system necessary
and sufficient for sustaining the epiblast pluripotence of hESCs, serving as a
platform for de novo derivation of clinically-suitable hESCs and effectively
directing such hESCs uniformly towards clinically-relevant lineages by small
molecules (see a schematic in Fig. 1B). After screening a variety of small
molecules and growth factors, we found that such defined conditions rendered
nicotinamide (NAM) sufficient to induce the specification of cardiomesoderm
direct from pluripotent hESCs that further progressed to cardioblasts that
generated human beating cardiomyocytes with high efficiency (Fig. 2). We defined
conditions for induction of cardioblasts direct from pluripotent hESCs without an
intervening multi-lineage embryoid body stage, enabling well-controlled efficient
derivation of a large supply of human cardiac cells across the spectrum of
developmental stages for cell-based therapeutics.
PMID- 22083021
TI - Venous thromboembolism: Increased embolic risk from combined oral contraceptives.
PMID- 22083022
TI - Radiation exposure from diagnostic imaging among patients with gastrointestinal
disorders.
AB - BACKGROUND & AIMS: There are concerns about levels of radiation exposure among
patients who undergo diagnostic imaging for inflammatory bowel disease (IBD),
compared with other gastrointestinal (GI) disorders. We quantified imaging
studies and estimated the cumulative effective dose (CED) of radiation received
by patients with organic and functional GI disorders. We also identified factors
and diagnoses associated with high CEDs. METHODS: We analyzed data from 2590
patients who were diagnosed with GI disorders at a tertiary gastroenterology
center from January 1999-January 2009 on the basis of International Statistical
Classification of Diseases and Health-related Problems, 10th revision and Rome
III criteria. High annual CED and high total CED were defined as figures
exceeding the 90th percentile for the population. RESULTS: Diagnostic imaging was
performed on 57% of the patients (1429 of 2509). High annual CEDs (>9.6
millisieverts/annum) were independently associated with Crohn's disease (odds
ratio [OR], 5.3; P < .0001), organic small bowel disease (OR, 2.6; P < .005), and
functional disorders of childhood and adolescence (OR, 9.8; P < .005). High total
CEDs (>30.8 millisieverts) were independently associated with Crohn's disease
(OR, 81.9; P < .0001), ulcerative colitis (OR, 19.0; P < .0001), indeterminate
colitis (OR, 7.5; P < .0005), and the following non-IBD diagnoses: organic small
bowel disorders (OR, 12.5; P < .0001), organic hepatic disorders (OR, 3.6; P <
.01), and functional disorders of childhood and adolescence (OR, 13.8; P = .02).
CONCLUSIONS: Higher levels of annual and total diagnostic radiation exposure are
associated with IBD and with other organic and functional GI disorders. Evidence
based guidelines for image analysis of patients with organic and functional
gastrointestinal disorders, especially those that reduce radiation exposure, are
needed.
PMID- 22083020
TI - Transcatheter aortic valve implantation: current and future approaches.
AB - The first human transcatheter aortic valve implantation (TAVI) in 2002, and
several subsequent single-center series, showed the feasibility of this new
approach for the treatment of patients with severe aortic stenosis who were
considered to be at very high or prohibitive surgical risk. More-recent
multicenter registries have confirmed the safety and efficacy of this procedure,
despite a very-high-risk patient profile. Moreover, the randomized, controlled
PARTNER trial has confirmed both the superiority of TAVI over medical treatment
in patients not considered to be candidates for standard surgical aortic valve
replacement and the noninferiority of TAVI compared with surgical aortic valve
replacement in high-risk patients. The hemodynamics of transcatheter valves are
usually excellent, although residual paravalvular aortic regurgitation (usually
trivial or mild) is frequent. Stroke, major vascular complications, and
conduction disturbances leading to permanent pacemaker implantation remain among
the most-concerning periprocedural complications of TAVI. Nevertheless, promising
preliminary data exist for long-term outcomes following TAVI, 'valve-in-valve'
TAVI for surgical prosthesis dysfunction, and for the treatment of lower-risk
patients. Improvements in transcatheter valve technology, optimization of
procedural and midterm results, and confirmation of long-term durability of
transcatheter valve prostheses will determine the expansion of TAVI towards the
treatment of a broader spectrum of patients.
PMID- 22083023
TI - Multidisciplinary management of hepatocellular carcinoma.
AB - Hepatocellular carcinoma is a leading cause of death in patients with cirrhosis.
Management algorithms continually are increasing in sophistication and involve
application of single and multimodality treatments, including liver
transplantation, hepatic resection, ablation, transarterial chemoembolization,
radioembolization, and systemic chemotherapy. These treatments have been shown to
increase survival times. As many as 75% of patients with limited-stage disease
who are given curative therapies survive 5 years, whereas less than 20% of
untreated patients survive 1 year. Treatment can be optimized based on the
patient's tumor stage, hepatic reserve, and functional status. However, because
of the heterogeneity in presentation among patients, a multidisciplinary approach
is required to treat hepatocellular carcinoma, involving hepatologists, surgeons,
interventional radiologists, and oncologists. We present each specialist's
viewpoint on controversies and advances in the management of hepatocellular
carcinoma.
PMID- 22083024
TI - Efficacy of topical 5-aminosalicylates in preventing relapse of quiescent
ulcerative colitis: a meta-analysis.
AB - BACKGROUND & AIMS: Topical 5-aminosalicylates (5-ASAs) such as mesalamine are
effective in inducing remission in patients with mild to moderately active
ulcerative colitis (UC). However, there has been no meta-analysis of their
efficacy in preventing relapse of quiescent UC. METHODS: We searched MEDLINE,
EMBASE, and the Cochrane central register of controlled trials through July 2011
for randomized controlled trials comparing the effects of topical 5-ASAs with
placebo in adults with quiescent UC. Dichotomous data were pooled to obtain
relative risk (RR) of relapse of disease activity. The number needed to treat
(NNT) was calculated from the reciprocal of the risk difference. Adverse events
data were summarized. RESULTS: The search identified 3061 citations; we analyzed
data from seven (555 patients). All trials used mesalamine, but only one included
patients with extensive disease. The duration of therapy ranged from 6-24 months.
The RR of relapse of disease activity in patients with quiescent UC who were
given topical mesalamine, compared with placebo, was 0.60 (95% confidence
interval, 0.49-0.73; NNT = 3); there was no significant heterogeneity between
studies (I(2) = 21%, P = .27). No significant differences in rates of adverse
events rates were detected (RR = 1.01; 95% confidence interval, 0.59-1.72).
CONCLUSIONS: On the basis of a meta-analysis of 7 randomized controlled trials,
topical mesalamine is effective in preventing relapse of quiescent UC, with no
greater number of adverse events than placebo. However, because most studies
included only patients with left-sided disease or proctitis, the efficacy of
topical mesalamine in preventing relapse in patients with more extensive
quiescent UC is not known.
PMID- 22083025
TI - Mechanistic insights on one-phase vs. two-phase Brust-Schiffrin method synthesis
of Au nanoparticles with dioctyl-diselenides.
AB - Metal precursors in the one-phase (1p) and two-phase (2p) Brust-Schiffrin method
(BSM) synthesis of Au nanoparticles (NPs) using dioctyl-diselenides were
identified. A single dominant type of metal precursor was found in the 1p
synthesis as compared to multiple ones in the 2p synthesis, which was proposed as
the key reason why the former is better than the latter.
PMID- 22083027
TI - Substrate selected polymorphism of epitaxially aligned tetraphenyl-porphyrin thin
films.
AB - Porphyrin molecules, of interest as versatile materials for organic electronics,
are highly prone to formation of significantly different polymorphic phases. To
elucidate the determinants for the specific polymorphic phase formed in thin
films as well as for the arrangement of the molecules on a given substrate two
different anisotropic substrate surfaces have been selected: KCl(100) and the
oxygen reconstructed Cu(110) surface. We observe that the crystal structure of
the thin films depends on the substrate, whereas the relative molecular
orientations in both cases are similar. X-Ray and transmission electron
diffraction of 30 nm thick tetraphenyl-porphyrin (H(2)TPP) and platinum
tetraphenyl-porphyrin (PtTPP) thin films deposited on KCl(100) surfaces reveals
that both kinds of molecules crystallize in a tetragonal polymorph with the (001)
lattice planes, i.e. with their macrocycles, parallel to the substrate. Films
deposited on the oxygen reconstructed Cu(110)-(2 * 1)O surface exhibit in
contrast the triclinic polymorph even though molecules again align nearly
parallel to the substrate surface as observed by LEED and X-ray diffraction. On
both substrates we identify two driving forces for the epitaxial alignment of
porphyrins: (i) molecules aligning with their macrocycles (nearly) parallel to
the substrate surface and (ii) the porphyrin molecules forming a commensurate
unit cell with the respective substrate. The polymorphic phase meeting both
requirements is the most favorable to be formed on a given substrate and due to
this structural flexibility in both cases well-ordered, epitaxially aligned
porphyrin thin films are achieved.
PMID- 22083026
TI - Attention training and the threat bias: an ERP study.
AB - Anxiety is characterized by exaggerated attention to threat. Several studies
suggest that this threat bias plays a causal role in the development and
maintenance of anxiety disorders. Furthermore, although the threat bias can be
reduced in anxious individuals and induced in non-anxious individual, the
attentional mechanisms underlying these changes remain unclear. To address this
issue, 49 non-anxious adults were randomly assigned to either attentional
training toward or training away from threat using a modified version of the dot
probe task. Behavioral measures of attentional biases were also generated pre-
and post-training using the dot probe task. Event-related potentials (ERPs) were
generated to threat and non-threat face pairs and probes during pre- and post
training assessments. Effects of training on behavioral measures of the threat
bias were significant, but only for those participants showing pre-training
biases. Attention training also influenced early spatial attention, as measured
by post-training P1 amplitudes to cues. Results illustrate the importance of
taking pre-training attention biases in non-anxious individuals into account when
evaluating the effects of attention training and tracking physiological changes
in attention following training.
PMID- 22083028
TI - Effect of anemia on hepatotoxicity of HAART in HIV patients in Benin city.
AB - BACKGROUND: Hepatotoxicity is a relevant adverse effect of highly active
antiretroviral Treatment owing to its frequency, and it can cause interruption of
therapy, hepatitis, and death. There is dearth of information on hepatotoxicity
arising from highly active antiretroviral therapy (HAART) in anemic patients.
Anemia is the most common symptom in human immunodeficiency virus (HIV)/acquired
immunodeficiency syndrome. We studied the effect of anemia on hepatotoxicity in
HIV patients who were about to start HAART, attending clinic, or in the medical
wards. MATERIALS AND METHODS: This was a prospective study in which patients were
recruited consecutively and followed up for 24 weeks. RESULTS: In all, 84
patients were recruited and 42 were enrolled as controls. The mean ages of the
cases and controls were 35.2+/-9.9 and 35.5+/-9.0 years, respectively. The age
range of the cases was 18-68 years with a median age of 31.5 years, whereas the
mean age of the controls was 20-57 years with a median age of 33.5 years. There
was no difference (t=0.197, df=124, and P=0.844). There were 61 females (72.6%)
and 23 males (27.4%) in the cases, whereas in the controls, there were 34 females
(81.0%) and 8 males (19.0%). Among the cases, 30 (35.7%) were anemic, while 54
(64.3%) were not anemic. Six (20%) of the anemic patients had hepatotoxicity, and
9 (16.7%) of the patients with normal packed cell volume had hepatotoxicity.
Among the controls, all 42 (100%) patients had normal packed cell volume. Four
(9.5%) of the patients had hepatotoxicity. There was no association between
hepatotoxicity and anemia (chi(2) =3.243, df=2, P=0.198). CONCLUSION: Anemia did
not affect hepatotoxicity of HAART in this study.
PMID- 22083029
TI - A general method for evaluating incubation of sucrose craving in rats.
AB - For someone on a food-restricted diet, food craving in response to food-paired
cues may serve as a key behavioral transition point between abstinence and
relapse to food taking. Food craving conceptualized in this way is akin to drug
craving in response to drug-paired cues. A rich literature has been developed
around understanding the behavioral and neurobiological determinants of drug
craving; we and others have been focusing recently on translating techniques from
basic addiction research to better understand addiction-like behaviors related to
food. As done in previous studies of drug craving, we examine sucrose craving
behavior by utilizing a rat model of relapse. In this model, rats self-administer
either drug or food in sessions over several days. In a session, lever responding
delivers the reward along with a tone+light stimulus. Craving behavior is then
operationally defined as responding in a subsequent session where the reward is
not available. Rats will reliably respond for the tone+light stimulus, likely due
to its acquired conditioned reinforcing properties. This behavior is sometimes
referred to as sucrose seeking or cue reactivity. In the present discussion we
will use the term "sucrose craving" to subsume both of these constructs. In the
past decade, we have focused on how the length of time following reward self
administration influences reward craving. Interestingly, rats increase responding
for the reward-paired cue over the course of several weeks of a period of forced
abstinence. This "incubation of craving" is observed in rats that have self
administered either food or drugs of abuse. This time-dependent increase in
craving we have identified in the animal model may have great potential relevance
to human drug and food addiction behaviors. Here we present a protocol for
assessing incubation of sucrose craving in rats. Variants of the procedure will
be indicated where craving is assessed as responding for a discrete sucrose
paired cue following extinction of lever pressing within the sucrose self
administration context (Extinction without cues) or as responding for sucrose
paired cues in a general extinction context (Extinction with cues).
PMID- 22083030
TI - The role of atrial natriuretic peptide in modulating cardiac electrophysiology.
AB - Since the discovery of atrial natriuretic peptide (ANP) in 1981, significant
progress has been made in understanding the mechanism of its release and its role
in salt and water balance in the body. It has also become clear that ANP plays a
key role in cardiac electrophysiology, modulating the autonomic nervous system
and regulating the function of cardiac ion channels. The clinical importance of
this role was established when mutations in NPPA, the gene encoding ANP, were
identified as a cause of familial atrial fibrillation. This review examines our
current understanding of the electrophysiological effects of ANP, and their
physiological relationship to clinical studies linking ANP and atrial
fibrillation.
PMID- 22083031
TI - Device surgery in the anticoagulated patient: the Goldilocks principle.
PMID- 22083033
TI - To the editor--ablation of persistent atrial fibrillation.
PMID- 22083037
TI - Control of medical comorbidities in individuals with HIV.
AB - BACKGROUND: With improved combination antiretroviral therapy-related survival,
diabetes and hypertension increasingly contribute to morbidity and mortality
among individuals with HIV. However, there is limited data on diabetes and blood
pressure control in this population. We examined whether virologic control is
associated with control of diabetes and hypertension. METHODS: We examined HIV
viral load, hemoglobin A1c (HbA1c), and blood pressure measurements from 70
diabetics and 291 hypertensives in the Johns Hopkins HIV Clinical Cohort, an
urban, university-based cohort. All patients were treated for HIV and diabetes or
hypertension. HbA1c and HIV-1 RNA were captured electronically from laboratory
data, and blood pressure was collected electronically from vital signs taken at
clinic visits. We used HIV-1 RNA values within 30 days of the HbA1c measurement
or blood pressure measurement. The relationships between HIV-1 RNA and HbA1c and
HIV-1 RNA and blood pressure were examined using separate random effects
generalized least squares linear regression models. RESULTS: The study sample was
predominantly male and black, with a high prevalence of comorbid hepatitis C
virus infection and psychiatric illness. In multivariable analysis, each log(10)
increase in HIV-1 RNA was associated with higher HbA1c (beta = 0.47 units, P <
0.001) among diabetics and higher mean arterial pressure among hypertensive
patients (beta = 1.95 mmHg, P < 0.001). CONCLUSIONS: Suboptimal control of HIV,
indicated by detectable viral load, correlates with suboptimal control of
diabetes and hypertension, indicated by higher HbA1c and mean arterial pressure.
Achieving control of multiple medical comorbidities and HIV simultaneously may
require expansion of current adherence interventions focused primarily on
antiretroviral therapy.
PMID- 22083039
TI - Raman spectrum of supercritical C(18)O2 and re-evaluation of the Fermi resonance.
AB - We report the first Raman spectra of fully (18)O-labeled supercritical CO(2)
(scCO(2)) and various isotopic mixtures. The experimental results, coupled with
ab initio molecular dynamics calculations, demonstrate that the frequencies
assigned to the Fermi dyad of the CO(2) molecule transpose upon isotopic labeling
of both oxygen atoms. Although the transposition of the Fermi dyad of CO(2) gas
due to isotopic substitution has been discussed before, this is the first
confirmation of the effect in the Raman spectrum of the supercritical fluid and
provides necessary groundwork for future Raman spectroscopy studies of reactions
in this important medium. More importantly, the work yields a quantitative
assessment of the mixing of states upon labeling that provides the needed
clarification concerning the pedigree of the assignments for the dyad of CO(2)
under supercritical conditions.
PMID- 22083040
TI - Disease prevention: Pain killer--cancer preventer.
PMID- 22083038
TI - Efficacy of behavioral interventions to increase condom use and reduce sexually
transmitted infections: a meta-analysis, 1991 to 2010.
AB - OBJECTIVE: In the absence of an effective HIV vaccine, safer sexual practices are
necessary to avert new infections. Therefore, we examined the efficacy of
behavioral interventions to increase condom use and reduce sexually transmitted
infections (STIs), including HIV. DESIGN: Studies that examined a behavioral
intervention focusing on reducing sexual risk, used a randomized controlled trial
or a quasi-experimental design with a comparison condition, and provided needed
information to calculate effect sizes for condom use and any type of STI,
including HIV. METHODS: Studies were retrieved from electronic databases (eg,
PubMed, PsycINFO) and reference sections of relevant papers. Forty-two studies
with 67 separate interventions (N = 40,665; M age = 26 years; 68% women; 59%
Black) were included. Independent raters coded participant characteristics,
design and methodological features, and intervention content. Weighted mean
effect sizes, using both fixed-effects and random-effects models, were
calculated. Potential moderators of intervention efficacy were assessed. RESULTS:
Compared with controls, intervention participants increased their condom use [d+
= 0.17, 95% confidence interval (CI) = 0.04, 0.29; k = 67], had fewer incident
STIs (d+ = 0.16, 95% CI = 0.04, 0.29; k = 62), including HIV (d+ = 0.46, 95% CI =
0.13, 0.79; k = 13). Sample (eg, ethnicity) and intervention features (eg, skills
training) moderated the efficacy of the intervention. CONCLUSIONS: Behavioral
interventions reduce sexual risk behavior and avert STIs and HIV. Translation and
widespread dissemination of effective behavioral interventions are needed.
PMID- 22083041
TI - Screening: get it off your chest.
PMID- 22083043
TI - Platinum nanoparticles suppress osteoclastogenesis through scavenging of reactive
oxygen species produced in RAW264.7 cells.
AB - Recent research has shown that platinum nanoparticles (nano-Pt) efficiently
quench reactive oxygen species (ROS) as a reducing catalyst. ROS have been
suggested to regulate receptor activator of NF-kappaB ligand (RANKL)-stimulated
osteoclast differentiation. In the present study, we examined the direct effects
of platinum nano-Pt on RANKL-induced osteoclast differentiation of murine pre
osteoclastic RAW 264.7 cells. The effect of the nano-Pt on the number of
osteoclasts was measured and their effect on the mRNA expression for osteoclast
differentiation was assayed using real-time PCR. Nano-Pt appeared to have a ROS
scavenging activity. Nano-Pt decreased the number of osteoclasts (2+ nuclei) and
large osteoclasts (8+ nuclei) in a dose-dependent manner without affecting cell
viability. In addition, this agent significantly blocked RANKL-induced mRNA
expression of osteoclastic differentiation genes such as c-fms, NFATc1, NFATc2,
and DC-STAMP as well as that of osteoclast-specific marker genes including MMP-9,
Cath-K, CLC7, ATP6i, CTR, and TRAP. Although nano-Pt attenuated expression of the
ROS-producing NOX-family oxidases, Nox1 and Nox4, they up-regulated expression of
Nox2, the major Nox enzyme in macrophages. These findings suggest that the nano
Pt inhibit RANKL-stimulated osteoclast differentiation via their ROS scavenging
property. The use of nano-Pt as scavengers of ROS that is generated by RANKL may
be a novel and innovative therapy for bone diseases.
PMID- 22083042
TI - Targeted therapies: how personal should we go?
AB - Despite the development of drugs inhibiting the oncogenic proteins that cancer
cells are dependent on, attempts to match targeted therapies to the genetic
makeup of individual tumors is proving more difficult than expected. Until now,
the paradigm has been a binary correlation between a mutated cancer gene and
response to a given therapy. However, recent evidence indicates that different
genetic alterations, such as mutations in different codons of a cancer gene,
might be related to distinct sensitivity to targeted therapies. An example is the
divergent effect that individual EGFR, PIK3CA and KRAS mutations might have on
response or resistance to tailored drugs. Furthermore, the idea that the presence
of a specific mutation translates into sensitivity or resistance to a particular
drug is likely too simplistic, since it does not capture the complexity of the
signaling pathways in an individual cancer. Only the overall genetic milieu
(alterations in upstream and/or parallel pathways) ultimately determines the
response of individual tumors to therapy. We have critically analyzed data
supporting the genetic, biological and biochemical differences of individual
mutations within a single cancer gene. The role of cancer mutations as predictors
of sensitivity and resistance to targeted therapies is discussed, together with
the implications for the 'personalized' treatment of cancer patients.
PMID- 22083044
TI - Diabetes-induced central cholinergic neuronal loss and cognitive deficit are
attenuated by tacrine and a Chinese herbal prescription, kangen-karyu:
elucidation in type 2 diabetes db/db mice.
AB - We investigated the effect of kangen-karyu (KK), a Chinese herbal prescription,
on cognitive deficits and central cholinergic systems of type 2 diabetic db/db
mice. Seven-week-old db/db (Y-db/db) mice received daily administration of test
drugs during an experimental period of 12 weeks. At 18 weeks of age (O-db/db),
the animals underwent the water maze test. Compared with age-matched control
strain mice (O-m/m), vehicle-treated O-db/db mice showed impaired learning and
memory performance. KK (100 - 200 mg/kg per day) and the reference drug tacrine
(THA: 2.5 mg/kg per day) ameliorated the performance of O-db/db mice without
affecting their serum glucose level. O-db/db mice had lower levels of brain
derived neurotrophic factor (BDNF) mRNA and its protein in the brain than O-m/m
mice. Expression levels of central cholinergic marker proteins in the hippocampus
and the number of cholinergic cells in the medial septum and basal forebrain were
also significantly lower in O-db/db than in O-m/m mice, whereas no significant
differences in the expression levels of these factors and the cell number were
found between Y-m/m and Y-db/db mice. KK and THA treatment significantly reversed
the down-regulated levels of cholinergic markers, choline acetyltransferase
positive cell number, and BDNF expression in db/db mice. These findings suggest
that KK as well as THA prevents diabetes-induced cognitive deficits by
attenuating dysfunction of central cholinergic systems.
PMID- 22083045
TI - Altruism in clinical research: coordinators' orientation to their professional
roles.
AB - OBJECTIVE: Research coordinators have significant responsibilities in clinical
trials that often require them to find unique ways to manage their jobs, thus
reshaping their professional identities. The purpose of this study was to
identify how research coordinators manage role and ethical conflicts within
clinical research trials. METHODS: A qualitative study combining observation and
63 semistructured interviews at 25 research organizations was used. RESULTS:
Altruism is a recurring theme in how research coordinators define and view their
work. CONCLUSION: Altruism is adopted by research coordinators to: (1) Teach
patient-subjects the appropriate reasons to participate in clinical research, (2)
minimize the conflict between research and care, and (3) contest the
undervaluation of coordinating. Altruism is a strategy used to handle the various
conflicts they experience in a difficult job, and it has become part of the
professional identity of clinical research coordinators.
PMID- 22083046
TI - [Implantation of the endo-exo femur prosthesis to improve the mobility of
amputees].
AB - OBJECTIVE: Improvement of function following above-knee amputation with an
osseointegrated, transcutaneous femoral implant as a hard point for the exo
prosthesis, the so-called endo-exo femur prosthesis (EEFP). INDICATIONS: Above
knee amputation following trauma, tumor, or infection. CONTRAINDICATIONS:
Diabetes, PAOD, psychiatric diseases, use of chemotherapeutic or corticosteroid
medication, nonconcluded bone growth, lack of compliance, and florid infection at
the time of implantation. SURGICAL TECHNIQUE: Performed as a two-step procedure:
Stage 1 (implantation): sharp dissection of the end of the residual bone,
adequate access to the intramedullar canal, cortical reaming using curettes and a
flexible drill followed by cement-free, press-fit implantation of the
endoprosthesis itself, closing of the soft tissue coat of the femur stump to
reduce the risk of infection, assurance of primary and secondary stability via
the metal spongiosa-like surface of the implant (Spongiosa Metal 2(r)). Stage 2
(exteriorization): 6 weeks postoperatively, opening of the skin at the distal
point of the femur stump, the soft tissue between the skin and endoprosthesis is
then removed and the double conus and the connecting adapter for the exoprothesis
is attached. POSTOPERATIVE MANAGEMENT: Ascending weight bearing depending on bone
quality. On average, full weight bearing can be achieved 8-10 weeks after stage 1
surgery. RESULTS: The first endo-exo femur prosthesis (EEFP) was implanted in
1999. Through December 2009, 39 cases were operated in Lubeck, early
serosanguinous drainage, soft tissue problems at the stoma, and ascending
infections after mobilization of the patients could be minimized by further
development of the design of the EEFP. Intramedullary infections were the
exception (1 of 39 patients). A total of 4 explantations had to be performed (3
due to infection and 1 due to prosthetic failure). Two of those patients were
again provided with an EEFP. Overall, the EEFP improved the gait pattern because
of the bone-guided transmission of muscle power, increased osseoperception, and
improved economical energy balance. Of the 39 patients, 37 said that they would
again undergo operation.
PMID- 22083047
TI - Anatomical glenoid reconstruction via a J-bone graft for recurrent posttraumatic
anterior shoulder dislocation.
AB - OBJECTIVE: To reconstruct the anatomical glenoid shape in cases of osseous
glenoid rim defects after recurrent posttraumatic anterior shoulder dislocation
to restore stability without severely compromising the range of motion.
INDICATIONS: Osseous glenoid defects after recurrent posttraumatic anterior
shoulder dislocation. Suitable for primary stabilization as well as for revision
surgery in cases previously operated on. CONTRAINDICATIONS: Recurrent anterior
shoulder dislocations without glenoid rim defects. Hyperlax shoulders with
multidirectional instability. Patients over 60 years of age due to compromised
bone quality. Teenage patients due to incomplete apophyseal fusion at the iliac
crest. SURGICAL TECHNIQUE: The subscapularis tendon and capsule are split. The
humeral head is retracted laterally, and the glenoid defect is prepared and
abraded with a rasp. A bicortical iliac crest bone block including crest and
outer cortex is harvested and molded in a J-shaped manner. To incorporate the
graft, a crevice on the glenoid rim is produced using a chisel. The keel is
fitted into the preformed crevice with a spiked impactor. The graft's surface is
contoured using a high-speed burr. RESULTS: A total of 47 shoulders were followed
up after an average of 90 months (range 25-152 months). The mean Rowe scores were
94.3 for the affected shoulder and 96.8 for the uninjured shoulder. The Constant
scores reached 93.5 and 95 points, respectively. Loss of external rotation was
4.4 degrees . In addition, 24 shoulders were followed-up by computed tomography
(CT). There were no recurrences, with the exception of one traumatic graft
fracture. Of 19 patients with arthropathy at follow-up, 11 already had
arthropathy prior to the procedure.
PMID- 22083049
TI - Longstanding hydrocele in adult Black Africans: Is preoperative scrotal
ultrasound justified?
AB - BACKGROUND: Longstanding hydrocele is very common among adult Black Africans.
Preoperative scrotal ultrasound is widely used for adult patients presenting with
hydrocele, with the main aim to rule out more serious underlying pathologies like
malignancy or testicular torsion. This paper analyzes the findings and the
necessity of automatic ordering of scrotal ultrasound in cases of longstanding
hydrocele in adult Black Africans. MATERIALS AND METHODS: 102 consecutive
patients with longstanding scrotal hydrocele were investigated clinically and all
patients also had routine preoperative scrotal ultrasound. RESULTS: Overall, none
of our patients had any serious underlying pathology associated with their
hydrocele. 97% of the patients had simple hydrocele on ultrasound. Hydrocele is
more common on the right (P=0.04) and is more bilateral in elderly patients
(P=0.0002). CONCLUSIONS: Routine preoperative scrotal ultrasound does not seem to
be justified in longstanding hydroceles. This is especially important considering
the fact that most hydroceles are benign in origin and nature.
PMID- 22083050
TI - Maternal mortality.
PMID- 22083052
TI - Magnesium sulfate for fetal neuroprotection.
PMID- 22083053
TI - Magnesium sulfate for neuroprotection in patients at risk for early preterm
delivery: not yet.
PMID- 22083055
TI - Cost-effectiveness of general anesthesia vs spinal anesthesia in fast-track
abdominal benign hysterectomy.
AB - OBJECTIVE: The study objective was to compare total costs for hospital stay and
postoperative recovery for 2 groups of women who underwent fast-track abdominal
benign hysterectomy: 1 group under general anesthesia; 1 group under spinal
anesthesia. Costs were evaluated in relation to health-related quality of life.
STUDY DESIGN: Costs of treatment were analyzed retrospectively with data from a
randomized multicenter study at 5 hospitals in Sweden. Of 180 women who were
scheduled for benign abdominal hysterectomy, 162 women were assigned randomly for
the study: 80 women allocated to general anesthesia and 82 women to spinal
anesthesia. RESULTS: Total costs (hospital costs plus cost-reduced productivity
costs) were lower for the spinal anesthesia group. Women who had spinal
anesthesia had a faster recovery that was measured by health-related quality of
life and quality adjusted life-years gained in postoperative month 1. CONCLUSION:
The use of spinal anesthesia for fast-track benign abdominal hysterectomy was
more cost-effective than general anesthesia.
PMID- 22083056
TI - Beyond the numbers: classifying contributory factors and potentially avoidable
maternal deaths in New Zealand, 2006-2009.
AB - OBJECTIVE: We sought to describe a new classification system for contributory
factors in, and potential avoidability of, maternal deaths and to determine the
contributory factors and potential avoidability among 4 years of maternal deaths
in New Zealand. STUDY DESIGN: A new classification system for reporting
contributory factors in all maternal deaths was developed from previous tools and
applied to all maternal deaths in New Zealand from 2006 through 2009. RESULTS:
There were 49 deaths and the maternal mortality ratio was 19.2/100,000
maternities. Contributory factors were identified in 55% of cases. An expert
panel identified 35% of maternal deaths as potentially avoidable. In cases where
potential avoidability was determined, there were nearly always 2 or 3 domains
where contributory factors were identified. CONCLUSION: Almost one third of
maternal deaths in New Zealand can be considered to be potentially avoidable.
This methodology has the potential to identify areas for improvement in the
quality of maternity care.
PMID- 22083057
TI - First-trimester screening in triplets.
AB - OBJECTIVE: The purpose of this study was to determine the performance of Down
syndrome screening in triplet pregnancy. STUDY DESIGN: Nuchal translucency (NT; n
= 794), nasal bone (n = 219), and biochemistry (n = 198) were evaluated in
triplet pregnancy. Screening performance was evaluated with the use of delta and
Gaussian models. RESULTS: The median multiples of the median values for free beta
human chorionic gonadotropin and pregnancy-associated plasma protein A were 2.86
and 3.48, respectively. A significant correlation in delta NT within pregnancy
was observed (0.46-0.68). The modeled false-positive rates were 11.7%, 7.4%, and
8.9% with the delta model and 11.9%, 6.6%, and 12.0% with the Gaussian model for
NT, NT + nasal bone, and NT + biochemistry. Based on simulation, the detection
rate at 12 weeks' gestation was 78%, 93%, and 80% for NT, NT + nasal bone, and NT
+ biochemistry at a 10% false-positive rate using either the delta or Gaussian
models. CONCLUSION: In triplet pregnancy, the addition of nasal bone lowers the
false-positive rate of nuchal translucency screening. More data are required on
the effectiveness of biochemistry.
PMID- 22083058
TI - Effects of pravastatin on mediators of vascular function in a mouse model of
soluble Fms-like tyrosine kinase-1-induced preeclampsia.
AB - OBJECTIVE: We sought to investigate the mechanisms of action by which pravastatin
improves vascular reactivity in a mouse model of preeclampsia induced by
overexpression of soluble Fms-like tyrosine kinase-1 (sFlt)-1. STUDY DESIGN:
Pregnant CD-1 mice were randomly allocated to tail vein injection with adenovirus
carrying sFlt-1 or murine immunoglobulin G2 Fc (control), and thereafter to
receive pravastatin (5 mg/kg/d) or water. Mice were sacrificed at gestational day
18. Protein expression of endothelial nitric oxide synthase (eNOS), vascular
endothelial growth factor receptor-1, and hemeoxygenase-1 were assayed by Western
blot in aorta, liver, and kidneys. Serum total cholesterol concentrations were
measured. RESULTS: Pravastatin up-regulated eNOS expression in the aorta of sFlt
1 mice by nearly 2-fold (P = .005) to levels similar to control mice. Total
cholesterol levels, vascular endothelial growth factor receptor-1, and
hemeoxygenase-1 protein expression were similar across groups. CONCLUSION:
Pravastatin prevents vascular dysfunction in part by up-regulation of eNOS in the
vasculature. Our data support a role for statins in preeclampsia prevention.
PMID- 22083059
TI - Comprehensive maternal hemorrhage protocols improve patient safety and reduce
utilization of blood products.
AB - OBJECTIVE: The purpose of this study was to assess the effectiveness of
instituting a comprehensive protocol for the treatment of maternal hemorrhage.
STUDY DESIGN: The protocol was separated into 4 stages, designated 0-3, based on
the degree of blood loss and the patient response to interventions. Key
components included admission risk assessment, measurement of blood loss, early
but limited use of uterotonic agents, early presence of obstetrical and
anesthesia staff, and transfusion with fixed ratios of blood products. Data were
collected retrospectively and prospectively relative to the start of the
protocol. RESULTS: We noted a significant shift toward resolution of maternal
bleeding at an earlier stage (P < .01), use of fewer blood products (P < .01),
and a 64% reduction in the rate of disseminated intravascular coagulation. In
addition, there were significant improvements in staff and physician perceptions
of patient safety (P < .01). CONCLUSION: Comprehensive maternal hemorrhage
treatment protocols improve patient safety and reduce utilization of blood
products.
PMID- 22083060
TI - Use of alcohol-free antimicrobial mouth rinse is associated with decreased
incidence of preterm birth in a high-risk population.
AB - OBJECTIVE: We sought to determine if treatment of periodontal disease during
pregnancy with an alcohol-free antimicrobial mouth rinse containing
cetylpyridinium chloride impacts the incidence of preterm birth (PTB) in a high
risk population. STUDY DESIGN: This single-blind clinical trial studied pregnant
women (6-20 weeks' gestation) with periodontal disease who refused dental care.
Subjects receiving mouth rinse were compared to designated controls who did not
receive rinse (1 rinse:2 controls), balanced on prior PTB and smoking. Primary
outcome was PTB <35 weeks. RESULTS: In all, 226 women were included in the
analysis (71 mouth rinse subjects, 155 controls). Incidence of PTB <35 weeks was
lower in the rinse group compared to controls (5.6% and 21.9% respectively, P <
.01); relative risk was 0.26 (95% confidence interval, 0.096-0.70). Gestational
age and birthweight were significantly higher in the rinse group (P < .01).
CONCLUSION: A nonalcohol antimicrobial mouth rinse containing cetylpyridinium
chloride was associated with decreased incidence of PTB <35 weeks.
PMID- 22083061
TI - Midtrimester dilation and evacuation versus prostaglandin induction: a comparison
of composite outcomes.
AB - OBJECTIVE: The objective of the study was to determine the optimal procedure for
midtrimester uterine evacuation. STUDY DESIGN: This was a retrospective cohort
study of women undergoing midtrimester uterine evacuation by prostaglandin
induction or dilation and evacuation (D&E). Primary outcome was composite
complication, defined as any of the following: infection, need for additional
surgery, unexpected admission or readmission, serious maternal morbidity, and/or
maternal death. RESULTS: Two hundred twenty patients met inclusion criteria: 94
D&E and 126 induction. D&E was associated with less composite complications (15%
vs 28%, P = .02), which persisted in adjusted analysis (adjusted odds ratio,
0.38; 95% confidence interval, 0.15-0.99; P = .05). Women in the induction group
had higher rates of retained placenta requiring curettage (22% vs 2%, P = .01),
whereas cervical injury was more common in the D&E group (5% vs 0%, P = .01).
Median length of stay was significantly shorter in the D&E group (5.7 hours vs
28.4 hours, P < .001). CONCLUSION: Midtrimester D&E is associated with fewer
complications than prostaglandin induction.
PMID- 22083062
TI - A model patient: Female pelvic anatomy can be viewed in diverse 3-dimensional
images with a new interactive tool.
PMID- 22083063
TI - Discussion: 'Uterine artery embolization vs surgery' by van der Kooij et al.
AB - In the roundtable that follows, clinicians discuss a study published in this
issue of the Journal in light of its methodology, relevance to practice, and
implications for future research. Article discussed: van der Kooij SM, Bipat S,
Hehenkemp WJK, et al. Uterine artery embolization vs surgery in the treatment of
symptomatic fibroids: a systematic review and metaanalysis. Am J Obstet Gynecol
2011;205:317.e1-18.
PMID- 22083064
TI - Comments on Sheiner et al's "Pregnancy outcome of patients who conceive during or
after the first year following bariatric surgery".
PMID- 22083066
TI - Management of combined soft tissue and osseous defect of the midfoot with a free
osteocutaneous radial forearm flap: a case report.
AB - Extensive soft tissue and osseous defects of the foot are difficult to manage and
often result in amputation. Most of these wounds are created by trauma, but other
causes, such as infection and malignancy, can create similar defects. A variety
of wound management options exist for the treatment of these challenging wounds,
including negative pressure wound therapy, autogenous skin grafting, and the use
of skin substitutes, as well as internal and external fixation methods. In the
present report, we describe the use of a free osteocutaneous radial forearm flap
to manage a 10-cm * 5-cm dorsal soft tissue defect and a 2.5-cm second metatarsal
diaphyseal defect in an adult male.
PMID- 22083067
TI - Function after total calcanectomy for malignant tumor in a child: is complex
reconstruction necessary?
AB - Complex reconstruction after calcaneal excision for aggressive or malignant
tumors has been advocated. In this report we describe a 7-year-old child who
underwent chemotherapy followed by total calcanectomy for a primitive
neuroectodermal tumor of the calcaneum. The near-normal function achieved after
the operation leads us to believe that complex reconstruction after calcaneal
excision is not warranted in every pediatric case. This report also highlights
the benefits of the Cincinnati incision for calcanectomy, and describes the gait
abnormalities after the operation. To the best of our knowledge, a description of
the gait abnormality observed after calcanectomy for tumor resection in a
pediatric patient has not been reported up to now.
PMID- 22083069
TI - Using cluster heat maps to investigate relationships between body composition and
laboratory measurements in HIV-infected and HIV-uninfected children and young
adults.
AB - Cluster heat maps were used to investigate relationships between body
composition, lipid levels, and glucose metabolism in HIV-infected and HIV
uninfected children and young adults using data from a cross-sectional study.
Three distinct clusters of participants were identified. One group had lower body
fat and higher lipid measures and was mostly HIV infected. The other 2 groups
were a mix of HIV-infected and HIV-uninfected participants. Of these, 1 cluster
had more participants with higher body fat and insulin resistance, which are risk
factors for future cardiovascular disease, and the other had relatively normal
measurements on all outcomes.
PMID- 22083068
TI - CCR5 expression is elevated on endocervical CD4+ T cells in healthy
postmenopausal women.
AB - BACKGROUND: New HIV-1 infections are increasing in older American women largely
through heterosexual transmission. Activated CD4+ T cells and CCR5 expression are
linked to HIV-1 susceptibility, but whether these parameters are altered in the
cervix of older women is unknown. METHODS: Whole blood and in some instances
endocervical brush samples were collected from healthy premenopausal (n = 22) and
postmenopausal women (n = 24). Percentages of HLA-DR(DR)+CD38(38)+CD4+ T cells
and HIV-1 chemokine coreceptor expression were determined by flow cytometry.
RESULTS: Percentages of DR+38+CD4+ T cells were 6 times greater in cervix
(median: 6.4%) than blood (median: 1.1%; P < 0.001) but did not differ within
each compartment between premenopausal and postmenopausal women (P = 0.2).
Postmenopausal women had greater percentages of CCR5+CD4+ and CCR5+DR+38+CD4+ T
cells compared with premenopausal women in cervix (median: 70% vs. 42%, P =
0.005; and 80% vs. 57%; P = 0.05, respectively) and blood (medians: 22% vs. 13%,
and 76% vs. 62%, respectively; P < 0.001). Postmenopausal women had more CCR5
molecules on cervical DR+38+CD4+ T cells (median: 3176) than premenopausal women
(median: 1776; P = 0.02). Age and percent CCR5+CD4+ and CCR5+DR+38+CD4+ cells
were linearly related in cervix (r(2) = 0.47, P < 0.001 and r(2) = 0.25, P =
0.01, respectively) and blood (r(2) = 0.20, P = 0.001 and r(2) = 0.31, P < 0.001;
respectively), but confounding of age with menopause could not be excluded.
Cervical CXCR4 expression did not differ substantially between premenopausal and
postmenopausal women. CONCLUSIONS: Elevated cervical CCR5 expression in
postmenopausal women may increase their risk for HIV-1 acquisition. Studies are
needed to confirm whether elevated CCR5 expression confers increased HIV-1
susceptibility in postmenopausal women, and if it is related to hormonal or
nonhormonal effects of aging.
PMID- 22083070
TI - Calendar time trends in the incidence and prevalence of triple-class virologic
failure in antiretroviral drug-experienced people with HIV in Europe.
AB - BACKGROUND: Despite the increasing success of antiretroviral therapy (ART),
virologic failure of the 3 original classes [triple-class virologic failure,
(TCVF)] still develops in a small minority of patients who started therapy in the
triple combination ART era. Trends in the incidence and prevalence of TCVF over
calendar time have not been fully characterised in recent years. METHODS:
Calendar time trends in the incidence and prevalence of TCVF from 2000 to 2009
were assessed in patients who started ART from January 1, 1998, and were followed
within the Collaboration of Observational HIV Epidemiological Research Europe
(COHERE). RESULTS: Of 91,764 patients followed for a median (interquartile range)
of 4.1 (2.0-7.1) years, 2722 (3.0%) developed TCVF. The incidence of TCVF
increased from 3.9 per 1000 person-years of follow-up [95% confidence interval
(CI): 3.7 to 4.1] in 2000 to 8.8 per 1000 person-years of follow-up (95% CI: 8.5
to 9.0) in 2005, but then declined to 5.8 per 1000 person-years of follow-up (95%
CI: 5.6 to 6.1) by 2009. The prevalence of TCVF was 0.3% (95% CI: 0.27% to 0.42%)
at December 31, 2000, and then increased to 2.4% (95% CI: 2.24% to 2.50%) by the
end of 2005. However, since 2005, TCVF prevalence seems to have stabilized and
has remained below 3%. CONCLUSIONS: The prevalence of TCVF in people who started
ART after 1998 has stabilized since around 2005, which most likely results from
the decline in incidence of TCVF from this date. The introduction of improved
regimens and better overall HIV care is likely to have contributed to these
trends. Despite this progress, calendar trends should continue to be monitored in
the long term.
PMID- 22083072
TI - Associations of sexual identity or same-sex behaviors with history of childhood
sexual abuse and HIV/STI risk in the United States.
AB - OBJECTIVE: To measure associations of childhood sexual abuse (CSA) with sexual
orientation, behaviors, and attractions and HIV/sexually transmitted infection
(STI) incidence in a nationally representative sample of men and women. METHODS:
Data from the 2004-2005 Wave 2 of the National Epidemiologic Survey on Alcohol
and Related Conditions were analyzed, including frequencies of CSA and HIV/STI
incidence for 5 subgroups defined by sexual orientation based on identity and
behaviors and attraction to the same sex or opposite sex. RESULTS: Overall, 14.9%
of women and 5.2% of men reported CSA. Among women, bisexuals, lesbians, and
heterosexuals with same-sex partners had 5.3 times, 3.4 times, and 2.9 times the
odds, respectively, for CSA occurring sometimes/more frequently (vs. never)
compared with heterosexuals not having same-sex partners or attractions. Among
men, bisexuals, gay men, and heterosexuals with same-sex partners had 12.8 times,
9.5 times, and 7.9 times the odds, respectively, for CSA. Men and women sometimes
or frequently abused had significant increases in odds for HIV/STI incidence
compared with those not abused. Among women, sexual minorities had 3.8 times the
odds and heterosexuals had 2.8 times the odds, whereas among men, sexual
minorities had 4.2 times odds and heterosexuals had 1.5 times odds. CONCLUSIONS:
Extraordinarily high rates of CSA were observed for sexual minorities, and sexual
minorities were more likely to have incident HIV or STIs, in this U.S. population
survey. Identifying the impact of CSA among heterosexuals and sexual minorities
in the US is a crucial first step in examining the sequelae of CSA, including the
potential mediators of mental health and substance abuse disorders in the
relationship between CSA and sexual risk taking.
PMID- 22083071
TI - Efavirenz pharmacokinetics during the third trimester of pregnancy and
postpartum.
AB - BACKGROUND: The impact of pregnancy on efavirenz (EFV) pharmacokinetics is
unknown. METHODS: International Maternal Pediatric Adolescent AIDS Clinical
Trials P1026s is an on-going, prospective, nonblinded study of antiretroviral
pharmacokinetics in HIV-infected pregnant women that included a cohort receiving
600 mg EFV once daily as part of combination antiretroviral therapy. Intensive
steady-state 24-hour blood sampling was performed during the third trimester and
at 6-12 weeks postpartum. Maternal and umbilical cord blood samples were drawn at
delivery. Pharmacokinetics targets were the estimated 10th percentile EFV area
under the curve (AUC) in nonpregnant historical controls (40.0 mcg.hr(-1).mL(-1))
and a trough concentration of 1 mcg/mL. RESULTS: Twenty-five women were enrolled
during the third trimester: median (range) age was 29.3 (18.9-42.9) years, weight
69.0 (40-130) kg, and gestational age 32.9 (30.1-38.7) weeks. Median (range) EFV
AUC(0-24), C(max), and C(24 hours) were 55.4 mcg.hr(-1).mL(-1) (13.5-220.3), 5.4
mcg/mL (1.9-12.2), and 1.6 mcg/mL (0.23-8.13), respectively. EFV AUC and C(max)
did not differ during pregnancy and postpartum but C(24 hours) was lower during
the third trimester (1.6 vs. 2.1 mcg/mL, P = 0.01). During the third trimester, 5
of 25 (20%) women had an EFV AUC below the target and 3 of 25 (12%) had a trough
concentration below 1 mcg/mL. EFV cord blood/maternal concentration ratio was
0.49 (0.37-0.74). All women had a HIV-1 RNA viral load less than 400 copies per
milliliter at delivery and 19 (76%) had a viral load below 50 copies per
milliliter. One child was perinatally HIV infected. Three women were exposed to
EFV throughout the first 6 weeks of pregnancy. EFV was well tolerated, and among
the 25 infants, no congenital anomalies or newborn complications were reported.
CONCLUSIONS: Changes in EFV pharmacokinetics during pregnancy compared with
postpartum are not sufficiently large enough to warrant a dose adjustment during
pregnancy.
PMID- 22083074
TI - Suicidal single gunshot injury to the head: differences in site of entrance wound
and direction of the bullet path between right- and left-handed--an autopsy
study.
AB - The aim of this study was to determine the differences in the anatomical site of
a gunshot entrance wound and the direction of the bullet path between right- and
left-handed subjects who committed a suicide by a single gunshot injury to the
head. The retrospective autopsy study was performed for a 10-year period, and it
included selected cases of single suicidal gunshot head injury, committed by
handguns. We considered only contact or near-contact wounds. The sample included
479 deceased, with average age 47.1 +/- 19.1 years (range, 12-89 years): 432
males and 47 females, with 317 right-handed, 25 left-handed, and 137 subjects
with unknown dominant hand. In our observed sample, most cases involved the right
temple as the site of entrance gunshot wound (about 67%), followed by the mouth
(16%), forehead (7%), left temple (6%), submental (2%), and parietal region (1%).
The left temple, right temple, and forehead were the sites of the gunshot
entrance wounds, which were the best predictors of the handedness of the deceased
(Spearman rho = 0.149, P = 0.006). Our study showed that the direction of the
bullet intracranial path in cases of suicide was even a more potent predictor of
the handedness of the deceased (Spearman rho = 0.263, P = 0.000; Wald = 149.503,
P = 0.000).
PMID- 22083075
TI - The increase in the user rate of child seats is clearly an important factor in
the decreases in the rates of death and serious injury.
PMID- 22083073
TI - No effect of raltegravir intensification on viral replication markers in the
blood of HIV-1-infected patients receiving antiretroviral therapy.
AB - BACKGROUND: Controversy continues regarding the extent of ongoing viral
replication in HIV-1-infected patients on effective antiretroviral therapy (ART).
Adding an additional potent agent, such as raltegravir, to effective ART in
patients with low-level residual viremia may reveal whether there is ongoing HIV
1 replication. METHODS: We previously reported the outcome of a randomized
placebo-controlled study of raltegravir intensification in patients on ART with
HIV-1 RNA <50 copies per milliliter that showed no effect on residual viremia
measured by single copy assay. We now report the effects of raltegravir
intensification in that trial on other potential measures of ongoing HIV-1
replication as follows: 2-LTR HIV-1 circles, total cellular HIV-1 DNA, and T-cell
activation. RESULTS: Of 50 patients tested, 12 (24%) had 2-LTR circles detected
at baseline. Patients who were 2-LTR-positive had higher plasma HIV-1 RNA and HIV
1 DNA levels than 2-LTR-negative individuals. At week 12 of raltegravir
intensification, there was no change from baseline in 2-LTR circles, in total HIV
1 DNA or in the ratio of 2-LTR circles to total HIV-1 DNA. There was also no
change in markers of T-cell activation. CONCLUSIONS: In HIV-1-infected
individuals on effective ART, we find no evidence of ongoing viral replication in
the blood that is suppressible by raltegravir intensification. The results imply
that raltegravir intensification alone will not eradicate HIV-1 infection.
PMID- 22083076
TI - Accidental hanging: a novel mobile suspension apparatus partially hidden inside
the clothes.
AB - Accidental hanging is uncommon. An immobile/fixed and exposed suspension
apparatus is seen in almost all cases of hanging. A 50-year-old man, who was
drunk, was trying to steal an iron rod by hiding it under his clothing. To secure
hiding, he attached it to his body by a loose ligature around the neck, the waist
belt of the sarong, and another band around the waist and by his underwear.
Sometime later, because of ethanol intoxication, he fell asleep in the sitting
position. While he was sitting on the floor, the iron rod was lifted up
accidentally, and its upper end was wedged against the wall behind the victim,
and the lower end was fixed against the floor. When he fell asleep, the weight of
the tilted head acted as the constricting force compressing the neck by the
ligature that was used to attach the iron rod to the neck. The cause of death was
concluded as hanging in a man with ethanol intoxication. This case highlights a
novel mobile suspension apparatus partially hidden inside the clothes, in a case
of accidental hanging. A similar case has not been reported in the forensic
literature.
PMID- 22083077
TI - Radiographic examination using an X-ray tunnel (Passagix) before a forensic
autopsy.
PMID- 22083078
TI - Unusual death by rubber bullet: should these guns be reclassified as lethal
weapons?
PMID- 22083079
TI - Does hypernatremia cause subdural hematoma in children?: two case reports and a
meta-analysis of the literature.
AB - Hypernatremia has been causally linked with subdural hematoma (SDH), but more
recently this has been called into question. Conversely, there is a well
established link between SDH and injury. We wish to examine the evidence base
that hypernatremia in infants and young children causes SDH.We present 2 cases of
children with severe hypernatremia whose intracranial contents were assessed by
imaging in the first case and postmortem examination in the second. Neither
demonstrated SDH. The first case was important as the hypernatremia was
iatrogenic occurring in a controlled hospital environment.We also searched the
literature from 1950 to 2007, collecting data on all reported cases of
hypernatremia in children younger than 7 years whose intracranial contents were
examined by imaging, surgery, and/or postmortem examination. Of 124 cases
reported in 31 articles, 112 cases developed hypernatremia in the community, and
12 in the hospital. Subdural hematoma was demonstrated in 7 cases, all of which
had developed hypernatremia in the community under circumstances that would make
it difficult to exclude nonaccidental injury. None of the 12 cases that developed
hypernatremia in a controlled hospital environment had SDH.The evidence base
supporting the hypothesis that hypernatremia causes SDH is poor, depending on
isolated reports with uncertain histories.
PMID- 22083080
TI - Fusarium verticillioides fungemia in a liver transplantation patient: successful
treatment with voriconazole.
AB - Fusarium is an opportunistic fungal pathogen which is emerging as a significant
cause of morbidity and mortality in immunocompromised hosts. We present a rare
case of F. verticillioides fungemia that occurred in a patient who underwent a
second orthotopic liver transplantation for chronic rejection and completely
responded to treatment with voriconazole.
PMID- 22083081
TI - Tuberculosis patients are characterized by a low-IFN-gamma/high-TNF-alpha
response to methylated HBHA produced in M. smegmatis.
AB - Whole blood from Mycobacterium tuberculosis-infected subjects was stimulated with
heparin-binding hemagglutinin (HBHA). Tuberculosis (TB) patients showed an HBHA
specific T-cell response characterized by low-IFN-gamma/high-TNF-alpha secretion,
while asymptomatic subjects with latent infection (LTBI) and TB patients under
therapy showed a pattern with high IFN-gamma/low TNF-alpha. These results
underscore the usefulness of HBHA in helping to distinguish LTBI subjects versus
TB patients.
PMID- 22083082
TI - Pelvic abscess caused by New Delhi metallo-beta-lactamase-1-producing Klebsiella
oxytoca in Taiwan in a patient who underwent renal transplantation in China.
PMID- 22083083
TI - The binding of curcumin to various types of canine amyloid proteins.
AB - Curcumin is a constituent phenol compound of turmeric, and has been used as a
dietary spice and Indian medicine. Curcumin has been reported to inhibit the
formation of amyloid beta fibrils and aggregation. In this study, the binding
activity of curcumin to various types of canine amyloid was examined. Tissue
samples used were lesions of AA, AL, amyloid of canine amyloid-producing
odontogenic tumor (Aapot), and senile cardiovascular amyloid (ScA). Curcumin
stained all types of amyloid. The binding of curcumin to AA, ScA, and AL was lost
by the KMnO(4) treatment, but Aapot maintained the binding. These findings
indicate that curcumin binds several types of amyloid, while the binding sites of
amyloid molecules might be different from that of Congo red.
PMID- 22083084
TI - Alternative BSE risk assessment methodology for beef and beef offal imported into
Japan.
AB - The Food Safety Commission (FSC) of Japan, established in July 2003, has its own
initiative to conduct risk assessments on food stuffs known as "self-tasking
assessment". Within this framework, the FSC decided to conduct a risk assessment
of beef and beef offal imported into Japan from countries with no previous BSE
reports; thus, a methodology was formed to suit to this purpose. This methodology
was partly based on the previous assessments of Japanese domestic beef and beef
imported from U.S.A./Canada, but some modifications were made. Other
organizations' assessment methods, such as those used for BSE status assessment
in live cattle by the OIE and EFSA's GBR, were also consulted. In this review,
the authors introduce this alternative methodology, which reflects (1) the risk
of live cattle in the assessed country including temporal risks of BSE invasion
and domestic propagation, with the assessment results verified by surveillance
data, and (2) the risk of beef and beef offal consisting of cumulative BSE risk
by types of slaughtering and meat production processes implemented and the status
of mechanically recovered meat production. Other possible influencing factors
such as atypical BSE cases were also reviewed. The key characteristic of the
current assessment is a combination of the time-sequential risk level of live
cattle and qualitative risk level of meat production at present in an assessed
country.
PMID- 22083085
TI - Norlittorine and norhyoscyamine identified as products of littorine and
hyoscyamine metabolism by (13)C-labeling in Datura innoxia hairy roots.
AB - The presence of two compounds, norlittorine and norhyoscyamine, has been reported
in leaves and roots of Datura innoxia; however their metabolic origin in the
tropane alkaloid pathway has remained unknown. Precise knowledge of this pathway
is a necessary pre-requisite to optimize the production of hyoscyamine and
scopolamine in D. innoxia hairy root cultures. The exact structure of
norlittorine and norhyoscyamine was confirmed by LC-MS/MS and NMR analyses.
Isotopic labeling experiments, using [1-(13)C]-phenylalanine, [1'-(13)C]
littorine and [1'-(13)C]-hyoscyamine, combined with elicitor treatments, using
methyl jasmonate, coronalon and 1-aminocyclopropane-1-carboxylic acid, were used
to investigate the metabolic origin of the N-demethylated tropane alkaloids. The
results suggest that norlittorine and norhyoscyamine are induced under stress
conditions by conversion of littorine and hyoscyamine. We propose the N
demethylation of tropane alkaloids as a mechanism to detoxify cells in
overproducing conditions.
PMID- 22083086
TI - Pharmacotherapy: vandetanib-a new therapeutic option in advanced medullary
thyroid cancer.
PMID- 22083087
TI - Obesity: influenza immunity impaired in obesity.
PMID- 22083088
TI - Gastrointestinal hormones: uroguanylin-a new gut-derived weapon against obesity?
AB - A recent report has identified uroguanylin as an endocrine signal that exerts a
physiological role in energy homeostasis, adding another factor to the gut-brain
axis. From a clinical point of view, several observations highlight the
uroguanylin-guanylyl cyclase C pathway as a potential therapeutic target for the
development of antiobesity drugs.
PMID- 22083090
TI - Understanding the regioselectivity in Scholl reactions for the synthesis of
oligoarenes.
AB - A short reaction sequence leads to oligoarene derivatives utilising a
regioselective Scholl reaction for the unprecedented cyclisation to the mono
functionalised oligoarene under methanol elimination. Quantum-chemical
investigations reveal the reason for the remarkably high regioselectivity.
PMID- 22083089
TI - The multifactorial role of leptin in driving the breast cancer microenvironment.
AB - Adipose-tissue-derived signaling molecules, including the adipokines, are
emerging as key candidate molecules that link obesity with cancer. Peritumoral,
stromal, adipose tissue and secreted adipokines, particularly leptin, have
important roles in breast cancer biology. For example, leptin signaling
contributes to the metabolic features associated with breast cancer malignancy,
such as switching the cells' energy balance from mitochondrial beta-oxidation to
the aerobic glycolytic pathway. Leptin also shapes the tumor microenvironment,
mainly through its ability to potentiate both migration of endothelial cells and
angiogenesis, and to sustain the recruitment of macrophages and monocytes, which
in turn secrete vascular endothelial growth factor and proinflammatory cytokines.
This article presents an overview of current knowledge on the involvement of
leptin in the pathogenesis and progression of breast cancer, highlighted by
human, in vitro and animal studies. Data are presented on the functional
crosstalk between leptin and estrogen signaling, which further contributes to
promotion of breast carcinogenesis. Finally, future perspectives and clinical
applications in which leptin and the leptin receptor are considered as potential
therapeutic targets for breast cancer are reviewed.
PMID- 22083091
TI - Ab initio and empirical defect modeling of LaMnO(3+/-delta) for solid oxide fuel
cell cathodes.
AB - Sr doped LaMnO(3) is a perovskite widely used for solid oxide fuel cell (SOFC)
cathodes. Therefore, there is significant interest in its defect chemistry.
However, due to coupling of defect reactions and inadequate constraints of the
defect reaction equilibrium constants obtained from thermogravimetry analysis,
large discrepancies (up to 4 eV) exist in the literature for defect energetics
for Sr doped LaMnO(3). In this work we demonstrate how ab initio energetics and
empirical modelling can be combined to develop a defect model for LaMnO(3).
Defect formation enthalpies, including concentration dependence due to defect
interactions, are extracted from ab initio energies calculated at various defect
concentrations. Defect formation entropies for the defect reactions in LaMnO(3)
involving O(2-)(solid) <-> 1/2O(2)(gas) + 2e(-) are shown to be accessible
through combining the gas phase thermodynamics and simple models for the solid
phase vibrational contributions. This simple treatment introduces a useful
constraint on fitting defect formation entropies. The predicted defect
concentrations from the model show good agreement with experimental oxygen
nonstoichiometry vs. P(O(2)) for a wide range of temperatures (T = 873-1473 K),
suggesting the effectiveness of the ab initio defect energetics in describing the
defect chemistry of LaMnO(3). Further incorporating a temperature dependent
charge disproportionation energy within 0.0-0.2 eV, the model is capable of
describing both defect chemistry and oxygen tracer diffusivity of LaMnO(3). The
model suggests an important role for defect interactions which are typically
excluded from LaMnO(3) defect models, and sensitivity of the oxygen defect
concentration to the charge disproportionation energy in the high P(O(2)) region.
Similar approaches to those used here can be used to model the defect chemistry
for other complex oxides.
PMID- 22083092
TI - Low abdominal contribution to breathing as daytime predictor of nocturnal
desaturation in adolescents and young adults with Duchenne Muscular Dystrophy.
AB - In the respiratory management of DMD patients it is still under debate what
parameter should indicate the correct timing for institution of nocturnal non
invasive ventilation (NIV), in addition to forced vital capacity, which is
generally considered as a prognostic marker of disease progression. The aim of
this study was to determine if volume variations of rib cage and abdominal
compartments measured by Opto-Electronic Plethysmography can be helpful to
distinguish between those patients who are in the early stages of nocturnal
oxygen desaturation development and those who do not yet. Pulmonary function,
abdominal contribution to tidal volume and to inspiratory capacity (%Abd IC) and
a set of breathing pattern indexes were assessed in 40 DMD patients older than 14
years and not yet under nocturnal NIV. ROC analysis revealed that among all the
considered parameters, %Abd IC in supine position was the best discriminator
between DeSat (at least 10% of the night time with SpO(2) < 95%) and NonDeSat
patients, providing an area under the curve with 95%CI equal to 0.752. In
conclusion, in adolescents and adults DMD patients who present either no sign or
only mild nocturnal oxygen desaturation, a reduced abdominal contribution to
inspiratory capacity is a marker of the onset of diaphragm weakness and should be
considered to identify the correct timing for the institution of nocturnal NIV.
PMID- 22083093
TI - Extracranial carotid-vertebral bypass for endovascular access to complex
posterior circulation aneurysms: a novel management approach.
AB - BACKGROUND: Endovascular embolization is a desirable treatment option for complex
posterior circulation aneurysms, particularly recurrent aneurysms or those in
difficult-to-access surgical locations. However, endovascular access is
occasionally prohibited by proximal vertebral artery (VA) occlusion or vessel
tortuosity. One strategy in such instances involves creation of an extracranial
bypass conduit to the distal VA. OBJECTIVE: To describe a novel strategy to allow
for endovascular treatment of aneurysms at high risk for direct surgery. METHODS:
Three cases of carotid-VA bypass performed to provide endovascular access to
posterior circulation aneurysms were identified. The clinical indications,
radiographic characteristics, operative technique, and outcomes were reviewed.
RESULTS: Indications for bypass were previously clipped recurrent basilar tip
aneurysm, previously coiled midbasilar aneurysm with compaction requiring stent
placement, and distal intracranial VA aneurysm with iatrogenic vertebral
dissection/occlusion after initial coil attempt. In all cases, routine
endovascular access for primary or stent-assisted coiling was prohibited by VA
tortuosity. Bypass with the use of interposition saphenous vein grafts was
successfully performed to the C1-C2 region of the V2 segment without
complications. The bypass was followed by successful endovascular treatment in
all cases 2 to 6 weeks after surgery. In 1 patient, 2 recurrent treatments
through the graft were subsequently performed for coil compaction. CONCLUSION:
Extracranial carotid-VA bypass can be a valuable tool in the management of
complex posterior circulation aneurysms. It is a safe and efficacious technique
providing a conduit for repeated access to the posterior circulation in patients
with otherwise prohibitive vertebral anatomy.
PMID- 22083094
TI - Interactions between gaze-evoked blinks and gaze shifts in monkeys.
AB - Rapid eyelid closure, or a blink, often accompanies head-restrained and head
unrestrained gaze shifts. This study examines the interactions between such gaze
evoked blinks and gaze shifts in monkeys. Blink probability increases with gaze
amplitude and at a faster rate for head-unrestrained movements. Across animals,
blink likelihood is inversely correlated with the average gaze velocity of large
amplitude control movements. Gaze-evoked blinks induce robust perturbations in
eye velocity. Peak and average velocities are reduced, duration is increased, but
accuracy is preserved. The temporal features of the perturbation depend on
factors such as the time of blink relative to gaze onset, inherent velocity
kinematics of control movements, and perhaps initial eye-in-head position.
Although variable across animals, the initial effect is a reduction in eye
velocity, followed by a reacceleration that yields two or more peaks in its
waveform. Interestingly, head velocity is not attenuated; instead, it peaks
slightly later and with a larger magnitude. Gaze latency is slightly reduced on
trials with gaze-evoked blinks, although the effect was more variable during head
unrestrained movements; no reduction in head latency is observed. Preliminary
data also demonstrate a similar perturbation of gaze-evoked blinks during
vertical saccades. The results are compared with previously reported effects of
reflexive blinks (evoked by air-puff delivered to one eye or supraorbital nerve
stimulation) and discussed in terms of effects of blinks on saccadic suppression,
neural correlates of the altered eye velocity signals, and implications on the
hypothesis that the attenuation in eye velocity is produced by a head movement
command.
PMID- 22083095
TI - Pathological features of salivary gland cysts in a Shiba dog with GM1
gangliosidosis: a possible misdiagnosis as malignancy.
AB - Salivary gland cysts are often concurrent with GM1 gangliosidosis in Shiba dogs.
Although the etiology is unknown, these cysts may be misdiagnosed as malignant
due to the accumulation of foamy cells. The present study investigated the
cytological, histopathological, immunohistochemical and electron microscopic
characteristics of salivary gland cysts in a Shiba dog affected with GM1
gangliosidosis. The salivary gland masses were surgically enucleated and examined
clinicopathologically and pathologically in a 7-month-old Shiba dog with GM1
gangliosidosis. Many large cells with rich cytoplasm including vacuoles of
various sizes, i.e., foamy cells, were observed in stamp smears from the cut
surface of the masses and histopathologically in major parts of the cyst wall.
Some of these foamy cells presented features similar to a spider-web appearance.
The foamy cells were confirmed to have originated from macrophages based on
marked immunohistochemical expression of vimentin, HLA-DR, lysozyme and Iba1. An
ultrastructural study demonstrated electron-dense vesicular structures in the
vacuolated cells. Therefore, the masses were diagnosed pathologically as benign
salivary gland cysts with accumulation of foamy cells. In conclusion, the
histopathological features of the salivary gland cysts in this Shiba dog were
similar to those of lipoma and/or liposarcoma. In such cases, immunohistochemical
and ultrastructural examinations were useful in the differential diagnosis.
Practitioners, clinical pathologists and pathologists should take GM1
gangliosidosis into consideration when they encounter salivary gland cysts in
Shiba dogs.
PMID- 22083096
TI - Sonographic detection of small amounts of free peritoneal gas in beagle dogs.
AB - The detection of small amounts of free peritoneal gas in the canine patient can
pose a diagnostic dilemma. The objective of this study was to determine how much
of this free gas could be detected ultrasonographically. Ultrasound examinations
were carried out after increments (0.1 ml) of air were intraperitoneally
injected. Via ultrasonography, 0.4 ml of free gas can be reliably detected. The
authors concluded that ultrasonography is a very sensitive modality for the
detection of small amounts of peritoneal free gas (above 0.4 ml).
PMID- 22083097
TI - Do we need meshes in pelvic floor reconstruction?
AB - OBJECTIVES: Transvaginally placed mesh in pelvic reconstructive surgery for women
with pelvic organ prolapse has gained popularity because of excellent anatomical
outcomes, but postoperative mesh-related complications have lead to a number of
cautious reviews and warnings. This review focuses on functional outcomes after
synthetic transvaginal mesh placement. METHODS: MEDLINE database was searched
from 2010 to August 2011 for original articles on transvaginal mesh surgery for
pelvic organ prolapse not included in recent reviews. The following search terms
were used: pelvic organ prolapse, genital prolapse, cystocele, rectocele and
mesh, synthetic graft, and repair. Studies were assessed and appropriate data
extracted and tabularized. Studies were excluded if the follow-up time was less
than 12 months and if studies did not contain original data or data on subjective
outcome. RESULTS: Eleven studies irregularly reported functional outcomes. After
trocar-guided transobturator vaginal mesh surgery, symptomatic recurrence of
pelvic organ prolapse was reported between 7 and 33%. If analyzed cumulatively,
76 of 370 patients (21%) complained of prolapse symptoms postoperatively. De novo
stress urinary incontinence occurred in 12-17% and persisted in up to 68% after
trocar-guided mesh surgery. De novo dyspareunia was present between 2 and 15%,
worsened or de novo dyspareunia between 25 and 44%. Deteriorating coital
incontinence was described in 6 of 16 women after anterior Prolift in one trial.
CONCLUSIONS: When counseling women for pelvic reconstructive surgery, we should
provide them with evidence-based information on functional outcomes and
subsequently take the patient's concerns and preferences into account. Pelvic
floor symptoms were scarcely reported in reviewed trials, but demonstrated a
worse scenario than anatomical outcomes.
PMID- 22083098
TI - 18F-DOPA PET/CT biodistribution consideration in 107 consecutive patients with
neuroendocrine tumours.
AB - OBJECTIVE: L-6-fluoro 3,4-dihydroxyphenylalanine (18F-DOPA), an amino acid-based
radiopharmaceutical, is increasingly being used in the detection and management
of neuroendocrine tumours. Knowledge of the normal biodistribution of this
radiopharmaceutical is essential for the proper interpretation of such studies,
but the literature available is scanty due to the rarity of these tumours. The
aim of this study is to evaluate the biodistribution pattern and normal variants
of 18F-DOPA in a cohort of patients with neuroendocrine tumours using
semiquantitative analysis (maximum standardized uptake value). METHODS: We
analysed 107 consecutive 18F-DOPA PET/CT studies of patients referred with
medullary carcinoma of the thyroid (43), phaeochromocytoma including cases of Von
Hippel Lindau syndrome and multiple endocrine neoplasia type IIA cases (34),
paraganglioma (14) and other neuroendocrine tumours (16). The study population
were divided into two groups: those with negative 18F-DOPA PET/CT scans (32) and
those with positive scans (75). The biodistribution of 18F-DOPA in each group was
measured and compared between the two groups. RESULTS: The physiological
biodistribution in the basal ganglia and liver parenchyma showed no variability
between the two groups. Conversely, uptake in the pancreas (particularly the
uncinate process) and adrenals showed considerable variability between the
groups. However, these differences were found not to be significant on
statistical analysis. CONCLUSION: The data presented may provide useful
information in understanding the physiologic biodistribution of DOPA and its
variants, for the purpose of improving the interpretation of 18F-DOPA PET/CT.
PMID- 22083099
TI - [Complications of peripheral regional anesthesia].
AB - Peripheral regional anesthesia is a commonly used and safe procedure and eneral
complications or side effects are generally rare. Nerve damage has an incidence
<0.1% depending on the definition and the prognosis is good. To avoid bleeding
complications the national standards of block performance under antithrombotic
therapy should be respected. Intoxication is mainly the result of accidental
intravenous administration and is difficult to treat but higher doses of
intravenous lipid emulsions can improve the outcome. Potential infectious
complications can occur mainly as a result of catheter techniques and require a
strict aseptic approach. Further rare complications are allergies, dislocation of
catheters and knotting or loops in catheters. Besides the general complications,
there are some specific complications depending on the puncture site, such as
pneumothorax or renal puncture.
PMID- 22083100
TI - [Future-oriented design of ambulatory surgery. Organizational aspects and medical
options].
AB - Ambulatory surgery continues to grow and is slowly becoming routine in the
majority of cases. Although the development of ambulatory surgery in Germany
appears to be somewhat delayed, this is actually a chance to learn from worldwide
experiences and avoid the mistakes others made earlier. This article investigates
current trends and developments in day case surgery and discusses the extended
role and influence of the anesthetist in the perioperative setting.
PMID- 22083102
TI - A comparison of two-electron chemistry performed by the manganese and iron
heterodimer and homodimers.
AB - Two-electron chemistry with an iron dimer, a manganese dimer, and a manganese
iron dimer as a catalyst has been modeled using B3LYP* hybrid density functional
theory. The recently discovered MnFe proteins form (at least) two functionally
distinct groups, performing radical generation (class Ic ribonucleotide reductase
subunit II) and substrate oxidations (subunit II-like ligand-binding oxidases,
R2lox), respectively. Proteins from the latter group appear to be functionally
similar to the diiron carboxylate proteins that perform two-electron oxidations
of substrates, such as methane monooxygenase. To qualitatively determine the
potential role of a MnFe center in R2lox, methane hydroxylation with the MnFe
heterodimer and with the FeFe and MnMn homodimers is studied. The redox potential
of the active state of the Mn(IV)Fe(IV) heterodimer is about 7 kcal mol(-1) lower
than that of the active state of the Fe(IV)Fe(IV) homodimer, leading to a high
barrier for the rate-limiting hydrogen abstraction with the MnFe site. If the
entropy loss is not included, the barriers are lower, and the MnFe heterodimer
can therefore have a role in R2lox as an oxidase for larger substrates
exergonically bound to the protein. A MnMn center has a high barrier both with
and without entropy loss. The higher stability of Fe(IV) in the presence of
Mn(IV) in the other site compared with a second Fe(IV) suggests an explanation
for the presence of the MnFe site in R2lox: to provide a metal center that is
capable of two-electron chemistry, and which is more stable and less sensitive to
external reductants than an Fe(IV)Fe(IV) site.
PMID- 22083103
TI - Suzuki-Miyaura coupling of heteroaryl boronic acids and vinyl chlorides.
AB - A protocol for the Suzuki-Miyaura coupling of heteroaryl boronic acids and vinyl
chlorides that minimizes protodeboronation is described. A combination of
catalytic amounts of Pd(OAc)(2) and SPhos in conjunction with CsF in isopropanol
effectively affords a variety of coupled products. Surprisingly, a dramatic
temperature dependence in product selectivity was observed.
PMID- 22083104
TI - Ten years of BSE surveillance in Italy: neuropathological findings in clinically
suspected cases.
AB - Between 2001 and 2010, 244 clinically suspected cases of bovine spongiform
encephalopathy (BSE) were reported in Italy. This report summarizes the
neuropathological findings in cattle displaying clinical signs consistent with a
diagnosis of BSE. All animal specimens were submitted for confirmatory testing;
samples testing negative underwent neuropathological examination to establish the
differential diagnosis. Immunohistochemistry for scrapie prion protein (PrPSc) at
the level of frontal cortex was carried out to exclude atypical BSE.
Neuropathological changes were detected in 34.9% of cases; no histological
lesions were found in 52.3% of subjects; 12.8% of samples were found unsuitable
for analysis. BSE was detected in one case, but no cases of atypical BSE were
observed. This study identified the diseases most commonly encountered in the
differential diagnosis of BSE; furthermore, it demonstrated that the surveillance
system is necessary for monitoring neuropathological disease in cattle and for
the detection of BSE cases.
PMID- 22083101
TI - Uncovering the role of 5-hydroxymethylcytosine in the epigenome.
AB - Just over 2 years ago, TET1 was found to catalyse the oxidation of 5
methylcytosine, a well-known epigenetic mark, into 5-hydroxymethylcytosine in
mammalian DNA. The exciting prospect of a novel epigenetic modification that may
dynamically regulate DNA methylation has led to the rapid accumulation of
publications from a wide array of fields, from biochemistry to stem cell biology.
Although we have only started to scratch the surface, interesting clues on the
role of 5-hydroxymethylcytosine are quickly emerging.
PMID- 22083106
TI - Acetylene and argon adsorption in a supramolecular organic zeolite.
AB - The adsorption properties of a new nanoporous organic zeolite with respect to
acetylene and Ar were studied by volumetric adsorption analysis,
microcalorimetric experiments, and synchrotron high-resolution X-ray powder
diffraction. This allowed us to locate the guest molecules inside the host
channels and characterize the host-guest interactions.
PMID- 22083105
TI - Performance and microbial community dynamics of a sulfate-reducing bioreactor
treating coal generated acid mine drainage.
AB - The effectiveness of a passive flow sulfate-reducing bioreactor processing acid
mine drainage (AMD) generated from an abandoned coal mine in Southern Illinois
was evaluated using geochemical and microbial community analysis 10 months post
bioreactor construction. The results indicated that the treatment system was
successful in both raising the pH of the AMD from 3.09 to 6.56 and in lowering
the total iron level by 95.9%. While sulfate levels did decrease by 67.4%, the
level post treatment (1153 mg/l) remained above recommended drinking water
levels. Stimulation of biological sulfate reduction was indicated by a +2.600/00
increase in delta(34)S content of the remaining sulfate in the water post
treatment. Bacterial community analysis targeting 16S rRNA and dsrAB genes
indicated that the pre-treated samples were dominated by bacteria related to iron
oxidizing Betaproteobacteria, while the post-treated water directly from the
reactor outflow was dominated by sequences related to sulfur-oxidizing
Epsilonproteobacteria and complex carbon degrading Bacteroidetes and Firmicutes
phylums. Analysis of the post-treated water, prior to environmental release,
revealed that the community shifted back to predominantly iron-oxidizing
Betaproteobacteria. DsrA analysis implied limited diversity in the sulfate
reducing population present in both the bioreactor outflow and oxidation pond
samples. These results support the use of passive flow bioreactors to lower the
acidity, metal, and sulfate levels present in the AMD at the Tab-Simco mine, but
suggest modifications of the system are necessary to both stimulate sulfate
reducing bacteria and inhibit sulfur-oxidizing bacteria.
PMID- 22083107
TI - Skeletal remodeling following clinically relevant radiation-induced bone damage
treated with zoledronic acid.
AB - Our aim was to determine if zoledronic acid (ZA) changes (45)Ca pharmacokinetics
and bone microstructure in irradiated, ovary-intact (I) and irradiated,
ovariectomized mice (OVX), two groups with different patterns of skeletal damage.
The hind limbs of I and OVX BALB/c mice received a single 16-Gy radiation dose,
simulating pre- and postmenopausal female cancer patients undergoing radiation
treatment. All I and OVX mice were radiolabeled with 15 MUCi (45)Ca. Mice were
treated with or without a 0.5 mg/kg injection of ZA. The time course of bone
mineral remodeling was evaluated using a fecal (45)Ca assay, measured by liquid
scintillation. A group of nonirradiated, intact mice were used for the
longitudinal evaluation of (45)Ca biodistribution. Distal femur bone
histomorphometric parameters were measured using microCT at 50 days post-ZA
intervention. Most (45)Ca was incorporated into the skeleton and eliminated from
the soft tissues within 3-5 days postirradiation, attaining a steady state of
excretion at 25-30 days. ZA intervention in both groups resulted in a rapid
decrease in fecal (45)Ca excretion. There was a significant difference in (45)Ca
excretion in the OVX +/- ZA (P = 0.005) group but not in the I +/- ZA (P = 0.655)
group. The rate of excretion of fecal (45)Ca was slower in the OVX + ZA compared
to the I + ZA group (P = 0.064). (45)Ca assay is useful to monitor the time
course of bone mineral remodeling after an antiresorptive intervention in
irradiated mice, providing a basis to investigate bone effects of cancer therapy
protocols. For equivalent doses of ZA, recovery may depend on the nature and
degree of skeletal damage.
PMID- 22083108
TI - Landfill mining: a critical review of two decades of research.
AB - Landfills have historically been seen as the ultimate solution for storing waste
at minimum cost. It is now a well-known fact that such deposits have related
implications such as long-term methane emissions, local pollution concerns,
settling issues and limitations on urban development. Landfill mining has been
suggested as a strategy to address such problems, and in principle means the
excavation, processing, treatment and/or recycling of deposited materials. This
study involves a literature review on landfill mining covering a meta-analysis of
the main trends, objectives, topics and findings in 39 research papers published
during the period 1988-2008. The results show that, so far, landfill mining has
primarily been seen as a way to solve traditional management issues related to
landfills such as lack of landfill space and local pollution concerns. Although
most initiatives have involved some recovery of deposited resources, mainly cover
soil and in some cases waste fuel, recycling efforts have often been largely
secondary. Typically, simple soil excavation and screening equipment have
therefore been applied, often demonstrating moderate performance in obtaining
marketable recyclables. Several worldwide changes and recent research findings
indicate the emergence of a new perspective on landfills as reservoirs for
resource extraction. Although the potential of this approach appears significant,
it is argued that facilitating implementation involves a number of research
challenges in terms of technology innovation, clarifying the conditions for
realization and developing standardized frameworks for evaluating economic and
environmental performance from a systems perspective. In order to address these
challenges, a combination of applied and theoretical research is required.
PMID- 22083109
TI - Toxicity monitoring with primary cultured hepatocytes underestimates the
acetaminophen-induced inflammatory responses of the mouse liver.
AB - In vitro gene expression profiling with isolated hepatocytes has been used to
assess the hepatotoxicity of certain chemicals because of animal welfare issues.
However, whether an in vitro system can completely replace the in vivo system has
yet to be elucidated in detail. Using a focused microarray established in our
laboratory, we examined gene expression profiles in the mouse liver and primary
cultured hepatocytes after treatment with different doses of acetaminophen, a
widely used analgesic that frequently causes liver injury. The acute
hepatotoxicity of acetaminophen was confirmed by showing the induction of an
oxidative stress marker, heme oxygenase-1, elevated levels of serum transaminase,
and histopathological findings. In vivo microarray and network analysis showed
that acetaminophen treatment provoked alterations in relation to the inflammatory
response, and that tumor necrosis factor-alpha plays a central role in related
pathway alterations. By contrast, pathway analyses in in vitro isolated
hepatocytes did not find such prominent changes in the inflammation-related
networks compared with the in vivo situation. Thus, although in vitro gene
expression profiles are useful for evaluating the direct toxicity of chemicals,
indirect toxicities including inflammatory responses mediated by cell-cell
interactions or secondary toxicity due to pathophysiological changes in the whole
body may be overlooked. Our results indicate that the in vitro hepatotoxicity
prediction system using isolated hepatocytes does not fully reflect the in vivo
cellular response. An in vitro system may be appropriate, therefore, for high
throughput screening to detect the direct hepatotoxicity of a test compound.
PMID- 22083110
TI - Elemental and configural olfactory coding by antennal lobe neurons of the
honeybee (Apis mellifera).
AB - When smelling an odorant mixture, olfactory systems can be analytical (i.e.
extract information about the mixture elements) or synthetic (i.e. creating a
configural percept of the mixture). Here, we studied elemental and configural
mixture coding in olfactory neurons of the honeybee antennal lobe, local neurons
in particular. We conducted intracellular recordings and stimulated with
monomolecular odorants and their coherent or incoherent binary mixtures to
reproduce a temporally dynamic environment. We found that about half of the
neurons responded as 'elemental neurons', i.e. responses evoked by mixtures
reflected the underlying feature information from one of the components. The
other half responded as 'configural neurons', i.e. responses to mixtures were
clearly different from responses to their single components. Elemental neurons
divided in late responders (above 60 ms) and early responder neurons (below 60
ms), whereas responses of configural coding neurons concentrated in-between these
divisions. Latencies of neurons with configural responses express a tendency to
be faster for coherent stimuli which implies employment in different processing
circuits.
PMID- 22083111
TI - Effect of maternal dietary intake on the weight of the newborn in Aligarh city,
India.
AB - BACKGROUND: This study aimed (1) To record the nutrient intake of the respondents
and compare the same with the available recommended dietary allowances (RDA). (2)
To assess the correlation between maternal dietary intake and the weight of
newborn. MATERIALS AND METHODS: Two hundred and ninety two pregnant women. STUDY
AREA: Five hospitals of Aligarh city, Uttar Pradesh. Study Tool and Data
Collection: Interview schedule was administered to record information regarding
dietary intake and weight of newborn. The data collection was initiated in April
2009 and was completed in March' 2010. DATA ANALYSIS: Statistical analysis was
done by using version SPSS 17. Frequency distributions were calculated for all
variables. Univariate and multivariate analysis were performed to determine the
influence of the dietary intake on the birth weight of newborn. RESULTS: Results
revealed that the nutrient intake in all trimesters of pregnancy was lower as
compared to RDA. There were significant correlations between the nutrient intake
of the mothers and the weight of newborn in all trimesters of pregnancy (P=0.01).
CONCLUSION: It was found that the dietary intake during all trimesters of
pregnancy were significantly associated with the birth weight.
PMID- 22083115
TI - Transplantation: Liver steatosis and errors in estimation of standard liver
volume.
PMID- 22083118
TI - Pancreas: Limiting EUS misinterpretation of mucus as mural nodules in pancreatic
cysts.
PMID- 22083120
TI - Colorectal cancer: Fusobacterium nucleatum found in colon cancer tissue--could an
infection cause colorectal cancer?
PMID- 22083123
TI - Liver: Usefulness of noninvasive biomarkers of fibrosis in chronic liver disease.
PMID- 22083124
TI - Pancreas: Oral microbiota and pancreatic disease.
PMID- 22083127
TI - New perspectives on photosynthetic phosphorylation in the light of a torsional
mechanism of energy transduction and ATP synthesis.
AB - New perspectives on photophosphorylation have been offered from the standpoint of
the torsional mechanism of energy transduction and ATP synthesis. New
experimental data on the involvement of malate anions in ATP synthesis in an acid
base malate bath procedure has been reported on spinach chloroplast thylakoids as
the model system. The data cannot be reconciled with the chemiosmotic theory but
has been shown to be naturally explained by the torsional mechanism. The path of
malic acid in the acid and base stages of the experiment has been traced,
offering further strong support to the new paradigm. Classical observations in
the field have been re-interpreted in the light of these findings. A new concept
of ion translocation, energy transduction and coupling at the overall
physiological level in photophosphorylation has been presented and a large number
of novel experimentally testable predictions have been made and shown to arise as
logical consequences of the new perspectives.
PMID- 22083126
TI - Mitochondrial pathobiology in ALS.
AB - Amyotrophic lateral sclerosis (ALS) is the third most common human adult-onset
neurodegenerative disease. Some forms of ALS are inherited, and disease-causing
genes have been identified. Nevertheless, the mechanisms of neurodegeneration in
ALS are unresolved. Genetic, biochemical, and morphological analyses of human ALS
as well as cell and animal models of ALS reveal that mitochondria could have
roles in this neurodegeneration. The varied functions and properties of
mitochondria might render subsets of selectively vulnerable neurons intrinsically
susceptible to cellular aging and stress and overlying genetic variations.
Changes occur in mitochondrial respiratory chain enzymes and mitochondrial
programmed cell death proteins in ALS. Transgenic mouse models of ALS reveal
possible principles governing the biology of neurodegeneration that implicate
mitochondria and the mitochondrial permeability transition pore. This paper
reviews how mitochondrial pathobiology might contribute to the mechanisms of
neurodegeneration in ALS.
PMID- 22083128
TI - Architecture and characterization of sarcosine oxidase from Thermococcus
kodakarensis KOD1.
AB - Sarcosine oxidase (SOX) catalyzes the oxidation of the methyl group in sarcosine
and transfer of the oxidized methyl group into the one-carbon metabolic pool.
Here, we separately cloned and expressed alpha and beta subunit of SOX from
Thermococcus kodakarensis KOD1 (TkSOX) in Escherichia coli and the recombinant
proteins were purified to homogeneity. Gel filtration chromatography and
transmission electron microscopy analysis showed that the alpha subunit formed a
dimeric structure and behaved as an NADH dehydrogenase; beta subunit was a
tetramer that had sarcosine oxidase and L: -proline dehydrogenase activity. The
TkSOX complex assembled into the hetero-octameric (alphabeta)(4) form and had
NADH dehydrogenase activity. Gold-label analysis indicated that alpha and beta
subunits were oriented in the alternative form. Based on these results, we
suggested that TkSOX was a multifunctional enzyme and that each subunit and
(alphabeta)(4) complex may separately exist as a function enzyme in different
conditions.
PMID- 22083129
TI - Temperature responses of growth, photosynthesis, fatty acid and nitrate reductase
in Antarctic and temperate Stichococcus.
AB - Stichococcus, a genus of green algae, distributes in ice-free areas throughout
Antarctica. To understand adaptive strategies of Stichococcus to permanently cold
environments, the physiological responses to temperature of two psychrotolerants,
S. bacillaris NJ-10 and S. minutus NJ-17, isolated from rock surfaces in
Antarctica were compared with that of one temperate S. bacillaris FACHB753. Two
Antarctic Stichococcus strains grew at temperature from 4 to 25 degrees C, while
the temperate strain could grow above 30 degrees C but could not survive at 4
degrees C. The photosynthetic activity of FACHB753 at lower than 10 degrees C was
less than that of Antarctic algae. Nitrate reductase in NJ-10 and NJ-17 had its
optimal temperature at 20 degrees C, in comparison, the maximal activity of
nitrate reductase in FACHB753 was found at 25 degrees C. When cultured at 4-15
degrees C a large portion of unsaturated fatty acids in the two Antarctic species
was detected and the regulation of the degree of unsaturation of fatty acids by
temperature was observed only above 15 degrees C, though the content of the major
unsaturated fatty acid alphaC18:3 in FACHB753 decreased with the temperatures
elevated from 10 to 25 degrees C. Elevated nitrate reductase activity and
photosynthetic rates at low temperatures together with the high proportion of
unsaturated fatty acids contribute to the ability of the Antarctic Stichococcus
to thrive.
PMID- 22083130
TI - Cooperative folding of tau peptide by coordination of group IIB metal cations
during heparin-induced aggregation.
AB - The group IIB elements, especially Cd(II) and Hg(II), are increasingly considered
as potential environmental neurotoxins. This study demonstrates that the
Alzheimer's tau fragment R2, corresponding to the second repeat of the
microtubule-binding domain, can bind to Zn(II), Cd(II) and Hg(II). Isothermal
titration calorimetry experiments suggest that the most likely coordination site
is the thiol group of Cys291, and this is further confirmed by a control
experiment using a C291A mutant peptide. Circular dichroism spectrum reveals that
the coordination of group IIB cations, especially Hg(II), can induce pronounced
conformational conversions in natively unfolded R2, from random coil to other
ordered structures. ThS fluorescence assays and electron microscopy indicate that
the group IIB cations promote heparin-induced aggregation of R2, giving
relatively small R2 filaments. The efficiency in promoting aggregation, as well
as inducing conformational conversion, varies strongly with the cation's
polarizability. Based on these results, a model is proposed in which the
cooperative folding of R2 through cross-bridging of group IIB cations is
suggested to be a key factor in promoting aggregation, in addition to the
effective neutralization of coulombic charge-charge repulsion by heparin, the
poly-anion inducer. Our results provide clues to understanding the potential
pathogenic role of group IIB metals in the development of neurofibrillary
tangles, a typical hallmark of Alzheimer's disease.
PMID- 22083131
TI - The Phaseolus vulgaris miR159a precursor encodes a second differentially
expressed microRNA.
AB - Plant microRNAs originate from a stem-loop structured single-stranded RNA
precursor. Each stem-loop is processed to generate a mature microRNA that is
recruited to an ARGONAUTE-containing multi-protein complex to direct silencing of
its target mRNA. Here we report that the conserved plant miR159a precursor
produces a second 21-nt long RNA with the properties of a microRNA. Its presence
in different plant species is supported by its conservation in the stem-loop
position and expression as determined by northern blot analysis. We show that
successive processing by DCL1 produces this novel microRNA from the same
precursor as miR159a. In contrast to the low levels observed in other plant
models for the equivalent of miR159.2, in P. vulgaris, the accumulation of
miR159.2 is easily detectable and when compared to miR159a, their expression
patterns are distinct in different organs and growth conditions. Further evidence
of the functionality of miR159.2 comes from its association with silencing
complexes as demonstrated by co-immunoprecipitation experiments using an AGO1
specific antibody and processing of an artificial GFP reporter construct
containing a complementary target sequence. These results indicate that the
second small RNA corresponds to a microRNA, at least partially independent of
miR159 activity, and that in plants a miRNA precursor may encode multiple
regulatory small RNAs.
PMID- 22083132
TI - Microarray analysis of broad-spectrum resistance derived from an indica cultivar
Rathu Heenati.
AB - Rathu Heenati (RHT) is a Sri Lankan rice cultivar that carries a brown
planthopper (BPH) resistance gene, Bph3, and shows broad-spectrum resistance to
all four biotypes of BPH. The BPH-resistance loci in RHT has been studied
extensively and assigned to four different rice chromosomes (3, 4, 6, and 10) by
different research groups, but the gene has not been cloned previously. An
Affymetrix rice genome array containing 48,564 japonica and 1,260 indica
sequences was used to analyze the potential resistance-related genes on the four
chromosomes by comparative analysis of the differentially expressed genes between
resistant and susceptible rice cultivars exposed to BPH attack. The microarray
results showed that at least 17 genes related to induced resistance and at least
193 genes related to constitutive resistance in RHT. On chromosome 3, the AOC4
was hypothesized to be the most important candidate gene. On chromosome 6, no
valuable candidate resistance gene was identified in the Bph3 localization
region. In the three Quantitative trait locus regions of chromosomes 3, 4, and
10, the numbers of constitutive and induced resistance-related genes found were
17, 26, and 12, respectively. The major probe on chromosome 10 represents a
constitutive expression gene with a very high absolute fold-change of 2,588.82.
The microarray analysis indicated that BPH resistance in RHT is probably
controlled by a series of resistance-related genes. This study provides valuable
information for cloning, functional analysis and marker-assisted breeding of
these BPH resistance genes.
PMID- 22083133
TI - An unusual Me3SiI-promoted [4+2] annulation and reduction: an efficient approach
to construct 4H-benzopyrans.
AB - Me(3)SiI-promoted reaction of salicylic aldehydes with beta-dicarbonyl compounds
provided a facile way to construct 4H-benzopyrans in moderate to good yields.
This tandem reaction proceeds with high efficiency through nucleophilic addition,
silyl enol ether formation, substitution, reduction, and intramolecular
nucleophilic cyclization.
PMID- 22083135
TI - Silver-catalyzed low-temperature CO isotopic scrambling reaction: 12C16O + 13C18O
-> 12C18O + 13C16O.
AB - In this paper we report on low-temperature CO isotopic scrambling ((12)C(16)O +
(13)C(18)O ->(12)C(18)O + (13)C(16)O). The reaction proceeds on a commercial
silver-exchanged zeolite even at about 100 K and requires an optimal reduction
degree of the catalysts.
PMID- 22083134
TI - New horizons for cholesterol ester transfer protein inhibitors.
AB - High-density lipoprotein (HDL) cholesterol levels bear an inverse relationship to
cardiovascular risk. To date, however, no intervention specifically targeting HDL
has been demonstrated to reduce cardiovascular risk. Cholesterol ester transfer
protein (CETP) mediates transfer of cholesterol ester from HDL to apolipoprotein
B-containing particles. Most, but not all observational cohort studies indicate
that genetic polymorphisms of CETP associated with reduced activity and higher
HDL cholesterol levels are also associated with reduced cardiovascular risk.
Some, but not all studies indicate that CETP inhibition in rabbits retards
atherosclerosis, whereas transgenic CETP expression in mice promotes
atherosclerosis. Torcetrapib, the first CETP inhibitor to reach phase III
clinical development, was abandoned due to excess mortality associated with
increases in aldosterone and blood pressure. Two other CETP inhibitors have
entered phase III clinical development. Anacetrapib is a potent inhibitor of CETP
that produces very large increases in HDL cholesterol and large reductions in low
density lipoprotein (LDL) cholesterol, beyond those achieved with statins.
Dalcetrapib is a less potent CETP inhibitor that produces smaller increases in
HDL cholesterol with minimal effect on LDL cholesterol. Both agents appear to
allow efflux of cholesterol from macrophages to HDL in vitro, and neither agent
affects blood pressure or aldosterone in vivo. Two large cardiovascular outcomes
trials, one with anacetrapib and one with dalcetrapib, should provide a
conclusive test of the hypothesis that inhibition of CETP decreases
cardiovascular risk.
PMID- 22083137
TI - Reply to the comment by Carmelo Anile on the paper "Complexity analysis of the
cerebrospinal fluid pulse waveform during infusion studies".
PMID- 22083136
TI - Deficient language acquisition in children with single suture craniosynostosis
and deformational posterior plagiocephaly.
AB - PURPOSE: This study examined early language acquisition in children with single
suture craniosynostosis (SSC) and in children with deformational posterior
plagiocephaly. Our purpose was to determine whether infants with SSC have normal
language acquisition at the age of 3 years, and whether infants with
deformational posterior plagiocephaly demonstrate parallel development when
compared with children with SSC. METHODS: The study population includes 61
infants. Twenty of them had synostosis of the sagittal suture, 12 synostosis of
other suture and 29 deformational posterior plagiocephaly. Forty-nine of them
were operated on a mean age of 10.6 months, and 12 were non-operated children
with deformational posterior plagiocephaly. Language skills of participants were
prospectively evaluated at the mean age of 3 years 4 months. RESULTS: About one
half of the subjects (49%) had normal linguistic development, 30% had slight
developmental problems and 21% had severe disorders in speech-language-related
skills. These figures showed the prevalence of severe language disorders to be
three times higher in our study population when compared with the general
population. Children with sagittal synostosis managed better in all language
skills compared with other types of SSC. Defective language development was found
in deformational posterior plagiocephaly, both operated and non-operated.
CONCLUSIONS: We found a noticeable developmental risk for specific language
impairment in children with nonsyndromic SSC, and that the deviant language
development is observable already in early infancy. Contrary to previous beliefs,
the developmental risk for defective language development in deformational
posterior plagiocephaly was found in both operated and non-operated subjects.
PMID- 22083138
TI - Stem cells: on the front line.
PMID- 22083140
TI - Inhibition of GSK3 by Wnt signalling--two contrasting models.
AB - The key read-out of Wnt signalling is a change in the transcriptional profile of
the cell, which is driven by beta-catenin. beta-catenin levels are normally kept
low by a phosphorylation event that is mediated by glycogen synthase kinase 3
(GSK3, alpha- and beta-isoforms), which targets beta-catenin for ubiquitylation
and proteasomal degradation. Wnt blocks this phosphorylation event, thereby
allowing beta-catenin to accumulate and to co-activate transcription in the
nucleus. Exactly how Wnt inhibits GSK3 activity towards beta-catenin is unclear
and has been the focus of intensive research. Recent studies on the role of
conserved PPPSPxS motifs in the cytoplasmic tail of low-density lipoprotein
receptor-related protein (LRP, isoforms 5 and 6) culminated in a biochemical
model: Wnt induces the phosphorylation of LRP6 PPPSPxS motifs, which consequently
access the catalytic pocket of GSK3 as pseudo-substrates, thus directly blocking
its activity against beta-catenin. A distinct cell-biological model was proposed
more recently: Wnt proteins induce the uptake of GSK3 into multivesicular bodies
(MVBs), an event that sequesters the enzyme away from newly synthesised beta
catenin substrate in the cytoplasm, thus blocking its phosphorylation. This new
model is based on intriguing observations but also challenges a body of existing
evidence, so will require further experimental consolidation. We shall consider
whether the two models apply to different modes of Wnt signaling: acute versus
chronic.
PMID- 22083139
TI - The haematopoietic stem cell niche at a glance.
PMID- 22083141
TI - Complications associated with new-onset diabetes after kidney transplantation.
AB - New-onset diabetes mellitus after kidney transplantation (NODAT) is widely
acknowledged to be associated with increased morbidity and mortality, as well as
poor quality of life. Clear evidence links the occurrence of NODAT to accelerated
progression of some macrovascular and/or microvascular complications. However,
the evidence that some complications commonly attributed to diabetes mellitus
occur in the context of transplantation lacks robustness. Certain complications
are transplantation-specific and prevalent, but others are not frequently
observed or documented. For this reason, it is essential that clinicians are
aware of the array of potential complications associated with NODAT in kidney
allograft recipients. Rather than simply translating evidence from the general
population to the high-risk transplant recipient, this Review aims to provide
specific guidance on diabetes-related complications in the context of a complex
transplantation environment.
PMID- 22083142
TI - A transdisciplinary approach to the selection of moderators of an exercise
promotion intervention: baseline data and rationale for Colorado STRIDE.
AB - A transdisciplinary approach incorporating biological, psychological, behavioral,
and genetic factors was taken to better identify proposed moderators of the
effectiveness of an intervention to increase physical activity. This paper
illustrates how theory-based individual difference variables can be integrated
into a complex randomized controlled trial. The transdisciplinary framework
guiding the selection of moderators, the COSTRIDE intervention study and sample,
and the relationships among baseline variables are provided. Participants were
non-active individuals randomly assigned to either the STRIDE exercise or health
and-wellness contact control condition. Structural equation modeling was utilized
to demonstrate that relationships among baseline variables confirm hypothesized
relationships in the transdisciplinary framework. Preliminary data from COSTRIDE
suggest that interventions among sedentary individuals may be more effective if a
broader range of factors influencing physical activity are considered.
PMID- 22083144
TI - Comparisons of zinc with cadmium in N2S2 coordination and as S-bonded adducts to
tungsten carbonyls.
AB - The synthesis and characterization of bis-mercaptoethanediazaheptane cadmium(II)
is reported and compared to the analogous zinc complex. Of significance is the
dimeric form of the [Zn(N(2)S(2))](2) complex achieves penta-coordination about
zinc through a bridging thiolate whereas cadmium engages two thiolate as S
bridges resulting in hexa-coordination about cadmium within a coordination
polymer whose X-ray crystal structure is reported here. In the presence of
W(CO)(5), this polymer breaks up, generating dimeric [Cd(N(2)S(2))](2) with two
W(CO)(5) units appended to the terminal thiolates, a feat that is not observed
for the zinc dimer analogue. The greater thiophilicity of cadmium over zinc is
noted in several features of these complexes.
PMID- 22083143
TI - Health professional advice for smoking and weight in adults with and without
diabetes: findings from BRFSS.
AB - Health risk behaviors including smoking and weight-gain can cause and exacerbate
chronic diseases like diabetes. Brief provider advice is an effective
intervention to reduce risk from these behaviors. However, behavioral advice is
provided more often to those who already have a chronic illness when compared
with those who are at risk. The purpose of this study is to determine whether the
frequency of provider advice for smoking cessation and weight loss varies between
overweight or obese smokers with and without diabetes. BRFSS data from a subset
of overweight and obese smokers with (n = 848) and without (n = 6,279) diabetes
were analyzed to determine differences in reported provider advice. Overweight
and obese smokers with diabetes reported receiving more advice for both weight
(46.4% vs. 23.4%, P < 0.001) and smoking (84.5% vs. 72.8%, P < 0.001) compared to
those without diabetes. Advice for smoking cessation was reported two to three
times more often than advice for weight. Nearly a quarter of those with diabetes
and almost half of those without reported no receipt of advice about weight.
Results indicate that providers are not adequately addressing overweight and
obesity in patients with and at risk for diabetes.
PMID- 22083145
TI - QTc behavior during exercise and genetic testing for the long-QT syndrome.
PMID- 22083147
TI - Iron overload cardiomyopathy in clinical practice.
PMID- 22083146
TI - Definition of early repolarization: a tug of war.
PMID- 22083148
TI - Atrial fibrillation pathophysiology: implications for management.
AB - Atrial fibrillation (AF), the most common sustained cardiac arrhythmia, is an
important contributor to population morbidity and mortality. An arrhythmia that
is particularly common in the elderly, AF is growing in prevalence with the aging
of the population. Our understanding of the basic mechanisms that govern AF
occurrence and persistence has been increasing rapidly. This article reviews the
basic pathophysiology of AF over a broad range of levels, touching on the tissue
mechanisms that maintain the arrhythmia, the relationship between clinical
presentation and basic mechanisms, ion channel and transporter abnormalities that
lead to ectopic impulse formation, basic models and tissue determinants of
reentry, ion channel determinants of reentry, the nature and roles of electric
and structural remodeling, autonomic neural components, anatomic factors,
interactions between atrial and ventricular functional consequences of AF, and
the basic determinants of atrial thromboembolism. We then review the potential
implications of the basic pathophysiology of the arrhythmia for its management.
We first discuss consequences for improved rhythm control pharmacotherapy:
targeting underlying conditions, new atrium-selective drug targets, new targets
for focal ectopic source suppression, and upstream therapy aiming to prevent
remodeling. We then review the implications of basic mechanistic considerations
for rate control therapy, AF ablation, and the prevention of thromboembolic
events. We conclude with some thoughts about the future of translational research
related to AF mechanisms.
PMID- 22083149
TI - Giant intramural left ventricular rhabdomyoma in a newborn.
PMID- 22083150
TI - An inverted location of the bicuspid valve disease: a variant of a variant.
PMID- 22083151
TI - Advances in the epidemiology of heart failure and left ventricular remodeling.
PMID- 22083153
TI - Letter by Falk regarding article, "An unusual heart failure: cardiac amyloidosis
due to light chain myeloma".
PMID- 22083154
TI - Letter by Anyfanti et al regarding article, "Effect of renal sympathetic
denervation on glucose metabolism in patients with resistant hypertension: a
pilot study".
PMID- 22083155
TI - Congenital lipoid adrenal hyperplasia (a rare form of adrenal insufficiency and
ambiguous genitalia) caused by a novel mutation of the steroidogenic acute
regulatory protein gene.
AB - Congenital lipoid adrenal hyperplasia (lipoid CAH) is a rare autosomal recessive
disorder of adrenal and gonadal steroidogenesis. It is most frequently caused by
mutations in the steroidogenic acute regulatory protein (StAR) gene. Patients
with lipoid CAH typically present with adrenal crisis in early infancy, and those
with a 46,XY karyotype have female genitalia. However, it has been recently
recognized that the phenotype can be quite variable, in that adrenal
insufficiency is detected later in life and patients may have partially
masculinized or even normal male genitalia. We report a patient assigned and
reared as a female with a 46,XY karyotype and with a homozygous intron 2
(c.178+1G>C) splice site mutation of the StAR gene, which is a novel mutation
that causes lipoid CAH. Her clinical presentation was somewhat atypical for a
patient with classic lipoid CAH, marked by mild masculinization of the genitalia,
detectable adrenal steroids at baseline, and ability to tolerate the stress of a
surgical procedure with anesthesia without receiving glucocorticoid treatment.
CONCLUSION: There is significant phenotypic variability among patients with
lipoid CAH. While splice site mutations in the StAR gene lead to premature
translational termination, resulting in truncated and non-functional proteins,
there is phenotypic variability among patients with such mutations. Our patient
appears to have the more atypical phenotype compared to reported patients with
similar mutations. The molecular mechanism underlying this heterogeneity remains
unclear.
PMID- 22083156
TI - Detection of pulmonary arterial morphology in tetralogy of Fallot with pulmonary
atresia by computed tomography: 12 years of experience.
AB - Our aim was to evaluate the feasibility of using computed tomography (CT) to
define the pulmonary artery anatomy in patients with tetralogy of Fallot and
pulmonary atresia (TOF-PA). We retrospectively reviewed 110 patients with TOF-PA
between 1995 and 2008. Those who received cardiac catheterization and surgery
within 3 months of their CT examinations were enrolled. Based on Dr. Somerville's
classification, the pulmonary arterial pattern was determined, including
identifiable pulmonary trunk (type I), the presence of both left and right
pulmonary arteries without trunk (II), only left or right pulmonary artery
present (III), and absent intrapericardial pulmonary arteries (IV). The accuracy
of both imaging modalities was evaluated with operation findings as the golden
standard. The effective radiation doses and adverse events were also recorded. In
the 64 eligible patients (median age, 23 months), CT and catheterization
demonstrated accurate pulmonary arterial morphology in 60 (60/64) and 53 (53/64)
TOF-PA patients, respectively. Thirty-two of 35 type I patients were correctly
identified by CT, whereas 26 were correctly identified by catheterization (p =
0.03). Of the 20 type II TOF-PA patients, 19 were diagnosed by CT, whereas 18
were diagnosed by catheterization. CT and catheterization both successfully
defined six type III and three type IV patients. The median calculated radiation
doses caused by CT and catheterization were 4.5 and 5.6 mSv, respectively (p >
0.05). CONCLUSIONS: For patients with TOF-PA, CT could accurately delineate
pulmonary arterial morphology with the same level of accuracy as cardiac
catheterization. Therefore, CT can be considered a reasonable diagnostic
alternative for such patients.
PMID- 22083157
TI - Ambulatory and home blood pressure measurement: complementary rather than
competitive methods.
PMID- 22083159
TI - A new presentation of the chimeric CYP11B1/CYP11B2 gene with low prevalence of
primary aldosteronism and atypical gene segregation pattern.
AB - Familial hyperaldosteronism type I is caused by an unequal crossover of 11beta
hydroxylase (CYP11B1) and aldosterone synthase (CYP11B2) genes, giving rise to a
chimeric CYP11B1/CYP11B2 gene (CG). We describe a family carrying a CG with high
levels of free 18-hydroxycortisol but low prevalence of primary aldosteronism
(PA) and an atypical CG inheritance pattern in a family of 4 generations with 16
adults and 13 children, we measured the arterial blood pressure, serum
aldosterone, and plasma renin activity and then calculated the serum
aldosterone:plasma renin activity ratio and urinary free 18-hydroxycortisol. We
identified the CG by long-extension PCR and predicted its inheritance pattern.
The CG was found in 24 of 29 subjects (10 children and 14 adults). In CG+
patients, hypertension and high 18-hydroxycortisol were prevalent (83% and 100%,
respectively). High serum aldosterone:plasma renin activity ratio was more
frequent in pediatric than adult patients (80% versus 36%; P<0.001). An inverse
association between serum aldosterone:plasma renin activity ratio and age was
observed (r=-0.48; P=0.018). Sequence analysis identified the CYP11B1/CYP11B2
crossover in a 50-bp region spanning intron 3 of CYP11B1 and exon 4 of CYP11B2.
The CG segregation differs from an autosomal disease, showing 100% of CG
penetrance in generations II and III. Statistical analysis suggests that
inheritance pattern was not attributed to random segregation (P<0.001). In
conclusion, we describe a family with an atypical CYP11B1/CYP11B2 gene
inheritance pattern and variable phenotypic expression, where the majority of
pediatric patients have primary aldosteronism. Most adults have normal
aldosterone and renin levels, which could mask them as essential hypertensives.
PMID- 22083158
TI - Role of uncoupled endothelial nitric oxide synthase in abdominal aortic aneurysm
formation: treatment with folic acid.
AB - It has been shown that endothelial NO synthase (eNOS) uncoupling occurs in
hypertension and atherosclerosis. However, its causal role in vascular
pathogenesis has not been characterized previously. Here, we challenged eNOS
preuncoupled hyperphenylalaninemia (hph)-1 mice (deficient in eNOS cofactor
tetrahydrobiopterin biosynthetic enzyme GTPCHI) with angiotensin II (Ang II; 0.7
mg/kg per day, 14 days). Both wild-type and hph-1 groups developed hypertension
similarly up to day 6 to 7. Thereafter, ~14% of Ang II-infused (0.7 mg/kg per
day) hph-1 mice (n=72) started to die suddenly of ruptured abdominal aortic
aneurysm (AAA). Among the survivors, 65% developed AAA, resulting in a total
morbidity rate of 79%. In contrast, none of the Ang II-infused wild-type mice
died or developed AAA. Ang II progressively deteriorated eNOS uncoupling in hph-1
mice while augmenting tetrahydrobiopterin and nitric oxide (NO(.)) deficiencies.
The abundance of the tetrahydrobiopterin salvage enzyme dihydrofolate reductase
in the endothelium was decreased in hph-1 mice and further diminished by Ang II
infusion. Intriguingly, restoration of dihydrofolate reductase expression by oral
administration of folic acid or overexpression of dihydrofolate reductase
completely prevented AAA formation in Ang II-infused hph-1 mice while attenuating
progressive uncoupling of eNOS. Folic acid also attenuated vascular remodeling
and inflammation characterized by medial elastin breakdown and augmented matrix
metalloproteinase 2 activity and activation of matrix metalloproteinase 9, as
well as macrophage infiltration. In conclusion, these data innovatively suggest a
causal role of eNOS uncoupling/tetrahydrobiopterin deficiency in AAA formation.
Therefore, oral folic acid administration, endothelium-targeted dihydrofolate
reductase gene therapy, and perhaps other countermeasures directed against eNOS
uncoupling could be used as new therapeutics for AAA.
PMID- 22083160
TI - Mechanisms of premature vascular aging in children with Hutchinson-Gilford
progeria syndrome.
AB - Hutchinson-Gilford progeria syndrome is a rare, segmental premature aging
syndrome of accelerated atherosclerosis and early death from myocardial
infarction or stroke. This study sought to establish comprehensive
characterization of the fatal vasculopathy in Hutchinson-Gilford progeria
syndrome and its relevance to normal aging. We performed cardiovascular
assessments at a single clinical site on the largest prospectively studied cohort
to date. Carotid-femoral pulse wave velocity was dramatically elevated (mean:
13.00+/-3.83 m/s). Carotid duplex ultrasound echobrightness, assessed in
predefined tissue sites as a measure of arterial wall density, was significantly
greater than age- and sex-matched controls in the intima-media (P<0.02), near
adventitia (P<0.003), and deep adventitia (P<0.01), as was internal carotid
artery mean flow velocity (P<0.0001). Ankle-brachial indices were abnormal in 78%
of patients. Effective disease treatments may be heralded by normalizing trends
of these noninvasive cardiovascular measures. The data demonstrate that, along
with peripheral vascular occlusive disease, accelerated vascular stiffening is an
early and pervasive mechanism of vascular disease in Hutchinson-Gilford progeria
syndrome. There is considerable overlap with cardiovascular changes of normal
aging, which reinforces the view that defining mechanisms of cardiovascular
disease in Hutchinson-Gilford progeria syndrome provides a unique opportunity to
isolate a subset of factors influencing cardiovascular disease in the general
aging population.
PMID- 22083161
TI - Peroxisome proliferator-activated receptor-gamma regulates inflammation and renin
angiotensin system activity in the hypothalamic paraventricular nucleus and
ameliorates peripheral manifestations of heart failure.
AB - Activation of peroxisome proliferator-activated receptor (PPAR)-gamma, a nuclear
transcription factor, has been shown to inhibit the production of proinflammatory
cytokines and, in peripheral tissues, to downregulate the renin-angiotensin
system. PPAR-gamma is expressed in key brain areas involved in cardiovascular and
autonomic regulation. We hypothesized that activation of central PPAR-gamma would
reduce sympathetic excitation and ameliorate peripheral manifestations of heart
failure (HF) by inhibiting central inflammation and brain renin-angiotensin
system activity. Two weeks after coronary artery ligation, HF rats received an
intracerebroventricular infusion of the PPAR-gamma agonist pioglitazone or
vehicle for another 2 weeks. PPAR-gamma expression in the paraventricular nucleus
of hypothalamus, an important cardiovascular region, was unchanged in HF compared
with sham-operated rats. However, PPAR-gamma DNA binding activity was reduced,
nuclear factor-kappaB activity was increased, and expression of proinflammatory
cytokines and angiotensin II type-1 receptor was augmented in the HF rats. Mean
blood pressure response to ganglionic blockade was greater; plasma norepinephrine
levels, lung/body weight, right ventricle/body weight, and left ventricular end
diastolic pressure were increased; and maximal left ventricular dP/dt was
decreased. All of these findings were ameliorated in HF rats treated with
intracerebroventricular pioglitazone, which increased PPAR-gamma expression and
DNA binding activity in the paraventricular nucleus of hypothalamus. The results
demonstrate that cardiovascular and autonomic mechanisms leading to heart failure
after myocardial infarction can be modulated by activation of PPAR-gamma in the
brain. Central PPAR-gamma may be a novel target for treatment of sympathetic
excitation in myocardial infarction-induced HF.
PMID- 22083162
TI - Sympathoexcitation by brain oxidative stress mediates arterial pressure elevation
in salt-induced chronic kidney disease.
AB - Hypertension is very prevalent in chronic kidney disease and critical for its
prognosis. Sympathoexcitation and oxidative stress have been demonstrated to be
involved in chronic kidney disease. We have shown previously that
sympathoexcitation by brain oxidative stress mediates arterial pressure elevation
in the salt-sensitive hypertension model, Dahl salt-sensitive rats. Thus, we
investigated whether sympathoexcitation by excessive brain oxidative stress could
contribute to arterial pressure elevation in salt-induced chronic kidney disease
model rats. Young (3-week-old) male Sprague-Dawley rats were randomly assigned to
a uninephrectomy or sham operation and then subjected to either a normal salt
(0.5%) or high-salt (8.0%) diet for 4 weeks. The young salt-loaded
uninephrectomized rats exhibited sympathoexcitation, hypertension, and renal
injury, proteinuria and global glomerulosclerosis together with
tubulointerstitial damage. Under urethane anesthesia and artificial ventilation,
renal sympathetic nerve activity, arterial pressure, and heart rate decreased to
a greater degree in the salt-loaded uninephrectomized rats than in the nonsalt
loaded uninephrectomized rats and the salt-loaded or nonsalt-loaded sham-operated
rats, when Tempol, a membrane-permeable superoxide dismutase mimetic, was infused
acutely into the lateral cerebral ventricle. Oxidative stress in the
hypothalamus, measured by lucigenin chemiluminescence, was also significantly
greater. Furthermore, in the salt-loaded uninephrectomized rats, antioxidant
treatment with chronic intracerebroventricular Tempol decreased sympathetic nerve
activity and arterial pressure, which, in turn, led to a decrease in renal
damage. Similar effects were elicited by treatment with oral moxonidine, the
central sympatholytic agent. In conclusion, sympathoexcitation by brain oxidative
stress may mediate arterial pressure elevation in salt-induced chronic kidney
disease.
PMID- 22083163
TI - Ambulatory versus home versus clinic blood pressure: the association with
subclinical cerebrovascular diseases: the Ohasama Study.
AB - The usefulness of ambulatory, home, and casual/clinic blood pressure measurements
to predict subclinical cerebrovascular diseases (silent cerebrovascular lesions
and carotid atherosclerosis) was compared in a general population. Data on
ambulatory, home, and casual/clinic blood pressures and brain MRI to detect
silent cerebrovascular lesions were obtained in 1007 subjects aged >=55 years in
a general population of Ohasama, Japan. Of the 1007 subjects, 583 underwent
evaluation of the extent of carotid atherosclerosis. Twenty-four-hour, daytime,
and nighttime ambulatory and home blood pressure levels were closely associated
with the risk of silent cerebrovascular lesions and carotid atherosclerosis (all
P<0.05). When home and one of the ambulatory blood pressure values were
simultaneously included in the same regression model, each of the ambulatory
blood pressure values remained a significant predictor of silent cerebrovascular
lesions, whereas home blood pressure lost its predictive value. Of the ambulatory
blood pressure values, nighttime blood pressure was the strongest predictor of
silent cerebrovascular lesions. The home blood pressure value was more closely
associated with the risk of carotid atherosclerosis than any of the ambulatory
blood pressure values when home and one of the ambulatory blood pressure values
were simultaneously included in the same regression model. The casual/clinic
blood pressure value had no significant association with the risk of subclinical
cerebrovascular diseases. Although the clinical indications for ambulatory blood
pressure monitoring and home blood pressure measurements may overlap, the
clinical significance of each method for predicting target organ damage may
differ for different target organs.
PMID- 22083164
TI - Council for [corrected] High Blood Pressure Research/InterAmerican Society of
Hypertension/International Society of Hypertension: [corrected] first New
Investigators Symposium at the High Blood Pressure Research 2011 Scientific
Sessions.
PMID- 22083165
TI - Conserved water-mediated H-bonding dynamics of catalytic His159 and Asp158:
insight into a possible acid-base coupled mechanism in plant thiol protease.
AB - Cysteine protease is ubiquitous in nature. Excess activity of this enzyme causes
intercellular proteolysis, muscle tissue degradation, etc. The role of water
mediated interactions in the stabilization of catalytically significant Asp158
and His159 was investigated by performing molecular dynamics simulation studies
of 16 three-dimensional structures of plant thiol proteases. In the simulated
structures, the hydrophilic W(1), W(2) and WD(1) centers form hydrogen bonds with
the OD1 atom of Asp158 and the ND1 atom of His159. In the solvated structures,
another water molecule, W(E), forms a hydrogen bond with the NE2 atom of His159.
In the absence of the water molecule W(E), Trp177 (NE1) and Gln19 (NE2) directly
interact with the NE2 atom of His159. All these hydrophilic centers (the
locations of W(1), W(2), WD(1), and W(E)) are conserved, and they play a critical
role in the stabilization of His-Asp complexes. In the water dynamics of solvated
structures, the water molecules W(1) and W(2) form a water...water hydrogen
bonded network with a few other water molecules. A few dynamical conformations or
transition states involving direct (His159 ND1...Asp158 OD1) and water-mediated
(His159 ND1...W(2)...Asp158 OD1) hydrogen-bonded complexes are envisaged from
these studies.
PMID- 22083166
TI - CYP2D6 genotype and dextromethorphan hydroxylation phenotype in an Ecuadorian
population.
AB - PURPOSE: Cytochrome P450 2D6 (CYP2D6) genotypes and the
dextromethorphan/dextrorphan (DXM/DXT) metabolic ratio (MR), which is a marker of
CYP2D6 activity, were studied in 118 unrelated healthy Ecuadorians. METHODS:
Genotyping of CYP2D6 was performed by amplification of entire CYP2D6 gene by XL
PCR for CYP2D6*5 and multiplication alleles and by real time-PCR for CYP2D6 *2,
*3, *4, *6, *10, *17, *29, *35, *41, and copy number. The plasma levels of DXM
and its metabolite DXT were determined on a high-performance liquid
chromatography-UV system. RESULTS: The proportions of non-functional alleles were
0.4, 10.6, 0.8, 2.1, and 0% for CYP2D6*3, *4, *4 * N, *5, and *6, respectively.
Genotypically, only one of the subjects (0.9%) was homozygous for two inactive
alleles and phenotypically classified as a poor metabolizer (PM). The MRs (mean
+/- standard deviation) corresponding to "activity scores" of 0, 0.5, 1, 1.5, 2,
and 2.5 were 10.57 (n = 1), 1.63 +/- 0.35 (n = 2), 1.16 +/- 0.74 (n = 29), 1.00
+/- 0.47 (n = 8), 1.24 +/- 0.82 (n = 76), and 1.30 +/- 0.32 (n = 2),
respectively. CONCLUSIONS: Our data suggest that only 1% of subjects of this
Ecuadorian population were PMs and that none were phenotypically ultrarapid
metabolizers, which is in agreement with previous findings in other Amerindian
populations.
PMID- 22083167
TI - Statins and associated risk of pneumonia: a systematic review and meta-analysis
of observational studies.
AB - PURPOSE: Statins have potential anti-inflammatory effects, but the association
between statin use and lower incidence of pneumonia is unclear. We have therefore
performed a systematic review on the risk of pneumonia in statin users versus non
users. METHODS: MEDLINE and EMBASE were searched in December 2010 for controlled
observational studies that reported on the risk of pneumonia in statin users. We
performed a random effects meta-analysis and assessed heterogeneity using the I2
statistic. RESULTS: A total of 451 citations were screened, and ultimately nine
studies (4 case-control, 4 retrospective cohort, 1 prospective cohort) with more
than 3 million participants were included in the meta-analysis. Pooled analysis
of seven studies that reported unadjusted data failed to show a significantly
reduced risk of pneumonia [odds ratio (OR) 0.94, 95% confidence interval (CI)
0.84-1.06, p = 0.33, I2 = 79%] in statin users as compared to non-users. However,
a significant reduction in the likelihood of pneumonia associated with statin use
(n = 8 studies, OR 0.85, 95% CI 0.75-0.97, p = 0.02, I2 = 81%) was found in the
meta-analysis of adjusted data. Both analyses were limited by substantial
statistical heterogeneity. Sensitivity analysis failed to fully clarify the
source of heterogeneity, but cohort studies seemed to be less heterogenous (n = 5
studies, OR 0.92, 95% CI 0.84-1.01, I2 = 43%). CONCLUSION: Our findings indicate
that the purported benefit of statins in preventing pneumonia is inconsistent,
and of low magnitude, with upper bounds of the confidence interval being close to
null. In view of the substantial statistical and clinical heterogeneity in the
dataset, there is no convincing evidence to support the therapeutic application
of statins for reducing the risk of pneumonia.
PMID- 22083168
TI - Mutational analysis of NOG in esophageal atresia and tracheoesophageal fistula
patients.
AB - PURPOSE: The NOG protein is a secretory antagonist of bone morphogenetic proteins
(BMPs). Nog-/- mouse embryos demonstrate proximal esophageal atresia (EA) and
distal tracheoesophageal fistula (TEF) compatible with the most common
configuration of EA/TEF observed in humans. Four microdeletions that span the NOG
locus at 17q22 have been described in human patients having EA/TEF. We
investigated the incidence of point mutations in the coding region of the NOG
gene in human EA/TEF. METHODS: DNA was collected from 50 patients previously
treated for EA/TEF. PCR was used to amplify the coding region of NOG. To detect
single nucleotide polymorphisms (SNPs), amplicons were subjected to temperature
gradient capillary electrophoresis (TGCE). Candidate SNPs were directly
sequenced. RESULTS: TGCE analysis revealed a SNP in the coding region of NOG in 1
of 50 patients (2%). DNA sequencing revealed a synonymous SNP at position 468 (C
T) of the NOG coding region. CONCLUSION: SNPs in the coding region of the NOG
gene are identified infrequently in human cases of EA/TEF. Further investigation
of SNPs in the promoter region of NOG is warranted, as is the effect of
synonymous SNPs on NOG mRNA stability.
PMID- 22083170
TI - Efficient synthesis of plate-like crystalline hydrated tungsten trioxide thin
films with highly improved electrochromic performance.
AB - Plate-like hydrated tungsten trioxide (3WO(3).H(2)O) films were grown on a
fluorine doped tin oxide (FTO) coated transparent conductive substrate via an
efficient, facile and template-free hydrothermal method. The film exhibited a
fast coloration/bleaching response (t(c90%) = 4.3 s and t(b90%) = 1.4 s) and a
high coloration efficiency (112.7 cm(2) C(-1)), which were probably due to a
large surface area.
PMID- 22083169
TI - Evolving perspectives in Wilson disease: diagnosis, treatment and monitoring.
AB - Wilson disease (WD), the autosomal recessively inherited copper overload
disorder, remains a diagnostic and therapeutic challenge. In the last decade,
direct sequencing of the affected gene ATP7B became commercially available, but
interpretation of the results still requires careful attention. Thus, a
combination of tests reflecting the disturbed copper metabolism is needed to make
the final diagnosis. Because of the low disease frequency, the existing treatment
concepts are not based on controlled trails. Here, recent outcome reports of
larger cohort studies challenge the recommended therapies and call for
individualized treatment strategies. The notion, that certain medical regimens
may either be insufficient to upkeep copper homeostasis or may lead to a
clinically relevant overtreatment, demand a continuous monitoring of patients
even after decades of therapy. In this article, we review current diagnostic and
therapeutic approaches in WD.
PMID- 22083171
TI - Density functional theory calculations for two-dimensional silicene with halogen
functionalization.
AB - The electronic structures and band gaps of silicene (the Si analogue of graphene)
adsorbed with halogen elements are studied using the density functional theory
based screened exchange local density approximation method. It is found that the
band gaps of silicene adsorbed with F, Cl, Br and I have a nonmonotonic change as
the periodic number of the halogen elements increases. This is attributed to the
transfer of contributions to band gaps from Si-Si bonding to Si-halogen bonding.
PMID- 22083172
TI - In the news: Doubt over Azilect(r) relabeling.
PMID- 22083173
TI - Epilepsy: Electric source imaging--an inexpensive and reliable method to estimate
the epileptic focus.
PMID- 22083175
TI - Ultrafast energy transfer pathways in R-phycoerythrin from Polysiphonia
urceolata.
AB - Energy transfer (ET) processes between chromophores in R-phycoerythrin (R-PE)
from Polysiphonia urceolata were studied by use of ultrafast spectroscopic
methods. Several primary ET pathways were elaborated. A fluorescence decay
component with a time constant of several hundred picoseconds observed by streak
camera is tentatively assigned to the reversible formation of exciton traps
between alpha84 and beta84 pigment pairs. In order to investigate much faster ET
processes in R-PE, a noncollinear optical parametric amplifier based femtosecond
time-resolved transient fluorescence spectrometer was employed. The results
reveal that the ET between alpha84 and beta84 pigment pair has a time constant of
1-2 ps; the energy migration between alpha84 and beta84 pairs within the R-PE
trimer has a time constant of 30-40 ps. We also demonstrated an ET process from
phycourobilin to phycoerythrobilin with a time constant as fast as 2.5-3.0 ps,
which was directly observed in fluorescence kinetics by selective excitation of
the phycourobilin molecules acting as the energy donor.
PMID- 22083177
TI - [Genomic imprinting and carcinogenesis].
AB - Genomic imprinting is an epigenetic marking and a stable transmission of
monoallelic gene expression patterns in a parent of- origin-specific manner.
Aberrant imprinting has been linked to a number of human genetic disorders,
including congenital abnormalities, childhood cancer, behavior disorders, and
cancer in adults. Imprinted genes play roles in carcinogenesis. Recently,
progress in researched on epigenetic mechanisms of imprinted genes, in edition to
analysis of the pathology of the oncogenetic mechanisms, has begun to be
clinically applied to diagnostic methods, prevention, and cancer drug
development.
PMID- 22083176
TI - Pathogenic role of effector cells and immunoglobulins in cationic bovine serum
albumin-induced membranous nephropathy.
AB - Membranous nephropathy (MN) is an autoimmune-mediated glomerulonephritis. The
roles of effector cells and immunoglobulins (Igs) in the mediation of glomerular
injury in MN have not been fully elucidated. MN was induced by cationic bovine
serum albumin (cBSA), and passive disease was induced by transferring effector
cells or serum into severe combined immunodeficient (SCID) mice. MN could not be
induced in SCID mice. Transfer of serum from MN mice, but not from normal control
mice, to SCID mice induced granular immune complex deposits and pathologic
proteinuria. Increased immunofluorescent staining for complement, oxidative
stress, terminal deoxynucleotidyl transferase-mediated nick end-labeling assay
positive cells, and augmented phospho-NF-kappaB staining were evident in the
kidneys of MN serum recipients. However, no histological or clinical
manifestations were exhibited by SCID mice that received an adoptive transfer of
splenocytes. Adaptive immunity was essential for the development of MN. Specific
Igs and their subsequent response contribute to the development of renal injury
in cBSA-induced MN.
PMID- 22083178
TI - [Management of extravasation of chemotherapeutic agents].
AB - Extravasation of chemotherapeutic agents can potentially cause severe skin damage
such as ulceration, resulting in a dramatic decrease in quality of life in
patients receiving chemotherapy. Although guidelines for treating extravasation
were published in Japan a few years ago, practical procedures on how to deal with
it, have not been presented in the guidelines yet due to a lack of supporting
evidence. Therefore, each hospital should provide its own procedures to manage
the extravasation of chemotherapeutic agents. We describe here the treatment of
extravasation by topical injection of steroids. We have never experienced
significant skin damage in patients after treatment with topical steroid
injections.
PMID- 22083179
TI - [Infusion reaction and anaphylaxis].
AB - Infusion reactions and allergic reactions are common side effects of anti-cancer
drugs, and are known as hypersensitivity reactions. Patients with these severe
reactions require close attention because these reactions sometimes lead to
critical conditions. Infusion reactions are caused by cytokine release, although
the precise mechanisms involved are still obscure. Infusion reactions are often
caused by rituximab, an anti-CD20 antibody, and other monoclonal antibodies.
Allergic reactions, mediated by IgE, are observed with a variety of
chemotherapeutic drugs, especially platinum compounds and taxanes. An acute
severe allergic reaction is called anaphylaxis, and is often fatal unless treated
appropriately. In this review, we describe the prevention of hypersensitivity
reactions and their treatment based on our clinical experience.
PMID- 22083180
TI - [Risk management in ambulatory anti-cancer therapy, focusing on nausea and
vomiting].
AB - Patients who receive ambulatory-based chemotherapy worry about nausea and
vomiting leading to appetite loss, decreased activity, and finally, the lowering
of QOL. The management of nausea and vomiting also prevents decreased body weight
loss, encourages compliance with chemotherapy treatments, and promotes social
activity. Recently, NCCN, ASCO, MASCC as well as JSCO guideline for antiemesis
were updated and approved for delayed antiemetic drugs in Japan. According to
these guidelines, we should administer antiemetic drugs appropriately to prevent
anticipatory emesis. Last year, in a retrospective analysis of head and neck
cancer, in a comparison of before and after the use of aprepitant, the use can
improved the dose intensity of CDDP and one-year survival after chemotherapy. We
need to have prospective analyses, but appropriate use of antiemetic drugs and
management of chemotherapy lead to a better clinical outcome and safety.
PMID- 22083181
TI - [Chemotherapy-induced stomatitis and diarrhea].
AB - Chemotherapy-induced mucositis is a clinically important and sometimes dose
limiting toxicity of cancer treatment, including standard-dose chemotherapy, high
dose chemotherapy and chemoradiotherapy. Consequently, dose reductions or
treatment delays resulting from mucositis may impair treatment effectiveness.
Symptoms are oral mucositis, dysphagia, abdominal pain and diarrhea, depending on
the affected site. Although the underlying pathobiology of oral mucositis has
been considerably elucidated over the past decade, there are few interventions
for the prevention or treatment validated by randomized trials. The most commonly
accepted intervention is basic oral care. Diarrhea is most common in patients
treated with irinotecan and in some cases, life-threatening. No definitive
interventions for the prevention of diarrhea exist, but there is evidence that
loperamide and octreotide are effective for chemotherapy-induced diarrhea. In
future, there is a need for well designed trials, preferably including a placebo
or no treatment control, validating more effective interventions for managing
chemotherapy- induced mucositis.
PMID- 22083182
TI - [Skin toxicity].
AB - It has been suggested that skin symptoms may cause psychological distress
associated with change in appearance, and affect patients' quality of life(QOL).
Also, there is a correlation between the severity of skin disorder resulting from
treatment with epidermal growth factor receptor(EGFR)inhibitors(cetuximab,
panitumumab, erlotinib)and their clinical effects. Treatment with EGFR inhibitors
needs to be continued as long as possible while treatment-related skin symptoms
are managed appropriately. Adherence to this approach will benefit the patients.
Daily self-skin care(keeping the skin surface clean, maintaining moisture
retention, and preventing irritation)is the most important countermeasure for
hand-foot syndrome resulting from oral administration of fluorinated pyrimidine
anticancer drugs(capecitabine, S-1). An early introduction of effective
countermeasures including dose reduction/establishment in the rest period is
essential for management of such syndrome.
PMID- 22083183
TI - [Chemotherapy-induced peripheral neuropathy].
AB - Chemotherapy-induced peripheral neuropathy(CIPN)is one of chemotherapy's common
and disabling adverse effects. It may be caused by many chemotherapeutic agents
including the taxanes(paclitaxel, docetaxel), the vinca alkaloids(vincristine,
vinorelbine, vinblastine), the platinum analogues(cisplatin, carboplatin,
oxaliplatin), bortezomib and thalidomide, among others. Once the symptoms have
developed, they may lead to compromising patients' quality of life(QOL). For
medical oncologists, the management of CIPN remains an important challenge. At
the present time, no agent has shown enough solid beneficial evidence to be
recommended for the treatment or/prophylaxis of CIPN. The standard of care for
CIPN includes awareness and early detection of neuropathy, and dose reduction
and/or discontinuation of the problematic agents.
PMID- 22083184
TI - [The strategy for chemotherapy-induced myelosuppression].
AB - Myelosuppression is one of the most serious adverse effects induced by
chemotherapy targeting solid tumors and hematological malignancies, and results
in neutropenia, anemia and thrombocytopenia. In particular, prompt and
appropriate treatments are required for febrile neutropenia, because that disease
may be fatal.
PMID- 22083185
TI - [Interstitial pneumonitis].
AB - The risk management of interstitial pneumonitis in cancer chemotherapy not only
involves an adverse event by an anticancer drug, but there are four steps with
the incidence of interstitial pneumonitis: 1 ) the time before chemotherapy
treatment, selection of chemotherapy regimens and patients, 2 ) the time
chemotherapy treatment is performed, 3 ) the time during following-up, 4 ) the
time when interstitial pneumonitis occurs. It is necessary to decrease the risk
of interstitial pneumonitis by several steps, cooperating with an entire medical
staff.
PMID- 22083186
TI - [A review of toxicity superselective intra-arterial concurrent
chemoradiotherapy(SIACC)for oral cancer].
AB - Superselective intra-arterial concurrent chemoradiotherapy(SIACC)for oral cancer
has been favored for its efficacy and ability to not damage organs. SIACC was
applied to 13 previously untreated patients with oral cancer for the purpose of
avoiding surgical resection of the primary tumor in our hospital from 2007 to
2009. Although a complete response of the primary tumor was achieved in all
cases, various adverse events also occurred. All patients experienced leucopenia,
and most patients suffered from mucotitis and dry mouth. One patient had
dizziness and nausea due to the catheter insertion into the vertebra artery.
Although SIACC is an important treatment strategy for oral cancer, careful
attention for adverse events should be taken into account during and after
treatment.
PMID- 22083187
TI - [T2 laryngeal cancer study in our department].
AB - Laryngeal cancer is the most common malignant tumor in the head and neck
region.Because early detection and treatment are possible, outcomes are
relatively good.Many studies have reported on the treatment of laryngeal
cancer.Different hospitals have used generally similar treatment
regimens.However, factors such as laryngeal preservation and the treatment of
choice for patients with T2 laryngeal cancer still differ among
hospitals.Survival rates can be increased depending on treatment, sometimes at
the cost of losing voice functions that could have been preserved.In our
department, we have emphasized curative treatment and the preservation of organs
and functions.We have mainly used chemoradiotherapy concurrently with S-1 and
nedaplatin for the treatment of T2 laryngeal cancer.We studied 27 patients(23 men
and 4 women)with T2 laryngeal cancer, who received first-line therapy in our
department from April 2005 through March 2010. Their mean age was 64.1
years(range, 42 to 80).The mean follow-up period was 30.6 months(range, 2 to 60
months).The tumor-nodemetastasis classification was T2N0M0 in 24 patients, T2N1M0
in 1, and T2N2bM0 in 2.In our department, the disease-specific survival rate was
96.3%. The complete response rate was 88.9%, and the laryngeal preservation rate
was 92.6%.
PMID- 22083188
TI - [Retrospective analysis of pemetrexed plus cisplatin chemotherapy for elderly
advanced non-small-cell lung cancer].
AB - BACKGROUND: The efficacy of pemetrexed(PEM)plus cisplatin(CDDP)therapy for
chemotherapy-naive non-squamous cell lung cancer has been reported, but the
effectiveness of such a regimen for elderly patients is unknown. PURPOSE: The aim
of this study is to examine the efficacy and toxicity of CDDP plus PEM therapy
for elderly patients, retrospectively. METHODS: We performed a retrospective
analysis of six patients 75 years old or older with non-squamous lung cancer, who
underwent CDDP plus PEM therapy from June 2009 to May 2010. RESULTS: The mean age
was 79. 2 years old(range, 76-82), gender: 3 males/3 females; stage: III B/IV;
1/5, pathology: all patients had adenocarcinoma without epidermal growth factor
receptor (EGFR)mutation, line: first/third; 5/1. The scheduled chemotherapy of
four courses was completed in four patients. The overall response rate was 50%,
and the disease control rate was 83%. Grade 3/4 neutropenia and thrombocytopenia
were observed in 1/2 and 1/1 patients, respectively, but no blood transfusions
were needed. Severe myelosuppression was shown in patients who were impaired in
renal function. Grade 3 nausea or anorexia was also observed in 50%of patients.
Therefore, two patients were terminated in one courses of therapy and long-term
hospitalization for them was needed. CONCLUSION: Although CDDP plus PEM therapy
for elderly patients has sufficient patients compliance because of its tolerable
myelosuppression, it is necessary to pay attention to deterioration in renal
function and to care for nausea during chemotherapy.
PMID- 22083189
TI - [S-1-based chemotherapy for unresectable advanced gastric cancer of the elderly
or patients with renal dysfunction].
AB - OBJECTIVE: S-1 based therapy is a valued standard chemotherapy regimen for
unresectable gastric cancer in Japan. S-1/ CDDP therapy has been highly
effective, especially for patients under 75 years old who have good organ
function. However, it is the elderly and/or patients with renal dysfunction who
make up the majority of the candidates for chemotherapy in general hospitals.
These factors make it difficult to apply the results of RCTs to chemotherapy
regimens. AIM AND METHODS: To investigate clinical outcomes, the medical records
of patients who had received S-1 based chemotherapy for gastric cancer at our
hospital from January 2002 to September 2009 were retrospectively reviewed.
RESULTS: A total of 78 patients were evaluated for analyses. Among the patients,
23(29%)were the elderly, 8(10%)had renal dysfunction, and 27(35%)were either the
elderly or those who had renal dysfunction. S-1/CDDP therapy was provided for 63%
of the patients. Regarding the outcomes from therapy, RR was 44%, mPFS was 5. 4
months, and MST was 10. 6 months. Regarding survival benefit for OS, the elderly,
the intestinal type, and therapy with S-1 alone were considered to be good
factors in multi-variant analysis, but no significant differences were confirmed.
CONCLUSION: In general practice, the elderly and/or patients with renal
dysfunction account for 35%, and S-1-based chemotherapy has been proven to be
very effective. However, additional effects of CDDP were not shown in this study.
PMID- 22083190
TI - [The feasibility of oral fluoropyrimidines as adjuvant chemotherapy after
resection and local coagulation therapy of colorectal liver metastases].
AB - OBJECTIVE: To evaluate the feasibility of oral fluoropyrimidines after resection
and microwave coagulation(MCT), or radiofrequency ablation(RFA)of liver
metastases from colorectal cancer. PATIENTS AND METHODS: Background factors,
fluoropyrimidine administration(S-1 or UFT/LV), and adverse events were analyzed
in 20 patients(17 males, 3 females; an average of 62. 4 years)with colorectal
liver metastases after resection and RFA or MCT. RESULTS: The synchronous:
metachronous metastases ratio was 13:7. Fifteen patients received the recommended
dose and 5 received a reduced dose. S-1 was administered for 4 weeks followed by
a 2-week rest for 7 patients, and for 2 weeks followed by a 1-week rest for 9
patients. UFT/LV was administered for 4 weeks followed by a 1-week rest for 4
patients. Fourteen patients(70%)had adverse events. One patient showed grade 3
leukocyte toxicity while other patients showed grade 1 or 2. Two patients
discontinued chemotherapy because of grade 2 delirium and grade 2 CPK elevation;
another 2 discontinued voluntarily. Eight patients with recurrence changed the
rugs, while 8 of 12(67%)continued for 1 year. Median disease-free and med ian
overall survival lengths were 16. 1 and 4 7. 6 months, respectively. CONCLUSION:
S-1 and UFT /LV were used safely as adjuvant chemotherapies after the resection
and local coagulation therapy of liver metastases.
PMID- 22083191
TI - [High-throughput screening method of KRAS mutations at codons 12 and 13 in
formalin-fixed paraffin-embedded tissue specimens of metastatic colorectal
cancer].
AB - Clinical studies overseas using the therapeutic anti-EGFR monoclonal antibodies,
cetuximab or panitumumab against metastatic colorectal cancer(mCRC), have
revealed KRAS mutations as a negative predictive marker of response. Accordingly,
the Ministry of Health, Labour and Welfare in Japan approved medical
reimbursement of the KRAS mutation test in April 2010. Anti-EGFR monoclonal
antibody therapies are now used as first-line treatment for patients with mCRC.
To advance the simple high-throughput KRAS mutation test, we established a high
throughput screening system for detecting KRAS mutations utilizing
Luminex(xMAP)technology(the fluorescent bead-based multiplex analyte profiling
method), in combination with the polymerase chain reaction-reverse sequence
specific oligonucleotide method. Here we evaluated the basic performance of our
system and confirmed its high specificity and reproducibility in detecting KRAS
mutations at codons 12 and 13 in both plasmid DNAs carrying mutant KRAS genes and
formalin-fixed paraffin-embedded tissues from mCRC patients. We demonstrated the
KRAS mutation status in paraffin-embedded tissues of mCRC and confirmed that the
results were comparable to those of the direct sequencing method. Our high
throughput method has an advantage in simultaneous analysis of multiple mutations
in one well of 96-well PCR plates, and will advance the KRAS mutation test in
clinical laboratories.
PMID- 22083192
TI - [The impact of systematic lymphadenectomy for early-stage ovarian carcinomas].
AB - Among the 161 cases of pT1 ovarian cancer treated at our hospital during the last
25 years, the impact of systematic lymphadenectomy was evaluated in 93 cases of
the pT1N0M0 group(N0 group), 59 cases of the pT1NxM0(Nx group), and 9 cases of
the pT1N1M0(N1 group). Significantly greater relapse-free survival(RFS)and
overall survival(OS)were observed in 108 cases of the N0+N1 group compared to the
Nx group(p=0. 006, p=0. 02). Multivariate analysis showed that systematic
lymphadenectomy was a significant prognostic factor(hazard ratio 0. 473(95%CI, 0.
235-0. 951; p=0. 036). The present study suggested the systematic lymphadenectomy
had a significant therapeutic effect on pT1 stage ovarian cancers.
PMID- 22083193
TI - [Clinical analyses of oral squamous cell carcinoma patients showing a complete
response to chemotherapy with S-1 alone].
AB - The purpose of this study was to investigate the effectiveness and safety of
palliative chemotherapy using S-1 alone. We clinically analyzed 8 oral squamous
cell carcinoma patients showing a complete response(CR)to chemotherapy with S-1
alone. These patients received chemotherapy consisting of 2 weeks'
administration, including 5-days' administration and 2- days' termination,
following a 1-week rest. Adverse effects were observed in 4 patients. However,
all of them were grade 1 toxicities. The average length of S-1 administration
before achieving CR was 9. 8 +/- 3. 1 weeks(3. 3 +/- 1. 0 courses). Seven
patients had a recurrence. The prognosis of this group was 5 deaths by local
recurrence, and 1 death by lymph node metastasis. The average length of disease
progression was 447. 4 +/- 479. 5 days. Two patients, one who received surgery
and the other who received irradiation after chemotherapy by S-1, are alive
without tumors. The 1-year and 3-year disease-free survival rates were 100% and
37. 5%, respectively.
PMID- 22083194
TI - [A case of esophageal cancer with intramural metastasis demonstrates a good
clinical course after induction chemotherapy followed by chemoradiation].
AB - A 63-year-old man with dysphagia visited our hospital in February 2007.
Esophagogastroduodenoscopy and computed tomography revealed that he suffered from
advanced esophageal cancer with intramural metastasis at clinical stage III
(T3N1). The patient underwent induction chemotherapy because he had great
difficulty deciding which treatment would be more beneficial for him use dash
surgery or chemoradiation. The reason for his in decision was that esophageal
cancer with intramural metastasis is known to have a poor prognosis after
surgery, and although chemoradiation is the more attractive therapy that avoids
invasive surgery, it is very difficult to predict a response. Currently, he has
survived for more than 3 years with no recurrence, after chemoradiation that
followed a good response to induction chemotherapy. This result suggested that
induction chemotherapy followed by chemoradiation can be one of the useful
strategies for patients who have esophageal cancer with a negative prognosis
factor for surgery, such as intramural metastasis.
PMID- 22083195
TI - [A case of recurrent lymph node metastases of advanced colon cancer with
penetration of the gastric wall, treated effectively by cetuximab monotherapy as
third-line treatment].
AB - A 45-year-old man was first treated for lymph node metastases of colon cancer
with FOLFIRI. After 13 courses, the lymph node metastases worsened, and he was
treated with mFOLFOX6 plus bevacizumab as the second-line chemotherapy. After 8
courses, his anorexia and anemia became increasingly troublesome. We diagnosed
this as the direct invasion of lymph node metastases to the gastric wall. As the
third-line chemotherapy, cetuximab monotherapy was applied. The gastric ulcer
lesion then began healing as a scar. In our progressive case, cetuximab
monotherapy was effective as a third-line treatment.
PMID- 22083196
TI - [A successful treatment of conversion chemotherapy by mFOLFOX6 plus cetuximab for
initially unresectable synchronous colorectal liver metastases].
AB - A 63-year-old woman with a synchronous huge colorectal liver metastasis was
referred to our institution.The lesion was technically diagnosed unresectable
because the estimated future remnant liver volume was insufficient due to the
invasion of the three hepatic veins and hepatic hilum.She underwent 7 courses of
mFOLFOX6 and 14 administrations of cetuximab as conversion chemotherapy.Periodic
abdominal CT scans revealed the tumor becoming PR, and she was free of cancer
invasion to the left hepatic vein.After the remainder of chemotherapy lasting 4
weeks, right trisectionectomy and combined partial resection of the inferior vena
cava and primary closure was performed.The postoperative course was uneventful
and the patient was discharged at 20 days after the operation.She underwent
chemotherapy postoperatively, and then underwent laparoscopic sigmoidectomy.A
conversion chemotherapy using cetuximab may contribute to ward rapidly reducing
tumor size and improving the resectability of initially unresectable huge
colorectal liver metastases, thus leading to prolonged survival.
PMID- 22083197
TI - [Synchronous double cancer of the gallbladder and rectum successfully treated
with S-1 as second-line chemotherapy- a case report].
AB - A 66-year-old man was referred to our hospital with obstructive jaundice.
Computed tomography(CT)scan showed thickening of the gallbladder wall, invasion
into the liver bed, and thickening of the rectal wall. Colonoscopy revealed a
type 2 rectal cancer, in which adenocarcinoma was identified by endoscopic
biopsy. He was diagnosed with double-cancer of the gallbladder and rectum.
Because his gallbladder cancer was more life threatening than his rectal cancer,
gemcitabine was administered at 1, 000 mg/m2 on days 1, 8, and 15 of a 28-day
course. After 3 courses of gemcitabine, the CT scan showed that the lymph nodes
in the hepatoduodenal ligament had been enlarged, and duodenal stenosis had
occurred as a result of gallbladder cancer invasion. S-1 was administered orally
at doses of 120 mg/day twice daily on days 1-28 of a 42-day course. Partial
response was confirmed by CT scan. After 8 courses of S-1, the gallbladder cancer
had progressed and liver metastases had appeared. He subsequently died of disease
progression. He survived for 17 months after the first course of chemotherapy,
and the progression-free survival with S-1 was 10 months. Therefore, S-1 could be
an effective agent for synchronous double cancer of the gallbladder and rectum.
PMID- 22083198
TI - [A case of the usage of entecavir to prevent hepatitis B virus reactivation
during chemotherapy in breast cancer patient].
AB - Hepatitis B virus(HBV)reactivation is a serious clinical problem for HBV infected
patients, and one of its possible causes is chemotherapy for malignant disease.
At the onset of active hepatitis, planned chemotherapy should be discontinued and
acute or fetal fulminant hepatitis must be induced in some cases. Therefore, it
is desirable to prevent virus reactivation during chemotherapy in HBV-positive
patients. We report a case in which adjuvant chemotherapy for a breast cancer
patient was accomplished safely by using entecavir. The patient was a 48-year-old
woman with breast cancer whose HBV infection had been pointed out when she was 20
years old. Breast reconstruction was performed, followed by mastectomy.
Pathological findings were invasive ductal carcinoma, three positive nodes,
estrogen and progesterone receptor-positive, and HER2-negative. An adjuvant
chemotherapy with anthracycline followed by taxane was planned. Blood chemistry
revealed the seroconversion of HBV and the quantity of HBV-DNA was 2. 8 log
copies/mL. Administration of the anti-virus agent, entecavir, was started three
weeks before chemotherapy. The HBV-DNA was decreased under the titer of detection
and no re-increase in HBV-DNA was found during chemotherapy. Planned chemotherapy
was accomplished safely without HBV reactivation.
PMID- 22083199
TI - [A case of pagetoid carcinoma of the breast in nearly complete response by
primary systemic therapy].
AB - A 62-year-old female presented with an erosion of the left nipple. At the
preoperative examination, it was diagnosed as a Pagetoid carcinoma with an
invasive carcinoma. After primary systemic therapy(weekly
paclitaxel/trastuzumab), we performed an operation. The only remaining Paget cell
was confirmed in the resected specimen, and no other malignant cells were
confirmed. There is no report that the preoperative chemotherapy for the Pagetoid
carcinoma with an invasive carcinoma. The patient has had no evidence of
recurrence 1. 5 years after the operation.
PMID- 22083200
TI - [A case report-bleeding from the ulcer of wound for mastectomy after
postoperative chemotherapy with bevacizumab for Sigmoid colon cancer].
AB - We report the case of a 65-year-old woman with a delayed radiation ulcer and
bleeding caused by bevacizumab. She has been undergoing chemotherapy for advanced
colon cancer for two years. She received a mastectomy and adjuvant
chemoradiotherapy for right breast cancer twenty-one years ago, and colon cancer
with liver metastasis was detected using PET two years ago. Since last year she
has been treated with bevacizumab chemotherapy bevacizumab due to increased liver
metastases. As a result, her radiation ulcer worsened and bleeding occurred
repeatedly. On suspicion of an adverse event, we stopped the bevacizumab, and
that improved the radiation ulcer and the bleeding. In this case, we discussed
radiation induced ulcers, wound healing, and adverse events caused by
bevacizumab.
PMID- 22083201
TI - [A case of repeated bone metastases of breast carcinoma successfully treated by S
1 chemotherapy].
AB - We report a case of breast carcinoma with repeated recurrences in the right bone.
The recurrent site of the bone was treated by radiation therapy with a total of 3
7. 5 Gy irradiation, and chemotherapy with the CMF regimen. After 2 years,
recurrence was suspected in the same region because there was an elevation of the
NCC-ST-439 tumor marker. We carried out chemotherapy with S-1 100mg/body/day. The
NCC-ST-439 value returned to within the normal range after 3 months'
administration of S -1, and continued in the normal value for 20 months.
PMID- 22083202
TI - [A case of lung cancer showing marked reduction of pleural effusion by
bevacizumab in combination with carboplatin and paclitaxel].
AB - A 63-year-old man was admitted to our hospital because of dyspnea. Chest computed
tomographic(CT)scans showed right pleural effusion. He was diagnosed with
adenocarcinoma of the lung(cTXN2M1a, stage IV). Although combination chemotherapy
with 80 mg/m / 2 cisplatin(CDDP)and 60 mg/m2 docetaxel hydrate(DOC)was performed
for 3 courses, the pleural effusion increased. As he had a progressive disease,
his chemotherapy was changed to a new combination of AUC5 carboplatin(CBDCA),
200mg/m / 2 paclitaxel(PTX)and 15 mg/kg bevacizumab. After 2 courses, the pleural
effusion dramatically decreased. During 6-month follow-up after the initial
consultation, there has been no exacerbation.
PMID- 22083203
TI - [Hypercalcemia associated with parathyroid hormone-related protein(PTHrP)in a
patient with diffuse large- type B-cell lymphoma(DLBCL)].
AB - We report a patient with diffuse large-type B-cell lymphoma showing hypercalcemia
and a raised PTHrP serum level. He was a 72-year-old man with a history of
multiple bone fractures due to a traffic accident 3 month ago, and was
transferred to our hospital for further evaluation of a hepatic mass and for his
rapidly deteriorating general condition. He had been in good health until about 2
weeks ago, but he developed dehydration, azotemia, lethargy, and altered
mentality on admission. Laboratory tests revealed hypercalcemia of1 5. 3mg/dL.
The hypercalcemia was associated with a high plasma concentration of PTHrP,
whereas the parathyroid hormone(PTH-C)was undetectable. After forced hydration
and administration of furocemide and calcitonin, hypercalcemia was improved. CT
and MRI imaging showed para-aortic lymphadenopathy and a huge mass involving most
of the light hepatic lobe and spleen. The pathological diagnosis at liver biopsy
was DLBCL. He received six courses of chemotherapy with R-CHOP and is now stable.
There was no recurrence of hypercalcemia or an elevation of PTHrP serum level
during chemotherapy. The existence of PTHrP produced by tumor cells was
suspected, and may have been related to the hypercalcemia in our case.
PMID- 22083204
TI - [A case of intravascular large B-cell lymphoma associated with transverse
myelopathy].
AB - We present a 68-year-old man suffering from transverse myelopathy since May 2010.
The spinal cord MRI showed a T2- hyperintense lesion invading the Th5 level
spinal cord. Although the patient transiently responded to steroid-pulse therapy,
his neurological symptoms degenerated three months after wards. On admission, he
had an apparent hepatosplenomegaly, but no lymphadenopathy. A laboratory
examination revealed bicytopenia and increased levels ofLDH and soluble IL-2
receptors. Histological analysis ofa skin biopsy specimen demonstrated
proliferation of large atypical lymphoid cells positive for CD20 and CD79a in the
small capillaries, leading to our diagnosis of intravascular large B-cell
lymphoma(IVLBCL). Thus, the patient's progressive myelopathy was probably caused
by IVLBCL invasion. The patient responded well to Rituximab-combined CHOP
therapy(R-CHOP), and his neurological symptoms improved immediately. A spinal
cord MRI showed the disappearance of the abnormal signal after two courses of R
CHOP. IVLBCL often presents with neurological manifestations, including
transverse myelopathy.
PMID- 22083205
TI - [Problems and potential solutions of regional palliative care: a trial of the
multiregional and multidisciplinary conference in the OPTIM study].
AB - Quality palliative care is required at the community level, and interaction among
multidisciplinary practitioners from various regions might be useful for
improving community palliative care. The aims of the present study are: 1)to
evaluate the participant's-perception of the usefulness of the interactive
conference of multidisciplinary multiregional healthcare practitioners, and 2)to
clarify the areas needing to be improved in community palliative care, raised in
the conference. A total of 336 multidisciplinary practitioners from 4 areas of
Japan participated in the conference. Overall, more than 80% of the participants
evaluated the conference as very useful or useful; more than half reported that
the conference was very useful or useful to obtain a concrete solution for the
obstacles and to utilize the lessons though the conference as a means to improve
quality of care in their own community. The identified areas needing improvement
are: 1)developing an interactive networking among healthcare practitioners and/or
organizations in the community; 2)developing a system of high quality, easily
available specialized palliative care service; 3)improving the knowledge and
perception of medical professionals concerning palliative care and home care;
4)developing a collaborative care system between hospitals and community
healthcare practitioners and/or organizations; 5)developing a collaborative care
system among community healthcare practitioners and/or organizations;
6)optimizing existing resources available in the community; 7)improving the
perception of patients and the general public about palliative care, home care,
and cancer; and 8)to reevaluate the regulations, laws, healthcare system, and
financial or human resources at the social level.
PMID- 22083206
TI - Suppression of antigen-specific CD4+ T cell activation by SRA/CD204 through
reducing the immunostimulatory capability of antigen-presenting cell.
AB - Pattern recognition scavenger receptor SRA/CD204, primarily expressed on
specialized antigen-presenting cells (APCs), including dendritic cells (DCs) and
macrophages, has been implicated in multiple physiological and pathological
processes, including atherosclerosis, Alzheimer's disease, endotoxic shock, host
defense, and cancer development. SRA/CD204 was also recently shown to function as
an attenuator of vaccine response and antitumor immunity. Here, we, for the first
time, report that SRA/CD204 knockout (SRA(-/-)) mice developed a more robust
CD4(+) T cell response than wild-type mice after ovalbumin immunization. Splenic
DCs from the immunized SRA(-/-) mice were much more efficient than those from WT
mice in stimulating naive OT-II cells, indicating that the suppressive activity
of SRA/CD204 is mediated by DCs. Strikingly, antigen-exposed SRA(-/-) DCs with or
without lipopolysaccharide treatment exhibited increased T-cell-stimulating
activity in vitro, which was independent of the classical endocytic property of
the SRA/CD204. Additionally, absence of SRA/CD204 resulted in significantly
elevated IL12p35 expression in DCs upon CD40 ligation plus interferon gamma (IFN
gamma) stimulation. Molecular studies reveal that SRA/CD204 inhibited the
activation of STAT1, mitogen activated protein kinase p38, and nuclear factor
kappa B signaling activation in DCs treated with anti-CD40 antibodies and IFN
gamma. Furthermore, splenocytes from the generated SRA(-/-) OT-II mice showed
heightened proliferation upon stimulation with OVA protein or MHC-II-restricted
OVA(323-339) peptide compared with cells from the SRA(+/+) OT-II mice. These
results not only establish a new role of SRA/CD204 in limiting the intrinsic
immunogenicity of APCs and CD4(+) T cell activation but also provide additional
insights into the molecular mechanisms involved in the immune suppression by this
molecule.
PMID- 22083207
TI - In response to "Prenatal screening of sialic acid storage disease and
confirmation in cultured fibroblasts by LC-MS/MS" by van den Bosch et al.
PMID- 22083208
TI - Predictors of impaired renal function among HIV infected patients commencing
highly active antiretroviral therapy in Jos, Nigeria.
AB - BACKGROUND: Kidney disease is a common complication of human immunodeficiency
virus (HIV) infection even in the era of antiretroviral therapy, with kidney
function being abnormal in up to 30% of HIV-infected patients. We determined the
predictors of impaired renal function in HIV-infected adults initiating highly
active antiretroviral therapy (HAART) in Nigeria. MATERIALS AND METHODS: This was
a retrospective study among HIV-1 infected patients attending the antiretroviral
clinic at the Jos University Teaching Hospital (JUTH), between November 2005 and
November 2007. Data were analysed for age, gender, weight, WHO clinical stage,
CD4 count, HIV-1 RNA viral load, HBsAg and anti-HCV antibody status. Estimated
glomerular filtration rate (eGFR) was calculated using the Cockcroft-Gault
equation. Statistical analysis was done using Epi Info 3.5.1. RESULTS: Data for
491 (294 females and 197 males) eligible patients were abstracted. The mean age
of this population was 38.8+/-8.87 years. One hundred and seventeen patients
(23.8%; 95% CI, 20.2-27.9%) had a reduced eGFR (defined as <60 mL/min), with more
females than males (28.6% vs. 16.8%; P=0.02) having reduced eGFR. Age and female
sex were found to have significant associations with reduced eGFR. Adjusted odds
ratios were 1.07 (95% CI, 1.04, 1.10) and 1.96 (95% CI, 1.23, 3.12) for age and
female sex, respectively. CONCLUSIONS: Older age and female sex are independently
associated with a higher likelihood of having lower eGFRs at initiation of HAART
among our study population. We recommend assessment of renal function of HIV
infected patients prior to initiation of HAART to guide the choice and dosing of
antiretroviral drugs.
PMID- 22083209
TI - The natural plant product sophocarpine ameliorates dextran sodium sulfate-induced
colitis in mice by regulating cytokine balance.
AB - INTRODUCTION: Sophora alopecuroides L., a traditional Chinese herbal remedy, has
been widely used for treating enteritis and bacillary dysentery for many years.
Sophocarpine is a major ingredient of S. alopecuroides L. and has a wide range of
pharmacological effects. MATERIALS AND METHODS: In this study, we investigated
the therapeutic potential of sophocarpine for treating dextran sulfate sodium
(DSS)-induced experimental ulcerative colitis in C57BL/6 mice, a well
characterized murine model of ulcerative colitis. Experimental colitis was
induced in these mice by dissolving 5% DSS in their drinking water for 7 days and
sophocarpine (60, 30, and 15 mg/kg of body weight) and sulfasalazine (520 mg/kg)
were administered orally once a day for 7 days. RESULTS: Sophocarpine
significantly ameliorated DSS-induced colitis as identified by a reduced disease
activity index and wet weight of colons as well as recovery of body weight.
Furthermore, the oral administration of sophocarpine significantly decreased
myeloperoxidase activity and the level of interleukin (IL)-1 and IL-6 in serum (P
< 0.01), while there was no significant effect on the level of IL-4. CONCLUSIONS:
In conclusion, sophocarpine significantly ameliorated DSS-induced colitis in mice
by regulating the pro- and anti-inflammatory cytokine production. Based upon our
results, we suggest that sophocarpine is an effective agent for treating colonic
inflammation.
PMID- 22083210
TI - Functional analysis of protein targets by metabolomic approaches.
AB - Proteomics methods, such as activity-based protein profiling, can be used to
connect proteins to biology and disease. Some proteins found through unbiased
methods are not well characterized, which makes it difficult to ascertain the
role of these proteins. Metabolomics approaches are useful in characterizing
proteins that regulate or bind metabolites. Here, we provide examples of the
development and use of metabolomics approaches to elucidate protein-metabolite
interactions.
PMID- 22083211
TI - 20 years of DNA-encoded chemical libraries.
AB - The identification of specific binding molecules is a central problem in
chemistry, biology and medicine. Therefore, technologies, which facilitate ligand
discovery, may substantially contribute to a better understanding of biological
processes and to drug discovery. DNA-encoded chemical libraries represent a new
inexpensive tool for the fast and efficient identification of ligands to target
proteins of choice. Such libraries consist of collections of organic molecules,
covalently linked to a unique DNA tag serving as an amplifiable identification
bar code. DNA-encoding enables the in vitro selection of ligands by affinity
capture at sub-picomolar concentrations on virtually any target protein of
interest, in analogy to established selection methodologies like antibody phage
display. Multiple strategies have been investigated by several academic and
industrial laboratories for the construction of DNA-encoded chemical libraries
comprising up to millions of DNA-encoded compounds. The implementation of next
generation high-throughput sequencing enabled the rapid identification of binding
molecules from DNA-encoded libraries of unprecedented size. This article reviews
the development of DNA-encoded library technology and its evolution into a novel
drug discovery tool, commenting on challenges, perspectives and opportunities for
the different experimental approaches.
PMID- 22083212
TI - Histopathology of surgically treated renal tumours in young adults: a developing
country perspective.
AB - BACKGROUND: There is no data on the histopathological characteristics of renal
tumours in young adults in Pakistan. MATERIALS AND METHODS: We retrospectively
analysed 133 young adults (age: 16 to <=40 years) who underwent nephrectomy for
suspected renal cancer from 1994 till July 2010. The demographical and
pathological parameters were determined from original surgical biopsy reports and
case files, and analysed. RESULTS: The mean age of patients was 33.3 +/- 6.2
years. Overall, 121 (88.9%) renal tumours were malignant, and 15 (11%) benign.
Among malignant tumours, renal cell carcinoma (RCC) was predominant (100/121;
82.6%). The subtypes of RCC included: clear cell RCC, 84 (84%); papillary RCC, 11
(11%), chromophobe RCC, 3 (3%) and sarcomatoid RCC, 2 (2%). Other malignant
tumours included: transitional cell carcinoma (9/121; 7.4%), primitive
neuroectodermal tumour (5/121; 4.1%), synovial sarcoma (2/121; 1.6%), Non
Hodgkin's lymphoma (2/121; 1.6%), leiomyosarcoma (1/121; 0.8%), malignant fibrous
histiocytoma (1/121; 0.8%) and squamous cell carcinoma (1/121; 0.8%). Among
benign tumours, there were 11 cases of angiomyolipoma (11/15; 73.3%), three cases
of oncocytoma (3/15; 20%) and one case of schwannoma (1/15; 6.6%). Almost two
third (62.7%) patients had stage I/II tumours, 22 (18.1%) stage III and 23 (19%)
stage IV disease at the time of surgery. CONCLUSION: A wide variety of renal
tumours is documented in young adults with large size of the tumours and late
presentation in our population.
PMID- 22083215
TI - Ownership.
PMID- 22083213
TI - Aberrant expression of intelectin-1 in gastric cancer: its relationship with
clinicopathological features and prognosis.
AB - PURPOSES: Human intelectin-1 (ITLN-1) is a novel identified galactose-binding
lectin that is expressed in the colonic goblet cells. Since gastric
adenocarcinomas can arise through a process of intestinalization, we speculate
that ITLN-1 may be aberrantly expressed in gastric cancer. This study was
undertaken to examine the ITLN-1 expression in gastric cancer and correlate it
with clinical outcomes. METHODS: One hundred and ninety-six gastric cancer
patients were evaluated for the ITLN-1 expression by immunohistochemistry. The
ITLN-1 transcripts were measured by real-time quantitative PCR. RESULTS: ITLN-1
expression was absent in normal gastric mucosa, whereas areas of intestinal
metaplasia revealed ITLN-1 immunoreactivity. One hundred and forty-two gastric
cancer patients (72.4%) were positive for ITLN-1 expression. In a subtotal of 20
patients, ITLN-1 transcripts were significantly enhanced in gastric cancer
tissues than in normal gastric mucosa (P < 0.001). The expression rate of ITLN-1
was higher in intestinal-type carcinomas than in diffuse-type carcinomas (P =
0.003). ITLN-1 positivity in gastric cancer was positively correlated with tumor
differentiation (P = 0.001) and CDX2 expression (P < 0.001), and inversely
correlated with depth of invasion (P = 0.007), lymph node metastasis (P = 0.001),
distant metastasis (P = 0.014), clinical stage (P = 0.006), Ki-67 expression (P =
0.001), and heparanase expression (P < 0.001), without correlation with age,
gender, tumor location, or tumor size. In univariate and multivariate analyses,
ITLN-1 was an independent prognostic factor for longer survival of gastric cancer
patients (P = 0.001). CONCLUSION: The aberrant ITLN-1 expression in gastric
cancer is correlated with clinicopathological features and may be a useful
prognostic factor for predicting the outcomes of gastric cancer patients.
PMID- 22083214
TI - Noninvasive studies of central aortic pressure.
AB - Our purpose is to review noninvasive methods for measuring central arterial
pressure. Indices of central arterial pressure measured from central aortic and
peripheral arterial waveforms have shown value in predicting cardiovascular
events and death, as well as in guiding therapeutic management. This article
reviews noninvasive techniques of measuring central arterial pressure that have
been validated against intra-arterial pressure. This paper explains methods to
derive central (aortic and carotid) pressure from radial and brachial sites. It
focuses on specific issues of brachial calibration applied to carotid pressure
waveforms, which were regarded as a surrogate of aortic pressures used in three
major studies (Framingham, Asklepios, and Australian National Blood Pressure 2
studies). We explain why radial-based methods are superior to carotid-based
methods for estimating central pressure. Physiological principles of pressure
measurement need be satisfied to ensure accurate recording.
PMID- 22083216
TI - Effect of the interaction between food state and the action of estrogen on
oxytocinergic system activity.
AB - Increased plasma osmolality by food intake evokes augmentation of plasma oxytocin
(OT). Ovarian steroids may also influence the balance of body fluids by acting on
OT neurones. Our aim was to determine if estrogen influences the activity of OT
neurones in paraventricular nucleus (PVN) and supraoptic nucleus (SON) under
different osmotic situations. Ovariectomized rats (OVX) were treated with either
estradiol (E(2)) or vehicle and were divided into three groups: group I was fed
ad libitum, group II underwent 48 h of fasting, and group III was refed after 48
h of fasting. On the day of the experiment, blood samples were collected to
determine the plasma osmolality and OT. The animals were subsequently perfused,
and OT/FOS immunofluorescence analysis was conducted on neurones in the PVN and
the SON. When compared to animals which were fasted or fed ad libitum, the plasma
osmolality of refed animals was higher, regardless of whether they were treated
with vehicle or E(2). We observed neural activation of OT cells in vehicle- or
E(2)-treated OVX rats refed after 48 h of fasting, but not in animals fed ad
libitum or in animals that only underwent 48 h of fasting. Finally, the
percentage of neurones that co-expressed OT and FOS was lower in both the PVN and
the SON of animals treated with E(2) and refed, when compared to vehicle-treated
animals. These results suggest that E(2) may have an inhibitory effect on OT
neurones and may modulate the secretion of OT in response to the increase of
osmolality induced by refeeding.
PMID- 22083217
TI - Urocortin is a novel regulator of osteoclast differentiation and function through
inhibition of a canonical transient receptor potential 1-like cation channel.
AB - This study investigated the role of urocortin (UCN), a member of the
corticotrophin-releasing factor (CRF) family of peptides, in osteoclast
maturation and function. We found that 10(-7) M UCN significantly (P<0.05)
suppressed osteoclast differentiation from bone marrow precursor cells in culture
and reduced the expression of several osteoclastic markers. Furthermore, UCN
potently suppressed osteoclast bone resorption, by significantly inhibiting both
the plan area of bone resorbed by osteoclasts and actin ring formation within
osteoclasts at 10(-9) M (P<0.05), with complete inhibition at 10(-7) M (P<0.001).
UCN also inhibited osteoclast motility (10(-7) M) but had no effect on osteoclast
survival. Osteoclasts expressed mRNA encoding both UCN and the CRF receptor 2beta
subtype. Pre-osteoclasts however, expressed CRF receptor 2beta alone.
Unstimulated osteoclasts contained constitutively active cation channel currents
with a unitary conductance of 3-4 pS, which were inhibited by over 70% with UCN
(10(-7) M). Compounds that regulate calcium signalling and energy status of the
cell, both crucial for osteoclast activity were investigated. The non-selective
cation channel blockers, lanthanum (La(3)(+)) and gadolinium (Gd(3)(+)),
inhibited actin ring formation in osteoclasts, whereas modulators of voltage
dependent Ca(2)(+) channels and K(ATP) channels had no effect. These findings
show for the first time that UCN is a novel anti-resorptive molecule that acts
through a direct effect on osteoclasts and their precursor cells.
PMID- 22083218
TI - Connective tissue diseases: Unpicking Dupruyten disease etiology-is Wnt the way?
PMID- 22083219
TI - Perioperative care for patients with rheumatic diseases.
AB - The perioperative care of patients with rheumatic diseases is hampered by a lack
of evidence-based recommendations. Rheumatologists are called upon to 'clear'
their patients for surgery, yet the evidence upon which to base decisions is
fractionated and inconsistent. We have systematically reviewed the current
literature and developed suggestions for three key areas that require particular
deliberations in patients with rheumatic diseases scheduled for surgery: the
management of cardiovascular risk, use of immunosuppressive drugs, and states of
altered coagulation. For patients with rheumatic diseases associated with
increased cardiovascular risk, such as rheumatoid arthritis and systemic lupus
erythematosus, we suggest following the American College of Cardiology-American
Heart Association guidelines using the underlying disease as a risk modifier.
Most evidence suggests a neutral effect of conventional DMARDs in the
perioperative period, with no need to discontinue them prior to surgery.
Conversely, we suggest minimizing perioperative steroid use and unnecessary
'steroid preps'. The potential benefits of discontinuing biologic drugs in the
perioperative setting needs to be carefully balanced with the risks associated
with a disease flare. We discuss the American College of Chest Physicians
guidelines, which classify individuals with antiphospholipid antibody syndrome as
high-risk patients for perioperative thrombosis who are likely to require
bridging therapy in most perioperative settings.
PMID- 22083221
TI - Screening: Assessing bone structure in the prediction of osteoporotic fractures.
PMID- 22083220
TI - Cardiovascular safety of biologic therapies for the treatment of RA.
AB - Cardiovascular disease represents a major source of extra-articular comorbidity
in patients with rheumatoid arthritis (RA). A combination of traditional
cardiovascular risk factors and RA-related factors accounts for the excess risk
in RA. Among RA-related factors, chronic systemic inflammation has been
implicated in the pathogenesis and progression of atherosclerosis. A growing body
of evidence--mainly derived from observational databases and registries--suggests
that specific RA therapies, including methotrexate and anti-TNF biologic agents,
can reduce the risk of future cardiovascular events in patients with RA. The
cardiovascular profile of other biologic therapies for the treatment of RA has
not been adequately studied, including of investigational drugs that improve
systemic inflammation but alter traditional cardiovascular risk factors. In the
absence of large clinical trials adequately powered to detect differences in
cardiovascular events between biologic drugs in RA, deriving firm conclusions on
cardiovascular safety is challenging. Nevertheless, observational research using
large registries has emerged as a promising approach to study the cardiovascular
risk of emerging RA biologic therapies.
PMID- 22083222
TI - Experimental arthritis: EBV induces arthritis in mice.
PMID- 22083223
TI - Validation of the Dutch version of the VascuQol questionnaire and the Amsterdam
Linear Disability Score in patients with intermittent claudication.
AB - PURPOSE: To assess the reliability and validity of the Dutch version of the
vascular quality of life questionnaire (VascuQol) and the AMC Linear Disability
Score (ALDS) in patients with stable intermittent claudication (IC). METHODS:
During a 5-month period we performed a prospective study in which we included
every patient with stable IC, who visited our vascular surgery outpatient clinic
and consented to participate. Forty consecutive patients filled in the Dutch
VascuQol, the ALDS, and Short Form-36 (SF-36). Twenty patients filled in the same
questionnaires after 4 weeks. Internal reliability consistencies were expressed
as Cronbach's alpha. Test-retest reliability was expressed as intraclass
correlation coefficients (ICC). Construct validity was expressed as Spearman rho
correlations between SF-36 and relevant domains of Dutch VascuQol and the ALDS.
RESULTS: Internal reliability consistencies were, respectively, good and
excellent for the total scores of VascuQol, SF-36, and ALDS (Cronbach's alpha.
0.87, 0.89, and 0.92). Test-retest reliability was excellent for the total
VascuQol scores [ICC 0.91 (95% CI, 0.78-0.96)], and for the ALDS [ICC 0.90 (95%
CI, 0.76-0.96)]. Spearman correlations between VascuQol, ALDS, and SF-36 domains
varied from r = 0.34-0.79. CONCLUSION: The Dutch VascuQol is a valid and reliable
questionnaire for assessment of Qol in patients with IC. This study confirms the
good clinimetric properties of the ALDS for assessing disability in patients with
IC.
PMID- 22083224
TI - Solar hydrogen production with semiconductor metal oxides: new directions in
experiment and theory.
AB - An overview of a collaborative experimental and theoretical effort toward
efficient hydrogen production via photoelectrochemical splitting of water into di
hydrogen and di-oxygen is presented here. We present state-of-the-art
experimental studies using hematite and TiO(2) functionalized with gold
nanoparticles as photoanode materials, and theoretical studies on electro and
photo-catalysis of water on a range of metal oxide semiconductor materials,
including recently developed implementation of self-interaction corrected energy
functionals.
PMID- 22083226
TI - A novel BF2-chelated azadipyrromethene-fullerene dyad: synthesis,
electrochemistry and photodynamics.
AB - The synthesis, structure, electrochemistry and photodynamics of a BF(2)-chelated
azadipyrromethene-fullerene dyad are reported in comparison with BF(2)-chelated
azadipyrromethene without fullerene. The attachment of fullerene resulted in
efficient generation of the triplet excited state of the azadipyrromethene via
photoinduced electron transfer.
PMID- 22083225
TI - Cooperation, conflict, and the evolution of queen pheromones.
AB - While chemical communication regulates individual behavior in a wide variety of
species, these communication systems are most elaborated in insect societies. In
these complex systems, pheromones produced by the reproductive individuals
(queens) are critical in establishing and maintaining dominant reproductive
status over hundreds to thousands of workers. The proximate and ultimate
mechanisms by which these intricate pheromone communication systems evolved are
largely unknown, though there has been much debate over whether queen pheromones
function as a control mechanism or as an honest signal facilitating cooperation.
Here, we summarize results from recent studies in honey bees, bumble bees, wasps,
ants and termites. We further discuss evolutionary mechanisms by which queen
pheromone communication systems may have evolved. Overall, these studies suggest
that queen-worker pheromone communication is a multi-component, labile dialog
between the castes, rather than a simple, fixed signal-response system. We also
discuss future approaches that can shed light on the proximate and ultimate
mechanisms that underlie these complex systems by focusing on the development of
increasingly sophisticated genomic tools and their potential applications to
examine the molecular mechanisms that regulate pheromone production and
perception.
PMID- 22083227
TI - [Pertrochanteric fractures. What impact do surgical timing and implant choice
have on the outcome?].
AB - Pertrochanteric fractures are grouped together with femoral neck fractures to
represent the most frequent femur fractures in the region of the hip joint and
usually occur in elderly people with preexisting conditions. Analysis of the data
from the 2004/2005 quality assurance program of North Rhine-Westphalia shows,
just as is the case for medial femoral neck fractures, that not only does delayed
management increase risk-adjusted general and surgical complications but also
mortality.
PMID- 22083228
TI - [Suicide in old age: the underestimated risk. An analysis of 1,894 patients in
the Trauma Registry of the German Trauma Society].
AB - BACKGROUND: In Germany, the number of suicides and suicide attempts (n = 9,616)
exceeds the number of traffic deaths (n = 4,152) by far. It is unknown how many
suicide attempts are treated in trauma centres. Due to a lack of registered
suicide attempts in Germany, no data exist about injury patterns, mortality or
duration of treatment. MATERIALS AND METHODS: We prospectively analysed data
collected on emergency room patients from the Trauma Registry of the German
Trauma Society (TR-DGU) between 1993 and 2009. All patients with an Injury
Severity Score (ISS) >= 9, age >= 18 years and a documented suicide attempt were
included in the study. The main target points were epidemiological data, cause of
injury and injury patterns, ISS, gender distribution, mortality, duration of
treatment and patients' psychiatric medical history. RESULTS: Of 42,248 patients
of the TR-DGU, 1,894 were included in the study; 274 patients were >= 65 years
old. The most common method was jumping from a height among the group of female
patients, whereas the use of firearms predominated in the male group. The average
ISS was 31 points in all patient groups. The mortality was highest in patients
aged >= 65 years. Psychiatric disorders were found predominantly in women.
CONCLUSION: Of all severely injured patients in trauma centres, 5% suffered their
injuries as a consequence of a suicidal attempt. In women who survived initially,
jumping from a height was the most frequently chosen method. In elderly men the
use of firearms dominated. The significant increase of mortality in elderly
patients, preexisting depressive conditions and the expected increase in the
number of these patients as a consequence of the changed age pyramid should lead
to more intensive recognition and treatment of this disease and possibly improved
suicide prevention.
PMID- 22083230
TI - Case report and review of the literature: secretory breast cancer in a 13-year
old boy--10 years of follow up.
AB - Carcinoma of the breast is very rare in childhood, accounting for less than 1% of
all childhood malignancies and is especially rare in boys. Delay in diagnosis and
treatment in children with breast cancer may occur because surgeons are very
reluctant to perform biopsies on the developing breast, since these can cause
future deformity. We report a case of male secretory breast carcinoma in a 13
year-old boy. Radical mastectomy was performed followed by chemotherapy. The
patient is free of disease after 10 years. Secretory breast carcinoma (SBC) is
the commonest type of breast carcinoma in children. In this article, we discuss
the diagnosis and treatment options for breast cancer among children as well as
features of SBC, based on a literature review.
PMID- 22083229
TI - A phase II study of 17-allylamino-17-demethoxygeldanamycin in metastatic or
locally advanced, unresectable breast cancer.
AB - Heat shock protein 90 (Hsp90) is an attractive target for breast cancer
treatment, as it is required for the proper folding and stabilization of several
proteins known to be involved in breast cancer growth and development. These
proteins include the epidermal growth factor receptor, human epidermal growth
factor receptor 2 (HER2), estrogen receptor (ER), progesterone receptor (PR), and
src. 17-Allylamino-17-demethoxygeldanamycin (17-AAG) is an intravenous Hsp90
inhibitor in development for breast cancer treatment. We conducted a phase II
study of 17-AAG 220 mg/m(2) on days 1, 4, 8, and 11 every 21 days in patients
with metastatic and locally advanced breast cancer. Since we expected the
molecular effects of Hsp90 inhibition to extend beyond just ER, PR, and HER2 down
regulation and to impact a variety of other cellular proteins, patients were not
selected based on ER, PR, or HER2 status. Eleven patients, including 6 patients
with triple negative breast cancer, were enrolled and treated. There were no
responses and 3 patients had stable disease as their best response. Five patients
developed grade 3/4 toxicities, which were primarily hepatic and pulmonary. Based
on these results, we do not recommend further study of 17-AAG at this dosing
schedule or in unselected breast cancer patients.
PMID- 22083231
TI - Breast epithelial cell proliferation is markedly increased with short-term high
levels of endogenous estrogen secondary to controlled ovarian hyperstimulation.
AB - Oocyte donors have high serum estradiol (E2) levels similar to the serum levels
seen in the first trimester of pregnancy. We report in this article our studies
comparing cell proliferation, Ki67 (MIB1), and estrogen and progesterone receptor
levels (ERalpha, PRA, and PRB) in the breast terminal duct lobular units of
oocyte donors, women in early pregnancy, and in normally cycling women. Breast
tissue and blood samples were obtained from 10 oocyte donors, and 30 pregnant
women at 5-18 weeks of gestation. Breast tissue samples were also obtained from
26 normally cycling women. In the oocyte donors: peak E2 (mean ~15,300 pmol/l)
was reached on the day before oocyte (and tissue) donation; peak progesterone
(P4; mean 36.3 nmol/l) was reached on the day of donation; Ki67 was positively
associated with level of E2, and the mean Ki67 was 7.0% significantly greater
than the mean 1.8% of cycling women. In the pregnant women: mean E2 rose from
~2,000 pmol/l at 5 weeks of gestation to ~27,000 pmol/l at 18 weeks; mean P4 did
not change from ~40 nmol/l until around gestational week 11 when it increased to
~80 nmol/l; mean Ki67 was 15.4% and did not vary with gestational age or E2.
Oocyte donors have greatly increased levels of E2 and of breast-cell
proliferation, both comparable in the majority of donors to the levels seen in
the first trimester of pregnancy. Whether their short durations of greatly
increased E2 levels are associated with any long-term beneficial effects on the
breast, as occurring in rodent models, is not known.
PMID- 22083232
TI - Topoisomerase 2A gene amplification in breast cancer. Critical evaluation of
different FISH probes.
AB - The HER2 amplicon on chromosome 17q is variable in size and occasionally includes
Topoisomerase 2A (TOP2A) at 17q21-22. It has been suggested that TOP2 co
amplification, not HER2 amplification on chromosome 17q11.2-12, is a useful
predictive marker of response to anthracycline-based chemotherapy in breast
cancer patients. Given the significant toxicities of anthracyclines, the
detection methods of TOP2A gene amplifications have to be standardized. We
determined TOP2A gene alterations using two different fluorescence in situ
hybridization (FISH) DNA probes. HER2 amplifications were identified with the
PathVysion probe. TOP2A status of 42 HER2 amplified breast cancers was tested by
FISH with PathVysion covering 160 kb and DAKO pharm DX covering 228 kb of the
TOP2A amplicon. TOP2A protein expression was tested by immunohistochemistry.
Multiplex-ligation dependent probe amplification (MLPA) was performed
retrospectively in cases showing discrepancies. TOP2A was amplified in 15 of 42
cases (35%) with DAKO pharm DX and in 11 of 42 cases (26%) with PathVysion. In
all four discrepant cases, MLPA showed no TOP2A amplification, but instead
amplification of an upstream region including HER2. TOP2A was deleted in the same
seven of 42 carcinomas (17%) with both probes. TOP2A protein expression was
detected in all 42 tumours (100%) with high intratumoral heterogeneity. TOP2A
amplification rate depends on the length of the hybridized probes for the TOP2A
locus. Because TOP2A, not HER2, is a target of anthracyclines, non-overlapping
DNA probes should be used to evaluate any associations between such alterations
and response to anthracycline-based chemotherapy.
PMID- 22083234
TI - Mapping of locus for autosomal dominant retinitis pigmentosa on chromosome 6q23.
AB - Retinitis pigmentosa (RP) is a genetically heterogeneous group of retinal
degenerative disorders resulting in severe visual loss and blindness that have
remained incurable till date. We report the mapping of the disease locus in a 3
generation family of Indian origin with autosomal dominant RP (ADRP). Diagnosis
of RP and recruitment was made after a complete clinical evaluation of all
members. Manifestations of the disease included night blindness with blurred
central vision in some cases, loss of peripheral vision, and diffuse degeneration
of the retinal pigment epithelium. Linkage analysis using microsatellite markers
was carried out on 34 members (14 affected). After testing for linkage to known
retinal dystrophy loci as well as a subsequent genome-wide analysis, we detected
linkage to markers on chromosome 6q23: D6S262 at 130 cM, D6S457 (130 cM) and
D6S1656 (131 cM) gave significant 2-point LOD scores of 3.0-3.8. Multipoint LOD
scores of >=3.0 were obtained for markers between 121 and 130 cM. Haplotype
analysis with several markers in the same region on chromosome 6 shows a disease
cosegregating region of about 25 Mb between 109 and 135 Mb. There are no known RP
genes in this interval, which contains >100 genes. This study provides evidence
for a novel ADRP locus on chromosome 6q23.
PMID- 22083233
TI - Solid-phase submonomer synthesis of peptoid polymers and their self-assembly into
highly-ordered nanosheets.
AB - Peptoids are a novel class of biomimetic, non-natural, sequence-specific
heteropolymers that resist proteolysis, exhibit potent biological activity, and
fold into higher order nanostructures. Structurally similar to peptides, peptoids
are poly N-substituted glycines, where the side chains are attached to the
nitrogen rather than the alpha-carbon. Their ease of synthesis and structural
diversity allows testing of basic design principles to drive de novo design and
engineering of new biologically-active and nanostructured materials. Here, a
simple manual peptoid synthesis protocol is presented that allows the synthesis
of long chain polypeptoids (up to 50mers) in excellent yields. Only basic
equipment, simple techniques (e.g. liquid transfer, filtration), and commercially
available reagents are required, making peptoids an accessible addition to many
researchers' toolkits. The peptoid backbone is grown one monomer at a time via
the submonomer method which consists of a two-step monomer addition cycle:
acylation and displacement. First, bromoacetic acid activated in situ with N,N'
diisopropylcarbodiimide acylates a resin-bound secondary amine. Second,
nucleophilic displacement of the bromide by a primary amine follows to introduce
the side chain. The two-step cycle is iterated until the desired chain length is
reached. The coupling efficiency of this two-step cycle routinely exceeds 98% and
enables the synthesis of peptoids as long as 50 residues. Highly tunable, precise
and chemically diverse sequences are achievable with the submonomer method as
hundreds of readily available primary amines can be directly incorporated.
Peptoids are emerging as a versatile biomimetic material for nanobioscience
research because of their synthetic flexibility, robustness, and ordering at the
atomic level. The folding of a single-chain, amphiphilic, information-rich
polypeptoid into a highly-ordered nanosheet was recently demonstrated. This
peptoid is a 36-mer that consists of only three different commercially available
monomers: hydrophobic, cationic and anionic. The hydrophobic phenylethyl side
chains are buried in the nanosheet core whereas the ionic amine and carboxyl side
chains align on the hydrophilic faces. The peptoid nanosheets serve as a
potential platform for membrane mimetics, protein mimetics, device fabrication,
and sensors. Methods for peptoid synthesis, sheet formation, and microscopy
imaging are described and provide a simple method to enable future peptoid
nanosheet designs.
PMID- 22083235
TI - Purification of a lectin from Arisaema erubescens (Wall.) Schott and its pro
inflammatory effects.
AB - The monocot lectin from the tubers of Arisaema erubescens (Wall.) Schott has been
purified by consecutive hydrophobic chromatography and ion exchange
chromatography methods. The molecular weight of this A. erubescens lectin (AEL)
was determined to be about 12 kDa by high performance liquid chromatography
(HPLC) and sodium dodecyl sulphate polyacrylamide gel electrophoresis (SDS-PAGE)
methods. AEL could agglutinate rabbit erythrocytes. The haemagglutination
activity of AEL was only inhibited by asialofetuin, while monosaccharide did not
react. Rat paw edema and neutrophil migration models were used to investigate the
pro-inflammatory activity of AEL. AEL (100 and 200 MUg/paw) could induce
significant rat paw edema. In addition, AEL (100, 200 and 300 MUg/mL/cavity)
could induce significant and dose-dependent neutrophil migration in the rat
peritoneal cavities. Besides, AEL at doses ranging from 100 to 300 MUg/mL/cavity
could significantly increase the concentration of nitric oxide (NO),
prostaglandin E(2 )(PGE(2)) and tumor necrosis factor alpha (TNF-alpha) in
peritoneal fluid. As compared with control animals, 75% depletion in the number
of resident cells following peritoneal lavage did not reduce the AEL-induced
neutrophil migration. However, pre-treatment with 3% thioglycollate which
increased the peritoneal macrophage population by 201%, enhanced the neutrophil
migration induced by AEL (200 MUg/mL/cavity) (p < 0.05). Reduction of peritoneal
mast cell population by chronic treatment of rat peritoneal cavities with
compound 48/80 (N-methyl-p-methoxyphenethylamine with formaldehyde) did not
modify AEL-induced neutrophil migration. The results provided the basis for
identifying the toxic components of A. erubescens and AEL could be a new useful
tool for pro-inflammatory research.
PMID- 22083236
TI - Synthesis of endohedral metallofullerene glycoconjugates by carbene addition.
AB - Endohedral metallofullerene glycoconjugates were synthesized under mild
conditions by carbene addition using appropriate glycosylidene-derived diazirine
with La(2)@I(h)-C(80). NMR spectroscopic studies revealed that the glycoconjugate
consists of two diastereomers of [6,6]-open mono-adducts. The electronic
properties were characterized using Vis/NIR absorption spectroscopy and
electrochemical measurements. This study demonstrates that glycosylidene carbene
is useful to incorporate carbohydrate moieties onto endohedral metallofullerene
surfaces.
PMID- 22083239
TI - Metastasis of a ductal breast carcinoma to the buccal mucosa of the mandible with
tooth involvement.
AB - BACKGROUND: We present a metastatic tumour from the breast to the gingiva, with
the rare finding of tooth invasion. Metastatic tumours to the oral region are
uncommon. The breast is the most common primary site for metastatic tumours to
the jawbones in women, with the mandible being most often affected. CASE REPORT:
We report the case of a 52-year-old Caucasian woman who presented with a swelling
of the buccal mucosa in the molar region of the left mandible. Biopsy revealed a
metastatic lesion, with involvement of the two adjacent molars.
Immunohistochemical analysis ruled out other malignancies and confirmed the
diagnosis of a ductal breast carcinoma origin. DISCUSSION: Management in such
cases should be in the context of the treatment of a metastatic disease that
could prolong survival and improve quality of life, but is not curative. Tooth
invasion has been described since 1910 for different primary malignancies with
distant metastases to the oral cavity. This report seems to describe the second
case in the literature of a metastatic breast carcinoma to the mandible with
tooth invasion. Management in such cases should be in the context of the
treatment of a metastatic disease that could prolong survival and improve quality
of life, but is not curative.
PMID- 22083238
TI - Novel insights into the pathomechanisms of skeletal muscle channelopathies.
AB - The nondystrophic myotonias and primary periodic paralyses are an important group
of genetic muscle diseases characterized by dysfunction of ion channels that
regulate membrane excitability. Clinical manifestations vary and include
myotonia, hyperkalemic and hypokalemic periodic paralysis, progressive myopathy,
and cardiac arrhythmias. The severity of myotonia ranges from severe neonatal
presentation causing respiratory compromise through to mild later-onset disease.
It remains unclear why the frequency of attacks of paralysis varies greatly or
why many patients develop a severe permanent fixed myopathy. Recent detailed
characterizations of human genetic mutations in voltage-gated muscle sodium
(gene: SCN4A), chloride (gene: CLCN1), calcium (gene: CACNA1S), and inward
rectifier potassium (genes: KCNJ2, KCNJ18) channels have resulted in new insights
into disease mechanisms, clinical phenotypic variation, and therapeutic options.
PMID- 22083240
TI - In vivo monitoring of organ-selective distribution of CdHgTe/SiO2 nanoparticles
in mouse model.
AB - CdHgTe/SiO(2) nanoparticles were prepared by SiO(2) capping on the surface of
CdHgTe QDs. The characteristics, such as optical spectra, photostability, size
and cell toxicity were investigated. The dynamic distribution of CdHgTe/SiO(2)
nanoparticles was in vivo monitored by near infrared fluorescence imaging system.
CdHgTe/SiO(2) nanoparticles acted as a novel fluorescence probe have a maximum
fluorescence emission of 785 nm and high photo-stability. The hydrodynamic
diameter of CdHgTe/SiO(2) nanoparticles could be adjusted to 122.3 nm. Compared
to CdHgTe QDs, inhibitory effects of CdHgTe/SiO(2) nanoparticles on proliferation
of HCT116 cells decreased to a certain extent. CdHgTe/SiO(2) nanoparticles had
their specific dynamic distribution behavior, which provided new perspectives for
bio-distribution of nanoparticles.
PMID- 22083241
TI - Urinary incontinence: incontinence guidelines--is lack of adherence a form of
ageism?
PMID- 22083242
TI - Therapy: two birds, one stone: tadalafil is an effective treatment for men with
both BPH-LUTS and ED.
PMID- 22083243
TI - Prostate cancer: Scribble complex protein deficiency promotes prostate tumors in
vivo.
PMID- 22083244
TI - Kidney cancer: zero-ischemia partial nephrectomy--further evaluations are needed.
PMID- 22083245
TI - Prostate cancer: Vitamin E and prostate cancer--what is the real risk?
PMID- 22083246
TI - The evaluation of myomectomies performed during cesarean section in our clinic.
AB - BACKGROUND: We evaluated the data of patients who had applied myomectomy during
cesarean section operation in our clinic between April, 2008 and December, 2010.
OBJECTIVE: I0 n this period, 3689 cesarean sections were done in our clinic, we
analyzed their data retrospectively and determined 27 myomectomy cases during
cesarean section operation. The age of the patients, the numbers of pregnancy,
parities, the rates of abortus, indications of cesarean, pregnancy weeks,
residential areas of myoms detected during the cesarean and their size, were
recorded. Furthermore, pre-operative and post-operative hemoglobin (Hb) values,
differences between hemoglobin values, whether there was bleeding or not, the
need of blood transfusion if it occurred, the duration of operation and
hospitaization and the pathological diagnoses of myomectomy materials, were
examined. MATERIALS AND METHODS: Retrospective study of myomectomies. RESULTS:
The mean age of patients was 29.6+/-5.9 (19-42) and mean gestational age was
39.2+/-1.0(37-42) weeks. The mean size of the fibroids was 5.94+/-6.29 cm(3)
(0.96-26.50 cm3). Subserous myoms were the most frequently seen ones (24 of 27
patients=89%) with fundal, corporal localizations in most of the instances. T0 he
pre-operative and post-operative values of Hb were 11.8+/-1.52 (8.6-10.5) and
10.3+/-2.6 (6.9-13.3) g/dl respectively and the difference was statistically
significant (P<0.001). Blood transfusion was not necessary in any patient. The
mean duration of the operation was found to be 40.7+/-13.9 (13-60) minutes.
CONCLUSION: Myomectomies can be performed safely during cesarean section by
experienced obstetricians and gynecologists, and myomectomy performed for
fibroids in appropriate localizations does not increase post-operative bleeding
or maternal morbidity or mortality.
PMID- 22083247
TI - Coloring genetically modified soybean grains with anthocyanins by suppression of
the proanthocyanidin genes ANR1 and ANR2.
AB - Detection and quantification of the levels of adventitious presence of
genetically modified (GM) soybeans in non-GM grain shipments currently requires
sophisticated tests that can have issues with their reproducibility. We show here
that pigment biosynthesis in the soybean seed coat can be manipulated to provide
a distinct color that would enable the simple visible detection of the GM soybean
grain. We observed that a distinct red-brown grain color could be engineered by
the simultaneous suppression of two proanthocyanidin (PA) genes, ANTHOCYANIDIN
REDUCTASE1 (ANR1) and ANR2. Multiple reaction monitoring by liquid chromatography
tandem mass spectrometry was used to quantify differentially accumulated seed
coat metabolites, and revealed the redirection of metabolic flux into the
anthocyanin pigment pathway and unexpectedly the flavonol-3-O-glucoside pathway.
The upregulations of anthocyanin isogenes (DFR1 and GST26) and the
anthocyanin/flavonol-3-O-glycosyltransferase (UGT78K2) were identified by
quantitative RT-PCR to be endogenous feedback and feedforward responses to
overaccumulation of upstream flavonoid intermediates resulting from ANR1 and ANR2
suppressions. These results suggested the transcription of flavonoid genes to be
a key component of the mechanism responsible for the redirection of metabolite
flux. This report identifies the suppression of PA genes to be a novel approach
for engineering pigmentation in soybean grains.
PMID- 22083249
TI - Quantum confinement controlled photocatalytic water splitting by suspended CdSe
nanocrystals.
AB - The photocatalytic hydrogen production of CdSe nanocrystals (1.75-4.81 nm) in the
presence of aqueous sodium sulphite depends exponentially on the bandgap of the
particles, confirming that the material's activity is controlled by the degree of
quantum confinement.
PMID- 22083250
TI - Bacterial diversity in the cecum of the world's largest living rodent
(Hydrochoerus hydrochaeris).
AB - The capybara (Hydrochoerus hydrochaeris) is the world's largest living rodent.
Native to South America, this hindgut fermenter is herbivorous and coprophagous
and uses its enlarged cecum to digest dietary plant material. The microbiota of
specialized hindgut fermenters has remained largely unexplored. The aim of this
work was to describe the composition of the bacterial community in the fermenting
cecum of wild capybaras. The analysis of bacterial communities in the capybara
cecum is a first step towards the functional characterization of microbial
fermentation in this model of hindgut fermentation. We sampled cecal contents
from five wild adult capybaras (three males and two females) in the Venezuelan
plains. DNA from cecal contents was extracted, the 16S rDNA was amplified, and
the amplicons were hybridized onto a DNA microarray (G2 PhyloChip). We found 933
bacterial operational taxonomic units (OTUs) from 182 families in 21 bacterial
phyla in the capybara cecum. The core bacterial microbiota (present in at least
four animals) was represented by 575 OTUs. About 86% of the cecal bacterial OTUs
belong to only five phyla, namely, Firmicutes (322 OTUs), Proteobacteria (301
OTUs), Bacteroidetes (76 OTUs), Actinobacteria (69 OTUs), and Sphirochaetes (37
OTUs). The capybara harbors a diverse bacterial community that includes lineages
involved in fiber degradation and nitrogen fixation in other herbivorous animals.
PMID- 22083251
TI - New stem-sauropodomorph (Dinosauria, Saurischia) from the Triassic of Brazil.
AB - Post-Triassic theropod, sauropodomorph, and ornithischian dinosaurs are readily
recognized based on the set of traits that typically characterize each of these
groups. On the contrary, most of the early members of those lineages lack such
specializations, but share a range of generalized traits also seen in more basal
dinosauromorphs. Here, we report on a new Late Triassic dinosaur from the Santa
Maria Formation of Rio Grande do Sul, southern Brazil. The specimen comprises the
disarticulated partial skeleton of a single individual, including most of the
skull bones. Based on four phylogenetic analyses, the new dinosaur fits
consistently on the sauropodomorph stem, but lacks several typical features of
sauropodomorphs, showing dinosaur plesiomorphies together with some neotheropod
traits. This is not an exception among basal dinosaurs, the early radiation of
which is characterized by a mosaic pattern of character acquisition, resulting in
the uncertain phylogenetic placement of various early members of the group.
PMID- 22083252
TI - Seaweed intake and urinary sex hormone levels in preschool Japanese children.
AB - PURPOSE: We investigated whether seaweed intake is associated with sex steroid
levels in young Japanese children. METHODS: The design of the study was cross
sectional and it was conducted in October-November 2006. Subjects were
substantially healthy preschoolers, 230 boys and 198 girls, aged 3-6 years.
Dietary data, including seaweed intake, were assessed using 3-day dietary records
covering 2 consecutive weekdays and 1 weekend day. Urinary estrone, estradiol,
testosterone, and 5-androstene-3beta,17alpha diol levels were measured by liquid
chromatography-electrospray ionization tandem mass spectrometry. Urinary
dehydroepiandrosterone level was measured with a radioimmunoassay. Steroid
hormones were adjusted for urinary creatinine levels. RESULTS: Spearman's
correlation coefficient between seaweed intake and estrone level was -0.144 (p =
0.030) in boys and -0.147 (p = 0.041) in girls after adjustments for age, BMI,
and total energy intake. Seaweed intake was neither associated with estradiol,
testosterone, 3beta,17alpha-AED nor with DHEA among boys and girls. CONCLUSIONS:
The negative association between seaweed intake and estrone level suggests that
dietary seaweed intake might affect estrogen metabolism in childhood.
PMID- 22083253
TI - Neurofibromatosis 2011: a report of the Children's Tumor Foundation annual
meeting.
AB - The 2011 annual meeting of the Children's Tumor Foundation, the annual gathering
of the neurofibromatosis (NF) research and clinical communities, was attended by
330 participants who discussed integration of new signaling pathways into NF
research, the appreciation for NF mutations in sporadic cancers, and an expanding
pre-clinical and clinical agenda. NF1, NF2, and schwannomatosis collectively
affect approximately 100,000 persons in US, and result from mutations in
different genes. Benign tumors of NF1 (neurofibroma and optic pathway glioma) and
NF2 (schwannoma, ependymoma, and meningioma) and schwannomatosis (schwannoma) can
cause significant morbidity, and there are no proven drug treatments for any form
of NF. Each disorder is associated with additional manifestations causing
morbidity. The research presentations described in this review covered basic
science, preclinical testing, and results from clinical trials, and demonstrate
the remarkable strides being taken toward understanding of and progress toward
treatments for these disorders based on the close interaction among scientists
and clinicians.
PMID- 22083254
TI - Clinical and neuropathologic heterogeneity of c9FTD/ALS associated with
hexanucleotide repeat expansion in C9ORF72.
AB - Frontotemporal dementia (FTD) and amyotrophic lateral sclerosis (ALS) are part of
a disease spectrum associated with TDP-43 pathology. Strong evidence supporting
this is the existence of kindreds with family members affected by FTD, ALS or
mixed features of FTD and ALS, referred to as FTD-MND. Some of these families
have linkage to chromosome 9, with hexanucleotide expansion mutation in a
noncoding region of C9ORF72. Discovery of the mutation defines c9FTD/ALS. Prior
to discovery of mutations in C9ORF72, it was assumed that TDP-43 pathology in
c9FTD/ALS was uniform. In this study, we examined the neuropathology and clinical
features of 20 cases of c9FTD/ALS from a brain bank for neurodegenerative
disorders. Included are six patients clinically diagnosed with ALS, eight FTD,
one FTD-MND and four Alzheimer-type dementia. Clinical information was
unavailable for one patient. Pathologically, the cases all had TDP-43 pathology,
but there were three major pathologic groups: ALS, FTLD-MND and FTLD-TDP. The ALS
cases were morphologically similar to typical sporadic ALS with almost no
extramotor TDP-43 pathology; all had oligodendroglial cytoplasmic inclusions. The
FTLD-MND showed predominantly Mackenzie Type 3 TDP-43 pathology, and all had ALS
like pathology in motor neurons, but more extensive extramotor pathology, with
oligodendroglial cytoplasmic inclusions and infrequent hippocampal sclerosis. The
FTLD-TDP cases had several features similar to FTLD-TDP due to mutations in the
gene for progranulin, including Mackenzie Type 1 TDP-43 pathology with neuronal
intranuclear inclusions and hippocampal sclerosis. FTLD-TDP patients were older
and some were thought to have Alzheimer-type dementia. In addition to the FTD and
ALS clinical presentations, the present study shows that c9FTD/ALS can have other
presentations, possibly related to age of onset and the presence of hippocampal
sclerosis. Moreover, there is pathologic heterogeneity not only between ALS and
FTLD, but also within the FTLD group. Further studies are needed to address the
molecular mechanism of clinical and pathological heterogeneity of c9FTD/ALS due
to mutations in C9ORF72.
PMID- 22083256
TI - Weight change during and after Ramadan fasting.
AB - BACKGROUND: During Ramadan, observant Muslims fast from sunrise to sunset for a
month. Knowing whether Ramadan fasting affects body weight has implications for
health advice to the Muslim community, for understanding the effects of skipping
meals on body weight, and for general weight management advice. METHODS: We
compared body weight before and after the Ramadan fast and 1 month later in
observant Muslims attending a Mosque in East London, UK. RESULTS: In 202
participants who provided weight at the beginning and the end of Ramadan, there
was a small weight decrease (-0.84 kg, 95% CI = -0.6 to -1, P < 0.0001), with 46%
of participants losing >1 kg. Participants who fasted throughout Ramadan lost
significantly more weight (1 kg) than those who occasionally broke fast (0.3 kg,
P = 0.013). In 87 participants who provided weight at the beginning and end of
Ramadan and also 1 month later, all the lost weight was regained (+0.1 kg, 95% CI
= 0.2-0.5, P = 0.504 compared with baseline). CONCLUSIONS: Observers of Ramadan
lose on average about a kilogram of weight over 4 weeks, and the lost weight is
quickly regained. Current weight management treatments generally assume that
skipping meals leads to weight gain and advise against it. The finding suggests
that further research is needed on the justification of the 'do not skip meals'
advice.
PMID- 22083255
TI - An experimental rat model of sporadic Alzheimer's disease and rescue of cognitive
impairment with a neurotrophic peptide.
AB - Alzheimer's disease (AD) is multifactorial and, to date, no single cause of the
sporadic form of this disease, which accounts for over 99% of the cases, has been
established. In AD brain, protein phosphatase-2A (PP2A) activity is known to be
compromised due to the cleavage and translocation of its potent endogenous
inhibitor, I2PP2A, from the neuronal nucleus to the cytoplasm. Here, we show that
adeno-associated virus vector-induced expression of the N-terminal I2NTF and C
terminal I2CTF halves of I2PP2A , also called SET, in brain reproduced key
features of AD in Wistar rats. The I2NTF-CTF rats showed a decrease in brain PP2A
activity, abnormal hyperphosphorylation and aggregation of tau, a loss of
neuronal plasticity and impairment in spatial reference and working memories. To
test whether early pharmacologic intervention with a neurotrophic molecule could
rescue neurodegeneration and behavioral deficits, 2.5-month-old I2NTF-CTF rats
and control littermates were treated for 40 days with Peptide 6, an 11-mer
peptide corresponding to an active region of the ciliary neurotrophic factor.
Peripheral administration of Peptide 6 rescued neurodegeneration and cognitive
deficit in I2NTF-CTF animals by increasing dentate gyrus neurogenesis and mRNA
level of brain derived neurotrophic factor. Moreover, Peptide 6-treated I2NTF-CTF
rats showed a significant increase in dendritic and synaptic density as reflected
by increased expression of synapsin I, synaptophysin and MAP2, especially in the
pyramidal neurons of CA1 and CA3 of the hippocampus.
PMID- 22083257
TI - Vemurafenib and BRAF inhibition: a new class of treatment for metastatic
melanoma.
AB - The U.S. Food and Drug Administration recently approved vemurafenib for the
treatment of BRAF valine in exon 15, at codon 600 (V600E) mutant metastatic
melanoma. Vemurafenib is a competitive small-molecule serine-threonine kinase
inhibitor that functions by binding to the ATP-binding domain of mutant BRAF.
Compared with dacarbazine chemotherapy, vemurafenib significantly improved the 6
month overall survival of patients from 64% to 84% and exhibited a response rate
of approximately 50%. Median progression-free survival was also significantly
improved with vemurafenib as compared with dacarbazine (5.3 versus 1.6 months,
respectively), and this was consistent among groups analyzed, including age, sex,
geography, Eastern Cooperative Oncology Group status, disease stage, and serum
lactate dehydrogenase. The success of targeting melanoma genomics has created a
paradigm shift for future drug development. Currently, the elucidation of
resistant mechanisms to vemurafenib therapy remains an important area of active
investigation that will shape rational drug treatments for melanoma. The
development of vemurafenib, the role of BRAF targeting, and the changing
landscape of treatment for melanoma provide a new foundation for clinical
investigation.
PMID- 22083259
TI - Electrochemical synthesis of CdS/ZnO nanotube arrays with excellent
photoelectrochemical properties.
AB - A facile electrochemical method was developed to synthesize CdS/ZnO nanotube
arrays. Implemented as the photoanode in a photoelectrochemical cell, the CdS/ZnO
nanotube arrays exhibited a photocurrent as high as 10.64 mA cm(-2).
PMID- 22083260
TI - Differentiation of mesenchymal stem cells in heparin-containing hydrogels via
coculture with osteoblasts.
AB - The therapeutic potency of delivered mesenchymal stem cells (MSCs) in tissue
engineering applications may be improved by priming cells toward a differentiated
state via coculture with native, differentiated cells prior to implantation;
however, there is a lack of understanding in what may be the most efficacious
method. The objective of this study was to investigate the role of negatively
charged heparin in priming hydrogel-encapsulated MSCs toward the osteoblastic
lineage during coculture with a monolayer of osteoblasts in the absence of
dexamethasone. MSCs encapsulated with higher amounts of heparin and cocultured
with osteoblasts exhibited an over 36-fold increase in alkaline phosphatase
activity and 13-fold increase in calcium accumulation by day 21, compared to MSCs
cocultured with MSCs at the same heparin content. Moreover, hydrogels with higher
amounts of heparin and cocultured with osteoblasts exhibited enhanced
mineralization on the edges, suggesting that heparin may be important in
sequestering osteoblast-secreted soluble factors, particularly on the surfaces of
hydrogels. The ability of heparin to selectively interact with soluble positively
charged proteins from the surroundings was confirmed through protein labeling and
microscopy. These results suggest that heparin-containing hydrogels as part of a
coculture system can be utilized as a versatile platform to study and enhance
priming of MSCs toward various cell types for a wide variety of regenerative
medicine-based therapies.
PMID- 22083261
TI - Using reverse genetics to manipulate the NSs gene of the Rift Valley fever virus
MP-12 strain to improve vaccine safety and efficacy.
AB - Rift Valley fever virus (RVFV), which causes hemorrhagic fever, neurological
disorders or blindness in humans, and a high rate abortion and fetal malformation
in ruminants, has been classified as a HHS/USDA overlap select agent and a risk
group 3 pathogen. It belongs to the genus Phlebovirus in the family Bunyaviridae
and is one of the most virulent members of this family. Several reverse genetics
systems for the RVFV MP-12 vaccine strain as well as wild-type RVFV strains,
including ZH548 and ZH501, have been developed since 2006. The MP-12 strain
(which is a risk group 2 pathogen and a non-select agent) is highly attenuated by
several mutations in its M- and L-segments, but still carries virulent S-segment
RNA, which encodes a functional virulence factor, NSs. The rMP12-C13type
(C13type) carrying 69% in-frame deletion of NSs ORF lacks all the known NSs
functions, while it replicates as efficient as does MP-12 in VeroE6 cells lacking
type-I IFN. NSs induces a shut-off of host transcription including interferon
(IFN)-beta mRNA and promotes degradation of double-stranded RNA-dependent protein
kinase (PKR) at the post-translational level. IFN-beta is transcriptionally
upregulated by interferon regulatory factor 3 (IRF-3), NF-kB and activator
protein-1 (AP-1), and the binding of IFN-beta to IFN-alpha/beta receptor (IFNAR)
stimulates the transcription of IFN-alpha genes or other interferon stimulated
genes (ISGs), which induces host antiviral activities, whereas host transcription
suppression including IFN-beta gene by NSs prevents the gene upregulations of
those ISGs in response to viral replication although IRF-3, NF-kB and activator
protein-1 (AP-1) can be activated by RVFV7. Thus, NSs is an excellent target to
further attenuate MP-12, and to enhance host innate immune responses by
abolishing the IFN-beta suppression function. Here, we describe a protocol for
generating a recombinant MP-12 encoding mutated NSs, and provide an example of a
screening method to identify NSs mutants lacking the function to suppress IFN
beta mRNA synthesis. In addition to its essential role in innate immunity, type-I
IFN is important for the maturation of dendritic cells and the induction of an
adaptive immune response. Thus, NSs mutants inducing type-I IFN are further
attenuated, but at the same time are more efficient at stimulating host immune
responses than wild-type MP-12, which makes them ideal candidates for vaccination
approaches.
PMID- 22083263
TI - Stimulating visual exploration of the neglected space in the early stage of
stroke by hemifield eye-patching: a randomized controlled trial in patients with
right brain damage.
AB - BACKGROUND: It has been well established that the presence of neglect is a
predictor of poor functional outcome after stroke. Most rehabilitation studies on
neglect have been performed with at least two months post-stroke. However, a
recent series of stroke management indications highlight the importance of early
rehabilitation treatment and evidence regarding neglect rehabilitation in the
early phase after stroke is needed. AIM: To evaluate the effectiveness of right
half-field patches in treating neglect in patients during the early phase of
stroke. DESIGN: Randomized controlled trial. SETTING: Acute care setting in an
urban general hospital. POPULATION: Eighteen patients with left unilateral
neglect recruited among 56 patients consecutively admitted with right hemispheric
stroke. METHODS: The patients were evaluated at pre-treatment, post-treatment,
and at a 7-day follow-up. The experimental group received right half-field patch
treatment (n=10) for approximately 8 hours a day for 15 consecutive days. The
control group received visual scanning training (n=8) for 40 minutes every
weekday in a 15 day period. RESULTS: Both groups significantly improved their
performance in all outcome measures. No difference in the amount of improvement
between the two groups was found. CONCLUSION: Right half-field eye patching could
be a promising technique for treating visual spatial neglect during the early
stages of stroke. CLINICAL REHABILITATION IMPACT: The eye-patching technique may
represent an easily applicable and inexpensive method for neglect rehabilitation
in the early stage after stroke.
PMID- 22083262
TI - Defining the differences between episodic migraine and chronic migraine.
AB - Chronic migraine (CM) and episodic migraine (EM) are part of the spectrum of
migraine disorders, but they are distinct clinical entities. Population-based
studies have shown that those with CM demonstrate higher individual and societal
burden because they are significantly more disabled than those with EM and have
greater impaired quality of life both inside and outside the home. Proper
diagnosis of both conditions requires clearly defined clinical criteria.
Diagnosis enables the initiation of appropriate treatments and risk-factor
modification, which ultimately improve functional status and quality of life for
persons with migraine. Recognizing that both disorders are on the spectrum of
migraine, this review serves as a guide to define the disease state of CM as
distinct from EM in terms of clinical, epidemiological, sociodemographic, and
comorbidity profiles.
PMID- 22083264
TI - Persistent hiccup after surgical resection of a brainstem arteriovenous
malformation: a case successfully treated with gabapentin during rehabilitation.
Case report.
AB - Persistent hiccup rarely occurs during rehabilitation, but its management can
prove to be very difficult, particularly in presence of associated dysphagia,
requiring longer hospitalization and higher risk of severe clinical
complications. We present a case of persistent hiccup after surgical resection of
a brainstem arteriovenous malformation successfully treated with gabapentin
during rehabilitation.
PMID- 22083265
TI - Cause-specific mortality following radical prostatectomy.
AB - BACKGROUND: To evaluate cause-specific mortality following radical prostatectomy
(RP) in a population cohort of US men adjusting for competing risks. METHODS: The
Surveillance, Epidemiology and End Results (SEER) database was used to identify
120,392 men undergoing RP for clinically localized prostate cancer between 1988
and 2003. Cause-specific mortality data were extracted through 2006 and
cumulative incidence was estimated using a competing risks approach. RESULTS: The
stage distribution of the cancers was 32% local, 28% regional, 40% unknown, and
80% of tumors Gleason <= 7. Median follow-up was 7 years. The 15-year prostate
cancer-specific mortality was 5.3% and the non-prostate cancer mortality was
30.6%. Stage, grade and race had minimal impact on non-prostate cancer mortality.
At 15 years following surgery, mortality due to cardiovascular diseases was 11%,
other cancers 9.1%, and other causes 10.5%. Among men >= 65 years, 15-year cancer
specific mortality was 6% and non-prostate cancer mortality was 40.8%.
CONCLUSIONS: Following RP, death from cardiovascular diseases, other cancers, and
other causes is far more common than death from prostate cancer. In men diagnosed
with prostate cancer, significant efforts should be made to prevent, diagnose,
and treat these diseases.
PMID- 22083266
TI - Predictive value in the analysis of RNASEL genotypes in relation to prostate
cancer.
AB - BACKGROUND: We would like to compare the different RNASEL genotypes with the
stage of the cancer using parameters such as PSA levels, Gleason score and T
stage, and to develop a clinical protocol for the monitoring of the disease for
trying a better evolution of the patient. METHODS: A total of 231 patients with
sporadic prostate cancer and 100 of controls were genotyped in RNASEL gene by
sequencing the exons 1 and 3. A survey of clinical information was collected by a
specialist following the Helsinki protocol. All patients and controls were
interviewed by a researcher and signed their informed consent to participation in
the study, which was approved by Ethics Committee of the hospital. The genetic
information was processed and collected with an ABI PRISM Genetic Analyser 3130
using SeqScape software v.2.6. All the patients were analysed by comparing the
genetic and clinical data. chi(2)-tests, Monte Carlo, Fisher tests and contigency
tables were performed using SPSS v.15.0 and ARLEQUIN v.3.5 software on patient
population. RESULTS: Significant differences were found only between patients and
controls in D541E, R461Q and I97L genotypes, the remainder of the variants did
not seem relevant to our population in contrast to other populations, such as
north-Caucasians, Afro Americans and Ashkenazi Jews. The genotypes associated
with the worst prognoses are G/G in D541E, A/A in R462Q and A/G in I97L. The
controls were included in our study to determine an approximation of the genotype
in our population compared with the patients, but they did not account for the
statistical process. CONCLUSIONS: The genetic profile of patients with this
cancer combined with other parameters could be used as a prognosis factor in
deciding to give more radical and frequent treatments, depending on personal
genotype.
PMID- 22083267
TI - Cyclin-dependent kinase inhibitor, P276-00, inhibits HIF-1alpha and induces G2/M
arrest under hypoxia in prostate cancer cells.
AB - BACKGROUND: Hypoxia-inducible factor-1 (HIF-1) is a master regulator of the
transcriptional response to oxygen deprivation and controls genes involved in
glycolysis, angiogenesis, migration and invasion. Overexpression of HIF-1alpha
has been demonstrated in many common human cancers. METHODS: Luciferase reporter
gene assay under hypoxia and normoxia was used to demonstrate transcriptional
inhibition of HIF-1 by P276-00. Detailed studies such as western blotting,
reverse-transcriptase-PCR and immunofluorescence were carried out to elucidate
its mechanism of action. Cytotoxic potential of P276-00 under normoxia and
hypoxia was determined on prostate cancer cells using CCK-8 assay, and cell-cycle
analysis was carried out using flow cytometry. Antiangiogenic activity of P276-00
was demonstrated by migration assay and tube-formation assay. Efficacy study of
P276-00 was performed in a PC-3 xenograft model. RESULTS: P276-00 inhibits
transcriptional activation of HIF-1 under hypoxia. It suppressed hypoxia-mediated
nuclear HIF-1alpha expression, as well as phosphorylation of Akt and 4E-BP1 and
abrogated expression of HIF-1-inducible gene viz. vascular endothelial growth
factor. Under hypoxia, P276-00 did not exhibit enhanced cytotoxic activity in
prostate cancer cells but arrested them in the G2/M phase of the cell cycle. The
tubular formation of human umbilical vein endothelial cells and migration of
prostate cancer cells were also inhibited by P276-00 in vitro. In addition, it
demonstrated significant in vivo efficacy in the PC-3 xenograft model.
CONCLUSIONS: Given its low toxicity profile, its demonstrated antitumor activity
and its potential to inhibit the HIF-1 pathway, P276-00 should be considered as
antiangiogenic chemotherapy for prostate cancer.
PMID- 22083268
TI - Visible light-driven CO2 reduction by enzyme coupled CdS nanocrystals.
AB - Assemblies of carbon monoxide dehydrogenase molecules with CdS nanocrystals show
fast CO(2) reduction driven by visible light. Activity is strongly influenced by
size and shape of nanocrystals, and by the nature of the electron donor.
PMID- 22083270
TI - Egg production and quality under three housing systems in the tropics.
AB - The aim of this study was to evaluate egg production and quality of Rhode Island
Red (RIR) laying hens in an outdoor system in the subhumid tropic. Eighty RIR
laying hens were measured in two periods and housed randomly under three
treatments: outdoor (O), indoor (I) and in conventional cages (C). Egg weight
(55.88, 53.76 and 57.16 g, for O, I and C, respectively), food intake/hen/day
(138.94, 129.74 and 162.90 g, for O, I and C, respectively) and food efficiency
(3.13, 3.22 and 3.96 for O, I and C, respectively) were significantly (P < 0.05)
influenced by housing system; however, both egg production and final body weight
were not different (P > 0.05). Yolk colour (10.76, 9.94 and 10.08 colour scale,
for O, I and C, respectively), albumen weight (92.63, 92.28 and 90.08 g, for O, I
and C, respectively) and yolk weight (15.19, 14.61, 15.48 g for O, I and C,
respectively) were significantly (P < 0.05) influenced by housing system, but
albumen height, Haugh units and egg shell weight remained similar (P > 0.05).
Under the conditions of this study, outdoor egg production seems to be a
sustainable system comparable to the commercial type based on wired cages.
PMID- 22083269
TI - Changes of vitamins A, E, and C and lipid peroxidation status of breeding and
pregnant sheep during dry seasons on medium-to-low quality forages.
AB - This study assessed the changes of plasma vitamin A, E, and C and the lipid
peroxidation status of sheep during breeding and pregnancy under drought
conditions. The study was conducted on 105 cross-bred fat tailed ewes, 3-5 years
old with body condition scores (BCS) of 2.5 to 3.5. The ewes were grazing on
medium-to-low quality forages during summer and low quality forages within the
succeeding months and had ad libitum access to a mixture of alfalfa hay (40%) and
wheat straw (60%) in the afternoons. From 3 weeks before breeding till 1 month
after the introduction of rams, 300 g of barley grain/head/day was offered to the
ewes and then the supplemental grain was reduced to 100 g/head/day. For better
synchronization of estrus cycles in ewes, they were isolated from the rams for at
least 2 months and then kept in close proximity of the rams for 1 week before the
introduction of the rams to the ewe flock. Then, whole blood samples were
collected on days 1, 7, 21, and 120 after ram introduction. Vitamins A, E, and C
were measured in plasma. Malondialdehyde (MDA) was measured in the hemolysate as
a biomarker of lipid peroxidation. Plasma progesterone (P4) was measured in the
samples of day 120 for assessing pregnancy status of the ewes. Vitamins A and C
showed continuous and significant declines (P < 0.05) through days 1 to 120.
Vitamin E declined only during the first 21 days of the study and remained almost
constant till day 120. MDA concentration increased significantly at day 21. An
elevated concentration of MDA was also detected at day 120. The difference
between days 21 and 120 was not significant (P > 0.05). A positive correlation
between vitamins E and C was detected at day 120 (r = 0.349, P < 0.01). Age and
BCS did not affect the patterns of changes. Assuming that the ewes with P4
concentrations >=2.5 ng/ml were pregnant, 95 out of 105 ewes (90.5%) were
pregnant at day 120 of the study. Under the conditions of the present study with
medium-to-low quality pastures as the main sources of feed, ewes of various ages
and body conditions may suffer from oxidative stress during breeding and
pregnancy.
PMID- 22083271
TI - Implementation of herd health program to improve survival of Boer goats in
Malaysia.
AB - A Boer goat breeding farm with 800 heads of breeder females, 50 breeder males,
and 400 growing goats of various ages in Sabah, Malaysia was selected to study
the effect of implementing herd health program. This included vaccination program
against pneumonic mannheimiosis; fecal monitoring for helminthiasis, coccidiosis,
and colibacillosis; and introduction of modified feeding regime comprised of day
time grazing and feeding of cut grass and supplemented feed. The herd health
program was implemented in September 2007 and the impact was observed on body
weight gains, body scoring, and annual mortality among adults and kids. It was
found that implementation of herd health program significantly (p < 0.05)
increased the average body weight gains in both adults and kids from 1.8 g per
kid and 0.6 g per adult in 2006 to 3.7 g per kid and 2.2 g per adult in 2008. The
percentage of adults with body scoring of <3 was significantly (p < 0.05) reduced
from 82.3% in 2006 to 77.6% in 2007 and 4% in 2008. Similarly, the annual
mortality rate was significantly (p < 0.05) reduced from 6.5% among kids and
58.2% among adults in 2006 to 12.1% among kids and 10.4% among adults in 2007,
and to 9.1% among kids and 1.1% among adults in 2008. Therefore, it was concluded
that implementation of herd health program significantly improved the survival
and performance of goats.
PMID- 22083272
TI - In vitro evaluation, in vivo quantification, and microbial diversity studies of
nutritional strategies for reducing enteric methane production.
AB - The main objective of the present work was to study nutritive strategies for
lessening the CH(4) formation associated to ruminant tropical diets. In vitro gas
production technique was used for evaluating the effect of tannin-rich plants,
essential oils, and biodiesel co-products on CH(4) formation in three individual
studies and a small chamber system to measure CH(4) released by sheep for in vivo
studies was developed. Microbial rumen population diversity from in vitro assays
was studied using qPCR. In vitro studies with tanniniferous plants, herbal plant
essential oils derived from thyme, fennel, ginger, black seed, and Eucalyptus oil
(EuO) added to the basal diet and cakes of oleaginous plants (cotton, palm,
castor plant, turnip, and lupine), which were included in the basal diet to
replace soybean meal, presented significant differences regarding fermentation
gas production and CH(4) formation. In vivo assays were performed according to
the results of the in vitro assays. Mimosa caesalpineaefolia, when supplemented
to a basal diet (Tifton-85 hay Cynodon sp, corn grain, soybean meal, cotton seed
meal, and mineral mixture) fed to adult Santa Ines sheep reduced enteric CH(4)
emission but the supplementation of the basal diet with EuO did not affect (P >
0.05) methane released. Regarding the microbial studies of rumen population
diversity using qPCR with DNA samples collected from the in vitro trials, the
results showed shifts in microbial communities of the tannin-rich plants in
relation to control plant. This research demonstrated that tannin-rich M.
caesepineapholia, essential oil from eucalyptus, and biodiesel co-products either
in vitro or in vivo assays showed potential to mitigate CH(4) emission in
ruminants. The microbial community study suggested that the reduction in CH(4)
production may be attributed to a decrease in fermentable substrate rather than
to a direct effect on methanogenesis.
PMID- 22083273
TI - Hydroxy-fatty acid production in a Pseudomonas aeruginosa 42A2 PHA synthase
mutant generated by directed mutagenesis.
AB - Pseudomonas aeruginosa 42A2 growing on waste frying oils is capable to synthesize
polyhydroxyalkanoic acids (PHAs) and hydroxy-fatty acids as a result of several
enzymatic conversions. In order to study the physiological role of PHA
biosynthesis in P. aeruginosa with respect to the synthesis of hydroxy-fatty
acids, an unmarked deletion mutant deficient for PHA biosynthesis was generated
in P. aeruginosa 42A2. A combination of the sacB-based negative selection system
with a cre-lox antibiotic marker recycling method was used for mutant isolation.
Electron microscopy, nuclear magnetic resonance analysis, and transmission
electron microscopy confirmed that PHA accumulation was completely abolished in
the mutant strain. Interestingly, the new mutant strain showed higher carbon and
oxygen uptake rate than the wild-type strain and higher efficiency in the
conversion of oleic acid into (E)-10-hydroxy-8-octadecenic acid-octadecenoic
acid.
PMID- 22083274
TI - Heterologous expression system in Aspergillus oryzae for fungal biosynthetic gene
clusters of secondary metabolites.
AB - Fungal secondary metabolites have been considered promising resources in the
search for novel bioactive compounds. Given the high potential of fungi as
genetic resources, it is essential to find an efficient way to link biosynthetic
genes to the product in a heterologous system, because many genes for the
secondary metabolite in the original strain are silent under standard laboratory
conditions. In a previous study, we constructed a heterologous expression system
for a biosynthetic gene cluster using Aspergillus oryzae as the host. To make the
host more versatile for the expression of secondary metabolism genes, the
expression levels of a global regulator, laeA, were increased by placing the A.
oryzae laeA gene under the control of the constitutive active pgk promoter. In
the A. oryzae overexpressing laeA, two clusters of heterologous biosynthetic
genes [the monacolin K (MK) gene cluster from Monascus pilosus and the
terrequinone A (TQ) gene cluster from Aspergillus nidulans] were successfully
overexpressed, resulting in the production of the corresponding metabolite, MK or
TQ. The successful production of secondary metabolites belonging to different
structural groups, namely MK as a polyketide and TQ as a hybrid of amino acid and
isoprenoid, indicated that the laeA-enriched A. oryzae was a versatile host for
the heterologous expression of the biosynthetic gene cluster.
PMID- 22083276
TI - Cloning and overexpression of ketopantoic acid reductase gene from
Stenotrophomonas maltophilia and its application to stereospecific production of
D-pantoic acid.
AB - Ketopantoic acid (KPA) reductase catalyzes the stereospecific reduction of
ketopantoic acid to D-pantoic acid. Based on the N-terminal amino acid sequence
of KPA reductase from Stenotrophomonas maltophilia 845, the KPA reductase gene
was cloned from S. maltophilia NBRC14161 and sequenced. This gene contains an
open reading frame of 777 bp encoding 258 amino acid residues, and the deduced
amino acid sequence showed high similarity to the SDR superfamily proteins. An
expression vector, pETSmKPR, containing the full KPA reductase gene was
constructed and introduced into Escherichia coli BL21 (DE3) to overexpress the
enzyme. Bioreduction of KPA using E. coli transformant cells coexpressing KPA
reductase together with cofactor regeneration enzyme gene was also performed. The
conversion yield of KPA to D-pantoic acid reached over 88% with a substrate
concentration up to 1.17 M.
PMID- 22083275
TI - N-glycans are not required for the efficient degradation of the mutant
Saccharomyces cerevisiae CPY* in Schizosaccharomyces pombe.
AB - In eukaryotic cells, aberrant proteins generated in the endoplasmic reticulum
(ER) are degraded by the ER-associated degradation (ERAD) pathway. Here, we
report on the ERAD pathway of the fission yeast Schizosaccharomyces pombe. We
constructed and expressed Saccharomyces cerevisiae wild-type CPY (ScCPY) and CPY
G255R mutant (ScCPY*) in S. pombe. While ScCPY was glycosylated and efficiently
transported to the vacuoles in S. pombe, ScCPY* was retained in the ER and was
not processed to the matured form in these cells. Cycloheximide chase experiments
revealed that ScCPY* was rapidly degraded in S. pombe, and its degradation
depended on Hrd1p and Ubc7p homologs. We also found that Mnl1p and Yos9p,
proteins that are essential for ERAD in S. cerevisiae, were not required for
ScCPY* degradation in S. pombe. Moreover, the null-glycosylation mutant of ScCPY,
CPY*0000, was rapidly degraded by the ERAD pathway. These results suggested that
N-linked oligosaccharides are not important for the recognition of luminal
proteins for ERAD in S. pombe cells.
PMID- 22083277
TI - Enzyme immobilization for biodiesel production.
AB - Biodiesel has attracted more and more attention in recent years because of its
biodegradability, environmentally friendliness, and renewability. Contrary to the
conventional chemical catalysis method to produce biodiesel, the biochemical
catalysis method developed quickly in the past decade and many immobilized
enzymes are commercially available to meet the large-scale industrialization of
biodiesel. This review is focusing on the current status of biodiesel production
by biochemical catalysis method, especially the commercial enzyme and its
immobilization for biodiesel production. Consequently, we believe that
biochemical catalysis with immobilized enzymes is bound to be an alternative
method instead of chemical catalysis in biodiesel production in the near future.
PMID- 22083278
TI - Construction of a new recombinant protein expression system in the
basidiomycetous yeast Cryptococcus sp. strain S-2 and enhancement of the
production of a cutinase-like enzyme.
AB - Yeast host-vector systems have been very successful in expressing recombinant
proteins. However, because there are some proteins that cannot be expressed with
existing systems, there is a need for new yeast expression systems. Here we
describe a new host-vector system based on the basidiomycetous yeast Cryptococcus
sp. strain S-2 (S-2). Two advantages of S-2 are that it naturally produces some
very useful enzymes, so it would be a good system for expressing multiple copies
of some of its genes, and that, it is a nonhazardous species. The orotate
phosphoribosyltransferase (OPRTase, EC 2.4.2.10) gene (URA5) was selected as a
selectable marker for transformation in the new host-vector system. URA5 was
isolated and introduced into a uracil auxotroph of S-2 by electroporation. To
demonstrate the S-2 system, we selected one of its unique enzymes, a plastic
degrading cutinase-like enzyme (CLE). We were able to insert multiple copies of
the CLE gene (CLE1) into the chromosomes in a high fraction of the targeted
cells. Under optimal conditions, one transformant exhibited 3.5 times higher CLE
activity than the wild type. Expression vectors, including an inducible promoter
(the promoter for the xylanase or alpha-amylase gene), were constructed for
recombinant protein production, and green fluorescent protein was expressed under
the control of these promoters. The xylanase promoter was more tightly
controlled. Furthermore, putting CLE1 under the control of the xylanase promoter,
which is induced by xylose, increased CLE activity of the culture medium to
approximately 15 times greater than that of the wild type.
PMID- 22083279
TI - Wild-type and feedback-resistant phosphoribosyl pyrophosphate synthetases from
Bacillus amyloliquefaciens: purification, characterization, and application to
increase purine nucleoside production.
AB - Bacillus strains are used for the industrial production of the purine nucleosides
inosine and guanosine, which are raw materials for the synthesis of the flavor
enhancers disodium inosinate and disodium guanylate. An important precursor of
purine nucleosides is 5-phospho-alpha-D: -ribosyl-1-pyrophosphate, which is
synthesized by phosphoribosyl pyrophosphate synthetase (PRS, EC 2.7.6.1). Class I
PRSs are widespread in bacteria and mammals, are highly conserved among different
organisms, and are negatively regulated by two end products of purine
biosynthesis, adenosine 5'-diphosphate (ADP) and guanosine 5'-diphosphate (GDP).
The D52H, N114S, and L129I mutations in the human PRS isozyme I (PRS1) have been
reported to cause uric acid overproduction and gout due to allosteric
deregulation and enzyme superactivity. In this study, to find feedback-resistant
Bacillus amyloliquefaciens PRS, the influence of the D58H, N120S, and L135I
mutations (corresponding to the D52H, N114S, and L129I mutations in PRS1,
respectively) on PRS enzymatic properties has been studied. Recombinant histidine
tagged wild-type PRS and three mutant PRSs were expressed in Escherichia coli,
purified, and characterized. The N120S and L135I mutations were found to release
the enzyme from ADP and GDP inhibition and significantly increase its sensitivity
to inorganic phosphate (P(i)) activation. In contrast, PRS with the D58H mutation
exhibited nearly identical sensitivity to ADP and GDP as the wild-type protein
and had a notably greater P(i) requirement for activation. The N120S and L135I
mutations improved B. amyloliquefaciens and Bacillus subtilis purine nucleoside
producing strains.
PMID- 22083280
TI - Simvastatin ameliorates angiotensin II-induced endothelial dysfunction through
restoration of Rho-BH4-eNOS-NO pathway.
AB - Endothelial dysfunction contributes to the initiation and development of
hypertension. We previously found that simvastatin moderately decreases blood
pressure in 2-kidney-2-clip (2k2c) renal hypertension, but the precise mechanisms
are still unclear. The present study was designed to examine the protective
actions of simvastatin in 2k2c-evoked endothelial dysfunction and also delineate
the underlying mechanisms. Here we show that 2k2c-induced elevation in plasma
angiotensin II impaired acetylcholine-induced endothelium-dependent vascular
relaxation, suppressed endothelial NO synthase (eNOS) activity and reduced nitric
oxide (NO) production. Additionally, the levels of tetrahydrobiopterin (BH4), an
essential cofactor of eNOS, as well as the activity of GTP cyclohydrolase I
(GTPCH I), the rate-limiting enzyme for BH4 synthesis, were markedly reduced.
Administration of simvastatin significantly improved acetylcholine-induced
endothelium-dependent carotid arteries relaxation at 9 weeks in reno-hypertensive
rats. Notably, GTPCH I activity, BH4 production, p-eNOS expression and NO levels
in the vascular endothelium were elevated as a result of simvastatin
administration. In cultured rat arterial endothelial cells, simvastatin restored
BH4, GTPCH I activity and NO release impaired by angiotensin II, and pretreatment
with mevalonate (MVA) or geranylgeranyl pyrophosphate (GGPP) abolished the
beneficial effects exerted by simvastatin. Moreover, RhoA inhibitor C3 exoenzyme,
Rho kinase inhibitor Y-27632 and dominant negative mutant of RhoA prevented BH4
and NO loss due to Ang II treatment. Taken together, normalization of BH4-eNOS-NO
pathway at least in part accounts for the beneficial actions of simvastatin on
vascular endothelium during 2k2c hypertension, and RhoA-Rho kinase pathway is
involved in regulation of BH4 production.
PMID- 22083281
TI - [Neurological psychiatric medicolegal assessment].
PMID- 22083282
TI - [Drug treatment of epilepsy].
AB - Modern medical treatment of epilepsy renders two out of three newly diagnosed
epilepsy patients seizure-free. More than 20 drugs are currently available and
even for epilepsy specialists it may not be easy to select the drug which is best
suited for the individual patient. Current guidelines recommend drugs with proven
efficacy and optimal tolerability that address the individual needs of the
patient. Non-enzyme-inducing agents are preferred if possible, to protect the
patient from loss of efficacy when taking other medications for life-threatening
disorders. In one out of three patients, seizure freedom is currently not
possible due to drug-resistance, which requires a prompt diagnostic and
therapeutic reevaluation of the epilepsy.
PMID- 22083283
TI - Behavioral plasticity in an invaded system: non-native whelks recognize risk from
native crabs.
AB - Inducible defenses have the potential to affect both invasion success and the
structure of invaded communities. However, little is known about the cues used
for risk-recognition that influence the expression of inducible defenses in
invasive prey, because they involve a novel threat. In laboratory experiments, we
investigated behavioral defenses induced by a native crab on two invasive oyster
drills (marine whelks Urosalpinx cinerea and Ocinebrina inornata). Both drills
hid more often and reduced their feeding rates when they detected predators
consuming conspecific prey. Examination of the responses of U. cinerea to
specific cue sources (predator kairomones, conspecific alarm cues) indicated that
this species had the strongest responses to cues from injured conspecifics, but
that it did recognize the novel crab predator. Our observation of native predator
(per se) recognition by an invasive marine prey is novel. In addition, we
observed that neither species of drill reduced their defensive behavior to
reflect predation risk shared by a group of prey. The lack of density dependence
in risk-assessment could cause populations of invasive prey to transmit both
quantitatively and qualitatively different community effects over the course of
an invasion as abundance changes. Together, these findings demonstrate several
ways that the risk-assessment strategies could be important in establishment and
post-establishment dynamics of invasive prey.
PMID- 22083284
TI - Non-linear effects of drought under shade: reconciling physiological and
ecological models in plant communities.
AB - The combined effects of shade and drought on plant performance and the
implications for species interactions are highly debated in plant ecology.
Empirical evidence for positive and negative effects of shade on the performance
of plants under dry conditions supports two contrasting theoretical models about
the role of shade under dry conditions: the trade-off and the facilitation
hypotheses. We performed a meta-analysis of field and greenhouse studies
evaluating the effects of drought at two or more irradiance levels on nine
response variables describing plant physiological condition, growth, and
survival. We explored differences in plant response across plant functional
types, ecosystem types and methodological approaches. The data were best fit
using quadratic models indicating a humped-back shape response to drought along
an irradiance gradient for survival, whole plant biomass, maximum photosynthetic
capacity, stomatal conductance and maximal photochemical efficiency. Drought
effects were ameliorated at intermediate irradiance, becoming more severe at
higher or lower light levels. This general pattern was maintained when
controlling for potential variations in the strength of the drought treatment
among light levels. Our quantitative meta-analysis indicates that dense shade
ameliorates drought especially among drought-intolerant and shade-tolerant
species. Wet tropical species showed larger negative effects of drought with
increasing irradiance than semiarid and cold temperate species. Non-linear
responses to irradiance were stronger under field conditions than under
controlled greenhouse conditions. Non-linear responses to drought along the
irradiance gradient reconciliate opposing views in plant ecology, indicating that
facilitation is more likely within certain range of environmental conditions,
fading under deep shade, especially for drought-tolerant species.
PMID- 22083286
TI - Biphenotypic surface epithelial cells in the gastrointestinal tube with mixed
epithelial-myofibroblastic differentiation: a paradigm.
AB - Epithelial cells and myofibroblasts are well-characterized histomorphological
elements of tissues. They are distinguished from one another on the basis of
topography and of differences in cytokeratin (CK) and alpha-smooth muscle actin
(SMA) expression. Certain epithelial cells exhibit CK / SMA co-expression. This
study aimed to define the immunophenotypical characteristics of these
biphenotypic cells with respect to cytodifferentiation (broad spectrum of CKs,
SMA), cell-cell interaction (E-cadherin, adenomatous polyposis coli - APC, beta
catenin), and cell survival (cyclooxygenase-2 - Cox-2). At the routine
gastrointestinal pathology service of the Department of Pathology, University of
Szeged, tissue samples were identified from instances of cervical inlet patch (n
= 5), Barrett's esophagus (n=5), gastritis (n=5), fundic gland polyp (n=2),
gastric neoplastic polyp (n=1), inflammatory bowel disease (n=5), and colonic
neoplastic polyp (n=3). that contained epithelial cells expressing SMA. These
biphenotypic cells were further immunophenotyped. Foregut-derived biphenotypic
cells expressed CKs 7 and 20, while hindgut-derived biphenotypic cells expressed
only CK 20. Subepithelial myofibroblasts adjacent to biphenotypic epithelium
expressed Cox-2, SMA, and beta-catenin, as did biphenotypic cells.
Myofibroblasts, however, did not express CKs. In neoplastic polyps, APC
expression weakened as cytologic atypism increased, while intermingled
biphenotypic cells in neoplastic glands overexpressed APC, as did myofibroblasts
beneath. CK subspecies expression in biphenotypic cells reflects embryonic
development of the gastrointestinal tract. The immunophenotyping analysis
addresses bidirectional (via transdifferentiation from epithelia into
myofibroblasts or vice versa) formation of biphenotypic cells within damaged
epithelium, a phenomenon that must be further analysed.
PMID- 22083287
TI - Labeling stem cells with ferumoxytol, an FDA-approved iron oxide nanoparticle.
AB - Stem cell based therapies offer significant potential for the field of
regenerative medicine. However, much remains to be understood regarding the in
vivo kinetics of transplanted cells. A non-invasive method to repetitively
monitor transplanted stem cells in vivo would allow investigators to directly
monitor stem cell transplants and identify successful or unsuccessful engraftment
outcomes. A wide range of stem cells continues to be investigated for countless
applications. This protocol focuses on 3 different stem cell populations: human
embryonic kidney 293 (HEK293) cells, human mesenchymal stem cells (hMSC) and
induced pluripotent stem (iPS) cells. HEK 293 cells are derived from human
embryonic kidney cells grown in culture with sheared adenovirus 5 DNA. These
cells are widely used in research because they are easily cultured, grow quickly
and are easily transfected. hMSCs are found in adult marrow. These cells can be
replicated as undifferentiated cells while maintaining multipotency or the
potential to differentiate into a limited number of cell fates. hMSCs can
differentiate to lineages of mesenchymal tissues, including osteoblasts,
adipocytes, chondrocytes, tendon, muscle, and marrow stroma. iPS cells are
genetically reprogrammed adult cells that have been modified to express genes and
factors similar to defining properties of embryonic stem cells. These cells are
pluripotent meaning they have the capacity to differentiate into all cell
lineages. Both hMSCs and iPS cells have demonstrated tissue regenerative capacity
in-vivo. Magnetic resonance (MR) imaging together with the use of
superparamagnetic iron oxide (SPIO) nanoparticle cell labels have proven
effective for in vivo tracking of stem cells due to the near microscopic
anatomical resolution, a longer blood half-life that permits longitudinal imaging
and the high sensitivity for cell detection provided by MR imaging of SPIO
nanoparticles. In addition, MR imaging with the use of SPIOs is clinically
translatable. SPIOs are composed of an iron oxide core with a dextran,
carboxydextran or starch surface coat that serves to contain the bioreactive iron
core from plasma components. These agents create local magnetic field
inhomogeneities that lead to a decreased signal on T2-weighted MR images.
Unfortunately, SPIOs are no longer being manufactured. Second generation,
ultrasmall SPIOs (USPIO), however, offer a viable alternative. Ferumoxytol
(FerahemeTM) is one USPIO composed of a non-stoichiometric magnetite core
surrounded by a polyglucose sorbitol carboxymethylether coat. The colloidal,
particle size of ferumoxytol is 17-30 nm as determined by light scattering. The
molecular weight is 750 kDa, and the relaxivity constant at 2T MRI field is
58.609 mM(-1) sec(-1) strength. Ferumoxytol was recently FDA-approved as an iron
supplement for treatment of iron deficiency in patients with renal failure. Our
group has applied this agent in an "off label" use for cell labeling
applications. Our technique demonstrates efficient labeling of stem cells with
ferumoxytol that leads to significant MR signal effects of labeled cells on MR
images. This technique may be applied for non-invasive monitoring of stem cell
therapies in pre-clinical and clinical settings.
PMID- 22083288
TI - [Recommendations for sedation during flexible bronchoscopy].
AB - Flexible bronchoscopy is a standard examination today and is conducted not only
in nearly every hospital but also in privately owned practices. The vast majority
of patients want sedation for this examination. Such a procedure is nearly always
necessary in complex and interventional procedures, irrespective of the patient's
wish. The recommendation at hand to use sedation measures for flexible
bronchoscopy is based on the results of numerous clinical studies and also takes
account of individual experiences in this area. The structural and procedural
requirements and the requirements for staff training are defined and should
describe the minimum standard when it comes to conducting a bronchoscopy under
sedation. Furthermore the drugs recommended for sedation are discussed and their
methods of application shown. Finally the recommendations also include
suggestions for patient clarification, monitoring and discharge. They should
provide the examiner with concrete operating options and therefore above all
increase patient safety.
PMID- 22083289
TI - [New recommendations for contact tracing in tuberculosis].
PMID- 22083291
TI - [Obstructive airway disorders representing occupational diseases].
AB - Obstructive airway diseases, i. e., bronchial asthma and COPD, have a prevalence
of about 5 % each in our population. 5 to 25 % of the cases are caused by the
impact of hazardous substances in workplace atmospheres. Pathophysiologically and
according to legal definitions on occupational diseases, allergic disorders
(occupational disease no 4301) have to be differentiated from those of chemically
irritative genesis (occupational disease no 4302 and predominantly also no 1315)
and from afflictions in coal miners induced by inorganic dust (occupational
disease no 4111). At present, hard coal mine dust is still the prevailing noxious
agent followed by flour/baking additives, fumes occurring during welding, casting
and cutting procedures, and isocyanates. In the majority of cases, the prognosis
is not good and depends on the duration of exposure to the causative impact. This
emphasises the urgency of early diagnosis and targetted individual prevention.
The new international labelling of working materials hazardous to health (GHS;
EU: CLP) also refers to inhalative noxae. It replaces the hitherto valid R und S
notes by hazard statements (H) and precautionary statements (P) as well as by new
hazard pictograms.
PMID- 22083292
TI - [Cardiopulmonary exercise testing in occupational medical fitness examination and
assessment].
AB - Medical expert opinion by occupational physicians and pneumologists has two main
objectives: making a diagnosis with probability bordering on certainty and
clarifying a causal relationship to a present or former occupational exposure to
irritant toxic, allergenic or fibrosing dusts, gases, welding fumes or mineral
fibres. Especially for conditions that are associated with exertional dyspnea,
the diagnosis at rest using spirometry, body plethysmography, pulmonary function
test, blood gas analysis, electrocardiogram and echocardiography is of limited
use. This paper identifies the indications for cardiopulmonary exercise testing
(CPET) in occupational medicine, explains the related measurements and their
differential diagnostic value with special consideration of the flow-volume curve
under exercise as well as the alveolar-arterial oxygen gradient. Diagnostic
statements on the relevance of oxygen uptake measured at continuous and peak load
compared to the wattage ascertained on the bicycle ergometer are presented.
Characteristic CPET findings are explained in terms of their differential
diagnostic significance. Furthermore, the importance of CPET for the assessment
of occupational disease-related functional loss (clinical proportions in the
reduction of working capacity) is shown.
PMID- 22083293
TI - [Differential diagnosis and therapy of pulmonary diseases with angiitis and
granulomatosis].
PMID- 22083294
TI - [In memory of Prof Dr. med. Hans-Ludwig Hahn].
PMID- 22083295
TI - Synthesis of 1,2,3-triazole-fused heterocycles via Pd-catalyzed cyclization of 5
iodotriazoles.
AB - A convenient approach toward polycyclic frameworks containing fused 1,2,3
triazoles is described. The synthesis consists of a Cu-catalyzed cycloaddition
and an intramolecular Pd-catalyzed direct arylation or Heck reaction, and affords
the products in good to excellent yields.
PMID- 22083296
TI - Angiogenesis and vasculopathy in systemic sclerosis: evolving concepts.
AB - Systemic sclerosis (scleroderma [SSc]) is a multifactorial disease characterized
by inflammation, extensive and progressive fibrosis, and multiple vasculopathies.
The vascular manifestations can be seen early in the pathogenesis of the disease
and include malformed capillaries, Raynaud's phenomenon, and digital ulcers. As
the disease progresses, the vasculopathy proceeds to significant clinical
manifestations, including renal crisis and pulmonary arterial hypertension.
Moreover, later stages of the disease are marked by increasingly avascular areas.
Despite the obliteration of microvascular structures, compensatory vasculogenesis
and angiogenesis do not occur normally. This is in spite of a general increase in
many potent angiogenic factors. Recent studies are beginning to examine this
paradox and subsequent paucity of an angiogenic response in SSc. In this review,
we discuss these findings and examine the role that chemokine and growth factor
receptors, proteases, adhesion molecules, and transcription factors play in the
dysregulation of angiogenesis in SSc.
PMID- 22083297
TI - Extremity doses of nuclear medicine personnel: a concern.
PMID- 22083298
TI - The effect of device-based cardiac contractility modulation therapy on myocardial
efficiency and oxidative metabolism in patients with heart failure.
AB - PURPOSE: Cardiac contractility modulation (CCM) is a device-based therapy that
involves delivery of nonexcitatory electrical signals resulting in improved
ventricular function and a reversal of maladaptive cardiac fetal gene programmes.
Our aim was to evaluate whether acute application of CCM leads to an increase in
myocardial oxygen consumption (MVO(2)) in patients with chronic heart failure
using (11)C-acetate positron emission tomography (PET). METHODS: We prospectively
enrolled 21 patients with severe heart failure. (11)C-acetate PET was performed
before and after activation of the CCM device. In 12 patients an additional
stress study with dobutamine was performed. RESULTS: Under resting conditions,
the values of myocardial blood flow (MBF), MVO(2) and work metabolic index (WMI,
reflecting myocardial efficiency) with the CCM device activated did not differ
significantly from the values with the device deactivated. MBF was 0.81 +/- 0.18
ml min(-1) g(-1) with the device off and 0.80 +/- 0.15 ml min(-1) g(-1) with the
device on (p = 0.818), MVO(2) was 6.81 +/- 1.69 ml/min/100 g with the device off
and 7.15 +/- 1.62 ml/min/100 g with the device on (p = 0.241) and WMI was 4.94 +/
1.14 mmHg ml/m(2) with the device off and 5.21 +/- 1.36 mmHg ml/m(2) with the
device on (p = 0.344). Under dobutamine stress, the values of MBF, MVO(2) and WMI
with the CCM device activated did not differ from the values with the device
deactivated, but were significantly increased compared with the values obtained
under resting conditions. CONCLUSION: These results indicate that CCM does not
induce increased MVO(2), even under stress conditions.
PMID- 22083299
TI - Profile and prevalence of malnutrition in children with spinal cord injuries
assessment of the Screening Tool for Assessment of Malnutrition in Paediatrics
(STAMP).
AB - BACKGROUND: Data on the prevalence of malnutrition in paediatric patients with
spinal cord injury (SCI) are limited. The present study aimed to establish the
risk of (i) under-nutrition by using the Screening Tool for Assessment of
Malnutrition in Paediatrics: STAMP (score >=2) and (ii) over-nutrition by body
mass index (BMI) centile (>=91st: overweight; >=98th: obese). METHODS: After
obtaining informed consent, a standardized questionnaire was used to collect
baseline demographic data and nutrition risk score; BMI was measured and routine
blood biochemistry was reviewed in every child (>6 months and <18 years) admitted
to the SCI centre. RESULTS: Sixty-two children (mean age, 11.4 years; s.d., 4.9;
median, 13 years; interquartile range, 7.8-15.6, 39.4% female) with SCI (46.5%
tetraplegia, 53.4% complete SCI) were assessed. Prevalence of over-nutrition was
high (BMI centile >=91st, 41.1%; >=98th, 25.5%). Under-nutrition risk was 47.1%
(STAMP >=2). Only 60% of these 'at risk' patients were referred for further
nutritional assessment. Associated phenomena included previous intensive care
(55.6 versus 20.8%, P<0.05), mechanical ventilation (58.3 versus 18.2%, P<0.01)
and past need for artificial nutrition support (75 versus 12.8%, P<0.01).
CONCLUSIONS: Both over- and under-nutrition appear common in children with SCI.
Our data indicate, furthermore, that children at nutritional risk are under
managed. Future research is needed to complete the validation of the screening
tools and to determine how effective intervention can be ensured.
PMID- 22083300
TI - Characterization of H5N1 highly pathogenic avian influenza viruses isolated from
poultry in Pakistan 2006-2008.
AB - Nine avian influenza viruses (AIV), H5N1 subtype, were isolated from dead poultry
in the Karachi region of Pakistan from 2006 to 2008. The intravenous
pathogenicity indices and HA protein cleavage sites of all nine viruses were
consistent with highly pathogenic AIV. Based on phylogenetic analysis of the HA
genes, these isolates belong to clade 2.2 and both the HA and NA are closely
related to each other (nucleotide identities above 99.0%) and to other Middle
Eastern H5N1 AIV isolates (nucleotide identities above 98.0%). The phylogenetic
data suggest that the virus in both epornitics of H5N1 HPAIV in commercial
poultry in the Karachi region of Pakistan between 2006 and 2008 were from a very
closely related source, however, there is inadequate epidemiological data to
determine what the reservoir was for the virus between the 2006 and 2007
outbreaks other than that there was a single introduction into the region.
PMID- 22083301
TI - Raising chickens in city backyards: the public health role.
AB - There is increasing interest in raising chickens in urban settings across North
America. Current regulations on backyard chickens vary by jurisdiction due to
concerns about perceived health threats. Proposed negative impacts on public
health and community well-being include infectious diseases acquired through
rearing practices or consumption of eggs, inappropriate waste management,
interaction with pests and predators and nuisance factors such as noise and
odour. Proposed benefits are derived largely from the human-animal bond and from
feelings of autonomy over food selection. The importance or validity of claims of
positive and negative effects cannot be supported by literature specific to the
urban agriculture context. Public health practitioners might approach this issue
in a manner analogous to concerns over keeping domestic pets.
PMID- 22083302
TI - Is the Y chromosome disappearing?--both sides of the argument.
AB - On August 31, 2011 at the 18th International Chromosome Conference in Manchester,
Jenny Graves took on Jenn Hughes to debate the demise (or otherwise) of the
mammalian Y chromosome. Sex chromosome evolution is an example of convergence;
there are numerous examples of XY and ZW systems with varying degrees of
differentiation and isolated examples of the Y disappearing in some lineages. It
is agreed that the Y was once genetically identical to its partner and that the
present-day human sex chromosomes retain only traces of their shared ancestry.
The euchromatic portion of the male-specific region of the Y is ~1/6 of the size
of the X and has only ~1/12 the number of genes. The big question however is
whether this degradation will continue or whether it has reached a point of
equilibrium. Jenny Graves argued that the Y chromosome is subject to higher rates
of variation and inefficient selection and that Ys (and Ws) degrade inexorably.
She argued that there is evidence that the Y in other mammals has undergone
lineage-specific degradation and already disappeared in some rodent lineages. She
also pointed out that there is practically nothing left of the original human Y
and the added part of the human Y is degrading rapidly. Jenn Hughes on the other
hand argued that the Y has not disappeared yet and it has been around for
hundreds of millions of years. She stated that it has shown that it can outsmart
genetic decay in the absence of "normal" recombination and that most of its genes
on the human Y exhibit signs of purifying selection. She noted that it has added
at least eight different genes, many of which have subsequently expanded in copy
number, and that it has not lost any genes since the human and chimpanzee
diverged ~6 million years ago. The issue was put to the vote with an exact 50/50
split among the opinion of the audience; an interesting (though perhaps not
entirely unexpected) skew however was noted in the sex ratio of those for and
against the notion.
PMID- 22083303
TI - Tracking chromosome dynamics in live yeast cells: coordinated movement of rDNA
homologs and anaphase disassembly of the nucleolus during meiosis.
AB - A prerequisite for determination of chromosome dynamics in live cells is
development of a method for staining or marking the chromosome of interest. We
describe here a unique chromosome-tracking system that differentially marks two
large chromosome segments from homologs in the budding yeast Saccharomyces
cerevisiae. Using yeast genetics and the special features at the repetitive
ribosomal RNA (rRNA) gene cluster, we incorporated arrays of the tet operator and
the lac operator into each repeat of the two rDNA homologs by homologous
recombination. Expression of tet repressor-fused green fluorescent protein and
lac repressor-fused red fluorescent protein in engineered cells led to the
differential labeling of rDNA homologs. Using live-cell three-dimensional
fluorescence microscopy, we showed that homologs undergo contraction and
expansion cycles in an actin-dependent manner during meiosis and that chromosome
mobility appears to be correlated with nuclear positioning. Our observations
further revealed that, in contrast to mitosis, in meiosis the yeast nucleolus,
the site of rRNA processing, was disassembled upon anaphase onset, suggesting a
differential regulation of the rDNA array during meiotic chromosome segregation.
Because rRNA genes are highly conserved, a similar chromosome-engineering
approach may be adaptable in other eukaryotes for functional assays of chromosome
organization in live cells.
PMID- 22083304
TI - Ion currents induced by ATP and angiotensin II in cultured follicular cells of
Xenopus laevis.
AB - Xenopus laevis oocytes are commonly used to study the biophysical and
pharmacological properties of foreign ion channels and receptors, but little is
known about those endogenously expressed in their enveloping layer of follicular
cells (FCs). Whole-cell recordings and the perforated patch-clamp technique in
cultured FCs held at -60 mV revealed that ATP (20-250 MUM) generates inward
currents of 465 +/- 93 pA (mean +/- standard error) in ~60% of the FCs studied,
whereas outward currents of 317 +/- 100 pA were found in ~5% of the cells. The
net effect of ATP on the FCs was to activate both mono- and biphasic inward
currents, with an associated increase in membrane chloride conductance. Two
microelectrode voltage-clamp recordings of nude oocytes held at -60 mV disclosed
that ATP elicited biphasic inward currents, corresponding to the well-known F(in)
and S(in)-like currents. ATP receptor antagonists like suramin, TNP-ATP, and RB2
did not inhibit any of these responses. On the other hand, when using whole-cell
recordings, 1 MUM Ang II yielded smooth inward currents of 157 +/- 45 pA in ~16%
of the FC held at -60 mV. The net Ang II response, mediated by the activation of
the AT(1) receptor, was a chloride current inhibited by 10 nM ZD7155. This study
will help to better understand the roles of ATP and Ang II receptors in the
physiology of X. laevis oocytes.
PMID- 22083305
TI - Jolkinolide B from Euphorbia fischeriana Steud induces apoptosis in human
leukemic U937 cells through PI3K/Akt and XIAP pathways.
AB - Jolkinolide B, a bioactive diterpene isolated from the roots of Euphorbia
fischeriana Steud, is known to induce apoptosis in cancer cells. However, the
molecular mechanism of its anti-cancer activity has not been fully elucidated. In
the present study, we found that Jolkinolide B reduced cell viability and induced
apoptosis in a dose- and time-dependent manner in human leukemic U937. The
induction of apoptosis was also accompanied by the downregulation of PI3K/Akt and
the inhibitor of apoptosis protein (IAP) family proteins. Moreover, we observed
that Jolkinolide B treatment resulted in activation of caspase-3 and -9, which
may partly explain the anti-cancer activity of Jolkinolide B. Taken together, our
study for the first time suggest that Jolkinolide B is able to enhance apoptosis
of U937 cells, at least in part, through downregulation of PI3K/Akt and IAP
family proteins. Moreover, triggering of caspase-3 and -9 activation mediated
apoptotic induction.
PMID- 22083306
TI - Nuclear factor-kappaB2 represses Sp1-mediated transcription at the CD99 promoter.
AB - Downregulation of the CD99 antigen on the surface of Hodgkin's lymphoma (HL)
cells via EBV LMP1-mediated NF-kappaB suppression of Sp1 transcriptional activity
is known to be associated with the appearance of pathogenic Reed-Sternberg cells.
Here, we show that in addition, EBV LMP1 heterologous NF-kappaB activators such
as CD30 and CD40 repress the CD99 promoter, which contains multiple Sp1-binding
sites but no NF-kappaB binding sites. In addition, NF-kappaB-inducing kinase
(NIK) repressed the CD99 promoter while NIK kinase mutants and JNK inhibitory
protein failed to do so. Of the NF-kappaB subunits, NF-kappaB2 (p52) alone or in
combination with other Rel subunits consistently inhibited the CD99, while NF
kappaB1 (p50) showed a marginal repressive effect. Furthermore, while
transfection of LMP1 repressed the CD99 promoter in wild-type or NF-kappaB1
deficient MEFs, the same repression was not observed in NF-kappaB2 (p52)
deficient MEFs, indicating that NF-kappaB2 (p52) is required for LMP1-mediated
repression of the CD99 promoter. Consistently, basal activity of the CD99
promoter was significantly higher in IKKalpha(-/-) and IKKbeta(-/-) MEFs, but not
in IKKGamma(-/-) MEFs compared to the wild-type control MEFs. Sp1-binding sites
were directly used in the repression, because a synthetic Sp1 reporter with 10
Sp1-binding sites from the CD99 promoter was repressed by LMP1 or p52
transfection. These data indicate that LMP1-mediated NF-kappaB2 exhibits the
major inhibitory role in the transcription at the CD99 promoter.
PMID- 22083308
TI - Dentinal sensitivity among a selected group of young adults in Nigeria.
AB - BACKGROUND: There is paucity of data on the prevalence of dentinal sensitivity
outside the hospital setting and impact of dentinal sensitivity among young
adults in Africa. This study aimed to determine the prevalence and impact of
dentinal sensitivity among young adults in Nigeria. MATERIALS AND METHODS: A
cross-sectional survey was conducted among the undergraduates of University of
Benin in August, 2010. Self-administered questionnaire elicited information on
demography, self-reported dentinal sensitivity, the trigger factor, action taken,
functional, and psychological impact. RESULTS: The prevalence of dentinal
sensitivity was 211 (52.8%) among the participants and it was significantly
higher in females than males (P=0.027). Participants experienced shocking
sensation more on the left-side of the mouth. The most common trigger factor for
the dentinal sensitivity was due to cold drink [169 (80.1%)]. Among the
participants with dentinal sensitivity, majority [139 (65.9%)] have not taken any
action and only 24 (11.4%) have visited the dentist because of the problem.
Dentinal sensitivity exhibited psychological impact among the participants as 64
(30.3) reported unhappiness due to the shocking sensation. Eating and talking
were disturbed, respectively, in 59 (28.0%) and 12 (5.7%) of the participants.
CONCLUSION: The prevalence of dentinal sensitivity was high which was
significantly higher in females than males. Despite the negative functional and
psychological impact among the participants, only a few sought dental
professional care. Screening for dentinal sensitivity at community level is
required to proffer early treatment and ameliorate its impact on the populace.
PMID- 22083307
TI - Time-dependent changes in lipid metabolism in mice with methionine choline
deficiency-induced fatty liver disease.
AB - Methionine and choline-deficient diet (MCD)-induced fatty liver is one of the
best-studied animal models of fatty liver disease. The present study was
performed to clarify the relative contributions of individual lipid metabolic
pathways to the pathogenesis of MCD-induced fatty liver. Hepatic lipogenesis
mediated by the sterol regulatory element-binding protein (SREBP-1c) was
increased at 1 week, but not at 6 weeks, of MCD feeding. On the other hand, (14)C
palmitate oxidation did not change at 1 week, but significantly decreased at 6
weeks. This decrease was associated with increased expression of fatty acid
translocase, a key enzyme involved in fatty acid uptake. Expression of
endoplasmic reticulum stress markers was increased in mice given MCD for both 1
and 6 weeks. These findings suggest the presence of time-dependent differences in
lipid metabolism in MCD-induced fatty liver disease: SREBP-1c-mediated
lipogenesis is important in the early stages of fatty liver disease, whereas
increased fatty acid uptake and decreased fatty acid oxidation become more
important in the later stages.
PMID- 22083309
TI - [New ultrasound technologies for the diagnostics of prostate cancer].
AB - CLINICAL/METHODOLOGICAL ISSUE: Prostate cancer is the most common cancer in men.
The diagnosis is based on prostate-specific antigen (PSA), digital rectal
examination (DRE) and transrectal ultrasound (TRUS) guided biopsy. These
techniques have considerable limitations, which result in unnecessary biopsies.
Furthermore the biopsies are associated with morbidity and costs. STANDARD
RADIOLOGICAL METHODS: Standard gray-scale ultrasound has a low sensitivity and
specificity for prostate cancer detection. METHODOLOGICAL INNOVATIONS: New
ultrasound technologies, including color- and power Doppler ultrasound, contrast
enhanced US and real-time sonoelastography have shown to improve prostate cancer
diagnosis. PERFORMANCE: Contrast-enhanced ultrasound has shown a sensitivity of
100% (95% CI, 95%), a negative predictive value (NPV) of 99.8% and a positive
predictive value (PPV) of 88.8% for prostate cancer detection. Real-time
sonoelastography has shown a sensitivity of 86%, a specificity of 81% and NPV of
91% for prostate cancer diagnosis. ACHIEVEMENTS: Most studies show that these new
ultrasound modalities demonstrate a 1.5 to 2.5 times higher detection of prostate
cancer per biopsy specimen compared with systematic biopsy. Multicenter studies
results are at present lacking but are, however ongoing. PRACTICAL
RECOMMENDATIONS: In patients with suspected prostate cancer (elevated PSA,
suspicious DRE) these new ultrasound techniques should be used. These techniques
can detect prostate cancer and allow a targeted biopsy approach.
PMID- 22083310
TI - [CT-guided biopsies and drainage].
AB - Following the implementation of computed tomography (CT) or ultrasound-guided
biopsy of solid tumors and the puncture and drainage of liquid processes, the
number of surgical open biopsies and curative operations for abscess drainage has
declined. Such CT-guided interventions are performed in nearly every organ.
Instead of aspiration biopsies, more and more core biopsies are being performed
to allow histopathological evaluation and thus allowing targeted therapy.This
article is intended to give a general overview of techniques, materials,
indications and contraindications. Ultrasound-guided biopsies as well as large
bore vacuum biopsies of the breast are not included in this review.
PMID- 22083312
TI - Changes in coagulation and hemodynamics during pregnancy: a prospective
longitudinal study of 58 cases.
AB - PURPOSE: To investigate changes and establish reference values in coagulation,
anticoagulation, fibrinolysis, anti-fibrinolysis and hemodynamics during normal
pregnancy. METHODS: A total of 58 women with singleton pregnancies were
recruited. Blood and ultrasound examinations were performed in the 10th-14th,
20th-24th, and 30th-34th weeks of pregnancy. The same examinations were performed
in 50 non-pregnant women who were selected as the control group. RESULTS: Levels
of fibrinogen, thrombin time, fibronectin, prothrombin activated fragments 1+2
and thrombomodulin were higher in early pregnancy than those in the control group
(P < 0.05). Fibrinogen, prothrombin time, activated partial thromboplastin time,
thrombin time, thromboxane B2, prothrombin activated fragments 1+2,
thrombomodulin, D-dimer, and plasminogen activator inhibitor-2 were statistically
different between the mid pregnancy and the control group (P < 0.05). Meanwhile,
fibrinogen, prothrombin time, activated partial thromboplastin time, thrombin
time, fibronectin, thromboxane B2, prothrombin activated fragments 1+2,
thrombomodulin, and plasminogen activator inhibitor-2 were obviously elevated in
late pregnancy as compared with the control group (P < 0.05). Moreover,
fibrinogen, thromboxane B2, prothrombin activated fragment 1+2, D-dimer
plasminogen, and activator inhibitor-2 gradually increased during pregnancy with
some fluctuation. Prothrombin time, activated partial thromboplastin time,
thrombin time, international normalized ratio, and thrombomodulin as well as
systolic/diastolic ratio, pulsatility index, and resistance index in uterine
arteries showed a tendency to decrease in pregnant women. CONCLUSIONS:
Coagulation, anti-coagulation, fibrinolytic and anti-fibrinolytic activities are
enhanced and balanced at a higher level during pregnancy. In addition, uterine
artery and umbilical artery hemodynamics become more baby friendly (i.e., high
flow and low resistance).
PMID- 22083313
TI - Critical analysis of risk factors for shoulder dystocia.
AB - OBJECTIVE: The study was aimed to define trends, risk factors and perinatal
outcome associated with shoulder dystocia (SD). METHODS: A population-based study
comparing all singleton deliveries with and without SD was conducted. Statistical
analysis was performed using multiple logistic regression analysis. RESULTS:
Shoulder dystocia complicated 0.2% (n = 451) of all deliveries included in the
study (n = 240,189). The rate of SD declined from 0.4% in 1988 to 0.13% in 2009.
Independent risk factors for SD in a multivariable analysis were fetal macrosomia
(birth-weight >= 4 kg; OR = 16.1; 95% CI 13.2-19.6, P < 0.001), failure of labor
to progress during the second stage (OR = 2.4; 95% CI 1.5-3.7, P < 0.001),
diabetes mellitus (OR = 1.8; 95% CI 1.4-2.3, P < 0.001) and advanced maternal age
(years, OR = 1.02; 95% CI 1.001-1.03, P = 0.029). Perinatal mortality was
significantly higher after SD as compared to the comparison group (6.2 vs. 1.4%,
P <0.001). Another multivariable analysis, with perinatal mortality as the
outcome variable, controlling for confounders such as maternal age, gestational
age, diabetes mellitus, etc. was constructed; SD was noted as an independent risk
factor for perinatal mortality (adjusted OR = 11.1; 95% CI 7.2-17.1, P < 0.001).
CONCLUSIONS: Shoulder dystocia, associated with macrosomia, labor dystocia,
diabetes mellitus, and advanced maternal age, is an independent risk factor for
perinatal mortality. In an era of increased rate of cesarean deliveries, and
perhaps increased accuracy of birth weight estimation, the rate of shoulder
dystocia gradually declines.
PMID- 22083314
TI - Effect of rosehip (Rosa canina L.) phytochemicals on stable free radicals and
human cancer cells.
AB - BACKGROUND: The commercial development of plants as sources of antioxidants that
can be used to enhance the properties of foods, for nutritional purposes and
preservation as well as for prevention of oxidation-related diseases, is
currently of major interest. Rosehip (Rosa canina L.) is a rich source of vitamin
C and polyphenols. RESULTS: Phytochemicals in rosehip tea were separated into
three fractions: Fr1 (vitamin C, 39.17 mg kg(-1)), Fr2 (flavonoids, 451.05 ug kg(
1)) and Fr3 (phenolic acids, 504.69 ug kg(-1)). Quercetin and ellagic acid were
the most abundant polyphenolic compounds. Rosehip fractions, primarily rosehip
flavonoids (EC(50) = 49 mg L(-1)), showed high antioxidant activity towards 2,2
diphenyl-1-picrylhydrazyl radicals (DPPH(*)). Cell growth effects of rosehip
fractions were assessed in HeLa, MCF7 and HT-29 cell lines, with the lowest
IC(50) values being determined for rosehip flavonoids, (80.63, 248.03 and 363.95
mg L(-1) respectively). However, the vitamin C fraction did not inhibit the
growth of tested tumour cells. CONCLUSION: The results of this study confirm that
vitamin C and flavonoids are responsible for the antioxidant activity of rosehip
tea, while only polyphenols contribute to its antiproliferative activity.
PMID- 22083316
TI - Adhesion frequency assay for in situ kinetics analysis of cross-junctional
molecular interactions at the cell-cell interface.
AB - The micropipette adhesion assay was developed in 1998 to measure two-dimensional
(2D) receptor-ligand binding kinetics. The assay uses a human red blood cell
(RBC) as adhesion sensor and presenting cell for one of the interacting
molecules. It employs micromanipulation to bring the RBC into contact with
another cell that expresses the other interacting molecule with precisely
controlled area and time to enable bond formation. The adhesion event is detected
as RBC elongation upon pulling the two cells apart. By controlling the density of
the ligands immobilized on the RBC surface, the probability of adhesion is kept
in mid-range between 0 and 1. The adhesion probability is estimated from the
frequency of adhesion events in a sequence of repeated contact cycles between the
two cells for a given contact time. Varying the contact time generates a binding
curve. Fitting a probabilistic model for receptor-ligand reaction kinetics to the
binding curve returns the 2D affinity and off-rate. The assay has been validated
using interactions of Fcgamma receptors with IgG Fc, selectins with
glycoconjugate ligands, integrins with ligands, homotypical cadherin binding, T
cell receptor and coreceptor with peptide-major histocompatibility complexes. The
method has been used to quantify regulations of 2D kinetics by biophysical
factors, such as the membrane microtopology, membrane anchor, molecular
orientation and length, carrier stiffness, curvature, and impingement force, as
well as biochemical factors, such as modulators of the cytoskeleton and membrane
microenvironment where the interacting molecules reside and the surface
organization of these molecules. The method has also been used to study the
concurrent binding of dual receptor-ligand species, and trimolecular interactions
using a modified model. The major advantage of the method is that it allows study
of receptors in their native membrane environment. The results could be very
different from those obtained using purified receptors. It also allows study of
the receptor-ligand interactions in a sub-second timescale with temporal
resolution well beyond the typical biochemical methods. To illustrate the
micropipette adhesion frequency method, we show kinetics measurement of
intercellular adhesion molecule 1 (ICAM-1) functionalized on RBCs binding to
integrin alpha(L)beta(2) on neutrophils with dimeric E-selectin in the solution
to activate alpha(L)beta(2).
PMID- 22083318
TI - Minimally invasive treatment of rectovaginal fistula.
AB - BACKGROUND: Rectovaginal fistulas (RVFs) are a rare surgical condition. Their
treatment is extremely difficult, and no standard surgical technique is accepted
worldwide. This report describes a new approach using transanal endoscopic
microsurgery (TEM) to treat RVFs. METHODS: A retrospective review of 13 patients
who underwent repair of rectovaginal fistula using TEM between 2001 and 2008 was
undertaken. The surgical technique is widely described, and the advantages of the
endorectal approach are noted. RESULTS: The median follow-up period was 25
months, and the median age of the patients was 44 years (range, 25-70 years). The
mean operative time was 130 min (range, 90-150 min), and the hospital stay was 5
days (range, 3-8 days). One patient experienced recurrence. This patient
underwent reoperation with TEM and experienced re-recurrence. Two patients had
minor complications (hematoma of the septum and abscess of the septum), which
were treated with medical therapy. For two patients, a moderate sphincter
hypotonia was registered. CONCLUSIONS: A new technique for treating RVFs using
TEM is presented. The authors strongly recommend this approach that avoids any
incision of the perineal area, which is very painful and can damage sphincter
functions.
PMID- 22083319
TI - Video. Repeated transvaginal notes: is it possible?
AB - PURPOSE: Transvaginal surgery has been performed by gynecologists for decades
with abundant literature supporting its efficacy and safety. Recently, several
groups reported on the NOTES transvaginal (TV) approach for extrapelvic disease.
Nevertheless, repeated TV access for NOTES has never been reported to date. Two
cases of "repeated" TV access for NOTES cholecystectomy after TV hybrid sleeve
gastrectomy are described. METHODS: Two women, aged 57 and 32 years, developed
symptomatic cholelithiasis respectively 6 and 8 months after TV sleeve
gastrectomy for morbid obesity. Sleeve gastrectomy: a 2-cm posterior colpotomy
was performed under laparoscopic control between the uterosacral ligaments. A
double-channel endoscope and a 60-cm-long laparoscopic grasper were introduced
transvaginally. Two abdominal ports were placed to allow the introduction of the
stapling device and to assist during the procedure. An intragastric endoscope
served to expose the stomach and to calibrate the gastric sleeve, which was
performed in the standard fashion. Colpotomy was closed by separate 3/0 Vicryl
stitches. At cholecystectomy, an exploratory laparoscopy ascertained the
feasibility of a NOTES cholecystectomy. The posterior vaginal vault was carefully
examined before regaining peritoneal access with the technique described above.
Cholecystectomy was performed by using a double-channel endoscope introduced TV
and a 5-mm transabdominal port. Follow-up consisted of 3 and 6 months pelvic
examination and interview, which included sexual function assessment by sexual
function questionnaire (SFQ31). RESULTS: Both operations were performed
successfully with no intraoperative or postoperative complications. At
cholecystectomy, minimal pelvic adhesions were found with no vaginal scarring at
the colpotomy site. No bleeding, pain, or vaginal infection occurred after both
procedures. Patients resumed sexual activity 5.2 weeks postoperatively with a
consistently normal SFQ31. CONCLUSIONS: This report suggests that, in experienced
hands, repeated TV access for extrapelvic surgery is possible and safe, putting
forward the intriguing promises of less adhesions formation.
PMID- 22083320
TI - Plasma from the second and third weeks after open colorectal resection for cancer
stimulates in vitro endothelial cell growth, migration, and invasion.
AB - INTRODUCTION: Angiogenesis is central to wound healing and tumor growth.
Postoperative (postop) plasma from weeks 2 and 3 after minimally invasive
colorectal resection (MICR) stimulates endothelial cell (EC) migration (MIG),
invasion (INV), and proliferation (all vital to angiogenesis) compared with
preoperative (preop) plasma results and may promote postop tumor growth. The
purpose of this study was to determine whether plasma from open colorectal
resection (OCR) patients has similar proangiogenic EC effects in vitro. METHODS:
OCR cancer patient plasma from institutional review board-approved banks was
used; patients with preop and one postop sample from postoperative days (POD) 7
33 were eligible. Samples were bundled into 7- to 13-day periods and considered
as single time points. In vitro cultures of human umbilical venous ECs were used
for the EC proliferation (BPF, Branch Point Formation), INV, and MIG assays
performed with preop, POD 7-13, POD 14-20, and POD 21-33 plasma. Data were
analyzed by paired t test and were reported as mean +/- standard deviation
(significance, P < 0.05). RESULTS: Plasma from 53 cancer patients (25 rectal and
28 colon) was used. Because of limited postop samples, the number for each time
point varies: POD 7-13, n = 30; POD 14-20, n = 26; and POD 21-33, n = 17. In
vitro EC BPF was significantly greater at the POD 7-13 (P < 0.0001) and POD 14-20
(P < 0.0001) time points versus preop results. Significantly greater EC INV and
MIG were noted on POD 7-13 and POD 14-20 versus the preop plasma results (P <
0.0001). In regards to POD 21-33, a significantly greater result was noted only
for the INV assay versus preop. CONCLUSIONS: Plasma from weeks 2 and 3 after OCR
stimulates in vitro EC BPF, INV, and MIG. A significant difference from preop
baseline was noted only for the INV assay in week 4. The OCR and previous MICR
results were largely similar. Tumor angiogenesis may be stimulated after OCR and
MICR for 3 weeks. Further studies are warranted.
PMID- 22083322
TI - Single-incision laparoscopic cholecystectomy using a modified dome-down approach
with conventional laparoscopic instruments.
AB - INTRODUCTION: Single-incision laparoscopic cholecystectomy (SILC) may increase
the risk of bile duct injury due to compromised operative exposure. Dome-down
laparoscopic cholecystectomy provides the ability to evaluate the cystic duct
circumferentially prior to its division, thus minimizing the risks of bile duct
injury. This study assesses the feasibility and safety of SILC using a modified
dome-down approach with all conventional laparoscopic instruments. METHODS: Three
low-profile 5-mm trocars are placed via a single transumbilical incision. The two
working trocars are aimed laterally via the rectus to achieve adequate
triangulation. An extralong 5-mm 30o laparoscope with an L-shaped light-cord
adaptor is used to yield more external working space. Cephalic liver retraction
is achieved with one transabdominal suture through the gallbladder fundus.
Leaving the gallbladder fundus attached to the liver bed, a window is first
created between the gallbladder body and the liver. The dissection is then
carried down retrograde toward the porta hepatis. A 360o view of the gallbladder
cystic duct junction is achieved prior to transecting the cystic duct. The
gallbladder is then freed by separation of the fundal attachments. The specimen
is retrieved by enlarging the fascial incision. All fascial defects are then
primarily closed. RESULTS: Sixteen patients (mean age 31 years, mean BMI 26.3
kg/m(2)) were enrolled in this study. Thirteen had elective surgery for
symptomatic cholelithiasis, and three had emergency surgery for acute
cholecystitis. Mean operating time was 80.3 min, and blood loss was minimal. All
patients were discharged within 24 h without complications. Follow-up at 1 month
revealed a barely visible scar within the umbilicus. CONCLUSIONS: SILC using a
modified dome-down approach is technically feasible with all straight
instruments, and it is safe because of good delineation of ductal anatomy.
Adoption of this approach may minimize the risk of bile duct injury during early
experience of SILC.
PMID- 22083321
TI - Effects of laparoscopic Roux-en-Y gastric bypass on glucose-6 phosphate
dehydrogenase activity in obese type 2 diabetics.
AB - BACKGROUND: Glucose-6-phosphate dehydrogenase (G6PD) is the rate-limiting enzyme
of the pentose phosphate pathway that provides the majority of NADPH required for
lipid biosynthesis. G6PD overexpression has been implicated in insulin
resistance, hyperlipidemia, and increased oxidative stress in animals. This study
examines G6PD expression in obese diabetic and nondiabetic subjects pre- and post
laparoscopic Roux-en-Y gastric bypass (LRYGB). METHODS: Patients undergoing LRYGB
were recruited for the IRB-approved study and placed in either the diabetic (n =
11) or nondiabetic group (n = 16) (diabetic, HbA1c > 6.5%; nondiabetic, HbA1c <
6.0%). Blood samples were collected at baseline and throughout the first 3
postoperative months. Liver, adipose, and omental samples were taken during
surgery. Results are expressed as mean +/- SEM and were compared statistically
using the Mann-Whitney test. RESULTS: The two groups were not significantly
different at baseline except for fasting glucose and HbA1c. G6PD activity
(nm/min/mg protein) was significantly higher in red blood cells (RBCs) (3.12 +/-
1.39 vs. 0.67 +/- 0.14) and liver (17.23 +/- 2.40 vs. 9.74 +/- 2.18) in diabetics
compared to nondiabetics. There was good correlation between increased liver G6PD
activity and the severity of diabetes as measured by HbA1c (r (2) = 0.525) and
fasting glucose (r (2) = 0.542). No significant difference was found in the
adipose or omental G6PD expression. Both groups experienced a significant
increase in G6PD blood activity shortly following surgery (1 week) followed by a
reduction 3 months after surgery. CONCLUSION: These results are the first ever
seen in human subjects and demonstrate increased G6PD activity in diabetics
compared to nondiabetics. These results suggest a correlation between G6PD
activity and the severity of type 2 diabetes. The early increases in G6PD
activity after LRYGB were unexpected and longer follow-up is needed to determine
the effects of LRYGB on G6PD activity.
PMID- 22083323
TI - Multimedia manuscript. Thoracoscopic management of chylothorax after
esophagectomy.
AB - BACKGROUND: Chylothorax after esophagectomy is a potentially life-threatening
complication, with a reported incidence rate of 1-4%. Two cases of postoperative
chylothorax successfully managed thoracoscopically are reported. METHODS: In case
1, a 61-year-old man presenting with an adenocarcinoma of the lower esophagus
underwent laparoscopic transhiatal esophagectomy after neoadjuvant chemotherapy.
The thoracic duct was identified, and no obvious leaks were detected. The
thoracic drain was removed on postoperative day (POD) 6, and chest X-rays were
normal. The patient was discharged on POD 10. On POD 20, he was readmitted for
acute cardiopulmonary distress. Computed tomography scan showed a massive right
collection. After insertion of a chest tube, 8 l of chylous fluid were drained.
Once hemodynamic stabilization had been established, the patient was scheduled
for surgery. In case 2, a 54-year-old woman presenting with esophageal stenosis
after caustic injury refractory to balloon dilation and stenting underwent
esophagectomy. Mediastinal dissection was difficult due to fibrotic reaction. On
POD 2, the patient presented with a massive chylothorax. In both cases, three
trocars were inserted in the right pleural cavity. An incomplete lateral injury
of the thoracic duct was found in case 1, and a complete transection proximal to
the cervical anastomosis next to the left subclavian was found in case 2. Clips
and sutures were used first to seal the duct. Fibrin glue was applied to
reinforce the closure. A chest tube was left in place. RESULTS: The operative
time was 60 min in case 1 and 55 min in case 2. The chylothorax did not recur,
although the postoperative course was longer in case 2 due to associate
comorbidities. CONCLUSIONS: The thoracic duct is exposed to injuries during
esophagectomies, especially in cases of cancer and postcaustic injuries, leading
to fibrotic reaction of the surrounding tissue. Early and delayed chylothorax can
be managed efficiently by a thoracoscopic approach replicating the sealing
techniques used in thoracotomy.
PMID- 22083324
TI - Single-stage treatment with intraoperative ERCP: management of patients with
possible choledocholithiasis and gallbladder in situ in a non-tertiary Spanish
hospital.
AB - BACKGROUND: The best way to reduce endoscopic retrograde cholangiopancreatography
(ERCP) complications is not to perform it if it is unnecessary. Both
intraoperative and postoperative ERCP rely on use of intraoperative
cholangiography as a final diagnostic test for choledocholithiasis (CLD) whenever
clinical data are unable to rule out CLD. Intraoperative ERCP could become a
therapeutic option when a previous preoperative ERCP fails. We present our
experience with intraoperative ERCP. PATIENTS AND METHODS: This is a descriptive
and prospective study of a cohort of 82 patients with moderate risk of CLD. They
were operated on by laparoscopic cholecystectomy with intraoperative
cholangiography (IOC). We performed intraoperative ERCP using the rendezvous
technique. RESULTS: Thirty-six out of 82 patients had an abnormal IOC study. Mean
age was 58.7 years (standard deviation, SD 16.6, 25-83 years), and 60.6% were
females. Ultrasound study showed that 51.4% of patients had a dilated bile duct.
Magnetic resonance cholangiography (MRC) was performed on three patients (8.3%).
The success rate of intraoperative ERCP was 88.2%. Three out of the 36 patients
(8.8%) had ERCP complications [2 mild papillary bleeding (5.8%), 1 acute
pancreatitis (2.9%)]. The rate of conversion to open surgery was 5% with a
surgical complications rate of 4% [one injured duct and two surgical bleeding
which required re-operation (2.5%)]. There were no mortalities. Four patients
(11.1%) needed post-surgical ERCP, with a residual CLD rate of 5.6% (two
patients) in the postoperative period. Mean surgical time was 181 min (SD 60, 75
345 min). Mean hospital stay was 6.2 days (SD 4.7, 2-24 days). CONCLUSIONS:
Intraoperative ERCP is an option to prevent performing ERCP unnecessarily on
patients with moderate risk of CLD not confirmed using appropriate radiological
studies. It can resolve the biliary disease in a single step with a similar
success rate to standard ERCP, but with low morbidity, especially of acute
pancreatitis. The residual CLD rate is also very low.
PMID- 22083325
TI - Laparoendoscopic single-site surgery versus conventional laparoscopic varicocele
ligation in men with palpable varicocele: a randomized, clinical study.
AB - OBJECTIVE: This study was designed to compare the outcomes of two different
surgical techniques: conventional transperitoneal laparoscopic varicocele
ligation (CTL-VL), and laparoendoscopic single-site varicocele ligation (LESS
VL), using transumbilical home-made single port device. METHODS: Our sample
included 82 male patients with 92 clinically palpable varicoceles who underwent
varicocelectomy. The patients were randomly allocated to one of two groups
according to varicocele ligation technique. Early postoperative complications,
hospital stay, time to return to work, degree of satisfaction, and semen
parameters were assessed. A visual analogue scale (VAS) pain score that ranged
from 0 to 10 and analgesia requirements during the postoperative course were used
to evaluate postoperative pain. RESULTS: The operating room time and hospital
stay of the two study groups were comparable, but time to return to normal
activity was significantly shorter in the LESS group compared with the CTL group
(P = 0.025). Both VAS and the postoperative use of analgesics were significantly
lower during postoperative days 2 (P = 0.005) and 3 (P = 0.047) in patients who
underwent LESS-VL compared with patients who underwent CTL-VL. Significant
improvements in sperm count, motility, and morphology were observed in both
groups (P < 0.001; at each of the variables in both groups), but the proportion
of patients who showed improvement was not significantly different between the
two groups. CONCLUSIONS: This relatively small-scale study suggests that LESS-VL
is a safe and effective alternative to CTL-VL that provides surgeons with a
minimally invasive surgical option and the ability to hide the surgical incision
within the umbilicus.
PMID- 22083326
TI - A comparative study of multiport versus laparoendoscopic single-site
adrenalectomy for benign adrenal tumors.
AB - BACKGROUND: The safety and feasibility of laparoendoscopic single-site (LESS)
adrenalectomy for benign adrenal lesions was proved in early clinical series.
However, the advantages of LESS over multiport laparoscopic adrenalectomy still
are under investigation. METHODS: Since October 2009, the authors have
prospectively performed LESS retroperitoneal adrenalectomy for 21 consecutive
patients with benign adrenal tumors (LESS group). Another 28 patients with benign
adrenal tumors were prospectively collected between June 2006 and October 2009
and served as a multiport laparoscopic adrenalectomy group. The patients'
demographic data, operating time, estimated blood loss, peri- and postoperative
complications, and short-term outcome were collected for further analysis.
RESULTS: The demographic data were comparable between the two groups in terms of
the patient age, gender, body mass index (BMI), laterality, diagnosis, and
resected specimen weight. No major complication or mortality occurred in either
group. Neither group had any conversions. No differences were observed between
the two groups in terms of intraoperative hemodynamic status or peri- or
postoperative complications. The LESS patients had quicker resumption of oral
intake (0.18 vs 1 day; p < 0.001), a shorter hospital stay (2 vs 4 days; p <
0.001), and a reduced analgesic requirement postoperatively (0 vs 0.84 mg/kg; p =
0.023) than the multiport laparoscopic patients. CONCLUSIONS: The results
demonstrate that LESS adrenalectomy is as safe and effective as conventional
multiport laparoscopic adrenalectomy for benign adrenal tumors. In addition, LESS
adrenalectomy provides short-term convalescence advantages over multiport
laparoscopic adrenalectomy.
PMID- 22083327
TI - Mesh fixation with a barbed anchor suture results in significantly less
strangulation of the abdominal wall.
AB - BACKGROUND: Laparoscopic ventral hernia repair using an underlay mesh frequently
requires suture fixation across the abdominal wall, which results in significant
postoperative pain. This study investigates the utility of a novel mesh fixation
technique to reduce the strangulation force on the abdominal wall. METHODS:
Multiple 2-cm(2) pieces of polyester mesh (Parietex Composite, Covidien) were
placed as an underlay against a porcine abdominal wall. Fixation was accomplished
using either the standard 0-polyglyconate or the 0-polyglyconate barbed anchor
suture designed to hold in tissue without the need to tie a knot (V-Loc 180;
Covidien). Suture fixation began with a stab wound incision in the skin. A suture
passing device then was used to pass the suture across the abdominal wall and
through the mesh. The suture passer was removed and reintroduced through the same
stab wound incision but at a different fascial entry point 1.5 cm away. The tail
of the suture was grasped and pulled up through both the mesh and the abdominal
wall, creating a full-thickness U-stitch. One tail of the suture was attached to
a tensiometer, and the strangulation force on the abdominal wall was measured
while the suture was tied (standard) or looped (barbed). To compare pullout
force, the tensiometer was attached to either the mesh or the suture, and
traction was applied until material failure or suture pull through. Results are
expressed as mean +/- standard deviation. Comparisons were performed using
Student's t-test. RESULTS: Eight pieces of mesh were placed for each suture. The
average force required to secure the barbed suture (0.59 +/- 0.08 kg) was
significantly less than the force needed to secure the standard suture (2.17 +/-
0.58 kg) (P < 0.0001). Table 1 compares the suture pullout forces with the mesh
failure forces. Although the pullout force for the standard suture is
significantly greater than for the barbed suture, both sutures have a pullout
strength significantly greater than the mesh failure force. Table 1 Suture
fixation forces for standard and barbed sutures Suture fixation force (kg)
Standard suture 2.17 +/- 0.58 Barbed suture 0.59 +/- 0.08 P < 0.0001 CONCLUSIONS:
A barbed anchor suture used to secure mesh to the abdominal wall requires nearly
75% less strangulation force than a standard monofilament suture while still
providing significantly greater pullout force than that required for the mesh to
tear and fail. This method of mesh fixation should result in less postoperative
pain and warrants a clinical trial.
PMID- 22083329
TI - Sympathetic chain clipping for hyperhidrosis is not a reversible procedure.
AB - BACKGROUND: Many techniques have been used to treat palmar hyperhidrosis.
Compensatory sweating is a difficult adverse effect. Clipping has been proposed
because of its supposed reversibility when clips are removed at a later date. The
primary aims of this article are to investigate the neuronal lesion of the
sympathetic chain caused by clipping and to study the possibility of regeneration
after removal of the clips. METHODS: We performed an experimental study at the
Minimally Invasive Surgery Centre Jesus Uson in Caceres (Spain). We used a swine
model, performing clipping, unclipping, and extirpation of different segments of
sympathetic chain with clips and after clip removal, following a chronogram of
10, 20, and 30 days. Pathologic studies of specimens and statistics were done at
the University of Seville. RESULTS: Ten days after clipping, all sympathetic
chains displayed evident Wallerian degeneration. Twenty days after clipping,
Wallerian degeneration of myelinated fibers was more widespread and also more
striking. Thirty days after clipping, a very marked macrophagic reaction was
visible, with multiple signs of phagocytosis of myelin debris. By 30 days post
operation and 20 days after clip removal, a few residual myelin and amyelinated
fibers were visible. These findings suggest that axon regeneration is not
possible. CONCLUSIONS: There are Wallerian degeneration and axon loss 10 days
after clipping. The almost total absence of myelinated and amyelinated fibers
following clip removal suggests that there was no nerve regeneration, and that
therefore clipping cannot be considered a reversible technique.
PMID- 22083328
TI - Laparoscopic versus open distal pancreatectomy: a systematic review of
comparative studies.
AB - INTRODUCTION: The laparoscopic approach to distal pancreatectomy (DP) for benign
and malignant diseases appears to offer advantages and is replacing open surgery
in some centers. This review examined the evidence from published data of
comparative studies of laparoscopic versus open DP. METHODS: The Medline and
PubMed databases were searched and relevant English language publications were
systematically retrieved. Data were pooled by two independent reviewers. The
results shown represent mean. RESULTS: Up to December 2010, 13 comparative
studies of laparoscopic versus open DP were identified of which two were
excluded, leaving 503 and 588 patients respectively for analysis. The conversion
rate was 9.5%. The groups were comparable for age and sex, whilst open surgery
was associated with significantly higher incidence of malignant pathology (20.1
vs. 15.0%) and larger tumors (3.9 vs. 3.5 cm) compared with laparoscopic surgery.
There were no differences between the two approaches with regard to the operative
time (220 vs. 208 min), rate of postoperative pancreatic fistula (16.1 vs.
19.5%), and mortality (0.6 vs. 0.5%). However, the laparoscopic approach was
associated with significantly lower operative blood loss (237 vs. 562 ml), higher
spleen preservation rate (37.8 vs. 8%), lower morbidity (30.5 vs. 38.4%), and
shorter postoperative hospital stay (9.1 vs. 14.7 days). CONCLUSIONS: The
laparoscopic approach to DP offers advantages over open surgery with lower
operative morbidity, higher spleen preservation rate, and shorter hospital stay;
these benefits are particularly observed in patients with benign disease and
borderline malignancy. The experience with laparoscopic DP for malignant disease
remains limited, and long-term follow-up data are required to clearly define this
role.
PMID- 22083330
TI - Laparoscopic ventral hernia repair: does primary repair in addition to placement
of mesh decrease recurrence?
AB - BACKGROUND: The advent of laparoscopic ventral hernia repair (LVHR) not only
reduced the morbidity associated with open repair but also led to a decrease in
the hernia recurrence rate. However, the rate continues to remain significant.
METHODS: A retrospective observational study was conducted on 193 patients who
were treated with LVHR by two minimally invasive surgeons in a 24-month period.
The patient population was broadly divided into two groups based on the
laparoscopic repair of the fascial defect with mesh underlay, or with primary
suture repair and mesh underlay (PSR + MU). Patient demographics, rates of hernia
recurrence, and other associated complications were compared between the two
groups. Patient variables and the clinical outcomes were analyzed with
descriptive statistics and chi-square test. RESULTS: One hundred ninety-three
consecutive patients underwent LVHR for incisional (n = 136), umbilical (n = 44),
epigastric (n = 9), and parastomal (n = 4) hernia. Hernia recurrence was
documented in eight patients (4.1%). The mean follow-up period was 10.5 months
(range 1-36 months). Incisional hernias accounted for all eight recurrences. The
rate of recurrence in those treated with PSR + MU was 3% (two of 67 cases) in
comparison with 4.8% (six of 126 patients) associated with mesh alone. The rate
of recurrence in the recurrent hernia group, treated with mesh only, was 10.5%
(four of 38 patients) compared with 4.8% (one of 21 patients) in the PSR + MU
group. CONCLUSIONS: Primary laparoscopic repair along with mesh placement for the
management of ventral hernia was found to be effective in selected cases as
evidenced by the low rate of recurrence when compared with conventional
laparoscopic repair with mesh alone. Further retrospective and prospective
studies, with larger patient enrollment, are warranted to confirm the benefit of
this technique over traditional repair.
PMID- 22083331
TI - Intermediate results of a prospective randomized controlled trial of traditional
four-port laparoscopic cholecystectomy versus single-incision laparoscopic
cholecystectomy.
AB - BACKGROUND: Minimally invasive techniques have become an integral part of general
surgery, with recent investigation into single-incision laparoscopic
cholecystectomy (SILC). This study presents a prospective, randomized,
multicenter, single-blind trial of SILC compared with four-port cholecystectomy
(4PLC) with the goal of assessing safety, feasibility, and factors predicting
outcomes. METHODS: Patients with biliary colic and documented gallstones or
polyps or with biliary dyskinesia were randomized to SILC or 4PLC. Data measures
included operative details, adverse events, and conversion to 4PLC or laparotomy.
Pain, cosmesis, and quality-of-life scores were documented. Patients were
followed for 12 months. RESULTS: Two hundred patients were randomized to SILC (n
= 117) or 4PLC (n = 80) (3 patients chose not to participate after
randomization). Patients were similar except for body mass index (BMI), which was
lower in the SILC patients (28.9 vs. 31.0, p = 0.011). One SILC patient required
conversion to 4PLC. Operative time was longer for SILC (57 vs. 45 min, p <
0.0001), but outcomes, including total adverse events, were similar (34% vs. 38%,
p = 0.55). Cosmesis scores favored SILC (p < 0.002), but pain scores were lower
for 4PLC (1 point difference in 10-point scale, p < 0.028) despite equal
analgesia use. Wound complications were greater after SILC (10% vs. 3%, p =
0.047), but hernia recurrence was equivalent for both procedures (1.3% vs. 3.4%,
p = 0.65). Univariate analysis showed female gender, SILC, and younger age to be
predictors for increased pain scores, while SILC was associated with improved
cosmesis scores. CONCLUSIONS: In this multicenter randomized controlled trial of
SILC versus 4PLC, SILC appears to be safe with a similar biliary complication
profile. Pain scores and wound complication rates are higher for SILC; however,
cosmesis scores favored SILC. For patients preferring a better cosmetic outcome
and willing to accept possible increased postoperative pain, SILC offers a safe
alternative to the standard 4PLC. Further follow-up is needed to detail the long
term risk of wound morbidities, including hernia recurrence.
PMID- 22083333
TI - Trainees' adenoma detection rate is higher if >= 10 minutes is spent on
withdrawal during colonoscopy.
AB - BACKGROUND: It has been demonstrated that prolonged colonoscopic withdrawal times
(WT; >6 min) are beneficial for the adenoma detection rate (ADR) for experienced
endoscopists. There are little data, however, to guide the appropriate
colonoscopic withdrawal times for trainees. The purpose of this study was to
determine whether there is a relationship between WTs and ADR for first-year
fellows training in colonoscopy. METHODS: This is a prospective study of first
year gastroenterology fellows at a single academic teaching hospital who
documented each colonoscopy with a self-report form over the course of an
academic year (March 2010 to February 2011). The internal policy for the trainees
was to have at least a 6-min withdrawal time for each colonoscopy. RESULTS: Four
first-year fellows in gastroenterology at an academic medical center completed
self-reports for 1,210 colonoscopies. Mean WT was 10.2 +/- 3.4 min. The aggregate
polyp detection rate was 33.2% and the aggregate ADR was 22.3%. For colonoscopies
with WT < 10 min, ADR was 9.5%, and for colonoscopies with WT >= 10 min, ADR was
32.3% (p < 0.001). When the quality indicator of 25% goal ADR for males and 15%
goal ADR for females is applied, this aggregate rate is achieved for both sexes
for screening colonoscopies (n = 676) with WT >= 10 min. CONCLUSIONS: First-year
trainees had a significantly higher ADR if their colonoscopic WT is >= 10 min.
PMID- 22083332
TI - Testicular functions, chronic groin pain, and quality of life after laparoscopic
and open mesh repair of inguinal hernia: a prospective randomized controlled
trial.
AB - BACKGROUND: Laparoscopic inguinal hernia repair is still not the gold standard
for patients with inguinal hernia. The aim of this study was to compare
testicular dysfunction, incidence and factors influencing chronic groin pain, and
quality of life after laparoscopic and open mesh repair. METHODS: One hundred
twenty patients were studied in a prospective randomized trial. One hundred
seventeen patients completed the required follow-up, 60 following laparoscopic
repair and 57 following open repair. Testicular functions were assessed by
testicular volume, blood flow, and hormones, and quality of life was assessed
with Short Form 36 version 2 preoperatively and postoperatively at 3 months. Pain
was assessed at different time intervals preoperatively and postoperatively.
RESULTS: Preoperative profiles of both groups were well matched. A significant
decrease in testicular volume (p = 0.01) and less improvement in blood flow (p =
0.048) was seen after open repair. There was also a significant reduction in
serum testosterone level (p = 0.02) with a significant increase in FSH and LH
level (p < 0.001); however, there was no testicular atrophy. Incidence and
severity of chronic groin pain were significantly less after laparoscopic repair
during normal and strenuous activities, though they were similar to those after
open repair during rest after 3 months postoperatively. Age, preoperative pain,
pain at 1 week, and open repair were found to be independent risk factors for
chronic pain on multivariate analysis. Quality of life was significantly better
postoperatively in terms of physical functions, role physical, bodily pain, and
general health after laparoscopic repair. CONCLUSION: Laparoscopic repair seems
favorable in terms of better preservation of testicular functions, lower
incidence of acute and chronic groin pain, and significant improvement in quality
of life when compared to open repair. Younger age, preoperative pain, pain after
1 week postoperatively, and open mesh repair were found to be significant risk
factors for chronic groin pain.
PMID- 22083334
TI - Medical image analysis: computer-aided diagnosis of gastric cancer invasion on
endoscopic images.
AB - BACKGROUND: The aim of this study was to investigate the efficacy of diagnosing
depth of wall invasion of gastric cancer on endoscopic images using computer
aided pattern recognition. METHODS: The back propagation algorithm was used for
computer training. Data of 344 patients who underwent gastrectomy or endoscopic
tumor resection between 2001 and 2010 and their 902 endoscopic images were
collected. The images were divided into ten groups among which the number of
patients and images were almost equally distributed according to T staging. The
computer learning was performed using about 800 images from all but one group,
and the accuracy rate of diagnosing the depth of wall invasion of gastric cancer
was calculated using the remaining group of about 90 images. The various numbers
of input layers, hidden layers, and learning counts were updated, and the ideal
setting was decided. Similar learning and diagnostic procedures were repeated ten
times using every group and all 902 images were tested. The accuracy rate was
calculated based on the ideal setting. RESULTS: The most appropriate setting was
a resolution of 16 * 16, a hidden layer of 240, and a learning count of 50. In
the next step, using all the images on the ideal setting, the overall accuracy
rate was 64.7%. The diagnostic accuracy was 77.2, 49.1, 51.0, and 55.3% in the
T1, T2, T3, and T4 stagings, respectively. The accuracy was 68.9% in T1a(M)
staging and 63.6% in T1b(SM) staging. The positive predictive values were 80.1,
41.6, 51.4, and 55.8% in the T1, T2, T3, and T4 staging, respectively. It was
69.2% in T1a(M) staging and 68.3% in T1b(SM) staging. CONCLUSION: Computer-aided
diagnosis is useful for diagnosing depth of wall invasion of gastric cancer on
endoscopic images.
PMID- 22083335
TI - A review of the role of GERD-induced aspiration after lung transplantation.
AB - The increased prevalence of gastroesophageal reflux disease (GERD) in lung
transplantation patients has been established; however, many questions persist
regarding the relationship of GERD to aspiration and its potential to induce
pulmonary allograft failure. Moreover, the biological implications of aspiration
in lung transplantation have yet to be fully elucidated. The goal of this review
was to assess the relationship between GERD and aspiration, focusing on the role
of these events in the development of allograft injury after lung
transplantation.
PMID- 22083336
TI - Endoscopic ultrasound-guided endoscopic necrosectomy of the pancreas: is
irrigation necessary?
AB - BACKGROUND: Findings have shown endoscopic necrosectomy to be beneficial for
patients with symptomatic pancreatic necrosis accessible for an endoscopic
approach. The available studies show that endoscopic necrosectomy requires a
multitude of subsequent procedures including repeat irrigation for removal of the
necrotic material. This study aimed to investigate the need for additional
irrigation in patients with necrotizing pancreatitis treated by endoscopic
necrosectomy. METHODS: The study enrolled 35 consecutive patients (27 men) with a
median age of 59 years who had pancreatic necrosis treated with endoscopic
necrosectomy. Endoscopic ultrasound-guided internal drainage and consecutive
endoscopic necrosectomy was combined with interval multistenting of the cavity.
Neither endoscopic nor external irrigation was part of the procedure. RESULTS: An
average of 6.2 endoscopy sessions per patient were needed for access,
necrosectomy, and stent management. The in-hospital mortality rate was 6% (2/35),
including one procedure-related death resulting from postinterventional
aspiration. The immediate morbidity rate was 9% (3/35). It was possible to
achieve clinical remission for all the surviving patients with no additional
surgery needed for management of the necroses. The median follow-up period was 23
months. CONCLUSION: Neither endoscopic nor external flushing is needed for
successful endoscopic treatment of symptomatic necroses. Even without irrigation,
the outcome for patients treated with endoscopic necrosectomy is comparable to
that described in the published data.
PMID- 22083337
TI - Possible mortality reduction by endoscopic sphincterotomy during endoscopic
retrograde cholangiopancreatography: a population-based case-control study.
AB - BACKGROUND: Endoscopic retrograde cholangiopancreatography (ERCP) is widely used
for young patients, but ERCP and endoscopic sphincterotomy in particular are
reported to be associated with increased complication and mortality rates. This
study aimed to calculate mortality and to identify risk factors for death within
90 days after ERCP for nonmalignant disease. METHODS: From the Swedish Hospital
Discharge Registry, the authors identified all individuals in Stockholm County
who had undergone in-patient ERCP during 1990-2003. Among these individuals, they
excluded those recorded in the Swedish Cancer Registry as having a diagnosis of
malignancy in the liver, pancreas, or bile ducts. Cases, defined as patients who
had died within 90 days after the procedure, were identified by cross-linkage to
the causes of death registry. Control subjects were randomly sampled from the
same cohort. The medical records were studied to discern risk factors for death
after ERCP. RESULTS: The mortality rate was 1.6%. Advanced age, severe
comorbidity, high complexity of the procedure, and occurrence of a complication
were associated with death within 90 days, whereas a previous cholecystectomy or
the simultaneous performance of an endoscopic sphincterotomy reduced the risk.
CONCLUSIONS: Old age and comorbidity are the main risk factors for death after
ERCP, but a complex procedure or the occurrence of a complication also seems to
increase short-term mortality. The performance of a sphincterotomy may reduce the
risk of death, possibly by facilitating adequate drainage. A previous
cholecystectomy also may decrease the risk of death after ERCP.
PMID- 22083338
TI - Robot-assisted total gastrectomy is comparable with laparoscopically assisted
total gastrectomy for early gastric cancer.
AB - BACKGROUND: Laparoscopically assisted total gastrectomy (LATG) is technically
difficult. Robot surgery has theoretical advantages such as increased degrees of
freedom of instruments and a three-dimensional view. The current study aimed to
determine whether a robot-assisted total gastrectomy (RATG) has a real benefit
over LATG in terms of surgical and oncologic outcomes. METHODS: A single-center
case-control study was conducted. The study included 36 patients who underwent
RATG and 65 patients who underwent LATG at the National Cancer Center in Korea
between February 2009 and May 2011. No patients were excluded from the analysis
within the study period. Clinicopathologic data, operative data, postoperative
morbidity, and pathologic data were analyzed by Student's t-tests and Chi-square
tests, as indicated. RESULTS: The mean age of the patients was 53.9 +/- 11.7
years in the RATG group and 56.9 +/- 12.3 years in the LATG group (P = 0.236).
The mean BMI was 23.2 +/- 2.5 kg/m(2) in the RATG group and 23.6 +/- 3.4 kg/m(2)
in the LATG group (P = 0.494). The mean postoperative hospital stay was 8.8 +/-
3.3 days in the RATG group and 10.3 +/- 10.8 days in the LATG group (P = 0.416).
The mean operative time was 305.8 +/- 115.8 min in the RATG group and 210.2 +/-
57.7 min in the LATG group (P < 0.001). The mean number of dissected lymph nodes
was 42.8 +/- 12.7 in the RATG group and 39.4 +/- 13.4 in the LATG group (P =
0.209). Postoperative complications were experienced by 6 patients (16.7%) in the
RATG group and 10 patients (15.4%) in the LATG group (P = 0.866). CONCLUSION:
Despite early experiences, RATG was shown to be comparable with LATG in terms of
surgical and oncologic outcomes. However, no apparent benefit is associated with
RATG to date.
PMID- 22083339
TI - The safety of biologic mesh for laparoscopic repair of large, complicated hiatal
hernia.
AB - BACKGROUND: Biologic mesh is widely used for repair of large, complicated hiatal
hernias. Recently, there have been reports of complications after its
implantation. We studied the course of a large group of patients who had
undergone hiatal hernia repair with use of biologic mesh to determine the rate of
immediate and late complications related to its use. METHODS: All patients who
had biologic mesh placed at the hiatus and who had been followed for at least 1
year were included. Perioperative data were reviewed, and a questionnaire was
administered, designed to identify symptoms of gastroesophageal reflux, other
symptoms such as dysphagia, and all other operative or endoscopic interventions
that occurred after mesh implantation. In addition, postoperative radiologic and
endoscopic studies were reviewed to assess signs of complications related to use
of mesh. RESULTS: There were 126 patients eligible for the study. We were able to
contact 73 of these patients, at median follow-up of 45 months. No mesh-related
complications were found. The frequency and severity of heartburn, regurgitation,
and dysphagia improved significantly compared with preoperative values, and 89%
of the patients reported good to excellent results in terms of overall
satisfaction. Six patients recorded worsening of dysphagia postoperatively, but
after careful work-up and review of each individual case, no case seemed to be
directly related to the mesh. No erosions, strictures, or other complications
directly related to use of mesh were found. One patient required reoperation due
to hiatal hernia recurrence with gastroesophageal reflux disease (GERD) symptoms.
CONCLUSIONS: Use of biologic mesh for laparoscopic repair of large, complicated
hiatal hernias appears safe. There were no major complications related to the
mesh, and overall satisfaction with the operation was very good.
PMID- 22083341
TI - Measuring sensitivity to conflicts of interest: a preliminary test of method.
AB - This study presents and develops test methods for assessing sensitivity to
conflict of interest (COIsen). We are aware of no study assessing COIsen, but
note that some popular methods for assessing ethical sensitivity and related
constructs (which include COIsen) are flawed in that their presentation of
stimulus material to subjects actually guides subjects to attend to ethical (or
related) issues. The method tested here was designed to avoid this flaw. Using
adaptations of two existing cases, a quota sample of 12 students was interviewed.
Our method used funnel-sequenced, open-ended interviews that were audiotaped and
transcribed, then subjected to a form of cognitive mapping. These maps revealed
the presence of "indicators" of COIsen. We found that COIsen can be measured and
that the global COIsen score generated by our method is able to reveal much
variation across subjects, making it a worthwhile candidate for further
consideration.
PMID- 22083340
TI - Three new sensitive and specific heat-shock protein 70 PCRs for global Leishmania
species identification.
AB - The heat-shock protein 70 gene (hsp70) has been exploited for Leishmania species
identification in the New and Old World, using PCR followed by restriction
fragment length polymorphism (RFLP) analysis. Current PCR presents limitations in
terms of sensitivity, which hampers its use for analyzing clinical and biological
samples, and specificity, which makes it inappropriate to discriminate between
Leishmania and other trypanosomatids. The aim of the study was to improve the
sensitivity and specificity of a previously reported hsp70 PCR using alternative
PCR primers and RFLPs. Following in silico analysis of available sequences, three
new PCR primer sets and restriction digest schemes were tested on a globally
representative panel of 114 Leishmania strains, various other infectious agents,
and clinical samples. The largest new PCR fragment retained the discriminatory
power from RFLP, while two smaller fragments discriminated less species. The
detection limit of the new PCRs was between 0.05 and 0.5 parasite genomes, they
amplified clinical samples more efficiently, and were Leishmania specific. We
succeeded in significantly improving the specificity and sensitivity of the PCRs
for hsp70 Leishmania species typing. The improved PCR-RFLP assays can impact
diagnosis, treatment, and epidemiological studies of leishmaniasis in any setting
worldwide.
PMID- 22083342
TI - Arsenic toxicity in a sediment-dwelling polychaete: detoxification and arsenic
metabolism.
AB - The accumulation, subcellular distribution and speciation of arsenic in the
polychaete Arenicola marina were investigated under different laboratory exposure
conditions representing a range of metal bioavailabilities, to gain an insight
into the physiological mechanisms of how A. marina handles bioaccumulated arsenic
and to improve our understanding of the potential ecotoxicological significance
of bioaccumulated arsenic in this deposit-feeder. The exposure conditions
included exposure to sublethal concentrations of dissolved arsenate, exposure to
sublethal concentrations of sediment-bound metal mining mixtures, and exposure to
lethal concentrations of sediment-bound metal mining mixtures and arsenic- and
multiple metal-spiked sediments. The sub-lethal exposures indicate that arsenic
bioaccumulated by the deposit-feeding polychaete A. marina is stored in the
cytosol as heat stable proteins (~50%) including metallothioneins, possibly as As
(III)-thiol complexes. The remaining arsenic is mainly accumulated in the
fraction containing cellular debris (~20%), with decreasing proportions
accumulated in the metal-rich granules, organelles and heat-sensitive proteins
fractions. A biological detoxified metal compartment including heat stable
proteins and the fraction containing metal-rich granules is capable of binding
arsenic coming into the cells at a constant rate under sublethal arsenic
bioavailabilities. The remaining arsenic entering the cell is bound loosely into
the cellular debris fraction, which can be subsequently released and diverted to
an expanding detoxified pool. Our results suggest that a metal sensitive
compartment comprising the cellular debris, enzymes and organelles fractions may
be more representative of the toxic effects observed.
PMID- 22083343
TI - Labelling of granulocytes by phagocytic engulfment with 64Cu-labelled chitosan
coated magnetic nanoparticles.
AB - PURPOSE: The aim of the present work was to perform the labelling of granulocytes
by their engulfment with chitosan-coated magnetic (64)Cu nanoparticles (MNPs) in
order to obtain a radiopharmaceutical suitable for dual imaging (PET-MRI) of
inflammatory/infective diseases. PROCEDURES: Specimens of 5-20 mg MNPs were
washed with saline-isotonic solution and recuperated by magnetic decantation; 15
58 MUg Cu(2+) (CuCl(2).H(2)O) in 50 MUl of acidified (pH 5.5) saline solution was
added to the MNPs re-suspended saline-isotonic solution; 10 mg MNPs was allowed
to react with 16 MUg (64)Cu [(64)Ni(p,n) at 12-9 MeV] followed by anion exchange
chromatography with a specific activity of 56 MBq/MUg. Pellets of granulocytes
were obtained from peripheral blood; MNPs engulfment by granulocytes was obtained
and granulocyte-engulfed viability was assessed by the trypan blue exclusion
(TBE) test performed at 5 min, 2 h and 4 h; assessment of the release of (64)Cu
from labelled granulocytes in plasma was performed by measuring the radioactivity
of both the cellular pellet and the supernatant solution. RESULTS: Our data
showed the binding capacity of chitosan-coated MNPs for cationic metal. The
amount of Cu(+2) chelated captured per milligram of MNPs was constant and
independent of the reagent concentrations. In all cases, more than 90% of the
engulfed granulocytes were positive to the TBE test. The MNPs were localised
within the cells. CONCLUSION: In our in vitro model, MNPs are taken up by
granulocytes through phagocytosis, whereas previously described methods were
based on the use of a chelating agent that permit Cu to cross the cell membrane.
Moreover, the (64)Cu-engulfed granulocytes showed a high stability of up to 80%
of retained radioactivity after 24 h of incubation.
PMID- 22083344
TI - Discrimination, family relationships, and major depression among Asian Americans.
AB - Depression represents a growing concern among Asian Americans. This study
examined whether discrimination and family dynamics are associated with
depression in this population. Weighted logistic regressions using nationally
representative data on Asian American adults (N = 2095) were used to examine
associations between discrimination, negative interactions with relatives, family
support, and 12-month major depressive disorder (MDD). Discrimination (odds ratio
[OR] = 2.13, 95% confidence interval [CI] = 1.67, 2.71) and negative interactions
with relatives (OR = 1.28, 95% CI = 1.03, 1.58) were positively associated with
MDD. Family support was associated with lower MDD (OR = 0.73, 95% CI = 0.59,
0.89), and buffered lower levels of discrimination. Results suggest that
discrimination may have negative mental health implications, and also point to
the importance of family relationships for depression among Asian Americans.
Findings suggest that providers may consider stress experienced at multiple
ecological levels to address Asian American mental health needs.
PMID- 22083346
TI - MicroRNA regulation in cancer-associated fibroblasts.
AB - The microenvironment of cancer cells has proven to be a critical component of
tumors that strongly influences cancer development and progression into invasive
and metastatic disease. Compared to normal tissue, dramatic differences in gene
expression occur in multiple cell types that constitute the tumor
microenvironment including cancer-associated fibroblasts (CAFs) that are
important stromal components of growing tumors. In this review, we present recent
advances in understanding how microRNAs are deregulated in cancer-associated
fibroblasts (CAFs) and how this affects tumor biology. The microRNA signature of
CAFs is discussed with respect to their functional relevance to tumor cells as
well as other cell types involved in tumor homeostasis.
PMID- 22083345
TI - Immunotherapy with IL-10- and IFN-gamma-producing CD4 effector cells modulate
"Natural" and "Inducible" CD4 TReg cell subpopulation levels: observations in
four cases of patients with ovarian cancer.
AB - Adoptive T cell therapy for cancer patients optimally requires participation of
CD4 T cells. In this phase I/II study, we assessed the therapeutic effects of
adoptively transferred IL-10- and IFN-gamma-producing CD4 effector cells in
patients with recurrent ovarian cancer. Using MUC1 peptide and IL-2 for ex vivo
CD4 effector cell generation, we show that three monthly treatment cycles of
autologous T cell restimulation and local intraperitoneal re-infusion-modulated T
cell-mediated immune responses that were associated with enhanced patient
survival. One patient remains disease-free, another patient experienced prolonged
survival for nearly 16 months with recurrent disease, and two patients expired
within 3-5 months following final infusion. Prolonged survivors showed elevated
levels of systemic CD3(+)CD4(+)CD25(+) and CD3(+)CD4(+)CD25(-) T cells when
compared to that of pre-treatment levels and similarly treated short-term
survivors. Such cell populations among these patients contained variable levels
of "Inducible" Tr1 (CD4(+)CD25(-)FoxP3(-)IL-10(+)) and "Natural"
(CD4(+)CD25(+)CD45RO(+)FoxP3(+)) TReg cell numbers and ratios that were
associated with prolonged and/or disease-free survival. Moreover, peptide
restimulated T cells from these patients showed an elevation in both IFN-gamma
production, memory cell phenotype, and select TNF family ligands associated with
enhanced T cell survival and apoptosis-inducing activities. This suggests that
intraperitoneally administered Th1-like cells, producing elevated levels of IL
10, may require and/or induce differential levels of distinct systemic TReg
subpopulations that influence, in part, long-term tumor immunity and enhanced
memory/effector CD4-mediated therapeutic potentials. Furthermore, treatment
efficacy and enhanced memory cell phenotype did not appear to be dependent on
TReg cell numbers but upon ratios of "Inducible" and "Natural" TReg
subpopulations.
PMID- 22083347
TI - Calreticulin as a potential diagnostic biomarker for lung cancer.
AB - Calreticulin (CRT) is an endoplasmic reticulum luminal Ca(2+)-binding chaperone
protein. By immunizing mice with recombinant fragment (rCRT/39-272), six clones
of monoclonal antibodies (mAbs) were generated and characterized. Based on these
mAbs, a microplate chemiluminescent enzyme immunoassay (CLEIA) system with a
measured limit of detection of 0.09 ng/ml was developed. Using this CLEIA system,
it was found that soluble CRT (sCRT) level in serum samples from 58 lung cancer
patients was significantly higher than that from 40 healthy individuals (only 9
were detectable, P < 0.0001). Among them, serum sCRT in the small cell lung
cancer was lower than that in adenocarcinoma (P = 0.0085), while both were lower
than that in the squamous cell carcinoma (P = 0.013, P = 0.0012, respectively).
Moreover, it was found that sCRT in sera from the patients after chemotherapy was
higher than that from the patients without chemotherapy (P = 0.042). Further
study by immunohistochemistry showed that CRT was also highly expressed in the
cytoplasm and on the membrane of the lung cancer cells, while there was a trace
amount of CRT expression in normal lung cells. Correspondingly, the expression
level of CRT on lung cancer cell membrane was associated with the tumor
pathological grade. This study demonstrates that sCRT concentration in sera of
lung cancer patients is higher than that in sera of healthy individuals, and CRT
expression level on lung cancer cell membrane is associated with tumor
pathological classification and grade. These findings suggest that CRT may be
used as a biomarker in lung cancer prediction and diagnosis.
PMID- 22083348
TI - [Certification of colorectal cancer units -- a critical overview on the basis of
unsettled aspects].
AB - In this contribution the rational of colorectal cancer centre certification is
discussed on the basis of previously published literature and several unsettled
factors which are not yet considered as influencing the quality of cancer
treatment. The representation of the theme cannot be comprehensive or complete.
The readers should be stimulated by one or the other topic to reach their own
critical assessment. Due to several limitations of the published literature and
the various unsettled aspects, the purpose of colorectal cancer centre
certification is called into question.
PMID- 22083349
TI - [Left renal vein - optional collateral damage in aortic aneurysm surgery?].
PMID- 22083350
TI - [Anorectal melanoma--a retrospective analysis of seven cases].
PMID- 22083351
TI - A genomic approach to predict synergistic combinations for breast cancer
treatment.
AB - We leverage genomic and biochemical data to identify synergistic drug regimens
for breast cancer. In order to study the mechanism of the histone deacetylase
(HDAC) inhibitors valproic acid (VPA) and suberoylanilide hydroxamic acid (SAHA)
in breast cancer, we generated and validated genomic profiles of drug response
using a series of breast cancer cell lines sensitive to each drug. These genomic
profiles were then used to model drug response in human breast tumors and show
significant correlation between VPA and SAHA response profiles in multiple breast
tumor data sets, highlighting their similar mechanism of action. The genes
deregulated by VPA and SAHA converge on the cell cycle pathway (Bayes factor 5.21
and 5.94, respectively; P-value 10(-8.6) and 10(-9), respectively). In
particular, VPA and SAHA upregulate key cyclin-dependent kinase (CDK) inhibitors.
In two independent datasets, cancer cells treated with CDK inhibitors have
similar gene expression profile changes to the cellular response to HDAC
inhibitors. Together, these results led us to hypothesize that VPA and SAHA may
interact synergistically with CDK inhibitors such as PD-033299. Experiments show
that HDAC and CDK inhibitors have statistically significant synergy in both
breast cancer cell lines and primary 3-dimensional cultures of cells from pleural
effusions of patients. Therefore, synergistic relationships between HDAC and CDK
inhibitors may provide an effective combinatorial regimen for breast cancer.
Importantly, these studies provide an example of how genomic analysis of drug
response profiles can be used to design rational drug combinations for cancer
treatment.
PMID- 22083352
TI - In situ controlled growth of well-dispersed gold nanoparticles in TiO2 nanotube
arrays as recyclable substrates for surface-enhanced Raman scattering.
AB - In this paper, well-aligned Au-decorated TiO(2) nanotube arrays with high surface
enhanced Raman scattering (SERS) enhancement were prepared using a facile in situ
reduction and controlled growth approach. The gold nanoparticles are well
dispersed and assembled on the mouth surface and the inside of the TiO(2)
nanotubes without clogging. The structure and optical properties of the Au
decorated TiO(2) nanotube arrays have been characterized. The Au-decorated TiO(2)
nanotube arrays were employed as SERS-active substrates, which exhibit good
performance due to long-range coupling between Au nanoparticles, and TiO(2)
assisted enhanced charge-transfer from Au to Rh6G. The SERS activity of the
substrates strongly depends on the crystallite size and level of aggregation. The
substrates display significant fluorescence quenching ability and uniform SERS
responses throughout the whole surface area. Particularly, good recyclability is
shown. The photocatalytic property of Au-decorated TiO(2) nanotube array was
exploited to recycle the substrate through UV light photocatalytic purification.
The experimental results showed that the substrate is featured by high
reproducibility and can be used as a highly efficient SERS substrate for multiple
detection of different chemical and biological molecules.
PMID- 22083353
TI - Enantioselective organocatalytic fluorination using organofluoro nucleophiles.
AB - Synthetic fluorinated compounds are enormously useful in areas such as materials,
agrochemicals, pharmaceuticals and fine chemicals. While methods of electrophilic
fluorination have been extensively developed to stereoselectively install
fluorine atoms onto molecules, nucleophilic fluorination is a much less explored
approach. Recently, several organofluoro reagents have been designed and used as
nucleophiles in the asymmetric synthesis of fluorinated compounds, significantly
expanding the scope of enantio-enriched fluorine-containing compounds that can be
synthesised. Such organofluoro nucleophiles are particularly useful in
organocatalytic transformations. In this review, recent advances in the
application of organofluoro nucleophiles in organocatalysis are summarised.
PMID- 22083354
TI - Molecular sequence variations of the lipoxygenase-2 gene in soybean.
AB - Soybean lipoxygenase genes comprise a multi-gene family, with the seed
lipoxygenase isozymes LOX1, LOX2, and LOX3 present in soybean seeds. Among these,
the LOX2 isozyme is primarily responsible for the "beany" flavor of most soybean
seeds. The variety, Jinpumkong 2, having null alleles (lx1, lx2, and lx3) lacks
the three seed lipoxygenases; so, sequence variations between the lipoxygenase-2
genes of Pureunkong (Lx2) and Jinpumkong 2 (lx2) cultivars were examined. One
indel, four single nucleotide polymorphisms (SNPs), a 175-bp fragment in the 5'
flanking sequence, and a missense mutation within the coding region were found in
Jinpumkong 2. The distribution of the sequence variations was investigated among
90 recombinant inbred lines (RILs) derived from a cross of Pureunkong *
Jinpumkong 2 and in 480 germplasm accessions with various origins and maturity
groups. Evidence for a genetic bottleneck was observed: the 175-bp fragment was
rare in Glycine max, but present in the majority of the G. soja accessions.
Furthermore, the 175-bp fragment was not detected in the 5' upstream region of
the Lx2 gene on chromosome (Chr) 13 in Williams 82; instead, a similar 175-bp
fragment was positioned in the homeologous region on Chr 15. The findings
indicated that the novel fragment identified was originally present in the Lx2
region prior to the recent genome duplication in soybean, but became rare in the
G. max gene pool. The missense mutation of the conserved histidine residue of the
lx2 allele was developed into a single nucleotide-amplified polymorphism (SNAP)
marker. The missense mutation showed a perfect correlation with the LOX2-lacking
phenotype, so the SNAP marker is expected to facilitate breeding of soybean
cultivars which lack the LOX2 isozyme.
PMID- 22083355
TI - Intron-length polymorphism identifies a Y2K4 dehydrin variant linked to superior
freezing tolerance in alfalfa.
AB - Breeding alfalfa (Medicago sativa L.) with superior freezing tolerance could be
accelerated by the identification of molecular markers associated to that trait.
Dehydrins are a group of highly hydrophilic proteins that have been related to
low temperature tolerance. We previously identified a dehydrin restriction
fragment length polymorphism (RFLP) among populations recurrently selected for
superior tolerance to freezing (TF). Analysis of crosses between genotypes with
(D+) or without (D-) that RFLP revealed a significant impact on freezing
tolerance. In this study, we sought to develop a PCR marker for freezing
tolerance based on prior evidence of a relationship between size variation in
Y(2)K(4) dehydrins and the RFLP. Results confirm the enrichment of Y(2)K(4)
sequences of intermediate size (G2 group) in response to recurrent selection and
in the D+ progeny. Analysis of genomic sequences revealed significant intron
length polymorphism (ILP) within the G2 group. G2 sequences with a characteristic
short intron were more frequently found in D+ genotypes. Amplification using
sequence-characterized amplified region (SCAR) primers bordering the intron
confirmed an increase in the number of fragments with small introns in the D+
progeny and in the ATF5 population obtained after five cycles of recurrent
selection for superior TF within the cultivar Apica (ATF0). Conversely, there was
a reduction in the number of fragments with long introns in the D+ progeny and in
ATF5 as compared to ATF0. Recurrent selection for superior tolerance to freezing
in combination with ILP identified a sequence variant of Y(2)K(4) dehydrins
associated to the phenotypic response to selection.
PMID- 22083356
TI - Identifying novel QTLs for submergence tolerance in rice cultivars IR72 and
Madabaru.
AB - Short-term submergence is a recurring problem in many rice production areas. The
SUB1 gene, derived from the tolerant variety FR13A, has been transferred to a
number of widely grown varieties, allowing them to withstand complete submergence
for up to 2 weeks. However, in areas where longer-term submergence occurs,
improved varieties having higher tolerance levels are needed. To search for novel
quantitative trait loci (QTLs) from other donors, an F(2:3) population between
IR72 and Madabaru, both moderately tolerant varieties, was investigated. After a
repeated phenotyping of 466 families under submergence stress, a subset of 80
families selected from the two extreme phenotypic tails was used for the QTL
analysis. Phenotypic data showed transgressive segregation, with several families
having an even higher survival rate than the FR13A-derived tolerant check
(IR40931). Four QTLs were identified on chromosomes 1, 2, 9, and 12; the largest
QTL on chromosome 1 had a LOD score of 11.2 and R (2) of 52.3%. A QTL mapping to
the SUB1 region on chromosome 9, with a LOD score of 3.6 and R (2) of 18.6%, had
the tolerant allele from Madabaru, while the other three QTLs had tolerant
alleles from IR72. The identification of three non-SUB1 QTLs from IR72 suggests
that an alternative pathway may be present in this variety that is independent of
the ethylene-dependent pathway mediated by the SUB1A gene. These novel QTLs can
be combined with SUB1 using marker assisted backcrossing in an effort to enhance
the level of submergence tolerance for flood-prone areas.
PMID- 22083357
TI - Feasibility of three-dimensional fluid-attenuated inversion recovery magnetic
resonance imaging as a prognostic factor in patients with sudden hearing loss.
AB - The aim of this study was to confirm the feasibility of high signal on three
dimensional fluid-attenuated inversion recovery magnetic resonance imaging (3D
FLAIR MRI) as one of the prognostic factors in recovery of sudden idiopathic
hearing loss. A retrospective study was conducted using patients who were
diagnosed with unilateral sudden idiopathic hearing loss from January 2008 to
December 2010. A total of 120 patients were enrolled in for this study. High
intensity signal in the inner ear on precontrast 3D FLAIR MRI was observed in 31
patients (25.8%; FHS) and labyrinthine enhancement was not observed in another 89
patients (FNS; 74.2%). There was no significant difference in patients'
characteristics between two groups except final hearing. Final puretone average
of the FHS group was 49.4 dB, significantly worse than FNS group's 36.7 dB (p =
0.037 < 0.05). Final hearing was related to initial hearing, accompanying
dizziness, and abnormal auditory brainstem response result by multiple regression
analysis. However, presence of high-intensity signal on precontrast 3D FLAIR MRI
did not affect final hearing significantly. Significant difference due to the
presence of dizziness in final hearing was observed in whole patients and in the
FHS group, whereas no significant difference in final hearing was observed in FNS
group. (p = 0.063 > 0.05). From these findings, the presence of high-intensity
signal on 3D FLAIR MRI is a subfactor related to dizziness rather than a single
poor prognostic factor and the absence of high-intensity signal on 3D FLAIR MRI
can possibly imply relative good prognosis.
PMID- 22083358
TI - The relationship between Bell's palsy and morphometric aspects of the facial
nerve.
AB - Epidemiological data of Bell's palsy (BP) have been reported. For example, the
annual incidence of BP is 15-30 per 100,000 persons, with equal numbers of men
and women affected, and there is no predilection for either side of the face.
However, details of the relationship between BP and morphometric aspects of the
facial nerve have not been available in textbooks. We performed a morphometric
analysis of human facial nerve fibers and estimated the total number of
myelinated axons (TN) and average transverse area of myelinated axons (ATA). The
facial nerve showed a significant decrease of TN with increasing age (r = -0.77;
p < 0.01), but showed no significant changes of ATA with age (r = -0.01; p =
0.96). We supposed that the TN decrease with age was a factor in the delayed
recovery from BP seen in the elderly. Moreover, the TN and ATA showed no
significant differences between female and male specimens (p < 0.05), or between
the right and left side specimens (p < 0.05). Our present results seem to explain
the absence of significant sex and affected side differences in BP.
PMID- 22083360
TI - Assessing somatic hypermutation in Ramos B cells after overexpression or
knockdown of specific genes.
AB - B cells start their life with low affinity antibodies generated by V(D)J
recombination. However, upon detecting a pathogen, the variable (V) region of an
immunoglobulin (Ig) gene is mutated approximately 100,000-fold more than the rest
of the genome through somatic hypermutation (SHM), resulting in high affinity
antibodies. In addition, class switch recombination (CSR) produces antibodies
with different effector functions depending on the kind of immune response that
is needed for a particular pathogen. Both CSR and SHM are initiated by activation
induced cytidine deaminase (AID), which deaminates cytosine residues in DNA to
produce uracils. These uracils are processed by error-prone forms of repair
pathways, eventually leading to mutations and recombination. Our current
understanding of the molecular details of SHM and CSR come from a combination of
studies in mice, primary cells, cell lines, and cell-free experiments. Mouse
models remain the gold standard with genetic knockouts showing critical roles for
many repair factors (e.g. Ung, Msh2, Msh6, Exo1, and polymerase eta). However,
not all genes are amenable for knockout studies. For example, knockouts of
several double-strand break repair proteins are embryonically lethal or impair B
cell development. Moreover, sometimes the specific function of a protein in SHM
or CSR may be masked by more global defects caused by the knockout. In addition,
since experiments in mice can be lengthy, altering expression of individual genes
in cell lines has become an increasingly popular first step to identifying and
characterizing candidate genes. Ramos - a Burkitt lymphoma cell line that
constitutively undergoes SHM - has been a popular cell-line model to study SHM.
One advantage of Ramos cells is that they have a built-in convenient semi
quantitative measure of SHM. Wild type cells express IgM and, as they pick up
mutations, some of the mutations knock out IgM expression. Therefore, assaying
IgM loss by fluorescence-activated cell scanning (FACS) provides a quick read-out
for the level of SHM. A more quantitative measurement of SHM can be obtained by
directly sequencing the antibody genes. Since Ramos cells are difficult to
transfect, we produce stable derivatives that have increased or lowered
expression of an individual gene by infecting cells with retroviral or lentiviral
constructs that contain either an overexpression cassette or a short hairpin RNA
(shRNA), respectively. Here, we describe how we infect Ramos cells and then use
these cells to investigate the role of specific genes on SHM (Figure 1).
PMID- 22083361
TI - Does lengthening and then plating (LAP) shorten duration of external fixation?
AB - BACKGROUND: Classic bone lengthening requires patients wear external fixation for
the distraction and consolidation phases and there is fracture risk after frame
removal. Our technique of lengthening with the Taylor Spatial Frame(TM) and then
insertion of a locked plate allows earlier removal of the external fixator during
consolidation. Plate insertion is accomplished through a clean pin-free zone
avoiding contamination and before frame removal maintaining bone position.
QUESTIONS/PURPOSES: We asked (1) whether lengthening and then plating (LAP)
decrease the time for external fixation (2) how alignment and complications
compare with those of the classic method. METHODS: We performed a retrospective
case-matched comparison between LAP and the classic technique with 27 extremities
in each group. We compared time wearing the frame, bone healing index, external
fixation index, joint ROM, alignment, and complications. RESULTS: The time
wearing the frame and external fixation index were lower in the LAP group (4.5
versus 6.2 months and 1.5 versus 2 months/cm). Deviation from normal alignment
was observed in seven and six patients in the LAP and classic group,
respectively. Varus malalignment in two patients in the LAP group was associated
with plate breakage. The incidence of pin-tract infection was greater in the
classic group (12 versus two). No deep infections occurred in the LAP group.
CONCLUSIONS: The LAP technique shortened the time patients wore the external
fixator but was associated with a high incidence of varus deformity. Stronger
plates may help prevent deformity and allow earlier removal of the frame. LEVEL
OF EVIDENCE: Level III, therapeutic study (retrospective study). See the
Guidelines for Authors for a complete description of levels of evidence.
PMID- 22083362
TI - Hyperkalaemia in a female patient with systemic lupus erythematosus.
PMID- 22083364
TI - Henoch-Schonlein nephritis with nephrotic state in children: predictors of poor
outcomes.
PMID- 22083365
TI - Molecular Adsorbents Recirculating System dialysis in children with cholestatic
pruritus.
AB - BACKGROUND: Cholestatic pruritus may severely compromise quality of life. The
Molecular Adsorbents Recirculating System (MARS) allows removal of pruritogenic
substances without exposure to foreign proteins. Pediatric data, however, are
scant. METHODS: We retrospectively analyzed the efficacy of MARS in three boys
with severe cholestatic pruritus. They received a total of 135 MARS sessions
during 8, 4, and 13 months prior to liver transplantation. Total serum bilirubin
and bile acids were monitored, and pruritus was assessed by a numerical rating
scale (NRS 0 = no pruritus, 10 = maximal pruritus). RESULTS: MARS sessions were
initially performed three times weekly at a mean duration of 6.3 +/- 1.4 h.
Sessions could be reduced to once weekly and once every other week in two
patients. Pre-MARS plasma bile acid concentrations averaged 207 +/- 67 MUmol/l.
They declined to 67 +/- 9%, 48 +/- 3%, 38 +/- 14%, and 37 +/- 5% of baseline
within 2, 4, 6 and 8 h of therapy, respectively (all p < 0.05). The average
interdialytic increase of plasma bile acids was 34 +/- 33 MUmol/l per day. Mean
NRS score decreased from 6.5 +/- 2.3 to 3.3 +/- 2.9 (p < 0.01). Skin lesions from
itching disappeared. All MARS treatments were well tolerated. CONCLUSION: MARS
dialysis substantially reduces cholestatic pruritus in children refractory to
pharmacological treatment.
PMID- 22083366
TI - Individually customised fetal weight charts derived from ultrasound measurements:
the Generation R Study.
AB - Maternal and fetal characteristics are important determinants of fetal growth
potential, and should ideally be taken into consideration when evaluating fetal
growth variation. We developed a model for individually customised growth charts
for estimated fetal weight, which takes into account physiological maternal and
fetal characteristics known at the start of pregnancy. We used fetal ultrasound
data of 8,162 pregnant women participating in the Generation R Study, a
prospective, population-based cohort study from early pregnancy onwards. A
repeated measurements regression model was constructed, using backward selection
procedures for identifying relevant maternal and fetal characteristics. The final
model for estimating expected fetal weight included gestational age, fetal sex,
parity, ethnicity, maternal age, height and weight. Using this model, we
developed individually customised growth charts, and their corresponding standard
deviations, for fetal weight from 18 weeks onwards. Of the total of 495 fetuses
who were classified as small size for gestational age (<10th percentile) when
fetal weight was evaluated using the normal population growth chart, 80 (16%)
were in the normal range when individually customised growth charts were used.
550 fetuses were classified as small size for gestational age using individually
customised growth charts, and 135 of them (25%) were classified as normal if the
unadjusted reference chart was used. In conclusion, this is the first study using
ultrasound measurements in a large population-based study to fit a model to
construct individually customised growth charts, taking into account
physiological maternal and fetal characteristics. These charts might be useful
for use in epidemiological studies and in clinical practice.
PMID- 22083368
TI - Development of a minimally invasive laser needle system: effects on cortical bone
of osteoporotic mice.
AB - Many studies have shown the positive effects of low-level laser therapy in the
treatment of bone disease. However, laser radiation is scattered in the skin
surface which reduces the initial photon density for tissue penetration and
consequently the therapeutic efficacy. We developed a minimally invasive laser
needle system (MILNS) to avoid laser scattering in tissue and investigated its
stimulatory effects in the cortical bone of osteoporotic mice. The MILNS was
designed to stimulate cortical bone directly by employing fine hollow needles to
guide 100 MUm optical fibers. The study animals comprised 12 mice which were
subjected to sciatic denervation of the right hind limb and were randomly divided
into two groups, a sham group and a laser group which were treated using the
MILNS for 2 weeks without and with laser irradiation, respectively. In vivo micro
CT images were taken to analyze the structural parameters and bone mineral
density. After 2 weeks of treatment with the MILNS, the relative changes in mean
polar moment inertia, cross-section thickness, and periosteal perimeter were
significantly higher in the laser group than in the sham group. Moreover, the
distribution of bone mineral density index was higher in the laser group. The
MILNS was developed as a minimally invasive treatment modality for bone disease
and resulted in positive therapeutic efficacy in the cortical bone of
osteoporotic mice.
PMID- 22083369
TI - RFID sensor-tags feeding a context-aware rule-based healthcare monitoring system.
AB - Along with the growing of the aging population and the necessity of efficient
wellness systems, there is a mounting demand for new technological solutions able
to support remote and proactive healthcare. An answer to this need could be
provided by the joint use of the emerging Radio Frequency Identification (RFID)
technologies and advanced software choices. This paper presents a proposal for a
context-aware infrastructure for ubiquitous and pervasive monitoring of
heterogeneous healthcare-related scenarios, fed by RFID-based wireless sensors
nodes. The software framework is based on a general purpose architecture
exploiting three key implementation choices: ontology representation, multi-agent
paradigm and rule-based logic. From the hardware point of view, the sensing and
gathering of context-data is demanded to a new Enhanced RFID Sensor-Tag. This new
device, de facto, makes possible the easy integration between RFID and generic
sensors, guaranteeing flexibility and preserving the benefits in terms of
simplicity of use and low cost of UHF RFID technology. The system is very
efficient and versatile and its customization to new scenarios requires a very
reduced effort, substantially limited to the update/extension of the ontology
codification. Its effectiveness is demonstrated by reporting both customization
effort and performance results obtained from validation in two different
healthcare monitoring contexts.
PMID- 22083370
TI - Implement the RFID position based system of automatic tablets packaging machine
for patient safety.
AB - Patient safety has been regarded as the most important quality policy of hospital
management. The medicine dispensing definitely plays an influential role in the
Joint Commission International Accreditation Standards. The problem we are going
to discuss in this paper is that the function of detecting mistakes does not
exist in the Automatic Tablets packaging machine (ATPM) in the hospital pharmacy
department when the pharmacists implement the replenishment of cassettes. In this
situation, there are higher possibilities of placing the wrong cassettes back to
the wrong positions, so that the human errors will lead to a crucial impact on
total inpatients undoubtedly. Therefore, this study aims to design the RFID
(Radio frequency identification) position based system (PBS) for the ATPM with
passive high frequency (HF) model. At first, we placed the HF tags on each
cassette and installed the HF readers on the cabinets for each position. Then,
the system works on the reading loop to verify ID numbers and positions on each
cassette. Next, the system would detect whether the orbit opens or not and
controls the readers' working power consumption for drug storage temperature.
Finally, we use the RFID PBS of the ATPM to achieve the goal of avoiding the
medication errors at any time for patient safety.
PMID- 22083372
TI - Indications and outcome of abdominal myomectomy in University of Maiduguri
Teaching Hospital: Review of ten year.
AB - BACKGROUND: Abdominal myomectomy is a common modality of treatment for large and
symptomatic uterine fibroid in women who wish to retain their fertility. Though
frequently performed the procedure may still be associated with complications.
MATERIALS AND METHODS: A retrospective review of all patients who had abdominal
myomectomy from January 1999 to December 2008 at the University of Maiduguri
Teaching Hospital. Information on the Sociodemographic characteristics,
indication for the myomectomy, uterine size, pre and post operative packed cell
volume (PCV), intraoperative findings, cadre of surgeon, duration of hospital
stay and complications were obtained. RESULTS: The rate of abdominal myomectomy
was 3.34%. Majority of the patients (79.8%) aged 30-49 years, and most (58.9%)
were nulliparas. Abdominal mass (63.7%), menorrhagia (57.7%), and subfertility
55.2% were the leading indications for abdominal myomectomy. Complications were
seen in 10.9% of the cases, 55.5 % of which were wound infections. Clinical and
intra operative factors associated with complications included menorrhagia
(P=0.003), estimated blood loss (EBL) >=500mls (P=0.005) and post operative PCV
of <30% (P=0.081). CONCLUSION: Complication rate after myomectomy was low with
menorrhagia and EBL >= 500 mls being significantly associated with development of
complication.
PMID- 22083373
TI - Detection and determination of manganese concentration in water using a fiber
Bragg grating coupled with nanotechnology.
AB - Through this paper we experimentally demonstrate the fabrication of a fiber Bragg
grating (FBG) chemical sensor to detect and determine the manganese concentration
in water and compare our results with sophisticated spectroscopic methods, such
as atomic absorption spectrometry and the inductively coupled plasma method. Here
we propose a simple method to develop a thin layer of gold nanoparticles above
the etched grating region to enhance the sensitivity of the reflected spectrum of
the FBG. By doing so, we achieve a sensitivity of 1.26 nm/parts per million in
determining the trace level of Mn in water. Proper reagents are used to detect
manganese in water.
PMID- 22083374
TI - High precision dynamic multi-interface profilometry with optical coherence
tomography.
AB - Optical coherence tomography (OCT) has mostly been used for high-speed volume
imaging but its profilometry potentials have not been fully exploited. This paper
demonstrates high precision (as good as ~50 nm) multi-interface profilometry
using a Fourier domain OCT system without special antivibration devices. The
precision is up to 2 orders of magnitude better than the depth resolution of the
OCT. Detailed analysis of the precision achieved for different surfaces is
presented. The multi-interface profiles are obtained as a by-product of the
tomography data. OCT has the advantage in speed and sensitivity at detecting
rough and internal interfaces versus conventional optical profilometry. An
application of the technique to the dynamic monitoring of varnish drying on
paintlike substrates is demonstrated, which provides a better understanding of
the formation of surface roughness. The technique has potential benefits in the
fields of art conservation, coatings technology, and soft matter physics.
PMID- 22083371
TI - The use of external fixation combined with vacuum sealing drainage to treat open
comminuted fractures of tibia in the Wenchuan earthquake.
AB - PURPOSE: The purpose of this study was to review the results of external fixation
combined with vacuum sealing drainage (VSD) to treat patients who sustained
tibial and fibular fractures in the Wenchuan earthquake. METHODS: We
retrospectively analysed 179 cases (of which 85 were classified as Gustilo grade
III) of open comminuted fracture of the tibia and fibula caused by the Wenchuan
earthquake. The patients were followed up for an average of 15 months; detailed
records were kept on their function and recovery. RESULTS: After caring for the
life-threatening injuries; fractures were treated by external fixation, with VSD
used on the surface or in the cavity of the wound after debridement. Antibiotics
were administered on the basis of drug sensitivity test results. After the
infection had been controlled and healthy granulation tissue had developed, the
patients underwent secondary suture, free skin grafting, or skin flap transfer.
CONCLUSION: Good results can be achieved when external fixation combined with
vacuum sealing drainage were used to treat open comminuted fractures of tibia and
fibula in the Wenchuan earthquake.
PMID- 22083375
TI - Optical programmable Boolean logic unit.
AB - Logic units are the building blocks of many important computational operations
likes arithmetic, multiplexer-demultiplexer, radix conversion, parity checker cum
generator, etc. Multifunctional logic operation is very much essential in this
respect. Here a programmable Boolean logic unit is proposed that can perform 16
Boolean logical operations from a single optical input according to the
programming input without changing the circuit design. This circuit has two
outputs. One output is complementary to the other. Hence no loss of data can
occur. The circuit is basically designed by a 2*2 polarization independent
optical cross bar switch. Performance of the proposed circuit has been achieved
by doing numerical simulations. The binary logical states (0,1) are represented
by the absence of light (null) and presence of light, respectively.
PMID- 22083376
TI - Measurement of the surface profile of an axicon lens with a polarization phase
shifting shearing interferometer.
AB - We present a Twyman-Green interferometer (TGI)-based polarization phase-shifting
shearing interferometric technique for testing the conical surface of an axicon
(AX) lens. In this technique, the annular beam generated due to the passing of an
expanded collimated laser beam traveling along the axis of revolution of the
transparent glass AX element is split up into its reflected and transmitted
components, having the plane of polarization in the orthogonal planes, by the
polarization beam splitter (PBS) cube of the TGI-based optical setup. The split
up components are made to travel unequal paths along the two arms of the TGI and
are recombined by the PBS. Because of the difference in path lengths traveled by
the annular conical beams, a linear shear is introduced along the radial
direction between the interfering components. Thus, the resulting interference
pattern gives a map of the optical path difference (OPD) between two successive
close points along a radial direction on the conical surface of the AX lens. The
OPD map along radial directions, and hence the slopes/profiles of the conical
surface, are obtained by applying polarization phase-shifting interferometry.
Results obtained for an AX lens are presented.
PMID- 22083377
TI - Information-theoretic analysis of a stimulated-Brillouin-scattering-based slow
light system.
AB - We use an information-theoretic method developed by Neifeld and Lee [J. Opt. Soc.
Am. A 25, C31 (2008)] to analyze the performance of a slow-light system. Slow
light is realized in this system via stimulated Brillouin scattering in a 2 km
long, room-temperature, highly nonlinear fiber pumped by a laser whose spectrum
is tailored and broadened to 5 GHz. We compute the information throughput (IT),
which quantifies the fraction of information transferred from the source to the
receiver and the information delay (ID), which quantifies the delay of a data
stream at which the information transfer is largest, for a range of experimental
parameters. We also measure the eye-opening (EO) and signal-to-noise ratio (SNR)
of the transmitted data stream and find that they scale in a similar fashion to
the information-theoretic method. Our experimental findings are compared to a
model of the slow-light system that accounts for all pertinent noise sources in
the system as well as data-pulse distortion due to the filtering effect of the
SBS process. The agreement between our observations and the predictions of our
model is very good. Furthermore, we compare measurements of the IT for an optimal
flattop gain profile and for a Gaussian-shaped gain profile. For a given pump
beam power, we find that the optimal profile gives a 36% larger ID and somewhat
higher IT compared to the Gaussian profile. Specifically, the optimal (Gaussian)
profile produces a fractional slow-light ID of 0.94 (0.69) and an IT of 0.86
(0.86) at a pump-beam power of 450 mW and a data rate of 2.5 Gbps. Thus, the
optimal profile better utilizes the available pump-beam power, which is often a
valuable resource in a system design.
PMID- 22083378
TI - Dirac bra-ket in radiometry of quasi-homogeneous sources.
AB - The concept of "throughput" is used in traditional radiometry of Lambertian
sources for computing and estimating the radiant flux passed through a pair of
stops, in particular through the window and the pupil of an optical system. It is
shown that in a more general case of quasi-homogeneous sources for energetic
calculations of the perfect optical system, one must use instead of the
throughput a functional that is similar to the famous "Dirac bra-ket." This
functional takes into account the radiation pattern of the source. As the Dirac
bra-ket satisfies the axioms of the inner product, powerful mathematical tools of
functional analysis for the energy calculation of the optical systems are used.
The main equations and principles of radiometry (the principle of reversibility
and Maxwell's principle) are reformulated from the concept "throughput" into the
concept "Dirac bra-ket." For generalization of Maxwell's principle to the class
of quasi-homogeneous sources the concept of "effective stops" is introduced.
PMID- 22083379
TI - 3D video visualization employing wavelet multilevel decomposition.
AB - This study analyzed the implementation and performance of a framework that can be
efficiently applied to three-dimensional (3D) video sequence visualization. The
proposed algorithm is based on wavelets and wavelet atomic functions used in the
computation of disparity maps. The proposed algorithm employs wavelet multilevel
decomposition and 3D visualization via color anaglyphs synthesis. Simulations
were run on synthetic images, synthetic video sequences, and real-life video
sequences. Results shows that this novel approach performs better in depth and
spatial perception tasks compared to existing methods, both in terms of objective
criteria such as quantity of bad disparities and similarity structural index
measure and the more subjective measure of human vision.
PMID- 22083381
TI - Passive frequency stabilization in Nd:YAG pulse laser using reflective volume
Bragg grating.
AB - We demonstrate a stable Q-switched single-longitudinal-mode (SLM) Nd:YAG laser
using a volume Bragg grating as the output coupler. The reflective volume Bragg
grating, serving as a longitudinal selector and passive frequency stabilizer,
effectively eliminates the mode hopping effect of the laser. The maximum output
energy of the SLM obtained from the current experimental setup is 18.5 mJ. The
maximum separation of frequencies is significantly less than the longitudinal
mode separation, indicating that a stable SLM laser is achieved.
PMID- 22083382
TI - Evolution process from ghost diffraction to ghost imaging in a lensless imaging
system.
AB - Ghost diffraction and ghost imaging are investigated in a lensless imaging
system. The evolution process from ghost diffraction to ghost imaging is
discussed when the object is moved far away from the source in the test arm. The
relation of ghost diffraction and imaging is also studied, and it is found that
the visibility of ghost imaging is always better than that of ghost diffraction.
PMID- 22083383
TI - Analytical approach to thermal lensing in end-pumped Yb:YAG thin-disk laser.
AB - Thermal lensing in the thin-disk laser influences the output beam quality and
optical efficiency significantly. In this paper, an analytical approach is taken
to study the production mechanisms, features, and influences of thermal lensing
in the end-pumped thin-disk laser. We calculate the distributions of temperature,
stress, strain, and expansion in the disk and the curvature of the crystal using
an analytic method. The expressions of the thermal lens focal length depending on
the radius are presented. The optical path difference, a major cause of thermal
lensing, is induced by the thermo-optical effect, the photoelastic effect, and
inhomogeneous distribution of thermal expansion and the excited population.
Thermal lensing is found to be aspheric with undesired aberrations and
birefringence effects. Furthermore, a convex mirror due to the axial temperature
gradient occurs in a free disk, and the convex mirror is found to be spherical in
the center region of the disk. Based on the results of our analysis, the aspect
ratio and size of the laser mode of the gain region may be adjusted to limit the
damaging effects of thermal lensing.
PMID- 22083384
TI - Nonmechanical transverse scanning laser Doppler velocimeter using wavelength
change.
AB - A transverse scanning laser Doppler velocimeter (LDV) that does not require any
moving mechanism in its sensor probe is proposed, and the scanning function is
demonstrated theoretically and experimentally. In the proposed scanning LDV, the
measurement position is transversely scanned on the basis of a wavelength change
induced by a tunable laser and a combination of a grating and a Dove prism. To
demonstrate the scanning function in the transverse direction, an experiment was
carried out using a setup of the sensor probe consisting of bulk optical
components. The experimental results indicate that a transverse scanning function
was successfully obtained. The scanning range in the vertical direction is
estimated to be 11.3 mm over wavelengths of 1520 to 1570 nm.
PMID- 22083385
TI - Effects of manufacturing errors on diffraction efficiency for multilayer
diffractive optical elements.
AB - The effect of manufacturing errors on diffraction efficiency for multilayer
diffractive optical elements (MLDOEs) used in imaging optical systems is
discussed in this paper. The relationship of diffraction efficiency and depth
scaling errors are analyzed for two different cases: the two relative depth
scaling errors change in the same sign and in the opposite sign. For the first
condition, the corresponding diffraction efficiency decreases more slowly. The
effect of periodic width errors on diffraction efficiency is also evaluated. When
the two major manufacturing errors coexist, the magnitude of the decrease of
diffraction efficiency is analyzed for MLDOEs. The result can be used for
analyzing the effects of the manufacturing errors on diffraction efficiency for
MLDOEs.
PMID- 22083386
TI - Influences of pyramid prism deflection on inversion of wind velocity and
temperature in a novel static polarization wind imaging interferometer.
AB - The principle of the novel static polarization wind imaging interferometer
(NSPWII) [Acta Opt. Sin. 28, 700 (2008)] based on a pyramid prism is described.
Since the measured wind velocity and temperature depend on the transmittance of
the pyramid prism, the deflection of the prism introduced by vibration would
produce some measuring errors. In this paper, with an assumed deflection case, we
analyze its influence on the derived wind velocity and temperature theoretically.
The relative error of the inversion temperature and variety of the inversion
velocity as they changed with the deflection angle are discussed.
PMID- 22083387
TI - Comparison of structure and performance between extended blue and standard
transmission-mode GaAs photocathode modules.
AB - Extended blue and standard transmission-mode GaAs photocathode modules were
prepared, respectively, by metal organic chemical vapor deposition. The
experimental reflectivity, transmissivity, and spectral response curves were
measured and compared separately. The integral sensitivities are 1980 MUA/lm and
2022 MUA/lm for both the modules. By use of the revised quantum yield formula,
the experimental spectral response curves are fitted to obtain the structure
parameters. The fitted results show that the Ga(1-x)Al(x)As window layer with
varied aluminum components is beneficial to improve extended blue GaAs
photocathode module. In addition, the layer-thickness and aluminum component in
the window layer determine the extended blue performance, while the thickness of
the GaAs active layer settles the long-waveband performance for the transmission
mode GaAs photocathode module.
PMID- 22083388
TI - Prediction formulas for nasal continuous positive airway pressure in patients
with obstructive sleep apnea syndrome.
PMID- 22083389
TI - Synthesis of functionalized tetrahydro-1,3-diazepin-2-ones and 1-carbamoyl-1H
pyrroles via ring expansion and ring expansion/ring contraction of
tetrahydropyrimidines.
AB - A general approach to 6-phenylthio-substituted 2,3,4,5-tetrahydro-1H-1,3-diazepin
2-ones based on the ring expansion reaction of 1,2,3,4-tetrahydropyrimidin-2-ones
under the action of nucleophiles has been developed. The first step of the
synthesis was preparation of N-[(2-benzoyloxy-1-tosyl)ethyl]urea by three
component condensation of 2-benzoyloxyethanal, urea and p-toluenesulfinic acid.
Nucleophilic substitution of the tosyl group in the obtained sulfone with sodium
enolates of alpha-phenylthioketones followed by cyclization-dehydration, and
debenzoylation gave 4-hydroxymethyl-5-phenylthio-1,2,3,4-tetrahydropyrimidin-2
ones which were transformed into the 4-mesyloxymethyl-derivatives. Treatment of
the latter with nucleophilic reagents, such as NaCN, sodium diethyl malonate,
PhSNa, MeONa, NaBH(4), sodium succinimide, or potassium phthalimide, afforded the
target multi-functionalized diazepinones. The obtained 6-phenylthio-diazepinones
and their 6-tosyl-substituted analogues were converted into 3-substituted 1
carbamoyl-1H-pyrroles under acidic conditions as a result of ring contraction.
Effective one-pot synthesis of the latter from 4-mesyloxymethyl-pyrimidines was
realized using a ring expansion/ring contraction sequence.
PMID- 22083390
TI - The relationships between employment, clinical status, and psychiatric
hospitalisation in patients with schizophrenia receiving either IPS or a
conventional vocational rehabilitation programme.
AB - PURPOSE: Positive relationships between employment and clinical status have been
found in several studies. However, an unequivocal interpretation of these
relationships is difficult on the basis of common statistical methods. METHODS:
In this analysis, a structural equation model approach for longitudinal data was
applied to identify the direction of statistical relationships between hours
worked, clinical status and days in psychiatric hospital in 312 persons with
schizophrenia who participated in a multi-centre randomised controlled trial
comparing the effectiveness of Individual Placement and Support (IPS) with
conventional vocational services in six study settings across Europe. Data were
analysed by an autoregressive cross-lagged effects model, an autoregressive cross
lagged model with random intercepts and an autoregressive latent trajectory
model. RESULTS: Comparison of model fit parameters suggested the autoregressive
cross-lagged effects model to be the best approach for the given data structure.
All models indicated that patients who received an IPS intervention spent more
hours in competitive employment and, due to indirect positive effects of
employment on clinical status, spent fewer days in psychiatric hospitals than
patients who received conventional vocational training. CONCLUSIONS: Results
support the hypothesis that the IPS intervention has positive effects not only on
vocational but also on clinical outcomes in patients with schizophrenia.
PMID- 22083393
TI - The vasodilator stress ECG: should depression cause anxiety?
PMID- 22083394
TI - In vitro azadirachtin production by hairy root cultivation of Azadirachta indica
in nutrient mist bioreactor.
AB - Azadirachtin, a well-known biopesticide is a secondary metabolite conventionally
extracted from the seeds of Azadirachta indica. The present study involved in
vitro azadirachtin production by developing hairy roots of A. indica via
Agrobacterium rhizogenes-mediated transformation of A. indica explants. Liquid
culture of hairy roots was established in shake flask to study the kinetics of
growth and azadirachtin production. A biomass production of 13.3 g/L dry weight
(specific growth rate of 0.7 day(-1)) was obtained after 25 days of cultivation
period with an azadirachtin yield of 3.3 mg/g root biomass. To overcome the mass
transfer limitation in conventionally used liquid-phase reactors, batch
cultivation of hairy roots was carried out in gas-phase reactors (nutrient spray
and nutrient mist bioreactor) to investigate the possible scale-up of A. indica
hairy root culture. The nano-size nutrient mist particles generated from the
nozzle of the nutrient mist bioreactor could penetrate till the inner core of the
inoculated root matrix, facilitating uniform growth during high-density
cultivation of hairy roots. A biomass production of 9.8 g/L dry weight with
azadirachtin accumulation of 2.8 mg/g biomass (27.4 mg/L) could be achieved in 25
days of batch cultivation period, which was equivalent to a volumetric
productivity of 1.09 mg/L per day of azadirachtin.
PMID- 22083391
TI - Executive summary of the report by the WPA section on pharmacopsychiatry on
general and comparative efficacy and effectiveness of antidepressants in the
acute treatment of depressive disorders.
AB - Current gold standard in the treatment of depression includes pharmacotherapeutic
and psychotherapeutic strategies together with social support. Due to the
actually discussed controversies concerning the differential efficacy of
antidepressants, a contribution to a comprehensive clarification seems to be
necessary to avert further deterioration and uncertainty from patients,
relatives, and their treating psychiatrists and general practitioners. Both
efficacy and clinical effectiveness of antidepressants in the treatment of
depressive disorders can be confirmed. Clinically meaningful antidepressant
treatment effects were confirmed in different types of studies. Methodological
issues of randomized controlled studies, meta-analyses, and effectiveness studies
will be discussed. Furthermore, actual data about the differential efficacy and
effectiveness of antidepressants with distinct pharmacodynamic properties and
about outcome differences in studies using antidepressants and/or psychotherapy
are discussed. This is followed by a clinically oriented depiction-the
differential clinical effectiveness of different pharmacodynamic modes of action
of antidepressants in different subtypes of depressive disorders. It can be
summarized that the spectrum of different antidepressant treatments has broadened
during the last decades. The efficacy and clinical effectiveness of
antidepressants is statistically significant and clinically relevant and proven
repeatedly. For further optimizing antidepressant treatment plans, clearly
structured treatment algorithms and the implementation of psychotherapy seem to
be useful. A modern individualized antidepressant treatment in most cases is a
well-tolerated and efficacious tool to minimize the negative impact of the
otherwise devastating and life-threatening outcome of depressive disorders.
PMID- 22083395
TI - Genome shuffling enhanced epsilon-poly-L-lysine production by improving glucose
tolerance of Streptomyces graminearus.
AB - The productivity of epsilon-poly-L: -lysine (epsilon-PL) in currently reported
wild-type strains is low. Here we improved glucose tolerance of a Streptomyces
graminearus strain LS-B1 by genome shuffling while simultaneously enhancing the
epsilon-PL productivity. The starting population was generated by ultraviolet
irradiation and nitrosoguanidine mutagenesis and then subjected for recursive
protoplast fusion. The positive colonies from library, created by fusing the
inactivated protoplasts were screened on agar plates containing different
concentrations of glucose. Characterization of all recombinants and wild-type
strain in shake-flask fermentation indicated the compatibility of two phenotypes
of glucose tolerance and epsilon-PL yield enhancement. The best performing
recombinant, F3-4, was isolated after three rounds of genome shuffling, whose
epsilon-PL production was about 88% higher than that of the parent strain. In
batch fermentation test, the epsilon-PL concentration was obtained as 2.4 g/L by
F3-4 compared with 1.6 g/L of wild type. Fed-batch fermentation by F3-4 was
carried out and the epsilon-PL production accumulated to 13.5 g/L when initial
glucose concentration was improved from 50 to 85 g/L. Enzyme activities of
hexokinase, pyruvate kinase, and citrate synthase revealed that the glycolytic
pathway and tricarboxylic acid circle way in F3-4 were more active than those in
wild type, which was a possible reason for enhanced epsilon-PL production.
PMID- 22083397
TI - Geochemical evidence for the origin of vanadium in an urban environment.
AB - The city of Salamanca in central Mexico is surrounded by heavy industry, i.e., a
refinery, a thermoelectric plant and chemical industries. Variable concentrations
of vanadium (V) have been reported in the groundwater, and their presence has
been related to particulates so this hypothesis was tested by sampling soil in
the urban area and the surrounding uncontaminated country site. The 0-10-cm soil
layer in the industrial and rural area was analyzed for V and other metal trace
elements found in hydrocarbons, i.e., chromium (Cr), lead (Pb), zinc (Zn), and
nickel (Ni). The concentrations of V were higher in the urban rather than in the
rural soil, reaching values of >600 mg kg(-1) in the urban soils. In the rural
area, V in the soil was related to regional geology, i.e., volcanic rocks such as
basalts and rhyolites but not in the urban area where it was related to
particulate distribution mostly emitted from the industries burning fuel oil
number 6.
PMID- 22083398
TI - Development of fuzzy air quality index using soft computing approach.
AB - Proper assessment of air quality status in an atmosphere based on limited
observations is an essential task for meeting the goals of environmental
management. A number of classification methods are available for estimating the
changing status of air quality. However, a discrepancy frequently arises from the
quality criteria of air employed and vagueness or fuzziness embedded in the
decision making output values. Owing to inherent imprecision, difficulties always
exist in some conventional methodologies like air quality index when describing
integrated air quality conditions with respect to various pollutants parameters
and time of exposure. In recent years, the fuzzy logic-based methods have
demonstrated to be appropriated to address uncertainty and subjectivity in
environmental issues. In the present study, a methodology based on fuzzy
inference systems (FIS) to assess air quality is proposed. This paper presents a
comparative study to assess status of air quality using fuzzy logic technique and
that of conventional technique. The findings clearly indicate that the FIS may
successfully harmonize inherent discrepancies and interpret complex conditions.
PMID- 22083399
TI - Chemometric study on the trace metal accumulation in the sediments of the Cochin
Estuary--Southwest coast of India.
AB - The distribution and accumulation of trace metals in the sediments of the Cochin
estuary during the pre-monsoon, monsoon and post-monsoon periods were
investigated. Sediment samples from 14 locations were collected and analysed for
the metal contents (Mg, Cr, Mn, Fe, Co, Ni, Cu, Zn, Cd and Pb), organic carbon,
total nitrogen, total sulphur and grain size. The data were processed using
statistical tools like correlation, factor and cluster analysis. The study
revealed an enrichment of Cd and Zn in the study area particularly at station 2,
which is confirmed by enrichment factor, contamination factor and geoaccumulation
index. The factor analysis revealed that the source of Cd and Zn may be same. The
study indicated that the spatial variation for the metals like Mg, Cr, Fe, Co,
Ni, Cu, Zn, Cd and Pb were predominant unlike Mn which shows a temporal
variation. The strong association of trace metals with Fe and Mn hydroxides and
oxides are prominent along the Cochin estuary. The anthropogenic inputs of
industrial effluents mainly control the trace metals enrichment in the Cochin
estuary.
PMID- 22083400
TI - Soil pollution under the effect of treated municipal wastewater.
AB - Soil heavy metal pollution due to wastewater reuse was assessed by means of the
concentration factor (CF) and/or pollution load index (PLI).In this respect, a
greenhouse pot experiment was conducted, using a completely randomized block
design, including five treatments of treated municipal wastewater (0%, 25%, 50%,
75%, and 100%), in four replications. Brassica oleracea var. Capitata was used as
a test crop. The optimum CFs were expressed as a function of maximum dry matter
of cabbage plant parts yield, and the values obtained per plant part were as
follows: stems-Zn-CF 2.96, Co-CF 0.85, Ni-CF 0.92; whole plant-Cu-CF 3.90, Ni-CF
0.87, and Pb-CF 11.52; and leaves-Pb-CF 11.78. The PLI was calculated as the
geometric mean of the CF of each metal, and was related to the maximum dry mater
yield of cabbage stems and heads. The optimum values found were: stems PLI 1.99
2.55 and heads 2.25.
PMID- 22083402
TI - Square wave adsorptive stripping voltammetric determination of diazinon in its
insecticidal formulations.
AB - The pesticide diazinon was determined in its insecticidal formulations by square
wave adsorptive stripping voltammetry. The method of its determination is based
on the irreversible reduction reaction at the hanging mercury drop electrode. The
optimal signal was detected at -1.05 V vs. Ag/AgCl in Britton-Robinson buffer at
pH 4.4. Various parameters such as pH, buffer concentration, frequency,
amplitude, step potential, accumulation time, and potential were investigated to
enhance the sensitivity of the determination. The highest response was recorded
at an accumulation potential -0.4 V, accumulation time 60 s, amplitude 75 mV,
frequency 100 Hz, and step potential 5 mV. The pesticide electrochemical behavior
was considered under experimental conditions. The electroanalytical procedure
enabled diazinon determination in the concentration range 4.0 * 10(-8)-3.9 * 10(
7) mol L(-1) in supporting electrolyte. The detection and quantification limit
were found to be 1.1 * 10(-8) and 3.7 * 10(-8) mol L(-1), respectively. The
method was applied successfully in the determination of the active ingredients in
the insecticidal formulations Diazinon 10GR and Beaphar 275.
PMID- 22083401
TI - Study of electromagnetic radiation pollution in an Indian city.
AB - Electromagnetic radiation emitted by cell phone towers is a form of environmental
pollution and is a new health hazard, especially to children and patients. The
present studies were taken to estimate the microwave/RF pollution by measuring
radiation power densities near schools and hospitals of Chandigarh city in India.
The cell phone radiations were measured using a handheld portable power density
meter TES 593 and specific absorption rates were estimated from the measured
values. These values of electromagnetic radiation in the environment were
compared with the levels at which biological system of humans and animals starts
getting affected. The values were also compared with the international exposure
limits set by the International Commission on Non-Ionizing Radiation Protection
(ICNIRP). The highest measured power density was 11.48 mW/m(2) which is 1,148% of
the biological limit. The results indicated that the exposure levels in the city
were below the ICNIRP limit, but much above the biological limit.
PMID- 22083403
TI - Effects of selected soil properties on phytoremediation applicability for heavy
metal-contaminated soils in the Apulia region, Southern Italy.
AB - Phytoremediation is a well-known promising alternative to conventional approaches
used for the remediation of diffused and moderated contaminated soils. The
evaluation of the accumulation, availability, and interactions of heavy metals in
soil is a priority objective for the possible use of phytoremediation techniques
such as phytoextraction and phytostabilization. The soils used in this work were
collected from a number of sites inside a protected area in the Apulia region
(Southern Italy), which were contaminated by various heavy metals originated from
the disposal of wastes of different sources of origin. Soils examined contained
Cd, Cr, Cu, Ni, Pb, and Zn in amounts exceeding the critical limits imposed by EU
and Italian laws. However, the alkaline conditions, high organic matter content,
and silty to silty loamy texture of soils examined would suggest a reduced
availability of heavy metals to plants. Due to the high total content but the low
available fraction of heavy metals analyzed, especially Cr, phytoextraction
appears not to be a promising remediation approach in the sites examined, whereas
phytostabilization appears to be the best technique for metal decontamination in
the studied areas.
PMID- 22083404
TI - Effect of various refrigeration temperatures on quality of shell eggs.
AB - BACKGROUND: The objective of this study was to evaluate the effects of low
storage temperatures on shell egg quality. RESULTS: Approximately 2100 shell eggs
were collected and stored at - 1.1, 0.6, 2.2, 3.9, 5.6 and 7.2 degrees C for up
to 4 weeks. Eighteen eggs at each storage temperature were evaluated after 0, 2,
7, 14, 21 and 28 days of storage. Haugh units (HU), yolk index (YI), albumen pH
(pHA), yolk pH (pHY) and angel food cake density (CD) were measured. Shell egg
quality tended to be preserved better at below 2.2 degrees C, as high HU and YI
values relative to eggs stored at 7.2 degrees C were determined on day 28.
However, storage at - 1.1 degrees C tended to cause the opposite effect,
especially highly declined HU values over time. Significantly different HU values
of shell eggs were measured after 14 days of storage, with eggs stored at 0.6 and
2.2 degrees C having the highest HU values, 80.42 and 77.97 respectively.
CONCLUSION: A lower temperature limit for shell egg storage could be established
between 0.6 and 2.2 degrees C, as both temperatures showed the highest HU
values, 77.88 and 77.60 respectively, after 28 days of storage.
PMID- 22083405
TI - Determination of normal dimension of the spleen by ultrasound in an endemic
tropical environment.
AB - BACKGROUND: To determine the normal dimensions of spleen by ultrasonography in
our environment exposed to endemic tropical infection and infestation. MATERIALS
AND METHODS: A prospective study of normal spleen ultrasound-based measurements
in 200 Nigerian adults at the University of Benin Teaching Hospital Benin,
Nigeria. RESULTS: There were 91 males and 109 females; their age ranged between
20 and 60 years. For the males the mean age was 32.4 years (+/- 9.2 SD), mean
height was 175.0 cm (+/-7.3 SD), mean weight was 72.5 kg (+/-10.1 SD), and mean
body mass index was 23.6 (+/-2.8 SD) and the females the mean age was 29.7 years
(+/-9.0 SD) mean height was 164.6 cm (+/-5.8 SD), mean weight 64.1 kg (+/-12.9
SD), and mean BMI was 24.9 (+/-1.4 SD). For the males the mean splenic length,
width, depth, and volume were 11.1 cm (+/-0.9 SD), 4.4 cm (+/-0.5 SD), 7.8 cm (+/
0.6 SD), and 202.7 cm(3) (+/-49.4 SD), respectively. For the females the
corresponding values of splenic length, width, depth, and volume were 10.1 cm (+/
0.7 SD), 4.0 cm (+/-0.4 SD), 7.1 cm (+/-0.5 SD), and 153.7 cm(3) (+/-33.2 SD),
respectively. CONCLUSION: Comparison between mean splenic dimension parameters
for males and females (from unpaired t-test determination) showed a statistically
significant difference (P<0.001 for splenic length, width, depth, and volume).
There was also statistically significant increasing value correlation between
subjects' weight and height (in favor of height) when compared to spleen length,
width, depth, and volume. The other parameters show no significant correlation in
both female and male. In particular there was also no statistically significant
correlation of splenic measurements with age in either sex. This is similar to
what was noted in other centers.
PMID- 22083406
TI - A thermal manikin with human thermoregulatory control: implementation and
validation.
AB - Tens of different sorts of thermal manikins are employed worldwide, mainly in the
evaluation of clothing thermal insulation and thermal environments. They are
regulated thermally using simplified control modes. This paper reports on the
implementation and validation of a new thermoregulatory control mode for thermal
manikins. The new control mode is based on a multi-segmental Pierce (MSP) model.
In this study, the MSP control mode was implemented, using the LabVIEW platform,
onto the control system of the thermal manikin 'Therminator'. The MSP mode was
then used to estimate the segmental equivalent temperature (t(eq)) along with
constant surface temperature (CST) mode under two asymmetric thermal conditions.
Furthermore, subjective tests under the same two conditions were carried out
using 17 human subjects. The estimated segmental t(eq) from the experiments with
the two modes and from the subjective assessment were compared in order to
validate the use of the MSP mode for the estimation of t(eq). The results showed
that the t(eq) values estimated by the MSP mode were closer to the subjective
mean votes under the two test conditions for most body segments and compared
favourably with values estimated by the CST mode.
PMID- 22083407
TI - Stem cell transplantation in an in vitro simulated ischemia/reperfusion model.
AB - Stem cell transplantation protocols are finding their way into clinical practice.
Getting better results, making the protocols more robust, and finding new sources
for implantable cells are the focus of recent research. Investigating the
effectiveness of cell therapies is not an easy task and new tools are needed to
investigate the mechanisms involved in the treatment process. We designed an
experimental protocol of ischemia/reperfusion in order to allow the observation
of cellular connections and even subcellular mechanisms during
ischemia/reperfusion injury and after stem cell transplantation and to evaluate
the efficacy of cell therapy. H9c2 cardiomyoblast cells were placed onto cell
culture plates. Ischemia was simulated with 150 minutes in a glucose free medium
with oxygen level below 0.5%. Then, normal media and oxygen levels were
reintroduced to simulate reperfusion. After oxygen glucose deprivation, the
damaged cells were treated with transplantation of labeled human bone marrow
derived mesenchymal stem cells by adding them to the culture. Mesenchymal stem
cells are preferred in clinical trials because they are easily accessible with
minimal invasive surgery, easily expandable and autologous. After 24 hours of co
cultivation, cells were stained with calcein and ethidium-homodimer to
differentiate between live and dead cells. This setup allowed us to investigate
the intercellular connections using confocal fluorescent microscopy and to
quantify the survival rate of postischemic cells by flow cytometry. Confocal
microscopy showed the interactions of the two cell populations such as cell
fusion and formation of intercellular nanotubes. Flow cytometry analysis revealed
3 clusters of damaged cells which can be plotted on a graph and analyzed
statistically. These populations can be investigated separately and conclusions
can be drawn on these data on the effectiveness of the simulated therapeutical
approach.
PMID- 22083408
TI - Uterine fibroids: Which treatment?
PMID- 22083409
TI - Rhytidoplasty without periauricular scar.
AB - As plastic surgeons, it has always been our goal to reduce the scarring
associated with facial plastic surgeries. These scars generally occur on the
scalp and in both the pre- and retroauricular areas. In 194 of our patients who
underwent rhytidoplasties, we successfully eliminated these retroauricular and
preauricular scars. To achieve these results, we did not make periauricular
incisions; instead, we made a superior incision, a subcutaneous dissection, and
used various surgical maneuvers such as subdermal plication and structural
grafting. By utilizing this facelift technique, we were able to eliminate the
appearance of periauricular scars associated with facial plastic surgery, reduce
complications, achieve natural-looking results, and increase patient
satisfaction.
PMID- 22083410
TI - Primary nasal tip surgery: a conservative approach.
AB - BACKGROUND: Rhinoplasty and rhinoseptoplasty are very important and complex
surgical procedures because the nose plays a pivotal aesthetic role in the face
and an important functional role in breathing. Mild bulbous, plunging, undefined
tips are very common, and tip refining and repositioning often are required
surgical procedures. METHODS: For 97 selected patients, the authors performed
their personal technique consisting of a transcartilaginous approach, incomplete
vertical interruption, and retrograde undermining of the lower lateral cartilages
to improve tip projection and definition. The five aspects analyzed were nasal
tip symmetry, nostril symmetry, tip projection, tip definition, and appearance of
the nasal tip only. Each parameter was assessed using pre- and postoperative
quantification according to a visual analog scale. Postoperative evaluation was
performed during a mean follow-up period of 1 year. RESULTS: The results at 1
year showed high rates of improvement in tip definition (mean, 7.9+/-2.4) and
nasal tip only evaluation (mean, 6.5+/-3.1). The patients reported an improvement
in nasal tip symmetry (mean, 4.2+/-3.2), nostril symmetry (mean, 4.5+/-4.1), and
tip projection (mean, 5.8+/-2.9). CONCLUSIONS: This simple, safe, and effective
technique is proposed for mild bulbous, plunging, undefined, and hypoprojected
tips.
PMID- 22083411
TI - Subfascial breast augmentation: is there any advantage over the submammary plane?
AB - Subfascial (SF) breast augmentation has been proposed as an alternative to
placement of a breast prosthesis in a subpectoral (SP) or direct submammary (SM)
plane, producing advantages over both techniques. This study compares
complication rates in 200 SF-placed implants with 83 SM implants, undertaken over
a 51-month period by a single surgeon. No statistical difference was found in the
complication rate or patient satisfaction of one technique over the other. No
clinical advantage can be demonstrated by placing breast prostheses behind the
pectoral fascia compared to directly behind the breast.
PMID- 22083412
TI - A study of postural changes after breast augmentation.
AB - BACKGROUND: A number of factors, including body mass and one's mood, may
influence posture. Breast augmentation results not only in a significant
improvement in body image-related feelings and self-esteem but also in a sudden
change in body mass. The aim of this study was to assess postural changes
following breast augmentation by studying body position, orientation through
space, and center of pressure. METHODS: Patients with breast hypoplasia who
underwent breast augmentation were enrolled. Posture evaluation was performed
before and 1, 4, and 12 months after surgery by quantifying the center of mass
using the FastrakTM system and the center of pressure using stabilometry. The
Wilcoxon signed-rank sum test was used to compare value modifications. RESULTS:
Forty-eight patients were enrolled in the study. A retropositioning of the upper
part of the body, confirmed by baropodometric analysis, was evident in the early
postoperative period. We subsequently observed a reprogramming of the
biomechanical system, which reached a state of equilibrium 1 year after surgery,
with a slight retropositioning of the head and a compensatory anterior
positioning of the pelvis. CONCLUSION: We believe that with respect to posture,
the role played by psychological aspects is even more important than that played
by changes in body mass. Indeed, hypomastia is often associated with kyphosis
because patients try to hide what they consider a deficiency. Following breast
augmentation, the discovery of new breasts overcomes the dissatisfaction with the
patient's own body image, increases self-esteem, and modifies posture regardless
of the changes in body mass due to the insertion of the implants.
PMID- 22083413
TI - The diagnosis and treatment of thyroid-associated ophthalmopathy.
AB - BACKGROUND: Little is written in the plastic surgery literature about thyroid
associated ophthalmopathy (TAO), a condition that is separate from Graves-Basedow
disease and may not be accompanied by hyperthyroidism. Many patients with this
disease frequently seek periocular aesthetic reconstruction prior to medical
workup. METHODS: This study presents a comprehensive review of the literature
surrounding TAO in order to better understand the prevalence, diagnosis,
pathophysiology, and appropriate management of TAO. RESULTS: TAO is frequently
under- or misdiagnosed by health-care providers. Patients seeking blepharoplasty
or other oculoplastic procedures may have underlying TAO, and the prevalence of
TAO in patients who have had a blepharoplasty is approximately 3%. This condition
occurs five times more often in women than in men. As a product of the relatively
high prevalence of this disease and its underdiagnosis, TAO patients may
experience perioperative and late complications due to surgery. CONCLUSION:
Blepharoplasties performed on TAO patients must be undertaken with care and
insight to avoid cosmetic and functional complications.
PMID- 22083414
TI - Sorption of polycyclic aromatic hydrocarbons (PAHs) to low and high density
polyethylene (PE).
AB - BACKGROUND, AIM, AND SCOPE: According to their high sorption capacity
polyethylene (PE) passive samplers are often used for the analysis of polycyclic
aromatic hydrocarbons (PAHs) in the aquatic environment. PE is also one of the
primary synthetic polymers found in oceans, and sorption of PAHs to marine PE
debris may determine PAH exposure and therefore hazards in marine ecosystems.
Thus, an understanding of the sorption process is of great importance. In the
present study, the sorption of several PAHs with different polarities to low
density polyethylene (LDPE) and high density polyethylene (HDPE) was studied in
order to improve our understanding of the influence of material properties on the
Fickian diffusion of PAHs into PE. MATERIALS AND METHODS: Batch sorption
experiments were performed with aqueous solutions containing acenaphthylene,
acenaphthene, fluorene, phenanthrene, anthracene, fluoranthene, and LPDE or HDPE
pellets. Samples were shaken in the dark at 20 +/- 1 degrees C for 16 time
intervals within one week. Concentrations of PAHs were determined in the aqueous
samples using solid-phase microextraction coupled with gas chromatography-mass
spectrometry. The distribution coefficients (K (PE)) between PE and water were
estimated from different models reported in the literature. Kinetic sorption of
the PAHs into the plastic pellets was described by a diffusion model based on
Fick's second law in spherical coordinates. RESULTS AND DISCUSSION: A comparison
between different models describing the equilibrium distribution of PAHs between
PE and water revealed that the sorption equilibrium seemed to be driven by
parameters other than, or in addition to, organic carbon. For both plastic types,
diffusion coefficients decreased while the molecular weight of the PAHs increased
which indicates a hindered diffusion through the matrix as a result of a larger
molecule size. Higher diffusion coefficients were derived for LPDE than for HDPE
indicating a greater sorption velocity for LPDE according to the lower polymer
density. CONCLUSIONS: Our results revealed that equilibrium time could be
shortened during passive sampling as polymer membranes of lower density are used.
In some areas, marine ecosystems may not be in equilibrium with respect to
concentrations of organic contaminants and abundance of marine plastic debris. In
such cases, different polymer densities should be taken into account in risk
assessments.
PMID- 22083415
TI - Clinical image: salmonella mycotic aneurysm in a patient receiving etanercept for
rheumatoid arthritis.
PMID- 22083416
TI - Skeletal rearrangements resulting from reactions of 1,6:2,3- and 1,6:3,4
dianhydro-beta-D-hexopyranoses with diethylaminosulphur trifluoride.
AB - A complete series of eight 1,6:2,3- and 1,6:3,4-dianhydro-beta-D-hexopyranoses
were subjected to fluorination with DAST. The 1,6:3,4-dianhydropyranoses yielded
solely products of skeletal rearrangement resulting from migration of the
tetrahydropyran oxygen (educts of D-altro and D-talo configuration) or of the 1,6
anhydro bridge oxygen (D-allo, D-galacto). The major products yielded by the
1,6:2,3-dianhydropyranoses were compounds arising from nucleophilic substitution,
with configuration at C4 either retained (D-talo, D-gulo) or inverted (D-manno),
or from C6 migration (D-allo). The minor products in the 1,6:2,3-series resulted
from migration of the tetrahydropyran oxygen (D-gulo) or the oxirane oxygen (D
manno), or from nucleophilic substitution with retention of configuration (D
manno). The structure of most of the rearranged products was verified by X-ray
crystallography.
PMID- 22083417
TI - Adenocarcinoma arising in an intramural diverticulum presenting as a long
standing submucosal tumor.
AB - We report a rare case of adenocarcinoma arising in a gastric partial diverticulum
in the upper portion of the stomach. The lesion had been followed up for
approximately 14 years as a gastric submucosal tumor. However, a recent regular
check-up revealed mucous material with some neoplastic tissue discharged from the
top of the lesion. A surgically resected specimen showed a well-demarcated
submucosal lesion identical to a pseudo-diverticulum carrying a distinctive
intramucosal minimally invasive adenocarcinoma in part, with surrounding non
neoplastic but hyperplastic mucosal components. Intestinal phenotype, along with
gastric foveolar, pyloric gland-type phenotypes, and neoplastic cells with
neuroendocrine differentiation, were also identified in the adenocarcinoma.
Chronic and persistent irritation within the diverticulum was postulated to be
implicated in the carcinogenesis of the lesion, which carried no definite
Helicobacter pylori microorganisms. We believe it is crucial not to overlook
carcinoma in a diverticulum presenting as a long-standing submucosal tumor.
PMID- 22083418
TI - A case of lymph node metastasis following a curative endoscopic submucosal
dissection of an early gastric cancer.
AB - Currently in Japan, differentiated gastric submucosal invasive cancers <500 MUm
(SM1) with negative lymphovascular involvement are included in expanded
pathological criteria for curative endoscopic treatment. This is based on a
retrospective examination of surgical resection cases in which patients suitable
for such expanded criteria were determined to have a negligible risk of lymph
node metastasis. We performed endoscopic submucosal dissection on a 65-year-old
male with early gastric cancer in April 2005, and pathology revealed a well
differentiated adenocarcinoma, 21 * 10 mm in size, SM1 invasion depth and
negative lymphovascular invasion as well as tumor-free margins, so the case was
diagnosed as a curative resection. This case, however, resulted in lymph node
metastasis that was diagnosed by endoscopic ultrasonography with fine-needle
aspiration biopsy in May 2009. Distal gastrectomy with D2 lymph node dissection
was then performed, confirming lymph node metastasis from the original gastric
cancer.
PMID- 22083419
TI - Quality of life beyond the early postoperative period after laparoscopy-assisted
distal gastrectomy: the level of patient expectation as the essence of quality of
life.
AB - BACKGROUND: The aim of this study was to compare the quality of life after the
early postoperative period and before reaching 5 years postoperatively between
patients who underwent laparoscopy-assisted distal gastrectomy (Group A) and
patients who underwent open distal subtotal gastrectomy (Group B). METHODS: The
Korean versions of the European Organization for Research and Treatment (EORTC)
Quality of Life Questionnaire Core 30 (QLQ-C30) and a gastric cancer-specific
module, the EORTC QLQ-STO22, were used to assess the quality of life of 80
patients who underwent laparoscopy-assisted distal gastrectomy or open distal
subtotal gastrectomy for gastric cancer. The postoperative period ranged between
6 months and 5 years. RESULTS: The global health status/quality of life scores of
Groups A and B were 56.0 +/- 19.0 and 57.4 +/- 18.2, respectively (p = 0.729).
Group A experienced worse quality of life in role functioning (p = 0.026),
cognitive functioning (p = 0.034), fatigue (p = 0.039), eating restrictions (p =
0.009), and anxiety (p = 0.033). Group A showed a trend to experience worse
quality of life in physical functioning, emotional functioning, social
functioning, insomnia, and body image, albeit without statistical significance.
CONCLUSION: After the early postoperative period and before achieving long-term
survival, patients who underwent laparoscopy-assisted distal gastrectomy appeared
to experience lower quality of life compared to patients who underwent open
distal subtotal gastrectomy. This finding may be associated with the patients'
erroneously high expectations of laparoscopy-assisted distal gastrectomy.
PMID- 22083420
TI - CD83(+) dendritic cells and Foxp3(+) regulatory T cells in primary lesions and
regional lymph nodes are inversely correlated with prognosis of gastric cancer.
AB - BACKGROUND: Dendritic cells (DCs) are potent antigen-presenting cells that are
central to the regulation, maturation, and maintenance of the cellular immune
response against cancer. In contrast, CD4(+)CD25(+) regulatory T cells (Tregs)
play a central role in self-tolerance and suppress antitumor immunity. In this
study, we investigated the clinical significance of mature CD83(+) DCs and
Foxp3(+) Tregs in the primary tumor and regional lymph nodes from the viewpoint
of the two opposing players in the immune responses. METHODS: We investigated,
immunohistochemically, the density of CD83(+) DCs and Foxp3(+) Tregs in primary
lesions of gastric cancer (n = 123), as well as in regional lymph nodes with (n =
40) or without metastasis (n = 40). RESULTS: Decreased density of CD83(+) DCs and
increased density of Foxp3(+) Tregs were observed in the primary tumor and
metastatic lymph nodes. Density was significantly correlated with certain
clinicopathological features. Poor prognosis was observed in patients with a low
density of CD83(+) DCs and a high density of Foxp3(+) Tregs in primary lesions.
For patients with metastatic lymph nodes, the density of CD83(+) DCs in negative
lymph nodes was found to be an independent prognostic factor by multivariate
analysis. CONCLUSION: The density of CD83(+) DCs and Foxp3(+) Tregs was inversely
correlated with tumor progression and reflected the prognosis of gastric cancer.
PMID- 22083421
TI - Occupational exposure influences on gender differences in respiratory health.
AB - BACKGROUND: The aim of this study was to evaluate gender differences in the
respiratory health of workers exposed to organic and inorganic dusts. METHODS:
Meta-analysis techniques incorporating logistic regression were applied to a
combined file of 12 occupational health studies. RESULTS: Meta-analysis of data
on 1,367 women and 4,240 men showed that women had higher odds of shortness of
breath whether exposed to inorganic dust or having no occupational exposure, with
an overall odds ratio (OR) of 2.07 (95% confidence interval [CI] = 1.57-2.73)
adjusted for smoking status, age, body mass index (BMI), ethnic status, atopy,
and job duration. Inorganic dust exposure was associated with the highest odds of
asthma (adjusted OR = 8.38, 95% CI = 1.72-40.89) for women compared to men, but
no differences were found for unexposed workers. With organic dust exposure, men
had elevated odds for occasional wheeze and worse lung function compared to
women. CONCLUSION: Within the limitations of this analysis, gender differences in
respiratory health, as suggested by population-based studies, were confirmed in
our analysis of occupational health studies, with the general type of exposure,
organic or inorganic, generally determining the extent of differences. The higher
risks for women compared to men for shortness of breath were robust regardless of
work exposure category, with the highest odds ratios found for asthma.
PMID- 22083422
TI - [Which hip articulation bearing for which patient? : Tribology of the future].
AB - Replacement of the hip joint has become an exceptionally successful procedure
since the inauguration of the low friction principle by Charnley. Aseptic
osteolysis and joint dislocation have been addressed by the development of wear
optimized materials and the introduction of larger heads. As an increase in head
diameter against polyethylene causes wear increase, larger hard-on-hard bearings
were introduced, which exhibit reduced wear and reduced dislocation risk with
increasing head diameter. These findings were derived from standard simulator
testing, not sufficiently considering the risk of fluid film breakdown under
adverse conditions, which can cause a dramatic increase in wear and friction
proportional to the head diameter. Such adverse conditions can occur clinically
in patients due to several factors and have caused the presently observed
unexpected problems with these new designs. Standardized preclinical testing has
to be viewed as a minimum requirement but certainly not as a guarantee for the
clinical success of new materials and designs even if the testing is adapted to
the current patient requirements, which is presently not the case. The future of
tribology lies in the prevention of adverse conditions in patients, the
improvement and optimized use of proven existing materials and not in the use of
new materials.
PMID- 22083423
TI - Changes in trace metals in hemolymph of baculovirus-infected noctuid larvae.
AB - We studied how biologically relevant trace metals (i.e., micronutrients) in the
hemolymph of larval Heliothis virescens and Helicoverpa zea (Lepidoptera:
Noctuidae) changed in response to per os baculovirus infection, larval
development, and injection of heat-killed bacteria. Concentrations of hemolymph
Co, Cr, Cu, Fe, Mg, Mn, Mo, Ni, and Zn were measured using inductively coupled
plasma-mass spectrometry. H. virescens larvae exhibited greater fluctuations in
hemolymph trace metal levels in response to baculovirus infection and development
than did H. zea larvae. H. zea single nucleopolyhedrosis virus infection
significantly altered the levels of Cu, Fe, Mg, Mn, Mo, and Zn in fourth instar
H. virescens larvae. Conversely, in fifth instar H. virescens and both H. zea
instar infections, no metal levels were significantly different between infected
and uninfected larvae. In fourth instar H. virescens hemolymph, Cu, Fe, Mo, and
Zn increased during development. Cu, Fe, Mg, Mn, Mo, and Zn levels changed
significantly during development in fifth instar H. virescens as well as both H.
zea instars. Based on this analysis, metals were identified whose levels changed
during development in both species and during the immune response of H. virescens
larvae.
PMID- 22083424
TI - The interactions of glutathione-capped CdTe quantum dots with trypsin.
AB - Due to their unique fluorescent properties, quantum dots present a great
potential for biolabelling applications; however, the toxic interactions of
quantum dots with biopolymers are little known. The toxic interactions of
glutathione-capped CdTe quantum dots with trypsin were studied in this paper
using synchronous fluorescence spectroscopy, fluorescence emission spectra, and
UV-vis absorption spectra. The interaction between CdTe quantum dots and trypsin
resulted in structure changes of trypsin and inhibited trypsin's activity.
Fluorescence emission spectra revealed that the quenching mechanism of trypsin by
CdTe quantum dots was a static quenching process. The binding constant and the
number of binding sites at 288 and 298 K were calculated to be 1.98 * 10(6) L
mol(-1) and 1.37, and 6.43 * 10(4) L mol(-1) and 1.09, respectively. Hydrogen
bonds and van der Waals' forces played major roles in this process.
PMID- 22083425
TI - Anti-genotoxic potential of casein phosphopeptides (CPPs): a class of fermented
milk peptides against low background radiation and prevention of cancer in
radiation workers.
AB - BACKGROUND: Radiation workers are constantly exposed to low background radiation
which is their occupational hazard. This continuous and prolonged exposure
produces genotoxicity and cancerous condition in many workers. The authors have
tested casein phosphopeptides (CPP) as a radioprotectant against low background
radiation using animal models. METHODS: Fermented milk was produced by addition
of a bacterial culture, Lactobacillus acidophilus to a commercially available
milk brand. After the fermentation process is completed in the milk, CPP is
isolated from fermented milk by enzymatic hydrolysis-based method. The
radioprotective role of CPP was proved using albino mice and Catla catla fish.
RESULTS: The micronucleus assay showed higher level of cell deformation and
micronucleus formation in the control animal cells than the test animal cells.
CPP has found to be having radioprotective activity potential. CONCLUSIONS: This
radioprotective potential of CPP can be harnessed to produce formulations which
can be used by radiation workers and personnel exposed to low ionization
background as an occupational hazard, thus reducing the risk and preventing any
type of cancer.
PMID- 22083426
TI - Treatment options in end-stage heart failure: where to go from here?
AB - Chronic heart failure is a major healthcare problem associated with high
morbidity and mortality. Despite significant progress in treatment strategies,
the prognosis of heart failure patients remains poor. The golden standard
treatment for heart failure is heart transplantation after failure of medical
therapy, surgery and/or cardiac resynchronisation therapy. In order to improve
patients' outcome and quality of life, new emerging treatment modalities are
currently being investigated, including mechanical cardiac support devices, of
which the left ventricular assist device is the most promising treatment option.
Structured care for heart failure patients according to the most recent
international heart failure guidelines may further contribute to optimal decision
making. This article will review the conventional and novel treatment modalities
of heart failure.
PMID- 22083427
TI - Delayed pseudoaneurysm of the internal mammary artery: conservative management.
PMID- 22083428
TI - Imaging the prosthetic valve sewing ring thrombosis using real-time three
dimensional transoesophageal echocardiography.
PMID- 22083429
TI - Pulmonary arterial hypertension: an update.
AB - Pulmonary arterial hypertension (PAH), defined as group 1 of the World Heart
Organisation (WHO) classification of pulmonary hypertension, is an uncommon
disorder of the pulmonary vascular system. It is characterised by an increased
pulmonary artery pressure, increased pulmonary vascular resistance and specific
histological changes. It is a progressive disease finally resulting in right
heart failure and premature death. Typical symptoms are dyspnoea at exercise,
chest pain and syncope; furthermore clinical signs of right heart failure develop
with disease progression. Echocardiography is the key investigation when
pulmonary hypertension is suspected, but a reliable diagnosis of PAH and
associated conditions requires an intense work-up including invasive measurement
by right heart catheterisation. Treatment includes general measures and drugs
targeting the pulmonary artery tone and vascular remodelling. This advanced
medical therapy has significantly improved morbidity and mortality in patients
with PAH in the last decade. Combinations of these drugs are indicated when
treatment goals of disease stabilisation are not met. In patients refractory to
medical therapy lung transplantation should be considered an option.
PMID- 22083432
TI - Involvement of the capsular genu in reward-related feeding.
PMID- 22083431
TI - Personality traits in patients with Parkinson's disease: assessment and clinical
implications.
AB - This study reviews empirical evidence on the association between personality
traits and Parkinson's disease (PD), with a twofold aim. First, to better
identify non-motor symptoms, such as affective symptoms and personality changes,
that could help to define the pre-motor phase of PD; second, to better understand
the neurobiological bases of personality traits, a goal that is not fully
accomplished by a purely anatomical approach. A literature review was performed
on studies of personality traits in PD patients, in electronic databases ISI Web
of Knowledge, Medline and PsychInfo, conducted in July 2011. We found evidence
that the existence of a characteristic premorbid personality profile of PD
patients is not actually sustained by robust empirical evidence, mainly due to
the methodological bias of the retrospective assessment of personality; PD
patients present a personality profile of low novelty seeking and high harm
avoidance. We concluded that the definition of a pre-motor phase of PD, based on
non-motor symptoms, should search for the presence of concomitant affective
disorders and for a positive psychiatric history for affective disorders rather
than for a typical personality profile or personality changes. The low novelty
seeking profile is probably related to the dopaminergic deficit, while the high
harm avoidance profile is probably associated with the presence of affective
disorders. Clinical implications of these findings, in regard to personality
assessment and pharmacological treatments in PD, are also discussed.
PMID- 22083433
TI - Emergency surgery in patients who have undergone recent radiotherapy is
associated with increased complications and mortality: review of 536 patients.
AB - BACKGROUND: There is a paucity of data regarding patients undergoing emergency
surgery following radiotherapy. This study examines the morbidity and mortality
of patients having emergent surgery <=90 days after irradiation. METHODS: We
identified patients >=18 years of age in the American College of Surgeons
National Surgical Quality Improvement Program (Radiation group) who underwent
irradiation <=90 days before emergency surgery. Patients receiving concomitant
chemotherapy were excluded. Subjects were compared to a Control group that did
not have preoperative irradiation but underwent similar emergent procedures
(matched 1:1 on age and procedure). Demographic and clinical characteristics,
including patient co-morbidities, functional status, and preoperative laboratory
values, were assessed. Primary outcomes included 30-day postoperative morbidity
and mortality. Log-transformed data, bivariate and multivariate linear and
conditional logistic regression were used. RESULTS: A total of 536 patients were
included, 268 per group. Patient demographics and preoperative co-morbidities
were similar between groups. The Radiation group had more mortality [23.9% vs.
11.6%, P < 0.001; odds ratio (OR) 2.4], major complications (45.1% vs. 34.7%, P =
0.014; OR 1.55), and a greater likelihood of sustaining a complication (48.1% vs.
38.1%, P = 0.019; OR 1.51). Days from admission to operation, operating time,
likelihood of reoperation, days from operation to death, and length of hospital
stay were not statistically different. By conditional logistic regression, death
was independently associated with irradiation, chronic obstructive pulmonary
disease (COPD), impaired preoperative functional status, and thrombocytopenia;
and a major complication was associated with COPD, hypoalbuminemia, and
preoperative wound infection. CONCLUSIONS: Patients who require emergent surgery
<=90 days after irradiation sustain increased morbidity and mortality. Optimizing
the nutritional and functional status of these patients may improve surgical
outcomes.
PMID- 22083434
TI - Risk factors for prolonged postoperative ileus after colorectal cancer surgery.
AB - BACKGROUND: The aim of this study was to analyze factors contributing to
prolonged postoperative ileus (POI) after elective bowel resection in patients
with colorectal cancer. METHODS: This was a retrospective review of a
prospectively maintained database of patients operated on for colorectal cancer
during 2006-2009. Patients with abdominal procedures and bowel resection without
anastomotic leakage were included. Prolonged POI was defined as no flatus by
postoperative day (POD) 6, with or without intolerance to oral intake by POD 6.
Variables studied included demographics, prior medical conditions, details of the
surgical procedure, and hospital stay. RESULTS: A total of 773 patients met the
inclusion criteria. POI occurred in 15.9%. The mean hospital stay was 11 days
without POI and 20 days for POI patients (P < 0.001). Factors associated with POI
in the univariate analysis were ASA III-IV (P < 0.005), male sex (P < 0.004),
smoking (P < 0.015), chronic pulmonary disease (COPD) (P < 0.002), rectal cancer
(P < 0.02), and ileostomy (P < 0.001). Multivariate logistic regression analysis
showed male sex [odds ratio (OR) 1.6, 95% confidence interval (CI) 1.04-3.5];
COPD (OR 1.9, 95% CI 1.25-31.0), and ileostomy (OR 1.9; 95% CI 1.23-3.07) as risk
factors for POI. CONCLUSIONS: The risk of POI seems increased in patients with
preoperative COPD and patients with an ileostomy, especially in men.
Consideration of these factors could be important for the prevention and
treatment of POI.
PMID- 22083435
TI - Dexamethasone for prevention of postoperative nausea and vomiting in patients
undergoing thyroidectomy: meta-analysis of randomized controlled trials.
AB - BACKGROUND: Postoperative nausea and vomiting (PONV) is a common complication
after thyroidectomy. Steroids effectively reduce nausea, pain, and inflammation;
therefore, preoperative administration of steroids ought to improve these
surgical outcomes. METHODS: We conducted a systematic review of randomized
controlled trials (RCTs) that compared preoperative single-dose administration of
dexamethasone with no dexamethasone in patients undergoing thyroidectomy. The
primary outcome was occurrence of PONV within 24 h, and the secondary outcomes
were pain, use of analgesics, and steroid-related complications. RESULTS: Five
RCTs were included with a total of 497 patients. A statistically and clinically
significant difference in the incidence of PONV was found in favor of
dexamethasone [relative risk (RR) 0.38; 95% confidence interval (CI) 0.30-0.49).
The visual analog pain score was significantly diminished (weighted mean
difference, WMD)-1.50; 95% CI-2.54 to -0.46) at 24 h. The incidence of analgesics
use was also reduced (RR 0.61; 95% CI 0.41-0.90) in the dexamethasone group. No
steroid-related complications were noted. CONCLUSIONS: A single preoperative
administration of dexamethasone reduced the incidence of PONV and analgesic
requirements in patients undergoing thyroidectomy. Prophylactic use of steroids
for patients undergoing thyroidectomy is safe and should be considered for
routine clinical practice.
PMID- 22083436
TI - The importance of preoperative laryngeal examination before thyroidectomy and the
usefulness of a voice questionnaire in screening.
AB - BACKGROUND: The objectives of this study were to emphasize the importance of
preoperative laryngeal examination before thyroidectomy by investigating the
incidence of coincident abnormal laryngeal conditions that impair the quality of
voice, and evaluate the usefulness of the "thyroidectomy-related voice
questionnaire" as a screening tool. METHODS: Five hundred consecutive patients
scheduled to undergo thyroidectomy underwent preoperative laryngeal examination
and voice analysis and completed the questionnaire. According to the laryngeal
examination results, patients were classified into normal and abnormal groups.
Acoustic-analysis results and questionnaire scores were compared between the two
groups, and correlations between acoustic parameters and questionnaire scores
were evaluated. The cutoff score of the questionnaire that can effectively
discriminate between the two groups was also determined. RESULTS: The incidence
of abnormal laryngeal conditions was 35.8%. The most common finding was
laryngopharyngeal reflux (27.2%) followed by vocal nodule (4.8%), vocal polyp
(1.8%), vocal cord palsy (1.2%), Reinke's edema (0.4%), vocal cyst (0.2%), and
vocal sulcus (0.2%). The perceptual grade of voice quality (0.33 +/- 0.49 for
normal group vs. 0.65 +/- 0.62 for abnormal group, P = 0.000) and the
questionnaire scores (3.21 +/- 5.47 for normal group vs. 13.41 +/- 11.67 for
abnormal group, P = 0.000) of the two groups were significantly different, and
there was a significant correlation between objective voice parameters and
questionnaire scores. A questionnaire score of 5 showed the best sensitivity
(74%) and specificity (71%) in discriminating between the two groups.
CONCLUSIONS: The incidence of coincident abnormal laryngeal conditions is
relatively high; therefore, voice screening before thyroidectomy is important.
The "thyroidectomy-related voice questionnaire" is a simple and effective
screening tool to detect preexisting laryngeal disorders that can affect the
quality of voice.
PMID- 22083437
TI - The prevalence and some metabolic traits of Brochothrix thermosphacta in meat and
meat products packaged in different ways.
AB - BACKGROUND: The effect of Brochothrix thermosphacta on the quality of meat and
meat products is of vital importance in connection with Regulation EC/178/2002
extending the definition of unsafe foodstuffs to encompass all those which are
unfit for human consumption. This study aimed to determine the prevalence of B.
thermosphacta in meat and meat products packaged under different conditions and
to estimate the effect of B. thermosphacta strains on product quality based on
their protein and lipid degradation activity. RESULTS: B. thermosphacta was
absent in only two of 132 samples. All other samples were contaminated with this
bacterium (10(1) to 10(9) cfu g(-1) meat and 10(2) to 10(8) cfu g(-1) meat
product). In products stored under high-oxygen atmosphere Brochothrix cells
accounted for almost 100% total mesophilic count (TMC) and below 50% TMC in
oxygen-free atmosphere. While the tested B. thermosphacta strains did not show
any proteolytic activity, most of them displayed lipolytic activity at 25
degrees C and some even at 4 degrees C. CONCLUSION: B. thermosphacta is commonly
present in meat and meat products packaged in different ways. This bacterium can
display lipolytic activity also at refrigeration temperature. Its over
proliferation can be inhibited through vacuum packaging or packaging under a
modified atmosphere with reduced oxygen content.
PMID- 22083438
TI - The effect of resveratrol on lifespan depends on both gender and dietary nutrient
composition in Drosophila melanogaster.
AB - Resveratrol, a polyphenolic compound, has been shown to extend lifespan in
different organisms. Emerging evidence suggests that the prolongevity effect of
resveratrol depends on dietary composition. However, the mechanisms underlying
the interaction of resveratrol and dietary nutrients in modulating lifespan
remain elusive. Here, we investigated the effect of resveratrol on lifespan of
Drosophila melanogaster fed diets differing in the concentrations of sugar, yeast
extract, and palmitic acid representing carbohydrate, protein, and fat,
respectively. Resveratrol at up to 200 MUM in diets did not affect lifespan of
wild-type female flies fed a standard, restricted or high sugar-low protein diet,
but extended lifespan of females fed a low sugar-high protein diet. Resveratrol
at 400 MUM extended lifespan of females fed a high-fat diet. Lifespan extension
by resveratrol was associated with downregulation of genes in aging-related
pathways, including antioxidant peroxiredoxins, insulin-like peptides involved in
insulin-like signaling and several downstream genes in Jun-kinase signaling
involved in oxidative stress response. Furthermore, resveratrol increased
lifespan of superoxide dismutase 1 (sod1) knockdown mutant females fed a standard
or high-fat diet. No lifespan extension by resveratrol was observed in wild-type
and sod1 knockdown males under the culture conditions in this study. Our results
suggest that the gender-specific prolongevity effect of resveratrol is influenced
by dietary composition and resveratrol promotes the survival of flies by
modulating genetic pathways that can reduce cellular damage. This study reveals
the context-dependent effect of resveratrol on lifespan and suggests the
importance of dietary nutrients in implementation of effective aging
interventions using dietary supplements.
PMID- 22083440
TI - Use of Self-Organizing Maps for Balanced Scorecard analysis to monitor the
performance of dialysis clinic chains.
AB - The Balanced Scorecard (BSC) is a validated tool to monitor enterprise
performances against specific objectives. Through the choice and the evaluation
of strategic Key Performance Indicators (KPIs), it provides a measure of the past
company's outcome and allows planning future managerial strategies. The Fresenius
Medical Care (FME) BSC makes use of 30 KPIs for a continuous quality improvement
strategy within its dialysis clinics. Each KPI is monthly associated to a score
that summarizes the clinic efficiency for that month. Standard statistical
methods are currently used to analyze the BSC data and to give a comprehensive
view of the corporate improvements to the top management. We herein propose the
Self-Organizing Maps (SOMs) as an innovative approach to extrapolate information
from the FME BSC data and to present it in an easy-readable informative form. A
SOM is a computational technique that allows projecting high-dimensional datasets
to a two-dimensional space (map), thus providing a compressed representation.
The SOM unsupervised (self-organizing) training procedure results in a map that
preserves similarity relations existing in the original dataset; in this way, the
information contained in the high-dimensional space can be more easily visualized
and understood. The present work demonstrates the effectiveness of the SOM
approach in extracting useful information from the 30-dimensional BSC dataset:
indeed, SOMs enabled both to highlight expected relationships between the KPIs
and to uncover results not predictable with traditional analyses. Hence we
suggest SOMs as a reliable complementary approach to the standard methods for BSC
interpretation.
PMID- 22083439
TI - A worldwide investigation of tuberculosis epidemics.
AB - We analyse the tuberculosis (TB) epidemics of 211 countries with a view to
proposing more efficient and targeted TB control strategies. Countries are
classified by how their TB case notification rates have evolved over time and the
age distribution of those suffering from active TB disease in 2008. Further
analysis of key statistics associated with each of the countries shows the impact
of different indicators. As expected, HIV is a key driver of TB epidemics and
affects their age-distribution and their scale. The level of development of a
country and its wealth also vary with the shape and scale of a country's TB
epidemic. Immigration has an influence on the shape of TB epidemics, which is
particularly pronounced in highly developed countries with low levels of TB
disease in the native population. We conclude by proposing how the TB control
programme in each country analysed should prioritise its efforts.
PMID- 22083441
TI - Modelling human resources policies with Markov models: an illustration with the
South African nursing labour market.
AB - This article proposes a modelling framework to simulate and assess the immediate
and long-term effects of policy interventions to attract and retain nurses in
rural areas. Specifically, we use a Markov model to model the dynamics of
movements of health care workers in a professional labour market. A model is
developed to simulate the movements of South African nurses between different
segments of the labour market over time. The model builds upon a series of
assumptions that are stated in details, and uses predictions generated by
discrete choice experiments. The results demonstrate the ability of Markov models
to model the effects of human resources policy interventions in the short and
long run. They highlight the effects of time on the effectiveness of some
potential policy interventions, whose immediate positive effects can be eroded as
different adverse effects appear. Despite its complexity, this innovative method
provides a transparent and useful tool to inform the design of policies to
address rural staff shortages.
PMID- 22083444
TI - The Altemeier procedure using biologic mesh.
AB - Transperineal rectosigmoidectomy is a relatively safe operation for the treatment
of rectal prolapse; however, it carries a high rate of recurrence. The use of
biologic mesh to buttress the repair and support the pelvic floor muscles may
decrease the rate of recurrence. The technique for performing an Altemeier
procedure with biologic mesh is described.
PMID- 22083443
TI - Simple excision and closure of a distal limb of loop colostomy prolapse by
stapler device.
AB - Stomal prolapse is one of the common complications in transverse colostomy and
can be managed conservatively in most cases; however, laparotomy and
reconstruction of the stoma may sometimes be required, especially in case of
irreducible colostomy prolapse. We have reported a simple local repair with
reconstruction of the loop colostomy. We herein report a new more simple
technique to avoid laparotomy and allow excision of the irreducible colostomy
prolapse and complete closure of the distal limb of loop colostomy when no
decompression is required in the distal limb of the stoma. In this procedure, the
number of stapler and the time with blood loss for the operation can be saved.
PMID- 22083445
TI - The state of the journal: a report to AJH readers, reviewers, and authors.
PMID- 22083447
TI - NKCC1 as an epigenetically regulated transporter involved in blood pressure
elevation with age.
PMID- 22083448
TI - Rapid cortisol assay increases the success of adrenal vein sampling.
PMID- 22083449
TI - Generation of accurate aortic pressure waves from calibrated upper limb pressure
waveforms.
PMID- 22083452
TI - Neuronal growth promoting sesquiterpene-neolignans; syntheses and biological
studies.
AB - The use of small molecules that can promote neuronal growth represents a
promising approach to regenerative science. Along these lines we have developed
separate short or modular syntheses of the natural products caryolanemagnolol and
clovanemagnolol, small molecules previously shown to promote neuronal growth and
induce choline acetyltransferase activity. The postulated biosynthetic pathways,
potentially leading to the assembly of these molecules in nature, have guided the
laboratory syntheses, allowing the preparation of both natural products in as few
as two steps. With synthetic access to the compounds as single enantiomers we
have examined clovanemagnolol's ability to promote the growth of embryonic
hippocampal and cortical neurons. Clovanemagnolol has been shown to be a potent
neurotrophic agent, promoting neuronal growth at concentrations of 10 nM.
PMID- 22083453
TI - MRP1 expressed on Burkitt's lymphoma cells was depleted by catfish egg lectin
through Gb3-glycosphingolipid and enhanced cytotoxic effect of drugs.
AB - A novel anticancer mechanism of catfish (Silurus asotus) egg lectin (SAL) was
found to occur via the down-regulation of the membrane transopter protein, MRP1
(multidrug resistance associate protein-1) on Burkitt's lymphoma cells through
Gb3(Galalpha1-4Galbeta1-4Glc)-glycosphingolipid. Although SAL did not influence
the viability of the cells directly, only 10 and 100 ng/mL of vincristine and
etoposide, respectively induced anticancer effects when the lectin was applied in
conjunction with these drugs. These phenomena were specifically inhibited by the
co-presence of the alpha-galactoside, melibiose, which is a strong haptenic sugar
of SAL that mimicks Gb3. The degree of expression regulation of the transporter
proteins on the cells surface was investigated through the examination of the
binding between SAL and Gb3-glycosphingolipid by immunological and molecular
biological procedures. PCR data showed that MRP1 was more highly expressed when
compared to another ATP-binding cassette family, multi-drug resistant protein and
the expression levels of MRP1 on the cells were specifically dose- and time
dependently depleted by the addition of SAL. These results were also evaluated by
immunological procedures using FACS and western-blotting. Small interfering RNA
coding a part of MRP1 was transfected to Raji cells to knock down the protein,
and cell death was increased by 10% when vincristine was administered at a
concentration as low as 10 ng/mL compared to non-transfected cells. These results
indicated that SAL possesses the potential to enhance the anticancer activites of
low-concentrations of vincristine by the down-regulating the MRP1 gene expression
to inhibit the multidrug resistance by binding to the target ligand Gb3
glycosphingolipid on Burkitt's lymphoma cells.
PMID- 22083454
TI - A study evaluating the effect of mifepristone (RU-486) for the treatment of
leiomyomata uteri.
AB - BACKGROUND: To estimate the efficacy of daily administration of 25 mg
mifepristone for the treatment of uterine leiomyoma. MATERIALS AND METHODS: A
total of 30 women were to receive 25 mg mifepristone daily for a period of 6
months. Abdominal ultrasonography was performed before treatment, at 3 months and
after 6 months, to evaluate the leiomyoma size and uterine volume. Endometrial
biopsy was done after the treatment. Efficacy was estimated by the reduction in
leiomyoma size, uterine volume, and improvement in quality of life. RESULTS:
After 180 days of treatment, there was a 47% decrease in the leiomyoma volume and
a 53% decrease in the uterine volume. Symptomatic improvement was noted. Twenty
three of 30 women (75.7%) became amenorrheic after the treatment. Endometrial
biopsy after treatment revealed simple hyperplasia in two of 30 women.
CONCLUSION: 25 mg mifepristone produces reduction in leiomyoma size and uterine
volume and produces symptomatic improvement in women with fibroids.
PMID- 22083455
TI - Single-step synthesis of pyrazoles using titanium catalysis.
AB - A simple titanium complex catalyzes the coupling of alkynes, isonitriles, and
monosubstituted hydrazines to generate substituted pyrazoles in a single step.
PMID- 22083456
TI - Developing a provisional definition of flare in patients with established gout.
AB - OBJECTIVE: Various nonvalidated criteria for disease flare have been used in
studies of gout. Our objective was to develop empirical definitions for a gout
flare from patient-reported features. METHODS: Possible elements for flare
criteria were previously reported. Data were collected from 210 gout patients at
8 international sites to evaluate potential gout flare criteria against the gold
standard of an expert rheumatologist definition. Flare definitions based on the
presence of the number of criteria independently associated with the flare and
classification and regression tree approaches were developed. RESULTS: The mean
+/- SD age of the study participants was 56.2 +/- 15 years, 207 of them (98%)
were men, and 54 of them (26%) had flares of gout. The presence of any patient
reported warm joint, any patient-reported swollen joint, patient-reported pain at
rest score of >3 (0-10 scale), and patient-reported flare were independently
associated with the study gold standard. The greatest discriminating power was
noted for the presence of 3 or more of the above 4 criteria (sensitivity 91% and
specificity 82%). Requiring all 4 criteria provided the highest specificity (96%)
and positive predictive value (85%). A classification tree identified pain at
rest with a score of >3, followed by patient self-reported flare, as the rule
associated with the gold standard (sensitivity 83% and specificity 90%).
CONCLUSION: We propose definitions for a disease flare based on self-reported
items in patients previously diagnosed as having gout. Patient-reported flare,
joint pain at rest, warm joints, and swollen joints were most strongly associated
with presence of a gout flare. These provisional definitions will next be
validated in clinical trials.
PMID- 22083458
TI - Cell cycle proteins in brain in mild cognitive impairment: insights into
progression to Alzheimer disease.
AB - Recent studies have demonstrated the re-emergence of cell cycle proteins in brain
as patients progress from the early stages of mild cognitive impairment (MCI)
into Alzheimer's disease (AD). Oxidative stress markers present in AD have also
been shown to be present in MCI brain suggesting that these events occur in early
stages of the disease. The levels of key cell cycle proteins, such as CDK2, CDK5,
cyclin G1, and BRAC1 have all been found to be elevated in MCI brain compared to
age-matched control. Further, peptidyl prolyl cis-trans isomerase (Pin1), a
protein that plays an important role in regulating the activity of key proteins,
such as CDK5, GSK3-beta, and PP2A that are involved in both the phosphorylation
state of Tau and in the cell cycle, has been found to be oxidatively modified and
downregulated in both AD and MCI brain. Hyperphosphorylation of Tau then results
in synapse loss and the characteristic Tau aggregation as neurofibrillary
tangles, an AD hallmark. In this review, we summarized the role of cell cycle
dysregulation in the progression of disease from MCI to AD. Based on the current
literature, it is tempting to speculate that a combination of oxidative stress
and cell cycle dysfunction conceivably leads to neurodegeneration.
PMID- 22083459
TI - Protective efficacy of coenzyme Q10 against DDVP-induced cognitive impairments
and neurodegeneration in rats.
AB - The present study was carried out to elucidate the effects of coenzyme Q(10)
(CoQ(10)) against cognitive impairments induced by dichlorvos (DDVP). We have
previously shown organophosphate, DDVP-induced impairments in neurobehavioral
indices viz. rota rod, passive avoidance, and water maze tests. In addition to
this, we have also reported that chronic DDVP exposure leads to decreased
mitochondrial electron transfer activities of cytochrome oxidase along with
altered mitochondrial complexes I-III activity. Administration of CoQ(10) (4.5
mg/kg, i.p. for 12 weeks prior to DDVP administration daily) to DDVP-treated rats
improved cognitive performance in passive avoidance task and Morris water maze
test. Furthermore, CoQ(10) treatment also reduced oxidative stress (as evident by
reduced malondialdehyde, decreased ROS and increased Mn-SOD activity) in DDVP
treated rats' hippocampus region, along with enhanced activity of complexes I-III
and complex IV. Electron microscope studies of rat hippocampus mitochondria
revealed that CoQ(10) administration leads to near normal physiology of
mitochondria with well-defined cristae compared with DDVP-treated animals where
enlarged mitochondria with distorted cristae are observed. CoQ(10) administration
also attenuated neuronal damage in hippocampus as evident from histopathological
studies. These results demonstrate the beneficial effects of CoQ(10) against
organophosphate-induced cognitive impairments and hippocampal neuronal
degeneration.
PMID- 22083462
TI - Nicking enzyme based homogeneous aptasensors for amplification detection of
protein.
AB - A simple and highly sensitive homogeneous aptasensor is developed, which relies
on nicking enzyme. The sensitivity of this newly proposed aptasensor is about
three orders of magnitude higher than that of traditional homogeneous
aptasensors. Furthermore, it is capable of detecting target protein in real
samples.
PMID- 22083460
TI - Common pathways of autoimmune inflammatory myopathies and genetic neuromuscular
disorders.
AB - It has been shown that many hereditary motor neuron diseases are caused by
mutation of RNA processing enzymes. Survival of motor neuron 1 (SMN1) is well
known as a causative gene for spinal muscular atrophy (SMA) and mutations of
glycyl- and tyrosyl-tRNA synthetases are identified as a cause of distal SMA and
Charcot-Marie-Tooth disease. Why and how the dysfunction of these ubiquitously
expressed genes involved in RNA processing can cause a specific neurological
disorder is not well understood. Interestingly, SMN complex has been identified
recently as a new target of autoantibodies in polymyositis (PM). Autoantibodies
in systemic rheumatic diseases are clinically useful biomarkers associated with a
particular diagnosis, subset of a disease, or certain clinical characteristics.
Many autoantibodies produced in patients with polymyositis/dermatomyositis
(PM/DM) target RNA-protein complexes such as aminoacyl tRNA synthetases. It is
interesting to note these same RNA-protein complexes recognized by autoantibodies
in PM/DM are also responsible for genetic neuromuscular disease. Certain RNA
protein complexes are also targets of autoantibodies in paraneoplastic
neurological disorders. Thus, there are several interesting associations between
RNA-processing enzymes and neuromuscular disorders. Although pathogenetic roles
of autoantibodies to intracellular antigens are generally considered unlikely,
understanding the mechanisms of antigen selection in a particular disease and
specific neurological symptoms caused by disruption of ubiquitous RNA-processing
enzyme may help identify a common path in genetic neuromuscular disorders and
autoimmunity in inflammatory myopathies.
PMID- 22083463
TI - Fasciae anatomy.
PMID- 22083464
TI - The strength to cope: spirituality and faith in chronic disease.
AB - The lifelong management of a chronic condition requires considerable mental
fortitude and commitment in social adjustment and adherence to medical advice. In
examining strategies of adaptation, we draw on ethnographic research, including
interviews with 69 people with type 2 diabetes and/or cardiovascular disease. We
explore how they incorporate spirituality into their self-management routines,
with positive impact on their health and wellbeing, and highlight the role of
spiritual practices in supporting people with chronic conditions mentally,
physically and socially, so encouraging personal responsibility for one's health
and wellbeing.
PMID- 22083465
TI - Genetic features of the widespread plasmid coding for the carbapenemase OXA-48.
AB - Complete sequencing of plasmid pOXA-48a carrying the bla(OXA-48) gene from a
Klebsiella pneumoniae isolate was performed. Its backbone corresponded to that of
an IncL/M-type plasmid, in which the bla(OXA-48) gene had been integrated through
the acquisition of the Tn1999 composite transposon without any other antibiotic
resistance gene. Molecular epidemiology using a collection of international OXA
48 producers revealed the wide diffusion of pOXA-48a or closely related plasmids.
PMID- 22083466
TI - Penetration of doripenem into skeletal muscle and subcutaneous adipose tissue in
healthy volunteers.
AB - Sufficient antibiotic concentrations at the infection site are a prerequisite for
good bacterial killing. This study was performed to determine pharmacokinetics of
doripenem in soft tissues and saliva. Six healthy male volunteers received a
single intravenous infusion of 500 mg doripenem over 1 h. The concentrations of
doripenem were measured over 8 h in saliva, plasma, and extracellular space fluid
of skeletal muscle and subcutaneous adipose tissue employing in vivo
microdialysis. Unbound drug concentrations were determined using ultra-high
performance liquid chromatography-tandem mass spectrometry. Maximum
concentrations of doripenem were 15.3 +/- 6.0 mg/liter in plasma, 9.9 +/- 2.3
mg/liter in subcutaneous adipose tissue, 6.6 +/- 2.9 mg/liter in skeletal muscle,
and 0.5 +/- 0.2 mg/liter in saliva. Areas under the concentration-time curve
(AUC) from 0 to infinity were 26.3 +/- 10.1, 20.4 +/- 3.8, 12.8 +/- 3.0, and 1.0
+/- 0.5 mg . h/liter in plasma, adipose tissue, skeletal muscle, and saliva,
respectively. Ratios of AUC in adipose tissue, skeletal muscle, and saliva to
those in plasma were 0.84 +/- 0.28, 0.53 +/- 0.19, and 0.04 +/- 0.03,
respectively. In all six volunteers, a threshold of >=40% for "time above MIC,"
an index indicative of good antimicrobial activity, was exceeded in adipose
tissue for MICs of <=2 mg/liter and in skeletal muscle for MICs <=1.5 mg/liter.
Doripenem penetrates well into interstitial space fluid of skeletal muscle and
adipose tissue, suggesting good antimicrobial activity in infected soft tissues,
whereas it is detectable in relatively low concentrations in saliva.
PMID- 22083467
TI - Phenotypic and genotypic analysis of in vitro-selected artemisinin-resistant
progeny of Plasmodium falciparum.
AB - Emergence of artemisinin resistance in Cambodia highlights the importance of
characterizing resistance to this class of drugs. Previously, intermediate levels
of resistance in Plasmodium falciparum were generated in vitro for artelinic acid
(AL) and artemisinin (QHS). Here we expanded on earlier selection efforts to
produce levels of clinically relevant concentrations, and the resulting lines
were characterized genotypically and phenotypically. Recrudescence assays
determined the ability of resistant and parent lines to recover following
exposure to clinically relevant levels of drugs. Interestingly, the parent clone
(D6) tolerated up to 1,500 ng/ml QHS, but the resistant parasite, D6.QHS340*3,
recovered following exposure to 2,400 ng/ml QHS. Resistant D6, W2, and TM91c235
parasites all exhibited elevated 50% inhibitory concentrations (IC(50)s) to
multiple artemisinin drugs, with >3-fold resistance to QHS and AL; however, the
degree of resistance obtained with standard methods was remarkably less than
expected for parasite lines that recovered from 2,400-ng/ml drug pressure. A
novel assay format with radiolabeled hypoxanthine demonstrated a greater degree
of resistance in vitro than the standard SYBR green method. Analysis of merozoite
number in resistant parasites found D6 and TM91c235 resistant progeny had
significantly fewer merozoites than parent strains, whereas W2 resistant progeny
had significantly more. Amplification of pfmdr1 increased proportionately to the
increased drug levels tolerated by W2 and TM91c235, but not in resistant D6. In
summary, we define the artemisinin resistance phenotype as a decrease in
susceptibility to artemisinins along with the ability to recover from drug
induced dormancy following supraclinical concentrations of the drug.
PMID- 22083468
TI - PD 404,182 is a virocidal small molecule that disrupts hepatitis C virus and
human immunodeficiency virus.
AB - We describe a virucidal small molecule, PD 404,182, that is effective against
hepatitis C virus (HCV) and human immunodeficiency virus (HIV). The median 50%
inhibitory concentrations (IC(50)s) for the antiviral effect of PD 404,182
against HCV and HIV in cell culture are 11 and 1 MUM, respectively. The antiviral
activity of PD 404,182 is due to the physical disruption of virions that is
accompanied to various degrees (depending on the virus and exposure
temperature/time) by the release of viral nucleic acids into the surrounding
medium. PD 404,182 does not directly lyse liposomal membranes even after extended
exposure, and it shows no attenuation in antiviral activity when preincubated
with liposomes of various lipid compositions, suggesting that the compound
inactivates viruses through interaction with a nonlipid structural component of
the virus. The virucidal activity of PD 404,182 appears to be virus specific, as
little to no viral inactivation was detected with the enveloped Dengue and
Sindbis viruses. PD 404,182 effectively inactivates a broad range of primary
isolates of HIV-1 as well as HIV-2 and simian immunodeficiency virus (SIV), and
it does not exhibit significant cytotoxicity with multiple human cell lines in
vitro (50% cytotoxic concentration, >300 MUM). The compound is fully active in
cervical fluids, although it exhibits decreased potency in the presence of human
serum, retains its full antiviral potency for 8 h when in contact with cells, and
is effective against both cell-free and cell-associated HIV. These qualities make
PD 404,182 an attractive candidate anti-HIV microbicide for the prevention of HIV
transmission through sexual intercourse.
PMID- 22083469
TI - Activities of E1210 and comparator agents tested by CLSI and EUCAST broth
microdilution methods against Fusarium and Scedosporium species identified using
molecular methods.
AB - Fusarium (n = 67) and Scedosporium (n = 63) clinical isolates were tested by two
reference broth microdilution (BMD) methods against a novel broad-spectrum
(active against both yeasts and molds) antifungal, E1210, and comparator agents.
E1210 inhibits the inositol acylation step in glycophosphatidylinositol (GPI)
biosynthesis, resulting in defects in fungal cell wall biosynthesis. Five species
complex organisms/species of Fusarium (4 isolates unspeciated) and 28
Scedosporium apiospermum, 7 Scedosporium aurantiacum, and 28 Scedosporium
prolificans species were identified by molecular techniques. Comparator
antifungal agents included anidulafungin, caspofungin, itraconazole,
posaconazole, voriconazole, and amphotericin B. E1210 was highly active against
all of the tested isolates, with minimum effective concentration (MEC)/MIC(90)
values (MUg/ml) for E1210, anidulafungin, caspofungin, itraconazole,
posaconazole, voriconazole, and amphotericin B, respectively, for Fusarium of
0.12, >16, >16, >8, >8, 8, and 4 MUg/ml. E1210 was very potent against the
Scedosporium spp. tested. The E1210 MEC(90) was 0.12 MUg/ml for S. apiospermum,
but 1 to >8 MUg/ml for other tested agents. Against S. aurantiacum, the MEC(50)
for E1210 was 0.06 MUg/ml versus 0.5 to >8 MUg/ml for the comparators. Against S.
prolificans, the MEC(90) for E1210 was only 0.12 MUg/ml, compared to >4 MUg/ml
for amphotericin B and >8 MUg/ml for itraconazole, posaconazole, and
voriconazole. Both CLSI and EUCAST methods were highly concordant for E1210 and
all comparator agents. The essential agreement (EA; +/-2 doubling dilutions) was
>93% for all comparisons, with the exception of posaconazole and F. oxysporum
species complex (SC) (60%), posaconazole and S. aurantiacum (85.7%), and
voriconazole and S. aurantiacum (85.7%). In conclusion, E1210 exhibited very
potent and broad-spectrum antifungal activity against azole- and amphotericin B
resistant strains of Fusarium spp. and Scedosporium spp. Furthermore, in vitro
susceptibility testing of E1210 against isolates of Fusarium and Scedosporium may
be accomplished using either of the CLSI or EUCAST BMD methods, each producing
very similar results.
PMID- 22083470
TI - Characterization of CIA-1, an Ambler class A extended-spectrum beta-lactamase
from Chryseobacterium indologenes.
AB - An Ambler class A beta-lactamase gene, bla(CIA-1), was cloned from the reference
strain Chryseobacterium indologenes ATCC 29897 and expressed in Escherichia coli
BL21. The bla(CIA-1) gene encodes a novel extended-spectrum beta-lactamase (ESBL)
that shared 68% and 60% identities with the CGA-1 and CME-1 beta-lactamases,
respectively. bla(CIA-1)-like genes were detected from clinical isolates. In
addition to the metallo-beta-lactamase IND of Ambler class B, C. indologenes has
a class A ESBL gene, bla(CIA-1), located on the chromosome.
PMID- 22083471
TI - Population pharmacokinetics of liposomal amphotericin B and caspofungin in
allogeneic hematopoietic stem cell recipients.
AB - Liposomal amphotericin B (LAMB) and caspofungin (CAS) are important antifungal
agents in allogeneic hematopoietic stem cell transplant (aHSCT) recipients.
Little is known, however, about the pharmacokinetics (PK) of both agents and
their combination in this population. The PK of LAMB and CAS and the potential
for PK interactions between both agents were investigated within a risk
stratified, randomized phase II clinical trial in 53 adult aHSCT recipients with
granulocytopenia and refractory fever. Patients received either LAMB (n = 17; 3
mg/kg once a day [QD]), CAS (n = 19; 50 mg QD; day 1, 70 mg), or the combination
of both (CAS-LAMB; n = 17) for a median duration of 10 to 13 days (range, 4 to 28
days) until defervescence and granulocyte recovery. PK sampling was performed on
days 1 and 4. Drug concentrations in plasma (LAMB, 405 samples; CAS, 458 samples)
were quantified by high-pressure liquid chromatography and were analyzed using
population pharmacokinetic modeling. CAS concentration data best fitted a two
compartment model with a proportional error model and interindividual variability
(IIV) for clearance (CL) and central volume of distribution (V(1)) (CL, 0.462
liter/h +/- 25%; V(1), 8.33 liters +/- 29%; intercompartmental clearance [Q],
1.25 liters/h; peripheral volume of distribution [V(2)], 3.59 liters).
Concentration data for LAMB best fitted a two-compartment model with a
proportional error model and IIV for all parameters (CL, 1.22 liters/h +/- 64%;
V(1), 19.2 liters +/- 38%; Q, 2.18 liters/h +/- 47%; V(2), 52.8 liters +/- 84%).
Internal model validation showed predictability and robustness of both models.
None of the covariates tested (LAMB or CAS comedication, gender, body weight,
age, body surface area, serum bilirubin, and creatinine clearance) further
improved the models. In summary, the disposition of LAMB and CAS was best
described by two-compartment models. Drug exposures in aHSCT patients were
comparable to those in other populations, and no PK interactions were observed
between the two compounds.
PMID- 22083472
TI - In vitro activities of candidate microbicides against cell-associated HIV.
AB - Most research on HIV transmission and microbicides focuses on the inhibition of
cell-free virus (CFV) present in genital secretions. However, an effective
microbicide should also block the transmission of cell-associated virus (CAV)
originating from seminal T cells and macrophages. Because inhibition of CAV
remains controversial, especially for viral entry inhibitors, we developed a
novel in vitro assay to evaluate the activities of different classes of candidate
microbicides against cell-free HIV and HIV-infected leukocytes (i.e., resting
peripheral blood mononuclear cells [PBMC], activated PBMC, and monocyte-derived
macrophages). The assay is based on two CD4(+) CXCR4(+) T-cell lines (R5MaRBLE
and X4MaRBLE) that both contain a firefly luciferase reporter gene but differ in
the expression of the CCR5 coreceptor. Consequently, the quantification of the
luciferase activities and the Gag p24 concentrations in cocultures of R5-tropic
HIV-infected leukocytes with each cell line separately allowed us to discriminate
between the infection of the cell lines (i.e., target cells), the ongoing
infection in the HIV-infected leukocytes (i.e., effector cells), and the total
infection of the coculture (i.e., effector plus target cells). All 14
antiretrovirals tested were able to block target cell infection by all three
sources of CAV, although a small decrease in activity (2- to 18-fold) was
observed for all entry inhibitors. On the other hand, the production of Gag p24
by the infected effector cells could be blocked only by protease inhibitors.
Overall, these results show that entry and protease inhibitors are eligible drug
classes for inclusion in future combination microbicides.
PMID- 22083473
TI - Ertapenem pharmacokinetics and pharmacodynamics during continuous ambulatory
peritoneal dialysis.
AB - Scant data exist for the pharmacokinetics (PK) of ertapenem in patients on
continuous ambulatory peritoneal dialysis (CAPD). The goals of this study were to
characterize the PK profile of ertapenem during CAPD, determine the extent of
ertapenem penetration into the peritoneal cavity, and quantify the probability of
the target attainment (PTA) profile in the serum and peritoneal cavity. A single
dose PK study was conducted in seven patients on CAPD. Population PK modeling and
Monte Carlo simulation determined the probability that ertapenem at 500 mg
intravenously (i.v.) every 24 h (q24h) would achieve concentrations in excess of
the MIC for 40% of the dosing interval (40% T>MIC, where T is time) in the serum
and peritoneal cavity. Monte Carlo simulation was also used to calculate the
peritoneal cavity/serum mean and median penetration ratios by estimating the area
under the concentration-time curve in the peritoneal cavity and serum
(AUC(Peritoneal) and AUC(Serum), respectively) from zero to infinity after a
single simulated dose. The population mean (+/- standard deviation [SD]) values
for the apparent volume in the central compartment, clearance, and apparent
volume in the peritoneal cavity were 2.78 (0.62) liters, 0.24 (0.07) liters/hr,
and 5.81 (2.05) liters, respectively. The mean (SD) AUC(Peritoneal)/AUC(Serum)
ratio was 1.039 (0.861), and the median penetration ratio was 0.801
(interquartile range, 0.486 to 1.317). In both the serum and peritoneal cavity,
ertapenem at 500 mg i.v. q24h was very likely (>90%) to achieve the
pharmacodynamic target for MICs of <=2 mg/liter. The simulations suggest that 500
mg of ertapenem i.v. q24h is very likely to achieve the exposure target
associated with clinical efficacy in both the serum and peritoneal cavity against
the range of MIC values deemed susceptible by the Clinical and Laboratory
Standards Institute.
PMID- 22083474
TI - Inactivation of the lipopeptide antibiotic daptomycin by hydrolytic mechanisms.
AB - The lipopeptide daptomycin is a member of the newest FDA-approved antimicrobial
class, exhibiting potency against a broad range of Gram-positive pathogens with
only rare incidences of clinical resistance. Environmental bacteria harbor an
abundance of resistance determinants orthologous to those in pathogens and thus
may serve as an early-warning system for future clinical emergence. A collection
of morphologically diverse environmental actinomycetes demonstrating
unprecedented frequencies of daptomycin resistance and high levels of resistance
by antibiotic inactivation was characterized to elucidate modes of drug
inactivation. In vivo studies revealed that hydrolysis plays a key role,
resulting in one or both of the following structural modifications: ring
hydrolysis resulting in linearization (in 44% of inactivating isolates) or
deacylation of the lipid tail (29%). Characterization of the mechanism in
actinomycete WAC4713 (a Streptomyces sp. with an MIC of 512 MUg/ml) demonstrated
a constitutive resistance phenotype and established daptomycin's circularizing
ester linkage to be the site of hydrolysis. Characterization of the hydrolase
responsible revealed it to be likely a serine protease. These studies suggested
that daptomycin is susceptible to general proteolytic hydrolysis, which was
further supported by studies using proteases of diverse origin. These findings
represent the first comprehensive characterization of daptomycin inactivation in
any bacterial class and may not only presage a future mechanism of clinical
resistance but also suggest strategies for the development of new lipopeptides.
PMID- 22083475
TI - In vitro and in vivo activity of solithromycin (CEM-101) against Plasmodium
species.
AB - With the emergence of Plasmodium falciparum infections exhibiting increased
parasite clearance times in response to treatment with artemisinin-based
combination therapies, the need for new therapeutic agents is urgent.
Solithromycin, a potent new fluoroketolide currently in development, has been
shown to be an effective, broad-spectrum antimicrobial agent. Malarial parasites
possess an unusual organelle, termed the apicoplast, which carries a cryptic
genome of prokaryotic origin that encodes its own translation and transcription
machinery. Given the similarity of apicoplast and bacterial ribosomes, we have
examined solithromycin for antimalarial activity. Other antibiotics known to
target the apicoplast, such as the macrolide azithromycin, demonstrate a delayed
death effect, whereby treated asexual blood-stage parasites die in the second
generation of drug exposure. Solithromycin demonstrated potent in vitro activity
against the NF54 strain of P. falciparum, as well as against two multidrug
resistant strains, Dd2 and 7G8. The dramatic increase in potency observed after
two generations of exposure suggests that it targets the apicoplast.
Solithromycin also retained potency against azithromycin-resistant parasites
derived from Dd2 and 7G8, although these lines did demonstrate a degree of cross
resistance. In an in vivo model of P. berghei infection in mice, solithromycin
demonstrated a 100% cure rate when administered as a dosage regimen of four doses
of 100 mg/kg of body weight, the same dose required for artesunate or chloroquine
to achieve 100% cure rates in this rodent malaria model. These promising in vitro
and in vivo data support further investigations into the development of
solithromycin as an antimalarial agent.
PMID- 22083476
TI - Characterization of the inhibitor-resistant SHV beta-lactamase SHV-107 in a
clinical Klebsiella pneumoniae strain coproducing GES-7 enzyme.
AB - The clinical Klebsiella pneumoniae INSRA6884 strain exhibited nonsusceptibility
to all penicillins tested (MICs of 64 to >2,048 MUg/ml). The MICs of penicillins
were weakly reduced by clavulanate (from 2,048 to 512 MUg/ml), and tazobactam
restored piperacillin susceptibility. Molecular characterization identified the
genes bla(GES-7) and a new beta-lactamase gene, bla(SHV-107), which encoded an
enzyme that differed from SHV-1 by the amino acid substitutions Leu35Gln and
Thr235Ala. The SHV-107-producing Escherichia coli strain exhibited only a beta
lactam resistance phenotype with respect to amoxicillin, ticarcillin, and
amoxicillin-clavulanate combination. The kinetic parameters of the purified SHV
107 enzyme revealed a high affinity for penicillins. However, catalytic
efficiency for these antibiotics was lower for SHV-107 than for SHV-1. No
hydrolysis was detected against oxyimino-beta-lactams. The 50% inhibitory
concentration (IC(50)) for clavulanic acid was 9-fold higher for SHV-107 than for
SHV-1, but the inhibitory effects of tazobactam were unchanged. Molecular
dynamics simulation suggested that the Thr235Ala substitution affects the
accommodation of clavulanate in the binding site and therefore its inhibitory
activity.
PMID- 22083477
TI - Blood, tissue, and intracellular concentrations of erythromycin and its
metabolite anhydroerythromycin during and after therapy.
AB - For macrolides, clinical activity but also the development of bacterial
resistance has been attributed to prolonged therapeutic and subtherapeutic
concentrations. Although erythromycin is a long-established antimicrobial,
concomitant determination of the pharmacokinetics of erythromycin and its
metabolites in different compartments is limited. To better characterize the
pharmacokinetics of erythromycin and its anhydrometabolite (anhydroerythromycin
[AHE]) in different compartments during and after the end of treatment with 500
mg of erythromycin four times daily, concentration-time profiles were determined
in plasma, interstitial space of muscle and subcutaneous adipose tissue, and
white blood cells (WBCs) at days 1 and 3 of treatment and 2 and 7 days after end
of therapy. In WBCs, concentrations of erythromycin exceeded those in plasma
approximately 40-fold, while free concentrations in plasma and tissue were
comparable. The observed delay of peak concentrations in tissue might be caused
by fast initial cellular uptake. Two days after the end of treatment,
subinhibitory concentrations were observed in plasma and interstitial space of
both soft tissues, while 7 days after the end of treatment, erythromycin was not
detectable in any compartment. This relatively short period of subinhibitory
concentrations may be advantageous compared to other macrolides. The ratio of
erythromycin over AHE on day 1 was highest in plasma (2.81 +/- 3.45) and lowest
in WBCs (0.27 +/- 0.22). While the ratio remained constant between single dose
and steady state, after the end of treatment the concentration of AHE declined
more slowly than that of the parent compound, indicating the importance of the
metabolite for the prolonged drug interaction of erythromycin.
PMID- 22083478
TI - Emergence of carbapenem-resistant non-baumannii species of Acinetobacter
harboring a blaOXA-51-like gene that is intrinsic to A. baumannii.
AB - The bla(OXA-51)-like gene, originally intrinsic to Acinetobacter baumannii, had
been detected in two clones of Acinetobacter nosocomialis and one clone of
Acinetobacter genomic species "Close to 13TU." These bla(OXA-51)-like genes, all
preceded by ISAba1, were located on plasmids that might have originated with A.
baumannii. The plasmid-borne ISAba1--bla(OXA-51)-like confers a high level of
carbapenem resistance and affects the accuracy of using bla(OXA-51)-like
detection as a tool for differentiating A. baumannii from other Acinetobacter
species.
PMID- 22083479
TI - Decoding the functional roles of cationic side chains of the major antimicrobial
region of human cathelicidin LL-37.
AB - Human cathelicidin LL-37 is a critical cationic antimicrobial peptide for host
defense against infection, immune modulation, and wound healing. This article
elucidates the functional roles of the cationic side chains of the major
antimicrobial region of LL-37, corresponding to residues 17 to 32 (designated GF
17). Antimicrobial assays, killing kinetics studies, and vesicle leakage
experiments all indicate that a conversion of lysines to arginines affected the
ability of the peptide to kill the Gram-positive Staphylococcus aureus strain
USA300. Alanine scanning experiments show that S. aureus is less sensitive than
Escherichia coli to a single cationic residue mutation of GF-17. Among the five
cationic residues, R23 appears to be somewhat important in killing S. aureus.
However, R23 and K25 of GF-17 are of prime importance in killing the Gram
negative organism E. coli. In particular, R23 is essential for (i) rapid
recognition, (ii) permeation of the E. coli outer membrane, (iii) clustering of
anionic lipids in a membrane system mimicking the E. coli inner membrane, and
(iv) membrane disruption. Bacterial aggregation (i.e., rapid recognition via
charge neutralization) is the first step of the peptide action. Structurally, R23
is located in the interface (i.e., the first action layer), a situation ideal for
the interactions listed above. In contrast, residues K18, R19, and R29 are on the
hydrophilic surface of the amphipathic helix and play only a secondary role.
Mapping of the functional spectrum of cationic residues of GF-17 provides a solid
basis for engineering bacterium-specific antimicrobials using this highly potent
template.
PMID- 22083480
TI - Characterization of the inhibition mechanism of HIV-1 nucleocapsid protein
chaperone activities by methylated oligoribonucleotides.
AB - Since currently available therapies against HIV/AIDS still show important
drawbacks, the development of novel anti-HIV treatments is a key issue. We
recently characterized methylated oligoribonucleotides (mONs) that extensively
inhibit HIV-1 replication in primary T cells at nanomolar concentrations. The
mONs were shown to target both HIV-1 reverse transcriptase (RT) and the
nucleocapsid protein (NC), which is an essential partner of RT during viral DNA
synthesis. To further understand the mechanism of such mONs, we studied by
isothermal titration calorimetry and fluorescence-based techniques their NC
binding properties and ability to inhibit the nucleic acid chaperone properties
of NC. Notably, we investigated the ability of mONs to inhibit the NC-induced
destabilization of the HIV-1 cTAR (complementary DNA sequence to TAR
[transactivation response element]) stem-loop and the NC-promoted cTAR annealing
to its complementary sequence, required at the early stage of HIV-1 viral DNA
synthesis. Moreover, we compared the activity of the mONs to that of a number of
modified and nonmodified oligonucleotides. Results show that the mONs inhibit NC
by a competitive mechanism whereby the mONs tightly bind the NC peptide, mainly
through nonelectrostatic interactions with the hydrophobic platform at the top of
the NC zinc fingers. Taken together, these results favor the notion that the mONs
impair the process of the RT-directed viral DNA synthesis by sequestering NC
molecules, thus preventing the chaperoning of viral DNA synthesis by NC. These
findings contribute to the understanding of the molecular basis for NC inhibition
by mONs, which could be used for the rational design of antiretroviral compounds
targeting HIV-1 NC protein.
PMID- 22083482
TI - Effects of Melaleuca alternifolia (tea tree) essential oil and the major
monoterpene component terpinen-4-ol on the development of single- and multistep
antibiotic resistance and antimicrobial susceptibility.
AB - This study examined the effect of subinhibitory Melaleuca alternifolia (tea tree)
essential oil on the development of antibiotic resistance in Staphylococcus
aureus and Escherichia coli. Frequencies of single-step antibiotic-resistant
mutants were determined by inoculating bacteria cultured with or without
subinhibitory tea tree oil onto agar containing 2 to 8 times the MIC of each
antibiotic and with or without tea tree oil. Whereas most differences in
resistance frequencies were relatively minor, the combination of kanamycin and
tea tree oil yielded approximately 10-fold fewer resistant E. coli mutants than
kanamycin alone. The development of multistep antibiotic resistance in the
presence of tea tree oil or terpinen-4-ol was examined by culturing S. aureus and
E. coli isolates daily with antibiotic alone, antibiotic with tea tree oil, and
antibiotic with terpinen-4-ol for 6 days. Median MICs for each antibiotic alone
increased 4- to 16-fold by day 6. Subinhibitory tea tree oil or terpinen-4-ol did
not greatly alter results, with day 6 median MICs being either the same as or one
concentration different from those for antibiotic alone. For tea tree oil and
terpinen-4-ol alone, day 6 median MICs had increased 4-fold for S. aureus (n =
18) and 2-fold for E. coli (n = 18) from baseline values. Lastly, few significant
changes in antimicrobial susceptibility were seen for S. aureus and S.
epidermidis isolates that had been serially subcultured 14 to 22 times with
subinhibitory terpinen-4-ol. Overall, these data indicate that tea tree oil and
terpinen-4-ol have little impact on the development of antimicrobial resistance
and susceptibility.
PMID- 22083481
TI - Antiviral breadth and combination potential of peptide triazole HIV-1 entry
inhibitors.
AB - The first stage of human immunodeficiency virus type 1 (HIV-1) infection involves
the fusion of viral and host cellular membranes mediated by viral envelope
glycoprotein gp120. Inhibitors that specifically target gp120 are gaining
increased attention as therapeutics or preventatives to prevent the spread of HIV
1. One promising new group of inhibitors is the peptide triazoles, which bind to
gp120 and simultaneously block its interaction with both CD4 and the coreceptor.
In this study, we assessed the most potent peptide triazole, HNG-156, for
inhibitory breadth, cytotoxicity, and efficacy, both alone and in combination
with other antiviral compounds, against HIV-1. HNG-156 inhibited a panel of 16
subtype B and C isolates of HIV-1 in a single-round infection assay. Inhibition
of cell infection by replication-competent clinical isolates of HIV-1 was also
observed with HNG-156. We found that HNG-156 had a greater than predicted effect
when combined with several other entry inhibitors or the reverse transcriptase
inhibitor tenofovir. Overall, we find that HNG-156 is noncytotoxic, has a broad
inhibition profile, and provides a positive combination with several inhibitors
of the HIV-1 life cycle. These results support the pursuit of efficacy and
toxicity analyses in more advanced cell and animal models to develop peptide
triazole family inhibitors of HIV-1 into antagonists of HIV-1 infection.
PMID- 22083483
TI - Yersinia pestis AcrAB-TolC in antibiotic resistance and virulence.
AB - The efflux pump AcrAB is important in the antibiotic resistance and virulence of
several pathogenic bacteria. We report that deletion of the Yersinia pestis AcrAB
TolC homolog leads to increased susceptibility to diverse substrates, including,
though unlike in Escherichia coli, the aminoglycosides. Neither is the Y. pestis
pump affected by the efflux pump inhibitor phenylalanine-arginine beta
naphthylamide. In mouse plague models, pump deletion does not have a significant
effect on tissue colonization.
PMID- 22083484
TI - Evaluation of once-daily vancomycin against methicillin-resistant Staphylococcus
aureus in a hollow-fiber infection model.
AB - For methicillin-resistant Staphylococcus aureus (MRSA) infections, data suggest
that the clinical response is significantly better if the total vancomycin area
under the concentration-time curve (AUC)/MIC ratio is >=400. While the AUC/MIC
ratio is the accepted pharmacokinetic/pharmacodynamic (PK/PD) index for
vancomycin, this target has been achieved using multiple daily doses. We are
unaware of a systematically designed dose fractionation study to compare the
bactericidal activity of once-daily administration to that of traditional twice
daily administration. A dose fractionation study was performed with vancomycin in
an in vitro hollow-fiber infection model against an MRSA USA300 strain (MIC of
0.75 MUg/ml) using an inoculum of ~10(6) CFU/ml. The three vancomycin regimens
evaluated for 168 h were 2 g every 24 h (q24h) as a 1-h infusion, 1 g q12h as a 1
h infusion, and 2 g q24h as a continuous infusion. Free steady-state
concentrations (assuming 45% binding) for a total daily AUC/MIC ratio of >=400
were simulated for all regimens. A validated liquid chromatography-tandem mass
spectrometry method was used to determine vancomycin concentrations. Although
once-daily and twice-daily dosage regimens exhibited total trough concentrations
of <15 MUg/ml, all regimens achieved similar bactericidal activities between 24
and 168 h and suppressed the amplification of nonsusceptible subpopulations. No
colonies were found on agar plates with 3* MIC for any of the treatment arms.
Overall, the results suggest that once-daily vancomycin administration is
feasible from a PK/PD perspective and merits further inquiry in the clinical
arena.
PMID- 22083485
TI - Prospective investigation of nasal mupirocin, hexachlorophene body wash, and
systemic antibiotics for prevention of recurrent community-associated methicillin
resistant Staphylococcus aureus infections.
AB - Recurrent community-associated methicillin-resistant Staphylococcus aureus (CA
MRSA) skin infections are an increasingly common problem. However, there are no
data on the efficacy of decolonization regimens. We prospectively evaluated 31
patients with recurrent CA-MRSA skin infections who received nasal mupirocin,
topical hexachlorophene body wash, and an oral anti-MRSA antibiotic. The mean
number of MRSA infections after the intervention decreased significantly from
baseline (0.03 versus 0.84 infections/month, P = <0.0001). This regimen appears
promising at preventing recurrent CA-MRSA infections.
PMID- 22083486
TI - Micafungin concentrations in the plasma and burn eschar of severely burned
patients.
AB - Micafungin concentrations in plasma and burn eschar after daily intravenous
infusion (1 h) of micafungin (200 to 300 mg) were investigated for six patients
with severe burns. Micafungin treatment was initiated more than 72 h after the
burn injuries. The peak and trough levels in the plasma after the initial
administration and repeated administrations for more than 4 days were comparable
with or slightly lower than the reported values for healthy volunteers.
Micafungin concentrations in the plasma and burn eschar were between 3.6 and
>1,000 times higher than the reported MIC(90)s of micafungin against clinically
important Candida and Aspergillus species.
PMID- 22083487
TI - Complete sequence of broad-host-range plasmid pRIO-5 harboring the extended
spectrum-beta-lactamase gene blaBES-1.
AB - Broad-host-range plasmid pRIO-5, harboring the extended-spectrum beta-lactamase
bla(BES-1) gene in Serratia marcescens, was fully sequenced. Analysis of the
12,957-bp sequence of this IncP6-type plasmid revealed that the bla(BES-1) gene
was associated with two copies of the insertion sequence IS26. The promoter
responsible for the bla(BES-1) expression was hybrid, made of a -35 box located
inside the inverted repeat of IS26 and a -10 box inside a remnant of an insertion
sequence.
PMID- 22083488
TI - Interplay between single resistance-associated mutations in the HIV-1 protease
and viral infectivity, protease activity, and inhibitor sensitivity.
AB - Resistance-associated mutations in the HIV-1 protease modify viral fitness
through changes in the catalytic activity and altered binding affinity for
substrates and inhibitors. In this report, we examine the effects of 31 mutations
at 26 amino acid positions in protease to determine their impact on infectivity
and protease inhibitor sensitivity. We found that primary resistance mutations
individually decrease fitness and generally increase sensitivity to protease
inhibitors, indicating that reduced virion-associated protease activity reduces
virion infectivity and the reduced level of per virion protease activity is then
more easily titrated by a protease inhibitor. Conversely, mutations at more
variable positions (compensatory mutations) confer low-level decreases in
sensitivity to all protease inhibitors with little effect on infectivity. We
found significant differences in the observed effect on infectivity with a
pseudotype virus assay that requires the protease to cleave the cytoplasmic tail
of the amphotropic murine leukemia virus (MuLV) Env protein. Additionally, we
were able to mimic the fitness loss associated with resistance mutations by
directly reducing the level of virion-associated protease activity. Virions
containing 50% of a D25A mutant protease were 3- to 5-fold more sensitive to
protease inhibitors. This level of reduction in protease activity also resulted
in a 2-fold increase in sensitivity to nonnucleoside inhibitors of reverse
transcriptase and a similar increase in sensitivity to zidovudine (AZT),
indicating a pleiotropic effect associated with reduced protease activity. These
results highlight the interplay between enzyme activity, viral fitness, and
inhibitor mechanism and sensitivity in the closed system of the viral replication
complex.
PMID- 22083489
TI - The class A beta-lactamase FTU-1 is native to Francisella tularensis.
AB - The class A beta-lactamase FTU-1 produces resistance to penicillins and
ceftazidime but not to any other beta-lactam antibiotics tested. FTU-1 hydrolyzes
penicillin antibiotics with catalytic efficiencies of 10(5) to 10(6) M(-1) s(-1)
and cephalosporins and carbapenems with catalytic efficiencies of 10(2) to 10(3)
M(-1) s(-1), but the monobactam aztreonam and the cephamycin cefoxitin are not
substrates for the enzyme. FTU-1 shares 21 to 34% amino acid sequence identity
with other class A beta-lactamases and harbors two cysteine residues conserved in
all class A carbapenemases. FTU-1 is the first weak class A carbapenemase that is
native to Francisella tularensis.
PMID- 22083490
TI - Pyranocoumarins isolated from Peucedanum praeruptorum Dunn suppress
lipopolysaccharide-induced inflammatory response in murine macrophages through
inhibition of NF-kappaB and STAT3 activation.
AB - Praeruptorin C, D, and E (PC, PD, and PE) are three pyranocoumarins isolated from
the dried root of Peucedanum praeruptorum Dunn of Umbelliferae. In the present
study, we investigated the anti-inflammatory effect of these compounds in
lipopolysaccharide (LPS)-stimulated RAW264.7 macrophage cells. Pyranocoumarins
significantly inhibited LPS-induced production of nitric oxide, interleukin-6 (IL
6), and tumor necrosis factor-alpha (TNF-alpha). The mRNA and protein expressions
of inducible nitric oxide synthase, IL-6, and TNF-alpha were also suppressed by
these compounds. Both PD and PE exhibited greater anti-inflammatory activities
than PC. Further study showed that pyranocoumarins suppressed the cytoplasmic
loss of inhibitor kappaB-alpha protein and inhibited the translocation of NF
kappaB from cytoplasm to nucleus. In addition, pyranocoumarins suppressed LPS
induced STAT3 tyrosine phosphorylation. Taken together, the results suggest that
pyranocoumarins may exert anti-inflammatory effects in LPS-stimulated RAW 264.7
macrophages through the inhibition of NF-kappaB and STAT3 activation.
PMID- 22083491
TI - Acute oxidative stress affects IL-8 and TNF-alpha expression in IPEC-J2 porcine
epithelial cells.
AB - Reactive oxygen species are implicated in cell and tissue damage in a number of
diseases including acute and chronic inflammation of the gut. Effects of H(2)O(2)
exposure on non-carcinogenic porcine epithelial cell line, IPEC-J2 cells cultured
on collagen-coated membrane inserts were monitored based on transepithelial
electrical resistance (TER) change, extent of necrotic cell damage, gene
expression of inflammatory cytokines IL-8 and TNF-alpha. Furthermore, the
junction proteins claudin-1 and E-cadherin were also investigated by
immunohistochemistry. Peroxide (1mM) increased IL-8 and TNF-alpha gene expression
levels significantly allowing 1 h recovery time without affecting the cellular
distribution of junction proteins, TER and cell survival rate. In conclusion, the
IPEC-J2 cell line on membrane insert was introduced as a fast and reliable
investigation tool for oxidative stimuli-triggered intestinal inflammation and in
the future as a screening method for antioxidant and probiotic candidates.
PMID- 22083493
TI - Absence of caveolin-1 alters heat shock protein expression in spontaneous mammary
tumors driven by Her-2/neu expression.
AB - In a previous study, we measured caveolin-1 protein levels, both in the normal
breast and in breast cancer. The study revealed no association between caveolin-1
expression in the epithelial compartment and clinical disease outcome. However,
high levels of caveolin-1 in the stromal tissue surrounding the tumor associated
strongly with reduced metastasis and improved survival. Using an animal model, we
found that the onset of mammary tumors driven by Her-2/neu expression was
accelerated in mice lacking caveolin-1. We have analysed the heat shock protein
(Hsp) response in the tumors of mice lacking caveolin-1. In all cases, the
mammary tumors were estrogen and progesterone receptor negative, and the levels
of Her-2/neu (evaluated by immunohistochemistry) were not different between the
caveolin-1 +/+ (n = 8) and the caveolin-1 -/- (n = 7) tumors. However, a
significant reduction in the extent of apoptosis was observed in mammary tumors
from animals lacking caveolin-1. While Bcl-2, Bax, and survivin levels in the
tumors were not different, the amount of HSPA (Hsp70) was almost double in the
caveolin-1 -/- tumors. In contrast, HSPB1 (Hsp27/Hsp25) levels were significantly
lower in the caveolin-1 -/- tumors. The mammary tumors from caveolin-1 null mice
expressed more HSPC4 (gp96 or grp94), but HSPC1 (Hsp90), HSPA5 (grp78), HSPD1
(Hsp60), and CHOP were not altered. No significant changes in these proteins were
found in the stroma surrounding these tumors. These results demonstrate that the
disruption of the Cav-1 gene can cause alterations of specific Hsps as well as
tumor development.
PMID- 22083494
TI - Synthesis of geminal bisphosphonates via organocatalyzed enantioselective Michael
additions of cyclic ketones and 4-piperidones.
AB - A Michael addition reaction of cyclic ketones and piperidones to a vinyl
phosphonate is described. The reaction, catalyzed by chiral diamines, produced
geminal gamma-oxobisphosphonates in high yields (up to 92%) and very high ees (up
to >99%). Disubstituted ketones gave drs of up to 8 : 92. The synthesis and
characterization of several new compounds with potential biological activity is
described.
PMID- 22083495
TI - Revisiting polymer statistical physics to account for the presence of long-range
correlated structural disorder in 2D DNA chains.
AB - We elaborate on a generalization of the 2D wormlike chain (WLC) model that
accounts for the presence of long-range correlations (LRC) in the intrinsic
curvature distribution of eukaryotic DNA. This model predicts some decrease of
the DNA persistence length resulting from some large-scale intrinsic curvature
induced by sequence-dependent persistent random distribution of local bending
sites. When assisting exact analytical calculations by numerical DNA simulations,
we show that the conjugated contributions of i) the thermal curvature
fluctuations characterized by the "dynamic" persistence length l(p)(d) = 2A,
where A is the elastic bending modulus, and ii) the intrinsic LRC curvature
disorder of amplitude sigma(o) and Hurst exponent H > 1/2, characterized by a
"static" persistence length l(p)(H) = A(1/2H)sigma(o)(-1/H) Gamma(1/2H + 1), can
be described by a continuum of generalized WLC (GWLC) models parametrized by the
LRC exponent H. We use perturbation analysis to investigate the two limiting
cases of weak static disorder (w(H) << 1 and weak dynamical fluctuations (1/w (H)
<< 1), where w(H) = l(p)(d)/l(p)(H) is a dimensionless parameter. From a
quantitative point of view, our study demonstrates that even for a small value of
the LRC (H approximately equal 0.6-0.8) static disorder amplitude sigma(o) ~ 10(
2), as previously reported for genomic DNA, the decrease of the persistence
length from the WLC prediction l(p)(d) can be very significant, up to twofold.
The implications of these results on the first steps of compaction of DNA in
eukaryotic cells are discussed.
PMID- 22083496
TI - Fatty acid composition of Celta pig breed as influenced by sex and location of
fat in the carcass.
AB - BACKGROUND: The influence of sex and location of fat in the carcass on the fatty
acid profile of Celta pigs was studied. Twenty pigs (10 castrated males and 10
females) from the Carballina line were used. RESULTS: The fatty acids composition
was predominated by monounsaturated fatty acids (MUFA; approximately 48% of total
methyl esters), followed by saturated fatty acids (SFA; approximately 40% of
total methyl esters) and, finally, polyunsaturated fatty acids (PUFA;
approximately 12% of total methyl esters). The sex and location of fat in the
carcass showed significant differences in PUFA and SFA content while both factors
were not significantly different with respect to MUFA content. Linoleic,
linolenic, eicosatrienoic and arachidonic fatty acids showed the largest
difference among the three locations (intramuscular, subcutaneous ventral and
subcutaneous dorsal) of the fat in the carcass. CONCLUSION: The fatty acid
profile that we observed in the fat of the Celta pigs does not differ greatly
from those described by other authors in other autochthonous pig breeds.
Principal component analysis offered a good separation of the mean samples
according to the sex and location of fat of the pigs.
PMID- 22083497
TI - Neutrophil gelatinase-associated lipocalin is instrumental in the pathogenesis of
antibody-mediated nephritis in mice.
AB - OBJECTIVE: The mechanism by which anti-DNA antibodies mediate lupus nephritis has
yet to be conclusively determined. Previously, we found that treatment of
mesangial cells with anti-DNA antibodies induced high expression of neutrophil
gelatinase-associated lipocalin (NGAL), an iron-binding protein up-regulated in
response to kidney injury. We undertook this study to determine whether NGAL is
instrumental in the pathogenesis of nephritis, is induced as part of repair, or
is irrelevant to damage/repair pathways. METHODS: To investigate the role of NGAL
in antibody-mediated nephritis, we induced nephrotoxic nephritis by passive
antibody transfer to 129/SyJ and C57BL/6 mice. To determine if NGAL up-regulation
is instrumental, we compared the severity of renal damage in NGAL wild-type mice
and NGAL-knockout mice following induction of nephrotoxic nephritis. RESULTS: We
found that kidney NGAL expression, as well as urine NGAL levels, were
significantly increased in mice with nephrotoxic nephritis as compared to control
injected mice. Tight correlations were observed between NGAL expression, renal
histopathology, and urine NGAL excretion. NGAL-knockout mice had attenuated
proteinuria and improved renal histopathology compared to wild-type mice.
Similarly, following nephritis induction, NGAL injection significantly
exacerbated nephritis and decreased survival. NGAL induced apoptosis via caspase
3 activation and up-regulated inflammatory gene expression in kidney cells in
vitro and when injected in vivo. CONCLUSION: We conclude that kidney binding of
pathogenic antibodies stimulates local expression of NGAL, which plays a crucial
role in the pathogenesis of nephritis via promotion of inflammation and
apoptosis. NGAL blockade may be a novel therapeutic approach for the treatment of
nephritis mediated by pathogenic antibodies, including anti-glomerular basement
membrane disease and lupus nephritis.
PMID- 22083498
TI - Demonstration and manifestation of self-determination and illness resistance--a
qualitative study of long-term maintenance of physical activity in posttreatment
cancer survivors.
AB - OBJECTIVE: The aim of this study was to describe posttreatment cancer survivors'
lived experience of long-term maintenance of physical activity (PA). METHODS: A
qualitative, salutogenetic-oriented study was conducted based on four audiotaped,
semistructured focus group interviews. Interviewee selection was carried out
through purposeful sampling. Twenty-three cancer survivors (17 women and 6 men;
median age 50 years, range 29-70) who were physically inactive prior to their
diagnosis but who had been exercising regularly for a minimum of 18 months
posttreatment participated in the study. The participants were recruited from The
Copenhagen PACT Study that evaluated the effect of a one-year rehabilitation
program (supervised exercise [weekly], expert lectures [trimonthly], in-group
coaching [bimonthly] and individual coaching [3 * 1 h]). Data were analyzed by
use of systematic condensation analysis inspired by Giorgi's descriptive
phenomenological methodology (see Sketch of a psychological phenomenological
method, in: Giorgi A (ed.), Phenomenology and Psychological Research, Duquesne
University Press, Pittsburgh, 1985). RESULTS: The analysis revealed five
categories, which were summarized into an overall sentence describing the essence
of long-term PA maintenance in cancer survivors: demonstration and manifestation
of self-determination and illness resistance. In sum, the participants described
regular PA as a prerequisite for feeling and staying well and preserving and
pursuing own potentials whereby PA maintenance becomes a goal in itself.
CONCLUSIONS: This study indicates that cancer survivors' continued motivation for
PA may be dependent on the fulfillment of a personal and conscious experience of
being in the process of creating and living a comprehensible and meaningful life.
Future theory-based interventions to encourage PA maintenance in cancer survivors
could potentially benefit by integration of humanistic and existential psychology
in addition to social cognitive theory and theory of planned behavior.
PMID- 22083499
TI - Influence of the valgus force during knee flexion in neutral rotation.
AB - PURPOSE: The pivot shift test is generally accepted to be a clinically useful
tool. In the current study, the authors aimed to determine the minimum amount of
valgus force required to elicit a positive pivot shift test utilizing a
mechanized pivot shifter device in ACL-deficient knees. The authors proposed that
increasing the applied force from a minimum critical value would lead to greater
magnitudes of femoro-tibial translation. MATERIALS AND METHODS: Six fresh-frozen
pelvis-to-toes specimens were used in this cadaveric study. Tracking and
recording of tibiofemoral kinematics during throughout testing was achieved by an
image-free surgical navigation system with dedicated ACL software. A load cell
was attached to the mechanized pivot shifter through a three-degree-of-freedom
arm. Valgus force magnitudes of 0-5 kg were then sequentially applied, and knee
flexion in neutral rotation was performed on the ACL-deficient knees. A total of
two trials were performed for each force. RESULTS: The greatest difference in
lateral and medial compartment translation, during the pivot shift test,
utilizing a mechanized pivot shifter in an ACL-deficient knee, was measured
between an applied valgus force of 0 and 1 kg. The mean difference between 4 and
5 kg was 0.2 mm (CI = -11.29 to 10.89) for the lateral compartment, and there was
no difference in translation for the medial compartment (CI = -17.43 to 17.43).
CONCLUSIONS: The principal finding of the current study was that a greater force
does not produce a greater magnitude of femoro-tibial translation during knee
flexion in neutral rotation, contrary to the initial hypothesis.
PMID- 22083500
TI - Behavioral and molecular evidence for a feedback interaction between morphine and
HIV-1 viral proteins.
AB - Morphine use and addiction is common among HIV infected individuals. There is an
abundance of research supporting the effects of morphine and other mu opioid
receptor (MOR) ligands, on the function of HIV-1 viral proteins and progression
of HIV-1 viral infection to AIDS. On the other hand, there is much less research
that investigates the possible effects of the persistent presence of HIV-1 viral
proteins on the expression of the MOR and the analgesic and rewarding effects of
MOR ligands such as morphine. While researchers have made a great deal of
progress in the past several years, the overall investigation of the interaction
between opiates such as morphine and HIV-1 viral proteins is largely unilateral.
It has become widely accepted that drugs of abuse interact with HIV-1 viral
proteins, but the mechanisms by which this takes place are only recently being
discovered. Molecular and behavioral research suggests a feedback interaction
between morphine and HIV-1 viral proteins. This interaction is mediated largely
by the MOR as well as interplay between MOR ligands and cytokines, chemokines and
their receptors. Some of the mechanisms underlying the feedback interaction
between morphine and HIV-1 viral proteins has been demonstrated using cell
culture and the recently engineered HIV-1 transgenic (HIV-1Tg) rat models.
PMID- 22083501
TI - Patterns and predictors of self-medication amongst antenatal clients in Ibadan,
Nigeria.
AB - BACKGROUND: Self-medication is widely practiced in the study area, as many drugs
are available over-the-counter, in addition to the use of local herbal
preparations. Also, apprehension about the dangers of drug use in pregnancy
appears to affect the compliance of prescribed medication. This study assess the
drug use profile of an antenatal population. MATERIALS AND METHODS: This was a
questionnaire-based descriptive study of 410 antenatal clients attending primary,
secondary and tertiary centers in Ibadan, Nigeria. The variables analysed were
demographic data of respondents and level of health care received. Outcome
measures were use of prescribed drugs, self-medication or herbal preparations.
Chi-tests and logistic regression were used for statistical analysis. RESULTS:
All patients on prescribed medication for medical conditions claimed to be
compliant with their drugs. Exactly 19.2% admitted to self-medication, mostly
hematinics and pain-relieving pills (acetaminophen). No one admitted to alcohol
or tobacco use, but 46.3%, especially attendees of the rural center (OR 5.79; 95%
CI 2.56-13.10), ingested herbal concoctions while pregnant. Married women (OR
0.2; 95% CI 0.05-0.75) or those whose spouses had higher education (OR 0.43; 95%
CI 0.21-0.89) were less likely to practice self-medication, while hypertensive
women were more likely to practice it (OR 22.54; 95% CI 3.81-133.49).
CONCLUSIONS: Social support has a role in safe drug use practices. This should be
used to advantage by encouraging partners' attendance at antenatal sessions.
Patients need counseling on the dangers of procuring their usual prescription
drugs without consultation. Use of herbal concoctions needs to be explored in the
community.
PMID- 22083502
TI - An unusual cause of acute renal failure in a patient with surgical
hypoparathyroidism: nephrocalcinosis.
PMID- 22083503
TI - Papillary thyroid microcarcinoma in struma ovarii.
PMID- 22083504
TI - Quantification of image quality using information theory.
AB - Aims of present study were to examine usefulness of information theory in visual
assessment of image quality. We applied first order approximation of the
Shannon's information theory to compute information losses (IL). Images of a
contrast-detail mammography (CDMAM) phantom were acquired with computed
radiographies for various radiation doses. Information content was defined as the
entropy Sigmap( i )log(1/p ( i )), in which detection probabilities p ( i ) were
calculated from distribution of detection rate of the CDMAM. IL was defined as
the difference between information content and information obtained. IL decreased
with increases in the disk diameters (P < 0.0001, ANOVA) and in the radiation
doses (P < 0.002, F-test). Sums of IL, which we call total information losses
(TIL), were closely correlated with the image quality figures (r = 0.985). TIL
was dependent on the distribution of image reading ability of each examinee, even
when average reading ratio was the same in the group. TIL was shown to be
sensitive to the observers' distribution of image readings and was expected to
improve the evaluation of image quality.
PMID- 22083506
TI - Commentary Re: Botox Article by Berger & Knoll IPH 19(5):243-244 on "The efficacy
of botulinum toxin type A in managing chronic musculoskeletal pain: a systematic
review and meta analysis".
PMID- 22083507
TI - A parametric model fitting time to first event for overdispersed data:
application to time to relapse in multiple sclerosis.
AB - In this article, we propose a parametric model for the distribution of time to
first event when events are overdispersed and can be properly fitted by a
Negative Binomial distribution. This is a very common situation in medical
statistics, when the occurrence of events is summarized as a count for each
patient and the simple Poisson model is not adequate to account for
overdispersion of data. In this situation, studying the time of occurrence of the
first event can be of interest. From the Negative Binomial distribution of
counts, we derive a new parametric model for time to first event and apply it to
fit the distribution of time to first relapse in multiple sclerosis (MS). We
develop the regression model with methods for covariate estimation. We show that,
as the Negative Binomial model properly fits relapse counts data, this new model
matches quite perfectly the distribution of time to first relapse, as tested in
two large datasets of MS patients. Finally we compare its performance, when
fitting time to first relapse in MS, with other models widely used in survival
analysis (the semiparametric Cox model and the parametric exponential, Weibull,
log-logistic and log-normal models).
PMID- 22083509
TI - Beneficial effect of myricetin on renal functions in streptozotocin-induced
diabetes.
AB - Myricetin is a naturally occurring flavonoid that is known to decrease plasma
glucose levels in diabetes; however, its influence on renal functions has not yet
been determined. This study investigated the effect of myricetin on structural
and functional changes occurring in diabetic nephropathy. Male Albino Wistar rats
were divided into three groups: normoglycemic, diabetic and myricetin-treated
diabetic. Diabetes was induced by intraperitoneal (ip) injection of
streptozotocin (50 mg/kg), and rats having fasting blood glucose (FBG) levels
greater than 200 mg/dl were included in the study. Treatment of myricetin (6
mg/day ip) was initiated 16 weeks after diabetes was confirmed. Light microscopy
was performed on hematoxylin-eosin- and Masson's trichrome-stained sections to
evaluate the effect of myricetin on structural changes in the kidney, while
creatinine clearance, blood urea nitrogen (BUN), kidney weight, urine volume and
protein were measured to assess kidney functions. Activities of glutathione
peroxidase (GPx) and xanthine oxidase (XO) were also measured in renal tissues
obtained from all experimental groups. Myricetin treatment significantly
decreased glomerulosclerosis and reduced BUN, urinary volume and protein
excretion, which was profoundly increased in diabetic rats. Decreased creatinine
clearance measured in diabetic rats was significantly increased following
myricetin treatment. Myricetin also restored altered renal activities of GPx and
XO, which were decreased and increased in diabetic rats, respectively. In
conclusion, myricetin improved altered renal functions and restored renal
activities of GPx and XO in diabetic rats. Obtained data suggest that myricetin
could be of therapeutic potential in diabetic nephropathy.
PMID- 22083510
TI - Oct4 links multiple epigenetic pathways to the pluripotency network.
AB - Oct4 is a well-known transcription factor that plays fundamental roles in stem
cell self-renewal, pluripotency, and somatic cell reprogramming. However, limited
information is available on Oct4-associated protein complexes and their intrinsic
protein-protein interactions that dictate Oct4's critical regulatory activities.
Here we employed an improved affinity purification approach combined with mass
spectrometry to purify Oct4 protein complexes in mouse embryonic stem cells
(mESCs), and discovered many novel Oct4 partners important for self-renewal and
pluripotency of mESCs. Notably, we found that Oct4 is associated with multiple
chromatin-modifying complexes with documented as well as newly proved functional
significance in stem cell maintenance and somatic cell reprogramming. Our study
establishes a solid biochemical basis for genetic and epigenetic regulation of
stem cell pluripotency and provides a framework for exploring alternative factor
based reprogramming strategies.
PMID- 22083511
TI - Live imaging of newly formed lymphatic vessels in the cornea.
PMID- 22083512
TI - Late effects on haemostasis after haematopoietic stem cell transplantation.
AB - Allogeneic and autologous hematopoietic stem cell transplantations are important
therapeutic options for patients with hematologic disorders. Hemostatic
complications are frequent after hematopoietic stem cell transplantation with a
considerable morbidity and mortality. The incidence of bleedings and thrombosis
is highest in the first few weeks after transplantation, but may also occur
later. However, beyond the first year of transplantation only limited data are
available. In long-term survivors the risk for premature atherosclerosis
increases over time after allogeneic hematopoietic stem cell transplantation and
it is higher than in the age-adjusted general population and in recipients of
autologous transplantation.
PMID- 22083513
TI - A mathematical model of cell cycle progression applied to the MCF-7 breast cancer
cell line.
AB - In this paper, we present a model of cell cycle progression and apply it to cells
of the MCF-7 breast cancer cell line. We consider cells existing in the three
typical cell cycle phases determined using flow cytometry: the G1, S, and G2/M
phases. We further break each phase up into model phases in order to capture
certain features such as cells remaining in phases for a minimum amount of time.
The model is also able to capture the environmentally responsive part of the G1
phase, allowing for quantification of the number of environmentally responsive
cells at each point in time. The model parameters are carefully chosen using data
from various sources in the biological literature. The model is then validated
against a variety of experiments, and the excellent fit with experimental results
allows for insight into the mechanisms that influence observed biological
phenomena. In particular, the model is used to question the common assumption
that a 'slow cycling population' is necessary to explain some results. Finally,
an extension is proposed, where cell death is included in order to accurately
model the effects of tamoxifen, a common first line anticancer drug in breast
cancer patients. We conclude that the model has strong potential to be used as an
aid in future experiments to gain further insight into cell cycle progression and
cell death.
PMID- 22083514
TI - Identification of patients with indolent B cell lymphoma sensitive to rituximab
monotherapy.
AB - The potential predictive value of tumor bulk, genetic, and immunological variants
in patients with low-grade non-Hodgkin's lymphoma to respond to treatment with
rituximab (RTX) monotherapy was evaluated. Thus, the value of assessing the
effect of 18-fluoro-desoxy-D-glucose (FDG) uptake on PET scan, polymorphisms in
Fc gamma receptor (FcgammaR) IIIa-158, FcgammaRIIa-131, and C1qA-276 genes in
predicting the response to treatment were evaluated in 50 low-grade non-Hodgkin's
lymphoma patients. The influence of RTX pharmacokinetics, plasma levels of the B
cell-activating factor (BAFF), and human antichimeric antibodies was also
investigated. The therapeutic response was evaluated 10 weeks after treatment
using revised Cheson's criteria. Lower maximal standardized uptake values
(SUV(max)) at baseline were predictive of complete response. FcgammaRIIIa-158
polymorphism was also associated with complete response to RTX confirming
previous findings, whereas polymorphisms in the FcgammaRIIa-131 and C1qA-276
genes were not. Lower blood levels of RTX were observed in males, but the
effectiveness of RTX in males and females was the same. BAFF was not detectable
in plasma before or after treatment, and no patients developed human antichimeric
antibodies. Low-grade non-Hodgkin's lymphoma patients with a low SUV(max) at
baseline and an FcgammaRIIIa-158 V/V genotype generally had a complete response
to RTX.
PMID- 22083515
TI - Center-by-center results of a multicenter prospective trial to determine the
inter-observer correlation of the simplified POP-Q in describing pelvic organ
prolapse.
AB - INTRODUCTION AND HYPOTHESIS: This study was conducted to determine the
differences in the inter-observer agreement of the simplified Pelvic Organ
Prolapse Quantification (POP-Q) system from center to center in a large
international multicenter study. METHODS: This is a secondary analysis of the
results of a large prospective single blind multicenter trial studying the inter
observer agreement of a simplified POP-Q exam. Twelve centers from four
continents with a total of 511 subjects were included in this study. The number
of subjects recruited per center ranged from 20 to 81. Each patient was
independently examined by two investigators, with examination order randomly
assigned and investigators blinded to each other's result. The weighted kappa
statistic was used to evaluate the inter-observer agreement. RESULTS: Good and
significant associations were observed on the anterior, posterior, and apical
segments. Six out of 11 sites did not provide adequate number of subjects with
prior hysterectomy for weighted kappa statistics or achieve significance
regarding vaginal cuff measurement. CONCLUSIONS: The simplified POP-Q
demonstrated good inter-examiner agreement across multiple centers.
PMID- 22083518
TI - Middle pancreatectomy.
AB - Middle pancreatectomy is parenchyma- and adjacent organ-sparing pancreatectomy
indicated for small tumors located in the body, but deeply located in the gland,
and therefore hard to enucleate. Others lesions including pancreatic trauma or
arteriovenous malformation are also candidate targets. Invasive ductal carcinoma,
even when the tumor is small enough, is not eligible because the most of these
tumors show extrapancreatic invasion. After exposure of neck to body of the
pancreas, middle pancreatectomy was performed by proximal and distal transection,
reconstruction after Roux-Y pancreaticojejunostomy, which is the most common.
This procedure is low-invasive and allow the preservation of exocrine and
endocrine pancreatic function without loss of duodenal passage, however, it also
has a high morbidity associated with pancreatic fistula. This article provides
indications and surgical techniques with special focus on the procedure of middle
pancreatectomy.
PMID- 22083517
TI - Pancreaticoduodenectomy for invasive pancreatic cancer (with video).
AB - Pancreaticoduodenectomy (PD) is the only treatment option that potentially
provides a cure for pancreatic head cancer. Various arrangements and
modifications have been proposed to achieve pathological margin negative (R0)
resection safely. In this article, we introduce a standard procedure for PD,
including pancreaticogastrostomy with invagination and mattress sutures (video
clip provided), for invasive ductal carcinoma of the pancreatic head, with a
description of the need-to-know pitfalls for Board-certified HBP surgeons in
Japan. The important points in performing PD for pancreatic cancer are: (1) While
dissecting connective tissue and nerve plexus as well as lymph nodes, maintain a
dissection plane to expose the surfaces of vessels or other organs to be
preserved to achieve R0 resection: i.e., while dissecting the anterior surface of
the inferior vena cava and the right side of the superior mesenteric artery,
these vessels should be completely exposed with the connective tissue and nerve
plexuses being attached to the resection side. (2) There should be early
interruption of the afferent blood supply via the inferior pancreaticoduodenal
artery to reduce blood loss by avoiding congestion of the pancreatic head and to
increase the operative safety (video clip provided). (3) Eligibility for PD
should be carefully evaluated because there are many "resectable" but not many
"curable" cases. In addition, the clinical significance of various modifications
of the surgical techniques used for PD are also discussed.
PMID- 22083516
TI - Loss of matrilin 1 does not exacerbate the skeletal phenotype in a mouse model of
multiple epiphyseal dysplasia caused by a Matn3 V194D mutation.
AB - OBJECTIVE: Mutations in matrilin 3 can result in multiple epiphyseal dysplasia
(MED), a disease characterized by delayed and irregular bone growth and early
onset osteoarthritis. Although intracellular retention of the majority of mutant
matrilin 3 was previously observed in a murine model of MED caused by a Matn3
V194D mutation, some mutant protein was secreted into the extracellular matrix.
Thus, it was proposed that secretion of mutant matrilin 3 may be dependent on the
formation of hetero-oligomers with matrilin 1. The aim of this study was to
investigate the hypothesis that deletion of matrilin 1 would abolish the
formation of matrilin 1/matrilin 3 hetero-oligomers, eliminate the secretion of
mutant matrilin 3, and influence disease severity. METHODS: Mice with a Matn3
V194D mutation were crossed with Matn1-null mice, generating mice that were
homozygous for V194D and null for matrilin 1. This novel mouse was used for in
depth phenotyping, while cartilage and chondrocytes were studied both
histochemically and biochemically. RESULTS: Endochondral ossification was not
disrupted any further in mice with a double V194D mutation compared with mice
with a single mutation. A similar proportion of mutant matrilin 3 was present in
the extracellular matrix, and the amount of retained mutant matrilin 3 was not
noticeably increased. Retained mutant matrilin 3 formed disulfide-bonded
aggregates and caused the co-retention of matrilin 1. CONCLUSION: We showed that
secretion of matrilin 3 V194D mutant protein is not dependent on hetero
oligomerization with matrilin 1, and that the total ablation of matrilin 1
expression has no impact on disease severity in mice with MED. Mutant matrilin 3
oligomers form non-native disulfide-bonded aggregates through the misfolded A
domain.
PMID- 22083519
TI - Development of more labile low electron count Co(I) sources: mild, catalytic
functionalization of activated alkanes using a [(Cp*Co)2-MU-(eta4:eta4-arene)]
complex.
AB - Catalytic transfer dehydrogenation of silyl protected amines, requiring sp(3) C-H
bond activation, is mediated by a bridging arene complex of the type [(Cp*Co)(2)
MU-(eta(4):eta(4)-arene)] under mild conditions. Mechanistic and qualitative rate
studies establish the compound as a more reactive Co(I) source when compared to
other known Cp*Co(I) complexes.
PMID- 22083520
TI - Characterization of a multilayer film activated with Lactobacillus curvatus
CRL705 bacteriocins.
AB - BACKGROUND: Bacteriocins produced by lactic acid bacteria offer enormous promise
for food safety preservation. In this study an active multilayer film obtained by
the incorporation of lactocin 705 and lactocin AL705, two bacteriocins produced
by Lactobacillus curvatus CRL705 with antimicrobial activity against
Lactobacillus plantarum CRL691 and Listeria innocua 7, respectively, was
characterized for its potential application in active packaging technology. Film
activity performance at different storage conditions, bacteriocins transfer into
water and sunflower oil, and film surface properties were evaluated. RESULTS:
Film activity against L. innocua 7 was maintained during 2, 4 and 6 weeks at 30,
10 and 5 degrees C respectively. At 30 and 10 degrees C, activity loss against
L. plantarum CRL691 was observed on the second week of storage and after the
fourth week at 5 degrees C. Results showed no significant difference for active
multilayer film contact angle and seal properties compared to the control
(without bacteriocins). A decrease in lactocin 705 inhibitory activity after
sunflower oil contact was observed, while lactocin AL705 remained unaffected.
After water contact, film activity was retained for both bacteriocins.
CONCLUSIONS: As demonstrated by antimicrobial activity and physico-mechanical
properties retention, lactocin 705 and AL705 active multilayer film present
potential for application in active packaging technology.
PMID- 22083521
TI - A multiscale description of molecular adsorption on gold nanoparticles by
nonlinear optical spectroscopy.
AB - Nonlinear optical Sum and Difference-Frequency spectroscopies are used to probe
and model the surface of thiophenol-functionalised gold nanoparticles grafted on
a Si(100) substrate through two different silanization procedures. By scanning
the [980-1100 cm(-1)] infrared spectral range with the CLIO Free Electron Laser,
ring deformation vibrations of adsorbed thiophenol are investigated. Quantitative
data analysis addresses three levels of organization: microscopic, nanoscopic and
molecular. Grafting with p-aminophenyl-trimethoxysilane shows an increase of
around 40% in surface density of nanoparticles (N(s)) as compared to 3
aminopropyl-triethoxysilane. The relative amplitudes of the resonant and
nonresonant contributions to the SFG and DFG spectra are discussed in terms of
N(s), Fresnel reflectivity factors and local amplification of the nonlinear
signals by coupling to the surface plasmon of the particles. They are shown to
quantitatively scale with N(s), as measured by atomic force microscopy. Vibration
mode assignment is performed through a critical analysis of literature data on IR
and Raman spectroscopies coupled to DFT calculations, for which a methodology
specific to molecules adsorbed on gold atoms is discussed.
PMID- 22083522
TI - The benefit of myomectomy in women aged 40 years and above: Experience in an
urban teaching hospital in Nigeria.
AB - BACKGROUND: Abdominal myomectomy remains the mainstay of surgical management of
uterine fibroids in our environment. However, its benefit in women aged 40 years
and above remains debatable. MATERIALS AND METHODS: An 11-year prospective study
was conducted involving 98 women, aged 40 years and above, who had abdominal
myomectomy for the treatment of uterine fibroid at the University of Maiduguri
Teaching Hospital, Maiduguri. They were followed up regularly for 1-6 years to
detect conception, resolution of symptoms and obstetrics performance. Data were
analyzed using SPSS version 13. RESULTS: The mean age of the patients was 42.6+/
2.9 years and 77 (78.6%) of them were nulliparous. Lower abdominal swelling was
the commonest clinical presentation and the mean uterine size was 18.6+/-8.5
weeks. Infertility with uterine fibroids was the indication for myomectomy in
majority of the cases [48 (48.9%)], while pregnancy complications accounted for
11.2% (11) of the cases Fertility restoration was 10.4% among the infertile
patients. There was complete resolution of symptoms in 35.9% of those who
required symptomatic relief, and term pregnancies were recorded in 72.7% of
patients with pregnancy complications. CONCLUSION: Myomectomy is the recommended
treatment of uterine fibroids in women aged 40 years and above with infertility
and who wish to become pregnant. If there is no need for further fertility
preservation, hysterectomy should be offered.
PMID- 22083523
TI - Predictive value of MGMT, hMLH1, hMSH2 and BRCA1 protein expression for
pathological complete response to neoadjuvant chemotherapy in basal-like breast
cancer patients.
AB - PURPOSE: To evaluate the importance of biological markers to predict pathologic
complete response (pCR) to neoadjuvant chemotherapy (NACT) in patients with
locally advanced basal-like breast cancers (BLBCs). PATIENTS AND METHODS: Thirty
two BLBC patients receiving NACT with an anthracycline-based regimen plus taxane
were included in this study. The immunoreactivities of MGMT, MLH1, MSH2 and BRCA1
before and after NACT were evaluated. RESULTS: A pCR was obtained in 10 of 32
cases (31%). Cancer-related (P = 0.013) and disease-free (P = 0.023) survival
rates were significantly higher in the pCR group than in the non-pCR group. In
biopsy samples before NACT, attenuated expression of MGMT, MLH1, MSH2 and BRCA1
was observed in 12/32 (38%), 0/32 (0%), 5/32 (16%) and 28/32 (88%) cases,
respectively. On evaluation of pCR, patients' characteristics (patients' age,
menopausal status, or clinical and pathological stages) and immunohistochemical
patterns, attenuated expression of MGMT was only found to be significantly
predictive of a pCR (P = 0.018). Paired biopsy sample before NACT and a surgical
tumor material after NACT were available for 19 cases of non-pCR. In these cases,
decrease in expression during NACT were more frequently observed for MGMT as
compared to MLH1, MSH2 or BRCA1 (P = 0.021). CONCLUSIONS: MGMT status is a
predictive factor for pCR with neoadjuvant anthracycline-based plus taxane
combination chemotherapy, which may be helpful in the selection of appropriate
NACT for Japanese patients with BLBC.
PMID- 22083524
TI - Sea cucumber aquaculture in the Western Indian ocean: challenges for sustainable
livelihood and stock improvement.
AB - The decline in sea cucumber fisheries that serve the Asian dried seafood market
has prompted an increase in global sea cucumber aquaculture. The tropical
sandfish (Holothuria scabra) has, in this context, been reared and produced with
mixed success. In the Western Indian Ocean, villagers often participate in the
export fishery for sea cucumbers as a source of income. However, with a growing
concern of depleted stocks introduction of hatcheries to farm sandfish as a
community livelihood and to replenish wild stocks is being promoted. This review
identifies and discusses a number of aspects that constitute constraints or
implications with regard to development of sandfish farming in the region. The
conclusion is that for sandfish farming to live up to its expectations the
possible impacts need to be further studied, and that improved evaluation of
ongoing projects is required. In the interim, a precautionary approach toward new
enterprise activities is suggested.
PMID- 22083525
TI - Lysine acetylation in obesity, diabetes and metabolic disease.
AB - Histone acetyltransferases (HATs) and histone deacetylases (HDACs) mediate
acetylation and deacetylation of histone proteins and transcription factors.
There is abundant evidence that these enzymes regulate the acetylation state of
many cytoplasmic proteins, including lysine residues in important metabolic
enzymes. Lysine acetylation regulates major cellular functions as a common post
transcriptional modification of proteins, conserved from prokaryotes to humans.
In this article, we refer to HATs and HDACs broadly as lysine acetyltransferases
(KATs) and deacetylases (KDACs). Lysine acetylation is vitally important in both
immunological and metabolic pathways and may regulate the balance between energy
storage and expenditure. Obesity, type II diabetes and cardiovascular disease
(metabolic syndrome) are widely recognised as features of a chronic low-grade
inflammatory state, involving significant alterations in primary
immunometabolism. Identifying effective therapeutic and preventive options to
treat this multi-factorial syndrome has proven to be very challenging, with an
emerging focus on developing anti-inflammatory agents that can combat adiposity
and metabolic disease. Here, we summarise current evidence and understanding of
innate immune and metabolic pathways relevant to adiposity and metabolic disease
regulated by lysine acetylation. Developing this understanding in greater detail
may facilitate strategic development of novel and enzyme-specific lysine
deacetylase modulators that regulate both metabolic and immune systems.
PMID- 22083526
TI - Arginase-dependent suppression by CpG-ODN plus IFA-induced splenic myeloid
CD11b(+)Gr1(+) cells.
AB - The ability of synthetic oligodeoxynucleotides containing unmethylated cytosine
guanine motifs (CpG-ODN) to induce both stimulatory and counter-regulatory
responses offers novel opportunities for using these molecules as
immunomodulatory agents in different therapeutic strategies. Here, we
investigated the potential of CpG-ODN to activate the arginase (ARG) enzyme in
vivo and focused on the consequences of this activation in T-cell proliferation.
Challenging mice subcutaneously with CpG-ODN emulsified in incomplete Freund's
adjuvant (IFA) induced ARG and reduced T-cell proliferation associated with
CD3zeta chain downregulation. Interestingly, impaired T-cell expansion correlated
with elevated levels of CD11b(+)Gr1(+) myeloid cells localized near T-cell areas
in the spleen. In addition, purified CD11b(+) cells obtained from the spleen of
CpG-ODN+IFA-treated mice exhibited increased ARG activity and ARG I expression
along with an augmented [(3)H]-L-arginine uptake. CD11b(+) myeloid cells
significantly suppressed T-cell proliferation and CD3zeta chain expression
induced by a polyclonal stimulus. Furthermore, these effects could be recovered
by the addition of excess L-arginine or by treatment of CD11b(+) cells with a
specific ARG inhibitor. This study provides a novel evidence that CpG-ODN+IFA are
able to induce splenic CD11b(+) cells with ARG activity, with this population
being responsible for the impaired T-cell proliferation observed after the
treatment with CpG-ODN+IFA. These results underscore a key role of CpG-ODN on ARG
activity in vivo and add support to the growing body of evidence in favor of a
counter-regulatory role for CpG-ODN in an immune response.
PMID- 22083527
TI - HDACi: molecular mechanisms and therapeutic implications in the innate immune
system.
AB - Histone deacetylase inhibitors (HDACi) are an emerging class of novel anti-cancer
drugs that cause growth arrest, differentiation and apoptosis of tumor cells. In
addition, many advances have been made in understanding the immunoregulation of
Toll-like receptors, NOD-like receptors and interferons that have recently
generated new momentum for the study of HDACi in immunity as a whole, and in the
regulation of these innate signaling pathways specifically. HDACi have shown
promise as new anti-inflammatory and immunosuppressant agents. They have also
demonstrated great potency and relative selectivity in various human/animal
models of inflammatory diseases. This review focuses on recent progress and the
current state of HDACi knowledge, as well as the molecular mechanisms and
therapeutic potential of HDACi for the treatment of inflammatory diseases and
cancers.
PMID- 22083528
TI - HDAC inhibitors in HIV.
AB - Combination antiretroviral therapy (cART) has led to a very substantial reduction
in morbidity and mortality in HIV-infected patients; however, cART alone is
unable to cure HIV and therapy is lifelong. Therefore, a new strategy to cure HIV
is urgently needed. There is now a concerted effort from scientists, clinicians
and funding agencies to identify ways to achieve either a functional cure (long
term control of HIV in the absence of cART) or a sterilizing cure (elimination of
all HIV-infected cells). Multiple strategies aiming at achieving a cure for HIV
are currently being investigated, including both pharmacotherapy and gene
therapy. In this review, we will review the rationale as well as in vitro and
clinical trial data that support the role of histone deacetylase inhibitors as
one approach to cure HIV.
PMID- 22083529
TI - Sensitivity of human dental pulp cells to eighteen chemical agents used for
endodontic treatments in dentistry.
AB - To determine the adverse effects against human dental pulp tissue, the
sensitivity of human dental pulp cells (D824 cells) to 18 chemical agents used
for endodontic treatments in dentistry was examined. The cytotoxicity, as
determined by a decrease in colony-forming ability of cells treated with the
chemical agents, increased as the concentration increased. As a quantitative
measure of the cytotoxic effect, LC(50), the concentration which induces a 50%
lethality, was extrapolated from the concentration-response curves. The rank of
the chemical agents according to their cytotoxic effect (LC(50)) was sodium
arsenite > formaldehyde > hydrogen peroxide > zinc oxide > thymol ~ iodoform ~
eugenol > guaiacol > ethylenediaminetetraacetic acid ~ iodine > procaine >
lidocaine ~ chloramphenicol ~ m-cresol > calcium hydroxide ~ sodium hypochlorite
~ phenol ~ p-phenolsulfonic acid. To compare the cytotoxicity and the levels of
apoptosis and mRNA expression of five genes related to the function of dental
pulp tissue, D824 cells treated with the LC(50) concentrations of chemical agents
were assayed by the TUNEL method and quantitative reverse transcription
polymerase chain reaction analysis, respectively. The inducibility of apoptotic
cells and the level of mRNA expression of the genes varied with the chemical
agents, indicating that both effects occurred independent of the rank of
cytotoxic effect of the chemical agents. The results not only provide information
concerning cytotoxicity of various chemical agents to human dental pulp cells,
but also show an insight into the diversity of the pharmacodynamic action of the
chemical agents.
PMID- 22083530
TI - Conversion reaction mimicking a high spinal anesthesia.
PMID- 22083531
TI - Effect of surgeon volume on outcome following pancreaticoduodenectomy in a high
volume hospital.
AB - BACKGROUND: Despite the close relationship between hospital volume and mortality
after pancreaticoduodenectomy (PD), the role of surgeon volume still remains an
open issue. Retrospective multi-institutional reviews considered only in-hospital
mortality, whereas no data about major complications are available so far. The
aim of this study is to assess the independent impact of surgeon volume on
outcome after PD in a single high-volume institution. METHODS: Demographics and
clinical and surgical variables were prospectively collected on 610 patients who
underwent PD from August 2001 to August 2009. The cutoff value to categorize high
and low-volume surgeons (HVS and LVS, respectively) was 12 PD/year. The primary
endpoint was operative mortality (death within 30-day post-discharge). Secondary
endpoints were morbidity, pancreatic fistula (PF), and length of hospital stay
(LOS). RESULTS: In the whole series, mortality was 4.1%, overall morbidity was
61.3%, and PF rate was 27.5%. Two HVS performed 358 PD (58.6%), while six LVS
performed 252 PD (41.4%). Mortality was 3.9% for HVS and 4.3% for LVS (p=0.84).
The major complication rate was similar for HVS and LVS (14.5% vs. 16.2%). The PF
rate was higher for LVS (32.4% vs. 24.1%, p=0.03). The mean LOS was 15.5 days for
HVS vs. 16.9 days for LVS (p=0.11). At multivariate analysis, risk factors for PF
occurrence were LVS, soft pancreatic stump, small duct diameter, and longer
operative time. CONCLUSION: Low-volume surgeons had a higher PF rate. However,
this did not increase mortality and major morbidity rates probably because of the
protective effect of high-volume hospital in improving patient rescue from life
threatening complications.
PMID- 22083532
TI - LFA-1 expression in a series of colorectal adenocarcinomas.
AB - INTRODUCTION: LFA-1 is an adhesion molecule which belongs to the beta2-integrin
family. Overexpression of LFA-1 in hepatic natural killer cells has been
associated with increased apoptosis of neoplastic cells in colorectal cancer
(CRC); moreover, studies in CRC have linked LFA-1 overexpression in neoplastic
cells with vascular intrusion through adhesion to endothelial cells, thus
implying a possible role in creation of metastases. AIMS AND METHODS: We studied
the expression of LFA-1 in a series of 82 patients with CRC. A standard three
step immunohistochemical analysis was performed on formalin-fixed, paraffin
embedded tissue sections. An IgG2a anti-CD11a monoclonal antibody was used. Cases
were characterized according to clinicopathological variables including sex, age,
tumor localization, size, grade, Dukes stage, wall invasion, and presence of
metastatic lymph nodes (mLNs) or distal metastases. RESULTS: LFA-1 was expressed
at the primary tumor site in 51 cases and 6/33 cases with metastatic lymphnodes.
In Dukes D cases (n = 4), only one case was LFA-1(+). LFA-1 expression at the
primary tumor site was associated with the absence of metastatic disease and with
Dukes B stage. However, in those cases with LFA-1 expression in cancer cells in
mLNs, this was associated with its expression at the primary tumor site.
CONCLUSION: The positive association of LFA-1 expression in mLNs when the primary
tumor site is also LFA-1(+) could imply an adaptation advantage of this specific
cellular clone to its micro-environment, predisposing it to creation of mLNs,
pointing to a role for LFA-1 in creation of mLNs in CRC.
PMID- 22083533
TI - Concomitant Nonfunctional Pancreatic Neuroendocrine Tumor and Gastric GIST in a
Patient Without Neurofibromatosis Type 1.
PMID- 22083534
TI - Sustainable food and local development.
PMID- 22083535
TI - A centrifuge simulated push-pull manoeuvre with subsequent reduced +Gz tolerance.
AB - The push-pull effect (PPE) has been recognized as a deleterious contributor to
fatal flight accidents. The purpose of the study was to establish a push-pull
manoeuvre (PPM) simulation with a tri-axes centrifuge, studying the effect of
this PPM on the +Gz tolerance, and to make this simulation suitable for pilot
centrifuge training. The PPM was realized through pre-programmed acceleration
profiles consisting of -1 Gz for 5 s followed by a +Gz plateau for 10 s. Relaxed
+Gz tolerance recordings were obtained from 20 healthy male fighter aircraft
pilots and 6 healthy male volunteers through exposure to pre-programmed profiles
with and without previous -1 Gz exposure. A statistically significant decrease in
+Gz tolerance was seen in all subjects after -1 Gz for 5 s exposure, 0.87 +/-
0.13 G in the volunteer group and 0.95 +/- 0.25 G in the pilot group. The ear
opacity pulse as a +Gz tolerance endpoint criterion was sometimes found to be
unreliable during the PPM experiments. The simulated PPM in this study elicited a
PPE, which was obvious from the significant reduction in +Gz tolerance. The PPM
profile appears useful to be included in centrifuge training.
PMID- 22083536
TI - Comparison of the acute impact of maximal arm and leg aerobic exercise on
arterial stiffness.
AB - Acute aerobic exercise decreases arterial stiffness based on the intensity of the
exercise and the arterial segment studied. Arm exercise may differentially affect
arterial stiffness compared to leg exercise but this has not been studied. We
hypothesized that maximal aerobic exercise would reduce local peripheral pulse
wave velocity i.e. femoral-dorsalis pedis (LPWV) following leg exercise and
carotid-radial (APWV) following arm exercise without any crossover effect. The
main purpose of the study is to compare the effects of maximal arm versus leg
aerobic exercise on peripheral and central arterial stiffness. Fifteen healthy
participants (9 males and 6 females, 25 +/- 5 years) performed maximal arm
ergometer and leg-ergometer exercise in a randomized, crossover design.
Peripheral and central pulse wave velocities (PWV) were obtained using
applanation tonometry before and 10 min after each maximal exercise bout. 2 * 2
repeated measures analysis of variance was used to detect differences between
conditions. There was a significant interaction in the APWV between the two
exercise modes. However, there was no condition or interaction effect on LPWV
following maximal arm versus leg exercise. There was no significant difference in
central PWV between conditions or with time. There was no change in MAP (75 +/- 6
77 +/- 3) after maximal arm exercise as compared to the maximal leg exercise (73
+/- 6-80 +/- 2). Arm exercise produced a more generalized effect on arterial
stiffness than leg exercise. The prescription of upper limb exercise may be
considered for purposes of eliciting post-exercise systemic changes in arterial
stiffness.
PMID- 22083537
TI - The art of medicine: recognising influenza and pneumonia.
PMID- 22083538
TI - Knowledge of asthma management by general practitioners in Karachi, Pakistan:
comparison with international guidelines.
AB - BACKGROUND: Suboptimal management of asthma by general practitioners (GPs) can
lead to poor health outcomes AIMS: To assess the management of common asthma
presentations by GPs using the Global Initiative for Asthma (GINA) guidelines as
a comparative tool. METHODS: A cross-sectional survey was conducted in Karachi,
Pakistan. Of 250 GPs approached, 192 completed a self-administered questionnaire
regarding pathology, key history points, risk factors, diagnosis, and management
of asthma. RESULTS: Overall, 28.6% of GPs had adequate knowledge of the core
concepts of asthma, while only 10.4% had adequate practice in asthma management.
About 78% of GPs had inadequate knowledge of pathology, about 90% had inadequate
knowledge of medications to be used, and 63% had inadequate knowledge regarding
diet restrictions. Knowledge regarding symptoms not usually associated with
asthma was adequate, as was knowledge regarding non-pharmacological management
(79% each). Practices regarding asthma diagnosis were good (99.0%). However,
practices regarding acute exacerbations and patients who wish to exercise were
inadequate in 85.9% and 82.8% of GPs, respectively. CONCLUSIONS: The majority of
GPs had poor knowledge and practice of asthma. We recommend initiation of
programmes to improve their knowledge and practices.
PMID- 22083540
TI - Relationship between cardiovascular disease knowledge and race/ethnicity,
education, and weight status.
AB - BACKGROUND: Inadequate cardiovascular disease (CVD) knowledge has been cited to
account for the imperfect decline in CVD among women over the last 2 decades.
HYPOTHESIS: Due to concerns that at-risk women might not know the leading cause
of death or symptoms of a heart attack, our goal was to assess the relationship
between CVD knowledge race/ethnicity, education, and body mass index (BMI).
METHODS: Using a structured questionnaire, CVD knowledge, socio-demographics,
risk factors, and BMI were evaluated in 681 women. RESULTS: Participants included
Hispanic, 42.1% (n = 287); non-Hispanic white (NHW), 40.2% (n = 274); non
Hispanic black (NHB), 7.3% (n = 50); and Asian/Pacific Islander (A/PI), 8.7% (n =
59). Average BMI was 26.3 +/- 6.1 kg/m(2) . Hypertension was more frequent among
overweight (45%) and obese (62%) than normal weight (24%) (P < 0.0001), elevated
total cholesterol was more frequent among overweight (41%) and obese (44%) than
normal weight (30%) (P < 0.05 and P < 0.01, respectively), and diabetes was more
frequent among obese (25%) than normal weight (5%) (P < 0.0001). Knowledge of the
leading cause of death and symptoms of a heart attack varied by race/ethnicity
and education (P < 0.001) but not BMI. Concerning the leading cause of death
among women in the United States, 87.6% (240/274) NHW answered correctly compared
to 64% (32/50) NHB (P < 0.05), 28.3% (80/283) Hispanic (P < 0.0001), and 55.9%
(33/59) A/PI (P < 0.001). Among participants with <=12 years of education, 21.2%
knew the leading cause of death and 49.3% knew heart attack symptoms vs 75.7% and
75.5%, respectively, for >12 years (both P < 0.0001). CONCLUSIONS: Effective
prevention strategies for at-risk populations need to escalate CVD knowledge and
awareness among the undereducated and minority women.
PMID- 22083541
TI - Trabecular bone score (TBS): available knowledge, clinical relevance, and future
prospects.
AB - The diagnosis of osteoporosis rests on areal bone mineral density (BMD)
measurement using DXA. Cancellous bone microarchitecture is a key determinant of
bone strength but cannot be measured using DXA. To meet the need for a clinical
tool capable of assessing bone microarchitecture, the TBS was developed. The TBS
is a texture parameter that evaluates pixel gray-level variations in DXA images
of the lumbar spine. The TBS variations may reflect bone microarchitecture. We
explain the general principles used to compute the TBS, and we report the
correlations between TBS and microarchitectural parameters. Several limitations
of the TBS as it is used now are pointed out. We discuss data from currently
available clinical studies on the ability of the TBS to identify patients with
fractures and to evaluate the fracture risk. We conclude that this new index
emphasizes the failure of the BMD T-score to fully capture the fragility fracture
risk. However, although microarchitecture may influence the TBS, today, to the
best of our understanding, there is no sufficient evidence that a TBS measurement
provides reliable information on the status of the bone microarchitecture for a
given patient. The TBS depends on gray-level variations and in a projectional
image obtained in vivo, these variations can have many causes. Nevertheless, as
clinical studies suggest that the TBS predicts the risk of fracture even after
adjustment for BMD, we are encouraged to learn more about this score. Additional
studies will have to be performed to assess the advantages and limitations of the
TBS, in order to ensure that it is used appropriately in clinical practice.
PMID- 22083544
TI - Chemical composition of ripe fruits of Rubus chamaemorus L. grown in different
habitats.
AB - BACKGROUND: Cloudberry (Rubus chamaemorus L.) is one of the most valuable berry
producing plants because of its nutritional properties. The chemical composition
and crop yield of ripe fruits of cloudberry grown wild in 10 habitats in northern
Finland was analysed over two consecutive summers. For comparison, two clones of
cultivated cloudberries were studied as well. RESULTS: The concentrations of
citric and malic acids, alpha-tocopherol, anthocyanins and beta-carotene had
notable variations between habitats. In particularly, cloudberries grown on open
habitats had higher content of citric acid and less alpha-tocopherol compared to
those grown on shaded sites. In a colder and rainy summer the content of
anthocyanins and the unsaturation level of fatty acids were significantly higher
than in a warmer and drier summer. Crop yields were higher in the warmer summer,
except in open sites where yields were quite equal. CONCLUSION: Cloudberries
grown in open habitats showed notable differences in chemical composition when
compared to those grown on shaded sites. Results suggest that the amount of
sunlight and temperature levels could be the main factors affecting crop yield
and chemical composition of cloudberry. In addition, the amount of rainfall may
have an effect on anthocyanin concentrations.
PMID- 22083543
TI - The loss of alpha2beta1 integrin suppresses joint inflammation and cartilage
destruction in mouse models of rheumatoid arthritis.
AB - OBJECTIVE: Integrin alpha2beta1 functions as a major receptor for type I collagen
on different cell types, including fibroblasts and inflammatory cells. Although
in vitro data suggest a role for alpha2beta1 integrin in regulating both cell
attachment and expression of matrix-degrading enzymes such as matrix
metalloproteinases (MMPs), mice that lack the alpha2 integrin subunit (Itga2(-/-)
mice) develop normally and are fertile. We undertook this study to investigate
the effect of Itga2 deficiency in 2 different mouse models of destructive
arthritis: the antigen-induced arthritis (AIA) mouse model and the human tumor
necrosis factor alpha (TNFalpha)-transgenic mouse model. METHODS: AIA was induced
in the knee joints of Itga2(-/-) mice and wild-type controls. Human TNF
transgenic mice were crossed with Itga2(-/-) mice and were assessed clinically
and histopathologically for signs of arthritis, inflammation, bone erosion, and
cartilage damage. MMP expression, proliferation, fibroblast attachment, and ERK
activation were determined. RESULTS: Under arthritic conditions, Itga2 deficiency
led to decreased severity of joint pathology. Specifically, Itga2(-/-) mice
showed less severe clinical symptoms and dramatically reduced pannus formation
and cartilage erosion. Mice lacking alpha2beta1 integrin exhibited reduced MMP-3
expression, both in their sera and in fibroblast-like synoviocytes (FLS), due to
impaired ERK activation. Further, both the proliferation and attachment of FLS to
cartilage were partially dependent on alpha2beta1 integrin in vitro and in vivo.
CONCLUSION: Our findings suggest that alpha2beta1 integrin contributes
significantly to inflammatory cartilage destruction by promoting fibroblast
proliferation and attachment and MMP expression.
PMID- 22083545
TI - Reduced field of view MRI with rapid, B1-robust outer volume suppression.
AB - MRI scans are inefficient when the size of the anatomy under investigation is
small relative to the subject's full extent. The field of view must be expanded,
and acquisition times accordingly prolonged. Shorter scans are feasible with
reduced field of view imaging (rFOV) using outer volume suppression (OVS), a
magnetization preparation sequence that attenuates signal outside a region of
interest (ROI). This work presents a new OVS sequence with a cylindrical ROI,
short duration, and improved tolerance for B(1)+ inhomogeneity. The sequence
consists of a nonselective adiabatic tipdown pulse, which provides B(1)+-robust
signal suppression, and a fast 2D spiral cylindrical tipback pulse. Analysis of
the Bloch equations with transverse initial magnetization reveals a conjugate
symmetric constraint for tipback pulses with small flip angles. This property is
exploited to achieve two-shot performance from the single-shot tipback pulse. The
OVS sequence is validated in phantoms and in vivo with multislice spiral imaging
at 3 T. The relative signal-to-noise ratio efficiency of the proposed sequence
was 98% in a phantom and 75-90% in vivo. The effectiveness is demonstrated with
cardiovascular rFOV imaging, which exhibits improved resolution and reduced
artifacts compared to conventional, full field of view imaging.
PMID- 22083546
TI - Involvement of calcium-sensing receptor in oxLDL-induced MMP-2 production in
vascular smooth muscle cells via PI3K/Akt pathway.
AB - Matrix metalloproteinase-2 (MMP-2) is constitutively expressed in vascular smooth
muscle cells (VSMCs) and up-regulated in atherosclerotic lesion by various
stimuli, such as oxidized low-density lipoprotein (oxLDL). Calcium-sensing
receptor (CaSR) is also expressed in VSMCs, but it remains unclear whether CaSR
is associated with overproduction of MMP-2 in VSMCs. In this study, the
expression of MMP-2 was detected by real-time PCR and Western blot analysis, and
the gelatinolytic activity of MMP-2 was measured using gelatin zymography. Our
results showed that oxLDL enhanced MMP-2 expression and activity in rat aortic
VSMCs in a time- and dose-dependent manner. In addition, CaSR expression was up
regulated by oxLDL. Manipulating CaSR function in these cells by NPS2390 (an
antagonist of CaSR) or GdCl(3) (an agonist of CaSR) affected the oxLDL-induced
MMP-2 production. In VSMCs, oxLDL stimulated the rapid activation of
phosphatidylinositol 3-kinase (PI3K)/Akt signal pathway, as determined by Western
blot analysis. Phosphorylation of Akt and MMP-2 production stimulated by oxLDL
were attenuated by LY294002 (a specific inhibitor of PI3K). Activation of Akt was
suppressed by NPS2390 but enhanced by GdCl(3). In contrast, oxLDL had no
stimulatory effect on the phosphorylation of JNK, and pretreatment with SP600125
(an inhibitor of JNK) produced no significant effect on oxLDL-induced MMP-2
production. These results suggest that CaSR mediates oxLDL-induced MMP-2
production in VSMCs via PI3K/Akt signal pathway.
PMID- 22083547
TI - Progression of apoptic signaling from mesenteric ischemia-reperfusion injury to
lungs: correlation in the level of ER chaperones expression.
AB - Multiple organ dysfunction syndrome (MODS) is characterized by the development of
probably reversible, progressive dysfunction of vital systems in two or more
organs, directly undamaged by surgery or other trauma. The organs which have the
most common potential dysfunction are lungs, liver, kidneys, heart and
gastrointestinal tract. The small intestine is the source of production of
proinflammatory mediators leading and contributing to multiorgan failure. The
endoplasmic reticulum (ER), after ischemia and post-ischemic reperfusion, is
significantly involved in the activation of enterocyte apoptosis. The purpose of
this study was to determine the stage of apoptosis in the lungs, initiated
through inflammatory response from the small intestine. We analyzed changes in
mRNA levels of pro-apoptotic genes Gadd153 (Chop) and anti-apoptotic genes Grp78
(Bip) in the small intestine wall and lung parenchyma. During experimental
procedure the rats underwent 60 min of ischemia, caused by complete occlusion of
the mesenteric arteria cranialis, with subsequent reperfusion and evaluation
after 1 h, 24 h and 30 days (from R1, R24 to R30, respectively, each group n =
8). The gene expression levels were measured using RT-PCR followed by
electrophoresis and visualization under UV. In the lungs we detected
significantly lower level of expression Grp78 by 45 +/- 6.9%. This suggests that
ischemic attack and subsequent reperfusion did not promote ER stress in the lungs
through induction of Gadd153 expression in the small intestine. There is still no
effective approach to the treatment of affected ischemic intestine tissue, to
stop the processes with could eventually lead to MODS. Therefore it is necessary
to study changes in the damaged tissue at the molecular level and try to suggest
possible therapeutic defined routes to the protection of tissue.
PMID- 22083548
TI - Arterio-venous differences in peripheral blood mononuclear cells across human
adipose tissue and the effect of adrenaline infusion.
AB - Recent evidence indicates that adipose tissue macrophages and lymphocytes have a
major role in the pathophysiology of obesity. The arterio-venous (A-V) difference
technique has been used very effectively to understand adipose tissue metabolism
in humans in vivo, and we set out to investigate whether it is possible to apply
and use this technique to determine A-V differences for peripheral blood
mononuclear cells (PBMCs) across human adipose tissue. We used flow-cytometric
analysis of arterial blood and venous blood draining upper- (abdominal) and lower
body (femoral) adipose tissue depots in middle-aged volunteers (age 45+/-8 years,
BMI 25.9+/-4.1 kg m(-2)). We determined A-V differences for various PBMCs. In
basal conditions, there was evidence of modest retention of some PBMCs in adipose
tissue, whereas the infusion of low-dose (physiological) adrenaline led to a
marked release of many PBMCs (with little evidence of depot-specific
differences). In addition to the demonstration that this approach is technically
feasible, these results also indicate that physiological stimuli that change
adrenaline concentrations and/or adipose tissue blood flow (such as physical
activity) provoke the release of PBMCs from femoral and abdominal adipose depots.
PMID- 22083549
TI - Study of eight GWAS-identified common variants for association with obesity
related indices in Chinese children at puberty.
AB - OBJECTIVE: Genome-wide association studies (GWAS) have identified some common
variants associated with obesity risk in European descents. However, their
influences on obesity-related indices for the Chinese children at puberty are not
widely clear yet. To address this question, we conducted a study that aims to
examine effects of eight previously reported GWAS single-nucleotide polymorphisms
(SNPs) on four obesity-related indices in a sample of Chinese children aged 10-12
years. METHODS: Anthropometric indices were measured in 1688 children (10.8+/-0.4
years, boys=877, girls=811) from Shanghai Children's Sleep Project. Eight SNPs
within the NEGR1, TMEM18, GNPDA2, MTCH2, SH2B1, FTO, MC4R and KCTD15 genes were
genotyped using matrix-assisted laser desorption/ionization-time of flight mass
spectrometry. Linear regression and genetic additive model were used to test for
the association betweens SNPs and obesity-related indices (weight, body mass
index (BMI), waist-to-height ratio and body fat). RESULTS: Only two SNPs,
rs6548238 (TMEM18) and rs9939609 (FTO), were associated with all obesity-related
indices with P<0.05. After adjusting for multiple tests, rs9939609 is the only
one significantly associated with the indices. SNPs, rs6548238 and rs9939609
explained 0.28% (P=0.03) and 0.54% (P=0.002) variance of BMI, respectively, that
are almost two-fold of the previously GWAS-reported effects. In addition,
rs6548238 was associated with four indices in boys, but not in girls. In
contrast, rs9939609 showed a strong association only in girls. Six other SNPs,
rs2815752 (NEGR1), rs10938397 (GNPDA2), rs10838738 (MTCH2), rs7498665 (SH2B1),
rs17782313 (MC4R) and rs11084753 (KCTD15), were not associated with obesity
(P>0.05). CONCLUSIONS: Variants of TMEM18 and FTO are associated with obesity
indices during puberty in Chinese children, but candidate SNPs of NEGR1, GNPDA2,
MTCH2, SH2B1, MC4R and KCTD15 have no significant effects on obesity indices. The
findings also suggest that obesity susceptibility genes may exert more obvious
effects during children's puberty. In addition, gender differences may exist in
various obesity-related genes.
PMID- 22083550
TI - Altered expression of gustatory-signaling elements in gastric tissue of morbidly
obese patients.
AB - OBJECTIVE: Sensing of nutrients in the stomach is of crucial importance for the
regulation of ingestive behavior especially in the context of metabolic
dysfunctions such as obesity. Cells in the gastric mucosa with taste-signaling
elements are considered as candidates for sensing the composition of ingested
food and consequently modulate gastrointestinal processes. To assess whether
obesity might have an impact on gastric chemosensory cells, gastric tissue
samples from morbidly obese patients and normal-weight subjects were compared
using a reverse transcriptase (RT)-PCR, qPCR and immunohistochemical approach.
RESULTS: Analysis of biopsy tissue samples from human stomach revealed that
transcripts for the taste-signaling elements, including the receptor T1R3
involved in the reception of amino acids and carbohydrates, the fatty acid
receptor GPR120, the G protein gustducin, the effector enzyme PLCbeta2 and the
ion channel TRPM5 are present in the human gastric mucosa and led to the
visualization of candidate chemosensory cells in the stomach expressing gustatory
marker molecules. RT-PCR and qPCR analyses indicated striking differences in the
expression profiles of specimens from obese subjects compared with controls. For
GPR120, gustducin, PLCbeta2 and TRPM5 the expression levels were increased,
whereas for T1R3 the level decreased. Using TRPM5 as an example, we found that
the higher expression level was associated with a higher number of TRPM5 cells in
gastric tissue samples from obese patients. This remarkable change was
accompanied by an increased number of ghrelin-positive cells. CONCLUSIONS: Our
findings argue for a relationship between the amount of food intake and/or the
energy status and the number of candidate chemosensory cells in the gastric
mucosa.
PMID- 22083551
TI - Leptin-deficient (ob/ob) mouse ovaries show fatty degeneration, enhanced
apoptosis and decreased expression of steroidogenic acute regulatory enzyme.
AB - OBJECTIVE: Leptin-deficient (ob/ob) mice are obese and infertile. Dysfunctions of
the ovaries are preferentially related to leptin-deficiency. DESIGN:
Morphological and molecular biological obesity-dependent changes in ob/ob
ovaries. SUBJECTS: Ovaries were obtained from three-month-old mice either
homozygote (ob/ob) and heterozygote (ob/+) or wild-type (C57BL6, WT) for the
investigation by light and electron microscopy, as well as for western blot
analysis of lectin-like oxidised low density lipoprotein receptor (LOX-1), Toll
like receptor 4 (TLR4), CD36, cleaved caspase-3, microtubule-associated protein
light chain 3 (LC3), and the steroidogenic acute regulatory protein (StAR).
RESULTS: Compared with control ovaries with corpora lutea, ob/ob ovaries lacked
corpora lutea, follicular atresia was at a higher rate; lipid droplets
accumulated in follicle cells and in the oocyte with damaged mitochondria; the
basement membrane of follicles was thickened. LOX-1 and CD36 expressions were
comparable for all three groups. Ob/ob ovaries showed significantly higher levels
of TLR4 and cleaved caspase-3 than the ones from the control groups. The high LC3
II/I ratio in the WT and ob/+ ovaries was related to the presence of corpora
lutea. The StAR protein was lower in the ob/ob ovaries signifying reduced
steroidogenesis. CONCLUSIONS: Excessive lipid storage causes disorders of ovarian
function in ob/ob mice. The local lipid overload leads to advanced follicular
atresia with apoptosis and defect steroidogenesis. We suggest that the changes in
lipid metabolism lead to increased oxidative stress and thereby, they are an
important reason of anovulation and infertility.
PMID- 22083553
TI - Computer-based route-definition system for peripheral bronchoscopy.
AB - Multi-detector computed tomography (MDCT) scanners produce high-resolution images
of the chest. Given a patient's MDCT scan, a physician can use an image-guided
intervention system to first plan and later perform bronchoscopy to diagnostic
sites situated deep in the lung periphery. An accurate definition of complete
routes through the airway tree leading to the diagnostic sites, however, is vital
for avoiding navigation errors during image-guided bronchoscopy. We present a
system for the robust definition of complete airway routes suitable for image
guided bronchoscopy. The system incorporates both automatic and semiautomatic
MDCT analysis methods for this purpose. Using an intuitive graphical user
interface, the user invokes automatic analysis on a patient's MDCT scan to
produce a series of preliminary routes. Next, the user visually inspects each
route and quickly corrects the observed route defects using the built-in
semiautomatic methods. Application of the system to a human study for the
planning and guidance of peripheral bronchoscopy demonstrates the efficacy of the
system.
PMID- 22083552
TI - Depression and anxiety diagnoses are not associated with delayed resolution of
abnormal mammograms and pap tests among vulnerable women.
AB - BACKGROUND: Delays in care after abnormal cancer screening contribute to
disparities in cancer outcomes. Women with psychiatric disorders are less likely
to receive cancer screening and may also have delays in diagnostic resolution
after an abnormal screening test. OBJECTIVE: To determine if depression and
anxiety are associated with delays in resolution after abnormal mammograms and
Pap tests in a vulnerable population of urban women. DESIGN: We conducted
retrospective chart reviews of electronic medical records to identify women who
had a diagnosis of depression or anxiety in the year prior to the abnormal
mammogram or Pap test. We used time-to-event analysis to analyze the outcome of
time to resolution after abnormal cancer screening, and Cox proportional hazards
regression modeling to control for confounding. PARTICIPANTS: Women receiving
care in six Boston-area community health centers 2004-2005: 523 with abnormal
mammograms, 474 with abnormal Pap tests. RESULTS: Of the women with abnormal
mammogram and pap tests, 19% and 16%, respectively, had co-morbid depression.
There was no difference in time to diagnostic resolution between depressed and
not-depressed women for those with abnormal mammograms (aHR = 0.9, 95 CI 0.7,1.1)
or Pap tests (aHR = 0.9, 95 CI 0.7,1.3). CONCLUSIONS: An active diagnosis of
depression and/or anxiety in the year prior to an abnormal mammogram or Pap test
was not associated with a prolonged time to diagnostic resolution. Our findings
imply that documented mood disorders do not identify an additional barrier to
resolution after abnormal cancer screening in a vulnerable population of women.
PMID- 22083554
TI - Type 2 diabetes mellitus and prognosis in early stage breast cancer women.
AB - It has been suggested that type 2 diabetes mellitus may affect breast cancer
prognosis, possibly due to increased diabetes-related comorbidity, or direct
effects of insulin resistance and/or hyperinsulinemia. The aim of this study was
to determine the impact of diabetes on disease-free survival (DFS) following
mastectomy for breast cancer patients. The cases included in this retrospective
study were selected from breast cancer women who had undergone mastectomy and
completed adjuvant chemotherapy from 1998 to 2010. Patients were classified into
two groups: diabetic and non-diabetic. Patients' age, sex, menopausal status,
body mass index (BMI), histopathological features, tumor size, lymph node
involvement, hormone receptor and HER2-neu status, and treatment types were
recorded. There were 483 breast cancer patients included in the study.
Postmenopausal patients' rate (53.7% vs. 36.8%, P = 0.016) and mean BMI levels
were statistically higher (32.2 vs. 27.9, P = 0.007) in diabetic patients. There
was no statistical difference for histological subgroup, grade, ER and PR
positivity, HER2-neu overexpression rate, and tumor size between the diabetic and
non-diabetic group. Lymph node involvements were statistically higher in diabetic
patients compared with non-diabetic patients (P = 0.013). Median disease-free
survival is 81 months (95% CI, 61.6-100.4) in non-diabetic patients and 36 months
(95% CI, 13.6-58.4) in diabetic patients (P < 0.001). The odds ratio of
recurrence was significantly increased in those with HER2-neu overexpression and
lymph node involvement and decreased with PR-positive tumors. Our results suggest
that diabetes is an independent prognostic factor for breast cancer.
PMID- 22083556
TI - Differential prognostic effect of revascularization according to a simple
comorbidity index in high-risk non-ST-segment elevation acute coronary syndrome.
AB - BACKGROUND: Data on the effect of revascularization on outcome in patients with
high-risk non-ST-segment elevation acute coronary syndrome (NSTEACS) and
significant comorbidities are scarce. Recently, a simple comorbidity index (SCI)
including 5 comorbidities (renal failure, dementia, peripheral artery disease,
heart failure, and prior myocardial infarction [MI]) has shown to be a useful
tool for risk stratification. Nevertheless, therapeutic implications have not
been derived. HYPOTHESIS: We sought to evaluate the prognostic effect
attributable to revascularization in NSTEACS according the SCI score. METHODS: We
included 1017 consecutive patients with NSTEACS. The effect of revascularization
on a combined end point of all-cause mortality or nonfatal MI was evaluated by
Cox regression according to SCI categories. RESULTS: A total of 560 (55.1%), 236
(23.2%), and 221 (21.7%) patients showed 0, 1, and >=2 points according to the
SCI, respectively. Coronary angiography was performed in 725 patients (71.5%),
and 450 patients (44.3%) underwent revascularization. During a median follow-up
of 16 months (interquartile range, 12-36 months), 305 (30%) patients experienced
the combined end point (202 deaths [19.9%] and 170 MIs [16.7%]). In multivariate
analysis, a differential prognostic effect of revascularization was observed
comparing SCI >=2 vs 0 (P for interaction = 0.008). Thus, revascularization was
associated with a greater prognostic benefit in patients with SCI >=2 (hazard
ratio [HR]: 0.51, 95% confidence interval [CI]: 0.29-0.89), P = 0.018), whereas
no significant benefit was observed in those with 0 and 1 point (HR: 1.31, 95%
CI: 0.88-1.94, P = 0.171 and HR: 1.11, 95% CI: 0.70-1.76, P = 0.651,
respectively). CONCLUSIONS: In NSTEACS, the SCI score appears to be a useful tool
for identifying a subset of patients with a significant long-term death/MI risk
reduction attributable to revascularization.
PMID- 22083558
TI - Discovery of potential antipsychotic agents possessing pro-cognitive properties.
AB - Current antipsychotic drug therapies for schizophrenia have limited efficacy and
are notably ineffective at addressing the cognitive deficits associated with this
disorder. The present study was designed to develop effective antipsychotic
agents that would also ameliorate the cognitive deficits associated with this
disease. In vitro studies comprised of binding and functional assays were
utilized to identify compounds with the receptor profile that could provide both
antipsychotic and pro-cognitive features. Antipsychotic and cognitive models
assessing in vivo activity of these compounds included locomotor activity assays
and novel object recognition assays. We developed a series of potential
antipsychotic agents with a novel receptor activity profile comprised of
muscarinic M(1) receptor agonism in addition to dopamine D(2) antagonism and
serotonin 5-HT(2A) inverse agonism. Like other antipsychotic agents, these
compounds reverse both amphetamine and dizocilpine-induced hyperactivity in
animals. In addition, unlike other antipsychotic drugs, these compounds
demonstrate pro-cognitive actions in the novel object recognition assay. The dual
attributes of antipsychotic and pro-cognitive actions distinguish these compounds
from other antipsychotic drugs and suggest that these compounds are prototype
molecules in the development of novel pro-cognitive antipsychotic agents.
PMID- 22083560
TI - Established HPLC fraction analysis to predict furanocoumarin-based herb-drug
metabolic interactions.
AB - An attempt was made in this study to predict the potential for metabolic
interactions of herbal extracts of drugs from their chromatographic profiles in
reverse-phase high-performance liquid chromatography (RP-HPLC). Twenty-nine
structurally related furanocoumarin compounds with known inhibitory interactions
with cytochrome P450 3A (CYP3A), which is important for phase-I drug metabolism,
were selected as a model system. A sigmoidal relationship was established between
the CYP3A inhibitory potency (y) and the RP-HPLC total peak response unit (R(u),
x) as y = 85.36 x (14.86 + x)-1 with a correlation coefficient of 0.63. The
sigmoidal curve could be divided into three ranges designated low, medium and
high risk that were used to indicate the relative inhibitory potency of the
metabolic interactions of herbs or traditional Chinese herb medicines with CYP3A.
These predictive classifications provide information or might be useful for 'risk
category' decisions concerning herb-drug interactions.
PMID- 22083559
TI - Importance of the Kir6.2 N-terminus for the interaction of glibenclamide and
repaglinide with the pancreatic K(ATP) channel.
AB - The pancreatic K(ATP) channel, SUR1/Kir6.2, couples insulin secretion to the
plasma glucose level. The channel is an octamer with four Kir6.2 subunits forming
the pore and four sulphonylurea receptors (SUR1) regulating channel activity.
SUR1 is an ABC protein with adenosine triphosphate (ATP)ase activity which
activates the channel. It also contains the binding site for antidiabetic drugs
like glibenclamide and repaglinide which close the channel by disrupting the
stimulatory effect SUR-ATPase (MgATP-dependent) and by stabilising a long-lived
closed channel state (MgATP-independent). In this study, we examined the effects
of progressive truncation of the Kir6.2 N-terminus up to 20 amino acids on
equilibrium binding and channel closure by glibenclamide and repaglinide, on the
channel activating effect of the opener, 6-chloro-3-(1-methylcyclobutyl)amino-4H
thieno[3,2-e]-1,2,4thiadiazine 1,1-dioxide (NNC 55-0462), and on the binding
kinetics of [(3)H]glibenclamide. Kir and SUR were transiently coexpressed in HEK
cells and [(3)H]glibenclamide binding and patch-clamp experiments were performed
in whole cells at 37 degrees C and in isolated inside/out patches at 22 degrees
C. Truncation of the first 5 N-terminal amino acids abolished most of the
affinity increase for glibenclamide and repaglinide that is produced by the
association of Kir6.2 with SUR1. Progressive truncation continuously reduced the
potency and efficacy of these drugs in closing the channel and impaired the
ability to stabilise the closed state more than the ability to disrupt channel
stimulation by SUR-ATPase. The effects of NNC 55-0462 were unchanged. Progressive
truncation also speeded up dissociation of [(3)H]glibenclamide from the channel
when dissociation was induced by an excess of (unlabelled) glibenclamide. This
suggests the existence of a putative low affinity glibenclamide site on the
channel whose affinity increases upon truncation. The data show that progressive
truncation of the Kir6.2 N-terminus impairs the transduction of drug binding into
channel closure more strongly than drug binding but leaves the effect of the
opener NNC 55-0462 unchanged.
PMID- 22083561
TI - Noise and ischemic heart disease.
PMID- 22083562
TI - Differences in apparent diffusion coefficients of brain metabolites between grey
and white matter in the human brain measured at 7 T.
AB - Diffusion weighted spectroscopy can provide microstructural information that is
specific to compartmental geometry. So far, in human brain, apparent diffusion
coefficients (ADCs) of only the metabolites N-acetyl aspartate, creatine (tCr)
and choline (tCho) have been assessed. High field MR at 7 T allows the collection
and analysis of diffusion weighted spectroscopy data of additional metabolites of
interest such as glutamate (Glu), N-acetyl aspartyl glutamate, and glutamine
(Gln), which are of interest due to their different compartmentalization and role
in brain physiology. In this study, we performed (1)H diffusion weighted
spectroscopy at 7 T using a diffusion-weighted PRESS sequence in parietal white
matter (n = 6) and occipital grey matter (n = 7). Data were analyzed using the
LCmodel. ADCs could reliably be obtained of N-acetyl aspartate, tCr, tCho, Glu,
Gln in grey and white matter, and N-acetyl aspartyl glutamate in white matter.
Significant differences in ADC values were observed between grey and white matter
for all metabolites. ADCs in grey matter were consistently lower than in white
matter. These differences can probably be attributed to different
compartmentalization as well as to the differential impact of diffusion time on
ADC of different molecules under conditions of restricted diffusion.
PMID- 22083563
TI - Young women with family history of breast cancer and their risk factors for
benign breast disease.
AB - BACKGROUND: Breast cancer (BC) patients wonder how their daughters might reduce
their risk. The authors investigated childhood/adolescent risk factors for benign
breast disease (BBD), a well-documented risk factor for BC, among girls with a
family history. METHODS: GUTS (the Growing Up Today Study) includes females, aged
9 to 15 years in 1996, who completed annual questionnaires during 1996 to 2001,
then in 2003, 2005, and 2007. Participants provided information regarding
alcohol, menarche, height, and body mass index (BMI; kg/m(2)). Peak height growth
velocity (PHV; in./y) was estimated from longitudinal heights. On 2005-2007
surveys, 6888 women (18-27 years old) reported whether they were diagnosed with
biopsy-confirmed BBD (n = 67 cases); 6741 women (noncases) reported no BBD.
Participants' mothers reported their own biopsy-confirmed BBD and BC, and BC in
their sisters and mothers. Stratified by family history, logistic models
investigated BBD risk factors. RESULTS: Young women whose mothers or aunts had BC
were more likely to be diagnosed with BBD (odds ratio [OR], 2.34; P = .01), as
were those with maternal BBD (OR, 1.59; P = .095). Adolescents with BC family
history (mother, aunt, grandmother) who consumed alcohol (7 drinks/wk) doubled
their BBD risk (OR, 2.28; P = .01), similar to those with maternal BBD (OR, 1.96;
P = .02). Girls whose mother or aunt had BC saw their BBD risk elevated with
higher PHV (OR, 1.82 [inch/yr]; P = .05). Among girls with no family history, BBD
risk appeared to be related to other factors: childhood BMI, adolescent waist
circumference, and adult height. CONCLUSIONS: Adolescents with family history may
reduce their risk by avoiding alcohol. Separate risk factors were observed among
girls with family history versus girls with no family history, possibly
reflecting different causes of BC.
PMID- 22083564
TI - Growth, yield and seed composition of native Australian legumes with potential as
grain crops.
AB - BACKGROUND: Many Australian native legumes grow in arid and nutrient-poor
environments. Yet few Australian herbaceous legumes have been investigated for
domestication potential. This study compared growth and reproductive traits,
grain yield and seed composition of 17 native Australian legumes with three
commercial grain legumes. RESULTS: Seed yields of seven native legumes were > 40%
of Cicer arietnum, with highest seed yields and harvest indices in Glycine sp.
(14.4 g per plant, 0.54 g g(-1) ) and Lotus cruentus (10.2 g per plant, 0.65 g g(
1) ). Five native species flowered earlier than field pea (Pisum sativa) (109
days), though many were slower to flower and set seed. Largest seeds were found
in Glycine canescens (17 mg), with seed of other native species 14 times smaller
than commercial cultivars. Seed composition of many native legumes was similar to
commercial cultivars (200-330 g protein kg(-1) dry weight (DW), 130-430 g dietary
fibre kg(-1) DW). Two Cullen species had high fat content (>110 g kg(-1) DW) and
Trigonella sauvissima had the highest crude protein content (370 g kg(-1) DW).
CONCLUSION: The seed composition and reproductive traits of some wild native
Australian legumes suggest they could offer potential as grain crops for soils
and environments where the current grain legumes are uneconomic. Further
evaluation of genetic diversity, especially for seed size, overall productivity,
and reproductive development is needed.
PMID- 22083565
TI - A new route towards nanoporous TiO2 as powders or thin films from the thermal
treatment of titanium-based hybrid materials.
AB - Calcination of cyclopentadienyltitanium-based organic-inorganic hybrid materials
at 450-500 degrees C led to the formation of anatase titanium dioxide as white
powders consisting of a porous network of aggregated nanoparticles, the
nanoporosity detected being related to the inter-particle space. Depending on the
calcination temperatures, the surface area of the titanium dioxide particles
varied from 65 to 158 m(2) g(-1).
PMID- 22083567
TI - Comprehensive approach to restoration of function in patients with radiation
induced pharyngoesophageal stenosis: report of 31 patients and proposal of new
classification scheme.
AB - BACKGROUND: The treatment for upper aerodigestive tract malignancy with external
beam radiation therapy and chemotherapy can result in a "cure," yet result in
pharyngoesophageal (PE) stenosis. To improve communication, compare therapeutic
results, and assist in treatment planning, we developed a new classification
system to describe PE stenoses. Additionally, we analyzed our 6-year experience.
METHODS: The new classification system was defined and used to assess patient
management. RESULTS: Thirty-one patients underwent treatment for PE stenoses
using: 4 rendezvous dilations, 3 regional, and 24 free tissue transfers. Overall
success was based on airway status, speech, and swallowing outcomes. CONCLUSION:
Classification of posttreatment stenoses has been applied to this patient group.
It is effective in defining the problem and considerations related to treatment
planning and surgical access when augmentation pharyngoplasty is required.
Patients with an intact larynx have many issues that make recovery of function
less consistent, despite segment restoration.
PMID- 22083566
TI - Exploratory investigation on nitro- and phospho-proteome cerebellum changes in
hyperammonemia and hepatic encephalopathy rat models.
AB - Hepatic encephalopathy (HE) is a neurological disease associated with hepatic
dysfunction. Current knowledge suggests that hyperammonemia, related to liver
failure, is a main factor contributing to the cerebral alterations in HE and that
hyperammonemia might impair signal transduction associated with post
translational modification of proteins such as tyrosine-nitration and
phosphorylation. However, the molecular bases of the HE remain unclear and very
little is known about the occurrence of post-translational modification on in
vivo proteins. In this exploratory study we look for evidence of post-translation
modifications of proteins in the cerebellum of experimental HE rat models using a
proteomic approach. For the first time we showed that hyperammonemia without
liver failure (HA rats) and experimental HE with liver failure due to portacaval
shunt (PCS rats) lead to a reduced protein nitration in rat cerebellum, where the
undernitrated proteins were involved in energy metabolism and cytoskeleton
remodelling. Moreover we showed that tyrosine nitration loss of these proteins
was not necessarily associated to a change in their phosphorylation state as
result of the disease. Interestingly the rat cerebellum phosphoproteome was
mainly perturbed in PCS rats, whereas HA rats did not shown appreciable changes
in their phosphoprotein profile. Since the protein nitration level decreased
similarly in the cerebellum of both HA and PCS rats, this implies that the two
disease models share common effects but also present some differential signalling
effects in the cerebellum of the same animals. This study highlights the interest
for studying the concerted action of multiple signalling pathways in HE
development.
PMID- 22083568
TI - Differences in serum zn levels in acutely ill and recovered adolescents and young
adults with anorexia nervosa--a pilot study.
AB - Preliminary evidence suggests that changes in zinc (Zn) metabolism are associated
with anorexia nervosa (AN). However, data are scarce regarding potential
differences in serum Zn concentrations in adolescent and young adult patients
with AN. It was the aim of the present pilot study to compare serum Zn
concentrations between acutely ill and remitted adolescent and young adult female
patients with AN and female controls. Zn concentrations were higher in remitted
compared with acutely ill patients. Zn concentrations were also higher in
remitted patients compared with controls, but there was no significant difference
in Zn concentrations between acutely ill patients and controls. The present study
provides preliminary evidence for differences in serum Zn status in recovered
patients with AN. These differences are likely influenced by reported food
preferences, in particular as regards Ca2+ and phosphorus-containing foods.
However, because of limited statistical power, future research involving larger
samples is necessary.
PMID- 22083569
TI - Dramatic increases in carotid stenting despite nonconclusive data.
PMID- 22083570
TI - Goals of care for hip fracture: promoting independence and reducing mortality.
PMID- 22083571
TI - Atrial fibrillation in the otherwise healthy patient: still a cause for concern.
PMID- 22083572
TI - Growth in dementia-associated hospitalizations among the oldest old in the United
States: implications for ethical health services planning.
PMID- 22083573
TI - Hearing loss prevalence in the United States.
PMID- 22083574
TI - Invited commentary--creating the future of aging.
PMID- 22083575
TI - Psychological distress as a risk factor for dementia death.
PMID- 22083576
TI - More is less.
PMID- 22083577
TI - QRS morphology rather than QRS duration for predicting CRT response.
PMID- 22083578
TI - Acid suppressive therapy in noncritically ill patients.
PMID- 22083579
TI - Disclosure of outside income.
PMID- 22083580
TI - Autonomic mechanisms associated with heart rate and vasoconstrictor reserves.
AB - INTRODUCTION: Hemorrhage is accompanied by baroreflex-mediated tachycardia and
vasoconstriction. The difference between baseline and maximum responses is
defined as the heart rate (HR) and vasoconstrictor 'reserve'. OBJECTIVE: To test
the hypothesis that higher HR and vasoconstrictor reserves in subjects with high
tolerance (HT) to central hypovolemia is associated with greater reserve for
sympathoexcitation and vagal withdrawal compared with low tolerant (LT) subjects.
METHODS: R-R intervals (RRI), systolic arterial pressure (SAP), estimated stroke
volume, and muscle sympathetic nerve activity (MSNA) were measured during lower
body negative pressure (LBNP) designed to induce pre-syncope. Subjects with
tolerance <= 60 mmHg LBNP were classified as LT (n = 22) while subjects who
tolerated LBNP levels >60 mmHg were classified as HT (n = 56). Spontaneous
cardiac baroreflex sensitivity (BRS) was assessed via RRI-SAP down-down
sequences. RESULTS: HR reserve in HT subjects (+52 +/- 2 bpm) was twofold greater
(P < 0.001) than that in LT subjects (+27 +/- 3 bpm). Vasoconstrictor reserve in
the HT group (+3.4 +/- 0.5 pru) was greater (P = 0.04) than that of the LT group
(+1.9 +/- 0.3 pru). HT subjects demonstrated greater (P <= 0.03) BRS reserve (
14.2 +/- 1.8 ms/mmHg) and MSNA reserve (+41 +/- 2 bursts/min) compared with LT
subjects (-7.4 +/- 1.7 ms/mmHg and +26 +/- 7 bursts/min). INTERPRETATION: Our
data support the hypothesis that greater physiological reserve capacity for
tachycardia and vasoconstriction related to high tolerance to central hypovolemia
is associated with greater reserves for sympathoexcitation and cardiac vagal
withdrawal.
PMID- 22083581
TI - An embryonic stem cell-based system for rapid analysis of transcriptional
enhancers.
AB - With the growing use of genome-wide screens for cis-regulatory elements, there is
a pressing need for platforms that enable fast and cost-effective experimental
validation of identified hits in relevant developmental and tissue contexts.
Here, we describe a murine embryonic stem cell (ESC)-based system that
facilitates rapid analysis of putative transcriptional enhancers. Candidate
enhancers are targeted with high efficiency to a defined genomic locus via
recombinase-mediated cassette exchange. Targeted ESCs are subsequently
differentiated in vitro into desired cell types, where enhancer activity is
monitored by reporter gene expression. As a proof of principle, we analyzed a
previously characterized, Sonic hedgehog (Shh)-dependent, V3 interneuron
progenitor (pV3)-specific enhancer for the Nkx2.2 gene, and observed highly
specific enhancer activity. Given the broad potential of ESCs to generate a
spectrum of cell types, this system can serve as an effective platform for the
characterization of gene regulatory networks controlling cell fate specification
and cell function.
PMID- 22083582
TI - Polydimethylsiloxane-functionalized monolithic silica column for reversed-phase
capillary liquid chromatography.
AB - A polydimethylsiloxane (PDMS)-modified monolithic silica column was prepared for
performing reversed-phase capillary liquid chromatography. The prepared PDMS
column has a permeability of 6.4*10(-14) m(2) with a plate height <9.2 MUm.
Alkylbenzenes and polycyclic aromatic hydrocarbons (PAHs) were well separated
with the PDMS stationary phase, which exhibited similar selectivity and
separation mechanism to that of octadecyl stationary phase. The hydrophobic
interactions between the analytes and the PDMS stationary phase mainly play the
roles for the separation of alkylbenzenes and PAHs. The characteristics of the
PDMS column for the separation of alkylbenzenes and PAHs demonstrated that it
would be a promising alternative to the octadecyl column.
PMID- 22083584
TI - Peritoneal sarcomatosis associated with telemetry implants in Sprague Dawley CD
rats: a review of eight cases.
AB - Surgical implantation of radiotelemetric transmitters is a current practice to
collect a variety of physiological parameters in unrestrained laboratory animals,
and in rodents in particular. In this study, the incidence of peritoneal
sarcomatosis arising secondary to surgically implanted telemetry devices (< 15%
of implanted Sprague Dawley rats) is considered to represent a significant issue
for both animal welfare and data validity in affected animals. Macroscopically,
the telemetry-associated fibrosarcomas spread along the visceral and parietal
peritoneum and mesentery surrounding abdominal organs. The histologic morphology
of these sarcomas was typically an undifferentiated sarcoma, although well
differentiated fibrosarcomas and telangiectatic and pleomorphic variants were
noted. Using special stains such as Masson's Trichrome demonstrated a collagenous
extracellular matrix in 50% of these rats, which is consistent with a
fibroblastic origin. Immunohistochemical studies clearly delineated the
mesenchymal components of the sarcomas (fibroblasts and smooth muscle cells); one
case, however, was diagnosed as an osteosarcoma.
PMID- 22083583
TI - Intrasplenic transplantation of isolated adult rat hepatocytes: sex-reversal
and/or suppression of the major constituent isoforms of cytochrome P450.
AB - Adult male and female rat hepatocytes were individually transplanted into the
spleens of adult male and female rats. The recipients were euthanized at either
eight, sixteen, thirty, or forty-five weeks following transplantation, at which
time hepatic and splenic levels of liver-specific rat albumin mRNA as well as sex
dependent transcript levels of CYP2C11, -2C12, -2C7, -2A1, and -3A2-which
accounts for > 60% of the total concentration of hepatic constituent cytochrome
P450-were determined. Whereas the pre-infused hepatocytes expressed their
expected cytochrome P450 sexual dimorphisms (female-specific CYP2C12, male
specific CYP3A2, and female-predominant CYP2A1), their post-transplantational
competence now reflected the sexual dimorphisms of the recipient (as observed in
the host's liver), which supports the concept that the sex-dependent growth
hormone circulating profiles are the determinants regulating the expression
levels of hepatic cytochrome P450. Also expressed at normal concentrations in the
pre-infused hepatocytes, male-specific CYP2C11 and female-predominant CYP2C7 were
inexplicably undetectable in the spleens of both recipient males and females,
regardless of the sex of the donor hepatocytes, almost one year after
transplantation.
PMID- 22083586
TI - Small molecule immunomodulatory drugs: challenges and approaches for balancing
efficacy with toxicity.
AB - As the molecular pathobiology of immunologically based diseases, such as
rheumatoid arthritis, has become clearer, pharmaceutical researchers have
responded with highly efficacious and selective biological compounds. In contrast
to older, nonspecific small-molecule therapeutics, the exquisite species
sensitivity of monoclonal antibodies has introduced new challenges to preclinical
safety studies. Repeated exposure of animals to biopharmaceutical compounds tends
to be restricted in the species in which these compounds have pharmacological
action, and it tends to stimulate antidrug immune responses with acceleration of
clearance, thereby limiting the duration of repeat-dose studies and potentially
resulting in hypersensitivity reactions. Thus, the safety testing of
biopharmaceutical compounds has necessitated the use of relatively short-term
studies in rodents, whereas nonhuman primates have become the primary tool for
large-animal, repeat-dose studies. However, as the number of highly targeted and
efficacious small-molecule immunomodulators rapidly increases, these molecules
will be developed in a manner similar to that of other small molecules with
regard to safety assessment. Because such approaches inherently push drug levels
to achieve maximally tolerated doses, the pharmacologic specificity of these new
small-molecule drugs may be lost as they affect additional receptors and
pathways. Therefore, toxicologic pathologists must refamiliarize themselves with
the consequences of profound immunosuppression in species other than nonhuman
primates. The interrelationships of cytokine signaling and receptor biology are
complex, highly integrated, and at times paradoxical, and the loss of specificity
at high doses may result in unforeseen consequences caused by the impact on
complex down-stream pathways that culminate in exaggerated and adverse responses.
The species specificity of such responses may not be inherently familiar or
anticipated.
PMID- 22083585
TI - Comparative long-term preclinical safety evaluation of two glatiramoid compounds
(glatiramer Acetate, Copaxone(R), and TV-5010, protiramer) in rats and monkeys.
AB - Glatiramer acetate (GA), the active ingredient in Copaxone(r), is a complex
mixture of polypeptides used for the treatment of relapsing remitting multiple
sclerosis. Glatiramoids are related mixtures that may differ in some
characteristics of the prototype molecule. Our aim is to describe the long-term
toxicity studies with protiramer (TV-5010), a new glatiramoid, in comparison with
similar studies conducted with GA. The toxicity of twice-weekly subcutaneous
injections of protiramer to Sprague-Dawley rats (twenty-six weeks) and cynomolgus
monkeys (fifty-two weeks) was compared with similar studies done with daily
subcutaneous injections of GA. Daily treatment with GA was safe and well
tolerated, without systemic effects or death. Protiramer administration was not
as well tolerated as GA and led to dose- and time-related mortalities, probably
mediated through severe injection-site lesions both in rats and in monkeys.
Bridging fibrosis in the liver and severe progressive nephropathy were seen in
rats. A dose-related increase in eosinophils was observed in monkeys. The
protiramer toxicity studies show that minor variations in the manufacturing of
glatiramoids may lead to significant toxic effects. It is therefore essential
that the safety of any new glatiramoid be studied in long-term preclinical
studies before exposing humans.
PMID- 22083587
TI - Association of coronary artery calcium with severity of myocardial ischemia in
left anterior descending, left circumflex, and right coronary artery territories.
AB - BACKGROUND: An increasing coronary artery calcium score is associated with a
higher likelihood of myocardial ischemia. HYPOTHESIS: The association of the
coronary calcium score with myocardial ischemia in different coronary arteries
needed to be investigated. METHODS: We correlated the coronary artery calcium
(CAC) score with the severity of myocardial ischemia diagnosed by myocardial
perfusion imaging in the left anterior descending (LAD), left circumflex (LCX),
and right coronary artery (RCA) territories in 206 patients, mean age 66 years,
without cardiac stents or coronary artery surgery. RESULTS: The mean CAC score in
the LAD coronary artery was 160 +/- 218 in patients with no or mild ischemia and
336 +/- 379 in patients with moderate or severe ischemia (P = 0.039). The mean
CAC score in the LCX coronary artery was 57 +/- 117 in patients with no or mild
ischemia and 161 +/- 191 in patients with moderate or severe ischemia (P =
0.018). The mean CAC score in the RCA was 114 +/- 237 in patients with no or mild
ischemia and 261 +/- 321 in patients with moderate or severe ischemia (P =
0.045). Stepwise linear regression analysis showed that male gender (P < 0.0001),
age (P < 0.0001), and moderate or severe ischemia (P = 0.023) were significantly
associated with high LAD coronary artery CAC scores. Male gender (P < 0.0001),
age (P = 0.0002), and moderate or severe ischemia (P = 0.006) were significantly
associated with high LCX coronary artery CAC scores. Male gender (P < 0.0001) and
age (P < 0.0001) were significantly associated with high RCA CAC scores.
CONCLUSIONS: Higher CAC scores are significantly associated with moderate or
severe ischemia in the LAD and LCX coronary arteries.
PMID- 22083588
TI - HDXFinder: automated analysis and data reporting of deuterium/hydrogen exchange
mass spectrometry.
AB - Hydrogen/deuterium exchange in combination with mass spectrometry (H/D MS) is a
sensitive technique for detection of changes in protein conformation and
dynamics. However, wide application of H/D MS has been hindered, in part, by the
lack of computational tools necessary for efficient analysis of the large data
sets associated with this technique. We report a novel web-based application for
automatic analysis of H/D MS experimental data. This application relies on the
high resolution of mass spectrometers to extract all isotopic envelopes before
correlating these envelopes with individual peptides. Although a fully automatic
analysis is possible, a variety of graphical tools are included to aid in the
verification of correlations and rankings of the isotopic peptide envelopes. As a
demonstration, the rate constants for H/D exchange of peptides from rabbit muscle
pyruvate kinase are mapped onto the structure of this protein.
PMID- 22083589
TI - Detection and characterization of low abundance glycopeptides via higher-energy C
trap dissociation and orbitrap mass analysis.
AB - Broad-scale mass spectrometric analyses of glycopeptides are constrained by the
considerable complexity inherent to glycoproteomics, and techniques are still
being actively developed to address the associated analytical difficulties. Here
we apply Orbitrap mass analysis and higher-energy C-trap dissociation (HCD) to
facilitate detailed insights into the compositions and heterogeneity of complex
mixtures of low abundance glycopeptides. By generating diagnostic oxonium product
ions at mass measurement errors of <5 ppm, highly selective glycopeptide
precursor ion detections are made at sub-fmol limits of detection: analyses of
proteolytic digests of a hen egg glycoprotein mixture detect 88 previously
uncharacterized glycopeptides from 666 precursor ions selected for MS/MS, with
only one false positive due to co-fragmentation of a non-glycosylated peptide
with a glycopeptide. We also demonstrate that by (1) identifying multiple series
of glycoforms using high mass accuracy single stage MS spectra, and (2)
performing product ion scans at optimized HCD collision energies, the
identification of peptide + N-acetylhexosamine (HexNAc) ions (Y1 ions) can be
readily achieved at <5 ppm mass measurement errors. These data allow base peptide
sequences and glycan compositional information to be attained with high
confidence, even for glycopeptides that produce weak precursor ion signals and/or
low quality MS/MS spectra. The glycopeptides characterized from low fmol
abundances using these methods allow two previously unreported glycosylation
sites on the Gallus gallus protein ovoglycoprotein (amino acids 82 and 90) to be
confirmed; considerable glycan heterogeneities at amino acid 90 of
ovoglycoprotein, and amino acids 34 and 77 of Gallus gallus ovomucoid are also
revealed.
PMID- 22083590
TI - Formation and fragmentation of radical peptide anions: insights from vacuum ultra
violet spectroscopy.
AB - We have studied the photodissociation of gas-phase deprotonated caerulein anions
by vacuum ultraviolet (VUV) photons in the 4.5 to 20 eV range, as provided by the
DESIRS beamline at the synchrotron radiation facility SOLEIL (France). Caerulein
is a sulphated peptide with three aromatic residues and nine amide bonds.
Electron loss is found to be the major relaxation channel at every photon energy.
However, an increase in the fragmentation efficiency (neutral losses and peptide
backbone cleavages) as a function of the energy is also observed. The oxidized
ions, generated by electron photodetachment were further isolated and activated
by collision (CID) in a MS(3) scheme. The branching ratios of the different
fragments observed by CID as a function of the initial VUV photon energy are
found to be independent of the initial photon energy. Thus, there is no memory
effect of the initial excitation energy on the fragmentation channels of the
oxidized species on the time scale of our tandem MS experiment. We also report
photofragment yields as a function of photon energy for doubly deprotonated
caerulein ions, for both closed-shell ([M-2H](2-)) non-radical ions and open
shell ([M-3H](2-*)) radical ions. These latter ions are generated by electron
photodetachment from [M-3H](3-) precursor ions. The detachment yield increases
monotonically with the energy with the appearance of several absorption bands.
Spectra for radical and non-radical ions are quite similar in terms of observed
bands; however, the VUV fragmentation yield is enhanced by the presence of a
radical in caerulein peptides.
PMID- 22083591
TI - Individual differences in the effects of environmental stimuli on cocaine choice
in socially housed male cynomolgus monkeys.
AB - RATIONALE: Studies in laboratory animals have demonstrated an influence of
environmentally derived stress and enrichment on the reinforcing effects of
stimulants. OBJECTIVE: To characterize the effects of acute exposure to
ethologically valid environmental stimuli on the reinforcing strength of cocaine
relative to food in socially housed monkeys. MATERIALS AND METHODS: Choice
between cocaine and food was assessed in subsets of 16 socially housed (4/pen)
male cynomolgus monkeys immediately after the following manipulations: (1) treats
placed in home cage, (2) a 10-min exposure to a rubber snake, or (3) 3 to 7 days
of living in a larger environment without cage mates. RESULTS: Placing treats in
the home cage shifted the cocaine dose-response curve to the left in five monkeys
tested and to the right in 4 of 12 animals. The rubber snake significantly
shifted the cocaine choice curve to the left in dominant monkeys. Exposure to an
enlarged environment decreased cocaine choice in 9 of 15 monkeys; this effect was
transient and not related to social rank. Repeated testing did not affect cocaine
choice. CONCLUSIONS: Brief exposure to environmental events hypothesized to be
stressors or enrichment altered cocaine choice, although not all individuals were
affected and the effects were transient. Importantly, the data suggest that
implementing positive changes in the environment produced effects that are
clinically desirable. Understanding the behavioral and neurobiological mechanisms
mediating sensitivity to environmental events in socially housed animals will
lead to better treatment strategies for drug addiction.
PMID- 22083592
TI - Anxiogenic-like effects of chronic cannabidiol administration in rats.
AB - RATIONALE: Several pre-clinical and human-based studies have shown that acutely
administered cannabidiol (CBD) can produce anxiolytic-like effects OBJECTIVES:
The present study investigated the effects of chronic administration of CBD on
rat behaviour and on the expression of brain proteins. METHODS: Male Lister
hooded rats (150-200 g, n = 8 per group) received daily injections of CBD (10
mg/kg, i.p.) for 14 days. The rats were subjected to two behavioural tests:
locomotor activity and conditioned emotional response (CER). The expression of
brain-derived neurotrophic factor (BDNF), its receptor tyrosine kinase B (Trk B),
extracellular signal-regulated kinases (ERK1/2) and phospho-ERK1/2 and the
transcription factor cyclic AMP response element binding protein activation
(CREB) and phospho-CREB were determined in brain regions such as the frontal
cortex and hippocampus using Western immunoblotting. RESULTS: CBD significantly
increased the time spent freezing in the CER test with no effect on locomotor
activity. CBD significantly reduced BDNF expression in the hippocampus and
frontal cortex with no change in the striatum. In addition, CBD significantly
reduced TrkB expression in the hippocampus with a strong trend towards reduction
in the striatum but had no effect in the frontal cortex. In the hippocampus, CBD
had no effect on ERK1/2 or phospho-ERK2, but in the frontal cortex, CBD
significantly reduced phospho-ERK1/2 expression without affecting total ERK.
CONCLUSION: Chronic administration of CBD produced an anxiogenic-like effect in
clear opposition to the acute anxiolytic profile previously reported. In
addition, CBD decreased the expression of proteins that have been shown to be
enhanced by chronic treatment with antidepressant/anxiolytic drugs.
PMID- 22083593
TI - Trypanocidal activity of ergosterol peroxide from Pleurotus ostreatus.
AB - Chagas' disease, which is caused by the protozoan parasite Trypanosoma cruzi, is
a public health problem in South America affecting millions of people, and more
recently several thousands in countries where the disease is not endemic. Due to
the magnitude of the problem, finding a cure for this disease remains a major
challenge. The aim of this study is to evaluate the trypanocidal activity of
ergosterol peroxide (5alpha, 8alpha-epidioxy-22E-ergosta-6, 22-dien-3beta-ol)
isolated from Pleurotus ostreatus (Jacq.) P. Kumm. f. sp. Florida. The ergosterol
peroxide showed strong trypanocidal activity on the intracellular form of T.
cruzi. Ergosterol peroxide had an inhibitory concentration (IC50) of 6.74 ug/mL
on T. cruzi, but showed no lytic action on erythrocytes and no cytotoxic effect
on mammalian cells at concentrations higher than 1600 ug/mL. The interaction of
Trypanosoma cruzi with ergosterol peroxide in vitro resulted in a strong lytic
activity possibly due to the disruption of the parasite membrane. This is the
first report of trypanocidal activity, a new biological property of ergosterol
peroxide isolated from Pleurotus ostreatus (Jacq.) P. Kumm. f. sp. Florida.
PMID- 22083594
TI - Local SAR in parallel transmission pulse design.
AB - The management of local and global power deposition in human subjects (specific
absorption rate, SAR) is a fundamental constraint to the application of parallel
transmission (pTx) systems. Even though the pTx and single channel have to meet
the same SAR requirements, the complex behavior of the spatial distribution of
local SAR for transmission arrays poses problems that are not encountered in
conventional single-channel systems and places additional requirements on pTx
radio frequency pulse design. We propose a pTx pulse design method which builds
on recent work to capture the spatial distribution of local SAR in numerical
tissue models in a compressed parameterization in order to incorporate local SAR
constraints within computation times that accommodate pTx pulse design during an
in vivo magnetic resonance imaging scan. Additionally, the algorithm yields a
protocol-specific ultimate peak in local SAR, which is shown to bound the
achievable peak local SAR for a given excitation profile fidelity. The
performance of the approach was demonstrated using a numerical human head model
and a 7 Tesla eight-channel transmit array. The method reduced peak local 10 g
SAR by 14-66% for slice-selective pTx excitations and 2D selective pTx
excitations compared to a pTx pulse design constrained only by global SAR. The
primary tradeoff incurred for reducing peak local SAR was an increase in global
SAR, up to 34% for the evaluated examples, which is favorable in cases where
local SAR constraints dominate the pulse applications.
PMID- 22083595
TI - Extraction, identification and characterization of the water-insoluble proteins
from tobacco biomass.
AB - BACKGROUND: Tobacco leaves are a potential candidate for plant proteins, yielding
fourfold more protein per acre than soybeans. However, more than 60% of these
proteins are water-insoluble and remain in the residue (referred to as 'tobacco
biomass') after aqueous extraction. Efficient extraction of tobacco biomass
proteins (TBPs) could boost the development of value-added products from
tobaccos. RESULTS: TBPs were resistant to salt extraction at pH 2.0-12.0, but
they were readily extracted by organic solvents. A simplified extracting method,
including mechanical homogenization, a first extraction with a methanol-water
mixture (40/60, v/v) and a second one with water at pH 6.0, recovered at most 68%
of the TBPs. Analysis by sodium dodecyl sulfate-polyacrylamide gel
electrophoresis indicated the presence of both the water-soluble tobacco F(1)
protein and the less soluble cell wall proteins in TBPs, while Fourier transform
infrared spectra suggested the coexistence of TBPs with polysaccharides
(especially pectin). Meanwhile, a higher content of hydrophobic amino acids was
found in TBPs compared with water-extractable tobacco proteins. The amino acid
score of TBPs was 0.71, with cysteine and methionine being the primary limiting
amino acids. CONCLUSION: Satisfying recovery of TBPs was achieved using a two
step organic solvent extraction. The hydrophobicity and protein-pectin
interaction of TBPs explained for this result.
PMID- 22083596
TI - Stool DNA testing for the detection of pancreatic cancer: assessment of
methylation marker candidates.
AB - BACKGROUND: Pancreatic cancer (PanC) presents at late stage with high mortality.
Effective early detection methods are needed. Aberrantly methylated genes are
unexplored as markers for noninvasive detection by stool testing. The authors
aimed to select discriminant methylated genes and to assess accuracy of these and
mutant KRAS in stool to detect PanC. METHODS: Nine target genes were assayed by
real-time methylation-specific polymerase chain reaction (MSP) in bisulfite
treated DNA from microdissected frozen specimens of 24 PanC cases and 30 normal
colon controls. Archived stools from 58 PanC cases and 65 controls matched on
sex, age, and smoking were analyzed. Target genes from fecal supernatants were
enriched by hybrid capture, bisulfite-treated, and assayed by MSP. KRAS mutations
were assayed using the QuARTS technique. RESULTS: Areas under the receiver
operating characteristics curves (AUCs) for tissue BMP3, NDRG4, EYA4, UCHL1,
MDFI, Vimentin, CNTNAP2, SFRP2, and TFPI2 were 0.90, 0.79, 0.78, 0.78, 0.77,
0.77, 0.69, 0.67, and 0.66, respectively. The top 4 markers and mutant KRAS were
evaluated in stool. BMP3 was the most discriminant methylation marker in stool.
At 90% specificity, methylated BMP3 alone detected 51% of PanCs, mutant KRAS
detected 50%, and combination detected 67%. AUCs for methylated BMP3, mutant
KRAS, and combination in stool were 0.73, 0.75, and 0.85, respectively.
CONCLUSIONS: This study demonstrates that stool assay of a methylated gene marker
can detect PanC. Among candidate methylated markers discriminant in tissue, BMP3
alone performed well in stool. Combining methylated BMP3 and mutant KRAS
increased stool detection over either marker alone.
PMID- 22083597
TI - Influences on blockade by t-butylbicyclo-phosphoro-thionate of GABA(A) receptor
spontaneous gating, agonist activation and desensitization.
AB - Picrotoxin and t-butylbicyclophosphorothionate (TBPS) are GABA(A) receptor
(GABA(A)R) open channel blockers. However, picrotoxin displaceable [(35)S]TBPS
binding to alpha1beta2gamma2 GABA(A)Rs occurs in the absence of GABA, suggesting
that access to the binding site is independent of activation. Alternatively,
spontaneous gating may provide access to the channel. In the absence of episodic
GABA application, picrotoxin and TBPS blocked (by 91 +/- 3% and 85 +/- 5%,
respectively) GABA-evoked currents mediated by alpha1beta2gamma2 receptors. We
used two approaches to inhibit spontaneous GABA(A)R gating, bicuculline, which
inhibits spontaneous current in the absence of exogenous agonist and the
alpha1(K278M) mutant subunit. Whole-cell patch-clamp recordings demonstrated that
alpha1(K278M)beta2gamma2 receptors have negligible spontaneous gating.
Application of bicuculline to alpha1beta2gamma2 receptors in the absence of
exogenous GABA caused a 35% reduction of current blockade by TBPS and reduced
[(35)S]TBPS binding by 25%. Consistent with this, in the absence of exogenous
GABA, alpha1(K278M)beta2gamma2 receptors exhibited reduced blockade by TBPS
current compared to wild-type receptors. These data suggest that a decrease in
spontaneous gating reduces accessibility of TBPS to its binding site. GABA
application during picrotoxin or TBPS administration enhanced alpha1beta2gamma2
receptor blockade (to 98% in both cases). The GABA-dependent component of TBPS
blockade accounts for the stimulation of [(35)S]TBPS binding to alpha1beta2gamma2
receptors seen with GABA (1 MUm) application. Moreover, application of GABA at
concentrations that cause significant steady-state desensitization reduced
[(35)S]TBPS binding. The alpha1(K278M) subunit slowed desensitization kinetics
and increased the rate of deactivation of GABA-evoked currents. Furthermore,
there was a marked increase in the GABA EC(50) for desensitization of
alpha1(K278M)beta2gamma2 receptors associated with a large increase in the GABA
dependent stimulation of [(35)S]TBPS binding. These data establish a relationship
between GABA(A)R function and the three phases of [(35)S]TBPS binding seen in the
absence and the presence of GABA.
PMID- 22083598
TI - Phosphodiesterase 4 inhibition attenuates plasma volume loss and transvascular
exchange in volume-expanded mice.
AB - We tested the hypothesis that inhibition of phosphodiesterase 4 (PDE4) with
rolipram to increase vascular endothelial cAMP and stabilize the endothelial
barrier would attenuate the action of endogenous atrial natriuretic peptide (ANP)
to increase vascular permeability to the plasma protein albumin after an acute
plasma volume expansion. After rolipram pretreatment (8 mg (kg body wt)(-1),
intraperitoneal, 30 min) more than 95% of the peak increase in plasma volume
after volume expansion (4.5% bovine serum albumin, 114 MUl (g body wt)(-1) h(-1),
15 min) remained in the vascular space 75 min after the end of infusion, whereas
only 67% of the fluid was retained in volume-expanded animals with no rolipram
pretreatment. Rolipram significantly decreased 30 min fluorescently labelled
albumin clearance (MUl (g dry wt)(-1)) relative to untreated volume-expanded
controls in skin (e.g. back, 10.4 +/- 1.6 vs. 19.5 +/- 3.6, P = 0.04), muscle
(e.g. hamstring, 15.0 +/- 1.9 vs. 20.8 +/- 1.4, P = 0.04) and in colon, caecum,
and rectum (average reduction close to 50%). The mass of muscle and skin tissue
accounted for 70% of volume-expansion-dependent albumin shifts from plasma to
interstitium. The results are consistent with observations that the PDE4
inhibitor rolipram attenuates ANP-induced increases in vascular permeability
after infusion of exogenous ANP and observations of elevated central venous
pressure after a similar volume expansion in mice with selective deletion of the
endothelial ANP receptor. These observations may form the basis for new
strategies to retain intravenous fluid containing macromolecules.
PMID- 22083599
TI - Intrinsic morphological diversity of thick-tufted layer 5 pyramidal neurons
ensures robust and invariant properties of in silico synaptic connections.
AB - The morphology of neocortical pyramidal neurons is not only highly characteristic
but also displays an intrinsic diversity that renders each neuron morphologically
unique. We investigated the significance of this intrinsic morphological
diversity in in silico networks composed of thick-tufted layer 5 (TTL5) pyramidal
neurons, by comparing the in silico and in vitro properties of TTL5 synaptic
connections. The synaptic locations of in silico connections were determined by
placing 3D reconstructed TTL5 neurons randomly in a volume equivalent to that of
layer 5 in the juvenile rat somatosensory cortex and using a 'collision
detection' algorithm to identify the incidental loci of axo-dendritic overlap.
The activation time of the modelled synapses and their biophysical properties
were characterized based on experimental measurements. We found that the
anatomical loci of synapses and the physiological properties of the somatically
recorded EPSPs closely matched those recorded experimentally without the need for
any fine-tuning. Furthermore, perturbations to both the physiological or
anatomical parameters of the model did not alter the average physiological
properties of the population of modelled synaptic connections. This microcircuit
level robust behaviour was due to the intrinsic diversity of the morphology of
pyramidal neurons in the microcircuit. We conclude that synaptic transmission in
a network of TTL5 neurons is highly invariant across microcircuits suggesting
that intrinsic diversity is a mechanism to ensure the same average synaptic
properties in different animals of the same species. Finally, we show that the
average physiological properties of the TTL5 microcircuit are surprisingly robust
to anatomical and physiological perturbations also partly due to the intrinsic
diversity of pyramidal neuron morphology.
PMID- 22083600
TI - Lobule-specific membrane excitability of cerebellar Purkinje cells.
AB - Cerebellar Purkinje cells (PCs) are the sole output of the cerebellar cortex
and function as key to a variety of learning-related behaviours by integrating
multimodal afferent inputs. Intrinsic membrane excitability of neurons determines
the input-output relationship, and therefore governs the functions of neural
circuits. Cerebellar vermis consists of ten lobules (lobules I-X), and each
lobule receives different sensory information. However, lobule-specific
differences of electrophysiological properties of PC are incompletely understood.
To address this question, we performed a systematic comparison of membrane
properties of PCs from different lobules (lobules III-V vs. X). Two types of
firing patterns (tonic firing and complex bursting) were identified in response
to depolarizing current injections in lobule III-V PCs, whereas four distinct
firing patterns (tonic firing, complex bursting, initial bursting and gap firing)
were observed in lobule X. A-type K(+) current and early inactivation of fast
Na(+) conductance with activation of 4-aminopyridine-sensitive conductances were
shown to be responsible for the formation of gap firing and initial bursting
patterns, respectively, which were observed only in lobule X. In response to
current injection, PCs in lobule X spiked with wider dynamic range. These
differences in firing pattern and membrane properties probably contribute to
signal processing of afferent inputs in lobule-specific fashion, and particularly
diversity of discharge patterns in lobule X, as a part of the
vestibulocerebellum, might be involved in strict coordination of a precise
temporal response to a wide range of head movements.
PMID- 22083601
TI - Physiological characterization and functional heterogeneity of narrow-field
mammalian amacrine cells.
AB - Light-evoked responses of 106 morphologically identified narrow-field amacrine
cells (ACs) were studied in dark-adapted mouse retinal slices. Forty-five cells
exhibit AIIAC morphology, 55% of which show characteristic AIIAC physiological
properties (AIIAC1s) and the remaining 45% display different physiological
responses, suggesting that AIIACs are functionally heterogeneous. Moreover, we
found that 42 cells exhibit morphology that resembles the seven morphological
types of glycine-positive ACs (GlyAC1-7) reported in the rat retina, and for the
first time assigned light response and function properties to these morphological
types of glycinergic ACs in the mouse retina. In addition, five narrow-field ACs
exhibited morphology resembling that of the GlyAC5 or GlyAC7 but with different
physiological responses (GlyAC5(#) and GlyAC7(#)). Therefore, the eight
morphological types of narrow-field ACs exhibit 12 classes of physiological
responses. Furthermore, we found ACs whose physiological responses were
indistinguishable from those of GlyAC3 or GlyAC4s but with different morphology
(GlyAC3* or GlyAC4*). These observations suggest that although the majority of
narrow-field mammalian ACs forms discrete functional groups that correlate with
their morphology, a significant number of these cells with similar morphology do
not display the same light responses, and some with similar light responses do
not exhibit the same morphology.
PMID- 22083602
TI - Chronic imaging of cortical sensory map dynamics using a genetically encoded
calcium indicator.
AB - In vivo optical imaging can reveal the dynamics of large-scale cortical activity,
but methods for chronic recording are limited. Here we present a technique for
long-term investigation of cortical map dynamics using wide-field ratiometric
fluorescence imaging of the genetically encoded calcium indicator (GECI) Yellow
Cameleon 3.60. We find that wide-field GECI signals report sensory-evoked
activity in anaesthetized mouse somatosensory cortex with high sensitivity and
spatiotemporal precision, and furthermore, can be measured repeatedly in separate
imaging sessions over multiple weeks. This method opens new possibilities for the
longitudinal study of stability and plasticity of cortical sensory
representations.
PMID- 22083603
TI - Postsynaptic BDNF signalling regulates long-term potentiation at thalamo-amygdala
afferents.
AB - The neurotrophin brain-derived neurotrophic factor (BDNF) is known to regulate
synaptic plasticity and memory formation in the hippocampus and the neocortex of
the mammalian brain. In contrast, a role of BDNF in mediating synaptic plasticity
and fear learning in the amygdala is just beginning to evolve. Using patch clamp
recordings from projection neurons of the dorsal lateral amygdala (LA) in acute
slices of mice, we now investigated the cellular mechanism of BDNF-mediated long
term potentiation (LTP) of excitatory postsynaptic currents (EPSCs) in the
amygdala. LTP was elicited in cortical and thalamic synaptic inputs by pairing
postsynaptic depolarisation with presynaptic stimulation. LTP in the cortico
amygdala pathway was not changed in heterozygous BDNF-knockout (BDNF(+/-)) mice.
In contrast, pairing induced LTP in the thalamic input was abolished in BDNF(+/-)
mice (BDNF(+/-): 104.0 +/- 5.7% of initial EPSC values; WT: 132.5 +/- 7.3%).
Likewise, inhibition of BDNF/TrkB signalling with TrkB-IgGs as scavenger
molecules for endogenous BDNF blocked LTP in wild-type mice in this pathway (TrkB
IgG: 102.7 +/- 6.9% of initial EPSC values; control: 132.5 +/- 8.7%). Inclusion
of the tyrosine kinase inhibitor K252a in the pipette solution also prevented the
induction of LTP in the thalamic pathway, indicating a postsynaptic site of
action of BDNF in regulating LTP. Reduced BDNF levels in BDNF(+/-) mice did not
affect intrinsic membrane properties of LA projection neurons. Likewise,
presynaptic glutamate release, and postsynaptic membrane properties also remained
unaffected in BDNF(+/-) mice. These data suggest a postsynaptic site of action of
BDNF in mediating LTP selectively in the thalamic fear conditioning pathway.
PMID- 22083604
TI - State of the art: surgery for endemic goiter.
PMID- 22083605
TI - Inflammation and repeated infections in CGD: two sides of a coin.
AB - Chronic granulomatous disease (CGD) is an uncommon congenital immunodeficiency
seen approximately in 1 of 250,000 individuals. It is caused by a profound defect
in a burst of oxygen consumption that normally accompanies phagocytosis in all
myeloid cells (neutrophils, eosinophils, monocytes, and macrophages). This
"respiratory burst" involves the catalytic conversion of molecular oxygen to the
oxygen free-radical superoxide, which in turn gives rise to hydrogen peroxide,
hypochlorous acid, and hydroxyl radicals. These oxygen derivatives play a
critical role in the killing of pathogenic bacteria and fungi. As a result of the
failure to activate the respiratory burst in their phagocytes, the majority of
CGD patients suffer from severe recurrent infections and rather unexplained
prolonged inflammatory reactions that may result in granulomatous lesions. Both
may cause severe organ dysfunction depending on the tissues involved. Preventive
measures as well as rapid (invasive) diagnostic procedures are required to
successfully treat CGD. Hematopoietic stem cell transplantation may be a serious
option in some of the patients.
PMID- 22083607
TI - Rosai-Dorfman disease: presentation, diagnosis, and treatment.
AB - BACKGROUND: Sinus histiocytosis with massive lymphadenopathy or Rosai-Dorfman
disease (RDD) is a rare benign disease with nodal and extranodal involvement.
METHODS AND RESULTS: Herein, we report 3 cases in which the patients presented
with nasal masses. In addition, 2 of the 3 patients had subglottic lesions. Only
2 of the 3 patients had cervical lymphadenopathy, which is the commonest
presentation of RDD. Histopathology via fine-needle aspiration cytology (FNAC) or
open biopsy is required for diagnosis. Emperipolesis with S-100
immunohistochemical staining is characteristic. The disease runs a benign course
and the literature reports that treatment can vary from steroids, to cytotoxic
chemotherapy to radiotherapy with variable outcomes. Surgical intervention may be
necessary for airway protection. CONCLUSION: RDD is self-limiting, but can
sometimes be a life-threatening condition. Treatment should be tailored to the
individual patient.
PMID- 22083608
TI - 2,2'-Dithiobis(5-nitropyridine) (DTNP) as an effective and gentle deprotectant
for common cysteine protecting groups.
AB - Of all the commercially available amino acid derivatives for solid phase peptide
synthesis, none has a greater abundance of side-chain protection diversity than
cysteine. The high reactivity of the cysteine thiol necessitates its attenuation
during peptide construction. Moreover, the propensity of cysteine residues within
a peptide or protein sequence to form disulfide connectivity allows the
opportunity for the peptide chemist to install these disulfides iteratively as a
post-synthetic manipulation through the judicious placement of orthogonal pairs
of cysteine S-protection within the peptide's architecture. It is important to
continuously discover new vectors of deprotection for these different blocking
protocols in order to achieve the highest degree of orthogonality between the
removal of one species in the presence of another. We report here a complete
investigation of the scope and limitations of the deprotective potential of 2,2'
dithiobis(5-nitropyridine) (DTNP) on a selection of commercially available Cys S
protecting groups. The gentle conditions of DTNP in a TFA solvent system show a
remarkable ability to deprotect some cysteine blocking functionality
traditionally removable only by more harsh or forcing conditions. Beyond
illustrating the deprotective ability of this reagent cocktail within a cysteine
containing peptide sequence, the utility of this method was further demonstrated
through iterative disulfide formation in oxytocin and apamin test peptides. It is
shown that this methodology has high potential as a stand-alone cysteine
deprotection technique or in further manipulation of disulfide architecture
within a more complex cysteine-containing peptide template.
PMID- 22083609
TI - Histology of plastic embedded amphibian embryos and larvae.
AB - Amphibians including the South African clawed frog Xenopus laevis, its close
relative Xenopus tropicalis, and the Mexican axolotl (Ambystoma mexicanum) are
important vertebrate models for cell biology, development, and regeneration. For
the analysis of embryos and larva with altered gene expression in gain-of
function or loss-of-function studies histology is increasingly important. Here,
we discuss plastic or resin embedding of embryos as valuable alternatives to
conventional paraffin embedding. For example, microwave-assisted tissue
processing, combined with embedding in the glycol methacrylate Technovit 7100, is
a fast, simple, and reliable method to obtain state-of-the-art histology with
high resolution of cellular details in less than a day. Microwave-processed
samples embedded in Epon 812 are also useful for transmission electron
microscopy. Finally, Technovit-embedded samples are well suited for serial
section analysis of embryos labeled either by whole-mount immunofluorescence, or
with tracers such as GFP or fluorescent dextrans. Therefore, plastic embedding
offers a versatile alternative to paraffin embedding for routine histology and
immunocytochemistry of amphibian embryos.
PMID- 22083606
TI - Eukaryotic DNA damage checkpoint activation in response to double-strand breaks.
AB - Double-strand breaks (DSBs) are the most detrimental form of DNA damage. Failure
to repair these cytotoxic lesions can result in genome rearrangements conducive
to the development of many diseases, including cancer. The DNA damage response
(DDR) ensures the rapid detection and repair of DSBs in order to maintain genome
integrity. Central to the DDR are the DNA damage checkpoints. When activated by
DNA damage, these sophisticated surveillance mechanisms induce transient cell
cycle arrests, allowing sufficient time for DNA repair. Since the term
"checkpoint" was coined over 20 years ago, our understanding of the molecular
mechanisms governing the DNA damage checkpoint has advanced significantly. These
pathways are highly conserved from yeast to humans. Thus, significant findings in
yeast may be extrapolated to vertebrates, greatly facilitating the molecular
dissection of these complex regulatory networks. This review focuses on the
cellular response to DSBs in Saccharomyces cerevisiae, providing a comprehensive
overview of how these signalling pathways function to orchestrate the cellular
response to DNA damage and preserve genome stability in eukaryotic cells.
PMID- 22083611
TI - Effect of methotrexate on inflammatory cells redistribution in experimental
adjuvant arthritis.
AB - The aim of this study was to evaluate the morphological changes in the spleen,
the thymus and the knee joints of rats with experimental adjuvant arthritis
induced by Mycobacterium butyricum in the incomplete Freund's adjuvant and the
effect of treatment with methotrexate (MTX). Particular attention was aimed on
the redistribution of granulocytes in the tissues during the inflammatory
process. Clinical parameters, e.g., joint edema, body weight and of gamma
glutamyl transferase (GGT) activity as an inflammatory marker, have also been
determined. Induction of adjuvant arthritis caused a significant decrease in
granulocyte number in the spleen and vice versa a significant increase in the
knee joints, but without significant changes in the thymus. Treatment with
methotrexate reversed this phenomenon by increasing the granulocyte number in the
spleen and decreasing it in knee joints. MTX decreased the joint edema as well as
the activity of GGT in the spleen, modified the size of the white pulp of the
spleen and increased the cortex/medulla ratio in the thymus. The observed changes
support the anti-inflammatory and immunomodulatory properties of MTX supporting
its use as the first-line medication in patients with rheumatoid arthritis.
PMID- 22083612
TI - Association study of the candidate gene for knee osteoarthritis in Koreans.
AB - The aim of this study was to examine a single-nucleotide polymorphism (SNP)
rs7639618 of double von Willebrand factor (DVWA) gene for the association with
osteoarthritis (OA) susceptibility in Korean cohort. The study was a part of the
Korean cohort study. Two thousand four hundred sixty-two subjects aged 50 years
and older who were derived from the cohort and who were assessed for OA at the
knee were genotyped. The anteroposterior extended-view weight-bearing radiographs
of the knees were obtained. Of the subjects, 725 subjects had radiographic OA.
Genomic DNA was extracted from peripheral blood using a QIAamp DNA Blood Mini Kit
(Qiagen, Valencia, CA). Genotyping was performed using High Resolution Melt or
the Taq-Man allelic discrimination assay and the Rotor-Gene 6000 (Corbett
Research, Sydney,Australia). Associations were tested by calculating the odds
ratios (ORs) and 95% confidence intervals (95% CIs), using logistic regression
analysis with adjustments for age, gender, and body mass index (BMI). The mean
age of the OA patients (females: 554 subjects, 76.4%) was 67.4 (7.9) years. The
intraobserver agreement was high for the identification of osteophytes (kappa:
0.80) and joint space narrowing (kappa: 0.70). There was no significant
difference (all P values > 0.05) in the genotype or allele frequencies between
the patients with OA and healthy controls. There was also no significant
difference when the cases were adjusted by age, gender, and BMI. The associations
of DVWA SNPs with OA were noted in previous studies and were not found in the
Korean OA cohort.
PMID- 22083610
TI - Evidence-based, interdisciplinary guidelines for anti-inflammatory treatment of
uveitis associated with juvenile idiopathic arthritis.
AB - Uveitis in juvenile idiopathic arthritis (JIA) is frequently associated with the
development of complications and visual loss. Topical corticosteroids are the
first-choice therapy, and immunosuppression is commonly used. However, treatment
has not been standardized. Representatives from the German Ophthalmological
Society, Society for Childhood and Adolescent Rheumatology, and the German
Society for Rheumatology reached consensus on a standardized treatment strategy
according to disease severity in the individual patient. The recommendations were
based on a systematic literature analysis in MEDLINE and consensus expert
meetings. Evidence and recommendations were graded, and an algorithm for anti
inflammatory treatment and final statements confirmed in a Delphi method. An
interdisciplinary, evidence-based treatment guideline for JIA uveitis is
presented.
PMID- 22083613
TI - Differential proteomic analysis of renal tissue in lupus nephritis using iTRAQ
reagent technology.
AB - In clinical practice, it is difficult to monitor the repeating relapse in
patients suffering from systemic lupus erythematosus (SLE), who usually
associated with some potential complications, for example, lupus nephritis (LN),
repetition renal biopsy is necessary to determine LN flares. To identify and
quantify the total proteins in renal tissue of LN patients, isobaric tags for
relative and absolute quantification (iTRAQ) technology was performed. Eight-plex
iTRAQ coupled with multiple chromatographic fractionation and tandem mass
spectrometry were used to analyze total proteins in renal tissue of LN patients
and healthy controls. Proteins were identified by mascot, which expressed
differentially were noted. A total of 490 distinct proteins were identified, 113
proteins were up-regulation or down-regulation at one fold or more alteration in
levels. Among of them, there was significant deviation of four proteins between
our present iTRAQ study, which are up-regulated heterogeneous nuclear
ribonucleoprotein (hnRNP-), Annexins and down-regulated Argininosuccinate
synthetase (ASS), aldolase. iTRAQ-based quantitative proteomic technology is
efficiently applicable for identification and relative quantitation of proteome
of renal tissue. Differentially expressed proteome profiles of LN patients are
determined. And further investigation is necessary using large cohorts of patient
samples with long-term clinical follow-up data, to assess the usefulness of the
pathogenesis and novel biomarker candidates of LN, which may develop a new way
for diagnosis of LN.
PMID- 22083614
TI - Bamboo nodes associated with mixed connective tissue disease as a cause of
hoarseness.
AB - Vocal fold lesions related to autoimmune diseases are rheumatoid nodules and, to
a lesser extent, bamboo nodes. Mostly transverse, they are located in the middle
third of the vocal cord and exhibit a yellowish appearance. The characteristic
shape of these lesions led to their name. These vocal fold deposits may interfere
with the normal vibratory cycle during phonation and thus may be an unusual cause
of hoarseness. We present a 43-year-old woman with known mixed connective tissue
disease and a dysphonia. Laryngostroboscopy showed bamboo nodes as described
above. We applied several laryngeal injections of cortisone as described
previously in the literature. Since this treatment did not lead to a sufficient
voice improvement, we attempted to surgically remove the deposits. After the
surgery, the voice improved considerably. In all patients with rheumatic diseases
who suffer from a rough, breathy, or unstable voice, a laryngostroboscopic
examination should be done. If, however, a bamboo node lesion of the vocal folds
is found by the laryngologists, an associated autoimmune disorder must be
assumed, and adequate diagnostic procedures have to be initiated. Local laryngeal
injections (1-3 times) with steroids should be the first line of therapy. In
unsuccessful cases, subsequent surgery can be a useful treatment of bamboo nodes
to stabilize and improve voice quality.
PMID- 22083615
TI - The prevalence and progression of radiographic knee osteoarthritis over 6 years
in a population-based cohort of middle-aged subjects.
AB - Details of the development of early knee osteoarthritis (OA) are largely unknown.
The prevalence and progression of radiographic knee OA over 6 years in middle
aged subjects with chronic knee pain is investigated. In a prospective population
based study, tibiofemoral (TF) and patellofemoral (PF) radiographs were graded in
128 subjects (mean age 45 +/- 6.2 years) for the presence of osteophytes and
joint space narrowing (JSN). Radiographic progression was defined as: (i) the
presence of osteophytes and/or JSN in subjects with no previous OA or (ii) an
increase in the grade and/or number of already existing osteophytes and/or JSN.
Altogether 56% (72/128) of subjects had knee OA, the majority of them was
diagnosed with OA grade 1. In 57% of cases, radiographic OA was based on the
presence of osteophytes alone versus 13% on JSN. More than 1/3 of subjects had
isolated PF joint involvement. Knee OA progression rate over 6 years was 56%
(71/128). During 6 years, a non-linear course of radiographic OA progression with
intermittent periods of progression and stabilization was observed. Individual
course of OA revealed distinct subsets of radiographic progression. Osteophytosis
is an important early radiographic sign of OA and its progression. Isolated PF
joint involvement is a frequent expression of knee OA. In middle-aged subjects,
the progression rate of knee OA over 6 years was 56%. A non-linear course of
radiographic OA progression was observed. Several radiographic subsets refer to
the heterogeneity of the OA process.
PMID- 22083616
TI - Anti-cyclic citrullinated peptide antibodies and rheumatoid factor sera titers in
leprosy patients from Mexico.
AB - Leprosy offers a broad spectrum of altered immunological sceneries, ranging from
strong cell-mediated immune responses seen in tuberculoid leprosy (TT), through
borderline leprosy (BB), to the virtual absence of T cell responses
characteristic in lepromatous leprosy (LL). The exact mechanism of autoantibodies
production remains unknown in leprosy and other chronic inflammatory diseases and
also the contribution of these antibodies to the pathogenesis of the disease. The
aim of this study is to evaluate the frequency and profiles of serum anti-cyclic
citrullinated peptide antibodies (a-CCP), rheumatoid factor (RF) and its
relationship with leprosy spectrum. Serum samples from 67 leprosy patients (54
LL, 5 TT and 8 BB) and 46 clinically healthy subjects (CHS) from the same endemic
region were investigated. The clinical chart and questionnaire were used to
obtain clinical information. Anti-cyclic citrullinated peptide antibodies (a-CCP)
were measured by enzyme-linked immunosorbent assay, whereas the rheumatoid factor
(RF) levels were measured by nephelometric method. The mean age of patients was
51.5 +/- 13 years. Sera levels of a-CCP where higher in leprosy patients than in
CHS (5.9 +/- 11.6 vs. 0.3 +/- 0.29) (P < 0.0001); the same pattern was found for
RF sera titers without reaching statistical significance (16.8 +/- 22.5 vs. 9.9
+/- 3) (P = NS). We did not find a correlation between a-CCP and RF Rho =0.02786
(IC 95%) P = 0.8229. However, LL patients had higher a-CCP and RF levels than TT
patients. Although an absence in correlation was observed, the serum levels of a
CCP antibodies and RF appeared to be useful in distinguishing LL from TT patients
with a limited significance in detecting reactional leprosy patients.
PMID- 22083617
TI - Synovial fluid and serum levels of IL-17, IL-23, and CCL-20 in rheumatoid
arthritis and psoriatic arthritis: a Tunisian cross-sectional study.
PMID- 22083619
TI - Anakinra: a safe and effective first-line treatment in systemic onset juvenile
idiopathic arthritis (SoJIA).
AB - Systemic onset juvenile idiopathic arthritis (SoJIA) is a rare inflammatory
disorder. It can result in disease and treatment-related disability. SoJIA is
characterized by remitting fevers, evanescent rash, generalized lymphadenopathy,
hepatomegaly/splenomegaly, and/or serositis. Non-responsiveness to standard
therapy with corticosteroids and disease modifying antirheumatic drugs is not
uncommon. IL-1beta has been shown to be a main contributor to the pathogenesis of
SoJIA. Anakinra, a recombinant IL-1beta receptor antagonist, was shown to be
effective in small cohorts of therapy-resistant adult and pediatric Still's
patients. In order to assess the efficacy and safety of first-line anakinra
treatment in SoJIA, we reviewed the charts of all SoJIA patients in our
institution from 2005 to 2010, searching for first-line anakinra-treated
patients. We report the clinical and laboratory course of four SoJIA patients.
The mean follow-up was 13.5 (range: 2-50) months. Anakinra was started at doses
from 1.5 to 4 mg/kg for a median duration of 3 (range: 3-18) months. Two patients
responded to anakinra mono-therapy; two cases required corticosteroids.
Normalized body temperatures and the absence of evanescent rashes were achieved
after a median of 4 (range: 2-10) days. We did not see treatment-related adverse
reactions other than local injection site inflammation. This is the first single
center series, reporting anakinra as first-line treatment in SoJIA. We show rapid
efficacy of anakinra in early SoJIA with reduced treatment-related side effects.
A subset of patients remains corticosteroid dependent. Further studies are
warranted to follow larger cohorts and to assess long-term safety.
PMID- 22083618
TI - Anomalies of intra-synovial citrullination: is there any interest in the
diagnosis of early rheumatoid arthritis?
AB - Autoantibodies to citrullinated proteins (ACPA) are specifically associated with
rheumatoid arthritis (RA) and seem to play an important role in its pathogenesis.
The specific immunological conflict between ACPA and citrullinated fibrin plays a
major role in the self-maintenance of synovial inflammation by forming fibrin
deposits in the synovial tissue. These deposits, secondarily citrullinated by a
local peptidylarginine deiminase (PADI) enzyme activity, seem to maintain the
immunological conflict and the inflammation. Our objective in this work is to
study the anomalies of citrullination in a group of patients with early RA, in
comparison with a control group of patients suffering from undetermined
inflammatory arthritis, osteoarthritis and spondyloarthropathy. For this purpose,
we used an enzyme-linked immunosorbent assay (ELISA) to determine the levels of
ACPA in serum and synovial fluid. By immunohistochemistry, subtype 4 of PADI was
also sought in the synovial biopsies taken from all our patients. We found that
the ACPA levels in serum and synovial fluid were significantly higher in patients
with RA. The enzyme PADI4 was found only in the group with RA and was
statistically correlated with ACPA mean levels in sera and synovial fluid. The
expression of PADI4 seems to correlate with intra-synovial deposits of fibrin in
RA. However, determination of synovial ACPA levels and detection of intra
synovial PADI4 deposits are of no additional benefit compared with assessment of
ACPA levels in serum for the diagnosis of early RA.
PMID- 22083620
TI - An unusual cause of hemichorea-hemiballism in a patient with systemic lupus
erythematosus.
PMID- 22083621
TI - Meeting report: novel targets for cancer and connective tissues diseases.
PMID- 22083622
TI - Comparison of single-port laparoscopy, standard laparoscopy, and robotic surgery
in patients with endometrial cancer.
AB - BACKGROUND: The aim of this study was to evaluate single-port laparoscopy (SPL)
for the surgical treatment of presumed early-stage endometrial cancer and to
compare surgical outcomes to laparoscopy and robotics. METHODS: A multi
institutional, matched retrospective cohort study was performed. All patients
with clinical stage I or occult stage II endometrial cancer who underwent SPL
hysterectomy, bilateral salpingo-oophorectomy +/- lymphadenectomy from April 2009
to September 2010 were identified. Outcomes were compared with patients matched
by age, body mass index (BMI), tumor histology, and grade, who underwent
laparoscopy or robotic surgery. Data was analyzed using Kruskal-Wallis 1-way
analysis of variance and chi-square test for frequency data. A P value of <.05
was considered significant. RESULTS: A total of 90 matched patients (30 SPL, 30
LSC, and 30 robotic) were included in the study. There were no significant
differences in median operating time or estimated blood loss between the 3
groups. The median number of pelvic lymph nodes obtained was significantly higher
in the robotic (17.0 [8-36] and SPL group (16.0 [11-21]) compared with the
laparoscopy group (13.0 [3-18]) P = .04. However, there was no significant
difference in the median number of para-aortic nodes obtained between the 3
groups. There was no significant difference between the groups in length of
hospital stay, comorbid conditions, complication rates, or operative times.
CONCLUSIONS: Our findings suggest SPL surgery for endometrial carcinoma is
feasible with similar operating times, hospital length of stay, complication
rates, and estimated blood loss when compared with laparoscopy and robotics.
PMID- 22083623
TI - Determination of quinine in beverages by online coupling capillary
isotachophoresis to capillary zone electrophoresis with UV spectrophotometric
detection.
AB - The present study illustrates the possibilities of capillary isotachophoresis
(CITP) online coupled with capillary zone electrophoresis (CZE) and hyphenated
with fiber-based spectrophotometric diode array detection (DAD) for the direct,
highly reliable, and ultrasensitive determination of quinine (QUI) in real
multicomponent ionic matrices (beverages). Here, the CITP provided an effective
online sample pretreatment (preseparation and preconcentration) prior to the CZE
separation. Due to the CITP sample preconcentration, a simple UV-visible
absorbance spectrophotometric detection was sufficient for obtaining very low
concentration limits of detection (~2.3 ng/mL). Enhanced separation selectivity
due to the combination of different separation mechanisms (CITP vs. CZE) enabled
to obtain a pure analyte zone, suitable for its detection and quantitation in the
directly injected real samples. The spectrophotometric DAD, unlike single
wavelength UV detection, enabled to characterize the purity (i.e. spectral
homogeneity) of the analyte zone and preliminary data indicate structurally
related compounds via characteristic spectra recorded in the interval of 200-600
nm. The proposed CITP-CZE-DAD method was characterized by favorable performance
parameters (sensitivity, linearity, precision, recovery, accuracy, robustness,
and selectivity) and successfully applied to the control of QUI and potential QUI
impurities in commercial beverages. This method is proposed as a routine
automatized method for the highly reliable quality food control.
PMID- 22083624
TI - Stable transformation and cloning mediated by piggyBac vector and RNA
interference knockdown of Drosophila ovarian cell line.
AB - An in vitro study is a powerful method for elucidating gene functions in cellular
and developmental events. However, until date, no reliable in vitro
transformation, cloning, or knockdown system has been reported for Drosophila
cells, with the exception of S2 and Kc cells. In this study, we demonstrated that
the piggyBac vector stably integrates donor DNA into ovarian somatic sheets
derived from follicle stem cells. The transformed ovarian somatic sheet cells
were easily cloned with a new piggyBac selection vector carrying enhanced green
fluorescent protein and dihydrofolate reductase genes, egfp, and dhfr,
respectively, in culture media containing methotrexate, an inhibitor of DNA
synthesis. Donor egfp continued to be expressed at a high level in long-term
culture. Furthermore, the translation of donor egfp was inhibited by treatment
with double-stranded RNA derived from the target gene. The transfection and
cloning methods mediated by the piggyBac vector would thus be useful for future
analyses of gene functions in OSS cells and possibly be applicable to other
Drosophila cell lines.
PMID- 22083625
TI - Antioxidant effect of a phytoestrogen equol on cultured muscle cells of embryonic
broilers.
AB - Previous studies have shown that the in ovo injection of equol can markedly
improve the water-holding capacity of muscles of broilers chickens at 7 wk of age
through promotion of the antioxidant status. We aimed to investigate directly the
antioxidant effects of equol on muscle cells in broilers. Muscle cells were
separated from leg muscle of embryos on the 11th day of incubation and treated
with equol and H(2)O(2), either alone or together. Cells were pretreated with
medium containing 1, 10, or 100 MUM equol for 1 h prior to the addition of 1 mM
H(2)O(2) for a further 1 h. Photomicrographs of cells were obtained. Cell
viability, malondialdehyde (MDA) content, and L-lactate dehydrogenase (LDH)
activity in the cell supernatant, as well as intracellular total superoxide
dismutase (T-SOD) and glutathione peroxidase (GSH-Px) activities were determined.
Treatment with 1 mM H(2)O(2) caused serious damage to cells, indicated by comets
with no clear head region but a very apparent tail of DNA fragments. Pretreatment
with low (1 MUM) but not high concentrations of equol (10 MUM) inhibited cell
damage, while 100 MUM equol caused more serious damage than H(2)O(2) alone.
Pretreatment with 1 MUM equol had no effect on cell viability, while pretreatment
with 10 and 100 MUM equol significantly decreased cell viability in a dose
dependent manner. Compared with H(2)O(2) alone, pretreatment with low-dosage
equol markedly decreased LDH activity and MDA production in the supernatant,
significantly increased intracellular T-SOD activity (P < 0.05) and tended to
increase intracellular GSH-Px activity (0.05 < P < 0.1). Pretreatment with high
dosage equol (10 and 100 MUM) significantly enhanced LDH activity, but had no
effect on MDA content, T-SOD or GSH-Px activity induced by H(2)O(2,) except for
an obvious increase in GSH-Px activity caused by 10 MUM equol. These results
indicate that equol at low dosage can prevent skeletal muscle cell damage induced
by H(2)O(2), while pretreatment with high-dosage equol shows a synergistic effect
with H(2)O(2) in inducing cell damage.
PMID- 22083626
TI - Study strategies of college students: are self-testing and scheduling related to
achievement?
AB - Previous studies, such as those by Kornell and Bjork (Psychonomic Bulletin &
Review, 14:219-224, 2007) and Karpicke, Butler, and Roediger (Memory, 17:471-479,
2009), have surveyed college students' use of various study strategies, including
self-testing and rereading. These studies have documented that some students do
use self-testing (but largely for monitoring memory) and rereading, but the
researchers did not assess whether individual differences in strategy use were
related to student achievement. Thus, we surveyed 324 undergraduates about their
study habits as well as their college grade point average (GPA). Importantly, the
survey included questions about self-testing, scheduling one's study, and a
checklist of strategies commonly used by students or recommended by cognitive
research. Use of self-testing and rereading were both positively associated with
GPA. Scheduling of study time was also an important factor: Low performers were
more likely to engage in late-night studying than were high performers; massing
(vs. spacing) of study was associated with the use of fewer study strategies
overall; and all students-but especially low performers-were driven by impending
deadlines. Thus, self-testing, rereading, and scheduling of study play important
roles in real-world student achievement.
PMID- 22083628
TI - Changing careers in chemistry.
PMID- 22083627
TI - Active and passive contributions to spatial learning.
AB - It seems intuitively obvious that active exploration of a new environment will
lead to better spatial learning than will passive exposure. However, the
literature on this issue is decidedly mixed-in part, because the concept itself
is not well defined. We identify five potential components of active spatial
learning and review the evidence regarding their role in the acquisition of
landmark, route, and survey knowledge. We find that (1) idiothetic information in
walking contributes to metric survey knowledge, (2) there is little evidence as
yet that decision making during exploration contributes to route or survey
knowledge, (3) attention to place-action associations and relevant spatial
relations contributes to route and survey knowledge, although landmarks and
boundaries appear to be learned without effort, (4) route and survey information
are differentially encoded in subunits of working memory, and (5) there is
preliminary evidence that mental manipulation of such properties facilitates
spatial learning. Idiothetic information appears to be necessary to reveal the
influence of attention and, possibly, decision making in survey learning, which
may explain the mixed results in desktop virtual reality. Thus, there is indeed
an active advantage in spatial learning, which manifests itself in the task
dependent acquisition of route and survey knowledge.
PMID- 22083629
TI - Growth hormone secretagogues: out of competition.
AB - Growth hormone secretagogues (GHS) constitute a new GH deficiency treatment
increasing exponentially in number and improved potency and bioavailability over
the last decade. The growth hormone releasing activity makes these compounds
attractive for the artificial improvement of the human sports skills, now that
recombinant human growth hormone (rhGH) administration is effectively detected.
The GHS family is extremely diverse both in number and chemical heterogeneity and
keeps growing continuously. In this paper, a general screening test is proposed.
To develop a universal method, the single common property of growth hormone
secretagogues has been targeted: their capacity to bind to the GHS receptor 1a
(GHS-R1a). Pretreated urine samples have been tested in a competition assay where
eventually the GHS presence detached a radiolabelled ligand from the receptor in
a dose-dependent manner. Blank urine samples were processed to determine
potential age, gender and exercise effects, and to define a threshold beyond
which a specimen is considered positive. Samples from a growth hormone releasing
peptide 2 (GHRP-2) excretion study corroborated the screening assay applicability
with a detection window of approximately 4.5 h, and results were confirmed by
comparison with a dedicated LC-MS quantification of the intact compound.
PMID- 22083630
TI - A novel off-center paddle impeller (OPI) dissolution testing system for
reproducible dissolution testing of solid dosage forms.
AB - Dissolution testing is routinely conducted in the pharmaceutical industry to
provide in vitro drug release information for quality control purposes. The most
common dissolution testing system for solid dosage forms is the United States
Pharmacopeia (USP) Dissolution Testing Apparatus 2. This apparatus is very
sensitive to the initial location of the tablet, which cannot be controlled
because the tablet is dropped into the vessel at the beginning of the test and it
may rest at random locations at the vessel's bottom. In this work, a modified
Apparatus 2 in which the impeller was placed 8 mm off center in the vessel was
designed and tested. This new design was termed "OPI" for "off-center paddle
impeller." Dissolution tests were conducted with the OPI apparatus for nine
different tablet locations using both disintegrating tablets (prednisone) and
nondisintegrating tablets (salicylic acid). The dissolution profiles in the OPI
apparatus were largely independent of the tablet location at the vessel's bottom,
whereas those obtained in the Standard System generated statistically different
profiles depending on the tablet location. The newly proposed OPI system can
effectively eliminate artifacts generated by random settling of the tablet at the
vessel's bottom, thus making the test more robust.
PMID- 22083631
TI - Magnesium nutrition on accumulation and transport of amino acids in tea plants.
AB - BACKGROUND: Free amino acids in young tea shoots are important chemical
constituents, remarkably influencing the quality of green teas. Nutrient
solution, soil pot and field experiments were conducted to investigate the effect
of magnesium nutritional status on accumulation and transport of free amino acids
in tea plants (Camellia sinensis (L.) O. Kuntze). RESULTS: A sufficient supply of
Mg in nutrient solution increased biomass production and concentrations of free
amino acids, notably theanine in young shoots and roots, without affecting total
N in the leaves, absorption rates of inorganic N and glutamine synthetase
activity. Amino acids in xylem saps and phloem exudates or total sugars in phloem
exudates of tea plants from pot and field experiments showed their highest levels
in bud breaking and shoot extension in early spring (March and early April).
Application of Mg increased the amounts of amino acids and total sugars in the
xylem saps and phloem exudates. CONCLUSION: Adequate supply of Mg nutrient
promoted the synthesis of theanine in roots and its accumulation in the young
shoots of tea plants. Magnesium nutritional status was an important factor
influencing the mobility of amino acids and sugars via xylem and phloem
especially when N and C reserves remobilized to support spring growth of young
shoots.
PMID- 22083634
TI - PPAR-alpha targeting in kidney fibrosis: is BAY PP1 just another renoprotector?
AB - Interstitial fibrosis plays a major role in the progression of renal diseases.
Peroxisome proliferator-activated receptor-alpha (PPAR-alpha) ligands are
increasingly explored for their potential to reverse or halt tubulointerstitial
fibrosis. This Commentary discusses new findings by Boor et al., who show that
BAY PP1, a novel PPAR-alpha agonist, ameliorates renal fibrosis and dysfunction.
PMID- 22083635
TI - Old friends form alliance against podocytes.
AB - Wang and colleagues identify the activation of Wnt signaling as an important
downstream event in transforming growth factor-beta-mediated podocyte injury.
Supported by other recent studies, canonical Wnt signaling is emerging as a
critical stress pathway in podocytes and may be exploited for therapeutic
strategies in the treatment of glomerulopathies.
PMID- 22083636
TI - Blockade of PDGF receptor signaling reduces myofibroblast number and attenuates
renal fibrosis.
AB - Fibrosis can be considered as wound healing that never ceases, and activated
fibroblasts (myofibroblasts) probably play a critical role in this unabated
tissue repair process. In the setting of renal fibrosis, two central questions
remain unanswered: Where do activated myofibroblasts come from; and what
mechanism or mechanisms keep them activated? The study by Chen and colleagues
addresses the role of platelet-derived growth factor receptor (PDGFR) signaling
in the activation of myofibroblasts.
PMID- 22083637
TI - Warfarin-related nephropathy can be mimicked by an interaction between
sulfonamide derivatives and vitamin K antagonists.
PMID- 22083638
TI - Dental caries in renal tubular acidosis.
PMID- 22083639
TI - Multiplexed immunoassay of thyroglobulin autoantibodies in patients with
differentiated thyroid carcinoma.
AB - BACKGROUND: Thyroglobulin (Tg) antibodies (TgAb) screening is recommended in
patients with differentiated thyroid carcinoma (DTC) to validate Tg measurements.
We compared a new multiplexed and 2 conventional TgAb immunoassays in patients
with DTC and healthy controls. METHODS: TgAb were measured by 2 conventional
automated immunoassays and a multiplexed immunoassay in sera from 163 patients
with DTC and 64 controls. RESULTS: No significant differences were found between
the different assays when the suggested manufacturer cutoffs were used. The
positive rate of multiplexed assay, but not of conventional assays, significantly
increased when limits of detection were used as cutoff. CONCLUSION: Further
technical evaluations are necessary to explain the very high rate of detectable
TgAb values in both patients with DTC and controls by the multiplexed assay. For
the moment, we suggest caution in using such methods in the current clinical
practice.
PMID- 22083640
TI - The ventriculophasic response revisited: analysis of clinical correlations using
a new proposed definition derived in pacemaker patients.
AB - BACKGROUND: The ventriculophasic response (VR) refers to shortening of atrial
cycle length during heart block when a QRS complex is interposed between P waves.
No formal quantitative definition has heretofore been proposed, nor have its
potential clinical correlations been studied. HYPOTHESIS: We hypothesized that VR
is present in selected patients who are distinguished by clinical features from
those who lack VR. METHODS: Pacing devices were temporarily programmed to VVI
mode at 30 ppm as electrocardiogram and intracardiac electrograms were recorded
at 50 mm/sec paper speed. We measured the percentage decrease in a P-P interval
(A-A interval on the atrial electrogram) containing a QRS, compared to the
preceding P-P interval. Left ventricular ejection fraction (LVEF) was measured by
echocardiogram. RESULTS: Shortening of P-P interval was observed chiefly when the
interposed QRS occurred early in the anticipated P-P interval (as judged by the
preceding P-P interval). P-P shortening of 0% to 3% occurred randomly. Defining
VR as being a >3% P-P interval shortening when an interposed QRS occurred in the
first 60% of the anticipated P-P interval, we found that VR was present in 28
(55%) of our patients. It was quite reproducible, was more common in women (81%
vs 37% of men; P = 0.004), and positively correlated with LVEF (r = 0.41, P =
0.004). It did not correlate with age, diabetes, or beta-blocker use.
CONCLUSIONS: Using our newly derived definition of VR, we found the phenomenon
was present in 55% of our patients. It was reproducible and more commonly seen in
women and patients with LVEF >=40%.
PMID- 22083641
TI - ClC-5 mutations associated with Dent's disease: a major role of the dimer
interface.
AB - Dent's disease is an X-linked recessive disorder affecting the proximal tubules.
Mutations in the 2Cl(-)/H(+) exchanger ClC-5 gene CLCN5 are frequently associated
with Dent's disease. Functional characterization of mutations of CLCN5 have
helped to elucidate the physiopathology of Dent's disease and provided evidence
that several different mechanisms underlie the ClC-5 dysfunction in Dent's
disease. Modeling studies indicate that many CLCN5 mutations are located at the
interface between the monomers of ClC-5, demonstrating that this protein region
plays an important role in Dent's disease. On the basis of functional data, CLCN5
mutations can be divided into three different classes. Class 1 mutations impair
processing and folding, and as a result, the ClC-5 mutants are retained within
the endoplasmic reticulum and targeted for degradation by quality control
mechanisms. Class 2 mutations induce a delay in protein processing and reduce the
stability of ClC-5. As a consequence, the cell surface expression and currents of
the ClC-5 mutants are lower. Class 3 mutations do not alter the trafficking of
ClC-5 to the cell surface and early endosomes but induce altered electrical
activity. Here, we discuss the functional consequences of the three classes of
CLCN5 mutations on ClC-5 structure and function.
PMID- 22083642
TI - Brainstem mechanisms of paradoxical (REM) sleep generation.
AB - Paradoxical sleep (PS) is characterized by EEG activation with a disappearance of
muscle tone and the occurrence of rapid eye movements (REM) in contrast to slow
wave sleep (SWS, also known as non-REM sleep) identified by the presence of delta
waves. Soon after the discovery of PS, it was demonstrated that the structures
necessary and sufficient for its genesis are restricted to the brainstem. We
review here recent results indicating that brainstem glutamatergic and GABAergic,
rather than cholinergic and monoaminergic, neurons play a key role in the genesis
of PS. We hypothesize that the entrance to PS from SWS is due to the activation
of PS-on glutamatergic neurons localized in the pontine sublaterodorsal tegmental
nucleus. The activation of these neurons would be due to a permanent
glutamatergic input arising from the lateral and ventrolateral periaqueductal
gray (vlPAG) and the removal at the onset of PS of a GABAergic inhibition present
during W and SWS. Such inhibition would be coming from PS-off GABAergic neurons
localized in the vlPAG and the adjacent deep mesencephalic reticular nucleus. The
cessation of activity of these PS-off GABAergic neurons at the onset and during
PS would be due to direct projections from intermingled GABAergic PS-on neurons.
Activation of PS would depend on the reciprocal interactions between the
GABAergic PS-on and PS-off neurons, intrinsic cellular and molecular events, and
integration of multiple physiological parameters.
PMID- 22083643
TI - Mechanisms of sleep-disordered breathing: causes and consequences.
AB - Obstructive sleep apnea (OSA) is very common in the general population and is
characterized by ineffective inspiratory efforts against a collapsed upper airway
during sleep. Collapse occurs mainly at the level of the velopharynx and
oropharynx due to a combination of predisposing anatomy and the withdrawal of
pharyngeal dilator activity during sleep. Central sleep apnea (CSA) is a
manifestation of chemoreflex control instability, leading to periods of
inadequate respiratory drive sufficient to trigger breathing, usually alternating
with periods of hyperventilation. While both forms of apnea are the result of
differing pathophysiology, it has become increasingly clear that OSA and CSA
often coexist in the same patient, the existence of one can predispose to the
other, and that the two are not as distinct as previously thought. Both OSA and
CSA exert a number of acute deleterious effects including intermittent hypoxia,
arousals from sleep, and swings in negative intrathoracic pressure, which in turn
lead to chronic physiologic consequences such as autonomic dysregulation,
endothelial dysfunction, and cardiac remodeling. These underlying
pathophysiological mechanisms provide a framework for understanding why OSA and
CSA may predispose to cardiovascular diseases like ischemic heart disease and
stroke.
PMID- 22083645
TI - In vivo three-dimensional whole-brain pulsed steady-state chemical exchange
saturation transfer at 7 T.
AB - Chemical exchange saturation transfer (CEST) is a technique to indirectly detect
pools of exchangeable protons through the water signal. To increase its
applicability to human studies, it is needed to develop sensitive pulse sequences
for rapidly acquiring whole-organ images while adhering to stringent amplifier
duty cycle limitations and specific absorption rate restrictions. In addition,
the interfering effects of direct water saturation and conventional magnetization
transfer contrast complicate CEST quantification and need to be reduced as much
as possible. It is shown that for protons exchanging with rates of less than 50
100 Hz, such as imaged in amide proton transfer experiments, these problems can
be addressed by using a three-dimensional steady state pulsed acquisition of
limited B(1) strength (~ 1 MUT). Such an approach exploits the fact that the
direct water saturation width, magnetization transfer contrast magnitude, and
specific absorption rate increase strongly with B(1) , while the size of the CEST
effect for such protons depends minimally on B(1) . A short repetition time (65
ms) steady-state sequence consisting of a brief saturation pulse (25 ms) and a
segmented echo-planar imaging train allowed acquisition of a three-dimensional
whole-brain volume in approximately 11 s per saturation frequency, while
remaining well within specific absorption rate and duty cycle limits.
Magnetization transfer contrast was strongly reduced, but substantial saturation
effects were found at frequencies upfield from water, which still confound the
use of magnetization transfer asymmetry analysis. Fortunately, the limited width
of the direct water saturation signal could be exploited to fit it with a
Lorentzian function allowing CEST quantification. Amide proton transfer effects
ranged between 1.5% and 2.5% in selected white and grey matter regions. This
power and time-efficient 3D pulsed CEST acquisition scheme should aid endogenous
CEST quantification at both high and low fields.
PMID- 22083646
TI - Interference of low-molecular substances with the thioflavin-T fluorescence assay
of amyloid fibrils.
AB - Abnormal fibrillization of amyloidogenic peptides/proteins has been linked to
various neurodegenerative diseases such as Alzheimer's and Parkinson's disease as
well as with type-II diabetes mellitus. The kinetics of protein fibrillization is
commonly studied by using a fluorescent dye Thioflavin T (ThT) that binds to
protein fibrils and exerts increased fluorescence intensity in bound state.
Recently, it has been demonstrated that several low-molecular weight compounds
like Basic Blue 41, Basic Blue 12, Azure C, and Tannic acid interfere with the
fluorescence of ThT bound to Alzheimers' amyloid-beta fibrils and cause false
positive results during the screening of fibrillization inhibitors. In the
current study, we demonstrated that the same selected substances also decrease
the fluorescence signal of ThT bound to insulin fibrils already at submicromolar
or micromolar concentrations. Kinetic experiments show that unlike to true
inhibitors, these compounds did neither decrease the fibrillization rate nor
increase the lag-period. Absence of soluble insulin in the end of the experiment
confirmed that these compounds do not disaggregate the insulin fibrils and, thus,
are not fibrillization inhibitors at concentrations studied. Our results show
that interference with ThT test is a general phenomenon and more attention has to
be paid to interpretation of kinetic results of protein fibrillization obtained
by using fluorescent dyes.
PMID- 22083644
TI - Identification of the muscarinic pathway underlying cessation of sleep-related
burst activity in rat thalamocortical relay neurons.
AB - Modulation of the standing outward current (I (SO)) by muscarinic acetylcholine
(ACh) receptor (MAChR) stimulation is fundamental for the state-dependent change
in activity mode of thalamocortical relay (TC) neurons. Here, we probe the
contribution of MAChR subtypes, G proteins, phospholipase C (PLC), and two pore
domain K(+) (K(2P)) channels to this signaling cascade. By the use of spadin and
A293 as specific blockers, we identify TWIK-related K(+) (TREK)-1 channel as new
targets and confirm TWIK-related acid-sensitve K(+) (TASK)-1 channels as known
effectors of muscarinic signaling in TC neurons. These findings were confirmed
using a high affinity blocker of TASK-3 and TREK-1, namely, tetrahexylammonium
chloride. It was found that the effect of muscarinic stimulation was inhibited by
M(1)AChR-(pirenzepine, MT-7) and M(3)AChR-specific (4-DAMP) antagonists,
phosphoinositide-specific PLCbeta (PI-PLC) inhibitors (U73122, ET-18-OCH(3)), but
not the phosphatidylcholine-specific PLC (PC-PLC) blocker D609. By comparison,
depleting guanosine-5'-triphosphate (GTP) in the intracellular milieu nearly
completely abolished the effect of MAChR stimulation. The block of TASK and TREK
channels was accompanied by a reduction of the muscarinic effect on I (SO).
Current-clamp recordings revealed a membrane depolarization following MAChR
stimulation, which was sufficient to switch TC neurons from burst to tonic firing
under control conditions but not during block of M(1)AChR/M(3)AChR and in the
absence of intracellular GTP. These findings point to a critical role of G
proteins and PLC as well as TASK and TREK channels in the muscarinic modulation
of thalamic activity modes.
PMID- 22083647
TI - Spinal cord glioneuronal tumor with neuropil-like islands with 1p/19q deletion in
an adult with low-grade cerebral oligodendroglioma.
AB - Glioneuronal tumor with neuropil-like islands (GTNI) is considered a rare variant
of astrocytoma, characterized by discrete aggregates of cells expressing neuronal
markers that punctuate a GFAP-positive glial background. Of the 24 published GTNI
cases, only two occurred in adult spinal cords; none occurred concurrent with
another CNS tumor; and none of those tested exhibited the 1p/19q deletion typical
of oligodendroglioma. A 48-year-old man without significant past medical history
was diagnosed with a WHO grade II oligodendroglioma by stereotactic biopsy of a
lesion discovered after the patient suffered a generalized tonic-clonic seizure.
By FISH analysis, this tumor exhibited the 1p/19q deletion present in up to 80%
of oligodendrogliomas. The patient received 14 monthly cycles of temozolomide,
and his cerebral tumor had a minor response. When the patient subsequently
reported progressive paresthesias of his lower extremities, an MRI revealed an
enhancing, cystic tumor of the thoracic spinal cord that was diagnosed as GTNI by
histological analysis. By FISH analysis, this lesion exhibited the same 1p/19q
deletion present in the concurrent cerebral oligodendroglioma. This case of a
spinal cord GTNI with 1p/19q deletions constitutes the third report of a spinal
cord GTNI in an adult patient; the first report of a GTNI in an individual with a
separate CNS neoplasm; and the first report of a GTNI with 1p/19q deletions. This
case establishes a potential genetic kinship between GTNI and oligodendroglioma
that warrants further investigation.
PMID- 22083648
TI - Characterization of a novel Xenopus tropicalis cell line as a model for in vitro
studies.
AB - Cell lines are useful tools to facilitate in vitro studies of many biological and
molecular processes. We describe a new permanent fibroblast-type cell line
obtained from disaggregated Xenopus tropicalis limb bud. The cell line population
doubling time was ~24 h. Its karyotype was genetically stable with a chromosome
number of 2n = 21 and a chromosome 10 trisomy. These cells could be readily
transfected and expressed transgenes faithfully. We obtained stable transformants
using transposon-based gene transfer technology. These cells responded to thyroid
hormone and thus can provide a complementary research tool to study thyroid
hormone signaling events. In conclusion, this cell line baptized "Speedy" should
prove useful to couple in vitro and in vivo biological studies in the X.
tropicalis frog model.
PMID- 22083649
TI - Random BAC FISH of monocot plants reveals differential distribution of repetitive
DNA elements in small and large chromosome species.
AB - BAC FISH (fluorescence in situ hybridization using bacterial artificial
chromosome probes) is a useful cytogenetic technique for physical mapping,
chromosome marker screening, and comparative genomics. As a large genomic
fragment with repetitive sequences is inserted in each BAC clone, random BAC FISH
without adding competitive DNA can unveil complex chromosome organization of the
repetitive elements in plants. Here we performed the comparative analysis of the
random BAC FISH in monocot plants including species having small chromosomes
(rice and asparagus) and those having large chromosomes (hexaploid wheat, onion,
and spider lily) in order to understand a whole view of the repetitive element
organization in Poales and Asparagales monocots. More unique and less dense
dispersed signals of BAC FISH were observed in species with smaller chromosomes
in both the Poales and Asparagales species. In the case of large-chromosome
species, 75-85% of the BAC clones were detected as dispersed repetitive FISH
signals along entire chromosomes. The BAC FISH of Lycoris did not even show
localized repetitive patterns (e.g., centromeric localization) of signals.
PMID- 22083650
TI - Functional characterization of sugarcane MYB transcription factor gene promoter
(PScMYBAS1) in response to abiotic stresses and hormones.
AB - The sugarcane (Saccharum officinarum) stress-related MYB transcription factor
gene, ScMYBAS1, demonstrated induced response to water deficit and salt stress in
our previous study. To elucidate its stress tolerance mechanism at the
transcriptional level, we isolated and characterized the promoter (PScMYBAS1,
1,033 bp) flanking the 5' ScMYBAS1 coding region from the sugarcane genome. A
series of PScMYBAS1 deletion derivatives from the transcription start site (-56,
152, -303, -442, -613, -777, -843, -1,033) was fused to the uidA reporter gene
(GUS) and each deletion construct was analyzed by Agrobacterium-mediated
transient transformation in tobacco leaves subjected to dehydration, salinity,
cold, wounding, gibberellic acid (GA), salicylic acid (SA), and methyl jasmonic
acid (MeJA). Deletion analysis of the promoter, PScMYBAS1, suggested that the 303
bp promoter region was required for basal expression. Promoter fragments, 777 bp
or longer showed ~twofold to ~fourfold increased induction of GUS in response to
abiotic stress (dehydration, salt, cold, wounding) and hormone (SA, MeJA)
treatments. These findings further our understanding of the regulation of
ScMYBAS1 expression and provide a new stress-inducible promoter system in
transgenic plants.
PMID- 22083651
TI - Determination of hydrate inhibitor in crude oil by nanoextraction-gas
chromatography.
AB - This work focused on the quantitation of methanol as a hydrate inhibitor in crude
oil. The novelty is nanoextraction of a polar compound from a complex non-polar
matrix and selection of the proper fiber with maximum selectivity, loading
percent, and lifetime. This approach not only does not require specific
instrumentation, such as multiple columns and selective detectors, but also has
eliminated the use of organic solvent and avoids the insertion of water inside
the GC columns. The objective is optimization of extraction conditions, GC
adjustments, and data processing. Experiments were conducted on the real sample
of Iranian offshore crude oil by a carboxen/PDMS fiber via GC equipped with a
cross-linked polyethylene glycol column and flame ionization detector. The
results revealed that this fiber adsorbed the alcohols among other light non
polar compounds of crude oil. Moreover, the interference effects of ethanol were
solved by proper selection of the thermal program. The LOD, LOQ, and linear range
of this approach were determined to be 3.9, 12.9, and 14-229 ppm for methanol,
respectively. Using the standard calibration and the standard addition methods,
the relative errors of 1.6-7.2 and 5.3-14.0% were determined.
PMID- 22083652
TI - Heuristic value of eclecticism in theory development: the case of Piagetian
Vygotskian dialogue about proportional reasoning.
AB - Koppe's proposition of four layers in theoretical building are used here in the
exploration of a specific case of eclectic combination, the use of Piagetian and
Vygotskian general approaches to the analysis of proportional reasoning as a
cognitive mathematical ability. It is proposed here that the eclectic
consideration of these contributions depends on the consideration of their
specificity, in the sense that they highlight different aspects of the phenomenon
under scrutiny, and also on the consideration of the coherence between this
eclectic convergence and premises in terms of schools of thought under which each
contribution is framed. We conclude proposing in accordance to S. Koppe's
proposal that eclecticism can be valuable and heuristic in theory development,
but this contribution will depend largely on the effort in establishing careful
relations between the four layers of theory-building.
PMID- 22083653
TI - What are the important decisions in the lives of german and Indian university
students? The structure of real-life decision-making processes.
AB - In intercultural research, bias is sometimes introduced when a methodological
approach that was mostly developed within one of the cultures (usually the
Western one) is chosen. Instead of identifying and controlling such bias after
data collection and during analysis, eliminating and minimizing bias during
planning and while conducting the research is much more advisable. Particularly
cross-cultural decision-making research has been hindered by the lack of
instruments that are equally applicable in different cultures, resulting in
biased findings. We have proposed a methodology for comparing cultures that uses
qualitative methods and have used it in a comparison of German and Indian
students' most important decision-making situations. In the first study, we
identified common and different decision-making situations and recommended major
areas for further cross-cultural research on decision making. In the second
study, we made an attempt to explore the factors underlying important decision
making areas in the two cultures. Semi-structured interviews were conducted to
look for strong similarities and differences between cultures. Transcribed
interview data were analyzed qualitatively using thematic analysis. Several
themes were identified and descriptions of factors influencing decision making
were derived inductively from interviews with students. Similarities and
differences are explained in detail and a further, quantitative survey in
different cultures is recommended.
PMID- 22083654
TI - Effects of individual differences on the efficacy of different distracters during
visual sexual stimulation in women.
AB - Distractions from sexual cues have been shown to decrease the sexual response,
but it is unclear how distracters decrease sexual response. Individual
differences may modulate the efficacy of distracters. Forty women viewed three
sexual films while their labial temperature and continuous self-reported sexual
arousal were monitored. One sexual film had simultaneous verbal distracters
concerning dissatisfaction with one's physical appearance (higher salience
distracter), a second had distracters concerning daily chores (lower salience
distracter), and the third sexual film had no distracters. Participant's
reporting greater relationship satisfaction and more communication with their
partner about their own physical appearance were expected to decrease the
efficacy (increased sexual arousal) of the distracters concerning physical
appearance. Contrary to expectations, women who received less feedback about
their body from their partners reported less sexual arousal during a sexual film
with body distracters than a sexual film with general distracters or a sexual
film with no distracters. All women exhibited lower labial temperature in Minutes
2 and 3 of the sexual film with body image distracters as compared to the other
two sexual films. Possible explanations explored include self-verification theory
and individual differences in the indicators that women consider when rating
their sexual arousal.
PMID- 22083655
TI - Online sexual activity: cross-national comparison between United States and
Peruvian college students.
AB - The current generation of college students commonly uses the Internet for myriad
sexually-related purposes. Yet, it has been suggested that usage of the Internet
for sexual purposes might lead to psychological problems. In this study,
undergraduate students from a public university in the U.S. (n = 320) and Peru (n
= 251) completed questionnaires addressing their online sexual activity (OSA),
psychological adjustment, and family environment and communication. Results
indicated that Peruvians used the Internet significantly more than U.S. students
to view sexually-explicit material (SEM), find sexual partners, and search for
sex-related information. Men, irrespective of nationality, used the Internet to
view SEM significantly more than women. Social support, religiosity, and
erotophilia were found to moderate the relations between nationality and OSA. In
absolute terms, both national groups, on average, engaged in OSA a relatively low
number of hours each week. Further, no differences were found in maladjustment
between those who engage in OSA and those who do not, suggesting that concerns
over OSA are probably unwarranted.
PMID- 22083656
TI - Risk reduction practices in men who have sex with men in Switzerland:
serosorting, strategic positioning, and withdrawal before ejaculation.
AB - The aim of this study was to identify predictors of intentional use of the HIV
risk reduction practices of serosorting, strategic positioning, and withdrawal
before ejaculation during unprotected anal intercourse (UAI) with casual
partners. A cross-sectional survey pertaining to the Swiss HIV behavioral
surveillance system, using an anonymous self-administered questionnaire, was
conducted in 2007 in a self-selected sample of men having sex with other men
(MSM). Analysis was restricted to participants with UAI with casual partner(s) (N
= 410). Logistic regression was used to estimate factors associated with
intentional use of serosorting, strategic positioning, and withdrawal before
ejaculation. In the previous 12 months, 71% of participants reported having UAI
with a casual partner of different or unknown HIV-status. Of these, 47% reported
practicing withdrawal, 38% serosorting, and 25% strategic positioning. In the 319
participants with known HIV-status, serosorting was associated with frequent
Internet use to find partners (OR = 2.32), STI (OR = 2.07), and HIV testing in
the past 12 months (OR = 1.81). Strategic positioning was associated with HIV
status (OR = 0.13) and having UAI with a partner of different or unknown HIV
status (OR = 3.57). Withdrawal was more frequently practiced by HIV-negative
participants or participants reporting high numbers of sexual partners (OR =
2.48) and having UAI with a partner of unknown or different serostatus (OR =
2.08). Risk reduction practices are widely used by MSM, each practice having its
own specificities. Further research is needed to determine the contextual factors
surrounding harm reduction practices, particularly the strategic or opportunistic
nature of their use.
PMID- 22083657
TI - "We belong to them": the costs of funding for rape crisis centers.
AB - This research explores the transformation of rape crisis centers and whether
directors, staff, interns, and volunteers see changes as beneficial or
detrimental to rape crisis centers and the victims they serve. Data from 63
interviews with directors, staff, interns, and volunteers from six rape crisis
centers located in four East Coast states indicate that although centers may have
been formed for different reasons depending on when they opened, all have become
more professional, rely less on volunteers, engage in more collaboration with
other agencies, and have largely abandoned their traditional activism agenda.
Traditional activism through political protests and membership in consciousness
raising groups has been replaced by community education and outreach. Some of
these changes are viewed as positive whereas others are viewed as negative.
PMID- 22083658
TI - A test for psychometric function shift.
AB - A nonparametric, small-sample-size test for the homogeneity of two psychometric
functions against the left- and right-shift alternatives has been developed. The
test is designed to determine whether it is safe to amalgamate psychometric
functions obtained in different experimental sessions. The sum of the lower and
upper p-values of the exact (conditional) Fisher test for several 2 * 2
contingency tables (one for each point of the psychometric function) is employed
as the test statistic. The probability distribution of the statistic under the
null (homogeneity) hypothesis is evaluated to obtain corresponding p-values.
Power functions of the test have been computed by randomly generating samples
from Weibull psychometric functions. The test is free of any assumptions about
the shape of the psychometric function; it requires only that all observations
are statistically independent.
PMID- 22083659
TI - Clusterwise HICLAS: a generic modeling strategy to trace similarities and
differences in multiblock binary data.
AB - In many areas of the behavioral sciences, different groups of objects are
measured on the same set of binary variables, resulting in coupled binary object
* variable data blocks. Take, as an example, success/failure scores for different
samples of testees, with each sample belonging to a different country, regarding
a set of test items. When dealing with such data, a key challenge consists of
uncovering the differences and similarities between the structural mechanisms
that underlie the different blocks. To tackle this challenge for the case of a
single data block, one may rely on HICLAS, in which the variables are reduced to
a limited set of binary bundles that represent the underlying structural
mechanisms, and the objects are given scores for these bundles. In the case of
multiple binary data blocks, one may perform HICLAS on each data block
separately. However, such an analysis strategy obscures the similarities and, in
the case of many data blocks, also the differences between the blocks. To resolve
this problem, we proposed the new Clusterwise HICLAS generic modeling strategy.
In this strategy, the different data blocks are assumed to form a set of mutually
exclusive clusters. For each cluster, different bundles are derived. As such,
blocks belonging to the same cluster have the same bundles, whereas blocks of
different clusters are modeled with different bundles. Furthermore, we evaluated
the performance of Clusterwise HICLAS by means of an extensive simulation study
and by applying the strategy to coupled binary data regarding emotion
differentiation and regulation.
PMID- 22083660
TI - OpenSesame: an open-source, graphical experiment builder for the social sciences.
AB - In the present article, we introduce OpenSesame, a graphical experiment builder
for the social sciences. OpenSesame is free, open-source, and cross-platform. It
features a comprehensive and intuitive graphical user interface and supports
Python scripting for complex tasks. Additional functionality, such as support for
eyetrackers, input devices, and video playback, is available through plug-ins.
OpenSesame can be used in combination with existing software for creating
experiments.
PMID- 22083661
TI - On the reliability, consistency, and method-specificity based on the CT-C(M-1)
model.
AB - In the present study, we discuss reliability, consistency, and method specificity
based on the CT-C(M - 1) model, which provides clear definitions of trait and
method factors and can facilitate parameter estimation. Properties of the
reliability coefficient, the consistency coefficient, and the method-specificity
coefficient of the summated score for a trait factor are addressed. The
consistency coefficient and the method-specificity coefficient are both functions
of the number of items, the average item consistency, and the average item method
specificity. The usefulness of the findings is demonstrated in an alternative
approach proposed for scale reduction. The approach, taking into account both
traits and methods, helps identify the items leading to the maximum of convergent
validity or method effects. The approach, illustrated with a simulated data set,
is recommended for scale development based on multitrait-multimethod designs.
PMID- 22083662
TI - Effect of Ginkgo biloba extract (GbE-761) on the survival of fasciocutaneous
flaps in rats.
AB - Cutaneous and fasciocutaneous flaps are used widely in the surgical
reconstruction of various body segments, especially to cover exposed vital
tissues. Partial or total necrosis of these flaps, secondary to insufficient
tissue irrigation, is one possible complication. Therefore, investigations into
antiplatelet aggregation, vasodilation and antioxidant properties are highly
significant. The aim of the present study was to analyse the effect of GbE 761 on
the survival of fasciocutaneous flaps in rats. For that purpose, GbE 761 was
administered to 30 rats after the creation of 10 * 3 cm dorsal flaps on each
animal. The rats were divided into three equal groups. GbE 761 was administered
to Group A, starting immediately after surgery; Group B received it 24 h after
the flap was cut; and Group C (controls) received 0.9% NaCl solution. The average
area of necrosis was 29.53% in Group A, 26.25% in Group B and 46.12% in Group C.
The difference between Groups B and C was statistically significant (p = 0.026).
These initial findings suggest that GbE 761 administered on day 1 after surgery
reduces the percentage of necrosis in fasciocutaneous flaps, relative to not
giving GbE at all.
PMID- 22083663
TI - Perspectives to breed for improved baking quality wheat varieties adapted to
organic growing conditions.
AB - Northwestern European consumers like their bread to be voluminous and easy to
chew. These attributes require a raw material that is rich in protein with, among
other characteristics, a suitable ratio between gliadins and glutenins. Achieving
this is a challenge for organic growers, because they lack cultivars that can
realise high protein concentrations under the relatively low and variable
availability of nitrogen during the grain-filling phase common in organic
farming. Relatively low protein content in wheat grains thus needs to be
compensated by a high proportion of high-quality protein. Organic farming
therefore needs cultivars with genes encoding for optimal levels of glutenins and
gliadins, a maximum ability for nitrogen uptake, a large storage capacity of
nitrogen in the biomass, an adequate balance between vegetative and reproductive
growth, a high nitrogen translocation efficiency for the vegetative parts into
the grains during grain filling and an efficient conversion of nitrogen into high
quality proteins. In this perspective paper the options to breed and grow such
varieties are discussed.
PMID- 22083664
TI - Comparison of aortic and carotid arterial stiffness parameters in patients with
verified coronary artery disease.
AB - BACKGROUND: Arterial stiffness parameters are commonly used to determine the
development of atherosclerotic disease. The independent predictive value of
aortic stiffness has been demonstrated for coronary events. HYPOTHESIS: The aim
of our study was to compare regional and local arterial functional parameters
measured by 2 different noninvasive methods in patients with verified coronary
artery disease (CAD). We also compared and contrasted these stiffness parameters
to the coronary SYNTAX score in patients who had undergone coronary angiography.
METHODS: In this study, 125 CAD patients were involved, and similar noninvasive
measurements were performed on 125 healthy subjects. The regional velocity of the
aortic pulse wave (PWVao) was measured by a novel oscillometric device, and the
common carotid artery was studied by a Doppler echo-tracking system to determine
the local carotid pulse wave velocity (PWVcar). The augmentation index (AIx),
which varies proportionately with the resistance of the small arteries, was
recorded simultaneously. RESULTS: In the CAD group, the PWVao and aortic
augmentation index (Alxao) values increased significantly (10.1 +/- 2.3 m/sec and
34.2% +/- 14.6%) compared to the control group (9.6 +/- 1.5 m/sec and 30.9% +/-
12%; P < 0.05). We observed similar significant increases in the local stiffness
parameters (PWVcar and carotid augmentation index [Alxcar]) in patients with
verified CAD. Further, we found a strong correlation for PWV and AIx values that
were measured with the Arteriograph and those obtained using the echo-tracking
method (r = 0.57, P < 0.001 for PWV; and r = 0.65, P < 0.001 for AIx values).
CONCLUSIONS: Our results indicate that local and regional arterial stiffness
parameters provide similar information on impaired arterial stiffening in
patients with verified CAD.
PMID- 22083665
TI - The use of N-methylpyrrolidone as a cosolvent and oxidant in pharmaceutical
stress testing.
AB - The use of N-methylpyrrolidone (NMP) as an oxidant and cosolvent in
pharmaceutical stress testing (forced degradation) is examined. Various active
pharmaceutical ingredients were heated in NMP-water solutions under nitrogen,
air, and oxygen and then analyzed by high-performance liquid chromatography,
usually with ultraviolet diode array detection and mass spectrometry detection.
In some cases, degradation products were isolated and characterized by nuclear
magnetic resonance. The NMP-water-air-heat system provided oxidative and
hydrolytic degradation products. The observed oxidation products were consistent
with products expected from free radical autoxidation, reactions with
hydroperoxides, and possibly singlet oxygen. Oxidative and hydrolytic pathways
could be distinguished by comparison of the reactions carried out under
air/oxygen and nitrogen. In many cases, the oxidation products observed during
stress testing were also observed during formal stability studies of drug
products. The NMP-water-air-heat stress condition facilitates various oxidative
degradation pathways, which are often relevant to drug product on stability. This
approach facilitates stability-indicating method development and helps elucidate
degradation pathways.
PMID- 22083666
TI - Murine model of neuromuscular electrical stimulation on squamous cell carcinoma:
potential implications for dysphagia therapy.
AB - BACKGROUND: Dysphagia is a potential consequence of treatment for head and neck
cancer. Neuromuscular electrical stimulation (NMES) has evolved as a treatment
option, with the goal of improved swallow function in patients with chronic
dysphagia. However, the effects of NMES on tumorigenicity are unknown and often
confound the initiation of this therapy, potentially limiting its efficacy in
treating patients with head and neck cancer. METHODS: Squamous cell carcinoma was
grown in the flank of athymic, nude mice. Mice were randomized into treatment and
control groups; the experimental group received daily NMES directly to the flank
for 8 days. RESULTS: Tumor volumes, recorded on days 0, 3, 7, and 10,
demonstrated no significant differences between groups on each day of
measurement. Immunohistochemical analysis of apoptosis, proliferation, and
vascularization also failed to demonstrate statistically significant differences
between treated and untreated groups. CONCLUSIONS: NMES does not promote the
growth of underlying tumor in our model. These data may provide preliminary
evidence that applying electrical stimulation over the muscles of the anterior
neck does not increase the risk of tumorigenicity. Early initiation of NMES in
this challenging population may be feasible from an oncologic standpoint.
PMID- 22083667
TI - Peripheral assessment of the genes AQP4, PBP and TH in patients with Parkinson's
disease.
AB - Parkinson's disease (PD) typically appears in late middle-aged and in elderly
persons progressing over a period of several years. The characteristic
pathological features of PD patients include defective motor function and
cognitive function affecting the quality of life of PD patients. Oxidative stress
is considered to a play a central role along with various other factors in the
pathogenesis of PD and the incidence and prevalence of the disease is incessantly
increasing worldwide. The objective of the current study was to assess mRNA
expressional changes of AQP4, TH and PBP in blood samples of control and patients
with PD. The study included 30 healthy controls and 90 PD patients subjected to
treatment through the entire period of the study. RNA isolation was carried out
using blood samples of the subjects recruited in the study and used for RT-PCR
analysis of AQP4, TH as well as PBP. The mRNA expressions of AQP4 and TH were
found to be reduced whereas that of PBP was found to be elevated when compared
with those of healthy control samples. The statistically analysed data were
presented which could be helpful for appreciation of PD pathology reflecting in
the blood samples of PD population.
PMID- 22083669
TI - Characterization of novel germline c-kit gene mutation, KIT-Tyr553Cys, observed
in a family with multiple gastrointestinal stromal tumors.
AB - We found a novel type germline mutation at exon 11 of the c-kit gene, which
results in a substitution of Tyr to Cys at codon 553 of the c-kit gene product
(KIT-Tyr553Cys), in a 68-year-old female patient with multiple gastrointestinal
stromal tumors (GISTs). In the present study, we carried out mutational analysis
in her family members to determine the carriers and characterized the mutation by
introducing the corresponding mutation (murine KIT-Tyr552Cys) into expression
vector possessing murine c-kit cDNA. Mutational analysis of peripheral blood
leukocytes of her family members revealed that a 44-year-old son had the same
mutation, but at present he had neither apparent symptoms nor images of multiple
GISTs. By transfection with the expression vector possessing the murine mutant c
kit cDNA, interleukin-3-dependent Ba/F3 murine lymphoid cells started growing
autonomously without any growth factors, indicating that the mutation was
considered to be of gain-of-function. Imatinib, a small molecule of tyrosine
kinase inhibitor, effectively inhibited autophosphorylation of KIT-Tyr552Cys.
Nilotinib, another small molecule of the KIT inhibitor, also effectively
inhibited autophosphorylation of KIT-Tyr552Cys. In fact, proliferation of Ba/F3
cells expressing KIT-Tyr552Cys was effectively inhibited by both imatinib and
nilotinib. These findings indicate that the novel type human KIT-Tyr553Cys
mutation is the cause of the present familial and multiple GISTs, and that both
imatinib and nilotinib might effectively inhibit the growth of GISTs developing
in the patients of this family.
PMID- 22083668
TI - The role of the Golgi-resident SPCA Ca2+/Mn2+ pump in ionic homeostasis and
neural function.
AB - Recent evidence highlights the functional importance of the Golgi apparatus (GA)
in neurological diseases. The functions of the mammalian GA, in addition to the
processing and transport of cargo, also include ionic homeostasis. Besides Ca2+
release channels which serves GA as an agonist-sensitive intracellular Ca2+
store, and Ca2+-binding proteins, the GA contains Ca2+-uptake mechanisms
consisting of the well-known sarco-endoplasmic reticulum Ca2+-transport ATPases
and the much less characterized secretory-pathway Ca2+-transport ATPases (SPCA).
SPCA can transport both Ca2+ and Mn2+ into the Golgi lumen and therefore is
involved in the cytosolic and intra-Golgi Ca2+ and Mn2+ homeostasis. It has shown
that both of the mRNA and protein of SPCAs are highly expressed in brain. In
addition, brain is the region with the highest activity of SPCA isoforms, which
may be related to the involvement of Ca2+ and Mn2+ homeostasis in neural
functions. In this review, we compile some recent findings showing that the SPCA
isoform plays a much more important role in intracellular ionic homeostasis than
previously anticipated and illustrating the involvement of SPCA isoforms in
certain neurophysiological or neuropathological process. We are interested in
gaining insight into the intricate role of the SPCA pumps to explain the GA
specific functions in neurological disorders.
PMID- 22083670
TI - Wnt activation is implicated in glioblastoma radioresistance.
AB - Glioblastoma (GBM) patients have dismal median survival even with the most
rigorous treatments currently available. Radiotherapy is the most effective non
surgical therapy for GBM patients; however, patients succumb due to tumor
recurrence within a year. To develop a curative therapeutic approach, we need to
better understand the underlying molecular mechanism of radiation resistance in
GBM. Towards this goal, we developed an in vivo orthotopic GBM model system that
mimics the radiation response of human GBM, using both established-GBM cell line
and patient-derived freshly dissociated GBM specimen. In-vivo ionizing radiation
(IR) treatment prolonged the survival of mice with intracranical tumor derived
from U373MG, but failed to prevent tumor recurrence. U373MG and GBM578 cells
isolated after in-vivo IR (U373-IR and 578-IR) were more clonogenic and enriched
with stem cell-like characteristics, compared with mock-treated control tumor
cells. Transcriptomic analyses and quantitative real-time reverse-transcription
PCR analyses using these matched GBM cells before and after radiation treatment
revealed that Wnt pathways were preferentially activated in post-IR GBM cells.
U373-IR cells and 578-IR were enriched with cells positive for both active beta
catenin (ABC) and Sox2 population, and this subpopulation was further increased
after additional in-vitro radiation treatment, suggesting that radiation
resistance of GBM is mediated due, in part, to the activation of stem cell
associated pathways including Wnt. Finally, pharmacological and siRNA inhibition
of Wnt pathway significantly decreased the survival and clonogenicity of GBM
cells and reduced their ABC(+)/Sox2(+) population. Together, these data suggest
that Wnt activation is a molecular mechanism to confer GBM radioresistance and an
important therapeutic target.
PMID- 22083671
TI - Bevacizumab attenuates major signaling cascades and eIF4E translation initiation
factor in multiple myeloma cells.
AB - Multiple myeloma (MM), a malignancy of plasma cells, remains fatal despite
introduction of novel therapies, partially due to humoral factors, including
vascular endothelial growth factor (VEGF), in their microenvironment. The aim of
this study was to explore the efficacy of anti-VEGF treatment with bevacizumab
directly on MM cells. Particular attention was directed to the affect of VEGF
inhibition on protein translation initiation. Experiments were conducted on MM
cells (lines, bone marrow (BM) samples) cultured on plastic. Inhibition of VEGF
was achieved with the clinically employed anti-VEGF antibody, bevacizumab, as a
platform and its consequences on viability, proliferation, and survival was
assessed. VEGF downstream signals of established importance to MM cell biology
were assayed as well, with particular emphasis on translation initiation factor
eIF4E. We showed that blocking VEGF is deleterious to the MM cells and causes
cytostasis. This was evidenced in MM cell lines, as well as in primary BM samples
(BM MM). A common bevacizumab-induced attenuation of critical signaling effectors
was determined: VEGFR1, mTOR, c-Myc, Akt, STAT3, (cell lines) and eIF4E
translation initiation factor (lines and BM). ERK1/2 displayed a variegated
response to bevacizumab (lines). Utilizing a constitutively Akt-expressing MM
model, we showed that the effect of bevacizumab on viability and eIF4E status is
Akt-dependent. Of note, the effect of bevacizumab was achieved with high
concentrations (2 mg/ml), but was shown to be specific. These findings
demonstrate that bevacizumab has a direct influence on major pathways critically
activated in MM that is independent from its established effect on angiogenesis.
The cytostatic effect of VEGF inhibition on MM cells underscores its potential in
combined therapy, and our findings, regarding its influence on translation
initiation, suggest that drugs that unbalance cellular proteostasis may be
particularly effective.
PMID- 22083672
TI - Whole again: the practice of foreskin restoration.
PMID- 22083673
TI - No regulatory double standard for natural health products.
PMID- 22083674
TI - The role of seroepidemiology in the comprehensive surveillance of vaccine
preventable diseases.
PMID- 22083675
TI - WHO mulls phase out of vaccine linked to polio outbreaks.
PMID- 22083677
TI - Provinces to support national clinical guidelines strategy.
PMID- 22083678
TI - Could parenting programs lead to lower health care costs in future generations?
PMID- 22083679
TI - Longitudinally extensive transverse myelitis: a catastrophic presentation of a
flare-up of systemic lupus erythematosus.
PMID- 22083680
TI - Family medicine's obligation to society.
PMID- 22083682
TI - Busting myths about guidelines.
PMID- 22083681
TI - Childhood aggression, withdrawal and likeability, and the use of health care
later: a longitudinal study.
AB - BACKGROUND: Literature suggests that early patterns of aggressive behaviour in
both girls and boys are predictive of a variety of health risks in adulthood.
However, longitudinal examination of the predictive links between childhood
aggression, negative physical health outcomes in adulthood and overall use of
health care has not been done. We looked at use of health care and a variety of
physical health outcomes in adulthood to extend the current body of knowledge
regarding the long-term negative sequelae of childhood aggression. METHODS:
Participants of the Concordia Longitudinal Risk Project were eligible for the
current study if they had received medical care in the province of Quebec between
1992 and 2006, and if we were able to retrieve their medical and education
records. Our primary outcome was use of the health care system, as determined
using records from the Regie de l'assurance maladie du Quebec and the Ministere
de la sante et des services sociaux. Our controlled variables were socioeconomic
status of the neighbourhood in which participants lived in 1986 and level of
education. We used hierarchical multiple regression to explore the association
between childhood behaviour and physical health in adulthood. RESULTS: During the
15-year period studied, childhood agression corresponded to an increase in
medical visits (8.1% per 1 standard deviation increase in agression), and
injuries (10.7%) or lifestyle-related illnesses (44.2%), visits to specialists
(6.2%) and visits to emergency departments (12.4%). We saw a positive relation
between social withdrawal during childhood and government-funded visits to
dentists. Peer-rated likeability during childhood showed negative relations with
use of health care (overall), medical visits due to injuries and government
funded visits to dentists. INTERPRETATION: Childhood aggression is a health risk
that should be considered when designing interventions to improve public health
and diminish the costs of medical services, particularly when considering
interventions targeting children and families.
PMID- 22083683
TI - Recurrent appendicitis after appendectomy.
PMID- 22083684
TI - Incidence of bleeding from gastroduodenal ulcers in patients with end-stage renal
disease receiving hemodialysis.
AB - BACKGROUND: Few large population-based studies have compared the incidence of
bleeding of gastroduodenal ulcers between patients with and without end-stage
renal disease. We investigated the association between ulcer bleeding and end
stage renal disease in patients receiving hemodialysis, and we sought to identify
risk factors for ulcer bleeding. METHODS: We performed a nationwide seven-year
population study using data from the National Health Insurance Research Database
in Taiwan. We identified 36 474 patients with end-stage renal disease who were
receiving hemodialysis, 6320 patients with chronic kidney disease and 36 034
controls matched for age, sex and medication use. We performed log-rank testing
to analyze differences in survival time without ulcer bleeding among the three
groups. We performed Cox proportional hazard regressions to evaluate the risk
factors for ulcer bleeding among the three groups and to identify risk factors in
patients receiving hemodialysis. RESULTS: Patients receiving hemodialysis and
those with chronic kidney disease had a significantly higher incidence of ulcer
bleeding than controls had (p<0.001). Hemodialysis (hazard ratio [HR] 5.24, 95%
confidence interval [CI] 4.67-5.86) and chronic kidney disease (HR 1.95, 95% CI
1.62-2.35) were independently associated with an increased risk of ulcer
bleeding. Diabetes mellitus, coronary artery disease, cirrhosis and use of
nonsteroidal anti-inflammatory drugs were risk factors for ulcer bleeding in
patients with end-stage renal disease who were receiving hemodialysis
INTERPRETATION: Patients with end-stage renal disease who are receiving
hemodialysis had a high risk of ulcer bleeding. Diabetes mellitus, coronary
artery disease, cirrhosis and the use of nonsteroidal anti-inflammatory drugs
were important risk factors for ulcer bleeding in these patients.
PMID- 22083685
TI - The eggshell in the C. elegans oocyte-to-embryo transition.
AB - In egg-laying animals, embryonic development takes place within the highly
specialized environment provided by the eggshell and its underlying extracellular
matrix. Far from being simply a passive physical support, the eggshell is a key
player in many early developmental events. Herein, we review current
understanding of eggshell structure, biosynthesis, and function in zygotic
development of the nematode, C. elegans. Beginning at sperm contact or entry,
eggshell layers are produced sequentially. The earlier outer layers are required
for secretion or organization of inner layers, and layers differ in composition
and function. Developmental events that depend on the eggshell include polyspermy
barrier generation, high fidelity meiotic chromosome segregation, osmotic barrier
synthesis, polar body extrusion, anterior-posterior polarization, and
organization of membrane and cortical proteins. The C. elegans eggshell is
proving to be an excellent, tractable system to study the molecular cues of the
extracellular matrix that instruct cell polarity and early development.
PMID- 22083686
TI - One- and comprehensive two-dimensional high-performance liquid chromatography
analysis of alkylphenol polyethoxylates.
AB - High-performance liquid chromatography (HPLC) analysis of alkylphenol
polyethoxylates (APnEOs) in one-dimensional (1-D) mode usually separates either
the ethoxy or alkyl moiety distribution and requires different modes for adequate
resolution. Simultaneous complete separation of variable ethoxymer chain lengths
and variable alkyl end groups using 1-D-HPLC has not been reported and suggests
that multidimensional (MDLC) or comprehensive two-dimensional liquid
chromatography (LC*LC) might offer a suitable separation approach for this goal.
This study compares different separation modes--normal phase LC (NPLC), reversed
phase LC (RPLC) and hydrophilic interaction chromatography (HILIC)--in terms of
separation for alkyl and ethoxy distributions. RPLC provided adequate separation
of octyl and nonyl APnEOs using an isocratic elution program and was selected as
second dimension ((2)D) for LC*LC. NPLC offered better resolution than HILIC;
however, non-polar NPLC solvent immiscibility with RPLC mobile phases leads to
HILIC being chosen as first dimension ((1)D). The HILIC*RPLC system was evaluated
by analysis of four APnEO mixtures. Complete simultaneous separation of APnEOs
into individual oligomers, with each alkyl end group resolved, demonstrated the
capability of the LC*LC method. Different descriptors and metrics for assessing
system orthogonality were investigated to evaluate HILIC*RPLC performance. A
relatively high dimensionality of 1.76 was demonstrated.
PMID- 22083687
TI - Antinociceptive effect of [Met5]enkephalin semicarbazide is not affected by
dipeptidyl carboxypeptidase-I.
AB - Dipeptidyl carboxypeptidase-I is an enzyme involved in the biological degradation
of enkephalins. It has been suggested that C-terminal amidation of enkephalins
enhances their resistance to dipeptidyl carboxypeptidase-I-mediated
biodegradation. In this study, a novel [Met5]enkephalin amide (MEA) analogue
[Met5]enkephalin (ME)-semicarbazide synthesized by another laboratory in our
group was assessed for its antinociceptive effects compared with ME-ethylamide,
MEA and ME, using tail flick test. To protect the administered drugs from
biodegradation, rats were pretreated with peptidase inhibitors including
amastatin, phosphoramidon and captopril. Then captopril (dipeptidyl
carboxypeptidase-I inhibitor) was deleted from the peptidase inhibitors'
combination for evaluating in vivo resistance of the synthetic drugs to
dipeptidyl carboxypeptidase-I. According to the results, ME-semicarbazide and MEA
were resistant enough to dipeptidyl carboxypeptidase-I to exert their strong
antinociception following intrathecal administration even in the absence of
captopril, whereas the antinociceptive effects produced by ME-ethylamide (10
nmol) were abolished in rats not pretreated with captopril, indicating that
significant amounts of the ME-ethylamide were degraded by dipeptidyl
carboxypeptidase-I. Replacement of the amide moiety of MEA with semicarbazide
provides a new ME derivative, with high analgesic effects as well as more
resistance to dipeptidyl carboxypeptidase-I-mediated biodegradation.
PMID- 22083689
TI - Surgical management of muscular trabecular ventricular septal defects.
AB - Despite recent advances in diagnosis, surgical techniques, and postoperative care
of children with congenital cardiac defects, muscular trabecular ventricular
septal defects (VSDs) are still a therapeutic challenge. Among these defects, it
is more difficult to achieve secure and complete closure of low trabecular or
apical VSDs because of the presence of numerous muscular trabeculations overlying
the defect. When they are associated with "Swiss cheese"-type of VSDs, it is
almost impossible to visualize the true edges of the defect through the
transatrial approach. Consequently, there remains an unacceptable incidence of
mortality and morbidity when compared to those that occur with closure of the
usual perimembranous VSD. Although various techniques for closure of these
difficult trabecular VSDs have been attempted, there is still a significant
incidence of complications in the surgical management of trabecular VSDs, mostly
significant residual shunts, a need for multiple reoperations, and severe left
ventricular dysfunction. This article describes the anatomical details and
classification of muscular trabecular VSDs. It also reviews several techniques
currently utilized and their outcomes.
PMID- 22083690
TI - Two-staged treatment strategy in patients with severe carotid or cerebrovascular
diseases undergoing coronary artery bypass grafting.
AB - PURPOSE: There is no clear consensus on how to treat patients undergoing coronary
artery bypass grafting (CABG) who have severe concomitant carotidcerebral artery
stenosis. The aim of this study was to evaluate our surgical results in patients
with severe carotid and/or cerebrovascular disease undergoing CABG. METHODS:
Between October 2003 and April 2009, a total of 47 such patients were treated at
our institution with the following strategies: (1) protective carotid artery
stenting for severe carotid stenosis performed either before (n = 20) or after (n
= 5) CABG or (2) a superficial temporal artery-middle cerebral artery anastomosis
procedure followed by CABG if indicated (n = 4). Off-pump CABG was performed in
75% of the patients. RESULTS: There were no major perioperative strokes or in
hospital deaths; however, three patients had transient ischemic attacks and two
had minor strokes during the early post-CABG period. All of the patients with
postoperative cerebrovascular events had had unilateral carotid artery occlusion.
There were no late deaths during the follow-up period (up to 6 years, with a mean
of 27 months). However, major adverse cardiocerebrovascular events (MACCE)
occurred in seven patients (14.9%). The rates of freedom from MACCE at 1 and 3
years were 92% and 74%, respectively. CONCLUSION: It appears that our two-staged
approach is safe and may reduce the risk of postoperative cerebrovascular events.
PMID- 22083691
TI - Systolic anterior motion after mitral valve repair: predicting factors and
management.
AB - PURPOSE: The aim of this study was to determine the mechanism of systolic
anterior motion (SAM) after mitral valve (MV) repair by analyzing the clinical
data of patients with MV repair. METHODS: A total of 104 MV repairs were
performed for patients with isolated degenerative posterior leaflet prolapse.
Eight patients (7.7%) developed SAM with severe mitral regurgitation. We compared
the preoperative and intraoperative findings of the two groups (8 patients in the
SAM group, 96 in the non-SAM group) and reported the clinical courses of the SAM
patients. RESULTS: Preoperative left ventricular end-diastolic and end-systolic
diameters were significantly smaller and the preoperative left ventricular
ejection fraction was significantly greater in the SAM group than in the non-SAM
group. The number of patients with a sigmoid septum and the number with anterior
leaflet-septal contact (LSC) during diastole were significantly larger in the SAM
group. Incidence of billowing posterior leaflet, prolapsed segments, and
operative techniques were comparable for the two groups. SAM improved with
correction of hemodynamic status in four patients. In four other patients
secondary cardiopulmonary bypass was required to resolve SAM. SAM resolved with
additional repairs in two patients, whereas the other two required MV
replacement. Of the six patients in whom conservative treatment or re-repair was
successful, one had recurrent SAM 3 months after surgery. CONCLUSION: The sigmoid
septum and LSC may predict SAM after MV repair. A strict follow-up is imperative
for patients with persistent or recurrent SAM.
PMID- 22083692
TI - Effect of CD4+ T-lymphocyte count on hospital outcome of elective general
thoracic surgery patients with human immunodeficiency virus.
AB - PURPOSE: Traditionally, the chief surgical indicator for human immunodeficiency
virus (HIV)-infected patients was the CD4-positive T-lymphocyte count; however,
there is no current consensus. Reports published after 2006 indicated that HIV
infected patients had a higher incidence of postoperative pneumonia and higher 12
month mortality rates. In addition, CD4 counts had no relation to the in-hospital
outcome. Therefore, we retrospectively examined all of the previous patients who
underwent operations in our department on the basis of these findings. METHODS:
Regardless of the initiation of highly active anti-retroviral therapy (HAART), we
retrospectively reviewed 10 general thoracic surgeries performed in our
department according to the CD4 cell count, HIV-ribonucleic acid (RNA) viral
load, time of HAART initiation, operating time, amount of blood, postoperative
course, and period of observation. RESULTS: There was no incidence of
postoperative pneumonia or wound infection. There were also no complications
during the perioperative period. One patient died 7 months after surgery.
CONCLUSION: Our retrospective study demonstrates that the indicator for elective
general thoracic surgery is not the CD4-positive T-lymphocyte count and that the
initiation of HAART may reduce the 12-month mortality rates. In HIV-positive
patients, regardless of the CD4-positive T-lymphocyte count, surgeons can operate
in the same manner as they would with HIV-negative patients.
PMID- 22083693
TI - Surgical treatment for gastrointestinal metastasis of non-small-cell lung cancer
after pulmonary resection.
AB - PURPOSE: Gastrointestinal metastasis is not common in recurrent non-small-cell
lung cancer (NSCLC) patients. There is thus limited information on clinical
outcome for these patients. This report presents the clinical characteristics and
outcomes of patients with gastrointestinal metastasis after pulmonary resection.
METHODS: The study retrospectively analyzed nine NSCLC patients with
gastrointestinal metastases. RESULTS: Gastrointestinal metastases were observed
in the small intestine (n = 4), colon or rectum (n = 4), and stomach (n = 1). All
of the patients were symptomatic. The median survival after gastrointestinal
recurrence was 10.8 months. Gastrointestinal surgery was performed in five
patients, whereas no cancer treatment was indicated in the remaining four
patients. Three patients who underwent surgery for a solitary metastasis survived
for more than 2 years after surgery with no other recurrence. CONCLUSION:
Surgical resection of gastrointestinal metastasis is indicated not only for
symptom relief but also for providing a potentially long-term survival if the
patients are properly selected.
PMID- 22083694
TI - Reoperation for prosthetic ventricular septal defect patch endocarditis: long
term results with an autologous atrial septal patch.
AB - Treatment of prosthetic patch endocarditis after closure of a ventricular septal
defect is challenging. It is essential to reduce inflammation and prevent
recurrence of endocarditis. We report a successful case of reclosure of a
ventricular septal defect using an autologous atrial septal patch taken from the
fossa ovalis. There were no complications, and the long-term results were
satisfactory. The autologous septal patch might be a promising material for
prosthetic patch endocarditis.
PMID- 22083695
TI - Temporary ventricular overdrive pacing for electrical storm after coronary artery
bypass grafting.
AB - A 57-year-old man who had been receiving chemotherapy for multiple myeloma
complained of chest pain and was diagnosed with coronary artery disease. Coronary
artery bypass grafting without cardiopulmonary bypass was performed smoothly, and
extubation was done in the operating room. The next evening, cluster of
ventricular tachycardia and fibrillation triggered by ventricular premature
contractions occurred and required multiple electrical defibrillations. Despite
intravenous administration of lidocaine, amiodarone, magnesium, and beta-blocker,
the storm sustained and was suppressed only by temporary ventricular overdrive
pacing. He was discharged on foot.
PMID- 22083696
TI - Isolated right diaphragmatic rupture following blunt trauma.
AB - Blunt diaphragmatic injuries are usually caused by blunt trauma or penetrating
injuries. The diagnosis may be delayed or missed because of the confusing
clinical and radiographic findings and the presence of multiple associated
injuries. We report the case of an isolated right diaphragm rupture in a 56-year
old man who sustained blunt thoracic trauma after car accident 2 weeks before
presentation. No other injuries were detected, and he was subjected to
laparotomy. Diaphragmatic rupture is perceived as an emergency entity. The late
appearance of such an injury, without other accompanying injuries, is rare and
should be in mind by clinicians treating trauma patients who have a delayed
presentation after the injury.
PMID- 22083697
TI - Benign fibrous histiocytoma arising from the intercostal space.
AB - Benign fibrous histiocytoma is a tumor of unknown etiology that is believed to be
of mixed fibroblastic and histiocytic origin. Deep benign fibrous histiocytomas
are most commonly found in the lower limbs or the head and neck region; it is
relatively rare that they are seen in the intercostal space. Only six case
reports of this entity are described in the literature. We report a 20-year-old
woman who suffered from a painless swelling in the left chest wall, with a
computed tomographic correlation. The lesion was totally excised, and
histopathology revealed a benign fibrous histiocytoma. This is the first case of
a benign fibrous histiocytoma that arose from the intercostal space reported in
the English-language literature. The six cases reported in the medical literature
are also discussed.
PMID- 22083698
TI - Bronchopulmonary foregut malformation.
AB - Bronchopulmonary foregut malformation (BPFM) is a rare anomaly of accessory
pulmonary tissue that usually arises from esophagus or stomach. We present a case
of extralobar pulmonary sequestration (ELS) connecting with the esophagus by a
cyst, the inner wall of which is lined with squamous epithelium or respiratory
epithelium. BPFM is sometimes used to group a number of ventral anomalies of
accessory pulmonary tissue. The term currently refers specifically to those
lesions composed of sequestrations that retain communication with the
gastrointestinal tract. Usually the communication is a well-formed muscular tube
lined with stratified squamous or columnar epithelium. The presence of both
epithelia in a communication that is a component of the BPFM suggests
embryogenesis. We describe an adult with BPFM composed of ELS and a connecting
stalk to the esophagus by a foregut cyst that contains both squamous epithelium
and respiratory epithelium.
PMID- 22083699
TI - Postoperative bronchial stump fistula after lobectomy: response to occlusion with
polyglycolic acid mesh and fibrin glue via bronchoscopy.
AB - Bronchial stump fistula after resection of lung cancer is an extremely difficult
to treat postoperative complication. Endoscopic fistula closure is a favorable
alternative, potentially avoiding major surgical intervention. an 80-year-old man
underwent curative resection of squamous cell carcinoma by left upper lobectomy
of the lung. The patient suddenly developed massive subcutaneous emphysema on
postoperative day 10. Bronchoscopy revealed a fistula about 3 mm in diameter at
the lateral edge of the bronchial stump. Concentrated fibrinogen 0.5 ml (fluid A)
was sprinkled on the bronchial fistula initially, and then pieces of polyglycolic
acid mesh presoaked in fluid A or fluid B (thrombin) of the fibrin glue were
pushed with biopsy forceps into the fistula in an alternating fashion (A->B->A
>B) under endotracheal local anesthesia. Air leakage was stopped, and the patient
did not develop empyema. Particularly for patients in poor general condition, our
noninvasive technique seems to serve as a therapy of first choice.
PMID- 22083700
TI - The enolase of Borrelia burgdorferi is a plasminogen receptor released in outer
membrane vesicles.
AB - The agent of Lyme disease, Borrelia burgdorferi, has a number of outer membrane
proteins that are differentially regulated during its life cycle. In addition to
their physiological functions in the organism, these proteins also likely serve
different functions in invasiveness and immune evasion. In borreliae, as well as
in other bacteria, a number of membrane proteins have been implicated in binding
plasminogen. The activation and transformation of plasminogen into its
proteolytically active form, plasmin, enhances the ability of the bacteria to
disseminate in the host. Outer membrane vesicles of B. burgdorferi contain
enolase, a glycolytic-cycle enzyme that catalyzes 2-phosphoglycerate to form
phosphoenolpyruvate, which is also a known plasminogen receptor in Gram-positive
bacteria. The enolase was cloned, expressed, purified, and used to generate
rabbit antienolase serum. The enolase binds plasminogen in a lysine-dependent
manner but not through ionic interactions. Although it is present in the outer
membrane, microscopy and proteinase K treatment showed that enolase does not
appear to be exposed on the surface. However, enolase in the outer membrane
vesicles is accessible to proteolytic degradation by proteinase K. Samples from
experimentally and tick-infected mice and rabbits as well as from Lyme disease
patients exhibit recognition of enolase in serologic assays. Thus, this
immunogenic plasminogen receptor released in outer membrane vesicles could be
responsible for external proteolysis in the pericellular environment and have
roles in nutrition and in enhancing dissemination.
PMID- 22083701
TI - Novel protein substrates of the phospho-form modification system in Neisseria
gonorrhoeae and their connection to O-linked protein glycosylation.
AB - The zwitterionic phospho-form moieties phosphoethanolamine (PE) and
phosphocholine (PC) are important components of bacterial membranes and cell
surfaces. The major type IV pilus subunit protein of Neisseria gonorrhoeae, PilE,
undergoes posttranslational modifications with these moieties via the activity of
the pilin phospho-form transferase PptA. A number of observations relating to
colocalization of phospho-form and O-linked glycan attachment sites in PilE
suggested that these modifications might be either functionally or
mechanistically linked or interact directly or indirectly. Moreover, it was
unknown whether the phenomenon of phospho-form modification was solely dedicated
to PilE or if other neisserial protein targets might exist. In light of these
concerns, we screened for evidence of phospho-form modification on other membrane
glycoproteins targeted by the broad-spectrum O-linked glycosylation system. In
this way, two periplasmic lipoproteins, NGO1043 and NGO1237, were identified as
substrates for PE addition. As seen previously for PilE, sites of PE
modifications were clustered with those of glycan attachment. In the case of
NGO1043, evidence for at least six serine phospho-form attachment sites was
found, and further analyses revealed that at least two of these serines were also
attachment sites for glycan. Finally, mutations altering glycosylation status led
to the presence of pptA-dependent PC modifications on both proteins. Together,
these results reinforce the associations established in PilE and provide evidence
for dynamic interplay between phospho-form modification and O-linked
glycosylation. The observations also suggest that phospho-form modifications
likely contribute biologically at both intracellular and extracellular levels.
PMID- 22083702
TI - RrgB321, a fusion protein of the three variants of the pneumococcal pilus
backbone RrgB, is protective in vivo and elicits opsonic antibodies.
AB - Streptococcus pneumoniae pilus 1 is present in 30 to 50% of invasive disease
causing strains and is composed of three subunits: the adhesin RrgA, the major
backbone subunit RrgB, and the minor ancillary protein RrgC. RrgB exists in three
distinct genetic variants and, when used to immunize mice, induces an immune
response specific for each variant. To generate an antigen able to protect
against the infection caused by all pilus-positive S. pneumoniae strains, we
engineered a fusion protein containing the three RrgB variants (RrgB321). RrgB321
elicited antibodies against proteins from organisms in the three clades and
protected mice against challenge with piliated pneumococcal strains. RrgB321
antisera mediated complement-dependent opsonophagocytosis of piliated strains at
levels comparable to those achieved with the PCV7 glycoconjugate vaccine. These
results suggest that a vaccine composed of RrgB321 has the potential to cover 30%
or more of all pneumococcal strains and support the inclusion of this fusion
protein in a multicomponent vaccine against S. pneumoniae.
PMID- 22083703
TI - The Acinetobacter baumannii biofilm-associated protein plays a role in adherence
to human epithelial cells.
AB - Acinetobacter baumannii is a significant source of nosocomial infections
worldwide. This bacterium has the ability to survive and persist on multiple
abiotic surfaces in health care facilities, and once a focus has been
established, this opportunistic pathogen is difficult to eradicate. This paper
demonstrates that the A. baumannii biofilm-associated protein (Bap) is necessary
for mature biofilm formation on medically relevant surfaces, including
polypropylene, polystyrene, and titanium. Scanning electron microscopy analyses
of biofilms show that Bap is required for three-dimensional tower structure and
water channel formation. In conjunction with persistence on abiotic surfaces,
adherence to eukaryotic cells is an important step in bacterial colonization
resulting in infection of the host. We have described Bap as the surface
structure involved in adherence of A. baumannii to both normal human bronchial
epithelial cells and normal human neonatal keratinocytes. However, Bap is not
involved in internalization of the bacterium in these two cell lines.
Furthermore, this study shows that the presence of Bap increases the bacterial
cell surface hydrophobicity. The results of this study are pertinent, as the data
lead to a better understanding of the role of Bap in biofilm formation on medical
surfaces and in colonization of the host.
PMID- 22083704
TI - Protection of piglets against Edema disease by maternal immunization with Stx2e
toxoid.
AB - Edema disease (ED) in piglets is caused by Shiga toxin Stx2e-producing
Escherichia coli. We show that a genetically disarmed Stx2e toxoid is a safe
antigen that generates antiserum protecting piglets against the Stx2e toxin.
Immunization of suckling piglets with the Stx2e toxoid was safe, had no adverse
effects on growth of the piglets, and resulted in effective prevention of edema
disease clinical symptoms after challenge with the Stx2e toxin. Our data showed
that maternal immunity against the Stx2e toxoid can be transmitted from the
vaccinated sows to the piglets via the colostrum. Very high levels of Stx2e
specific serum antibodies persisted in these piglets until 1 month postweaning,
bridging the critical period in which the weaned piglets are most susceptible to
edema infection. Challenge with Stx2e toxin resulted in clinical signs of edema
disease and death of all control piglets from nonimmunized sows, whereas none of
the piglets from immunized sows developed clinical signs of ED.
PMID- 22083705
TI - Entamoeba histolytica induces intestinal cathelicidins but is resistant to
cathelicidin-mediated killing.
AB - The enteric protozoan parasite Entamoeba histolytica is the cause of potentially
fatal amebic colitis and liver abscesses. E. histolytica trophozoites colonize
the colon, where they induce inflammation, penetrate the mucosa, and disrupt the
host immune system. The early establishment of E. histolytica in the colon occurs
in the presence of antimicrobial human (LL-37) and murine (CRAMP [cathelin
related antimicrobial peptide]) cathelicidins, essential components of the
mammalian innate defense system in the intestine. Studying this early step in the
pathogenesis of amebic colitis, we demonstrate that E. histolytica trophozoites
or their released proteinases, including cysteine proteinase 1 (EhCP1), induce
intestinal cathelicidins in human intestinal epithelial cell lines and in a mouse
model of amebic colitis. Despite induction, E. histolytica trophozoites were
found to be resistant to killing by these antimicrobial peptides, and LL-37 and
CRAMP were rapidly cleaved by released amebic cysteine proteases. The
cathelicidin fragments however, did maintain their antimicrobial activity against
bacteria. Degradation of intestinal cathelicidins is a novel function of E.
histolytica cysteine proteinases in the evasion of the innate immune system in
the bowel. Thus, early intestinal epithelial colonization of invasive
trophozoites involves a complex interplay in which the ultimate outcome of
infection depends in part on the balance between degradation of cathelicidins by
amebic released cysteine proteinases and upregulation of proinflammatory
mediators which trigger the inflammatory response.
PMID- 22083706
TI - Immunobiotic Lactobacillus jensenii elicits anti-inflammatory activity in porcine
intestinal epithelial cells by modulating negative regulators of the Toll-like
receptor signaling pathway.
AB - The effect of Lactobacillus jensenii TL2937 on the inflammatory immune response
triggered by enterotoxigenic Escherichia coli (ETEC) and lipopolysaccharide (LPS)
in a porcine intestinal epitheliocyte cell line (PIE cells) was evaluated.
Challenges with ETEC or LPS elicited Toll-like receptor 4 (TLR4)-mediated
inflammatory responses in cultured PIE cells, indicating that our cell line may
be useful for studying inflammation in the guts of weaning piglets. In addition,
we demonstrated that L. jensenii TL2937 attenuated the expression of
proinflammatory cytokines and chemokines caused by ETEC or LPS challenge by
downregulating TLR4-dependent nuclear factorkappaB (NF-kappaB) and mitogen
activated protein kinase (MAPK) activation. Furthermore, we demonstrated that L.
jensenii TL2937 stimulation of PIE cells upregulated three negative regulators of
TLRs: A20, Bcl-3, and MKP-1, deepening the understanding of an immunobiotic
mechanism of action. L. jensenii TL2937-mediated induction of negative regulators
of TLRs would have a substantial physiological impact on homeostasis in PIE
cells, because excessive TLR inflammatory signaling would be downregulated. These
results indicated that PIE cells can be used to study the mechanisms involved in
the protective activity of immunobiotics against intestinal inflammatory damage
and may provide useful information for the development of new immunologically
functional feeds that help to prevent inflammatory intestinal disorders,
including weaning-associated intestinal inflammation.
PMID- 22083707
TI - Mitogen-activated protein kinase-dependent interleukin-1alpha intracrine
signaling is modulated by YopP during Yersinia enterocolitica infection.
AB - Yersinia enterocolitica is a food-borne pathogen that preferentially infects the
Peyer's patches and mesenteric lymph nodes, causing an acute inflammatory
reaction. Even though Y. enterocolitica induces a robust inflammatory response
during infection, the bacterium has evolved a number of virulence factors to
limit the extent of this response. We previously demonstrated that interleukin
1alpha (IL-1alpha) was critical for the induction of gut inflammation
characteristic of Y. enterocolitica infection. More recently, the known actions
of IL-1alpha are becoming more complex because IL-1alpha can function both as a
proinflammatory cytokine and as a nuclear factor. In this study, we tested the
ability of Y. enterocolitica to modulate intracellular IL-1alpha-dependent IL-8
production in epithelial cells. Nuclear translocation of pre-IL-1alpha protein
and IL-1alpha-dependent secretion of IL-8 into the culture supernatant were
increased during infection with a strain lacking the 70-kDa virulence plasmid
compared to the case during infection with the wild type, suggesting that
Yersinia outer proteins (Yops) might be involved in modulating intracellular IL
1alpha signaling. Infection of HeLa cells with a strain lacking the yopP gene
resulted in increased nuclear translocation of pre-IL-1alpha and IL-1alpha
dependent secretion of IL-8 similar to what is observed with bacteria lacking the
virulence plasmid. YopP is a protein acetylase that inhibits mitogen-activated
protein kinase (MAP kinase)- and NF-kappaB-dependent signal transduction
pathways. Nuclear translocation of pre-IL-1alpha and IL-1alpha-dependent
secretion of IL-8 in response to Yersinia enterocolitica infection were dependent
on extracellular signal-regulated kinase (ERK) and p38 MAP kinase signaling but
independent of NF-kappaB. These data suggest that Y. enterocolitica inhibits
intracellular pre-IL-1alpha signaling and subsequent proinflammatory responses
through inhibition of MAP kinase pathways.
PMID- 22083708
TI - Tissue barriers of the human placenta to infection with Toxoplasma gondii.
AB - Toxoplasma gondii is a ubiquitous, obligate intracellular parasite capable of
crossing the placenta to cause spontaneous abortion, preterm labor, or
significant disease in the surviving neonate. Exploration of the cellular and
histological components of the placental barrier is in its infancy, and both how
and where T. gondii breaches it are unknown. The human placenta presents two
anatomical interfaces between maternal cells and fetal cells (trophoblasts): (i)
the villous region where maternal blood bathes syncytialized trophoblasts for
nutrient exchange and (ii) the maternal decidua, where mononuclear, extravillous
trophoblasts anchor the villous region to the uterus. Using first-trimester human
placental explants, we demonstrate that the latter site is significantly more
vulnerable to infection, despite presenting a vastly smaller surface. This is
consistent with past findings concerning two vertically transmitted viruses and
one bacterium. We further explore whether three genetically distinct T. gondii
types (I, II, and III) are capable of preferential placental infection and
survival in this model. We find no difference in these strains' ability to infect
placental explants; however, slightly slower growth is evident in type II
(Prugniaud [Pru]) parasites relative to other cell types, although this did not
quite achieve statistical significance.
PMID- 22083709
TI - Disruption of the phospholipase D gene attenuates the virulence of Aspergillus
fumigatus.
AB - Aspergillus fumigatus is the most prevalent airborne fungal pathogen that induces
serious infections in immunocompromised patients. Phospholipases are key enzymes
in pathogenic fungi that cleave host phospholipids, resulting in membrane
destabilization and host cell penetration. However, knowledge of the impact of
phospholipases on A. fumigatus virulence is rather limited. In this study,
disruption of the pld gene encoding phospholipase D (PLD), an important member of
the phospholipase protein family in A. fumigatus, was confirmed to significantly
decrease both intracellular and extracellular PLD activity of A. fumigatus. The
pld gene disruption did not alter conidial morphological characteristics,
germination, growth, and biofilm formation but significantly suppressed the
internalization of A. fumigatus into A549 epithelial cells without affecting
conidial adhesion to epithelial cells. Importantly, the suppressed
internalization was fully rescued in the presence of 100 MUM phosphatidic acid,
the PLD product. Indeed, complementation of pld restored the PLD activity and
internalization capacity of A. fumigatus. Phagocytosis of A. fumigatus conidia by
J774 macrophages was not affected by the absence of the pld gene. Pretreatment of
conidia with 1-butanol and a specific PLD inhibitor decreased the internalization
of A. fumigatus into A549 epithelial cells but had no effect on phagocytosis by
J774 macrophages. Finally, loss of the pld gene attenuated the virulence of A.
fumigatus in mice immunosuppressed with hydrocortisone acetate but not with
cyclophosphamide. These data suggest that PLD of A. fumigatus regulates its
internalization into lung epithelial cells and may represent an important
virulence factor for A. fumigatus infection.
PMID- 22083710
TI - The repeat-in-toxin family member TosA mediates adherence of uropathogenic
Escherichia coli and survival during bacteremia.
AB - Uropathogenic Escherichia coli (UPEC) is responsible for the majority of
uncomplicated urinary tract infections (UTI) and represents the most common
bacterial infection in adults. UPEC utilizes a wide range of virulence factors to
colonize the host, including the novel repeat-in-toxin (RTX) protein TosA, which
is specifically expressed in the host urinary tract and contributes significantly
to the virulence and survival of UPEC. tosA, found in strains within the B2
phylogenetic subgroup of E. coli, serves as a marker for strains that also
contain a large number of well-characterized UPEC virulence factors. The presence
of tosA in an E. coli isolate predicts successful colonization of the murine
model of ascending UTI, regardless of the source of the isolate. Here, a detailed
analysis of the function of tosA revealed that this gene is transcriptionally
linked to genes encoding a conserved type 1 secretion system similar to other RTX
family members. TosA localized to the cell surface and was found to mediate (i)
adherence to host cells derived from the upper urinary tract and (ii) survival in
disseminated infections and (iii) to enhance lethality during sepsis (as assessed
in two different animal models of infection). An experimental vaccine, using
purified TosA, protected vaccinated animals against urosepsis. From this work, it
was concluded that TosA belongs to a novel group of RTX proteins that mediate
adherence and host damage during UTI and urosepsis and could be a novel target
for the development of therapeutics to treat ascending UTIs.
PMID- 22083711
TI - Pathoadaptive conditional regulation of the type VI secretion system in Vibrio
cholerae O1 strains.
AB - The most recently discovered secretion pathway in gram-negative bacteria, the
type VI secretion system (T6SS), is present in many species and is considered
important for the survival of non-O1 non-O139 Vibrio cholerae in aquatic
environments. Until now, it was not known whether there is a functionally active
T6SS in wild-type V. cholerae O1 strains, the cause of cholera disease in humans.
Here, we demonstrate the presence of a functionally active T6SS in wild-type V.
cholerae O1 strains, as evidenced by the secretion of the T6SS substrate Hcp,
which required several gene products encoded within the putative vas gene
cluster. Our analyses showed that the T6SS of wild-type V. cholerae O1 strain
A1552 was functionally activated when the bacteria were grown under high
osmolarity conditions. The T6SS was also active when the bacteria were grown
under low temperature (23 degrees C), suggesting that the system may be important
for the survival of the bacterium in the environment. A test of the
interbacterial virulence of V. cholerae strain A1552 against an Escherichia coli
K-12 strain showed that it was strongly enhanced under high osmolarity and that
it depended on the hcp genes. Interestingly, we found that the newly recognized
osmoregulatory protein OscR plays a role in the regulation of T6SS gene
expression and secretion of Hcp from V. cholerae O1 strains.
PMID- 22083712
TI - Infection of mice by Salmonella enterica serovar Enteritidis involves additional
genes that are absent in the genome of serovar Typhimurium.
AB - Salmonella enterica serovar Enteritidis causes a systemic, typhoid-like infection
in newly hatched poultry and mice. In the present study, a library of 54,000
transposon mutants of S. Enteritidis phage type 4 (PT4) strain P125109 was
screened for mutants deficient in the in vivo colonization of the BALB/c mouse
model using a microarray-based negative-selection screening. Mutants in genes
known to contribute to systemic infection (e.g., Salmonella pathogenicity island
2 [SPI-2], aro, rfa, rfb, phoP, and phoQ) and enteric infection (e.g., SPI-1 and
SPI-5) in this and other Salmonella serovars displayed colonization defects in
our assay. In addition, a strong attenuation was observed for mutants in genes
and genomic islands that are not present in S. Typhimurium or in most other
Salmonella serovars. These genes include a type I restriction/modification system
(SEN4290 to SEN4292), the peg fimbrial operon (SEN2144A to SEN2145B), a putative
pathogenicity island (SEN1970 to SEN1999), and a type VI secretion system remnant
SEN1001, encoding a hypothetical protein containing a lysin motif (LysM) domain
associated with peptidoglycan binding. Proliferation defects for mutants in these
individual genes and in exemplar genes for each of these clusters were confirmed
in competitive infections with wild-type S. Enteritidis. A DeltaSEN1001 mutant
was defective for survival within RAW264.7 murine macrophages in vitro.
Complementation assays directly linked the SEN1001 gene to phenotypes observed in
vivo and in vitro. The genes identified here may perform novel virulence
functions not characterized in previous Salmonella models.
PMID- 22083714
TI - Oral infection with signature-tagged Listeria monocytogenes reveals organ
specific growth and dissemination routes in guinea pigs.
AB - Listeria monocytogenes causes a serious food-borne disease due to its ability to
spread from the intestine to other organs, a process that is poorly understood.
In this study we used 20 signature-tagged wild-type clones of L. monocytogenes in
guinea pigs in combination with extensive quantitative data analysis to gain
insight into extraintestinal dissemination. We show that L. monocytogenes
colonized the liver in all asymptomatic animals. Spread to the liver occurred as
early as 4 h after ingestion via a direct pathway from the intestine to the
liver. This direct pathway contributed significantly to the bacterial load in the
liver and was followed by a second wave of dissemination via the mesenteric lymph
nodes (indirect pathway). Furthermore, bacteria were eliminated in the liver,
whereas small intestinal villi provided a niche for bacterial replication,
indicating organ-specific differences in net bacterial growth. Bacteria were shed
back from intestinal villi into the small intestinal lumen and reinfected the
Peyer's patches. Together, these results support a novel dissemination model
where L. monocytogenes replicates in intestinal villi, is shed into the lumen,
and reinfects intestinal immune cells that traffic to liver and mesenteric lymph
nodes, a process that occurs even during asymptomatic colonization.
PMID- 22083713
TI - The Neisseria meningitidis ZnuD zinc receptor contributes to interactions with
epithelial cells and supports heme utilization when expressed in Escherichia
coli.
AB - Neisseria meningitidis employs redundant heme acquisition mechanisms, including
TonB receptor-dependent and receptor-independent uptakes. The TonB-dependent zinc
receptor ZnuD shares significant sequence similarity to HumA, a heme receptor of
Moraxella catarrhalis, and contains conserved motifs found in many heme
utilization proteins. We present data showing that, when expressed in Escherichia
coli, ZnuD allowed heme capture on the cell surface and supported the heme
dependent growth of an E. coli hemA strain. Heme agarose captured ZnuD in
enriched outer membrane fractions, and this binding was inhibited by excess free
heme, supporting ZnuD's specific interaction with heme. However, no heme
utilization defect was detected in the meningococcal znuD mutant, likely due to
unknown redundant TonB-independent heme uptake mechanisms. Meningococcal
replication within epithelial cells requires a functional TonB, and we found that
both the znuD and tonB mutants were defective not only in survival within
epithelial cells but also in adherence to and invasion of epithelial cells.
Ectopic complementation rescued these phenotypes. Interestingly, while znuD
expression was repressed by Zur with zinc as a cofactor, it also was induced by
iron in a Zur-independent manner. A specific interaction of meningococcal Fur
protein with the znuD promoter was demonstrated by electrophoretic mobility shift
assay (EMSA). Thus, the meningococcal ZnuD receptor likely participates in both
zinc and heme acquisition, is regulated by both Zur and Fur, and is important for
meningococcal interaction with epithelial cells.
PMID- 22083715
TI - The Vsa shield of Mycoplasma pulmonis is antiphagocytic.
AB - The infection of mice with Mycoplasma pulmonis is a model for studying chronic
mycoplasmal respiratory disease. Many in vivo and in vitro studies have used the
organism to gain a better understanding of host-pathogen interactions in chronic
respiratory infection. The organism's Vsa proteins contain an extensive tandem
repeat region. The length of the tandem repeat unit varies from as few as 11
amino acids to as many as 19. The number of tandem repeats can be as high as 60.
The number of repeats varies at a high frequency due to slipped-strand mispairing
events that occur during DNA replication. When the number of repeats is high,
e.g., 40, the mycoplasma is resistant to lysis by complement but does not form a
robust biofilm. When the number of repeats is low, e.g., 5, the mycoplasma is
killed by complement when the cells are dispersed but has the capacity to form a
biofilm that resists complement. Here, we examine the role of the Vsa proteins in
the avoidance of phagocytosis and find that cells producing a protein with many
tandem repeats are relatively resistant to killing by macrophages. These results
may be pertinent to understanding the functions of similar proteins that have
extensive repeat regions in other microbes.
PMID- 22083716
TI - Fusion of HSA influences TNF-alpha neutralizing activity of shTNFRs.
AB - Soluble human tumor necrosis factor receptors (shTNFRI and shTNFRII) are
antagonists of tumor necrosis factor-alpha (TNF-alpha) and are under clinical
investigation as therapy for autoimmune diseases and transplant rejection.
However, shTNFRI and shTNFRII are limited for clinical usage because of their
short half-lives in vivo. Recombinant TNF-alpha receptors (infliximab and
etanercept) are used in treatment of rheumatoid arthritis and Crohn's disease but
are also being tested for a number of other autoimmune diseases. Human serum
albumin (HSA) has been used to construct long-acting fusion proteins. Here, we
report the effect of fusion of HSA with shTNFRI and with shTNFRII on shTNFR's
neutralizing activity against TNF-alpha. HSA fusion proteins were separately
expressed in Pichia pastoris. Purified recombinant shTNFRI-HSA, HSA-shTNFRI and
HSA-shTNFRII could block the cytolytic activity of TNF-alpha in L929 cells, and
the fusion at N-terminus of shTNFRI could result in larger degree of activity
decline than that at the C-terminus. Activity of three fusion proteins was much
weaker than etanercept, which demonstrated that fusion of HSA significantly
influenced TNF-alpha neutralizing activity of shTNFRs. Compared with Fc fragment,
HSA fusion technology may therefore not be an ideal strategy in development of
long-acting shTNFRs protein drugs.
PMID- 22083717
TI - Small RNA RyhB as a potential tool used for metabolic engineering in Escherichia
coli.
AB - Small RNA (RyhB) was overexpressed artificially using an arabinose-inducible
system in Escherichia coli and resulted in more succinate (7-fold) accumulation,
which suggested that RyhB had a strong effect on sdhCDAB genes. Acetate was also
increased indicating that RyhB had a comprehensive influence on glucose central
metabolism. RyhB might therefore be useful for metabolic engineering of E. coli.
PMID- 22083718
TI - Virus-binding activity of the truncated C subunit of porcine aminopeptidase N
expressed in Escherichia coli.
AB - Seven overlapping truncated forms of the C subunit of porcine aminopeptidase N
(pAPN-C) were expressed in Escherichia coli. By western blotting and ELISA test,
all recombinant proteins were recognized by the antibody against native porcine
aminopeptidase N. Recombinant proteins, rpAPN-C2 (aa 623-722) and rpAPN-C3 (aa
673-772), had the highest binding activity with swine transmissible
gastroenteritis virus among the truncated pAPN-C recombinant proteins. The
overlapping region (aa 673-722) between rpAPN-C2 and rpAPN-C3 is indicated to
play a key role in viral binding.
PMID- 22083719
TI - Ultrahigh field systems and applications at 7 T and beyond: progress, pitfalls,
and potential.
AB - About 150 researchers around the world convened at the Chateau Lake Louise on
February 20-23, 2011 to present and discuss the latest research in human and
animal imaging and spectroscopy at field strengths of 7 T or above (termed
ultrahigh field) at the third ISMRM-sponsored high field workshop. The clear
overall message from the workshop presentations and discussion is that ultrahigh
field imaging is gaining momentum with regard to new clinically relevant
findings, anatomic and functional MRI results, susceptibility contrast
advancements, solutions to high field-related image quality challenges, and to
generally push the limits of resolution and speed of high field imaging. This
meeting report is organized in a manner reflecting the meeting organization
itself, covering the seven sessions that were approximately titled: (1) high
field overview from head to body to spectroscopy; (2) susceptibility imaging; (3)
proffered session on susceptibility, ultrafast imaging, unique contrast at 7 T,
and angiography; (4) neuroscience applications; (5) proffered session on coils,
shimming, parallel imaging, diffusion tensor imaging, and MRI-PET fusion; (6)
high field animal imaging and spectroscopy, as well as a vendor overview, and (7)
Cutting edge technology at 7 T.
PMID- 22083720
TI - Sexuality in patients undergoing haematopoietic stem cell transplantation.
AB - McKee and Schover have suggested that sexuality is an aspect of intimacy that is
frequently compromised by cancer and its treatments. Cancer, both in terms of
diagnosis and treatments, may have a dramatic impact on both intimacy and
sexuality. There is a body of published research addressing sexual concerns among
patients with prostatic, testicular, breast, and rectal cancers. This issue seems
to be less well documented in patients who have undergone haematopoietic stem
cell transplantation (HSCT). In this review, we seek to elaborate different
points regarding sexuality and how it is affected in patients undergoing HSCT,
with the aim of identifying optimum solutions for such patients in confronting
such problems in the course of cancer treatment.
PMID- 22083721
TI - Effect of alternating magnetic field treatments on enzymatic parameters of
cellulase.
AB - BACKGROUND: Cellulase is an enzyme of the glycosyl hydrolase family that
catalyses the cleavage of beta-1,4 glycosidic bonds in cellulose. In this study
an alternating magnetic field was applied to evaluate cellulase activity using
carboxymethyl cellulose (CMC) as substrate. RESULTS: The maximum and minimum
activities of cellulase occurred when magnetic fields of 2.2 and 4.2 mT
respectively were applied for 20 min. Following these treatments, the enzymatic
parameters K(m) and V(m) were determined based on fitting to the Michaelis-Menten
equations. Generally, K(m) showed the opposite trend to V(m) under magnetic field
treatments. Treatment of enzyme/substrate solutions at 4.2 mT inhibited enzyme
activity whereas treatment at 2.2 mT promoted it. CONCLUSION: It appears that
treating enzyme/substrate solutions with different magnetic fields can inhibit or
promote enzyme activity. Further research is needed to determine how the magnetic
field influences the enzyme and substrate.
PMID- 22083722
TI - Atrial natriuretic peptides in Han Wistar, Sprague-Dawley and spontaneously
hypertensive rats.
AB - The atrial natriuretic peptide (ANP) and its precursor (N-terminal fragment of
atrial natriuretic peptide, NT-proANP) are natriuretic peptides released into the
circulation as a consequence of an acute atrial stretch. As for the brain
natriuretic peptide and its N-terminal fragment, the biological significance of
ANP and NT-proANP has been widely studied in humans, but the literature is
lacking information about the determination of these biomarkers in veterinary
medicine and, in particular, in the toxicological species used in preclinical
pharmaceutical drug development. This paper describes the evaluation of ANP and
NT-proANP levels in a healthy population of Han Wistar and Sprague-Dawley rats,
as well as in a rodent model of hypertension (Spontaneously Hypertensive rats).
Both biomarkers were measured by mean of two commercially available enzyme
immunoassays and serum levels were correlated with heart weight and
histopathological findings in the heart, with the aim of building an integrated
assessment of the significance of these biomarkers. Results obtained demonstrated
that NT-proANP and ANP can be accurately measured in the different rat strains,
with NT-proANP concentrations higher than those of ANP, as expected because of
its longer half-life. In addition, both correlated well with cardiac hypertrophy
evaluated by means of heart weight and histopathological examination. NT-proANP
and ANP represent reliable markers of cardiac hypertrophy in the rat.
PMID- 22083723
TI - Pharmaceutical interventions on prescription problems in a Danish pharmacy
setting.
AB - BACKGROUND: International studies regarding pharmacists' interventions towards
prescription problems produce highly variable results. The only peer-reviewed
study in a Danish setting estimated an intervention rate of 2.3 per 1,000
prescriptions. With the introduction of a new tool for registration, we
hypothesized that a better estimate could be obtained. OBJECTIVE: We aimed to
produce an up-to-date estimate of the extent and type of pharmacists'
interventions towards prescription problems in a Danish pharmacy setting SETTING:
The study was conducted at Copenhagen Sonderbro Pharmacy, a large urban 24-hour
pharmacy. METHOD: Data were collected prospectively through an electronic form.
All interventions were primarily classified as either clinical or administrative
in nature, and further classified in a number of pre-determined subcategories.
Furthermore, information about age, sex, time of day, the wording of the
prescription, the performed intervention, the person performing the intervention
and the type of prescriber were recorded. All entries were manually validated by
a study pharmacist. MAIN OUTCOME MEASURE: The intervention rate, given as the
number of interventions per 1,000 prescriptions. RESULTS: We found 599 validated
interventions. Thirty-two percent of the interventions were clinical and 68%
administrative by nature. Fifty-one percent of the administrative and 35% of the
clinical interventions were regarding antibiotics. In the study period, a total
of 55,522 prescriptions were filled out together with 3,069 dose-dispensing
packages, giving a rate of 10.2 (9.4-11.1) interventions per 1,000 prescriptions.
CONCLUSION: We found an intervention rate substantially higher than reported in
previous Danish studies.
PMID- 22083724
TI - Diabetes knowledge, medication adherence and glycemic control among patients with
type 2 diabetes.
AB - BACKGROUND: Most of interventions that have attempted to improve medication
adherence in type 2 diabetes have been educational; on the assumption that
knowledge regarding diabetes might affect patients' adherence to their treatment
regimen. OBJECTIVES: The purpose of the study was to investigate any association
of knowledge and medication adherence with glycemic control in patients with type
2 diabetes mellitus. Setting The study was conducted at the Diabetes Outpatients
Clinic, Hospital Pulau Penang. METHODS: A cross-sectional study was conducted
with a convenience sample of 540 adult patients with type 2 diabetes attending
the clinic. A questionnaire including previously validated Michigan Diabetes
Knowledge Test and Morisky Medication Adherence Scale was used and the patients'
medical records were reviewed for haemoglobin A1C (HbA1C) levels and other
disease-related information. A total of 35 (6.48%) patients were excluded after
data collection due to lack of HbA1C results. RESULTS: Five hundred and five
patients were included in the final analysis, with a mean age of 58.15 years (SD
= 9.16), 50.7% males and median HbA1C of 7.6 (IQR was 6.7-8.9). The median total
knowledge score was 7.0 (IQR was 5.0-10.0) while the median adherence score was
6.5 (IQR was 4.75-7.75). Significant correlations were found between the three
variables (HbA1C, knowledge and adherence). A significantly higher score for
knowledge and adherence (P < 0.05) was found in those patients with lower HbA1C.
Higher diabetes knowledge, higher medication adherence and using mono-therapy
were significant predictors of good glycemic control in the multivariate
analysis. CONCLUSION: Patients' knowledge about diabetes is associated with
better medication adherence and better glycemic control. In addition to other
factors affecting medication adherence and glycemic control, healthcare providers
should pay attention to knowledge about diabetes that the patients carry towards
medication adherence.
PMID- 22083725
TI - Octreotide-modified N-octyl-O, N-carboxymethyl chitosan micelles as potential
carriers for targeted antitumor drug delivery.
AB - Octreotide (OCT) was recently found to have high binding affinity to the positive
tumor cells of somatostatin receptors (SSTRs). In this study, octreotide-Phe
polyethylene glycol-stearic acid was first successfully synthesized and used as a
targeting molecule for N-octyl-O, N-carboxymethyl chitosan (OCC). Doxorubicin
(DOX) was loaded into OCT-modified OCC micelles (DOX-OCC-OCT). The drug-loaded
micelles obtained exhibited spherical shape, small particle sizes, and negative
zeta potentials. The cytotoxicity of DOX-OCC-OCT micelles against MCF-7 cells
(SSTRs expressing) was found to significantly increase with the increased amount
of OCT modification, whereas no significant difference was observed against WI-38
cells (no SSTRs expressing). Results of flow cytometry, fluorescence microscopy,
and confocal laser scanning microscopy confirmed that DOX-OCC-OCT micelles could
remarkably increase the uptake of DOX in MCF-7 cells. All the results indicated
that OCC-OCT micelles may be a promising intracellular targeting carrier for
efficient delivery of antitumor drugs into tumor cells.
PMID- 22083726
TI - Lentiviral-mediated RNAi knockdown yields a novel mouse model for studying Cyp2b
function.
AB - There are few in vivo knockout models available to study the function of Cyp2
members involved in the metabolism of endogenous and exogenous chemicals. These
models may help provide insight into the cytochrome P450s (CYPs) responsible for
the detoxification and activation of drugs, environmental toxicants, and
endobiotics. The aim of this work is to produce a potent Cyp2b-knockdown (KD)
mouse for subsequent study of Cyp2b function. We made a quintuple Cyp2b-KD mouse
using lentiviral-promoted short hairpin RNA (shRNA) homologous to all five murine
Cyp2b subfamily members (Cyp2b9, 2b10, 2b13, 2b19, and 2b23). The Cyp2b-KD mice
are viable, fertile, and without obvious gross abnormalities except for an
increase in liver weight. Expression of the three hepatic Cyp2b members, 2b9,
2b10, and 2b13, is significantly repressed as demonstrated by quantitative real
time PCR and Western blotting. The constitutive androstane receptor activator,
1,4-Bis[2-(3,5-dichloropyridyloxy)] benzene (TCPOBOP), was used to determine if
shRNA-mediated Cyp2b10 repression could be outcompeted by Cyp2b10 induction.
TCPOBOP-treated Cyp2b-KD mice show 80-90% less Cyp2b protein expression than
TCPOBOP-treated wild-type (WT) mice, demonstrating that Cyp induction does not
outcompete the repressive function of the shRNA. Untreated and TCPOBOP-treated
Cyp2b-KD mice are poor metabolizers of parathion compared with WT mice.
Furthermore, Cyp2b-KD mice are sensitive to parathion, an organophosphate
insecticide primarily metabolized by Cyp2b enzymes, when compared with WT mice.
In summary, we designed an shRNA construct that repressed the expression and
activity of multiple Cyp2b enzymes. We foresee that this novel Cyp2b-KD mouse
model will significantly improve our understanding of the role of Cyp2b enzymes
in chemical sensitivity and drug metabolism.
PMID- 22083727
TI - Understanding ciliated epithelia: the power of Xenopus.
AB - Ciliated epithelia are important in a wide variety of biological contexts where
they generate directed fluid flow. Here we address the fundamental advances in
understanding ciliated epithelia that have been achieved using Xenopus as a model
system. Xenopus embryos are covered with a ciliated epithelium that propels fluid
unidirectionally across their surface. The external nature of this tissue,
coupled with the molecular tools available in Xenopus and the ease of microscopic
analysis on intact animals has thrust Xenopus to the forefront of ciliated
epithelia biology. We discuss advances in understanding the molecular regulators
of ciliated epithelia cell fate as well as basic aspects of ciliated epithelia
cell biology including ciliogenesis and cell polarity.
PMID- 22083728
TI - De novo CNV analysis implicates specific abnormalities of postsynaptic signalling
complexes in the pathogenesis of schizophrenia.
AB - A small number of rare, recurrent genomic copy number variants (CNVs) are known
to substantially increase susceptibility to schizophrenia. As a consequence of
the low fecundity in people with schizophrenia and other neurodevelopmental
phenotypes to which these CNVs contribute, CNVs with large effects on risk are
likely to be rapidly removed from the population by natural selection.
Accordingly, such CNVs must frequently occur as recurrent de novo mutations. In a
sample of 662 schizophrenia proband-parent trios, we found that rare de novo CNV
mutations were significantly more frequent in cases (5.1% all cases, 5.5% family
history negative) compared with 2.2% among 2623 controls, confirming the
involvement of de novo CNVs in the pathogenesis of schizophrenia. Eight de novo
CNVs occurred at four known schizophrenia loci (3q29, 15q11.2, 15q13.3 and
16p11.2). De novo CNVs of known pathogenic significance in other genomic
disorders were also observed, including deletion at the TAR (thrombocytopenia
absent radius) region on 1q21.1 and duplication at the WBS (Williams-Beuren
syndrome) region at 7q11.23. Multiple de novos spanned genes encoding members of
the DLG (discs large) family of membrane-associated guanylate kinases (MAGUKs)
that are components of the postsynaptic density (PSD). Two de novos also affected
EHMT1, a histone methyl transferase known to directly regulate DLG family
members. Using a systems biology approach and merging novel CNV and proteomics
data sets, systematic analysis of synaptic protein complexes showed that,
compared with control CNVs, case de novos were significantly enriched for the PSD
proteome (P=1.72 * 10-6. This was largely explained by enrichment for members of
the N-methyl-D-aspartate receptor (NMDAR) (P=4.24 * 10-6) and neuronal activity
regulated cytoskeleton-associated protein (ARC) (P=3.78 * 10-8) postsynaptic
signalling complexes. In an analysis of 18 492 subjects (7907 cases and 10 585
controls), case CNVs were enriched for members of the NMDAR complex (P=0.0015)
but not ARC (P=0.14). Our data indicate that defects in NMDAR postsynaptic
signalling and, possibly, ARC complexes, which are known to be important in
synaptic plasticity and cognition, play a significant role in the pathogenesis of
schizophrenia.
PMID- 22083730
TI - Replication of association between a SLITRK1 haplotype and Tourette Syndrome in a
large sample of families.
PMID- 22083729
TI - Pharmacogenetics in psychiatry: translating research into clinical practice.
AB - Pharmacogenetic/pharmacogenomic (PGx) approaches to psychopharmacology aim to
identify clinically meaningful predictors of drug efficacy and/or side-effect
burden. To date, however, PGx studies in psychiatry have not yielded compelling
results, and clinical utilization of PGx testing in psychiatry is extremely
limited. In this review, the authors provide a brief overview on the status of
PGx studies in psychiatry, review the commercialization process for PGx tests and
then discuss methodological considerations that may enhance the potential for
clinically applicable PGx tests in psychiatry. The authors focus on design
considerations that include increased ascertainment of subjects in the earliest
phases of illness, discuss the advantages of drug-induced adverse events as
phenotypes for examination and emphasize the importance of maximizing adherence
to treatment in pharmacogenetic studies. Finally, the authors discuss unique
aspects of pharmacogenetic studies that may distinguish them from studies of
other complex traits. Taken together, these data provide insights into the design
and methodological considerations that may enhance the potential for clinical
utility of PGx studies.
PMID- 22083731
TI - Genetic modulation of neural response during working memory in healthy
individuals: interaction of glucocorticoid receptor and dopaminergic genes.
AB - Suboptimal performance in working memory (WM) tasks and inefficient prefrontal
cortex functioning are related to dysregulation of dopaminergic (DA) and
hypothalamic-pituitary-adrenal systems. The aim of the present study was to
investigate the joint effect of genetic polymorphisms coding for DA catabolism
and glucocorticoid receptor (GR, NR3C1) on brain functioning. The study group (90
right-handed white Caucasian healthy individuals) underwent functional magnetic
resonance imaging experiments to examine blood oxygenation level dependent (BOLD)
response during a WM task with varying cognitive load (1-, 2- and 3-back). We
have also examined skin conductance response (SCR) during the WM task and resting
state cerebral blood flow with continuous arterial spin labelling. The genetic
markers of interest included Catechol-O-Methyl-Transferase (COMT) (Met(158)Val)
and NR3C1 single-nucleotide polymorphisms (BclI C/G rs41423247, 9beta A/G rs6198
and rs1866388 A/G). Haplotype-based analyses showed (i) a significant effect of
COMT polymorphism on left anterior cingulate cortex, with greater deactivation in
Met carriers than in Val/Val homozygotes; (ii) a significant effect of BclI
polymorphism on right dorsolateral prefrontal cortex (DLPFC), with greater
activation in G/G carriers than in C carriers and (iii) an interactive effect of
BclI (G/G) and COMT (Met/Met) polymorphisms, which was associated with greater
activation in right DLPFC. These effects remained significant after controlling
for whole-brain resting-state blood flow. SCR amplitude was positively correlated
with right DLPFC activation during WM. This study demonstrated that GR and COMT
markers exert their separate, as well as interactive, effects on DLPFC function.
Epistasis of COMT and BclI minor alleles is associated with higher activation,
suggesting lower efficiency, of DLPFC during WM.
PMID- 22083732
TI - Synthesis of polyester nanoparticles in miniemulsion obtained by radical ring
opening of BMDO and their potential as biodegradable drug carriers.
AB - 5,6-Benzo-2-methylene-1,3-dioxepane (BMDO) is used to obtain degradable polymeric
nanoparticles via a statistical free-radical copolymerization with MMA and
styrene in direct miniemulsion. The nanoparticles are analyzed by means of IR,
NMR, DLS, SEM, and TEM. They show excellent cellular uptake and drug delivery
properties. The cellular uptake into HeLa cells of particles resulting from
copolymerization of BMDO with styrene is drastically enhanced compared to pure
polystyrene. As a model drug system, paclitaxel is incorporated in PBMDO
particles and its release and the effect on HeLa cells is studied and compared to
commercial drug formulations. It is found that a drug delivery system based on
PBMDO shows an excellent pharmacological effect.
PMID- 22083733
TI - In silico implementation of synthetic gene networks.
AB - Computational synthetic biology has borrowed methods, concepts, and techniques
from systems biology and electrical engineering. Features of tools for the
analysis of biochemical networks and the design of electric circuits have been
combined to develop new software, where Standard Biological Parts (physically
stored at the MIT Registry) have a mathematical description, based on mass action
or Hill kinetics, and can be assembled into genetic networks in a visual, "drag &
drop" fashion. Recent tools provide the user with databases, simulation
environments, formal languages, and even algorithms for circuit automatic design
to refine and speed up gene network construction. Moreover, advances in
automation of DNA assembly indicate that synthetic biology software soon will
drive the wet-lab implementation of DNA sequences.
PMID- 22083734
TI - Standardization in synthetic biology.
AB - Synthetic Biology is founded on the idea that complex biological systems are
built most effectively when the task is divided in abstracted layers and all
required components are readily available and well-described. This requires
interdisciplinary collaboration at several levels and a common understanding of
the functioning of each component. Standardization of the physical composition
and the description of each part is required as well as a controlled vocabulary
to aid design and ensure interoperability. Here, we describe standardization
initiatives from several disciplines, which can contribute to Synthetic Biology.
We provide examples of the concerted standardization efforts of the BioBricks
Foundation comprising the request for comments (RFC) and the Registry of
Standardized Biological parts as well as the international Genetically Engineered
Machine (iGEM) competition.
PMID- 22083735
TI - Robust optimal design of synthetic biological networks.
AB - In engineering, the use of mathematical modeling for design purposes has a long
history. Long before any technical realization, a system is planned, simulated,
and tested extensively on the computer. In biosciences, however, the application
of model-based design before going to the wet lab is still rather rare but has
particularly high potential in synthetic biology. We demonstrate exemplarily how
mathematical modeling and numerical optimization can be used for the design of a
circadian rhythm that is supposed to oscillate robustly with respect to
uncertainty in system parameters.
PMID- 22083736
TI - Predicting synthetic gene networks.
AB - Synthetic biology aims at designing and building new biological functions in
living organisms. The complexity of cellular regulation (regulatory, metabolic,
and signaling interactions, and their coordinated action) can be tackled via the
development of quantitative mathematical models. These models are useful to test
biological hypotheses and observations, and to predict the possible behaviors of
a synthetic network. Indeed, synthetic biology uses such models to design
synthetic networks, prior to their construction in the cell, to perform specific
tasks, or to change a biological process in a desired way. The synthetic network
is built by assembling biological "parts" taken from different systems; therefore
it is fundamental to identify, isolate, and test regulatory motifs which occur
frequently in biological pathways. In this chapter, we describe how to model and
predict the behavior of synthetic networks in two difference cases: (1) a
synthetic network composed of five genes regulating each other through a variety
of regulatory interactions in the yeast Saccharomyces cerevisiae (2) a synthetic
transcriptional positive feedback loop stably integrated in Human Embryonic
Kidney 293 cells (HEK293).
PMID- 22083737
TI - Reprogramming a GFP reporter gene subjects it to complex lentiviral gene
regulation.
AB - Late human immunodeficiency virus (HIV)-derived RNAs encoding relevant
therapeutic targets or promising vaccine compounds, such as the HIV-1 group
specific antigen (Gag), are translocated from the nucleus into the cytoplasm via
sophisticated export machinery. Relevant steps include the concerted action of
several cis-acting RNA elements with the viral Rev-shuttle protein and several
cellular components (Ran1/Exportin; Crm1). Based on detailed understanding of the
molecular mechanisms guiding this complex process, we used rational codon usage
modification to design and reprogram a GFP encoding reporter RNA now exactly
mimicking the complex transcriptional and posttranscriptional regulation of late
lentiviral mRNAs.
PMID- 22083738
TI - A high-throughput microfluidic method for generating and characterizing
transcription factor mutant libraries.
AB - Characterizing libraries of mutant proteins is a challenging task, but can lead
to detailed functional insights on a specific protein, and general insights for
families of proteins such as transcription factors. Challenges in mutant protein
screening consist in synthesizing the necessary expression-ready DNA constructs
and transforming them into a suitable host for protein expression. Protein
purification and characterization are also non-trivial tasks that are not easily
scalable to hundreds or thousands of protein variants. Here we describe a method
based on a high-throughput microfluidic platform to screen and characterize the
binding profile of hundreds of transcription factor variants. DNA constructs are
synthesized by a rapid two-step PCR approach without the need of cloning or
transformation steps. All transcription factor mutants are expressed on-chip
followed by characterization of their binding specificities against 64 different
DNA target sequences. The current microfluidic platform can synthesize and
characterize up to 2,400 protein-DNA pairs in parallel. The platform method is
also generally applicable, allowing high-throughput functional studies of
proteins.
PMID- 22083739
TI - Identifying and optimizing intracellular protein-protein interactions using
bacterial genetic selection.
AB - Protein-protein interactions are crucial for the vast majority of biological
processes. To fully understand these processes therefore requires methods for
identifying protein interactions within the complex cellular environment. To
isolate interacting proteins, we have developed a simple and reliable genetic
selection by exploiting the inbuilt "hitchhiker" mechanism of the Escherichia
coli twin-arginine translocation (Tat) pathway. This method is based on the
unique ability of the Tat system to efficiently co-localize noncovalent complexes
of two folded polypeptides to the periplasmic space of E. coli. The genetic
selection is comprised of two engineered fusion proteins: an N-terminal Tat
signal peptide fused to the protein of interest, and the known or putative
partner protein fused to mature TEM-1 beta-lactamase. The efficiency with which
co-localized beta-lactamase chimeras are exported in the periplasm, and thus
confer ampicillin resistance to cells, is directly linked to the relative binding
affinity of the protein-ligand system. Thus, ampicillin resistance can be used as
a convenient readout for identifying and optimizing protein interactions in E.
coli. Furthermore, because Tat substrates must be correctly folded for export,
our method favors the identification of soluble, non-aggregating, protease
resistant protein pairs. Overall, we anticipate that this new selection tool will
be useful for discovering and engineering protein drugs, protein complexes for
structural biology, factors that inhibit PPIs, and components for synthetic
biology.
PMID- 22083740
TI - Zinc-finger nucleases-based genome engineering to generate isogenic human cell
lines.
AB - Customized zinc-finger nucleases (ZFNs) have developed into a promising
technology to precisely alter mammalian genomes for biomedical research,
biotechnology, or human gene therapy. In the context of synthetic biology, the
targeted integration of a transgene or reporter cassette into a "neutral site" of
the human genome, such as the AAVS1 locus, permits the generation of isogenic
human cell lines with two major advantages over standard genetic manipulation
techniques: minimal integration site-dependent effects on the transgene and, vice
versa, no functional perturbation of the host-cell transcriptome. Here we
describe in detail how ZFNs can be employed to target integration of a transgene
cassette into the AAVS1 locus and how to characterize the targeted cells by PCR
based genotyping.
PMID- 22083741
TI - RNA-based networks: using RNA aptamers and ribozymes as synthetic genetic
devices.
AB - Within the last few years, a set of synthetic riboswitches has been engineered,
which expands the toolbox of genetic regulatory devices. Small molecule binding
aptamers have been used for the design of such riboswitches by insertion into
untranslated regions of mRNAs, exploiting the fact that upon ligand binding the
RNA structure interferes either with translation initiation or pre-mRNA splicing
in yeast. In combination with self-cleaving ribozymes, aptamers have been used to
modulate RNA stability. In this chapter, we discuss the applicability of
different aptamers, ways to identify novel genetic devices, the pros and cons of
various insertion sites and the application of allosteric ribozymes. Our
expertise help to apply synthetic riboswitches to engineer complex genetic
circuits.
PMID- 22083742
TI - MicroRNA circuits for transcriptional logic.
AB - One of the longstanding challenges in synthetic biology is rational design of
complex regulatory circuitry with multiple biological inputs, complex internal
processing, and physiologically active outputs. We have previously proposed how
to address this challenge in the case of transcription factor inputs. Here we
describe the methods used to construct these synthetic circuits, capable of
performing logic integration of transcription factor inputs using microRNA
expression vectors and RNA interference (RNAi). The circuits operate in mammalian
cells and they can serve as starting point for more complex synthetic information
processing networks in these cells.
PMID- 22083743
TI - Light-regulated gene expression in yeast.
AB - An important basic requirement of synthetic genetic networks is the option of
external control of gene expression. Although several chemically inducible
systems are available, all of these suffer from the common problem: the chemical
inducers are difficult to remove so that to terminate the response. We have
described a regulatory expression system for yeast, which employs light as
inducer. This light switch translates light-controlled protein-protein
interactions into the transcription of selected genes in a dose-dependent and
reversible manner.
PMID- 22083744
TI - Light-controlled gene switches in mammalian cells.
AB - Remote control of cells is a desirable feature in synthetic biology. We
established a light-switchable interfering peptide (iPEP) which controls gene
expression by modulating the activity of a transcription factor. For photo
switching, the iPEP is cross-linked with a cis-trans isomerizable cross-linker in
such a way that the light-activated cis form enables inhibitor folding rendering
it active, whereas the dark-adapted trans form forces the inhibitor into an
inactive form. Switching can be repeated in both directions. The iPEP acts as
dominant-negative inhibitor targeting c-Jun and c-Fos of the transcription factor
activator protein-1 (AP-1). Light-activated peptides exhibited much stronger
inhibition of AP-1:DNA complexes and interference with gene transcription than
their nonactivated counter parts. In this chapter, we provide protocols for cross
linking, peptide purification, observation of structural changes upon photo
switching, DNA binding analyses as well as gene expression studies in mammalian
cells.
PMID- 22083745
TI - Expressed protein modifications: making synthetic proteins.
AB - Techniques to manipulate cellular gene expression such that amino acid analogs
not encoded by the genetic code are incorporated into a polypeptide chain have
recently gained increasing interest. The so-called noncanonical amino acids often
have unusual properties that can be translated into target proteins by
reprogrammed ribosomal protein synthesis. Residue-specific substitution of a
specific canonical amino acid by its analogs provokes global effects in the
resulting protein congeners that include improved stability or catalytic
activity, reduced redox sensitivity, as well as altered spectral properties.
Thus, the approach holds great promise for the engineering of synthetic
proteins.This contribution describes a protocol for the incorporation of a
noncanonical amino acid into a target protein expressed in an appropriate amino
acid auxotrophic E. coli strain.
PMID- 22083746
TI - Using transcription machinery engineering to elicit complex cellular phenotypes.
AB - Cellular hosts are widely used for the production of chemical compounds,
including pharmaceutics, fuels, and specialty chemicals. However, common
metabolic engineering techniques are limited in their capacity to elicit
multigenic, complex phenotypes. These phenotypes can include non-pathway-based
traits, such as tolerance and productivity. Global transcription machinery
engineering (gTME) is a generic methodology for eliciting these complex cellular
phenotypes. In gTME, dominant mutant alleles of a transcription-related protein
are screened for their ability to reprogram cellular metabolism and regulation,
resulting in a unique and desired phenotype. gTME has been successfully applied
to both prokaryotic and eukaryotic systems, resulting in improved environmental
tolerances, metabolite production, and substrate utilization. The underlying
principle involves creating mutant libraries of transcription factors, screening
for a desired phenotype, and iterating the process in a directed evolution
fashion. The successes of this approach and details for its implementation and
application are described here.
PMID- 22083747
TI - Streamlining of a Pseudomonas putida genome using a combinatorial deletion method
based on minitransposon insertion and the Flp-FRT recombination system.
AB - Here, we document a technique to reduce the size of the genome of Pseudomonas
putida by using a combinatorial mini-Tn5-targeted Flp-FRT recombination system.
This method combines random insertions with the site-specific Flp-FRT
recombination system to generate successive random deletions in a single strain
in which parts of the genome are excised via the action of the cognate flippase.
For this purpose, we have generated two mini-Tn5 transposon mutant libraries with
single and double integrations of either mini-Tn5 KpF alone or mini-Tn5 KpF in
parallel with mini-Tn5 TF, respectively. These mini-Tn5 transposons carry
different selectable markers and each has an FRT (Flippase Recognition Target)
site. Mapping of the position of both mini-Tn5 transposons in the chromosome of
P. putida was conducted by Arbitrary Primed-PCR (AP-PCR). Subsequent sequencing
of the PCR fragments led to the identification of the coordinates of the
transposons and the orientation of both FRT sites. Under specific laboratory
conditions, both FRT sites were recognized by the flippase, and the deletion of a
nonessential intervening genomic segment along with the transposon backbones
occurred without inheritance of any marker genes.
PMID- 22083748
TI - Transposon-based and plasmid-based genetic tools for editing genomes of gram
negative bacteria.
AB - A good part of the contemporary synthetic biology agenda aims at reprogramming
microorganisms to enhance existing functions and/or perform new tasks. Moreover,
the functioning of complex regulatory networks, or even a single gene, is
revealed only when perturbations are entered in the corresponding dynamic systems
and the outcome monitored. These endeavors rely on the availability of genetic
tools to successfully modify a la carte the chromosome of target bacteria. Key
aspects to this end include the removal of undesired genomic segments, systems
for the production of directed mutants and allelic replacements, random mutant
libraries to discover new functions, and means to stably implant larger genetic
networks into the genome of specific hosts. The list of gram-negative species
that are appealing for such genetic refactoring operations is growingly
expanding. However, the repertoire of available molecular techniques to do so is
very limited beyond Escherichia coli. In this chapter, utilization of novel tools
is described (exemplified in two plasmids systems: pBAM1 and pEMG) tailored for
facilitating chromosomal engineering procedures in a wide variety of gram
negative microorganisms.
PMID- 22083749
TI - Synthetic networks: oscillators and toggle switches for Escherichia coli.
AB - Bacterial synthetic gene networks are constructed by manipulating the regulation
of genes inside a cell, with the purpose of eliciting novel regulatory behaviors.
The methods for manipulating genes and gene regulation in E. coli are well
established, making it the preferred host for basic studies of synthetic
networks. We focus our work on constructing two kinds of synthetic gene networks:
toggle switches (bistable systems) and oscillators. Toggle switches are capable
of exhibiting two stable steady states of gene expression (OFF and ON) without
stable intermediate states; the steady state reached by the system depends on the
previous history of the system. Biological oscillators exhibit regular cycles in
gene expression around an unstable steady state. Studying these two kinds of
synthetic networks helps advance our understanding of natural bistable systems
and oscillators, such as the circadian oscillators controlling gene expression in
many types of cells, and the genetic systems controlling the cell cycle and
differentiation in metazoans.
PMID- 22083750
TI - Studying microbial communities in biofilms.
AB - Most microorganisms in nature subsist as heterogeneous surface-associated
communities called biofilms. In biofilms members of one or more microbial species
live together for multiple generations, and this allows them to cooperate and co
adapt. The ability to reliably manipulate, characterize, and engineer microbial
biofilms will enable controlled studies of ecosystem dynamics and unprecedented
design opportunities for biological sensors and actuators. Biofilms can be grown
in the laboratory, and spatial structure, gene expression, and productivity
(total biomass accumulation) can be observed and quantified as a function of time
using confocal laser scanning microscopy. This chapter details the materials and
methods necessary to grow and study engineered microbial communities in biofilms.
PMID- 22083751
TI - Quantitative analysis of the spatiotemporal dynamics of a synthetic predator-prey
ecosystem.
AB - A major focus in synthetic biology is the rational design and implementation of
gene circuits to control dynamics of individual cells and, increasingly, cellular
populations. Population-level control is highlighted in recent studies which
attempt to design and implement synthetic ecosystems (or engineered microbial
consortia). On the one hand, these engineered systems may serve as a critical
technological foundation for practical applications. On the other hand, they may
serve as well-defined model systems to examine biological questions of broad
relevance. Here, using a synthetic predator-prey ecosystem as an example, we
illustrate the basic experimental techniques involved in system implementation
and characterization. By extension, these techniques are applicable to the
analysis of other microbial-based synthetic or natural ecosystems.
PMID- 22083752
TI - Drosophila S2 Schneider cells: a useful tool for rebuilding and redesigning
approaches in synthetic biology.
AB - Synthetic biology is an engineering approach to biology. A synthetic biologist
wants to describe biological molecules and their subdomains as well-defined parts
of a molecular machine. To achieve this goal, synthetic biologists rebuild
minimal functional biological systems from well-defined parts or they design new
molecules that do not exist in nature but have new and useful functions. In
short, these engineering approaches can be summarized as "rebuild, alter, and
understand." The Drosophila S2 Schneider cell is a useful tool for both
rebuilding and redesigning approaches. S2 cells are phagocytic cells that easily
take up large amounts of DNA from the cell culture. They, thus, have a high
cotransfection rate, allowing the coexpression of up to 12 different proteins. We
have developed a transient transfection protocol allowing the rapid and parallel
analysis of wild-type and altered forms of a biological system. This chapter
describes our methods to rebuild and better understand mammalian signaling
systems in the evolutionary distant environment of Drosophila S2 cells.
PMID- 22083753
TI - Synthetic gene networks in plant systems.
AB - Synthetic biology methods are routinely applied in the plant field as in other
eukaryotic model systems. Several synthetic components have been developed in
plants and an increasing number of studies report on the assembly into functional
synthetic genetic circuits. This chapter gives an overview of the existing plant
genetic networks and describes in detail the application of two systems for
inducible gene expression. The ethanol-inducible system relies on the ethanol
responsive interaction of the AlcA transcriptional activator and the AlcR
receptor resulting in the transcription of the gene of interest (GOI). In
comparison, the translational fusion of GOI and the glucocorticoid receptor (GR)
domain leads to the dexamethasone-dependent nuclear translocation of the GOI::GR
protein. This chapter contains detailed protocols for the application of both
systems in the model plants potato and Arabidopsis, respectively.
PMID- 22083755
TI - Synthetic gene networks as blueprint for smart hydrogels.
AB - The rapidly emerging ability to design and construct synthetic gene networks in
mammalian cells is based on the availability of mutually compatible genetic
switches that enable the time-dependent induction of transgene expression in
response to the dose of an externally applied stimulus. As these genetic switches
are inherently compatible with mammalian cell physiology, they are as well
predestined to control the functionality of cell-free synthetic devices within an
overall physiologic background. In this chapter, we describe how a genetic switch
that was originally designed for gene therapeutic studies can be applied in
materials science to design and construct a biohybrid hydrogel that can be used
to release a therapeutic growth factor in response to an externally applied
stimulus for controlling cell fate and function in a time- and space-resolved
manner.
PMID- 22083754
TI - Design and construction of synthetic gene networks in mammalian cells.
AB - Advances in the development of molecular tools for the inducible control of
transcription, translation, and protein degradation are the basis for the rapidly
emerging design and construction of synthetic gene networks in mammalian cells.In
this chapter, we describe such tools and how they can be integrated into a
synthetic gene network with desired functionality. The network design and
construction process is illustrated in the form of a detailed protocol for the
implementation of a logic NOR gate based on an inducible promoter combined with
an inducible protein degradation system.
PMID- 22083756
TI - Antibacterial potential of hGlyrichin encoded by a human gene.
AB - Emerging multidrug-resistant (MDR) bacteria are an enormous threat to human life
because of their resistance to currently available antibiotics. The genes
encoding antibacterial peptides have been studied extensively and are excellent
candidates for a new generation of antibiotic drugs to fight MDR bacteria. In
contrast to traditional antibiotics, antibacterial peptides, which do not cause
drug resistance, have an unparalleled advantage. However, because most
antibacterial peptides originate in species other than humans, the hetero
immunological rejection of antibacterial peptides is a key disadvantage that
limits their clinical application. In this study, we identify hGlyrichin as a
potential human antibacterial polypeptide. The hGlyrichin polypeptide kills a
variety of bacteria including the MDR bacteria methicillin-resistant
Staphylococcus aureus, MDR Pseudomonas aeruginosa, and MDR tubercle bacillus. A
19 amino acid peptide (pCM19) at positions 42-60 of hGlyrichin is crucial for its
antibacterial activity. The hGlyrichin polypeptide kills bacteria through the
destruction of the bacterial membrane. In addition, all peptides that are
homologous to hGlyrichin have antibacterial activity and can penetrate the
bacterial membrane. Importantly, hGlyrichin does not cause hemolytic side effects
in vitro or in vivo. Therefore, based on the virtues of hGlyrichin, i.e., the
absence of hetero-immunological rejection and hemolytic side effects and the
unambiguous efficacy of killing pathogenic MDR bacteria, we propose hGlyrichin as
a potential human antibacterial polypeptide.
PMID- 22083757
TI - Successful treatment of anaplastic meningioma metastatic to cervical lymph nodes.
AB - BACKGROUND: Cervical lymph node metastases of meningioma represent an important
diagnostic and treatment challenge. Not only has this entity been rarely
described, but successful treatment has never been reported in the literature.
METHODS AND RESULTS: This case report was conducted using reviews of the
literature. A 58-year-old man with a history of resected anaplastic meningioma
was referred for a right neck mass. Excisional biopsy revealed metastatic
meningioma, and a metastatic workup was negative. Modified radical neck
dissection showed positivity in 5 lymph nodes. The patient received adjuvant
intensity-modulated radiation therapy (IMRT), for a mean target dose of 60 Gray
(Gy). Two years after treatment, the patient was disease free. Eleven cases of
cervical lymph node metastasis of meningioma were identified in our literature
search, and none described successful treatment of this entity. CONCLUSION:
Cervical lymph node metastasis of anaplastic meningioma is potentially treatable
with surgical resection and IMRT, although further studies with long-term follow
up are necessary.
PMID- 22083758
TI - The influence of field strength on the apparent diffusion coefficient of 3He gas
in human lungs.
AB - The (3)He MR diffusion signal is sensitive to lung microstructure, but it is also
affected by the presence of background field inhomogeneities induced by the
magnetic susceptibility difference at the air-tissue interface. These
susceptibility-induced gradients, which are dependent on field strength, have
been assumed negligible in theoretical models used to extract airway morphometric
information from (3)He MR diffusion data at field strengths up to 4.7 T. In this
work, the effect of susceptibility gradients on (3)He apparent diffusion
coefficient is demonstrated with experiments in healthy volunteers at two B(0)
field strengths: 1.5 and 3 T. Apparent diffusion coefficient values obtained at 3
T were systematically larger than at 1.5 T, demonstrating that susceptibility
effects are statistically significant even at clinical field strengths (B(0) <= 3
T) and introduce biases in the estimates of airway dimensions (e.g., mean linear
intercept up to 17% larger at 3 T than 1.5 T). Susceptibility effects should be
taken into account in the development of theoretical models of lung (3)He MR
diffusion and considered when interpreting (3)He apparent diffusion coefficients
obtained at different B(0).
PMID- 22083759
TI - High-temperature dielectric response of ferroelectric relaxors.
AB - It has long been considered that polar nanoregions in relaxors form at Burns
temperature T(d) ~ 600K. High-temperature dielectric investigations of
Pb(Mg(1/3)Nb(2/3)) O(3) (PMN) single crystal, PMN-PbTiO(3) ceramics, and (Pb,La)
(Zr,Ti)O(3) ceramics reveal, however, that dielectric dispersion, detected around
600K, is due to the Maxwell-Wagner-type contributions of surface layers. The
intrinsic response was analyzed in terms of the universal scaling, taking into
account the asymptotic and the correction-to-scaling behavior, and the results
imply much higher T(d) or formation of polar nanoregions in a broad temperature
range. High values of the dielectric constant indicate, however, that polar order
already exists at the highest measured temperatures of 800K. The obtained
critical exponents indicate critical behavior associated with universality
classes typically found in spin glasses.
PMID- 22083760
TI - Terahertz dielectric response of ferroelectric Ba(x)Sr(1-x)TiO3 thin films.
AB - Terahertz time-domain spectroscopy has been used to investigate the dielectric
and optical properties of ferroelectric Ba(x)Sr(1-x)TiO(3) thin films for nominal
x-values of 0.4, 0.6, and 0.8 in the frequency range of 0.3 to 2.5 THz. The
ferroelectric thin films were deposited at approximately 700 nm thickness on
[001] MgO substrate by pulsed laser deposition. The measured complex dielectric
and optical constants were compared with the Cole-Cole relaxation model. The
results show that the Cole-Cole relaxation model fits well with the data
throughout the frequency range and the dielectric relaxation behavior of
ferroelectric Ba(x)Sr(1-x)TiO(3) thin films varies with the films compositions.
Among the compositions of Ba(x)Sr(1-x)TiO(3) films with different Ba/Sr ratios,
Ba(0.6)Sr(0.4)TiO(3) has the highest dielectric constants and the shortest
dielectric relaxation time.
PMID- 22083761
TI - 80-MHz intravascular ultrasound transducer using PMN-PT free-standing film.
AB - [Pb(Mg(1/3)Nb(2/3))O(3)](0.63)[PbTiO(3)](0.37) (PMN-PT) free-standing film of
comparable piezoelectric properties to bulk material with thickness of 30 MUm has
been fabricated using a modified precursor coating approach. At 1 kHz, the
dielectric permittivity and loss were 4364 and 0.033, respectively. The remnant
polarization and coercive field were 28 MUC/cm(2) and 18.43 kV/cm. The
electromechanical coupling coefficient k(t) was measured to be 0.55, which was
close to that of bulk PMN-PT single-crystal material. Based on this film, high
frequency (82 MHz) miniature ultrasonic transducers were fabricated with 65%
bandwidth and 23 dB insertion loss. Axial and lateral resolutions were determined
to be as high as 35 and 176 MUm. In vitro intravascular imaging on healthy rabbit
aorta was performed using the thin film transducers. In comparison with a 35-MHz
IVUS transducer, the 80-MHz transducer showed superior resolution and contrast
with satisfactory penetration depth. The imaging results suggest that PMN-PT free
standing thin film technology is a feasible and efficient way to fabricate very
high-frequency ultrasonic transducers.
PMID- 22083762
TI - Miniaturized acceleration sensors with in-plane polarized piezoelectric thin
films produced by micromachining.
AB - Miniaturized acceleration sensors employing piezoelectric thin films were
fabricated through batch micromachining with silicon and silicon-on-insulator
(SOI) wafers. The acceleration sensors comprised multiple suspension beams
supporting a central seismic mass. Ferroelectric (Pb,La)(Zr,Ti) O(3) (PLZT) thin
films were coated and in-plane polarized on the surfaces of the suspension beams
for realizing electromechanical conversion through the piezoelectric effect.
Interdigital electrodes were formed on the PLZT films and connected in parallel.
Finite element analyses were conducted for the stress and strain distributions,
providing guidance to the structural design, including optimizing electrode
positioning for collecting the electrical output constructively. Uniformity of
the beam thickness and sample consistency were significantly improved by using
SOI wafers instead of silicon wafers. The measurement results showed that all the
sensor samples had fundamental resonances of symmetric out-of-plane vibration
mode at frequencies in the range of 8 to 35 kHz, depending on the sample
dimensions. These sensors exhibited stable electrical outputs in response to
acceleration input, achieving a high signal-to-noise ratio without any external
amplifier or signal conditioning.
PMID- 22083763
TI - Oscillator frequency stability improvement by means of negative feedback.
AB - A novel, simple method is proposed to increase the frequency stability of an
oscillator. An additional negative feedback is used in combination with the
positive loop of the harmonic oscillator to decrease the phase sensitivity to
fluctuations of parameters other than the resonator. The main advantage of the
proposed correction approach is that it does not require expensive external
elements such as mixers or resonators. The validity of the method is
theoretically demonstrated on a Colpitts oscillator using the control system
theory approach and numerical simulations, and is experimentally verified with
phase noise measurements of an actual oscillator-mockup. It is shown that the
medium-term frequency stability can be easily improved by a factor of ten.
PMID- 22083764
TI - Assessment of viscous and elastic properties of sub-wavelength layered soft
tissues using shear wave spectroscopy: theoretical framework and in vitro
experimental validation.
AB - In elastography, quantitative imaging of soft tissue elastic properties is
provided by local shear wave speed estimation. Shear wave imaging in a
homogeneous medium thicker than the shear wavelength is eased by a simple
relationship between shear wave speed and local shear modulus. In thin layered
organs, the shear wave is guided and thus undergoes dispersive effects. This case
is encountered in medical applications such as elastography of skin layers,
corneas, or arterial walls. In this work, we proposed and validated shear wave
spectroscopy as a method for elastic modulus quantification in such layered
tissues. Shear wave dispersion curves in thin layers were obtained by finite
difference simulations and numerical solving of the boundary conditions. In
addition, an analytical approximation of the dispersion equation was derived from
the leaky Lamb wave theory. In vitro dispersion curves obtained from phantoms
were consistent with numerical studies (deviation <1.4%). The least-mean-squares
fitting of the dispersion curves enables a quantitative and accurate (error < 5%
of the transverse speed) assessment of the elasticity. Dispersion curves were
also found to be poorly influenced by shear viscosity. This phenomenon allows
independent recovery of the shear modulus and the viscosity, using, respectively,
the dispersion curve and the attenuation estimation along the propagation axis.
PMID- 22083765
TI - Dual-pulse frequency compounded superharmonic imaging.
AB - Tissue second-harmonic imaging is currently the default mode in commercial
diagnostic ultrasound systems. A new modality, superharmonic imaging (SHI),
combines the third through fifth harmonics originating from nonlinear wave
propagation through tissue. SHI could further improve the resolution and quality
of echographic images. The superharmonics have gaps between the harmonics because
the transducer has a limited bandwidth of about 70% to 80%. This causes ghost
reflection artifacts in the superharmonic echo image. In this work, a new dual
pulse frequency compounding (DPFC) method to eliminate these artifacts is
introduced. In the DPFC SHI method, each trace is constructed by summing two
firings with slightly different center frequencies. The feasibility of the method
was established using a single-element transducer. Its acoustic field was modeled
in KZK simulations and compared with the corresponding measurements obtained with
a hydrophone apparatus. Subsequently, the method was implemented on and optimized
for a setup consisting of an interleaved phased-array transducer (44 elements at
1 MHz and 44 elements at 3.7 MHz, optimized for echocardiography) and a
programmable ultrasound system. DPFC SHI effectively suppresses the ghost
reflection artifacts associated with imaging using multiple harmonics. Moreover,
compared with the single-pulse third harmonic, DPFC SHI improved the axial
resolution by 3.1 and 1.6 times at the -6-dB and -20-dB levels, respectively.
Hence, DPFC offers the possibility of generating harmonic images of a higher
quality at a cost of a moderate frame rate reduction.
PMID- 22083766
TI - Time-delay spectrometry measurement of magnitude and phase of hydrophone
response.
AB - A method based on time-delay spectrometry (TDS) was developed for measuring both
magnitude and phase response of a hydrophone. The method was tested on several
types of hydrophones used in medical ultrasound exposimetry over the range from 5
to 18 MHz. These included polyvinylidene fluoride (PVDF) spot-poled membrane,
needle, and capsule designs. One needle hydrophone was designed for high
intensity focused ultrasound (HIFU) applications. The average reproducibility
(after repositioning the hydrophone) of the phase measurement was 2.4 degrees .
The minimum-phase model, which implies that the phase response is equal to the
inverse Hilbert transform of the natural logarithm of the magnitude response, was
tested with TDS hydrophone data. Direct TDS-based measurements of hydrophone
phase responses agreed well with calculations based on the minimum-phase model,
with rms differences of 1.76 degrees (PVDF spot-poled membrane hydrophone), 3.10
degrees (PVDF capsule hydrophone), 3.43 degrees (PVDF needle hydrophone), and
3.36 degrees (ceramic needle hydrophone) over the range from 5 to 18 MHz.
Therefore, phase responses for several types of hydrophones may be inferred from
measurements of their magnitude responses. Calculation of phase response based on
magnitude response using the minimumphase model is a relatively simple and
practical alternative to direct measurement of phase.
PMID- 22083768
TI - A restoration framework for ultrasonic tissue characterization.
AB - Ultrasonic tissue characterization has become an area of intensive research. This
procedure generally relies on the analysis of the unprocessed echo signal.
Because the ultrasound echo is degraded by the non-ideal system point spread
function, a deconvolution step could be employed to provide an estimate of the
tissue response that could then be exploited for a more accurate
characterization. In medical ultrasound, deconvolution is commonly used to
increase diagnostic reliability of ultrasound images by improving their contrast
and resolution. Most successful algorithms address deconvolution in a maximum a
posteriori estimation framework; this typically leads to the solution of l(2)
norm or (1)-norm constrained optimization problems, depending on the choice of
the prior distribution. Although these techniques are sufficient to obtain
relevant image visual quality improvements, the obtained reflectivity estimates
are, however, not appropriate for classification purposes. In this context, we
introduce in this paper a maximum a posteriori deconvolution framework expressly
derived to improve tissue characterization. The algorithm overcomes limitations
associated with standard techniques by using a nonstandard prior model for the
tissue response. We present an evaluation of the algorithm performance using both
computer simulations and tissue-mimicking phantoms. These studies reveal
increased accuracy in the characterization of media with different properties. A
comparison with state-of-the-art Wiener and l(1)-norm deconvolution techniques
attests to the superiority of the proposed algorithm.
PMID- 22083767
TI - Lesion generation through ribs using histotripsy therapy without aberration
correction.
AB - This study investigates the feasibility of using high-intensity pulsed
therapeutic ultrasound, or histotripsy, to non-invasively generate lesions
through the ribs. Histotripsy therapy mechanically ablates tissue through the
generation of a cavitation bubble cloud, which occurs when the focal pressure
exceeds a certain threshold. We hypothesize that histotripsy can generate precise
lesions through the ribs without aberration correction if the main lobe retains
its shape and exceeds the cavitation initiation threshold and the secondary lobes
remain below the threshold. To test this hypothesis, a 750-kHz focused transducer
was used to generate lesions in tissue-mimicking phantoms with and without the
presence of rib aberrators. In all cases, 8000 pulses with 16 to 18 MPa peak
rarefactional pressure at a repetition frequency of 100 Hz were applied without
aberration correction. Despite the high secondary lobes introduced by the
aberrators, high-speed imaging showed that bubble clouds were generated
exclusively at the focus, resulting in well-confined lesions with comparable
dimensions. Collateral damage from secondary lobes was negligible, caused by
single bubbles that failed to form a cloud. These results support our hypothesis,
suggesting that histotripsy has a high tolerance for aberrated fields and can
generate confined focal lesions through rib obstacles without aberration
correction.
PMID- 22083770
TI - Analysis of interaction between two SAW modes in Pt grating on langasite cut (0
degrees , 138.5 degrees , 26.6 degrees ).
AB - The numerical technique based on a previously developed rational approximation of
harmonic admittance of a periodic grating was applied to analysis of SAW behavior
in platinum grating on langasite cut with Euler angles (0 degrees , 138.5 degrees
, 26.6 degrees ). The approximation is able to take into account interaction
between surface and bulk waves or between two SAW modes. SAW dispersion was
calculated at different values of electrode thickness varying between 1% and 4%
of wavelength. It was found that with increasing Pt thickness, SAW behavior in
the grating is strongly affected by interaction between two SAW modes propagating
in the same orientation. An additional stopband, which results from this
interaction, occurs at certain detuning from synchronous reflection condition and
can cause spurious resonances of the admittance function. Interaction between two
SAW modes is also responsible for anomalously slow growth of reflectivity with
increasing platinum thickness.
PMID- 22083769
TI - Use of smoothing splines for analysis of backscattered ultrasonic waveforms:
application to monitoring of steroid treatment of dystrophic mice.
AB - Duchenne muscular dystrophy (DMD) is an X-linked genetic disease characterized by
progressive weakness and wasting of skeletal and cardiac muscle; boys present
with weakness by the age of 5 years and, if left untreated, are unable to walk
without assistance by the age of 10 years. Therapy for DMD has been primarily
palliative, with oral steroids emerging as a first-line approach even though this
treatment has serious side-effects. Consequently, low-cost imaging technology
suitable for improved diagnosis and treatment monitoring of DMD would be of great
value, especially in remote and underserved areas. Previously, we reported use of
the logarithm of the signal energy, log [E(f)], and a new method for ultrasound
signal characterization using entropy, H(f), to monitor prednisolone treatment of
skeletal muscle in a dystrophin-deficient mouse model. Three groups were studied:
mdx mice treated with prednisolone, a control group of mdx mice treated with
saline, and a control group of wild-type mice treated with saline. It was found
that both log [E(f)] and H(f) were required to statistically differentiate the
three groups. In the current study, we show that preprocessing of the raw
ultrasound using optimal smoothing splines before computation of either log
[E(f)] or a rapidly computable variant of Hf, denoted I(f,infinity), permits
delineation of all three groups by either metric alone. This opens the way to the
ultimate goal of this study, which is identification and implementation of new
diagnostically sensitive algorithms on the new generation of low-cost hand-held
clinical ultrasonic imaging systems.
PMID- 22083771
TI - Revisited mode-expansion method for elastic strips.
AB - The mode-expansion method is widely applied in waveguide analysis. Particular
applications usually include only a few modes to obtain explicit analytical
results for simple waveguides. Here, we apply the method to the evaluation of the
dynamic properties of elastic strips; to obtain useful results for thin strips,
tens of plate modes must be involved in the expansion, representing the vibration
shape of the strip. Although this increases the numerical complexity of the
analysis, it is still easy for both numerical implementation and for physical
interpretation of results. The final result we seek in this paper is the matrix
of harmonic impedance of strips that provides dependence of displacements on both
upper and lower sides of a strip of rectangular cross-section on arbitrary
traction applied to these sides, where both the traction and displacements are
expressed in the spatial Fourier series (other sides of strips are assumed to be
stress-free). Detailed discussion of numerical issues is provided for isotropic
strips and example applications are presented for strips interacting with surface
waves or with other strips arranged in a "woodpile" super-lattice.
PMID- 22083772
TI - Maximization of the effective impulse delivered by a high-frequency/low-frequency
planetary drill tool.
AB - Ultrasonic tools are used for a variety of cutting applications in surgery and
the food industry, but when they are applied to harder materials, such as rock,
their cutting performance declines because of the low effective impulse delivered
by each vibration cycle. To overcome this problem, a technique known as high
frequency/low-frequency (or alternatively, ultrasonic/sonic) drilling is
employed. In this approach, an ultrasonic step-horn is used to deliver an impulse
to a free mass which subsequently moves toward a drilling bit, delivering the
impulse on contact. The free mass then rebounds to complete the cycle. The horn
has time between impacts to build significant vibration amplitude and thus
delivers a much larger impulse to the free mass than could be delivered if it
were applied directly to the target. To maximize the impulse delivered to the
target by the cutting bit, both the momentum transfer from the ultrasonic horn to
the free mass and the dynamics of the horn/free mass/cutting bit stack must be
optimized. This paper uses finite element techniques to optimize the ultrasonic
horns and numerical propagation of the stack dynamics to maximize the delivered
effective impulse, validated in both cases by extensive experimental analysis.
PMID- 22083773
TI - A cylindrical traveling wave ultrasonic motor using a circumferential composite
transducer.
AB - This paper intends to present and verify a new idea for constructing traveling
wave ultrasonic motors that may effectively avoid the drawbacks of conventional
traveling wave motors using bonded PZT plates as the exciting elements. In the
configuration of the motor's stator, a composite sandwich type transducer is used
to excite a traveling wave in a cylinder with two cantilevers as the coupling
bridges between the transducer and the cylinder. The design process of the stator
is described using the FEM modal analysis method, and the establishment of
traveling wave on the cylindrical stator was simulated by FEM transient analysis.
To verify the theoretical analysis results, a laser Doppler scanner was employed
to test the mode shapes of a prototype stator excited by the longitudinal and
bending vibrations respectively. Finally, to validate the design idea, a
prototype motor was fabricated and tested; the typical output features are no
load speed of 156 rpm and maximum torque of 0.75 N.m under exciting voltages of
70 V(rms) applied to excite the longitudinal vibration of the transducer and 200
V(rms) applied to excite the bending vibration.
PMID- 22083774
TI - Fast direct solution of 3-D scattering problems via nonuniform grid-based matrix
compression.
AB - A fast non-iterative algorithm for the solution of large 3-D acoustic scattering
problems is presented. The proposed approach can be used in conjunction with the
conventional boundary element discretization of the integral equations of
acoustic scattering. The algorithm involves domain decomposition and uses the
nonuniform grid (NG) approach for the initial compression of the interactions
between each subdomain and the rest of the scatterer. These interactions,
represented by the off-diagonal blocks of the boundary element method matrix, are
then further compressed while constructing sets of interacting and local basis
and testing functions. The compressed matrix is obtained by eliminating the local
degrees of freedom through the Schur's complement-based technique procedure
applied to the diagonal blocks. In the solution process, the interacting unknowns
are first determined by solving the compressed system equations. Subsequently,
the local degrees of freedom are determined for each subdomain. The proposed
technique effectively reduces the oversampling typically needed when using low
order discretization techniques and provides significant computational savings.
PMID- 22083775
TI - Membrane hydrophone phase characteristics through nonlinear acoustics
measurements.
AB - This work considers the need for both the amplitude and phase to fully
characterize polyvinylidene fluoride (PVDF) membrane hydrophones and presents a
comprehensive discussion of the nonlinear acoustic measurements utilized to
extract the phase information and the experimental results taken with two widely
used PVDF membrane hydrophones up to 100 MHz. A semi-empirical computer model
utilized the hyperbolic propagation operator to predict the nonlinear pressure
field and provide the complex frequency response of the corresponding source
transducer. The PVDF hydrophone phase characteristics, which were obtained
directly from the difference between the computer-modeled nonlinear field
simulation and the corresponding measured harmonic frequency phase values, agree
to within 10% with the phase predictions obtained from receive-transfer-function
simulations based on software modeling of the membrane's physical properties.
Cable loading effects and membrane hydrophone resonances were distinguished and
identified through a series of impedance measurements and receive transfer
function simulations on the hydrophones including their hard-wired coaxial
cables. The results obtained indicate that the PVDF membrane hydrophone's phase
versus frequency plot exhibits oscillations about a monotonically decreasing
line. The maxima and minima inflection point slopes occur at the membrane
thickness resonances and antiresonances, respectively. A cable resonance was seen
at 100 MHz for the hydrophone with a 1-m cable attached, but not seen for the
hydrophone with a shorter 0.65-m cable.
PMID- 22083776
TI - ZnO-based FBAR resonators with carbon nanotube electrodes.
AB - Film bulk acoustic resonator (FBAR) devices with carbon nanotube (CNT) electrodes
directly grown on a ZnO film by thermal chemical vapor deposition have been
fabricated. CNT electrodes possess a very low density and high acoustic
impedance, which reduces the intrinsic mass loading effect resulting from the
electrodes? weight and better confines the longitudinal acoustic standing waves
inside the resonator, in turn providing a resonator with a higher quality factor.
The influence of the CNTs on the frequency response of the FBAR devices was
studied by comparing two identical sets of devices; one set comprised FBARs
fabricated with chromium/ gold bilayer electrodes, and the second set comprised
FBARs fabricated with CNT electrodes. It was found that the CNTs had a
significant effect on attenuating traveling waves at the surface of the FBARs'
membranes because of their high elastic stiffness. Three-dimensional finite
element analysis of the devices fabricated was carried out, and the numerical
simulations were consistent with the experimental results obtained.
PMID- 22083777
TI - A hybrid method for calibrating acoustic arrays.
AB - A method to calibrate the elements of large arrays devoted to underwater
applications is presented. The goal is to measure the sensitivity and directivity
of the elements over their full bandwidth. The main constraint comes from the
bounded geometry of the experimental setups that limits the duration of the time
windows available for analyzing the received signals. Using a short wideband
pulse is detrimental to obtaining high signal-to-noise ratios. A classical method
for handling this problem is time-delay spectrometry (TDS), which is based on the
transmission of a linear frequency- modulated signal combined with a sliding
frequency filter. An alternative, hybrid method based on the transmission of a
sequence of time-frequency-limited signals is proposed. This hybrid method is
shown to provide the same spectral density as TDS in the frequency scanning, but
the filtering process is quite different. The transmitted signals are designed to
take advantage of the coherent sums of the received signals to track the time of
flight of the direct paths between the source and the elements. In addition, a
fitting process based on the calibration geometry of data acquisition enables the
boundaries of the interference-free time windows to be precisely delineated. An
example of the application is described.
PMID- 22083778
TI - Modeling of surface acoustic wave strain sensors using coupling-of-modes
analysis.
AB - SAW devices may be configured as strain sensors, providing passive, wireless
strain measurement in demanding conditions. A key consideration is the modeling
of the sensors, enabling different device designs to be considered. This paper
presents a simulation scheme using coupling-of-modes (COM) analysis which allows
both the frequency response of a SAW strain sensor and its bias sensitivity to be
evaluated. Example applications are presented to demonstrate the use of the
model.
PMID- 22083779
TI - Determination of mass density, dielectric, elastic, and piezoelectric constants
of bulk GaN crystal.
AB - Mass density, dielectric, elastic, and piezoelectric constants of bulk GaN
crystal were determined. Mass density was obtained from the measured ratio of
mass to volume of a cuboid. The dielectric constants were determined from the
measured capacitances of an interdigital transducer (IDT) deposited on a Z-cut
plate and from a parallel plate capacitor fabricated from this plate. The elastic
and piezoelectric constants were determined by comparing the measured and
calculated SAW velocities and electromechanical coupling coefficients on the Z-
and X-cut plates. The following new constants were obtained: mass density p =
5986 kg/m(3); relative dielectric constants (at constant strain S)
epsilon(S)(11)/epsilon(0) = 8.6 and epsilon(S)(11)/epsilon(0) = 10.5, where
epsilon(0) is a dielectric constant of free space; elastic constants (at constant
electric field E) C(E)(11) = 349.7, C(E)(12) = 128.1, C(E)(13) = 129.4, C(E)(33)
= 430.3, and C(E)(44) = 96.5 GPa; and piezoelectric constants e(33) = 0.84, e(31)
= -0.47, and e(15) = -0.41 C/m(2).
PMID- 22083780
TI - Deep-collapse operation of capacitive micromachined ultrasonic transducers.
AB - Capacitive micromachined ultrasonic transducers (CMUTs) have been introduced as a
promising technology for ultrasound imaging and therapeutic ultrasound
applications which require high transmitted pressures for increased penetration,
high signal-to-noise ratio, and fast heating. However, output power limitation of
CMUTs compared with piezoelectrics has been a major drawback. In this work, we
show that the output pressure of CMUTs can be significantly increased by deep
collapse operation, which utilizes an electrical pulse excitation much higher
than the collapse voltage. We extend the analyses made for CMUTs working in the
conventional (uncollapsed) region to the collapsed region and experimentally
verify the findings. The static deflection profile of a collapsed membrane is
calculated by an analytical approach within 0.6% error when compared with static,
electromechanical finite element method (FEM) simulations. The electrical and
mechanical restoring forces acting on a collapsed membrane are calculated. It is
demonstrated that the stored mechanical energy and the electrical energy increase
nonlinearly with increasing pulse amplitude if the membrane has a full-coverage
top electrode. Utilizing higher restoring and electrical forces in the deep
collapsed region, we measure 3.5 MPa peak-to-peak pressure centered at 6.8 MHz
with a 106% fractional bandwidth at the surface of the transducer with a collapse
voltage of 35 V, when the pulse amplitude is 160 V. The experimental results are
verified using transient FEM simulations.
PMID- 22083781
TI - Comparison of template-matching and singular-spectrum-analysis methods for
imaging implanted brachytherapy seeds.
AB - Brachytherapy using small implanted radioactive seeds is becoming an increasingly
popular method for treating prostate cancer, in which a radiation oncologist
implants seeds in the prostate transperineally under ultrasound guidance.
Dosimetry software determines the optimal placement of seeds for achieving the
prescribed dose based on ultrasonic determination of the gland boundaries.
However, because of prostate movement and distortion during the implantation
procedure, some seeds may not be placed in the desired locations; this causes the
delivered dose to differ from the prescribed dose. Current ultrasonic imaging
methods generally cannot depict the implanted seeds accurately. We are
investigating new ultrasonic imaging methods that show promise for enhancing the
visibility of seeds and thereby enabling real-time detection and correction of
seed-placement errors during the implantation procedure. Real-time correction of
seed-placement errors will improve the therapeutic radiation dose delivered to
target tissues. In this work, we compare the potential performance of a template
matching method and a previously published method based on singular spectrum
analysis for imaging seeds. In particular, we evaluated how changes in seed angle
and position relative to the ultrasound beam affect seed detection. The
conclusion of the present study is that singular spectrum analysis has better
sensitivity but template matching is more resistant to false positives; both
perform well enough to make seed detection clinically feasible over a relevant
range of angles and positions. Combining the information provided by the two
methods may further reduce ambiguities in determining where seeds are located.
PMID- 22083782
TI - Radially composite piezoelectric ceramic tubular transducer in radial vibration.
AB - The radially composite piezoelectric tubular transducer is studied. It is
composed of radially poled piezoelectric and a long metal tube. The electro
mechanical equivalent circuit of the radially poled piezoelectric and metal tube
in radial vibration is obtained. Based on the force and velocity boundary
conditions, the six-port electro-mechanical equivalent circuit for the composite
tubular transducer is given and the resonance/anti-resonance frequency equations
are obtained. The relationship between the resonance frequency and the dimensions
is analyzed. Numerically simulated results obtained by the finite element method
are compared with those from the analytical method. Composite piezoelectric
tubular transducers are designed and manufactured. The resonance/anti-resonance
frequencies are measured, and it is shown that the theoretical results are in
good agreement with the simulated and experimental results. It is expected that
radially composite piezoelectric tubular transducers can be used as high-power
ultrasonic radiators in ultrasonic applications, such as ultrasonic liquid
processing.
PMID- 22083783
TI - Limitations of meta-analyses.
PMID- 22083784
TI - The use of glass beads cultivation system to study the global effect of the ppk
gene inactivation in Streptomyces lividans.
AB - The glass beads cultivation system developed in our laboratory for physiological
studies of filamentous microorganisms supports differentiation and allows
complete recovery of bacterial colonies and their natural products from
cultivation plates. Here, we used this system to study the global effect of ppk
gene disruption in Streptomyces lividans. The ppk encoding the enzyme
polyphosphate kinase (P) catalyses the reversible polymerisation of gamma
phosphate of ATP to polyphosphates. The resulting are phosphate and energy stock
polymers. Because P activity impacts the overall energetic state of the cell, it
is also connected to secondary metabolite (e.g. antibiotic) biosynthesis. We
analysed the global effects of the disruption of this gene including its
influence on the production of pigmented antibiotics, on morphological
differentiation, on the levels of ATP and on the whole cytoplasmic protein
expression pattern of S. lividans. We observed that the S. lividans ppk mutant
produced antibiotics earlier and in greater amount than the wild-type (wt)
strain. On the other hand, we did not observe any obvious effect on colony
morphological development. In agreement with the function of Ppk, we detected
much lower levels of ATP in ppk- mutant than in the wt strain. Proteomic analysis
revealed that the genes that were influenced by ppk inactivation included enzymes
involved in carbon or nitrogen metabolism, phosphate transport and components of
the cell translational machinery. We showed that the synthesis of translation
elongation factor Tu is during sporulation much higher in ppk- mutant than in
wild-type strain.
PMID- 22083785
TI - Genetic and virulence characterization of Streptococcus suis type 2 isolates from
swine in the provinces of Zhejiang and Henan, China.
AB - This study was aimed to examine the genetic characteristics of 44 Streptococcus
suis type 2 (SS2) isolates and the virulence attributes of 23 representative
isolates. Multilocus sequence typing revealed five sequence types (ST1, ST7,
ST28, ST86, and ST162) with 19 isolates assigned to ST7 (43.2%), 14 to ST1
(31.8%), and 9 to ST28 (20.5%). PCR typing of the pilus gene clusters classified
the isolates into three types: A (72.7%), B (22.7%), and N (4.5%). All isolates
of pilus types A and N were assigned to the ST1 complex containing ST1, ST7, and
ST86, while those of type B belonged to the ST27 complex comprising ST28 and
ST162. Only two strains had the putative pathogenicity island 89-kb cluster (89K)
and were of type N. The type B strains had a significantly lower adhesion, were
more readily killed by macrophage, and had lower virulence to mice than those of
types A and N. We conclude that SS2 strains of both ST1 and ST27 complexes,
parallel to pilus types A and B, were prevalent in the pig populations in
Zhejiang Province, and ST7 and ST1 strains were the predominant genotypes in the
diseased pigs with pneumonia.
PMID- 22083786
TI - A survey on distribution and toxigenicity of Aspergillus flavus from indoor and
outdoor hospital environments.
AB - In the present study, genetic diversity and mycotoxin profiles of Aspergillus
flavus isolated from air (indoors and outdoors), levels (surfaces), and soils of
five hospitals in Southwest Iran were examined. From a total of 146 Aspergillus
colonies, 63 isolates were finally identified as A. flavus by a combination of
colony morphology, microscopic criteria, and mycotoxin profiles. No Aspergillus
parasiticus was isolated from examined samples. Chromatographic analyses of A.
flavus isolates cultured on yeast extract-sucrose broth by tip culture method
showed that approximately 10% and 45% of the isolates were able to produce
aflatoxin B(1) (AFB(1)) and cyclopiazonic acid (CPA), respectively. Around 40% of
the isolates produced sclerotia on Czapek-Dox agar. The isolates were classified
into four chemotypes based on the ability to produce AF and CPA that majority of
them (55.5%) belonged to chemotype IV comprising non-mycotoxigenic isolates.
Random amplified polymorphic DNA (RAPD) profiles generated by a combination of
four selected primers were used to assess genetic relatedness of 16 selected
toxigenic and non-toxigenic isolates. The resulting dendrogram demonstrated the
formation of two separate clusters for the A. flavus comprised both mycotoxigenic
and non-toxigenic isolates in a random distribution. The obtained results in this
study showed that RAPD profiling is a promising and efficient tool to determine
intra-specific genetic variation among A. flavus populations from hospital
environments. A. flavus isolates, either toxigenic or non-toxigenic, should be
considered as potential threats for hospitalized patients due to their obvious
role in the etiology of nosocomial aspergillosis.
PMID- 22083787
TI - Diagnostic properties of three conventional selective plating media for selection
of Bacillus cereus, B. thuringiensis and B. weihenstephanensis.
AB - The aim of this study was to assess the diagnostic properties of the two
selective plating media and a chromogenic medium for identification of Bacillus
cereus. The 324 isolates were B. cereus (37%), Bacillus weihenstephanensis (45%)
or Bacillus thuringiensis (18%), as identified by a new combination of
techniques. All isolates were growing on mannitol-egg yolk-polymyxin agar (MYP),
and they did not form acid from mannitol. However, a significant lower number of
B. thuringiensis isolates did not show lecithinase activity. All isolates were
also growing on polymyxin-egg yolk-mannitol-bromothymol blue agar (PEMBA);
however, 11% isolates indicated that they did produce acid from mannitol, and 15%
isolates did not show any lecithinase activity. Five of the isolates did not grow
at all on the chromogenic agar, and 14 of the growing isolates were beta
glucosidase negative. It is concluded that the two recommended selective plating
media MYP and PEMBA for detection of B. cereus group bacteria both have their
limitations for identification of some B. cereus, B. weihenstephanensis or B.
thuringiensis. However, MYP is preferable compared to PEMBA. The chromogenic
medium has its own advantages and limitations, and some of the limitations seem
to be solved by incubation at 30 degrees C instead of the recommended 37 degrees
C.
PMID- 22083788
TI - The role of ABC efflux pump, Rv1456c-Rv1457c-Rv1458c, from Mycobacterium
tuberculosis clinical isolates in China.
AB - Recently the ATP-binding cassette (ABC) efflux pumps have been proved to be a
major component of drug resistance in Mycobacterium tuberculosis. The objective
of this study was to investigate the expression profiles of Rv1456c-Rv1457c
Rv1458c efflux system in clinical isolates of M. tuberculosis and its involvement
in drug-resistance mechanisms. Significantly increased mRNA expression of
Rv1456c, Rv1457c, and Rv1458c appeared among the clinical isolates (P < 0.05),
which are resistant to at least one of the four first-line drugs including
rifampin, isoniazid, streptomycin, and ethambutol. In addition, overexpression of
this efflux system was more frequently found in multidrug-resistant and
extensively drug-resistant M. tuberculosis strains. Therefore, Rv1456c-Rv1457c
Rv1458c efflux pumps may play an important role in drug resistance of treatment
of M. tuberculosis. Further investigation of this gene may lead to the
development of countermeasures against M. tuberculosis drug resistance.
PMID- 22083789
TI - Mutational biosynthesis of neomycin analogs by a mutant of neomycin-producing
Streptomyces fradiae.
AB - Neomycin, produced by Streptomyces fradiae, has been widely used for the
treatment of bacterial infections in clinical and agricultural applications. In
this study, a neomycin nonproducing mutant of S. fradiae was obtained by gene
disruption technique for mutational biosynthesis. A crucial gene neoC (neo7)
which encodes 2-deoxystreptamine (2-DOS) synthases was disrupted. The mutant
could resume producing neomycin in the presence of 2-DOS. Salen derivatives of 2
DOS were synthesized and individually added to cultures of the mutant.
Antibacterial activity of the mutasynthesis products against Staphylococcus
aureus and four plant pathogenic bacteria (Pseudomonas solanacarum, Erwinia
carotovora, Xanthomonas oryzae, and Xanthomonas campestris) was detected
quantitatively by Oxford cup method. It is suggested that all 2-DOS derivatives
were incorporated by the mutant into new active neomycin analogs except for 2-DOS
derivative 2d ((1R,2r,3S,4R,6S)-4,6-bis((E)-3,5-di-tert-butyl-2
hydroxybenzylideneamino)cyclohexane-1,2,3-triol). Neomycin analogs produced by
feeding 2-DOS derivative 2a ((1R,2r,3S,4R,6S)-4,6-bis((E)-2
hydroxybenzylideneamino)cyclohexane-1,2,3-triol) to cultures of the mutant
displayed a similar antibacterial activity with neomycin produced by wild strain.
PMID- 22083790
TI - BioMart: driving a paradigm change in biological data management.
PMID- 22083791
TI - Effects of oligosaccharides on phase transition temperatures and rheological
characteristics of waxy rice starch dispersion.
AB - BACKGROUND: The creation of starch-based foods incorporated with functional
ingredients such as probiotics is of great current interest in the food industry.
This study aimed to investigate the effects of prebiotic oligosaccharides on the
phase transition temperatures and rheological characteristics of waxy rice starch
dispersions. Four oligosaccharides were applied to the rice starch dispersions:
chitooligosaccharides, fructooligosaccharides, isomaltooligosaccharides and
xylooligosaccharides. RESULTS: The addition of 125 g kg(-1) oligosaccharides
elevated the onset and peak temperatures for gelatinisation of 200-400 g kg(-1)
waxy rice starch dispersions. The temperature of the storage modulus (G') for
gelatinisation increased markedly on adding fructooligosaccharides to 200-300 g
kg(-1) waxy rice starch. For gelatinisation of 300 g kg(-1) rice starch
dispersion the effectiveness of the oligosaccharides in changing the above
parameters was as follows: chitooligosaccharides > fructooligosaccharides >
isomaltooligosaccharides > xylooligosaccharides. Moreover, their effectiveness
was dependent on the amylose content, as illustrated by comparing waxy and non
waxy rice starches (amylose contents 9-256 g kg(-1)). Importantly, the
logarithmic G'(95) change was linearly and negatively correlated with amylose
content. CONCLUSION: The results suggest that oligosaccharide-containing rice
starch dispersions may potentially be used for the formulation of oligosaccharide
containing starchy functional foods owing to the rheological changes of these
starch dispersions.
PMID- 22083792
TI - Forced degradation of therapeutic proteins.
AB - The scope of this paper is to review approaches used for forced degradation
(synonym, stress testing) of therapeutic proteins. Forced degradation studies
play a central role in the development of therapeutic proteins, for example, for
candidate selection, molecule characterization, formulation development, assay
development, and comparability studies. Typical stress methods are addressed
within this review, such as exposure to elevated temperatures, freeze-thawing,
mechanical stress, oxidation, light, as well as various materials and devices
used in the clinics during final administration. Stability testing is briefly
described as far as relevant to the discussion of forced degradation studies.
Whereas stability-testing requirements are defined in regulatory guidelines,
standard procedures for forced degradation of therapeutic proteins are largely
unavailable, except for photostability. Possible selection criteria to identify
appropriate stress conditions and recommendations for setting up forced
degradation studies for the different phases of development of therapeutic
proteins are presented.
PMID- 22083794
TI - Modification of poly(ether urethane) with fluorinated phosphorylcholine
polyurethane for improvement of the blood compatibility.
AB - In order to improve the blood compatibility, poly(ether urethane) (PEU) and
fluorinated phosphorylcholine polyurethane (P-HFPC) were used to prepare PU/P
HFPC blends by solution mixing. The hemocompatibility in vitro was evaluated with
protein adsorption and platelet-rich plasma (PRP) contact tests. It was found
that the amount of adsorbed protein on surface was decreased by 87%, and almost
no platelet adhesion and activation was observed on the surface of blends when P
HFPC content was above 5 wt %. After adding P-HFPC, the blends basically kept
favorable mechanical properties of PEU though the content of P-HFPC rises to 20
wt %. To better understand the relationship between structure and properties, the
phase structure and surface property of the blend films were further investigated
via differential scanning calorimetry, dynamic mechanical analysis, atomic force
microscopy, X-ray photoelectron spectroscopy, and contact angle measurements. The
results indicated that the fluorinated phosphorylcholine units could be easily
enriched on the surface of blend films due to the phase separation between the
PEU and P-HFPC. Therefore, ordinary poly(ether urethane)s can obtain both
satisfactory blood compatibility and good mechanical properties just by blending
with small amount of P-HFPC.
PMID- 22083793
TI - Shadow enhancers: frequently asked questions about distributed cis-regulatory
information and enhancer redundancy.
AB - This paper, in the form of a frequently asked questions page (FAQ), addresses
outstanding questions about "shadow enhancers", quasi-redundant cis-regulatory
elements, and their proposed roles in transcriptional control. Questions include:
What exactly are shadow enhancers? How many genes have
shadow/redundant/distributed enhancers? How redundant are these elements? What is
the function of distributed enhancers? How modular are enhancers? Is it useful to
study a single enhancer in isolation? In addition, a revised definition of
"shadow enhancers" is proposed, and possible mechanisms of shadow enhancer
function and evolution are discussed.
PMID- 22083795
TI - Development of a biodegradable, temperature-sensitive dextran-based polymer as a
cell-detaching substrate.
AB - A biodegradable, temperature-sensitive dextran-allyl isocyanate-ethylamine
(TSDAIE) as a nonenzymatic cell detachment polymeric substrate for human
endothelial progenitor cells (EPCs) is developed and examined. The lower critical
solution temperature of TSDAIE is determined; its phase transition occurrs at 18
to 22 degrees C. For EPC culture, cell culture flasks are coated with TSDAIE and
type I collagen. The TSDAIE coating enables EPC detachment when the culture is
cooled to 4 degrees C. The concentration of TSDAIE affects EPC attachment, which
is thereby used to optimize the concentration of TSDAIE for coating. At the
determined optimal concentration, TSDAIE is found to be compatible for use in EPC
culture as revealed by cell attachment, spreading, proliferation, and phenotype.
Overall, biodegradable TSDAIE shows promise for applications that culture and
expand EPCs including vascular regenerative medicine and tissue engineering.
PMID- 22083796
TI - Symptoms and signs of posterior circulation ischemia in the new England medical
center posterior circulation registry.
AB - OBJECTIVE: To evaluate the frequencies of symptoms and signs in patients with
posterior circulation ischemia in a large case series of prospectively collected
patients. DESIGN: Case series. SETTING: Outpatient and inpatient setting at the
New England Medical Center, a tertiary care referral center in Boston,
Massachusetts. PATIENTS: Consecutive sample of 407 adult patients who had stroke
and/or transient ischemic attacks in the posterior circulation within 6 months of
study inclusion. All patients were examined by senior stroke neurologists. All
patients had either computed tomography or magnetic resonance imaging of the
brain as well as vascular imaging of the head and neck. The study included 256
men (63%) and 151 women (37%). MAIN OUTCOME MEASURES: Frequencies of posterior
circulation ischemic symptoms and signs. These outcome measures were planned
before data collection began. Correlations between symptoms and signs with
separate vascular territories of the posterior circulation were then analyzed.
RESULTS: The most frequent posterior circulation symptoms were dizziness (47%),
unilateral limb weakness (41%), dysarthria (31%), headache (28%), and nausea or
vomiting (27%). The most frequent signs were unilateral limb weakness (38%), gait
ataxia (31%), unilateral limb ataxia (30%), dysarthria (28%), and nystagmus
(24%). Logistic regression analysis reveals that the clinical features dysphagia
(P = .004; 95% CI, 1.8-24.4), nausea or vomiting (P = .002; 95% CI, 1.6-8.2),
dizziness (P = .047; 95% CI, 1.0-5.4), and Horner syndrome (P = .001; 95% CI, 2.4
26.6) were positively correlated with the proximal vascular territory. Unilateral
limb weakness (P = .001; 95% CI, 1.7-8.7) and cranial nerve VII deficits (P =
.02; 95% CI, 1.1-5.3) were positively correlated with the middle territory. Limb
sensory deficit (P = .001; 95% CI, 1.8-7.8), lethargy (P = .001; 95% CI, 2.3
12.4), and visual field loss (P = .001; 95% CI, 5.3-23.9) were positively
correlated with the distal territory. CONCLUSIONS: We report the most frequent
symptoms and signs in the largest published registry, the New England Medical
Center Posterior Circulation Registry, of patients with posterior circulation
ischemia who had complete neurological examinations and extensive cerebrovascular
imaging. Knowledge of the vascular territory involved aids in the diagnosis of
the causative vascular lesion and stroke mechanism.
PMID- 22083797
TI - Clinical significance of rare copy number variations in epilepsy: a case-control
survey using microarray-based comparative genomic hybridization.
AB - OBJECTIVE: To perform an extensive search for genomic rearrangements by
microarray-based comparative genomic hybridization in patients with epilepsy.
DESIGN: Prospective cohort study. SETTING: Epilepsy centers in Italy. PATIENTS:
Two hundred seventy-nine patients with unexplained epilepsy, 265 individuals with
nonsyndromic mental retardation but no epilepsy, and 246 healthy control subjects
were screened by microarray-based comparative genomic hybridization. MAIN OUTCOME
MEASURES: Identification of copy number variations (CNVs) and gene enrichment.
RESULTS: Rare CNVs occurred in 26 patients (9.3%) and 16 healthy control subjects
(6.5%) (P = .26). The CNVs identified in patients were larger (P = .03) and
showed higher gene content (P = .02) than those in control subjects. The CNVs
larger than 1 megabase (P = .002) and including more than 10 genes (P = .005)
occurred more frequently in patients than in control subjects. Nine patients
(34.6%) among those harboring rare CNVs showed rearrangements associated with
emerging microdeletion or microduplication syndromes. Mental retardation and
neuropsychiatric features were associated with rare CNVs (P = .004), whereas
epilepsy type was not. The CNV rate in patients with epilepsy and mental
retardation or neuropsychiatric features is not different from that observed in
patients with mental retardation only. Moreover, significant enrichment of genes
involved in ion transport was observed within CNVs identified in patients with
epilepsy. CONCLUSIONS: Patients with epilepsy show a significantly increased
burden of large, rare, gene-rich CNVs, particularly when associated with mental
retardation and neuropsychiatric features. The limited overlap between CNVs
observed in the epilepsy group and those observed in the group with mental
retardation only as well as the involvement of specific (ion channel) genes
indicate a specific association between the identified CNVs and epilepsy.
Screening for CNVs should be performed for diagnostic purposes preferentially in
patients with epilepsy and mental retardation or neuropsychiatric features.
PMID- 22083798
TI - The evaluation of distal symmetric polyneuropathy: a physician survey of clinical
practice.
AB - OBJECTIVE: To define current clinical practice for evaluating distal symmetric
polyneuropathy. DESIGN: Using a modified Dillman method, we sent surveys to 600
internists, 600 neurologists, and 45 neuromuscular specialists selected from the
American Medical Association Physician Masterfile. Survey questions pertained to
which tests providers would order in the following 3 scenarios: (1) the initial
evaluation of distal symmetric polyneuropathy, (2) the use of additional tests if
the initial evaluation was unrevealing, and (3) patients with diabetes. The t
test was used to compare the number of tests ordered by physician type, and the
chi(2) test was used to compare proportions of tests ordered. SETTING: National
survey of physicians. PARTICIPANTS: Internists, neurologists, and neuromuscular
specialists. RESULTS: The response rate was 35%. Overall, many tests were ordered
for the full evaluation of distal symmetric polyneuropathy (mean [SD], 16.5 [7.2]
tests), and there was substantial variation within and between provider types.
Internists ordered fewer tests (mean [SD], 14.5 [6.1] tests) than did
neurologists (mean [SD], 17.5 [7.9] tests) (P < .001). Regarding the glucose
tolerance test, substantial differences were found between physician types, with
neurologists and neuromuscular specialists ordering this test more frequently
(28.6% and 72.3%, respectively) and internists ordering it less frequently
(4.1%). A brain and/or spine magnetic resonance imaging scan was ordered by 19.8%
of internists and 12.9% of neurologists. CONCLUSIONS: From the supporting
evidence, current practice intent on evaluating distal symmetric polyneuropathy
is highly variable and differs widely. For this disorder of the peripheral
nerves, a high-yield test such as the glucose tolerance test is rarely used,
whereas magnetic resonance imaging is likely overused. Research that defines the
optimal evaluation of distal symmetric polyneuropathy has the potential to result
in more efficient care.
PMID- 22083799
TI - Low serum vitamin D levels and recurrent inflammatory spinal cord disease.
AB - BACKGROUND: Low 25-hydroxyvitamin D levels have been associated with a higher
risk of developing multiple sclerosis and increased relapse rates in patients
with multiple sclerosis. As a sterol hormone involved in multiple immunologic
pathways, vitamin D may play a role in preventing monophasic immune-mediated
central nervous system attacks from developing into recurrent disease. OBJECTIVE:
To investigate the association between low serum vitamin D levels and recurrent
spinal cord disease. DESIGN, SETTING, AND PATIENTS: We performed a retrospective
analysis at Johns Hopkins Transverse Myelitis Center, Baltimore, Maryland,
evaluating 25-hydroxyvitamin D levels in 77 patients with monophasic and
recurrent inflammatory diseases of the spinal cord. MAIN OUTCOME MEASURE: Levels
of 25-hydroxyvitamin D. RESULTS: Vitamin D levels are significantly lower in
patients who developed recurrent spinal cord disease, adjusting for season, age,
sex, and race. CONCLUSIONS: This study provides a basis for a prospective trial
of measuring 25-hydroxyvitamin D levels in these patient populations and
assessing the influence of vitamin D supplementation on the frequency of relapses
in those with recurrent inflammatory spinal cord disease.
PMID- 22083800
TI - The evolution of academic neurology: new information will bring new meaning.
AB - We are on the cusp of what promises to be an era of unprecedented progress in
neurology. Even with current fiscal constraints and serious concerns about how
health care will be organized and financed, in the next 2 decades progress in
neurology and neurological science will create important new insights into
understanding the brain as we decipher its disorders and discover and apply
effective treatments.
PMID- 22083801
TI - Classification of cause of motor weakness in traumatic brain injury using
diffusion tensor imaging.
AB - BACKGROUND: Many studies have attempted to elucidate the causes of motor weakness
in patients with traumatic brain injury (TBI). Most of these studies have focused
on the specific cause of motor weakness. However, little is known about the
classification and elucidation of the causes of motor weakness in consecutive
patients with TBI. OBJECTIVE: To attempt to classify with diffusion tensor
imaging the causes of motor weakness in patients with TBI by conducting an
analysis of the injury mechanism of the corticospinal tract (CST). DESIGN:
Retrospective study. SETTING: Rehabilitation department of a university hospital.
Patients We recruited 41 consecutive patients who showed motor weakness among
patients with TBI admitted for rehabilitation. MAIN OUTCOME MEASURES: We
classified the causes of weakness according to the injury mechanism of the CST on
diffusion tensor imaging. RESULTS: Injury mechanisms of the CST were classified
as follows, in order: diffuse axonal injury, 24 patients (58.5%); traumatic
intracerebral hemorrhage, 9 patients (21.9%); transtentorial herniation, 6
patients (14.6%); and focal cortical contusion, 4 patients (9.8%). In patients
with diffuse axonal injury, the mean number of lesions composing CST injury was
3.6 (range, 2-6) and CST injury locations were as follows: the pons (61%), the
cerebral peduncle (50%), the medulla (40%), the posterior limb of the internal
capsule (17%), and the corona radiata (13%). CONCLUSION: We found that diffusion
tensor imaging was useful in elucidation and classification of the causes of
motor weakness resulting from CST injury in patients with TBI.
PMID- 22083802
TI - Metastases to the oral region from pleural mesothelioma: Clinicopathologic
review.
AB - BACKGROUND: Malignant mesothelioma is a rare neoplasm that usually develops after
exposure to asbestos and particularly involves the pleural cavity. It has a poor
prognosis with aggressive local invasion and metastatic spread. METHODS: The
literature relating to malignant mesothelioma metastatic to the oral region was
reviewed. RESULTS: In all, 14 cases of malignant mesothelioma metastatic to the
oral cavity were found. All were from pleural mesotheliomas, the tongue was the
most common site of metastasis (8/14), and most metastases (9/13) were of the
epithelioid type. The newly reported case is only the second report of a
mesothelioma metastasizing to the buccal mucosa. It showed strong
immunopositivity for keratin markers, vimentin, calretinin, and Wilms tumor
product-1. CONCLUSIONS: The incidence of mesothelioma is predicted to continue to
increase for at least another decade. Clinicians and pathologists should be aware
of this lesion and its propensity to metastasize to the oral cavity.
PMID- 22083803
TI - Catechol-O-methyltransferase Val158Met and the risk of dyskinesias in Parkinson's
disease.
AB - BACKGROUND: The A-allele of the catechol-O-methyltransferase (COMT) Val158Met
polymorphism is associated with decreased enzymatic activity and higher dopamine
availability. METHODS: We studied 219 patients with PD who were free of
dyskinesias at baseline and underwent thorough annual examinations. RESULTS: The
A-allele of the COMT Val158Met polymorphism was related to an increased risk of
developing dyskinesias during follow-up, in a dose-dependent manner (adjusted
hazard ratios for the AG and AA genotypes [compared to GG]: 2.09 [95% confidence
interval (CI), 1.07-4.06] and 2.81 [CI, 1.43-5.54], respectively). CONCLUSIONS:
This finding suggests that genetic factors may affect susceptibility to
dyskinesias in PD.
PMID- 22083804
TI - Comparative activity and mechanism of action of three types of bovine
antimicrobial peptides against pathogenic Prototheca spp.
AB - The yeast-like algae of the genus Prototheca are ubiquitous saprophytes causing
infections in immunocompromised patients and granulomatous mastitis in cattle.
Few available therapies and the rapid spread of resistant strains worldwide
support the need for novel drugs against protothecosis. Host defence
antimicrobial peptides inactivate a wide array of pathogens and are a rich source
of leads, with the advantage of being largely unaffected by microbial resistance
mechanisms. Three structurally diverse bovine peptides [BMAP-28, Bac5 and lingual
antimicrobial peptide (LAP)] have thus been tested for their capacity to
inactivate Prototheca spp. In minimum inhibitory concentration (MIC) assays, they
were all effective in the micromolar range against clinical mastitis isolates as
well as a Prototheca wickerhamii reference strain. BMAP-28 sterilized Prototheca
cultures within 30-60 min at its MIC, induced cell permeabilization with near
100% release of cellular adenosine triphosphate and resulted in extensive surface
blebbing and release of intracellular material as observed by scanning electron
microscopy. Bac5 and LAP inactivated Prototheca following 3-6 h incubation at
fourfold their MIC and did not result in detectable surface damage despite 70-90%
killing, suggesting they act via non-lytic mechanisms. In circular dichroism
studies, the conformation of BMAP-28, but not that of Bac5 or LAP, was affected
by interaction with liposomes mimicking algal membranes. Our results indicate
that BMAP-28, Bac5 and LAP kill Prototheca with distinct potencies, killing
kinetics, and modes of action and may be appropriate for protothecal mastitis
treatment. In addition, the ability of Bac5 and LAP to act via non-lytic
mechanisms may be exploited for the development of target-selective drugs.
PMID- 22083810
TI - MG132 treatment during oocyte maturation improves embryonic development after
somatic cell nuclear transfer and alters oocyte and embryo transcript abundance
in pigs.
AB - The objective of this study was to examine the effect of treating pig oocytes
during in vitro maturation (IVM) with a proteasome inhibitor, MG132, on oocyte
maturation and embryonic development. In one series of experiments, oocytes from
medium-sized follicles (3-8 mm in diameter) were untreated (MCO) or treated with
MG132 during 0-22 hr (M0-22) or 30-42 hr (M30-42) of IVM. There was no
significant effect of MG132 on nuclear maturation or cytoplasmic maturation (as
assessed by intracellular amounts of glutathione and p34cdc2 kinase activity).
Blastocyst formation after parthenogenetic activation (PA) and somatic cell
nuclear transfer (SCNT), however, was increased for M30-42 (65.2% and 27.7% for
PA and SCNT, respectively) compared to MCO (42.6% and 13.6%, respectively) and M0
22 (45.3% and 19.5%, respectively; P<0.05). Expression of PCNA and ERK2 was
increased in M30-42 for IVM oocytes while transcript abundance for POUF51, DNMT1,
FGFR2, and PCNA was increased in M30-42 for 4-cell SCNT embryos. When oocytes
derived from small follicles (<3 mm in diameter) were untreated (SCO) or treated
with MG132 during 0-22 hr (S0-22), 30-42 hr (S30-42) of IVM, or 0-22 and 30-42 hr
of IVM (S0-22/30-42), expression of POU5F1, DNMT1, FGFR2, and PCNA and blastocyst
formation were increased for SCNT embryos derived from S30 to 42 (16.5%) and S0
22/30-42 oocytes (20.8%) as compared to embryos from SCO (8.7%) or S0-22 oocytes
(8.8%; P<0.05). Results demonstrate that treatment of oocytes with MG132 during
the later stage of IVM improves embryonic development and alters gene expression
in pigs.
PMID- 22083811
TI - Concentration-dependent displacement of cholesterol in micelles by hydrophobic
rice bran protein hydrolysates.
AB - BACKGROUND: Rice bran, containing about 100-150 g kg(-1) protein, is a by-product
of rice milling that has only become an available ingredient in recent years
owing to the centralisation of rice milling. Rice bran, but not its protein
fraction or hydrolysates, has been shown to have a hypocholesterolaemic effect.
Peptides from soy, milk and other foods have been proposed to have
hypocholesterolaemic effects based on their ability to lower cholesterol
solubility in bile acid/phosphotidyl choline micelles. RESULTS: Rice bran protein
hydrolysates (RBPHs) were prepared and investigated for their potential to lower
cholesterol concentration in micelles. The RBPHs were produced by digestion using
four different peptidases, alcalase 2.4L((r)), neutrase 0.8L((r)), papaya latex
papain and porcine pancreas trypsin, and then fractionated by hydrophobicity
using styrene/divinylbeneze resins. Alcalase 2.4L((r)) produced the highest
degree of hydrolysis, and the resulting hydrolysates had the highest micellar
cholesterol inhibition ability in an in vitro hypocholesterolaemic test. The
adsorption dynamics of four different macroporous resins, DA201-C, Sepabeads
SP207 and SP825 and Diaion HP20, were determined using the Langmuir isotherm
model. DA201-C had the highest adsorption capacity with an equilibrium
concentration of 220 mg g(-1). The hydrolysates eluted with 25, 50, 75 and 95%
(v/v) ethanol lowered the micellar cholesterol concentration by 11.88, 14.76,
19.37 and 7.56% respectively. CONCLUSION: A hydrophobic fraction of RBPH had the
highest inhibitory activity on micellar cholesterol, which suggests that it may
have hypocholesterolaemic properties.
PMID- 22083812
TI - Optimized conditions for a quantitative SELDI TOF MS protein assay.
AB - The development of peptide/protein analyte assays for the purpose of diagnostic
tests is driven by multiple factors, including sample availability, required
throughput, and quantitative reproducibility. Laser Desorption/ionization mass
spectrometry methods (LDI-MS) are particularly well suited for both peptide and
protein characterization, and combining chromatographic surfaces directly onto
the MS probe in the form of surface enhanced laser desorption/ionization (SELDI)
biochips has improved the reproducibility of analyte detection and provided
effective relative quantitation. Here, we provide methods for developing
reproducible SELDI-based assays by providing a complex artificial protein matrix
background within the sample to be analyzed that allows for a common and
reproducible ionization background as well as internal normalization standards.
Using this approach, quantitative assays can be developed with CVs typically less
than 10% across assays and days. Although the method has been extensively and
successfully implemented in association with a protein matrix from E. coli, any
other source for the complex protein matrix can be considered as long as it
adheres to a set of conditions including the following: (1) the protein matrix
must not provide interferences with the analyte to be detected, (2) the protein
matrix must be sufficiently complex such that a majority of ion current generated
from the desorption of the sample comes from the complex protein matrix, and (3)
specific and well-resolved protein matrix peaks must be present within the mass
range of the analyte of interest for appropriate normalization.
PMID- 22083813
TI - Solid-phase fractionation strategies applied to proteomics investigations.
AB - Methods for protein fractionation in the proteomics investigation field are
relatively numerous. They apply to the prefractionation of the sample to obtain
less complex protein mixtures for an easier analysis; they are also used as a
means to evidence specific proteins or protein classes otherwise impossible to
detect. They involve depletion of high-abundance proteins suppressing the signal
of dilute species; they are also capable to enhance the detectability of low
abundance species while concomitantly decreasing the concentration of abundant
proteins such as albumin in serum and hemoglobin in red blood cell lysates.
Fractionation of proteomes is also used for the isolation of targeted species
that are selected for their different expression under certain pathological
conditions and that are detected by mass spectrometry. Two unconventional methods
of large interest in proteomics due to the low level of protein redundancy
between fractions are also reported.All these methods are reviewed and detailed
method given to allow specialists of proteomics investigation to access selected
separation methods generally dispersed on different technical reviews or books.
PMID- 22083814
TI - Data processing and analysis using ProteinChip(r) data manager software.
AB - Mass spectrometry-based clinical proteomics and biomarker research require the
processing of large numbers of patient samples in order to attain the statistical
significance required to produce robust biomarker candidates. When processed
using the high-sensitivity and high-throughput Surface Enhanced Laser
Desorption/Ionization ProteinChip SELDI system, the result is an enormous amount
of mass spectrometric profiling data. The time and effort required to mine this
data and the quality of the candidate biomarkers generated is largely dependent
on the quality and appropriate use of the software tools available. This chapter
describes the typical workflow for processing and analyzing SELDI data using both
univariate and unsupervised multivariate analysis tools.
PMID- 22083815
TI - Purification and identification of candidate biomarkers discovered using SELDI
TOF MS.
AB - Purification and identification of candidate biomarkers is a critical step in the
biomarker development process, since it provides insight into the disease biology
and facilitates the development of analyte-specific assays. Top-down biomarker
discovery workflows like SELDI-TOF MS yield candidate markers that are identified
based on native mass. Positive identification of these candidate biomarkers
requires further enrichment and/or purification. While purification methods must
be optimized for each protein target, there are two general workflows. Native
peptides under approximately 4 kDa can be subjected to direct sequence analysis
using a tandem mass spectrometer whereas proteins over approximately 4 kDa
usually require proteolytic digestion prior to MS/MS analysis. In both cases,
partial purification is usually necessary to enrich the candidate biomarker
relative to other proteins in a complex biological mixture. This chapter provides
detailed protocols for protein purification (including anion exchange, metal
affinity, and reverse phase chromatography as well as SDS-PAGE) and
identification (including protein processing, digestion, and database searching).
PMID- 22083816
TI - Biomarker discovery in serum/plasma using surface enhanced laser desorption
ionization time of flight (SELDI-TOF) mass spectrometry.
AB - Proteins and peptides that undergo variations in concentration or state as a
result of a biological process or disease may be used as biomarkers for the
diagnosis or prognosis of diseases and/or for the monitoring of therapy.
Serum/plasma is one of the most easily obtained patient specimens and contains
thousands of proteins produced and secreted from cells and tissues. While
serum/plasma is a valuable specimen for protein biomarker research, especially in
the area of infectious diseases, the dynamic range of the proteome presents a
technical challenge. Serum/plasma is dominated by high abundance proteins, such
as albumin, immunoglobulins, haptogloblulin, which constitute almost 90% of the
total serum/plasma protein by weight and make the detection of the low abundance
proteins difficult. Therefore, effective fractionation and separation methods are
essential to detect potential biomarker proteins present in small quantities for
mass spectrometry.The current tests for blood-borne protozoan diseases are
inadequate by monitoring treatment efficacy or for prognosis and also lack
sensitivity and specificity. To overcome these limitations, we began a program to
develop novel assays for infectious diseases using mass spectrometric data
directly as well as "next generation" assays that exploit the richness of the MS
data converted to standard platforms. Here we focus on high-throughput
fractionation and proteomic analysis using Surface Enhanced Laser Desorption
Ionization Time of Flight (SELDI-TOF) mass spectrometry platform. Separation and
enrichment is achieved using stepwise anion exchange fractionation prior to
analysis on multiple ProteinChip array chemistries. We have used this approach
successfully to identify proteins/peptides or protein "profiles" (biomarkers) in
subjects chronically infected with blood-borne protozoan parasites (i.e. Chagas
disease, babesia, toxoplasma, malaria), fascioliosis, and cysticercosis.
PMID- 22083818
TI - Profiling of urine using ProteinChip(r) technology.
AB - Urine is an extremely valuable sample type for biomarker discovery due to the non
invasive collection and the relatively low protein content, which makes detection
of perturbations associated with disease easier. SELDI-TOF analysis is ideally
suited for analysis of urine since the chromatographic capture mechanism can
tolerate salt and urea in the urine sample that would otherwise need to be
removed prior to mass spectrometric analysis. While neat urine can be analyzed
directly on ProteinChip arrays, urine can also benefit from an enrichment step,
which has been shown to increase the number of proteins detected more than
twofold. Because urine volume and contents can vary substantially between
individuals and within individuals over time, sample collection and storage
should be carefully controlled to assure reproducible and clinically relevant
results.
PMID- 22083817
TI - Plasma proteomic profiling of pediatric osteosarcoma.
AB - The development of a sensitive, specific, and non-invasive approach for cancer
detection will facilitate early detection and, hence, improve the outcome of
individuals with known cancer predispositions. Proteomic profiling of blood
emerges to be a logical choice of such non-invasive or minimal invasive
detection. However, plasma biomarker discovery of pediatric cancers lags behind
that of adult cancers, suggesting more efforts are needed in this area. In this
study, we used surface-enhanced laser desorption/ionization-time of flight mass
spectrometry to profile plasma proteome in osteosarcoma patients. Osteosarcoma is
a bone cancer that affects many children and young adults. We have shown that the
plasma proteome contains a unique cancer signature that can distinguish patients
with osteosarcoma from those with a benign bone disease. To improve cancer
biomarker discovery in plasma, we have also shown that depletion of two highly
abundant plasma proteins increases the detection sensitivity of lower-abundance
proteins. The combination of depletion and proteomic profiling may increase the
chance of identifying tumor-derived proteins within the plasma of pediatric
cancer patients.
PMID- 22083819
TI - Protein profiling of cerebrospinal fluid.
AB - The cerebrospinal fluid (CSF) perfuses the brain and spinal cord. CSF contains
proteins and peptides important for brain physiology and potentially also
relevant for brain pathology. Hence, CSF is the perfect source to search for new
biomarkers to improve diagnosis of neurological diseases as well as to monitor
the performance of disease-modifying drugs. This chapter presents methods for
SELDI-TOF profiling of CSF as well as useful advice regarding pre-analytical
factors to be considered.
PMID- 22083820
TI - SELDI-TOF mass spectrometry-based protein profiling of tissue samples for
toxicological studies.
AB - Surface-enhanced laser desorption/ionization time-of-flight mass spectrometry
(SELDI-TOF MS) has become a popular method for protein profiling in clinical
diagnosis, as well as in toxicological studies. It combines solid-phase
chromatography with TOF-MS on a single platform, which enables the application of
crude samples, such as plasma or tissue lysate. In this chapter, we outline two
methods that enable the extraction of proteins from tissue samples for subsequent
application on ProteinChip arrays. The first method is the extraction of proteins
only from tissue using simply lysis buffers. The second method is helpful if
proteins, as well as RNA or DNA, should be extracted from one and the same piece
of tissue and is based on phenol-chloroform separation. Although initially
developed for liver and kidney tissue both methods can be used for other tissue
types.
PMID- 22083821
TI - Proteomic analysis of skeletal muscle tissue using SELDI-TOF MS: application to
disuse atrophy.
AB - Skeletal muscle atrophy in response to disuse/unloading is a complex adaptation
that involves many components of the muscle tissue. The underlying mechanisms
that initiate and control the loss of muscle tissue during this response,
especially contractile proteins located within the myofibers, are as yet unclear.
One approach capable of distinguishing protein changes specifically associated
with disuse/unloading-induced skeletal muscle atrophy is to compare the proteomic
profiles of similar muscles between control, unloaded/atrophied, and
unloaded/"atrophy-protected" experimental conditions. By utilizing a subtractive
proteomic analysis approach, those proteins specifically modulated during the
atrophic response can be identified and discriminated from those associated with
disuse in general. We here describe the use of SELDI-TOF MS coupled with micro
scale preparative ion-exchange chromatography to detect proteins potentially
specifically associated with the atrophic response in rat skeletal muscle.
PMID- 22083822
TI - Profiling cervical lavage fluid by SELDI-TOF mass spectrometry.
AB - The mucosal surface of the female genital tract is the first site of contact for
many sexually transmitted infections and serves as the first layer of defense.
This layer has components of both the innate and adaptive immune systems to
protect against infections. For these reasons, this fluid is a major focus of
study to understand the pathogenesis of different infectious diseases. Novel
tools are available to allow for the analysis of the components of this mucosal
layer, including the area of proteomics. The emergence of proteomics has allowed
for the development of many types of platforms for protein profiling, including
gel-based technologies (2-dimensional differential in-gel electrophoresis) and
mass spectrometry-based techniques. SELDI-TOF, a mass spectrometry-based platform
coupled to on-chip chromatographic separation, has been developed as a high
throughput technique to profile complex protein samples. This chapter will
outline detailed methods to profile cervical mucosal samples by SELDI-TOF and can
serve as a guideline for other types of mucosal samples.
PMID- 22083823
TI - Isolation and proteomic analysis of platelets by SELDI-TOF MS.
AB - Many growth factors, leukotrines, and biological ligands are not circulating free
in plasma or serum, except in the case of late or disseminated disease. During
early tumor growth and angiogenesis, platelets actively and selectively sequester
regulators of angiogenesis and, as such, the platelet protein content can be used
as a marker of early tumor growth or angiogenesis. With the recent increase in
the clinical use of biologic modifiers in cancer and chronic disease therapy, the
search for markers of early disease, therapeutic response, and/or recurrence has
suggested that analysis of platelet proteins may be more relevant and accurate.
We provide a guideline for the proteomic analysis of platelet proteome, placing
specific emphasis on angiogenesis regulators, even though other platelet proteins
may serve as markers of disease in the future. The analysis of serum/plasma has
been fraught with difficulties because of the extraordinarily large number of
proteins and because some of the proteins are contained in extraordinarily large
amounts, masking the less abundant proteins. Thus, platelets may provide a much
more biologically relevant analyte for biomarker discovery.
PMID- 22083824
TI - Using SELDI-TOF mass spectrometry on amniotic fluid and for clinical proteomics
and theranostics in disorders of pregnancy.
AB - Clinical proteomics encompasses a multitude of experimental approaches, tools,
and techniques based on proteomics technology which are directly aimed to
accelerate and improve diagnosis and treatment of human diseases. Surface
enhanced laser desorption ionization time-of-flight (SELDI-TOF) mass spectrometry
is a variant of matrix-enhanced laser desorption ionization (MALDI) that makes
use of chemically-modified surfaces to reduce the complexity of biological
samples prior to separation in the mass analyzer. Compared to other proteomic
techniques, SELDI has several important advantages such as ability to analyze
complex biological samples with minimal pre-processing, ease of handling and high
throughput. Importantly, once the biomarker or combination of biomarkers with
potential clinical value has been established, validation analyses can be
conducted in close proximity to clinical settings which is important for
establishing the utility of new diagnostics in clinical decision making and
perhaps future theranostic interventions. This chapter provides protocols for
experimental design and methodology aimed at (1) discovering biologically
relevant biomarkers in amniotic fluid using SELDI-TOF; (2) validating the
clinical utility of the biomarkers as new diagnostics; (3) translating the
biomarker findings into pathophysiological phenomena to provide further insight
and extend the current understanding of the disease process. Many of the
principles described herein for amniotic fluid could be generalized to studies
involving other types of biological samples and other clinical questions.
PMID- 22083826
TI - Analysis of protein-protein interaction using proteinchip array-based SELDI-TOF
mass spectrometry.
AB - Protein-protein interactions are key elements in the assembly of cellular
regulatory and signaling protein complexes that integrate and transmit signals
and information in controlling and regulating various cellular processes and
functions. Many conventional methods of studying protein-protein interaction,
such as the immuno-precipitation and immuno-blotting assay and the affinity
column pull-down and chromatographic analysis, are very time-consuming and labor
intensive and lack accuracy and sensitivity. We have developed a simple, rapid,
and sensitive assay using a ProteinChip array and SELDI-TOF mass spectrometry to
analyze protein-protein interactions and map the crucial elements that are
directly involved in these interactions. First, a purified "bait" protein or a
synthetic peptide of interest is immobilized onto the preactivated surface of a
PS10 or PS20 ProteinChip and the unoccupied surfaces on the chip are protected by
application of a layer ethanolamine to prevent them from binding to other non
interactive proteins. Then, the target-containing cellular protein lysate or
synthetic peptide containing the predicted amino acid sequence of protein
interaction motif is applied to the protected array with immobilized bait
protein/peptide. The nonspecific proteins/peptides are washed off under various
stringent conditions and only the proteins specifically interacting with the bait
protein/peptide remain on the chip. Last, the captured interacting
protein/peptide complexes are then analyzed by SELDI-TOF mass spectrometry and
their identities are confirmed by their predicted distinctive masses. This method
can be used to unambiguously detect the specific protein-protein interaction of
known proteins/peptides, to easily identify potential cellular targets of
proteins of interest, and to accurately analyze and map the structural elements
of a given protein and its target proteins using synthetic peptides with the
predicted potential protein interaction motifs.
PMID- 22083827
TI - Quantitation of amyloid beta peptides in CSF by surface enhanced MALDI-TOF.
AB - Alzheimer's disease is characterized by the deposition of amyloid plaques in the
brain. The major components of these plaques are beta-amyloid (Abeta) peptides.
The CSF concentration of these peptides can therefore provide a valuable
biomarker for potentially predicting the state of disease and/or monitoring the
efficacy of a drug aiming to inhibit the formation of amyloid plaques. Although
the concentration of a given peptide in CSF can easily be measured by ELISA
methods, few methods are able to simultaneously observe and distinguish between
various peptides of similar yet slightly different amino acid composition. The
Surface Enhanced Laser Desorption/Ionization-Time Of Flight mass spectrometry
(SELDI-TOF) technology, a platform combining the use of an antibody and MALDI
TOF, can be used to simultaneously detect and quantitate various Abeta peptides
with sensitivities in the picomolar range.
PMID- 22083825
TI - High throughput profiling of serum phosphoproteins/peptides using the SELDI-TOF
MS platform.
AB - Protein phosphorylation is a dynamic post-translational modification that plays a
critical role in the regulation of a wide spectrum of biological events and
cellular functions including signal transduction, gene expression, cell
proliferation, and apoptosis. Determination of the sites and magnitudes of
protein phosphorylation has been an essential step in the analysis of the control
of many biological systems. A high throughput analysis of phosphorylation of
proteins would provide a simple, logical, and useful tool for a functional
dissection and prediction of biological functions and signaling pathways in
association with these important molecular events. We have developed a functional
proteomics technique using the ProteinChip array-based SELDI-TOF-MS analysis for
high throughput profiling of phosphoproteins/phosphopeptides in human serum for
the early detection and diagnosis as well as for the molecular staging of human
cancer. The methodology and experimental approach consists of five steps: (1)
generation of a total peptide pool of serum proteins by a global trypsin
digestion; (2) rapid isolation of phosphopeptides from the total serum peptide
pool by an affinity selection, purification, and enrichment using a novel
automated micro-bioprocessing system with phospho-antibody-conjugated
paramagnetic beads and a hybrid magnet plate; (3) high throughput phosphopeptide
analysis on ProteinChip arrays by automated SELDI-TOF-MS; and (4) bioinformatics
and statistical methods for data analysis. This method with appropriate
modifications may be equally applicable to serine-, threonine- and tyrosine
phosphorylated proteins and for selectively isolating, profiling, and identifying
phosphopeptides present in a highly complex phosphor-peptide mixture prepared
from various human specimens such as cells, tissue samples, and serum and other
body fluids.
PMID- 22083828
TI - Impact of the extraction procedure on the kinetics of anthocyanin and colour
degradation of roselle extracts during storage.
AB - BACKGROUND: The stability of anthocyanins and colour of aqueous extracts from
roselle (Hibiscus sabdariffa L.) was investigated during storage at 4-45 degrees
C. The effect of the extraction procedure (cold or hot extraction with or without
pasteurisation) on the kinetic behaviour was determined. RESULTS: Data analysis
showed first-order kinetics for the evolution of anthocyanin content, colour
density, colour strength and browning index. Three models (Arrhenius, Eyring and
Ball) were used to represent the temperature dependence of the reaction rates.
The three models allowed the prediction of colour modifications according to the
storage temperature over 6 months. Activation energies ranged from 22 to 26 kJ
mol(-1) for anthocyanin degradation and from 18 to 34 kJ mol(-1) for colour
parameter modification. The degradation rate during storage depended on the
extraction procedure. When heated, the extracts were clearly less stable. Their
colour changed faster during storage especially when hot water and pasteurisation
were used. CONCLUSION: Although the anthocyanin content and initial colour were
not modified, the extraction conditions, especially temperature, greatly affected
the stability of the extracts during storage. Further studies are needed to
understand the mechanisms involved.
PMID- 22083829
TI - Label-free identification and characterization of human pluripotent stem cell
derived cardiomyocytes using second harmonic generation (SHG) microscopy.
AB - Pluripotent stem cell-derived cardiomyocytes (PSC-CMs) are a potentially
unlimited source of cardiomyocytes (CMs) for cardiac transplantation therapies.
The establishment of pure PSC-CM populations is important for this application,
but is hampered by a lack of CM-specific surface markers suitable for their
identification and sorting. Contemporary purification techniques are either non
specific or require genetic modification. We report a second harmonic generation
(SHG) signal detectable in PSC-CMs that is attributable to sarcomeric myosin,
dependent on PSC-CM maturity, and retained while PSC-CMs are in suspension. Our
study demonstrates the feasibility of developing a SHG-activated flow cytometer
for the non-invasive purification of PSC-CMs.
PMID- 22083830
TI - Effects of PEGylation and immune complex formation on the pharmacokinetics and
biodistribution of recombinant interleukin 10 in mice.
AB - Interleukin 10 (IL-10) is a potent cytokine homodimer with multiple
immunoregulatory functions. Here, we have characterized the effects of PEGylation
and formation of human IL-10 (hIL-10)/humanized anti-human IL-10 (halphahIL-10)
immune complexes in the pharmacokinetics, biodistribution, and biotransformation
of IL-10 in mice. To assess the fate of native, PEGylated, and antibody-bound IL
10; we implemented an analytical set of fluorescence emission-linked assays.
Plasma size exclusion chromatography analysis indicated that fluoro-labeled
native and PEGylated murine IL-10 (PEG-mIL-10) are stable in the circulation.
PEGylation of IL-10 resulted in a 21-fold increased exposure, 2.7-fold increase
in half-life, and 20-fold reduction in clearance. Kidney is the major organ of
disposition for both native and PEGylated mIL-10 with renal uptake directly
related to systemic clearance. The fluorescence signal in the kidneys reached
tissue/blood ratios up to 150 and 20 for native and PEG-mIL-10, respectively. hIL
10/halphahIL-10 immune complexes are detectable in the circulation without
evidence of unbound or degraded hIL-10. The exposure of hIL-10 present in immune
complexes versus that of hIL-10 alone increased from 0.53 to 11.28 MUg . day/ml,
with a half-life of 1.16 days and a 23-fold reduction in clearance. Unlike hIL-10
alone, antibody-bound hIL-10 was targeted mainly to the liver with minimal renal
distribution. In addition, we found an 11-fold reduction (from 9.9 to 113 nM) in
binding to the neonatal Fc receptor (FcRn) when the halphahIL10 antibody is
conjugated to hIL-10. The potential changes in FcRn binding in vivo and increased
liver uptake may explain the unique pharmacokinetic properties of hIL
10/halphahIL-10 immune complexes.
PMID- 22083831
TI - Quantitative analysis of free flap volume changes in head and neck
reconstruction.
AB - BACKGROUND: The purpose of this study was to determine whether free flap volume
decreases or increases in the long-term postoperative period. METHODS: We used a
retrospective analysis of 17 patients to measure muscle and fat volume in free
flap with 3-dimensional (3D) images using the AZE Virtual Place Lexus64. RESULTS:
Seventeen patients underwent free flap reconstruction with rectus abdominis
musculocutaneous flaps (n = 11) or anterolateral thigh flaps (n = 6). Median
follow-up was 28.9 months (range, 2.1-48.4 months). Total flap volume was
significantly decreased in flaps including >=40% muscle (p = .011). Mean final
muscle volume was 50% at an average of 12 months. Final fat volume was
significantly higher for cases with no evidence of disease (mean, 116.7%) than
for died-of-the-disease cases (mean, 70.3%; p = .007). CONCLUSION: Use of free
flaps with a high ratio of fat to muscle is sustainable and can gain volume over
time, as transplanted fat can increase depending on host condition.
PMID- 22083832
TI - Antibiotic prophylaxis in cardiac surgery: systematic review and meta-analysis.
AB - BACKGROUND: Antibiotic prophylaxis is recommended in cardiac surgery. Current
debate concerns the type of antibiotic(s), dosing and the duration of
prophylaxis. METHODS: Systematic review of randomized controlled trials comparing
one antibiotic regimen versus another in cardiac surgery. We searched The
Cochrane Library, PubMed, LILACS, conference proceedings and bibliographies. Two
reviewers independently extracted the data. The primary outcome was deep sternal
wound infections (DSWIs). Meta-analysis was performed using the Mantel-Haenszel
fixed-effect method. Risk ratios (RRs) with 95% confidence intervals (95% CIs)
are reported. RESULTS: Fifty-nine trials were included. There were no significant
differences in DSWI or all other categories of surgical site infections (SSIs)
for antibiotic prophylaxis with beta-lactams comprising a Gram-negative spectrum
of coverage versus prophylaxis targeting Gram-positive bacteria, but the former
led to a significantly lower rate of post-operative pneumonia (RR 0.68, 95% CI
0.51-0.90) and all-cause mortality (RR 0.66, 95% CI 0.47-0.92). In trials
comparing different antibiotic regimens for different durations, prophylaxis
duration of <=24 h post-operation led to higher rates of DSWI (RR 1.83, 95% CI
1.25-2.66), any sternal SSI, surgical interventions for SSI and endocarditis
compared with longer duration prophylaxis. There was no advantage of regimens
lasting >48 h post-operation. In the comparison of glycopeptides versus beta
lactams, an advantage of glycopeptides was observed when comparators were given
for similar duration and for beta-lactams when given for a longer duration than
the glycopeptides. There was no significant advantage of high antibiotic dosing.
CONCLUSIONS: Evidence supports second- or third-generation cephalosporins for
cardiac surgery prophylaxis and points at a possible advantage of prophylaxis
prolongation up to 48 h post-operatively.
PMID- 22083833
TI - Streptavidin-coated TiO2 surfaces are biologically inert: protein adsorption and
osteoblast adhesion studies.
AB - Non-fouling TiO2 surfaces are attractive for a wide range of applications such as
biosensors and medical devices, where biologically inert surfaces are needed.
Typically, this is achieved by controlled surface modifications which prevent
protein adsorption. For example, polyethylene glycol (PEG) or PEG-derived
polymers have been widely applied to render TiO2 surfaces biologically inert.
These surfaces have been further modified in order to achieve specific bio
activation. Therefore, there have been efforts to specifically functionalize TiO2
surfaces with polymers with embedded biotin motives, which can be used to couple
streptavidin for further functionalization. As an alternative, here a
streptavidin layer was immobilized by self-assembly directly on a biotinylated
TiO2 surface, thus forming an anti-adhesive matrix, which can be selectively bio
activated. The anti-adhesive properties of these substrates were analyzed by
studying the interaction of the surface coating with fibronectin, lysozym, and
osteoblast cells using surface plasmon resonance spectroscopy, atomic force
microscopy, and light microscopy. In contrast to non-modified TiO2 surfaces,
streptavidin-coated TiO2 surfaces led to a very biologically inert substrate,
making this type of surface coating a promising alternative to polymer coatings
of TiO2 surfaces.
PMID- 22083834
TI - Chemical control of spin propagation between heterometallic rings.
AB - We present a synthetic, structural, theoretical, and spectroscopic study of a
family of heterometallic ring dimers which have the formula
[{Cr(7)NiF(3)(Etglu)(O(2)CtBu)(15)}(2)(NLN)], in which Etglu is the
pentadeprotonated form of the sugar N-ethyl-D-glucamine, and NLN is an aromatic
bridging diimine ligand. By varying NLN we are able to adjust the strength of the
interaction between rings with the aim of understanding how to tune our system to
achieve weak magnetic communication between the spins, a prerequisite for quantum
entanglement. Micro-SQUID and EPR data reveal that the magnetic coupling between
rings is partly related to the through-bond distance between the spin centers,
but also depends on spin-polarization mechanisms and torsion angles between
aromatic rings. Density functional theory (DFT) calculations allow us to make
predictions of how such chemically variable parameters could be used to tune very
precisely the interaction in such systems. For possible applications in quantum
information processing and molecular spintronics, such precise control is
essential.
PMID- 22083835
TI - Solution structure by nuclear magnetic resonance of the two lantibiotics 97518
and NAI-107.
AB - Lantibiotics 97518 and NAI-107, produced by the related genera Planomonospora and
Microbispora respectively, are members of a family of nisin-related compounds.
They represent promising compounds to treat infections caused by multiresistant
Gram-positive pathogens. Despite their similar structure and a similar
antibacterial spectrum, the two lantibiotics exhibit significant differences in
their potency. To gain an insight into the structure-activity relationships,
their conformational properties in solution are determined by NMR. After carrying
out an NOE analysis of 2D (1)H NMR spectra, high-resolution 3D structures are
determined using molecular dynamics simulations.
PMID- 22083836
TI - Dentatorubral-pallidoluysian atrophy: haplotype of Asian origin in 2 Italian
families.
PMID- 22083837
TI - Expert's comment concerning Grand Rounds case entitled "Congenital kypho
scoliosis: a case of thoracic insufficiency syndrome and the limitations of
treatment" (by A.D. Chatterjee, K. Hassan and M.P. Grevitt).
PMID- 22083838
TI - Iliac crest orientation and geometry in able-bodied and non-treated adolescent
idiopathic scoliosis girls with moderate and severe spinal deformity.
AB - PURPOSE: To identify pelvic rotation and/or distortion in able-bodied and
untreated AIS girls with moderate and severe scoliosis and verify association of
pelvic morphological changes with Cobb angle increase. METHODS: The 3D
coordinates of nine anatomic bony landmarks were identified to estimate pelvic
orientation using a Flock of Birds system. The distances between the first sacral
vertebral body (S1) and each of the eight iliac spine landmarks in all three
planes were calculated to identify pelvic distortion. Analysis of variance was
used to assess pelvic orientation and determine pelvic distortion. Pearson
coefficients of correlation were used to identify any relationships between Cobb
angle and pelvic morphological parameters. RESULTS: Pelvic orientation was
similar in able-bodied and scoliotic girls regardless of the severity of the
spinal deformity. Significant differences were observed in pelvic morphology
between AIS with severe untreated scoliosis and those with a moderate scoliosis
for the right anterosuperior iliac spines (ASIS), the tip of the superior iliac
crest (TSIC) and the widest tip of the iliac crest (WTIC) widths from S1.
Statistically significant correlations were observed between the Cobb angles and
the iliac crest distances measured from S1. CONCLUSIONS: Differences in iliac
spine geometries occurred in the transverse plane correlating to Cobb angles
which suggest altered bone growth in AIS girls. Such findings could indicate
right thoracic spinal deformity as a result of pelvic torsion.
PMID- 22083839
TI - The Nottingham Hip Fracture Score as a predictor of early discharge following
fractured neck of femur.
AB - BACKGROUND: hip fracture represents a huge medical, social and financial burden
on patients, their carers and the health and social care systems. For survivors,
return to their own home may be a key outcome. The Nottingham Hip Fracture Score
(NHFS) is a validated score, based on admission characteristics, for predicting
30-day and 1-year mortality that may be of benefit in predicting return-to-home,
directly from the acute orthopaedic ward. OBJECTIVE: to assess the utility of the
NHFS as a predictor of return-to-home in patients following hip fracture.
METHODS: the NHFS was calculated for all patients admitted from their own home
and the correlation between the NHFS and eventual return-to-home was calculated,
as well as the probability of discharge by within 7, 14 and 21 days. RESULTS: a
total of 6,123 patients were available for analysis. Of which, 3,699 (60%) were
discharged from acute hospital to their own home. Increasing NHFS was negatively
correlated with eventual return-to-home (r(2) = 0.949) and with the proportion of
patients discharged back to their own home at 7, 14 and 21 postoperative days,
respectively (r(2) = 0.84, 0.94, 0.96, respectively). CONCLUSIONS: the NHFS is a
reliable tool for predicting return-to-home. It may be useful for discharge
planning, and for the design of future research trials.
PMID- 22083840
TI - Is there a role for physical activity in preventing cognitive decline in people
with mild cognitive impairment?
AB - Mild cognitive impairment (MCI) is a common clinical syndrome that identifies
people at high risk of developing dementia. Although treatments for MCI are
currently unavailable, preliminary evidence has identified potential neuro
protective effects of physical activity, which may lead to improved outcomes.
However, there is uncertainty regarding the effectiveness, feasibility and
acceptability of this treatment strategy. These uncertainties require further
investigation before physical activity interventions can be recommended for
routine care.
PMID- 22083841
TI - Computational chemistry in pharmaceutical research: at the crossroads.
AB - Computational approaches are an integral part of pharmaceutical research.
However, there are many of unsolved key questions that limit the scientific
progress in the still evolving computational field and its impact on drug
discovery. Importantly, a number of these questions are not new but date back
many years. Hence, it might be difficult to conclusively answer them in the
foreseeable future. Moreover, the computational field as a whole is characterized
by a high degree of heterogeneity and so is, unfortunately, the quality of its
scientific output. In light of this situation, it is proposed that changes in
scientific standards and culture should be seriously considered now in order to
lay a foundation for future progress in computational research.
PMID- 22083842
TI - Intragenomic conflict of maternal HLA haplotypes: a potential link between
vigorous intrauterine growth and risk of autoimmunity in adulthood.
PMID- 22083843
TI - [Package leaflets of pharmaceutical products - a closed book?].
PMID- 22083844
TI - Spatiotemporal control over growth factor delivery from collagen-based membrane.
AB - Exogenous administration of growth factors has been identified as a potential
therapeutic approach for healing wounds. A way to enhance the efficacy of growth
factors would be to achieve spatiotemporal control over their delivery to desired
sites for an extended period. In this study, we designed and prepared a kind of
double-layered collagen membrane, a dense layer and a loose layer, which
incorporated basic fibroblast growth factor (bFGF)-loaded chitosan-heparin
nanoparticles. The nanoparticles were prepared by polyelectrolyte gelation
process and then were sandwiched between the two layers of collagen membrane. The
release of model protein human serum albumin (HSA) from the double-layered
membrane was tracked by radio-label assay, and the bioactivity of the growth
factor on fibroblast cell (L929) was evaluated by MTT assay. The release of
protein displayed a spatiotemporal control model and its release in undesired
direction was lessened. The bFGF maintained the bioactivities after release from
the membranes. Moreover, different release amounts of bFGF from the different
layers of the membrane induced significant difference in cell proliferation when
the cells were seeded on the different layers of membrane in vitro. This kind of
double-layered collagen membrane could have potential applications in the field
of tissue repair due to the spatiotemporal control over growth factor delivery,
the mild fabrication conditions, and the simple processes.
PMID- 22083845
TI - Are anchoring vignettes ratings sensitive to vignette age and sex?
AB - Anchoring vignettes are commonly used to study and correct for differential item
functioning and response bias in subjective survey questions. Self-assessed
health status is a leading example. A crucial assumption of the vignette
methodology is 'vignette equivalence': The health status of the person described
in the vignette must be perceived by all respondents in the same way. We use data
from a survey experiment conducted with a sample of almost 5000 older Americans
to validate this assumption. We find weak evidence that respondents' vignette
ratings may be sensitive to the sex and, for older respondents, also to the age
(implied by the first name) of the person described in the vignette. Our findings
suggest that vignette equivalence may not hold, at least if the potentially
subtle connotations of vignette persons' names are not fully controlled.
PMID- 22083846
TI - Effect of micro-oxygenation on sensory characteristics and consumer preference of
Cabernet Sauvignon wine.
AB - BACKGROUND: The main objective of this study was to improve the structure of a
Cabernet Sauvignon red wine in a short period of time by micro-oxygenation (MOX)
at high rates (25 and 50 mL L(-1) month(-1) ), the effects of which were
evaluated based on sensory characteristics and consumer preference. Sensory data
were analysed by principal component analysis, discriminant analysis and ordinal
logistic regression (OLR). RESULTS: MOX led to significant differences in the
colour, colour stability and phenolic compounds of wine. Sensory characteristics
also changed through MOX treatment, and wine experts were able to discriminate
between MOX-treated and untreated samples, with olfactory intensity, complexity,
astringency and roundness being the main discriminating characteristics. Ordinal
logistic regression enabled identification of the sensory characteristics that
drove consumer preference. CONCLUSION: MOX at high rates improved the sensory
characteristics of wine and may therefore be considered a valid technique for
obtaining structured wines in a short period of time, i.e. within just a few
months after the vintage. The results highlight the need for (i) careful
selection of the MOX dosage rate and duration (the 25 mL L(-1) month(-1) dose for
6 days provided the best result) and (ii) continuous monitoring of the MOX
treatment.
PMID- 22083848
TI - The influence of movement on the localization precision of sub-resolution
particles in fluorescence microscopy.
AB - Obtaining sub-resolution particle positions in fluorescence microscopy images is
essential for single particle tracking and high-resolution localization
microscopy. While the localization precision of stationary single molecules or
particles is well understood, the influence of particle motion during image
acquisition has been largely neglected. Here, we address this issue and provide a
theoretical description on how particle motion influences the centroid
localization precision, both in case of 2-D and 3-D diffusion. In addition, a
novel method is proposed, based on dual-channel imaging, for the experimental
determination of the localization precision of moving particles. For typical
single particle tracking experiments, we show that the localization precision is
approximately two-fold worse than expected from the stationary theory.
Strikingly, we find that the most popular localization method, based on the
fitting of a Gaussian distribution, breaks down for lateral diffusion. Instead,
the centroid localization method is found to perform well under all conditions.
PMID- 22083847
TI - Solvent exposures and Parkinson disease risk in twins.
AB - OBJECTIVE: Several case reports have linked solvent exposure to Parkinson disease
(PD), but few studies have assessed associations with specific agents using an
analytic epidemiologic design. We tested the hypothesis that exposure to specific
solvents is associated with PD risk using a discordant twin pair design. METHODS:
Ninety-nine twin pairs discordant for PD ascertained from the National Academy of
Sciences/National Research Council World War II Veteran Twins Cohort were
interviewed regarding lifetime occupations and hobbies using detailed job task
specific questionnaires. Exposures to 6 specific solvents selected a priori were
estimated by expert raters unaware of case status. RESULTS: Ever exposure to
trichloroethylene (TCE) was associated with significantly increased risk of PD
(odds ratio [OR], 6.1; 95% confidence interval [CI] 1.2-33; p = 0.034), and
exposure to perchloroethylene (PERC) and carbon tetrachloride (CCl(4) ) tended
toward significance (respectively: OR, 10.5; 95% CI, 0.97-113; p = 0.053; OR,
2.3; 95% CI, 0.9-6.1; p = 0.088). Results were similar for estimates of exposure
duration and cumulative lifetime exposure. INTERPRETATION: Exposure to specific
solvents may increase risk of PD. TCE is the most common organic contaminant in
groundwater, and PERC and CCl(4) are also ubiquitous in the environment. Our
findings require replication in other populations with well-characterized
exposures, but the potential public health implications are substantial.
PMID- 22083849
TI - One-step method for isolation and purification of native beta-lactoglobulin from
bovine whey.
AB - BACKGROUND: The major whey protein beta-lactoglobulin (BLG) has been widely
studied for its functional properties. The aim of this study was to develop an
efficient, inexpensive and rapid one-step method for the isolation and
purification of BLG while preserving its native structure. RESULTS: BLG was
purified from defatted whey obtained from raw cow's milk by anion exchange
chromatography. Protein purity and identity were determined using reverse phase
high-performance liquid chromatography and mass spectrometry. Total BLG yield was
80% with protein purity from 97 to 99%. BLG isoforms A and B were separated into
fractions of 91 and 99% purity respectively. The structure and native
conformation of the isolated BLG were compared with those of standard commercial
BLG by circular dichroism spectrometry, susceptibility to various crosslinking
enzymes and enzyme-linked immunosorbent assay inhibition. CONCLUSION: The
proposed method is very useful for the rapid preparation of BLG suitable for
studying antigenic and molecular characteristics of this protein, as well as the
effect of food processing on these properties. The procedure requires only 1 day
for the purification of about 300 mg of BLG from a single run using a small
column (2.5 cm * 20 cm) of diethylaminoethyl Sephadex and has potential for
scaling up.
PMID- 22083850
TI - Design and photophysical properties of zinc(II) porphyrin-containing dendrons
linked to a central artificial special pair.
AB - The click chemistry synthesis and photophysical properties, notably photo-induced
energy and electron transfers between the central core and the peripheral
chromophores of a series of artificial special pair-dendron systems (dendron =
G1, G2, G3; Gx = zinc(II) tetra-meso-arylporphyrin-containing polyimides) built
upon a central core of dimethylxanthenebis(metal(II) porphyrin) (metal = zinc,
copper), are reported. The dendrons act as singlet and triplet energy acceptors
or donors, depending on the dendrimeric systems. The presence of the paramagnetic
d(9) copper(II) in the dendrimers promotes singlet-triplet energy transfer from
the zinc(II) tetra-meso-arylporphyrin to the bis(copper(II) porphyrin) unit and
slow triplet-triplet energy transfer from the central bis(copper(II) porphyrin)
fragment to the peripheral zinc(II) tetra-meso-arylporphyrin. If bis(zinc(II)
porphyrin) is the central core, evidence for chain folding is observed; this is
unambiguously demonstrated by the presence of triplet-triplet energy transfer in
the heterobimetallic systems, a process that can only occur at short distances.
PMID- 22083851
TI - Endoscopic endonasal removal of laterally extended clival chordoma using side
viewing scopes.
AB - BACKGROUND: The transsphenoidal approach provides a straight and direct route to
the clival chordoma, but has limitations for removing the tumor compartment
extending laterally into the space posterior to the paraclival internal carotid
artery. To overcome the limitations, a side-viewing endoscope and
malleable/steerable instruments were employed. METHODS: Four clinical cases with
clival chordoma extending into the retro-carotid space were analyzed for extent
of resection, complications and clinical outcome. FINDINGS: The retro-carotid
tumor compartment was removed in all cases under 30- and 70-degree side-viewing
endoscopes using a malleable dissector and/or steerable forceps, resulting in
gross total removal of the entire tumor. Single cases were complicated by
transient abducens nerve palsy and cerebrospinal fluid leakage, which required
surgical revision. All patients have been symptom free without tumor recurrence
during the mean postoperative follow-up of 21.3 months. CONCLUSIONS: Though a
longer follow-up is needed to evaluate its effectiveness in long-term tumor
control, the surgical maneuver using the side-viewing endoscope is effective for
removing laterally extended clival chordomas.
PMID- 22083852
TI - Secondary normal pressure hydrocephalus in a patient with isolated frontal
dilatation--an insight into pathophysiology?
AB - Current theories of the pathophysiology of normal pressure hydrocephalus suggest
the classical symptoms are a consequence of disruption of normal frontal
function. We present the case of a 70-year-old patient with an isolated, frontal
dilatation of his lateral ventricles in the presence of a complete triad as
supportive of these theories.
PMID- 22083854
TI - Cobalt-catalyzed coupling of alkyl iodides with alkenes: deprotonation of
hydridocobalt enables turnover.
PMID- 22083856
TI - ToF-SIMS analysis of chemical heterogenities in inkjet micro-array printed
drug/polymer formulations.
AB - Three different formulations comprising two drugs, felodipine and
hydrochlorothiazide (HCT) and two polymers, poly(vinyl pyrolidone) (PVP) and
poly(lactic-co-glycolic acid) (PLGA) were inkjet printed as micro-dot arrays and
analysed on an individual micro-spot basis by time-of-flight secondary ion mass
spectrometry (ToF-SIMS). For the HCT/PLGA formulation, the spots showed
heterogeneity of the drug and other chemical constituents. To further investigate
these heterogeneities, multivariate curve resolution was applied to the ToF-SIMS
hyperspectral image datasets. This approach successfully identified distinct
chemical components elucidating the HCT, PLGA, substrate material, and
contaminants based on sulphur, phosphorous and sodium chloride. Spots printed
using either of the drugs with PVP exhibited full substrate coverage and a
uniform distribution of the active ingredient along with all other constituents
within the printed spot area. This represents the preferred situation in terms of
stability and controlling the release of a drug from a polymer matrix.
PMID- 22083857
TI - A combined chitosan/nano-size hydroxyapatite system for the controlled release of
icariin.
AB - Icariin, a plant-derived flavonol glycoside, has been proved as an osteoinductive
agent for bone regeneration. For this reason, we developed an icariin-loaded
chitosan/nano-sized hydroxyapatite (IC-CS/HA) system which controls the release
kinetics of icariin to enhance bone repairing. First, by Fourier transform
infrared spectroscopy, we found that icariin was stable in the system developed
without undergoing any chemical changes. On the other hand, X-ray diffraction,
scanning electron microscopy and mechanical test revealed that the introduction
of icariin did not remarkably change the phase, morphology, porosity and
mechanical strength of the CS/HA composite. Then the hydrolytic degradation and
drug release kinetics in vitro were investigated by incubation in phosphate
buffered saline solution. The results indicated that the icariin was released in
a temporally controlled manner and the release kinetics could be governed by
degradation of both chitosan and hydroxyapatite matrix. Finally the in vitro
bioactivity assay revealed that the loaded icariin was biologically active as
evidenced by stimulation of bone marrow derived stroma cell alkaline phosphatase
activity and formation of mineralized nodules. This successful IC-CS/HA system
offers a new delivery method of osteoinductive agents and a useful scaffold
design for bone regeneration.
PMID- 22083858
TI - [Treatment related swallowing dysfunction and the potentialities of IMRT].
AB - Altered fractionated radiotherapy and concurrent chemoradiation could improve
local control and survival for patients with locally advanced head and neck
cancer. However, intensified treatment seems to increase late toxicity. Late
swallowing dysfunction is common and has a large impact on quality of life and
can get life-threatening character. Recent studies could show interrelations
between the radiation dose to certain anatomical structures involved in the
swallowing process and the risk of swallowing dysfunction. Important structures
seem to be the pharyngeal constrictors and the supraglottic and glottic larynx.
Further prospective clinical validations using standardized diagnostic protocols
for dysphagia are necessary to establish dose constraints to anatomical
structures involved in swallowing.
PMID- 22083860
TI - [Prognosis of surgically treated primary parotid gland cancer - an evaluation of
231 cases].
AB - We present our results on the prognosis of parotid gland cancer with the help of
a group of patients who were treated in a standardised manner and received a long
term follow-up.We retrospectively analysed the clinicopathological data of 231
patients with a surgically treated primary parotid gland cancer and calculated
survival parameters. The mean follow-up time was 52 months.The 5- and 10-year
overall survival rate was 74.2% and 66.9%. 70 patients got a locoregional
recurrence, 18.6% of those even after more than 5 years. Initial facial nerve
palsy was a significant negative prognostic indicator for the disease-free
survival. The most frequent histological subtypes could be divided into 3
prognostic groups with significant differences in the survival. The pathological
tumour stage was a prognostic indicator for a worse overall and disease-free
survival. The pT-stage, the pN-stage and the existence of distant metastases were
independent prognostic factors.The group of patients is mainly characterised by
the large size, the standardised therapy and the long follow-up time. We could
show that the facial nerve palsy is a severe negative prognostic factor. For
practical purpose, the classification into 3 histological subgroups seemed to be
of great help. This should be considered in patient follow-up. Due to the amount
of late recurrences, we propose a follow-up time longer than 5 years. We propose
standardized surgery including total parotidectomy in combination with neck
dissection.
PMID- 22083861
TI - [Evaluation of the Dresden Tympanoplasty Model (DTM)].
AB - The training of microsurgical motor skills is essentiell for surgical education
if the interests of the patient are to be safeguarded. In otosurgery the complex
anatomy of the temporal bone and variations necessitate a special training before
performing surgery on a patient. We therefore developed and evaluated a
simplified middle ear model for acquiring first microsurgical skills in
tympanoplasty.The simplified tympanoplasty model consists of the outer ear canal
and a tympanic cavity. A stapes model is placed in projection of the upper
posterior tympanic membrane quadrant at the medial wall of the simulated tympanic
cavity. To imitate the annular ligament flexibility the stapes is fixed on a soft
plastic pad. 41 subjects evaluated the model's anatomical analogy, the
comparability to the real surgical situation and the general model properties the
using a special questionnaire.The tympanoplasty model was very well evaluated by
all participants. It is a reasonably priced model and a useful tool in
microsurgical skills training. Thereby, it closes the gap between theoretical
training and real operation conditions.
PMID- 22083862
TI - [Recurrent periorbital swelling - intraorbital foreign body].
PMID- 22083863
TI - [A dubious middle ear trauma].
PMID- 22083864
TI - [From the expert's office: a new grading table for the reduction in earning
capacity for the occupational cancer of larynx].
PMID- 22083865
TI - Knowledge, attitude and behaviour of the University of Ibadan women towards
cancer of the cervix and its prevention.
AB - OBJECTIVES: The two commonest cancers in Nigerian women are cancers of the breast
and the cervix. Cancer of the cervix is the second commonest cancer and is a
killer disease worldwide. The main objective of this study is to find out the
knowledge, attitude and practices of the female university staff towards cancer
of the cervix as a leadership group in the local effort towards cancer
prevention. METHODOLOGY: A sample of 302 female staff of the University of
Ibadan, which comprised 151 academic and 151 non-academic staff was studied.
These were all the female members of staff who were met during the study
visitation of all the departments on the main campus of the university and who
were willing to participate in the study. A self-constructed and validated
instrument called the knowledge, attitude and practice towards cervical cancer
scale was used in collecting data for the study. RESULTS: Two hundred and forty
two (79.1%) were aware of the disease, whereas 19.9% (60) were not aware. The
academic staff were statistically significantly more knowledgeable of cervical
cancer than the non-academic staff (p = 0.05). Two hundred and thirty-two (232 or
76.82%) have a positive attitude towards preventive measures for cancer of the
cervix such as Pap smear or ascetic acid test. However, as much as 210 (73.5%) of
the women had never undertaken a Pap smear or other screening test for the
condition. CONCLUSION: There is need for more education and promotion of cancer
screening and services in this locality.
PMID- 22083866
TI - Accelerating progress at contaminated sediment sites: moving from guidance to
practice.
AB - Contaminated sediments are a pervasive problem in the United States. Significant
economic, ecological, and social issues are intertwined in addressing the
nation's contaminated sediment problem. Managing contaminated sediments has
become increasingly resource intensive, with some investigations costing tens of
millions of dollars and the majority of remediation projects proceeding at a slow
pace. At present, the approaches typically used to investigate, evaluate, and
remediate contaminated sediment sites in the United States have largely fallen
short of producing timely, risk-based, cost-effective, long-term solutions. With
the purpose of identifying opportunities for accelerating progress at
contaminated sediment sites, the US Army Corps of Engineers-Engineer Research and
Development Center and the Sediment Management Work Group convened a workshop
with experienced experts from government, industry, consulting, and academia.
Workshop participants identified 5 actions that, if implemented, would accelerate
the progress and increase the effectiveness of risk management at contaminated
sediment sites. These actions included: 1) development of a detailed and explicit
project vision and accompanying objectives, achievable short-term and long-term
goals, and metrics of remedy success at the outset of a project, with refinement
occurring as needed throughout the duration of the project; 2) strategic
engagement of stakeholders in a more direct and meaningful process; 3)
optimization of risk reduction, risk management processes, and remedy selection
addressing 2 important elements: a) the deliberate use of early action remedies,
where appropriate, to accelerate risk reduction; and b) the systematic and
sequential development of a suite of actions applicable to the ultimate remedy,
starting with monitored natural recovery and adding engineering actions as needed
to satisfy the project's objectives; 4) an incentive process that encourages and
rewards risk reduction; and 5) pursuit of sediment remediation projects as a
public-private collaborative enterprise. These 5 actions provide a clear path for
connecting current US regulatory guidance to improved practices that produce
better applications of science and risk management and more effective and
efficient solutions at contaminated sediment sites.
PMID- 22083868
TI - Exploring iron-based multifunctional catalysts for Fischer-Tropsch synthesis: a
review.
AB - The continuous increase in oil prices together with an increase in carbon dioxide
concentration in the atmosphere has prompted an increased interest in the
production of liquid fuels from non-petroleum sources to ensure the continuation
of our worldwide demands while maximizing CO(2) utilization. In this sense, the
Fischer-Tropsch (FT) technology provides a feasible option to render high value
added hydrocarbons. Alternative sources, such as biomass or coal, offer a real
possibility to realize these purposes by making use of H(2)-deficient or CO(2)
rich syngas feeds. The management of such feeds ideally relies on the use of iron
catalysts, which exhibit the unique ability to adjust the H(2)/CO molar ratio to
an optimum value for hydrocarbon synthesis through the water-gas-shift reaction.
Taking advantage of the emerging attention to hybrid FT-synthesis catalysts based
on cobalt and their associated benefits, an overview of the current state of
literature in the field of iron-based multifunctional catalysts is presented. Of
particular interest is the use of zeolites in combination with a FT catalyst in a
one-stage operation, herein named multifunctional, which offer key opportunities
in the modification of desired product distributions and selectivity, to
eventually overcome the quality limitations of the fuels prepared under intrinsic
FT conditions. This review focuses on promising research activities addressing
the conversion of syngas to liquid fuels mediated by iron-based multifunctional
materials, highlights their preparation and properties, and discusses their
implication and challenges in the area of carbon utilization through
H(2)/CO(+CO(2)) mixtures.
PMID- 22083869
TI - Hydrogen sorption from the Mg(NH2)2-KH system and synthesis of an amide-imide
complex of KMg(NH)(NH2).
AB - The interaction between KH and Mg(NH(2))(2) is investigated. Results from
temperature-programmed desorption measurements on samples of
[Mg(NH(2))(2)][KH](x) (x=0.5, 1.0, and 2.0) indicated that dehydrogenation from
[Mg(NH(2))(2)][KH] occurred through a two-step reaction with an onset temperature
as low as 60 degrees C. Accompanied by hydrogen release, K(2)Mg(NH(2))(4) and
MgNH successively developed at lower temperatures, whereas KMg(NH)(NH(2))
developed at higher temperatures. However, when dehydrogenation was conducted
under isothermal and near-equilibrium conditions, a single-step reaction that led
to the formation of KMg(NH)(NH(2)) was observed. KMg(NH)(NH(2)) is a new amide
imide complex. The synthesis of KMg(NH)(NH(2)) can be achieved either by
dehydrogenation of the [Mg(NH(2))(2)][KH] mixture or by thermal decomposition of
the [K(2)Mg(NH(2))(4)][Mg(NH(2))(2)] mixture.
PMID- 22083870
TI - Remarkable uptake of CO2 and CH4 by graphene-Like borocarbonitrides, BxCyNz.
AB - The surface areas and uptake of CO(2) and CH(4) by four graphene samples are
measured and compared with activated charcoal. The surface areas are in the range
of 5-640 m(2) g(-1), whereas the CO(2) and CH(4) uptake values are in the range
of 18-45 wt % (at 195 K, 0.1 MPa) and 0-2.8 wt % (at 273 K, 5 MPa), respectively.
The CO(2) and CH(4) uptake values of the graphene samples vary linearly with the
surface area. In contrast, graphene-like B(x)C(y)N(z) samples with compositions
close to BC(2)N exhibit surface areas in the range of 1500-1990 m(2) g(-1) and
CO(2) and CH(4) uptake values in the ranges 97-128 wt % (at 195 K, 0.1 MPa) and
7.5-17.3 wt %, respectively. The uptake of these gases varies exponentially with
the surface area of the B(x)C(y)Z(n) samples, and the uptake of CH(4) varies
proportionally with that of CO(2). The uptake of CO(2) for the best BC(2)N sample
is 64 wt % at 298 K. The large uptake of both CO(2) and CH(4) gases by BC(2)N
betters the performance of graphenes and activated charcoal. First-principles
calculations show that the adsorption of CO(2) and CH(4) is more favored on BCN
samples compared to graphene.
PMID- 22083872
TI - Exploiting salivary miR-31 as a clinical biomarker of oral squamous cell
carcinoma.
AB - BACKGROUND: Oral carcinoma is an important malignancy throughout the world.
MicroRNAs (miRNAs) are endogenously expressed, non-coding RNAs that regulate post
transcriptional levels of targeted mRNAs. MiRNA-31(miR-31) is significantly
upregulated in oral carcinoma tissues and plays oncogenic roles in oral
carcinogenesis. METHODS: We analyzed the levels of miR-31 in saliva of patients
with oral carcinoma (n = 45), oral verrucous leukoplakia (n = 10), and control
healthy individuals (n = 24) by quantitative reverse transcriptase-polymerase
chain reaction (RT-PCR). RESULTS: Salivary miR-31 was significantly increased in
patients with oral carcinoma at all clinical stages, including very small tumors.
However, our preliminary analysis showed no increase of salivary miR-31 in
patients with oral verrucous leukoplakia relative to controls. The miR-31 was
more abundant in saliva than in plasma, suggesting salivary miR-31 was a more
sensitive marker for oral malignancy. After excision of oral carcinoma, salivary
miR-31 was remarkably reduced, indicating that most of the upregulated salivary
miR-31 came from tumor tissues. CONCLUSION: Our results point to a potential
application of salivary miR-31 as a biomarker for early detection and
postoperative follow-up of oral carcinoma.
PMID- 22083873
TI - Pharmacist intervention in primary care to improve outcomes in patients with left
ventricular systolic dysfunction.
AB - BACKGROUND: Meta-analysis of small trials suggests that pharmacist-led
collaborative review and revision of medical treatment may improve outcomes in
heart failure. METHODS AND RESULTS: We studied patients with left ventricular
systolic dysfunction in a cluster-randomized controlled, event driven, trial in
primary care. We allocated 87 practices (1090 patients) to pharmacist
intervention and 87 practices (1074 patients) to usual care. The intervention was
delivered by non-specialist pharmacists working with family doctors to optimize
medical treatment. The primary outcome was a composite of death or hospital
admission for worsening heart failure. This trial is registered, number
ISRCTN70118765. The median follow-up was 4.7 years. At baseline, 86% of patients
in both groups were treated with an angiotensin-converting enzyme inhibitor or an
angiotensin receptor blocker. In patients not receiving one or other of these
medications, or receiving less than the recommended dose, treatment was started,
or the dose increased, in 33.1% of patients in the intervention group and in
18.5% of the usual care group [odds ratio (OR) 2.26, 95% CI 1.64-3.10; P< 0.001].
At baseline, 62% of each group were treated with a beta-blocker and the
proportions starting or having an increase in the dose were 17.9% in the
intervention group and 11.1% in the usual care group (OR 1.76, 95% CI 1.31-2.35;
P< 0.001). The primary outcome occurred in 35.8% of patients in the intervention
group and 35.4% in the usual care group (hazard ratio 0.97, 95% CI 0.83-1.14; P =
0.72). There was no difference in any secondary outcome. CONCLUSION: A low
intensity, pharmacist-led collaborative intervention in primary care resulted in
modest improvements in prescribing of disease-modifying medications but did not
improve clinical outcomes in a population that was relatively well treated at
baseline.
PMID- 22083874
TI - Composition of milk from minor dairy animals and buffalo breeds: a biodiversity
perspective.
AB - A comprehensive review is presented of the nutrient composition for buffalo,
mare, and dromedary camel milks at the level of breed, and species-level data for
yak, mithun, musk ox, donkey, Bactrian camel, llama, alpaca, reindeer and moose
milks. Average values of nutrients were calculated and compared. Interspecies
values (g 100 g-1) were 0.7-16.1 for total fat, 1.6-10.5 for protein, 2.6-6.6 for
lactose, and 67.9-90.8 for water. Reindeer and moose milks had the highest fat
and protein concentrations and the lowest lactose contents. Mare and donkey milks
had the lowest protein and fat contents, in addition to showing the most
appropriate fatty acid profile for human nutrition. Dromedary camel milk was most
similar to cow milk in proximate composition. Moose milk was the richest in
minerals, having values as high as 358 mg 100 g-1 for calcium, 158 mg 100 g-1 for
sodium and 150 mg 100 g-1 for phosphorus. Interbreed differences of 4 g 100 g-1
were observed in total fat in buffalo, yak, mare and dromedary camel milks. Large
interbreed differences were also present in the mineral contents in mare, buffalo
and dromedary camel milks. By bringing together these compositional data, we hope
to usefully widen the biodiversity knowledge base, which may contribute to the
conservation and sustainable use of milk from underutilized dairy breeds and
species, and to improved food and nutrition security, particularly in developing
countries.
PMID- 22083875
TI - N-(Imidazolidin-2-ylidene)-1-arylmethanamine oxides: synthesis, structure and
pharmacological evaluation.
AB - A high yielding three-step procedure was applied for the synthesis of N
(imidazolidin-2-ylidene)-1-arylmethanamine oxides 3 (alpha-aminonitrones)
starting from the easily accessible imidazolidin-2-one O-benzyl oxime 1. The
alpha-aminonitrone-alpha-iminohydroxyloamine tautomerism of these products was
studied theoretically and the structures of the synthesised compounds were
confirmed by single crystal X-ray crystallographic analysis. The compounds were
evaluated in vitro for their binding affinities to alpha(1) and alpha(2)
adrenoceptors as well as imidazoline I(1) and I(2) receptors. The highest
potencies at the alpha(2) adrenergic receptors were observed for compounds
bearing biphenyl (4h, K(i) = 9 nM) and naphthyl (4i, K(i) = 92 nM) moieties.
Compounds 4h and 4i were further tested in vivo for their cardiovascular and
sedative-hypnotic effects in rats.
PMID- 22083876
TI - Lateral extension of pi conjugation along the bay regions of bisanthene through a
Diels-Alder cycloaddition reaction.
AB - Diels-Alder cycloaddition reactions at the bay regions of bisanthene (1) with
dienophiles such as 1,4-naphthoquinone have been investigated. The products were
submitted to nucleophilic addition followed by reductive aromatization reactions
to afford the laterally extended bisanthene derivatives 2 and 3. Attempted
synthesis of a larger expanded bisanthene 4 revealed an unexpected hydrogenation
reaction at the last reductive aromatization step. Unusual Michael addition was
observed on quinone 14, which was obtained by Diels-Alder reaction between 1 and
1,4-anthraquinone. Compounds 1-3 exhibited near-infrared (NIR) absorption and
emission with high-to-moderate fluorescent quantum yields. Their structures and
absorption spectra were studied by density function theory and non-planar twisted
structures were calculated for 2 and 3. All compounds showed amphoteric redox
behavior with multiple oxidation/reduction waves. Oxidative titration with
SbCl(5) gave stable radical cations, and the process was followed by UV/Vis/NIR
spectroscopic measurements. Their photostability was measured and correlated to
their different geometries and electronic structures.
PMID- 22083877
TI - [Editorial: Pharmazie in unserer Zeit 5/2011].
PMID- 22083878
TI - The presumed atypical chemokine receptor CXCR7 signals through G(i/o) proteins
in primary rodent astrocytes and human glioma cells.
AB - SDF-1/CXCL12 binds to the chemokine receptors, CXCR4 and CXCR7, and controls cell
proliferation and migration during development, tumorigenesis, and inflammatory
processes. It is currently assumed that CXCR7 would represent an atypical or
scavenger chemokine receptor which modulates the function of CXCR4. Contrasting
this view, we demonstrated recently that CXCR7 actively mediates SDF-1 signaling
in primary astrocytes. Here, we provide evidence that CXCR7 affects astrocytic
cell signaling and function through pertussis toxin-sensitive G(i/o) proteins.
SDF-1-dependent activation of G(i/o) proteins and subsequent increases in
intracellular Ca(2+) concentration persisted in primary rodent astrocytes with
depleted expression of CXCR4, but were abolished in astrocytes with depleted
expression of CXCR7. Moreover, CXCR7-mediated effects of SDF-1 on Erk and Akt
signaling as well as on astrocytic proliferation and migration were all sensitive
to pertussis toxin. Likewise, pertussis toxin abolished SDF-1-induced activation
of Erk and Akt in CXCR7-only expressing human glioma cell lines. Finally,
consistent with a ligand-biased function of CXCR7 in astrocytes, the alternate
CXCR7 ligand, I-TAC/CXCL11, activated Erk and Akt through beta-arrestin. The
demonstration that SDF-1-bound CXCR7 activates G(i/o) proteins in astrocytes
could help to explain some discrepancies previously observed for the function of
CXCR4 and CXCR7 in other cell types.
PMID- 22083879
TI - The role of cytoplasmic nanospaces in smooth muscle cell Ca2+ signalling.
AB - We address the importance of cytoplasmic nanospaces in Ca(2+) transport and
signalling in smooth muscle cells and how quantitative modelling can shed
significant light on the understanding of signalling mechanisms. Increasingly
more convincing evidence supports the view that these nanospaces--nanometre-scale
spaces between organellar membranes, hosting cell signalling machinery--are key
to Ca(2+) signalling as much as Ca(2+) transporters and Ca(2+) storing
organelles. Our research suggests that the origin of certain diseases is to be
sought in the disruption of the proper functioning of cytoplasmic nanospaces. We
begin with a historical perspective on the study of smooth muscle cell plasma
membrane-sarcoplasmic reticulum nanospaces, including experimental evidence of
their role in the generation of asynchronous Ca(2+) waves. We then summarize how
stochastic modelling approaches have aided and guided our understanding of two
basic functional steps leading to healthy smooth muscle cell contraction. We
furthermore outline how more sophisticated and realistic quantitative stochastic
modelling is now being employed not only to deepen our understanding but also to
aid in the hypothesis generation for further experimental investigation.
PMID- 22083880
TI - Al NMR: a novel NMR data processing program optimized for sparse sampling.
AB - Sparse sampling in biomolecular multidimensional NMR offers increased acquisition
speed and resolution and, if appropriate conditions are met, an increase in
sensitivity. Sparse sampling of indirectly detected time domains combined with
the direct truly multidimensional Fourier transform has elicited particular
attention because of the ability to generate a final spectrum amenable to
traditional analysis techniques. A number of sparse sampling schemes have been
described including radial sampling, random sampling, concentric sampling and
variations thereof. A fundamental feature of these sampling schemes is that the
resulting time domain data array is not amenable to traditional Fourier transform
based processing and phasing correction techniques. In addition, radial sampling
approaches offer a number of advantages and capabilities that are also not
accessible using standard NMR processing techniques. These include sensitivity
enhancement, sub-matrix processing and determination of minimal sets of sampling
angles. Here we describe a new software package (Al NMR) that enables these
capabilities in the context of a general NMR data processing environment.
PMID- 22083881
TI - Alteration of ictal and interictal perfusion in patients with paroxysmal
kinesigenic dyskinesia.
AB - Although previous cerebral blood flow studies have suggested that the basal
ganglia or thalamus are involved in the pathogenesis of paroxysmal kinesigenic
dyskinesia (PKD), the precise anatomic substrate or pathophysiological networks
associated with PKD remain unclear. Here, ictal and interictal single photon
emission computed tomography (SPECT) in 2 patients with idiopathic PKD compared
to 6 age-matched normal controls and the perfusion findings of subtraction ictal
SPECT co-registered to MRI (SISCOM) in 1 patient are reported. The interictal and
ictal perfusion changes were different in each of the patients and there were no
consistent anatomic substrates observed. 2 patients had significant perfusion
changes in the left frontal/temporal cortices compared to controls, whereas the
others showed an increased uptake of 99mTc-ethyl cysteinate dimer (ECD) in the
left occipital area on subtraction SPECT imaging. The results of this study
suggest that the pathophysiology of PKD cannot be simply explained by lesions of
the basal ganglia or thalamus, and that other associated areas of the cortex are
likely involved in these movement disorders.
PMID- 22083882
TI - Complicated pneumococcal meningitis in a fully vaccinated child: value of
magnetic resonance imaging monitoring.
AB - We present a unique case of life-threatening pneumococcal meningitis complicated
by vasculitis in a fully vaccinated 4-year-old female with the heptavalent
conjugate vaccine for Streptococcus pneumoniae (PCV7). Serotype 23F was isolated
in both blood and cerebral spinal fluid (CSF) samples. Pulses of
methylprednisolone were promptly initiated in addition to antibiotics leading to
a good recovery. CSF studies and magnetic resonance imaging (MRI) of the brain
were used for diagnosis and monitoring the response to corticoid therapy. We
report the investigations of a child with failure of PCV7 vaccine to protect
against vaccine-serotype invasive disease. Use of corticosteroids and temporal
association with changes in brain imaging are described for the first time in
literature.
PMID- 22083884
TI - Barcoded nucleotides.
PMID- 22083883
TI - Molecular interactions between glycopeptide vancomycin and bacterial cell wall
peptide analogues.
AB - The molecular interactions of the glycopeptide antibiotic vancomycin (Van) with
bacterial cell wall analogues N,N'-diacetyl-L-Lys-D-Ala-D-Ala (Ac(2) KdAdA) and
N,N'-diacetyl-L-Lys-D-Ala-D-Lac (Ac(2) KdAdL) were investigated in neat water,
phosphate buffer and HEPES buffer by using fluorescence correlation spectroscopy
(FCS) and molecular dynamics (MD) simulations. The FCS determined dissociation
constants (k(d)) show that the intrinsic binding affinity between Van and the
drug-sensitive peptide ligand Ac(2)KdAdA remains invariant when the solvent is
changed from neat water to either PBS or HEPES buffer; this demonstrates that
there are no obvious solvent effects on the association between Van and
Ac(2)KdAdA due to the strong intermolecular interaction between the two moieties.
When compared to Ac(2)KdAdA, a significantly larger k(d) value was observed for
the binding between the drug-resistant peptide ligand Ac(2)KdAdL and Van.
Furthermore, the k(d) increased by about 8- to 11-times when the solvent was
changed from neat water to 10 mM phosphate/HEPES buffer. The stability of the
Ac(2)KdAdL-Van complex was dependent on the concentration of the buffer and k(d)
increases as the concentration of either phosphate ions or HEPES increased until
an equilibrium was attained. Both FCS and MD simulation studies clearly showed
that the components constituting the buffer solution (e.g., phosphate ions and
HEPES) are involved in molecular interactions with the binding pocket of Van and
they profoundly affect the intrinsic stability of the complex formed between the
low-affinity Ac(2)KdAdL and Van. These results could help us to better understand
the detailed structure and activity of glycopeptide antibiotic derivatives toward
bacterial cell wall peptide analogues, and can further facilitate the development
of new drug candidates against drug-resistant bacterial strains.
PMID- 22083885
TI - Prevention of radiation-induced xerostomia by submandibular gland transfer.
AB - BACKGROUND: This study was carried out for the purpose of evaluating the efficacy
of submandibular gland transfer to prevent radiation-induced xerostomia. METHODS:
Thirty-eight patients with oropharyngeal carcinoma were recruited. Twenty-six
submandibular glands were transferred into the submental space to elude
radiotherapy in 24 patients (transfer group); the submandibular gland was not
disturbed in the control group (n = 14). The salivary flow rate, xerostomia, and
quality of life (QOL) were assessed preoperatively, postoperatively, and after
radiotherapy. The swallowing function was then evaluated after radiotherapy.
RESULTS: All the transferred glands survived and functioned after radiotherapy.
The submandibular salivary flow rate recovered by 6 months after radiotherapy in
the transfer group, whereas the flow rate declined drastically after radiotherapy
and remained at a low level in the longer term in the control group. Two years
after radiotherapy, 92.3% of patients in the transfer group had no or minimal
xerostomia. QOL in the transfer group was better than that in the control group
from 3 months after radiotherapy. Histologically, the majority of the transferred
glands had normal glandular acini and ducts. There was no significant difference
in dysphagia between the groups. CONCLUSIONS: The submandibular gland can be
successfully transferred to the submental space, thus preserving salivary
function and preventing radiation-induced xerostomia. The transfer of the
submandibular gland can improve the QOL by alleviating xerostomia, although it
did not relieve dysphagia in this study.
PMID- 22083887
TI - Optimal apheresis treatment volume for the efficacy and safety of
leukocytapheresis with Cellsorba in patients with active ulcerative colitis.
AB - BACKGROUND: Leukocytapheresis (LCAP) is used as an adjunct therapy for patients
with active ulcerative colitis (UC). Although, LCAP is routinely performed at
3,000 mL per session, we were interested to see that if this can be replaced with
bodyweight (BW) adjusted volume. METHODS: In an open label prospective trial, the
clinical response to BW adjusted LCAP (BWA-LCAP) was evaluated in 14 patients
with active UC. Fourteen demography matched UC patients who had been treated with
the routine 3,000 mL LCAP were randomly sampled from our database as a control
group. All patients were given 10 weekly LCAP sessions. In the BWA-LCAP group,
the processed blood volume (PBV) was set at 30 mL/kg * BW/session. Baseline
demographic measures were not significantly different between the two groups.
RESULTS: The average PBV in the BWA-LCAP group was 1971.0 +/- 330.0 mL, range
1,020-2,460. In both groups, the average UC clinical disease activity index, the
endoscopic index, and the concomitant prednisolone dosage were significantly and
equally reduced during the course of 10 LCAP. Accordingly, at the end of the
trial, no significant difference was seen in any outcome measure between the two
groups. However, a significantly higher incidence of adverse event (AE) was
observed in the routine 3,000 mL LCAP group as compared with the BWA-LCAP group
(P < 0.01). CONCLUSIONS: The outcomes of this investigation showed that the
therapeutic efficacy of LCAP based on 30 mL/kg * BW is similar to the routine
3,000 mL per session LCAP. However, BWA-LCAP should be favored if one is to see
the full potential of LCAP without AE.
PMID- 22083888
TI - Highly sensitive and specific detection of neonicotinoid insecticide imidacloprid
in environmental and food samples by a polyclonal antibody-based enzyme-linked
immunosorbent assay.
AB - BACKGROUND: Imidacloprid is one of the main neonicotinoid insecticides widely
used in agriculture owing its broad spectrum of activity and low bioaccumulation.
However, imidacloprid is toxic to honey bees and other beneficial organisms, and
its residues may occur in environmental and food samples, posing a potential
hazard to consumers. In this study the imidacloprid derivative bearing a three
atom length spacer was synthesized and coupled to carrier proteins. Highly
sensitive and specific polyclonal antibodies against imidacloprid were
successfully produced and the polyclonal antibody-based enzyme-linked
immunosorbent assay (pAb-ELISA) was developed. RESULTS: The ELISA standard curve
was constructed within the concentration range 0.1-100 ng mL(-1). The IC(50)
value for nine standard curves was in the range 1.2-3.0 ng mL(-1) and the limit
of detection was 0.03-0.16 ng mL(-1). The sensitivity of the assay was one order
of magnitude higher than that in most published papers. There was almost no cross
reactivity of the antibody with four structurally related compounds (acetamiprid,
nicotine, clothianidin and nitenpyram) and six other compounds, indicating that
the assay displays not only high sensitivity but also high specificity. No
detectable imidacloprid was found in 11 collected environmental and food samples
by the assay. For imidacloprid-spiked samples, acceptable recoveries of 73.4
94.4% and intra-assay coefficients of variation of 2.2-12.8% were obtained. The
assay was also validated with high-performance liquid chromatography (HPLC) and a
good correlation of ELISA with HPLC was achieved. CONCLUSION: The proposed ELISA
provides a sensitive, specific, simple and cost-effective quantitative/screening
method for detecting imidacloprid in environmental and food samples.
PMID- 22083890
TI - Interaction of hesperetin glucuronide conjugates with human BCRP, MRP2 and MRP3
as detected in membrane vesicles of overexpressing baculovirus-infected Sf9
cells.
AB - The citrus flavonoid hesperetin (4'-methoxy-3',5,7-trihydroxyflavanone) is the
aglycone of hesperidin, the major flavonoid present in sweet oranges. Hesperetin
7-O-glucuronide (H7G) and hesperetin 3'-O-glucuronide (H3'G) are the two most
abundant metabolites of hesperetin in vivo. In this study, their interaction with
specific ABC transporters, believed to play a role in the disposition and
bioavailability of hesperetin, was studied using Sf9 membranes from cells
overexpressing human BCRP (ABCG2), MRP2 (ABCC2) and MRP3 (ABCC3). Both H7G and
H3'G were tested for their potential to activate and inhibit ATPase activity, and
to inhibit vesicular transport by these transporters. Both H7G and H3'G
demonstrated interaction with all tested ABC transporters, especially with BCRP
and MRP3. An interesting difference between H7G and H3'G was seen with respect to
the interaction with BCRP: H7G stimulated the ATPase activity of BCRP up to 76%
of the maximal effect generated by the reference activator sulfasalazine, with an
EC(50) of 0.45 uM, suggesting that H7G is a high affinity substrate of BCRP,
whereas H3'G did not stimulate BCRP ATPase activity. Only moderate inhibition of
BCRP ATPase activity at high H3'G concentrations was observed. This study
provides information on the potential of hesperetin glucuronide conjugates to act
as specific ABC transporter substrates or inhibitors and indicates that regio
specific glucuronidation could affect the disposition of hesperetin.
PMID- 22083891
TI - Chiral self-assembled solid microspheres: a novel multifunctional microphotonic
device.
AB - Solid chiral microspheres with unique and multifunctional optical properties are
produced from cholesteric liquid crystal-water emulsions using
photopolymerization processes. These self-organizing microspheres exhibit
different internal configurations of helicoidal structures with radial, conical
or cylindrical geometries, depending on the physicochemical characteristics of
the precursor liquid crystal emulsion.
PMID- 22083892
TI - Tissue transglutaminase, inflammation, and cancer: how intimate is the
relationship?
AB - Despite significant advances in surgery and biology, cancer remains a major
health problem. It is now well accepted that metastasis and cancer cells'
acquired or inherent resistance to conventional therapies are major roadblocks to
successful treatment. Chronic inflammation is an important driving force that
provides a favorable platform for cancer's progression and development and
suggests a link between inflammation and metastatic transformation. However, how
chronic inflammation contributes to metastatic cell transformation is not well
understood. According to the current theory of cancer progression, a small
subpopulation of cancer stem cells (CSCs) in tumors is responsible for their
metastasis, resistance, and sustenance. Whether CSCs originate from normal stem
cells or from dedifferentiation of terminally differentiated cells remains
unknown. Recent evidence indicates that stem cells are not unique; malignant or
nonmalignant cells can reprogram and de-differentiate to acquire a stemness
phenotype. Thus, phenotypic plasticity may exist between stem cells and non-stem
cells, and a dynamic equilibrium may exist between the two phenotypes. Moreover,
this equilibrium may shift in one direction or another on the basis of contextual
signals in the microenvironment that influence the interconversion between stem
and non-stem cell compartments. Whether the inflammatory microenvironment
influences this interconversion and shifts the dynamic equilibrium towards stem
cell compartments remains unknown. We recently found that aberrant tissue
transglutaminase (TG2) expression induces the mesenchymal transition (EMT) and
stem cell characteristics in epithelial cells. This finding, in conjunction with
the observation that inflammatory signals (e.g., TGFbeta, TNFalpha, and NF
kappaB) which induce EMT, also induce TG2 expression, suggests a possible link
between TG2, inflammation, and cancer progression. In this review, we summarize
TG2-driven processes in inflammation and their implications in cancer
progression.
PMID- 22083893
TI - Involvement of AtoSC two-component system in Escherichia coli flagellar regulon.
AB - The AtoSC two-component system in Escherichia coli is a key regulator of many
physiological processes. We report here the contribution of AtoSC in E. coli
motility and chemotaxis. AtoSC locus deletion in DeltaatoSC cells renders cells
not motile or responsive against any chemoattractant or repellent independently
of the AtoSC inducer's presence. AtoSC expression through plasmid complemented
the DeltaatoSC phenotype. Cells expressing either AtoS or AtoC demonstrated
analogous motility and chemotactic phenotypes as DeltaatoSC cells, independently
of AtoSC inducer's presence. Mutations of AtoC phosphate-acceptor sites
diminished or abrogated E. coli chemotaxis. trAtoC, the AtoC constitutive active
form which lacks its receiver domain, up-regulated E. coli motility. AtoSC
enhanced the transcription of the flhDC and fliAZY operons and to a lesser extent
of the flgBCDEFGHIJKL operon. The AtoSC-mediated regulation of motility and
chemotactic response required also the expression of the CheAY system. The AtoSC
inducers enhanced the AtoSC-mediated motility and chemotaxis. Acetoacetate or
spermidine further promoted the responses of only AtoSC-expressing cells, while
Ca(2+) demonstrated its effects independently of AtoSC. Histamine regulated
bacterial chemotaxis only in atoSC (+) cells in a concentration-dependent manner
while reversed the AtoSC-mediated effects when added at high concentrations. The
trAtoC-controlled motility effects were enhanced by acetoacetate or spermidine,
but not by histamine. These data reveal that AtoSC system regulates the motility
and chemotaxis of E. coli, participating in the transcriptional induction of the
main promoters of the chemotactic regulon and modifying the motility and
chemotactic phenotypes in an induction-dependent mechanism.
PMID- 22083895
TI - Assessing the effectiveness of environmental enrichment in bottlenose dolphins
(Tursiops truncatus).
AB - Environmental enrichment is often used to improve well-being and reduce
stereotyped behaviors in animals under human care. However, the use of objects to
enrich animal environments should not be considered to be effective until its
success has been scientifically demonstrated. This study was conducted at Asterix
Park in France in April 2009. The study investigated the use of 21 familiar
objects with a group of six bottlenose dolphins (Tursiops truncatus). The dolphin
trainers introduced four different objects into the dolphin pool every day on a
rotating basis. Using a focal-object sampling method, we collected and analyzed
data from twenty-one 15 min sessions. The results revealed a positive correlation
between interest behaviors and interactive behaviors. Some dolphins had "favorite
toys". However, only 50% of objects elicited manipulative behaviors. These
findings demonstrate that dolphins do not treat all objects provided to them as
"toys". Behavioral changes in the animals subsequent to the introduction of
objects do not necessarily indicate an enrichment effect of the objects; rather,
the motivation for the dolphins' behaviors toward the objects must be
investigated. The animals' behavior must be considered in light of the social
context and of the animals' individual behavioral profiles. The relevance of a
constructivist approach to evaluating the effectiveness of enrichment programs is
discussed.
PMID- 22083896
TI - Astragaloside IV and cycloastragenol stimulate the phosphorylation of
extracellular signal-regulated protein kinase in multiple cell types.
AB - Two Chinese herb-derived small molecule telomerase activators, astragaloside IV
(AG-IV) and cycloastragenol (CAG), have recently been shown to improve the
proliferative response of CD8+ T lymphocytes from HIV-infected patients by
upregulating telomerase activity. Here, we examined the signaling mechanism of AG
IV and CAG. Telomerase activity in human embryonic kidney HEK293 fibroblasts was
increased upon treatment with increasing concentrations of AG-IV or CAG. Both
compounds induced the phosphorylation of extracellular signal-regulated protein
kinase (ERK) in a time- and dose-dependent manner in HEK293 cells and HEK-neo
keratinocytes. AG-IV and CAG also stimulated ERK phosphorylation in other cell
lines of lung, brain, mammary, endothelial, and hematopoietic origins. Use of
selective inhibitors and dominant negative mutants revealed the involvement of c
Src, MEK (ERK kinase), and epidermal growth factor receptor in CAG-induced ERK
phosphorylation. Our data indicate that AG-IV and CAG may exert their cellular
effects through the activation of the Src/MEK/ERK pathway.
PMID- 22083897
TI - 20-OH-ecdysone prevents hot flushes in ovariectomized rats.
AB - Hot flushes are due to the lack of estrogens and are the most characteristic
climacteric complaints. Hormone replacement therapy was the standard treatment
but now its use is limited because of side effects. Need therefore arises to
search for non-estrogenic alternatives. The molting hormone 20-beta
hydroxyecdysone (Ecd) is produced by several plants including spinach and has no
estrogenic or androgenic properties but enhances GABAergic effects in neurons.
Since GABAergic compounds can ameliorate hot flushes, we investigated the effects
of Ecd on subcutaneous body temperature of intact and ovariectomized (ovx) rats.
The subcutaneous body temperature was recorded at 5-min intervals over a period
of 3 hours. Rats were then ovx, and skin temperatures were recorded after an
acute intravenous (5 mg) and during subchronic and chronic oral application of
Ecd (73 mg/animal/day). For additional control purposes, a group of ovx rats
received food containing estradiol-17 beta (E2). Skin temperature in individual
ovx animals fluctuated largely with peaks (hot flushes) occurring every 20-40
minutes. Following the i.v. treatment with Ecd, skin temperature dropped by more
than 1 degrees C, an effect much larger than in the controls. One and two weeks
later, hot flushes were only seen in ovx controls but not in intact, E2-, or Ecd
treated animals. As a consequence, E2 and Ecd intake significantly (p < 0.05)
reduced the mean temperature in ovx rats during the various time points of the
study. These results suggest that Ecd is efficient to prevent hot flushes in ovx
rats.
PMID- 22083894
TI - Drosophila as a model for epithelial tube formation.
AB - Epithelial tubular organs are essential for life in higher organisms and include
the pancreas and other secretory organs that function as biological factories for
the synthesis and delivery of secreted enzymes, hormones, and nutrients essential
for tissue homeostasis and viability. The lungs, which are necessary for gas
exchange, vocalization, and maintaining blood pH, are organized as highly
branched tubular epithelia. Tubular organs include arteries, veins, and
lymphatics, high-speed passageways for delivery and uptake of nutrients, liquids,
gases, and immune cells. The kidneys and components of the reproductive system
are also epithelial tubes. Both the heart and central nervous system of many
vertebrates begin as epithelial tubes. Thus, it is not surprising that defects in
tube formation and maintenance underlie many human diseases. Accordingly, a
thorough understanding how tubes form and are maintained is essential to
developing better diagnostics and therapeutics. Among the best-characterized
tubular organs are the Drosophila salivary gland and trachea, organs whose
relative simplicity have allowed for in depth analysis of gene function, yielding
key mechanistic insight into tube initiation, remodeling and maintenance. Here,
we review our current understanding of salivary gland and trachea formation -
highlighting recent discoveries into how these organs attain their final form and
function.
PMID- 22083898
TI - Evaluation of the antibacterial activity of Chilean plants traditionally used for
wound healing therapy against multidrug-resistant Staphylococcus aureus.
AB - Anti-staphylococcal activity of Chilean medicinal plants traditionally used by
the Huilliche people for wound healing therapy was evaluated against nine
Staphylococcus aureus strains. Three extracts of 26 plant samples (20 species)
were evaluated by agar overlay bioautography and MIC determination. Total
phenolics and tannins were determined, and the antibacterial contribution of the
latter was evaluated. The diffusion assay showed that 17 species were active
against susceptible S. AUREUS and that 15 species were active against resistant
S. aureus. Removal of tannins from extracts rendered only six species active. MIC
determination showed that 20 extracts had antibacterial activity on all eight
strains, and the most potent MIC value was 64 ug/mL. Remarkably, 37 extracts were
active against the otherwise multidrug-resistant vanthida strain. Our findings
support the wound healing properties of Huilliche medicinal plants and the
hypothesis that these plants are promising sources of potential anti
staphylococcal agents towards multidrug-resistant strains.
PMID- 22083899
TI - Phosphodiesterase I-inhibiting Diels-Alder adducts from the leaves of Morus
mesozygia.
AB - A new 2-arylbenzofuran derivative, (+)-dimethylsmoracin O (1), and three new
Diels-Alder type adducts, mesozygins A-C (2-4), in addition to eight known
compounds, artonin I (5), chalcomaracin (6), norartocarpetin (7), moracin L (8),
mulberrofuran F (9), moracin M (10), moracin C (11), and morachalcone A (12),were
isolated from the leaves of Morus mesozygia Stapf. Structures were elucidated by
spectroscopic data analyses. Compounds 2-7 displayed a potent phosphodiesterase I
inhibitory activity.
PMID- 22083900
TI - Metabolites of the mangrove fungus Xylaria sp. BL321 from the South China Sea.
AB - Two new lactones, 1 and 2, together with five known compounds, 3-7, were isolated
from the marine mangrove fungus Xylaria sp. BL321. Their structures were
determined by comprehensive analysis of their MS and NMR spectroscopic data. The
absolute configurations of 1 and 2 were established on the basis of electronic
circular dichroism calculations. It was found that the exocyclic double bond of 1
rearranged into a cyclic double bond to form a new crystal compound (1a) in
diluted NaOH solution. Compound 3 was isolated for the first time as a natural
product; its absolute configuration was determined by single-crystal X-ray
crystallography. Compounds 4-7 displayed cytotoxicity against human breast cancer
cell lines MCF-7 and MDA-MB-435, while compounds 1- 3 were inactive (IC(50) > 50
uM).
PMID- 22083901
TI - Comparison of the conductance of three types of porphyrin-based molecular wires:
beta,meso,beta-fused tapes, meso-Butadiyne-linked and twisted meso-meso linked
oligomers.
AB - The length dependence of charge transport is evaluated in three families of
porphyrin-based wires. Planar edge-fused tapes and alkyne-linked oligomers
mediate efficient charge transport with exceptionally shallow distance
dependence, whereas the conductances of the twisted singly linked chains decrease
steeply with increasing oligomer length. The planar tapes are more conjugated
than the alkyne-linked oligomers, but these two types of wires have similar
conductance attenuation factors.
PMID- 22083902
TI - 2,2'-Biphospholes: building blocks for tuning the HOMO-LUMO gap of pi-systems
using covalent bonding and metal coordination.
PMID- 22083903
TI - Indications for pedicled pectoralis major flap in a free tissue transfer
practice.
AB - BACKGROUND: The pedicled pectoralis major myocutaneous flap (PMMF) was highly
used over the last 3 decades. The current era of free tissue transfer has
gradually relegated the PMMF to a secondary role. METHODS: In a retrospective
review of all patients undergoing pedicled PMMF from 2002 to now, we sought to
determine the current role and assess the outcomes of the PMMF in a primary
microvascular head and neck reconstructive center. RESULTS: Fifty-five PMMFs were
performed in 53 patients (24 myocutaneous and 31 myofascial); group 1 consisted
of 20 patients (38%) who underwent a secondary PMMF after a free flap
complication (flap failure 9/20, fistula 5/20, wound breakdown with great vessel
exposure 3/20, loss of soft tissue skin paddle 2/20, and delayed hematoma in
1/20); group 2 included 18 patients (33%) who had a simultaneous free flap with a
PMMF for dead space filler or cervical skin/great vessel coverage reconstruction,
whereas the remaining 15 patients (29%) in group 3 underwent primary PMMF for
reconstruction of cervical skin defect, great vessel coverage, pharyngocutaneous
fistula, infection, and dead space filler. Complications of PMMF included 3
hematomas, 2 partial dehiscences, 1 chest wall abscess, and 1 case of mastitis.
Because of cervical tethering, 14 of 53 patients underwent secondary pectoralis
myectomy with cervical contracture release at a mean of 10.3 months after initial
surgery. CONCLUSION: The pedicled PMMF continues to serve an important role in
head and neck reconstruction in the microvascular era with notable uses for free
flap rescue, with simultaneous free flap reconstruction and for primary
reconstruction in select circumstances with an overall acceptable short- and long
term morbidity profile.
PMID- 22083904
TI - Blue emitting 3pi-2spiro terfluorene-indenofluorene isomers: a structure
properties relationship study.
AB - Two novel terfluorenyl derivatives, 2,2'',7,7''-tetrakis(9,9-dioctyl-9H-fluoren-2
yl)dispiro[fluorene-9,11'-indeno-(2,1-a)-fluorene-12',9''-fluorene] ((2,1-a)-DST
IF) and 2,2'',7,7''-tetrakis(9,9-dioctyl-9H-fluoren-2-yl)dispiro- [fluorene-9,6'
indeno-(1,2-b)-fluorene-12',9''-fluorene] ((1,2-b)-DST-IF) have been synthesized
by two different synthetic approaches. These terfluorenyl derivatives possess a
different central indenofluorene core, namely (2,1-a)-indenofluorene or (1,2-b)
indenofluorene, which imposes two distinct geometry profiles, and different
structural environments for the terfluorenyl fluorophores that translates into
drastically different optical and electrochemical properties for (2,1-a)-DST-IF
and (1,2-b)-DST-IF. These properties have been carefully studied through a
combined experimental and theoretical approach. The (2,1-a)-DST-IF isomer has
been successfully used as emitting layer in a blue single-layer small-molecule
organic light-emitting diode (SMOLED) and appears as the first example of a blue
emission arising from intramolecular terfluorenyl excimers. Regarding the
importance of terfluorenyl derivatives in organic electronics, the present
structure-properties relationship study, may open new avenues in the design of
efficient blue fluorophores.
PMID- 22083905
TI - Osteoporosis Patient Treatment Satisfaction Questionnaire in postmenopausal women
intermittently treated with oral bisphosphonates: the BRAVO study.
AB - The Osteoporosis Patient Treatment Satisfaction Questionnaire (OPSAT-Q) is a
psychometric measure of patient satisfaction with bisphosphonate treatment for
osteoporosis. The study was a multicenter, nationwide, cross-sectional, patient
reported outcome study conducted to evaluate treatment satisfaction and quality
of life using the OPSAT-Q in patients receiving oral bisphosphonate therapy. This
study enrolled postmenopausal women from 43 hospitals and 112 clinics who had
intermittently taken oral bisphosphonates for treatment of osteoporosis. 4,220
postmenopausal Korean women with a mean age of 65.3 years and a mean body mass
index of 22.9 kg/m(2) participated in the study. All items within each subscale
domain were more highly correlated with their hypothesized subscale domain
relative to the other subscale domains, and all 16 items were significantly
correlated with an overall composite satisfaction score (CSS). All scores showed
acceptable internal consistency reliability (Cronbach's alpha >0.70, range 0.88
0.91). Comparisons of OPSAT-Q scores were made between selective subgroups of
participants: monthly versus weekly administration, years of taking
bisphosphonates, smoking habitus, acid-related medication and comorbid
conditions. Mean OPSAT-Q subscale domains and CSS were higher for users of
monthly bisphosphonates, with shorter duration, non-smokers, and non-users of
acid-related medication. Mean OPSAT-Q subscale domain scores of side-effects were
high for absence of comorbid conditions. The OPSAT-Q demonstrated acceptable
measurement properties, including validity and reliability of subscale domains
and CSS, in oriental women with postmenopausal osteoporosis. Postmenopausal women
intermittently using oral bisphosphonate therapy reported increased satisfaction
with monthly administration, with shorter duration, non-smokers, non-users of
acid-related medication, and an absence of comorbid conditions.
PMID- 22083906
TI - Asymptomatic Paget's bone disease in ethnic Thais: a series of four case reports
and a review of the literature.
AB - Paget's bone disease is quite common in some parts of Europe and countries
inhabited by European emigrants, but it is rare in Asia. There have been only 13
reported cases in Southeast Asia, including one reported case from Thailand. Half
of the previously reported cases had bone symptoms and the other half were
asymptomatic, but were incidentally discovered when patients were being
investigated for other medical problems. Here are reported cases of four
asymptomatic patients who presented elevation of serum alkaline phosphatase
during routine annual medical checkups. All patients were of Chinese descent and
all cases were proven by biopsy. Based on this experience, we are of the opinion
that a substantial number of unrecognized cases of Paget's disease exist among
ethnic Thais. We feel that they would be revealed if clinicians were alerted of
its presence and if they included it as a possible diagnosis together with
metastasis and osteoporosis when examining bone lesions or when results for
elevated serum alkaline phosphatase are detected during routine checkups. We also
anticipate that a higher prevalence of this disease may occur in future Thai
generations due to the addition of offspring from Asian-European intermarriages
to offspring of Chinese descent in the ethnic Thai population.
PMID- 22083907
TI - Triterpenoid saponins from the genus Camellia.
PMID- 22083908
TI - Chemical constituents of plants from the genus Machilus.
PMID- 22083909
TI - Chemical constituents of plants from the genus Trigonostemon.
PMID- 22083910
TI - Historical variation of structural novelty in a natural product library.
AB - To evaluate the potential of natural products as novel structure suppliers, a
historical analysis was performed on the structural novelty of a natural product
library, viz., the Chapman & Hall/CRC Dictionary of Natural Products. The results
show that although the unexplored natural product universe is still ample, it is
more and more difficult to find novel agents from nature, with the discovery
probability of novel structures and scaffolds being lower than 50% in the near
future, which mainly results from the intrinsic redundancy of natural products
and, thus, is unlikely to be reversed merely through technical progresses.
PMID- 22083911
TI - Chemical characterization and genetic relationships among Ocimum basilicum L.
cultivars.
AB - Twenty-seven Ocimum basilicum cultivars were subjected to a chemical
characterization of essential oil components by gas chromatography/mass
spectrometry (GC/MS) and a genetic characterization using the amplified fragment
length polymorphism (AFLP) technique. Since the same 27 accessions had previously
been classified into six morphotypes, these analyses allowed us to make detailed
comparisons of chemistry, genetics, and morphology. The chemical composition and
morphology of the studied cultivars appeared to have a strong genetic component.
The AFLP analysis revealed a distinction between the green and purple
morphotypes. The green morphotypes predominantly utilized the terpene
biosynthetic pathway, while most purple morphotypes primarily utilized the
phenylpropene biosynthetic pathway. The GC/MS analysis led to identification of
87 volatiles. Among the 27 cultivars, five chemotypes were identified. A detailed
characterization of the essential oil constituents indicated the existence of
both specific combinations of compounds and 'private' compounds with the
potential to be used in many aspects of human life. The established relationship
between a genetic profile, chemical composition, and morphology represents an
important step in future breeding programs and in the cultivation of this
species.
PMID- 22083912
TI - Composition of the essential oils in various organs at different developmental
stages of Ammi visnaga (L.) Lam. from Tunisia.
AB - The composition of the essential oils isolated by hydrodistillation from various
organs at different development stages of Ammi visnaga (L.) Lam. growing in
Tunisia was determined by GC/MS analysis. In particular, the oil profiles of the
leaves, stems, flower buds, roots, umbels, and fruits have been examined during
the whole life cycle. The oil from the flowering aerial parts was characterized
by a high content of isoamyl 2-methylbutanoate. After flowering and during
desiccation and fructification, the umbels and fruits expressed a high content of
linalool. The oils, extracted from the roots collected in the vegetatif, buds
floral, and floral stages, were rich in monoterpene aldehydes, oxygenated
monoterpenes, and monoterpene hydrocarbons. The highest level of non-terpene
hydrocarbons was found at the flower-bud stage, represented by 61.3% of nonane.
Among the monoterpenes, sabinene (12.5%) and beta-pinene (8.5%) were identified
in the flower buds.
PMID- 22083913
TI - Volatiles of Curcuma mangga Val. & Zijp (Zingiberaceae) from Malaysia.
AB - Analysis by GC and GC/MS of the essential oil obtained from Malaysian Curcuma
mangga Val. & Zijp (Zingiberaceae) rhizomes allowed the identification of 97
constituents, comprising 89.5% of the total oil composition. The major compounds
were identified as myrcene (1; 46.5%) and beta-pinene (2; 14.6%). The chemical
composition of this and additional 13 oils obtained from selected Curcuma L. taxa
were compared using multivariate statistical analyses (agglomerative hierarchical
cluster analysis and principal component analysis). The results of the
statistical analyses of this particular data set pointed out that 1 could be
potentially used as a valuable infrageneric chemotaxonomical marker for C.
mangga. Moreover, it seems that C. mangga, C. xanthorrhiza Roxb., and C. longa L.
are, with respect to the volatile secondary metabolites, closely related. In
addition, comparison of the essential oil profiles revealed a potential influence
of the environmental (geographical) factors, alongside with the genetic ones, on
the production of volatile secondary metabolites in Curcuma taxa.
PMID- 22083914
TI - New flavanones from the leaves of Cryptocarya chinensis and their
antituberculosis activity.
AB - Four new flavanones, cryptoflavanones A-D (1-4, resp.), together with eight known
compounds, were isolated from the leaves of Cryptocarya chinensis. The structures
of these new compounds were determined by spectral analyses. Among the isolated
compounds, pinocembrin (5) and cryptocaryone (6) exhibited antituberculosis
activity against Mycobacterium tuberculosis H(37) Rv strain in vitro with MIC
values of 3.5 and 25.0 MUg/ml, respectively.
PMID- 22083915
TI - Novel tirucallane-type triterpenoids from Aphanamixis grandifolia.
AB - Phytochemical investigation on the stem bark of Aphanamixis grandifolia afforded
five novel tirucallane-type triterpenoids, (13alpha,14beta,17alpha,23Z)-25
methoxy-21,23-epoxylanosta-7,20(22),23-triene-3,21-dione (1),
(13alpha,14beta,17alpha,23Z)-21,23-epoxylanosta-7,20(22),23,25-tetraene-3,21
dione (2), (3R,5R, 9R,10R,13S,14S,17S)-17-{(2R,3S,5R)-5-[(2S)-3,3-dimethyloxiran
2-yl]-2,3,4,5-tetrahydro-2,5-dimethoxyfuran-3-yl}-4,4,10,13,14-pentamethyl
2,3,4,5,6,9,10,11,12,13,14,15,16,17-tetradecahydro-1H-cyclopenta[a]phenanthren-3
ol (3), (5R,9R,10R,13S,14S,17S)-17-{(2R,3S,5R)-5-[(2S)-3,3-dimethyloxiran-2-yl]
2,5-dimethoxytetrahydrofuran-3-yl}-1,2,4,5,6,9,10,11,12,13,14,15,16,17
tetradecahydro-4,4,10,13,14-pentamethyl-3H-cyclopenta[a]phenanthren-3-one (4),
and (3alpha,13alpha,14beta,17alpha,20S,23R)-23-ethoxy-3-hydroxy-21,23-epoxylanost
7-en-24-one (5). The (1) H- and (13) C-NMR spectra of all compounds were fully
assigned using a combination of 2D-NMR experiments, including HSQC, HMBC, and
ROESY sequences. The structure of 1 with the absolute configuration was
determined by ECD calculation. Compounds 3 and 4 showed moderate activities
against human MCF-7 and HeLa cancer cells.
PMID- 22083916
TI - Synthesis of (glycopyranosyl-triazolyl)-purines and their inhibitory activities
against protein tyrosine phosphatase 1B (PTP1B).
AB - Development of novel purine derivatives has attracted considerable interest,
since both purine and purine-based nucleosides display a wide range of crucial
biological activities in nature. We report here a novel expansion of these
studies by introducing gluco- or galactopyranosyl scaffold to the N- or 9
position (or both) of 6-Cl purine moiety via Cu(I)-catalyzed Huisgen 1,3-dipolar
cycloaddition. By such an efficient reaction, a series of glycosyl-triazolyl
purines were successfully synthesized in good yields. Biological evaluation
showed that the majority of these glycoconjugates were good PTP1B inhibitors with
IC(50) values in low micromolar range (1.5-11.1 MUM). The benzylated sugar
derivatives displayed better inhibitory potency than that of the acetylated ones.
Replacement of Cl by MeO at C(6) of the purine moiety decreased the inhibition in
the case of benzylated (glycosyl-mono-triazolyl)-purines 11 and 12 (IC(50) >80
MUM), whereas MeO-substituted benzylated bis[galactosyl-triazolyl]-purine 16
possessed the best inhibitory activity with an IC(50) value of 1.5 MUM.
Additionally, these compounds exhibited 2- to 57-fold selectivity over other PTPs
(TCPTP, SHP1, SHP2, and LAR).
PMID- 22083917
TI - Sea sand disruption method (SSDM) as a valuable tool for isolating essential oil
components from conifers.
AB - Essential oils are one of nature's most precious gifts with surprisingly potent
and outstanding properties. Coniferous oils, for instance, are nowadays being
used extensively to treat or prevent many types of infections, modify immune
responses, soothe inflammations, stabilize moods, and to help ease all forms of
non-acute pain. Given the broad spectrum of usage of coniferous essential oils, a
fast, safe, simple, and efficient sample-preparation method is needed in the
estimation procedure of essential oil components in fresh plant material.
Generally, the time- and energy-consuming steam distillation (SD) is applied for
this purpose. This paper will compare SD, pressurized liquid extraction (PLE),
matrix solid-phase dispersion (MSPD), and the sea sand disruption method (SSDM)
as isolation techniques to obtain aroma components from Scots pine (Pinus
sylvestris), spruce (Picea abies), and Douglas fir (Pseudotsuga menziesii).
According to the obtained data, SSDM is the most efficient sample preparation
method in determining the essential oil composition of conifers. Moreover, SSDM
requires small organic solvent amounts and a short extraction time, which makes
it an advantageous alternative procedure for the routine analysis of coniferous
oils. The superiority of SSDM over MSPD efficiency is ascertained, as there are
no chemical interactions between the plant cell components and the sand. This
fact confirms the reliability and efficacy of SSDM for the analysis of volatile
oil components.
PMID- 22083918
TI - Volatile components of whole and different plant parts of bastard balm (Melittis
melissophyllum L., Lamiaceae) collected in Central Italy and Slovakia.
AB - The aim of this work was to trap the volatiles released from whole frozen and dry
aerial parts, and, separately, from different organs (leaves, stems, corolla and
calyx) of bastard balm (Melittis melissophyllum L., Lamiaceae) populations
collected in Italy and Slovakia by HS-SPME, and to identify the headspace
constituents responsible for the characteristic aroma impression by GC/FID and
GC/MS techniques. Among more than 100 volatile components detected, the C(8)
alcohol oct-1-en-3-ol, responsible for the typical mushroom-like odor, and the
phenolic coumarin, with a characteristic sweet and creamy vanilla bean odor,
played a major role in the aroma of whole aerial parts and different plant organ
samples. In particular, dry calyx parts could be proposed as flavoring agent in
food products as mushroom aroma enhancer. Multivariate chemometric techniques,
such as cluster analysis and principal component analysis, were used to
characterize the sample populations according to the geographical origin and
processing of plant material.
PMID- 22083919
TI - Secondary metabolites from two species of Pulicaria and their cytotoxic activity.
AB - Two new compounds, the sesquiterpene (1E,5E)-8beta-acetoxy-4alpha-hydroxy-7betaH
germacra-1(10),5-dien-14-oic acid (2), and a nor-sesquiterpene, (5E)-8beta
acetoxy-4alpha-hydroxy-7betaH-germacr-5-en-10-one (3), were isolated from
Pulicaria canariensis ssp. lanata, along with ten known compounds, including the
flavonoid 5,3'-dihydroxy-3,7,4'-trimethoxyflavone (4). From Pulicaria burchardii,
we isolated seven known compounds; the physical and spectroscopic data of the
triterpenoid 3beta-hydroxytaraxaster-20-en-30-al (1) are reported. The structures
of compounds 1-3 were determined on the basis of HR-MS, and 1D- and 2D-NMR
studies. The structure of 2 was corroborated by X-ray crystal diffraction. Cell
viability experiments revealed that the semisynthetic flavonoid 4b was the most
cytotoxic compound against human leukemia cells, and the cytotoxicity was caused
by induction of apoptosis, as determined by microscopy of nuclear changes.
PMID- 22083920
TI - Glucosinolate distribution in aerial parts of Degenia velebitica.
AB - The glucosinolates present in the leaf, stem, and seed extracts of Degenia
velebitica (Degen) Hayek were characterized and quantified according to the ISO
9167-1 method, which is based on the HPLC analysis of desulfoglucosinolates. The
stems contained glucoalyssin (3a) as the major compound as well as glucoberteroin
(1a) and glucoaubrietin (4a). The leaves contained three glucosinolates, the
major one being 3a, followed by glucobrassicanapin (2a) and 1a. Glucoberteroin
(1a) was the major glucosinolate in the seeds, along with the two minor
glucosinolates 3a and glucoerucin (5a). The content of 1a in the whole, non
defatted seeds amounted to 4% (w/w). The compound was characterized as its
desulfo counterpart by spectroscopic techniques.
PMID- 22083922
TI - Honatisine, a novel diterpenoid alkaloid, and six known alkaloids from Delphinium
honanense and their cytotoxic activity.
AB - A novel diterpene alkaloid named honatisine (1) has been isolated from the whole
plants of Delphinium honanense, along with six known alkaloids, siwanine E (2),
isoatisine (3), atisine (4), delcorinine (5), uraphine (6), and nordhagenine A
(7). Their structures were deduced on the basis of their spectral data. All of
them were evaluated by a SRB assay for their cytotoxicity, and compound 1 showed
a significant cytotoxic activity (IC(50) =3.16 MUM) against the MCF-7 cell line.
PMID- 22083921
TI - Armenin and isoarmenin--two prenylated coumarins from the aerial parts of
Artemisia armeniaca.
AB - The reversed-phase (RP) preparative HPLC analyses of the MeOH extract of the
aerial parts of Artemisia armeniaca yielded four prenylated coumarins, 7-hydroxy
8-(4-hydroxy-3-methylbutoxy)coumarin (named armenin), 8-hydroxy-7-(4-hydroxy-3
methylbutoxy)coumarin (named isoarmenin), lacarol, and deoxylacarol, together
with five other compounds, including three flavonoid glycosides, quercetin 3-O
beta-D-glucopyranoside, rutin, and kaempferol 3-O-beta-D-glucopyranoside, and
chlorogenic acid, and tryptophan. (10E,12Z)-9-Hydroxyoctadeca-10,12-dienoic acid
(beta-dimorphecolic acid) was isolated from the CH(2)Cl(2) extract. Armenin and
isoarmenin were new coumarins. Structures of all compounds were determined by
spectroscopic means, including UV, MS, 1D- and 2D-NMR. The in vitro free-radical
scavenging property of the extracts and isolated compounds was evaluated by the
1,1-diphenyl-2-picrylhydrazyl (DPPH) assay.
PMID- 22083923
TI - Phenolic compounds from the rhizomes of Dioscorea bulbifera.
AB - One new bibenzyl, 7, and one new diarylheptanone, diobulbinone A (18), together
with sixteen known compounds, 1-6 and 8-17, have been isolated form the rhizomes
of Dioscorea bulbifera. Their structures were elucidated by NMR and MS analyses.
Compound 7 showed high antioxidant capacity in FRAP assay and DPPH radical
scavenging activity.
PMID- 22083924
TI - Four new doramectin congeners with acaricidal and insecticidal activity from
Streptomyces avermitilis NEAU1069.
AB - Four new doramectin congeners, 1-4, were isolated from Streptomyces avermitilis
NEAU1069. The structures of 1-4 were elucidated on the basis of spectroscopic
analysis, including 1D- and 2D-NMR as well as HR-ESI-MS, ESI-MS, UV, and IR, and
comparison with literature data. All compounds exhibited noticeable acaricidal
and insecticidal activities. Especially compound 2 was found to be the most
potent pesticide of the compounds evaluated with the IC(50) values of 10.2, 65.1
and 124.4 MUg/ml against adult two-spotted spider mites (Tetranychus urticae
Koch), two-spotted spider mite eggs, and Mythimna separata, respectively, which
are comparable to those of commercial pesticide milbemycin A(3)/A(4) as positive
reference.
PMID- 22083925
TI - Phenolic derivatives from Ruprechtia polystachya and their inhibitory activities
on the glucose-6-phosphatase system.
AB - Two new compounds, 5-methyl-2-(2-methylbutanoyl)phloroglucinol 1-O-(6-O-beta-D
apiofuranosyl)-beta-D-glucopyranoside (1) and trans-2,3-dihydrokaempferol 3-O-(4
O-sulfo)-alpha-L-arabinopyranoside (2), together with 14 known flavonoids, trans
dihydrokaempferol 3-O-alpha-L-arabinopyranoside (3), trans-taxifolin 3-O-alpha-L
arabinofuranoside (4), quercetin 3-O-alpha-L-rhamnopyranoside (5), quercetin 3'-O
alpha-L-arabinofuranoside (6), catechin 3-O-alpha-L-rhamnopyranoside (7), trans
taxifolin 3-O-alpha-L-arabinopyranoside (8), cis-dihydrokaempferol 3-O-alpha-L
arabinopyranoside (9), catechin (10), myricetin 3-O-alpha-L-rhamnopyranoside
(11), quercetin 3-O-alpha-L-arabinopyranoside (12), quercetin 3-O-alpha-L
arabinofuranoside (13), quercetin 3-O-(3"-galloyl)-alpha-L-rhamnopyranoside (14),
quercetin 3-O-(2"-galloyl)-alpha-L-rhamnopyranoside (15), and epicatechin 3-O
gallate (16), were isolated from the leaves of Ruprechtia polystachya Griseb.
(Polygonaceae). Their structures were established on the basis of extensive 1D-
and 2D-NMR experiments as well as MS analyses. All compounds, except 1, showed
inhibition of the enzyme glucose-6-phosphatase in intact microsomes.
PMID- 22083926
TI - Triterpenes from the fungus Poria cocos and their inhibitory activity on nitric
oxide production in mouse macrophages via blockade of activating protein-1
pathway.
AB - Two new triterpenes, 29-hydroxydehydrotumulosic acid (1) and 29
hydroxydehydropachymic acid (2), together with six known compounds,
dehydropachymic acid (3), dehydrotumulosic acid (4), 29-hydroxypolyporenic acid C
(5), polyporenic acid C (6), tumulosic acid (7), and pachymic acid (8), were
isolated from the dried sclerotia of Poria cocos. In the in vitro bioassays,
these isolated compounds reduced, in a dose-dependent manner, nitric oxide (NO)
production from lipopolysaccharide (LPS)-induced RAW 264.7 cells, with compounds
5 and 6, the IC(50) values of which were 16.8+/-2.7 and 18.2+/-3.3 MUM,
respectively, exhibiting the greatest inhibition activity. Further Western blot
analysis conducted on cells pre-treated with compounds 5 and 6, and luciferase
assays on activator protein 1-dependent gene expression revealed that the
inhibited NO release was attributed to the reduced expression of iNOs (=inducible
NO synthase) enzymes, which might be regulated via the blockade of activator
protein-1 signaling pathway.
PMID- 22083927
TI - Anticandidal activity of the essential oil of Nepeta transcaucasica Grossh.
AB - Hydrodistallation of the aerial parts of Nepeta transcaucasica Grossh.
(Lamiaceae), collected in Agri, Dogubayazit Province, afforded an essential oil
that was characterized by GC and GC/MS analyses. Twenty-seven compounds,
representing 97.69% of the total oil composition, were identified, and
4aalpha,7alpha,7abeta-nepetalactone (1; 39%), 4aalpha,7alpha,7aalpha
nepetalactone (2; 28%), and germacrene D (3; 15%) constituted the major
components. The anticandidal effects of the oil were evaluated against seven
Candida strains by using the broth microdilution method. The oil showed good
inhibitory effects against C. glabrata and C. tropicalis at minimal inhibitory
concentrations (MICs) of 0.09 and 0.375 mg/ml, respectively.
PMID- 22083928
TI - Chemical composition and in vitro schistosomicidal activity of the essential oil
of Plectranthus neochilus grown in Southeast Brazil.
AB - The chemical composition and the in vitro schistosomicidal effects of the
essential oil of Plectranthus neochilus (PN-EO) grown in Southeast Brazil was
studied. beta-Caryophyllene (1; 28.23%), alpha-thujene (2; 12.22%), alpha-pinene
(3; 12.63%), beta-pinene (4; 6.19%), germacrene D (5; 5.36%), and caryophyllene
oxide (6; 5.37%) were the major essential oil constituents. This chemical
composition differed from that previously reported for specimens harvested in
Africa. Concerning the in vitro schistosomicidal activity against adult
Schistosoma mansoni worms, PN-EO was considered to be active, but less effective
than the positive control praziquantel (PZQ) in terms of separation of coupled
pairs, mortality, decrease in the motor activity, and tegumental alterations.
However, PN-EO caused an interesting dose-dependent reduction in the number and
the percentage of developed S. mansoni eggs. These results suggest that PN-EO
might be very promising for the development of new schistosomicidal agents.
PMID- 22083929
TI - Chemistry students and human rights.
PMID- 22083930
TI - Effect of feed intake level on ileal digestibilities of crude protein and amino
acids in diets for piglets.
AB - BACKGROUND: The study was conducted to determine the effect of graded levels of
feed intake on apparent (AID) and standardized (SID) ileal digestibilities of
crude protein (CP) and amino acids (AA) in diets for piglets. The piglets were
surgically fitted with simple T-cannulas at the distal ileum. The cornstarch
casein-soybean meal-based diets were fed at three graded levels of feed intake
corresponding to 30, 45 and 60 g kg(-1) body weight (BW) per day. RESULTS: The
AID and SID of most AA were quadratically affected by the feed intake level
(P<=0.05). Initially, both AID and SID of most AA increased up to 1.9 percentage
units as the feed intake level was increased from 30 to 45 g kg(-1) BW.
Thereafter, these AID and SID values decreased by 2.6 and 2.7 percentage units,
respectively, as the feed intake level was further increased from 45 to 60 g kg(
1) BW. CONCLUSION: Because the voluntary feed intake is highly variable in
piglets after weaning, comparison of ileal AA digestibilities between and within
studies may be confounded by variations in feed intake level. Thus, when
designing digestibility studies with piglets, a standardization of feed intake
should be taken into consideration.
PMID- 22083931
TI - Recruitment and enrollment of caregivers for a lifestyle physical activity
clinical trial.
AB - This article presents the efficacy of the recruitment framework used for a
clinical trial with sedentary family caregivers of persons with Alzheimer's
disease. An integrated social marketing approach with principles of community
based participatory research provided the theoretical framework for organizing
recruitment activities. This multi-pronged approach meant that caregivers were
identified from a range of geographic locations and numerous sources including a
federally funded Alzheimer's disease center, health care providers, community
based and senior organizations, and broad-based media. Study enrollment
projections were exceeded by 11% and resulted in enrolling n = 211 caregivers
into this clinical trial. We conclude that social marketing and community-based
approaches provide a solid foundation for organizing recruitment activities for
clinical trials with older adults.
PMID- 22083932
TI - Predicting pulmonary adenocarcinoma outcome based on a cytology grading system.
AB - BACKGROUND: Pulmonary adenocarcinoma (AD) has a variety of architectural
patterns. Recently, a 3-tiered histological pattern-based grading system was
developed for stage I lung AD, stratifying patients into low, intermediate, and
high risk for recurrence. However, cytology may serve as the primary method for
diagnosis in patients with inoperable disease. Attempts to correlate architecture
between parallel cytological and histological preparations have not been
successful. Therefore, we evaluated cytomorphologic features of previously
histologically graded AD to identify features of potential prognostic
significance. METHODS: One hundred and thirteen fine-needle aspirations with
excised adenocarcinomas were reviewed. In the liquid-based preparation, we
evaluated cell arrangements(flat sheets vs 3-D clusters vs single cells), nuclear
features (size variability, shape, and contour),nucleoli (prominent or
inconspicuous), presence of nuclear inclusions, chromatin (fine, coarse,or
clumped), and quality of background. The features were tested by multivariate
analysis to identify associations with histological grade and disease-free
survival (DFS), and a cytological score was generated. RESULTS: Nuclear size,
chromatin pattern, and nuclear contours showed a significant association with
histological grade and DFS. These features were included in the composite
cytological score (range,0-5). By grouping the cytological scores, we stratified
the tumors into low (median DFS, 100%), intermediate(median DFS, 78%), and high
(median DFS, 55%) rate of recurrence (P 1/4 .008). There was a good correlation
with the histological grading system. CONCLUSIONS: In liquid-based preparations,
distinctive cytological features of pulmonary adenocarcinoma correlate with
levels of histological differentiation and can be combined into a score with
prognostic significance.
PMID- 22083933
TI - Effect of diet on the incidence of and mortality owing to gastritis and renal
disease in captive cheetahs (Acinonyx jubatus) in South Africa.
AB - Seventy-two adult cheetahs were evaluated for the degree of gastritis by
endoscopic biopsy and for renal disease by serum creatinine. Cheetahs free of
Grade 3 gastritis and renal disease were placed on Trial A; remaining cheetahs
were placed on Trial B, which ran concurrently. All cheetahs were monitored for 4
years. Cheetahs exited Trial A and entered Trial B if they developed Grade 3
gastritis or renal disease. Cheetahs exited Trial B if they developed clinical
gastritis or renal disease that required a dietary change or aggressive medical
therapy or died owing to either disease. Cheetahs on Trial A were fed either a
supplemented meat diet (N = 26) or commercial cat food (N = 22). Cheetahs on
Trial B were fed either the same meat diet (N = 28) or a commercial dry cat food
formulated for renal disease (N = 16). Cheetahs fed meat on Trial A had a daily
hazard of developing Grade 3 gastritis 2.21 times higher (95% CI 0.95-5.15) than
cheetahs fed commercial cat food. This hazard was not statistically significant
(P = 0.07). Mean gastritis scores were not significantly different between the
two groups. Cheetahs fed commercial cat food in both Trials had lower serum urea
levels and higher creatinine levels than those fed meat. Evidence for the effect
of diet in cheetahs with gastritis and/or renal disease (Trial B) was
inconclusive. The number of cheetahs dying of gastritis or renal disease at the
facility has dropped markedly since the study began. These results indicate that
diet may play an important role in the incidence of Grade 3 gastritis and that
dietary and/or therapeutic management of gastritis may reduce mortality owing to
gastritis and renal disease in captive cheetahs.
PMID- 22083934
TI - Location, location, cis-mutation.
PMID- 22083935
TI - BAG3 in heart disease: novel clues for cardiomyocyte survival from the Z-disk?
PMID- 22083936
TI - High-performance organic optoelectronic devices enhanced by surface plasmon
resonance.
AB - The surface plasmon effect on polymer solar cells and polymer light-emitting
diodes is demonstrated by using metal nanoparticles prepared from block copolymer
templates. Light absorption of the polymer thin layer is increased with the
incorporation of metallic nanostructures, resulting in a significant surface
plasmon effect in the optoelectronic devices.
PMID- 22083937
TI - Expression of cancer-testis antigens MAGE-A4 and MAGE-C1 in oral squamous cell
carcinoma.
AB - BACKGROUND: Tumor markers are genes or their products expressed exclusively or
preferentially in tumor cells and cancer-testis antigens (CTAs) form a group of
genes with a typical expression pattern expressed in a variety of malignant
neoplasms. CTAs are considered potential targets for cancer vaccines. It is
possible that the CTA MAGE-A4 (melanoma antigen) and MAGE-C1 are expressed in
carcinoma of the oral cavity and are related with survival. METHODS: This study
involved immunohistochemical analysis of 23 patients with oral squamous cell
carcinoma (SCC) and was carried out using antibodies for MAGE-A4 and MAGE-C1.
Fisher's exact test and log-rank test were used to evaluate the results. RESULTS:
The expression of the MAGE-A4 and MAGE-C1 were 56.5% and 47.8% without
statistical difference in studied variables and survival. CONCLUSION: The
expression of at least 1 CTA was present in 78.3% of the patients, however,
without correlation with clinicopathologic variables and survival.
PMID- 22083938
TI - Quinone-based, redox-active resorcin[4]arene cavitands.
PMID- 22083939
TI - Squaraine dyes as efficient coupling bridges between triarylamine redox centres.
AB - Various indolenine squarylium dyes with additional electron-donating amine redox
centres have been synthesised and their redox chemistry has been studied. A
combination of cyclic voltammetry, spectro-electrochemistry and DFT calculations
has been used to characterise the electronic structure of the mono-, di- and, in
one case, trications. All monocations still retain the cyanine-like, delocalised
character due to the relatively low redox potential of the squaraine bridge and
are therefore compounds of Robin-Day class III. Thus we extended previous studies
on organic mixed-valence systems by using the indolenine squaraine moiety as very
electron-rich bridge between two electron-donating amine redox centres to provoke
a strong coupling between the additional redox centres. We synthesised TA3, which
has an N-N distance of 26 bonds between the triarylamine redox centres and is to
our knowledge the longest bis(triarylamine) radical cation that is completely
delocalised. We furthermore show that altering the symmetry of a squaraine dye by
substitution of a squaric ring oxygen atom by a dicyanomethylene group has a
direct impact on the optical properties of the monocations. In case of the
dications, it turned out that the energetically most stable state of
dianisylamine-substituted squaraines is an anti-ferromagnetically coupled open
shell singlet state.
PMID- 22083940
TI - Risk factors of cardiac troponin T elevation in patients with stable coronary
artery disease after elective coronary drug-eluting stent implantation.
AB - BACKGROUND: Cardiac troponin T elevation after coronary intervention has been
demonstrated to be associated with the prognosis of coronary artery disease
(CAD). However, there were few studies about comprehensive risk factors analysis
of troponin T elevation after elective drug-eluting stent (DES) implantation.
HYPOTHESIS: The prognosis of CAD after coronary interventions was associated with
clinical and procedural risk factors of CAD, such as age, hypertension, severity
extent of CAD and so on. METHODS: From March to December in 2010, patients with
stable CAD were admitted for elective coronary intervention in our hospital. They
were divided into an elevated troponin T group and a normal troponin T group by
postprocedural troponin T. Clinical factors, laboratory-test factors, and
angiographic factors (such as gender, age, cholesterol, Gensini score, and
others) were analyzed. RESULTS: A total of 209 patients with an average age of
64.0 +/- 9.9 years were enrolled in the study: 70 patients with elevated troponin
T (>=0.03 ng/mL) after DES implantation and 139 patients with normal troponin T
(<0.03 ng/mL). After univariate analysis, we found that age, hypertension, total
cholesterol, low density lipoprotein-cholesterol (LDL-C), Gensini score, number
of stenosed vessels, and total implanted stents were associated with
postprocedural troponin T elevation. According to the results of multivariate
analysis, we found that age, total cholesterol, number of stenosed vessels, and
number of implanted stents were independent risk factors of postprocedural
troponin T elevation. CONCLUSIONS: Age, serum total cholesterol, number of
stenosed vessels, and number of implanted stents could be independent risk
factors of troponin T elevation after elective DES implantation.
PMID- 22083941
TI - Molecular mechanisms for anti-aging by natural dietary compounds.
AB - Aging is defined as a normal decline in survival with advancing age; however, the
recent researches have showed that physiological functions of the body change
during the aging process. Majority of the changes are often subject to a higher
risk of developing diseases, such as cardiovascular disease, type II diabetes,
Alzheimer's disease, Parkinson's disease, as well as the dysregulated immune and
inflammatory disorders. Aging process is controlled by a complicated and precise
signaling network that involved in energy homeostasis, cellular metabolism and
stress resistance. Over the past few decades, research in natural dietary
compounds by various organism and animal models provides a new strategy for anti
aging. Natural dietary compounds act through a variety mechanisms to extend
lifespan and prevent age-related diseases. This review summarizes the current
understanding on signaling pathways of aging and knowledge and underlying
mechanism of natural dietary compounds that provide potential application on anti
aging and improve heath in human.
PMID- 22083942
TI - Canonical Wnt signaling in the notochordal cell is upregulated in early
intervertebral disk degeneration.
AB - The notochordal cell (NC) of the nucleus pulposus (NP) is considered a potential
NP progenitor cell, and early intervertebral disk (IVD) degeneration involves
replacement of NCs by chondrocyte-like cells (CLCs). Wnt/beta-catenin signaling
plays a crucial role in maintaining the notochordal fate during embryogenesis,
but is also involved in tissue degeneration and regeneration. The canine species,
which can be subdivided into non-chondrodystrophic and chondrodystrophic breeds,
is characterized by differential maintenance of the NC: in non-chondrodystrophic
dogs, the NC remains the predominant cell type during the majority of life, with
IVD degeneration only occurring at old age; conversely, in chondrodystrophic dogs
the NC is lost early in life, with concurrent degeneration of all IVDs. This
study investigated Wnt/beta-catenin signaling in the healthy, NC-rich NP and
early degenerated, CLC-rich NP of both breed types by immunohistochemistry of
beta-catenin and relative gene expression of brachyury and cytokeratin 8
(notochordal markers) and Wnt targets axin2, cyclin D1, and c-myc. Both NCs and
CLCs showed nuclear and cytoplasmic beta-catenin protein expression and axin2
gene expression, but beta-catenin signal intensity and Wnt target gene expression
were higher in the CLC-rich NP. Primary NCs in monolayer culture (normoxic
conditions) showed Wnt/beta-catenin signaling comparable to the in vivo
situation, with increased cyclin D1 and c-myc gene expression. In conclusion,
Wnt/beta-catenin signaling activity in the NC within the NC-rich NP and in
culture supports the role of this cell as a potential progenitor cell; increased
Wnt/beta-catenin signaling activity in early IVD degeneration may be a reflection
of its dual role.
PMID- 22083943
TI - Nanoscale DNA tetrahedra improve biomolecular recognition on patterned surfaces.
AB - The bottom-up approach of DNA nano-biotechnology can create biomaterials with
defined properties relevant for a wide range of applications. This report
describes nanoscale DNA tetrahedra that are beneficial to the field of biosensing
and the targeted immobilization of biochemical receptors on substrate surfaces.
The DNA nanostructures act as immobilization agents that are able to present
individual molecules at a defined nanoscale distance to the solvent thereby
improving biomolecular recognition of analytes. The tetrahedral display devices
are self-assembled from four oligonucleotides. Three of the four tetrahedron
vertices are equipped with disulfide groups to enable oriented binding to gold
surfaces. The fourth vertex at the top of the bound tetrahedron presents the
biomolecular receptor to the solvent. In assays testing the molecular
accessibility via DNA hybridization and protein capturing, tetrahedron-tethered
receptors outperformed conventional immobilization approaches with regard to
specificity and amount of captured polypeptide by a factor of up to seven. The
bottom-up strategy of creating DNA tetrahedrons is also compatible with the top
down route of nanopatterning of inorganic substrates, as demonstrated by the
specific coating of micro- to nanoscale gold squares amid surrounding blank or
poly(ethylene glycol)-passivated glass surfaces. DNA tetrahedra can create
biofunctionalized surfaces of rationally designed properties that are of
relevance in analytical chemistry, cell biology, and single-molecule biophysics.
PMID- 22083944
TI - Differential effect of beta-blockers for heart rate control in coronary artery
disease.
AB - BACKGROUND: Resting heart rate is an independent risk factor for cardiovascular
disease and is mainly controlled by beta-blockers (BBs). BBs are part of the
optimal medical treatment for coronary artery disease (CAD), and their benefit
correlates with resting heart rate (RHR) reduction. HYPOTHESIS: RHR is poorly
controlled in daily practice among patients with stable cardiovascular disease,
and control is only achieved by some BBs. METHODS: Observational, cross
sectional, and multicenter study of CAD patients recruited nationwide from 20
institutions. Antecedents, risk factors, and treatments were collected.
Controlled RHR was considered at <70 bpm. RESULTS: The mean age of the 2897
patients included was 67.4 years (11.4%), and 75.9% were males. Patients treated
with a BB (56.5%) had a lower mean age and comorbidities. The mean RHR was 69.6
bpm (12.6). A significantly lower RHR was observed in patients treated with a BB
compared to the rest (67.2 vs 73.0 bpm; P<0.01), and no difference was observed
in patients treated with a calciumchannel blocker (CCB). The analysis by
individual agents identified that only patients treated with atenolol,
bisoprolol, and metoprolol had significantly lower RHR than those not receiving a
BB. No differences were observed in mean doses of each agent according to RHR
control, except for verapamil. BB treatment was independently associated with RHR
control (odds ratio [OR]: 2.42, 95% CI: 2.05-2.87; P<0.01), and no association
was found for nondihydropyridine CCBs (OR: 0.99, 95% CI: 0.96-1.02; P = 0.38).
Bisoprolol (OR: 1.56, 95% CI: 1.38-1.78; P<0.01), atenolol (OR: 2.01, 95% CI:
1.57-3.49; P<0.01), and metoprolol (OR: 1.29, 95% CI: 1.04-1618; P = 0.04) were
independently associated with RHR control. CONCLUSIONS: RHR is poorly controlled
in CAD patients, and although BBs are the most efficient therapy, in daily
clinical practice RHR <70 bpm is only independently associated with atenolol,
bisoprolol, or metoprolol.
PMID- 22083945
TI - Mapping hemodynamic correlates of seizures using fMRI: A review.
AB - Functional magnetic resonance imaging (fMRI) is able to detect changes in blood
oxygenation level associated with neuronal activity throughout the brain. For
more than a decade, fMRI alone or in combination with simultaneous EEG recording
(EEG-fMRI) has been used to investigate the hemodynamic changes associated with
interictal and ictal epileptic discharges. This is the first literature review to
focus on the various fMRI acquisition and data analysis methods applied to map
epileptic seizure-related hemodynamic changes from the first report of an fMRI
scan of a seizure to the present day. Two types of data analysis approaches,
based on temporal correlation and data driven, are explained and contrasted. The
spatial and temporal relationship between the observed hemodynamic changes using
fMRI and other non-invasive and invasive electrophysiological and imaging data is
considered. We then describe the role of fMRI in localizing and exploring the
networks involved in spontaneous and triggered seizure onset and propagation. We
also discuss that fMRI alone and combined with EEG hold great promise in the
investigation of seizure-related hemodynamic changes non-invasively in humans. We
think that this will lead to significant improvements in our understanding of
seizures with important consequences for the treatment of epilepsy.
PMID- 22083948
TI - Cross-coupling of aryl Grignard reagents with aryl iodides and bromides through
S(RN)1 pathway.
PMID- 22083949
TI - Plasma Epstein-Barr virus DNA concentration and clearance rate as novel
prognostic factors for metastatic nasopharyngeal carcinoma.
AB - BACKGROUND: To investigate the pretreatment copy number and the clearance rate of
plasma Epstein-Barr virus (EBV) DNA as novel prognostic outcome markers for
metastatic nasopharyngeal carcinoma (NPC). METHODS: Seventy-three patients with
metastatic NPC were treated at outpatient department. Plasma EBV DNA
concentrations and half-life values of plasma viral clearance rates, were
determined by real-time quantitative polymerase chain reaction. RESULTS:
Treatment response evaluated after 3 to 6 months of treatment showed that the
overall response rate was 53.5%. The pretreatment plasma EBV DNA concentrations
and the half-life of plasma EBV DNA clearance rates had significant effects on
treatment response and overall survival prediction. In the chemotherapy regimen,
gemcitabine plus cisplatin had a better treatment outcome than the cisplatin plus
oral UFT and calcium folinate-based regimens. CONCLUSIONS: The pretreatment
plasma EBV DNA copy number and their clearance rates are significant predictors
for NPC treatment outcome.
PMID- 22083951
TI - New insights into cyclobutenone rearrangements: a total synthesis of the natural
ROS-generating anti-cancer agent cribrostatin 6.
AB - Aryl- and heteroarylcyclobutenone rearrangements proceed in excellent yield under
continuous-flow conditions. The former shows a Hammett correlation with sigma(I)
providing strong evidence that electrocyclisation is the rate-determining step
and has a late transition state. The reaction has been modelled by using DFT and
CCSD(T) methods, with the latter giving excellent correlation with the
experimental rate constant. A short and efficient total synthesis of cribrostatin
6, an anti-neoplastic and anti-microbial agent, provides a topical demonstration
of the value of this method.
PMID- 22083950
TI - Regulation of epithelial permeability by the actin cytoskeleton.
AB - The actin cytoskeleton is a dynamic structure necessary for cell and tissue
organization, including the maintenance of epithelial barriers. The epithelial
barrier regulates the movement of ions, macromolecules, immune cells, and
pathogens, and is thus essential for normal organ function. Disruption in the
epithelial barrier has been shown to coincide with alterations of the actin
cytoskeleton in several disease states. These disruptions primarily manifest as
increased movement through the paracellular space, which is normally regulated by
tight junctions (TJ). Despite extensive research demonstrating a direct link
between the actin cytoskeleton and epithelial permeability, our understanding of
the physiological mechanisms that link permeability and tight junction structure
are still limited. In this review, we explore the role of the actin cytoskeleton
at TJ and present several areas for future study.
PMID- 22083952
TI - The forkhead transcription factor FOXK2 promotes AP-1-mediated transcriptional
regulation.
AB - The transcriptional control circuitry in eukaryotic cells is complex and is
orchestrated by combinatorially acting transcription factors. Forkhead
transcription factors often function in concert with heterotypic transcription
factors to specify distinct transcriptional programs. Here, we demonstrate that
FOXK2 participates in combinatorial transcriptional control with the AP-1
transcription factor. FOXK2 binding regions are widespread throughout the genome
and are often coassociated with AP-1 binding motifs. FOXK2 acts to promote AP-1
dependent gene expression changes in response to activation of the AP-1 pathway.
In this context, FOXK2 is required for the efficient recruitment of AP-1 to
chromatin. Thus, we have uncovered an important new molecular mechanism that
controls AP-1-dependent gene expression.
PMID- 22083953
TI - RBFOX2 promotes protein 4.1R exon 16 selection via U1 snRNP recruitment.
AB - The erythroid differentiation-specific splicing switch of protein 4.1R exon 16,
which encodes a spectrin/actin-binding peptide critical for erythrocyte membrane
stability, is modulated by the differentiation-induced splicing factor RBFOX2. We
have now characterized the mechanism by which RBFOX2 regulates exon 16 splicing
through the downstream intronic element UGCAUG. Exon 16 possesses a weak 5'
splice site (GAG/GTTTGT), which when strengthened to a consensus sequence
(GAG/GTAAGT) leads to near-total exon 16 inclusion. Impaired RBFOX2 binding
reduces exon 16 inclusion in the context of the native weak 5' splice site, but
not the engineered strong 5' splice site, implying that RBFOX2 achieves its
effect by promoting utilization of the weak 5' splice site. We further
demonstrate that RBFOX2 increases U1 snRNP recruitment to the weak 5' splice site
through direct interaction between its C-terminal domain (CTD) and the zinc
finger region of U1C and that the CTD is required for the effect of RBFOX2 on
exon 16 splicing. Our data suggest a novel mechanism for exon 16 5' splice site
activation in which the binding of RBFOX2 to downstream intronic splicing
enhancers stabilizes the pre-mRNA-U1 snRNP complex through interactions with U1C.
PMID- 22083954
TI - Novel roles of Caenorhabditis elegans heterochromatin protein HP1 and linker
histone in the regulation of innate immune gene expression.
AB - Linker histone (H1) and heterochromatin protein 1 (HP1) are essential components
of heterochromatin which contribute to the transcriptional repression of genes.
It has been shown that the methylation mark of vertebrate histone H1 is
specifically recognized by the chromodomain of HP1. However, the exact biological
role of linker histone binding to HP1 has not been determined. Here, we
investigate the function of the Caenorhabditis elegans H1 variant HIS-24 and the
HP1-like proteins HPL-1 and HPL-2 in the cooperative transcriptional regulation
of immune-relevant genes. We provide the first evidence that HPL-1 interacts with
HIS-24 monomethylated at lysine 14 (HIS-24K14me1) and associates in vivo with
promoters of genes involved in antimicrobial response. We also report an increase
in overall cellular levels and alterations in the distribution of HIS-24K14me1
after infection with pathogenic bacteria. HIS-24K14me1 localization changes from
being mostly nuclear to both nuclear and cytoplasmic in the intestinal cells of
infected animals. Our results highlight an antimicrobial role of HIS-24K14me1 and
suggest a functional link between epigenetic regulation by an HP1/H1 complex and
the innate immune system in C. elegans.
PMID- 22083955
TI - Occludin: one protein, many forms.
AB - Intercellular tight junctions (TJs) exhibit a complex molecular architecture
involving the regulated cointeraction of cytoplasmic adaptor proteins (e.g.,
zonula occludens) and integral membrane linker proteins (e.g., occludin and
claudins). They provide structural integrity to epithelial and endothelial
tissues and create highly polarized barriers essential to homeostatic maintenance
within vertebrate physiological systems, while their dysregulation is an
established pathophysiological hallmark of many diseases (e.g., cancer, stroke,
and inflammatory lung disease). The junctional complex itself is a highly dynamic
signaling entity wherein participant proteins constantly undergo a blend of
regulatory modifications in response to diverse physiological and pathological
cues, ultimately diversifying the overall adhesive properties of the TJ.
Occludin, a 65-kDa tetraspan integral membrane protein, contributes to TJ
stabilization and optimal barrier function. This paper reviews our current
knowledge of how tissue occludin is specifically modified at the
posttranscriptional and posttranslational levels in diverse circumstances, with
associated consequences for TJ dynamics and epithelial/endothelial homeostasis.
Mechanistic concepts such as splice variance and alternate promoter usage,
proteolysis, phosphorylation, dimerization, and ubiquitination are
comprehensively examined, and possible avenues for future investigation
highlighted.
PMID- 22083956
TI - CBP mediates NF-kappaB-dependent histone acetylation and estrogen receptor
recruitment to an estrogen response element in the BIRC3 promoter.
AB - Estrogen receptor (ER) and NF-kappaB are transcription factors with profound
effects on breast cancer cell proliferation and survival. While many studies
demonstrate that ER and NF-kappaB can repress each other, we previously
identified a gene signature that is synergistically upregulated by these two
factors in more aggressive luminal B breast tumors. Herein, we examine a novel
mechanism of cross talk between ER and NF-kappaB that results in the upregulation
of the antiapoptotic gene BIRC3 (also known as cIAP2). We demonstrate that NF
kappaB, acting through two response elements, is required for ER recruitment to
an adjacent estrogen response element (ERE) in the BIRC3 promoter. This effect is
accompanied by a major increase in NF-kappaB-dependent histone acetylation around
the ERE. Interestingly, CBP, a histone acetyltransferase previously implicated in
repressive interactions between ER and NF-kappaB, plays a permissive role by
promoting histone acetylation and ER recruitment, as well as enhanced expression
of BIRC3. These findings suggest a new gene regulatory mechanism by which
inflammation and NF-kappaB activation can influence ER recruitment to inherently
inactive ER binding sites. This fine-tuning mechanism may explain how two factors
that generally repress each other's activity may work together on certain genes
to promote breast cancer cell survival and tumor progression.
PMID- 22083957
TI - Integration of regulatory networks by NKX3-1 promotes androgen-dependent prostate
cancer survival.
AB - The NKX3-1 gene is a homeobox gene required for prostate tumor progression, but
how it functions is unclear. Here, using chromatin immunoprecipitation coupled to
massively parallel sequencing (ChIP-seq) we showed that NKX3-1 colocalizes with
the androgen receptor (AR) across the prostate cancer genome. We uncovered two
distinct mechanisms by which NKX3-1 controls the AR transcriptional network in
prostate cancer. First, NKX3-1 and AR directly regulate each other in a feed
forward regulatory loop. Second, NKX3-1 collaborates with AR and FoxA1 to mediate
genes in advanced and recurrent prostate carcinoma. NKX3-1- and AR-coregulated
genes include those found in the "protein trafficking" process, which integrates
oncogenic signaling pathways. Moreover, we demonstrate that NKX3-1, AR, and FoxA1
promote prostate cancer cell survival by directly upregulating RAB3B, a member of
the RAB GTPase family. Finally, we show that RAB3B is overexpressed in prostate
cancer patients, suggesting that RAB3B together with AR, FoxA1, and NKX3-1 are
important regulators of prostate cancer progression. Collectively, our work
highlights a novel hierarchical transcriptional regulatory network between NKX3
1, AR, and the RAB GTPase signaling pathway that is critical for the genetic
molecular-phenotypic paradigm in androgen-dependent prostate cancer.
PMID- 22083958
TI - Histone H1 recruitment by CHD8 is essential for suppression of the Wnt-beta
catenin signaling pathway.
AB - Members of the chromodomain helicase DNA-binding (CHD) family of proteins are
thought to regulate gene expression. Among mammalian CHD proteins, CHD8 was
originally isolated as a negative regulator of the Wnt-beta-catenin signaling
pathway that binds directly to beta-catenin and suppresses its transactivation
activity. The mechanism by which CHD8 inhibits beta-catenin-dependent
transcription has been unclear, however. Here we show that CHD8 promotes the
association of beta-catenin and histone H1, with formation of the trimeric
complex on chromatin being required for inhibition of beta-catenin-dependent
transactivation. A CHD8 mutant that lacks the histone H1 binding domain did not
show such inhibitory activity, indicating that histone H1 recruitment is
essential for the inhibitory effect of CHD8. Furthermore, either depletion of
histone H1 or expression of a dominant negative mutant of this protein resulted
in enhancement of the response to Wnt signaling. These observations reveal a new
mode of regulation of the Wnt signaling pathway by CHD8, which counteracts beta
catenin function through recruitment of histone H1 to Wnt target genes. Given
that CHD8 is expressed predominantly during embryogenesis, it may thus contribute
to setting a threshold for responsiveness to Wnt signaling that operates in a
development-dependent manner.
PMID- 22083959
TI - RAD6 regulates the dosage of p53 by a combination of transcriptional and
posttranscriptional mechanisms.
AB - Maintaining an appropriate cellular concentration of p53 is critical for cell
survival and normal development in various organisms. In this study, we provide
evidence that the human E2 ubiquitin-conjugating enzyme RAD6 plays a critical
role in regulating p53 protein levels under both normal and stress conditions.
Knockdown and overexpression of RAD6 affected p53 turnover and transcription. We
showed that RAD6 can form a ternary complex with MDM2 and p53 that contributes to
the degradation of p53. Chromatin immunoprecipitation (ChIP) analysis showed that
RAD6 also binds to the promoter and coding regions of the p53 gene and modulates
the levels of H3K4 and K79 methylation on local chromatin. When the cells were
exposed to stress stimuli, the RAD6-MDM2-p53 ternary complex was disrupted; RAD6
was then recruited to the chromatin of the p53 gene, resulting in an increase in
histone methylation and p53 transcription. Further studies showed that stress
induced p53 transcriptional activation, cell apoptosis, and disrupted cell cycle
progression are all RAD6 dependent. Overall, this work demonstrates that RAD6
regulates p53 levels in a "yin-yang" manner through a combination of two distinct
mechanisms in mammalian cells.
PMID- 22083960
TI - Recognition of CpG island chromatin by KDM2A requires direct and specific
interaction with linker DNA.
AB - Up to 70% of human genes are associated with regions of nonmethylated DNA called
CpG islands (S. Saxonov, P. Berg, and D. L. Brutlag, Proc. Natl. Acad. Sci. U. S.
A. 103:1412-1417, 2006). Usually associated with the 5' end of genes, CpG islands
are thought to impact gene expression. We previously demonstrated that the
histone demethylase KDM2A is specifically recruited to CpG islands to define a
unique chromatin architecture and highlight gene regulatory regions in large and
complex mammalian genomes. This targeting relies on a zinc finger CXXC DNA
binding domain (ZF-CXXC), but how this demethylase interfaces with CpG island
chromatin in vivo remains unknown. Here we demonstrate, using defined chromatin
templates in vitro and chromatin profiling in vivo, that nucleosomes are a major
barrier to KDM2A binding and that CpG islands are directly interpreted by the ZF
CXXC domain through specific interaction with linker DNA. Furthermore, KDM2A
appears to be constrained to CpG islands not only by their nonmethylated state
but also by a combination of methylated DNA and nucleosome occlusion elsewhere in
the genome. Our observations suggest that both DNA sequence and chromatin
structure are defining factors in interpreting CpG island chromatin and
translation of the CpG signal. More generally, these features of CpG island
recognition suggest that chromatin structure and accessibility play a major role
in defining how transcription factors recognize DNA and regulatory elements
genome-wide.
PMID- 22083961
TI - The evolutionarily conserved protein Las1 is required for pre-rRNA processing at
both ends of ITS2.
AB - Ribosome synthesis entails the formation of mature rRNAs from long precursor
molecules, following a complex pre-rRNA processing pathway. Why the generation of
mature rRNA ends is so complicated is unclear. Nor is it understood how pre-rRNA
processing is coordinated at distant sites on pre-rRNA molecules. Here we
characterized, in budding yeast and human cells, the evolutionarily conserved
protein Las1. We found that, in both species, Las1 is required to process ITS2,
which separates the 5.8S and 25S/28S rRNAs. In yeast, Las1 is required for pre
rRNA processing at both ends of ITS2. It is required for Rrp6-dependent formation
of the 5.8S rRNA 3' end and for Rat1-dependent formation of the 25S rRNA 5' end.
We further show that the Rat1-Rai1 5'-3' exoribonuclease (exoRNase) complex
functionally connects processing at both ends of the 5.8S rRNA. We suggest that
pre-rRNA processing is coordinated at both ends of 5.8S rRNA and both ends of
ITS2, which are brought together by pre-rRNA folding, by an RNA processing
complex. Consistently, we note the conspicuous presence of ~7- or 8-nucleotide
extensions on both ends of 5.8S rRNA precursors and at the 5' end of pre-25S RNAs
suggestive of a protected spacer fragment of similar length.
PMID- 22083962
TI - Mitochondrial fission contributes to mitochondrial dysfunction and insulin
resistance in skeletal muscle.
AB - Mitochondrial dysfunction in skeletal muscle has been implicated in the
development of insulin resistance and type 2 diabetes. Considering the importance
of mitochondrial dynamics in mitochondrial and cellular functions, we
hypothesized that obesity and excess energy intake shift the balance of
mitochondrial dynamics, further contributing to mitochondrial dysfunction and
metabolic deterioration in skeletal muscle. First, we revealed that excess
palmitate (PA), but not hyperglycemia, hyperinsulinemia, or elevated tumor
necrosis factor alpha, induced mitochondrial fragmentation and increased
mitochondrion-associated Drp1 and Fis1 in differentiated C2C12 muscle cells. This
fragmentation was associated with increased oxidative stress, mitochondrial
depolarization, loss of ATP production, and reduced insulin-stimulated glucose
uptake. Both genetic and pharmacological inhibition of Drp1 attenuated PA-induced
mitochondrial fragmentation, mitochondrial depolarization, and insulin resistance
in C2C12 cells. Furthermore, we found smaller and shorter mitochondria and
increased mitochondrial fission machinery in the skeletal muscle of mice with
genetic obesity and those with diet-induced obesity. Inhibition of mitochondrial
fission improved the muscle insulin signaling and systemic insulin sensitivity of
obese mice. Our findings indicated that aberrant mitochondrial fission is
causally associated with mitochondrial dysfunction and insulin resistance in
skeletal muscle. Thus, disruption of mitochondrial dynamics may underlie the
pathogenesis of muscle insulin resistance in obesity and type 2 diabetes.
PMID- 22083963
TI - Visualizing human telomerase activity with primer-modified Au nanoparticles.
AB - Telomerase is over-expressed in over 85% of all known human tumors. This renders
the enzyme a valuable biomarker for cancer diagnosis and an important therapeutic
target. The most widely used telomeric repeat amplification protocol (TRAP) assay
has been questioned for telomerase detection. It is reported that human
telomerase activity can be visualized by using primer-modified Au nanoparticles.
The working principle is based on the elongated primers conjugated to the gold
nanoparticle (AuNP) surface, which can fold into a G-quadruplex to protect the
AuNPs from the aggregation. The developed simple and sensitive colorimetric assay
can measure telomerase activity down to 1 HeLa cell uL(-1). More importantly,
this assay can be easily extended to high-throughput and automatic format. The
AuNP-TS method is PCR-free and therefore avoids the amplification-related errors
and becomes more reliable to evaluate telomerase activity. This assay has also
been used for initial screening of telomerase inhibitors as anticancer drug
agents.
PMID- 22083965
TI - A general strategy for the perfluoroalkylation of arenes and arylbromides by
using arylboronate esters and [(phen)CuR(F)].
AB - A versatile method for the synthesis of aryl perfluoroalkanes from arenes and
aryl bromides is described. Substituted arenes or aryl bromides are converted in
situ to an aryl boronate ester that readily undergoes perfluoroalkylation in air
with [(phen)CuR(F)]. A broad range of aryl bromide substrates were
perfluoroalkylated in good yield for the first time. [(phen)CuCF(3)] is now
commercially available and has been prepared on 20 g scale.
PMID- 22083966
TI - Concise review: Multidimensional regulation of the hematopoietic stem cell state.
AB - Hematopoietic stem cells (HSCs) are characterized by their unique function to
produce all lineages of blood cells throughout life. Such tissue-specific
function of HSC is attributed to their ability to execute self-renewal and
multilineage differentiation. Accumulating evidence indicates that the
undifferentiated state of HSC is characterized by dynamic maintenance of
chromatin structures and epigenetic plasticity. Conversely, quiescence, self
renewal, and differentiation of HSCs are dictated by complex regulatory
mechanisms involving specific transcription factors and microenvironmental
crosstalk between stem cells and multiple compartments of niches in bone marrows.
Thus, multidimensional regulatory inputs are integrated into two opposing
characters of HSCs-maintenance of undifferentiated state analogous to pluripotent
stem cells but execution of tissue-specific hematopoietic functions. Further
studies on the interplay of such regulatory forces as "cell fate determinant"
will likely shed the light on diverse spectrums of tissue-specific stem cells.
PMID- 22083964
TI - Biological responses of human mesenchymal stem cells to titanium wear debris
particles.
AB - Wear debris-induced osteolysis is a major cause of orthopedic implant aseptic
loosening, and various cell types, including macrophages, monocytes, osteoblasts,
and osteoclasts, are involved. We recently showed that mesenchymal
stem/osteoprogenitor cells (MSCs) are another target, and that endocytosis of
titanium (Ti) particles causes reduced MSC proliferation and osteogenic
differentiation. Here we investigated the mechanistic aspects of the endocytosis
mediated responses of MSCs to Ti particulates. Dose-dependent effects were
observed on cell viability, with doses >300 Ti particles/cell resulting in
drastic cell death. To maintain cell viability and analyze particle-induced
effects, doses <300 particles/cell were used. Increased production of interleukin
8 (IL-8), but not IL-6, was observed in treated MSCs, while levels of TGF-beta,
IL-1beta, and TNF-alpha were undetectable in treated or control cells, suggesting
MSCs as a likely major producer of IL-8 in the periprosthetic zone. Disruptions
in cytoskeletal and adherens junction organization were also observed in Ti
particles-treated MSCs. However, neither IL-8 and IL-6 treatment nor conditioned
medium from Ti particle-treated MSCs failed to affect MSC osteogenic
differentiation. Among other Ti particle-induced cytokines, only GM-CSF appeared
to mimic the effects of reduced cell viability and osteogenesis. Taken together,
these results strongly suggest that MSCs play both responder and initiator roles
in mediating the osteolytic effects of the presence of wear debris particles in
periprosthetic zones.
PMID- 22083967
TI - A novel in situ probe for oxygen uptake rate measurement in mammalian cell
cultures.
AB - The newly developed in situ oxygen uptake rate (in situ OUR) probe presented in
this article is based on the in situ microscope technology platform. It is
designed to measure the oxygen uptake rate (OUR) of mammalian cells, an important
parameter for metabolic flux analysis, inside a reactor (in situ) and in real
time. The system isolates a known volume of cell culture from the bulk inside the
bioreactor, monitors the oxygen consumption over time, and releases the sample
again. The sample is mixed during the measurement with a new agitation system to
keep the cells in suspension and prevent oxygen concentration gradients. The OUR
measurement system also doubles as a standard dissolved oxygen (DO) probe for
process monitoring when it is not performing OUR measurements. It can be equipped
with two different types of optical sensors (i.e., DO, pH) simultaneously or a
conventional polarographic DO-probe (Clark type). This new probe was successfully
tested in baby hamster kidney perfusion cell cultures.
PMID- 22083968
TI - Concurrent chemoradiation for adenoid cystic carcinoma of the head and neck.
AB - BACKGROUND: We performed a retrospective review of patients with nonresected head
and neck adenoid cystic carcinoma (ACC) treated with concurrent chemoradiation.
METHODS: Sixteen patients (nasopharynx 7, oropharynx 4, trachea 3, oral and nasal
cavity 1 each) were treated at 3 tertiary care centers. Six patients received
intraarterial cisplatin and 10 received intravenous cisplatin or carboplatin
concurrently with radiation. RESULTS: Thirteen patients are alive, 7 without
signs of disease with a median follow-up of 61 months. Tumor progression was
noted in 8 patients (50%) (distant metastasis in 5 patients and local tumor
progression in 3 patients) with a median time to progression of 25 months (range,
4-52 months). Overall survival (OS), progression-free survival (PFS), and local
progression-free survival (LPFS) rates at 5 years were 87%, 39%, and 61%,
respectively. CONCLUSION: Concurrent chemoradiation is a feasible treatment
option and may lead to sustained locoregional tumor control in patients with
nonresected ACC of the head and neck.
PMID- 22083970
TI - Asymmetric synthesis of tetrahydroquinolines with quaternary stereocenters
through the Povarov reaction.
AB - The asymmetric Povarov reaction with alpha-alkyl styrenes as dienophiles was
catalyzed by an N,N'-dioxide L4-Sc(OTf)(3) complex. Enantiopure
tetrahydroquinoline derivatives with a quaternary stereocenter at the C4 position
were synthesized for the first time. A wide variety of alpha-alkyl styrenes and N
aryl aldimines were tolerated in the reaction, to give excellent diastereo- (up
to 99:1 d.r.) and enantioselectivities (92 to >99% ee). In addition, the reaction
could be performed on the gram scale without any loss of yield,
diastereoselectivity, or enantioselectivity. An intermolecular hydrogen-shift
reaction was found to be a side reaction, which offered a method to synthesize
the corresponding quinoline derivatives with chiral quaternary sterocenters.
PMID- 22083971
TI - Preparative isolation and purification of antioxidative diarylheptanoid
derivatives from Alnus japonica by high-speed counter-current chromatography.
AB - This study employed the online HPLC-2,2'-azino-bis(3-ethylbenzthiazoline-6
sulphonic acid) (ABTS)(+) bioassay to rapidly determine the antioxidant compounds
occurring in the crude extract of Alnus japonica. The negative peaks of the
ABTS(+) radical scavenging detection system, which indicated the presence of
antioxidant activity, were monitored by measuring the decrease in absorbance at
734 nm. The ABTS(+)-based antioxidant activity profile showed that three negative
peaks exhibited antioxidant activity. High-speed counter-current chromatography
(HSCCC) was used for preparative scale separation of the three active peaks from
the extract. The purity of the isolated compounds was analyzed by HPLC and their
structures were identified by (1)H- and (13)C-nuclear magnetic resonance
spectrometry (NMR), heteronuclear multiple bond correlation (HMBC), and
heteronuclear single quantum correlation (HSQC). Two solvent systems composed of
n-hexane/ethylacetate/methanol/water (4:6:4:6, v/v) and of ethyl
acetate/methanol/water (1:0.1:1, v/v) were performed in high-speed counter
current chromatography. Consequently, a total of 527 mg of hirsutanonol 5-O-beta
D-glucopyranoside, 80.04 mg of 3-deoxohirsutenonol 5-O-beta-D-glucopyranoside,
and 91.0 mg of hirsutenone were obtained with purity of 94.7, 90.5, and 98.6%,
respectively.
PMID- 22083972
TI - Failure characteristics of the isolated distal radius in response to dynamic
impact loading.
AB - We examined the mechanical response of the distal radius pre-fracture and at
fracture under dynamic impact loads. The distal third of eight human cadaveric
radii were potted and placed in a custom designed pneumatic impact system. The
distal intra-articular surface of the radius rested against a model scaphoid and
lunate, simulating 45 degrees of wrist extension. The scaphoid and lunate were
attached to a load cell that in turn was attached to an impact plate. Impulsive
impacts were applied at increasing energy levels, in 10 J increments, until
fracture occurred. Three 45 degrees stacked strain gauge rosettes were affixed
along the length of the radius quantifying the bone strains. The mean (SD)
fracture energy was 45.5 (16) J. The mean (SD) resultant impact reaction force
(IRFr) at failure was 2,142 (1,229) N, resulting in high compressive strains at
the distal (2,718 (1,698) uepsilon) and proximal radius (3,664 (1,890) uepsilon).
We successfully reproduced consistent fracture patterns in response to dynamic
loads. The fracture energy and forces reported here are lower and the strains are
higher than those previously reported and can likely be attributed to the
controlled, incremental, dynamic nature of the applied loads.
PMID- 22083969
TI - Enantioselective decarboxylative alkylation reactions: catalyst development,
substrate scope, and mechanistic studies.
AB - alpha-Quaternary ketones are accessed through novel enantioselective alkylations
of allyl and propargyl electrophiles by unstabilized prochiral enolate
nucleophiles in the presence of palladium complexes with various
phosphinooxazoline (PHOX) ligands. Excellent yields and high enantiomeric
excesses are obtained from three classes of enolate precursor: enol carbonates,
enol silanes, and racemic beta-ketoesters. Each of these substrate classes
functions with nearly identical efficiency in terms of yield and
enantioselectivity. Catalyst discovery and development, the optimization of
reaction conditions, the exploration of reaction scope, and applications in
target-directed synthesis are reported. Experimental observations suggest that
these alkylation reactions occur through an unusual inner-sphere mechanism
involving binding of the prochiral enolate nucleophile directly to the palladium
center.
PMID- 22083973
TI - Copper-catalyzed borylation reactions of alkynes and arynes.
PMID- 22083974
TI - In vivo fate mapping identifies mesenchymal progenitor cells.
AB - Adult mesenchymal progenitor cells have enormous potential for use in
regenerative medicine. However, the true identity of the progenitors in vivo and
their progeny has not been precisely defined. We hypothesize that cells
expressing a smooth muscle alpha-actin promoter (alphaSMA)-directed Cre transgene
represent mesenchymal progenitors of adult bone tissue. By combining
complementary colors in combination with transgenes activating at mature stages
of the lineage, we characterized the phenotype and confirmed the ability of
isolated alphaSMA(+) cells to progress from a progenitor to fully mature state.
In vivo lineage tracing experiments using a new bone formation model confirmed
the osteogenic phenotype of alphaSMA(+) cells. In vitro analysis of the in vivo
labeled SMA9(+) cells supported their differentiation potential into mesenchymal
lineages. Using a fracture-healing model, alphaSMA9(+) cells served as a pool of
fibrocartilage and skeletal progenitors. Confirmation of the transition of
alphaSMA9(+) progenitor cells to mature osteoblasts during fracture healing was
assessed by activation of bone-specific Col2.3emd transgene. Our findings provide
a novel in vivo identification of defined population of mesenchymal progenitor
cells with active role in bone remodeling and regeneration.
PMID- 22083975
TI - Use of computational fluid dynamics as a tool for establishing process design
space for mixing in a bioreactor.
AB - The concept of "design space" plays an integral part in implementation of quality
by design for pharmaceutical products. ICH Q8 defines design space as "the
multidimensional combination and interaction of input variables (e.g., material
attributes) and process parameters that have been demonstrated to provide
assurance of quality. Working within the design space is not considered as a
change. Movement out of the design space is considered to be a change and would
normally initiate a regulatory post-approval change process. Design space is
proposed by the applicant and is subject to regulatory assessment and approval."
Computational fluid dynamics (CFD) is increasingly being used as a tool for
modeling of hydrodynamics and mass transfer. In this study, a laboratory-scale
aerated bioreactor is modeled using CFD. Eulerian-Eulerian multiphase model is
used along with dispersed k-epsilon turbulent model. Population balance model is
incorporated to account for bubble breakage and coalescence. Multiple reference
frame model is used for the rotating region. We demonstrate the usefulness of CFD
modeling for evaluating the effects of typical process parameters like impeller
speed, gas flow rate, and liquid height on the mass transfer coefficient (k(L)a).
Design of experiments is utilized to establish a design space for the above
mentioned parameters for a given permissible range of k(L)a.
PMID- 22083976
TI - Copolymers comprising 2,7-carbazole and bis-benzothiadiazole units for bulk
heterojunction solar cells.
AB - On the basis of theoretical considerations of the intramolecular charge transfer
(ICT) effect, we have designed a series of donor (D)-acceptor (A) conjugated
polymers based on bis-benzothiadiazole (BBT). A PPP-type copolymer of electron
rich 2,7-carbazole (CZ) and electron-deficient BBT units poly[N-(2
decyltetradecyl)-2,7-carbazole-co-7,7'-{4,4'-bis-(2,1,3-benzothiadiazole)}] (PCZ
BBT), a PPV-type copolymer poly[N-(2-decyltetradecyl)-2,7-carbazolevinylene-co
7,7'-{4,4'-bis-(2,1,3-benzothiadiazolevinylene)}] (PCZV-BBTV), and a tercopolymer
based on carbazole, thiophene, and BBT poly[N-(2-decyltetradecyl)-2,7-(di-2
thienyl)carbazole-co-7,7'-{4,4'-bis-(2,1,3-benzothiadiazole)}] (PDTCZ-BBT) have
been synthesized to understand the influence of BBT acceptor structure and
linkage on the photovoltaic characteristics of the resulting materials. Both the
HOMO and LUMO of the resulting polymers are found to be deeper-lying than those
of benzothiadiazole-based polymers. The measured electrochemical band gaps (eV)
are in the following order: PDTCZ-BBT (1.65 eV) < PCZV-BBTV (1.69 eV) < PCZ-BBT
(1.75 eV). All the polymers provide a photovoltaic response when blended with a
fullerene derivative as an electron acceptor. The best cell reaches a power
conversion efficiency of 2.07 % estimated under standard solar light conditions
(AM1.5G, 100 mW cm(-2)). We demonstrate for the first time that BBT-based
polymers are promising materials for use in bulk-heterojunction solar cells.
PMID- 22083978
TI - An unusual organoyttrium alkyl complex containing a [C5HMe3(eta(3)-CH2)-C5H4N
kappa]- ligand and an elusive cyclopentadienide-based scandium tuck-over
zwitterion obtained by C-H bond activation.
AB - The acid-base reaction between Y(CH(2)SiMe(3))(3)(thf)(2) and the pyridyl
functionalized cyclopentadienyl (Cp) ligand C(5)Me(4)H-C(5)H(4)N (1 equiv) at 0
degrees C afforded a mixture of two products: (eta(5):kappa-C(5)Me(4)
C(5)H(4)N)Y(CH(2)SiMe(3))(2)(thf) (1 a) and (eta(5):kappa-C(5)Me(4)
C(5)H(4)N)(2)YCH(2)SiMe(3) (1 b), in a 5:2 ratio. Addition of the same ligand (2
equiv) to Y(CH(2)SiMe(3))(3)(thf)(2), however, generated 1 b together with the
novel complex 1 c, the first well defined yttrium mono(alkyl) complex
(eta(5):kappa-C(5)Me(4)-C(5)H(4)N)[C(5)HMe(3)(eta(3)-CH(2))-C(5)H(4)N
kappa]Y(CH(2)SiMe(3)) containing a rare kappa/eta(3)-allylic coordination mode in
which the C-H bond activation occurs unexpectedly with the allylic methyl group
rather than conventionally on Cp ring. If the central metal was changed to
lutetium, the equimolar reaction between Lu(CH(2)SiMe(3))(3)(thf)(2) and
C(5)Me(4)H-C(5)H(4)N exclusively afforded the bis(alkyl) product (eta(5):kappa
C(5)Me(4)-C(5)H(4)N)Lu(CH(2)SiMe(3))(2)(thf) (2 a). Similarly, the reaction
between the ligand (2 equiv) and Lu(CH(2)SiMe(3))(3)(thf)(2) gave the mono(alkyl)
complex (eta(5):kappa-C(5)Me(4)-C(5)H(4)N)(2)LuCH(2)SiMe(3) (2 b), in which no
ligand redistribution was observed. Strikingly, treatment of
Sc(CH(2)SiMe(3))(3)(thf)(2) with C(5)Me(4)H-C(5)H(4)N in either 1:1 or 1:2 ratio
at 0 degrees C generated the first cyclopentadienide-based scandium zwitterionic
"tuck-over" complex 3, (eta(5):kappa-C(5)Me(4)-C(5)H(4)N)Sc(thf)[MU
eta(5):eta(1):kappa-C(5)Me(3)(CH(2))-C(5)H(4)N]Sc(CH(2)SiMe(3))(3). In the
zwitterion, the dianionic ligand [C(5)Me(3)(CH(2))-C(5)H(4)N](2-) binds both to
Sc1(3+) and to Sc2(3+), in eta(5) and eta(1)/kappa modes. In addition, the
reaction chemistry, the molecular structures, and the mechanism are also
discussed in detail.
PMID- 22083977
TI - Novel germline CDKN2A mutation associated with head and neck squamous cell
carcinomas and melanomas.
AB - BACKGROUND: The ability to identify individuals at increased risk of cancer is of
immediate clinical relevance. Germline mutations in the CDKN2A locus, encoding
the key tumor suppressor proteins p16/INK4A and p14/ARF, are frequently present
in kindreds with hereditary cutaneous melanoma but have seldom been reported in
families with genetic susceptibility to head and neck squamous cell carcinomas
(HNSCC). METHODS: We report the pedigree of a patient with an unusually high
incidence of HNSCC and melanomas. CDKN2A mutation analysis was performed with
standard capillary sequencing and multiplex ligation-dependent probe
amplification. RESULTS: A previously unreported germline CDKN2A mutation
affecting only the p16/INK4A open reading frame, c.106delG (p.Ala36ArgfsX17), was
detected in the proband. This mutation causes a premature termination codon.
CONCLUSIONS: Our report emphasizes the need to consider germinal CDKN2A mutations
in the differential diagnosis of familial HNSCC and the importance of awareness
of these tumors in carriers of CDKN2A mutations.
PMID- 22083979
TI - Guest-responsive fluorescence of inclusion crystals with pi-stacked
supramolecular beads.
PMID- 22083980
TI - Enlightening the past: analytical proof for the use of Pistacia exudates in
ancient Egyptian embalming resins.
AB - Mastic, the resinous exudate of the evergreen shrub Pistacia lentiscus, is
frequently discussed as one of the ingredients used for embalming in ancient
Egypt. We show the identification of mastic in ancient Egyptian embalming resins
by an unambiguous assignment of the mastic triterpenoid fingerprint consisting of
moronic acid, oleanonic acid, isomasticadienonic and masticadienonic acid through
the consolidation of NMR and GC/MS analysis. Differences in the observed
triterpenoid fingerprints between mummy specimens suggest that more than one
plant species served as the triterpenoid resin source. Analysis of the
triterpenoid acids of ancient embalming resin samples in the form of their methyl
and trimethylsilyl esters is compared. In addition we show a simple way to
differentiate between residues of mastic from its use as incense during embalming
or from direct mastic application in the embalming resin.
PMID- 22083981
TI - Traditional Chinese medicine syndrome distribution in chronic hepatitis B
populations: a systematic review.
AB - Many patients with chronic hepatitis B (CHB) seek help from traditional Chinese
medicine (TCM). TCM treatment is based on syndrome differentiation. This study
aimed to investigate the syndrome distribution in populations of CHB patients.
The pre-specific search strategy was set, and 93 studies (20,106 participants)
were identified by electronic and hand searches. The methodological quality of
included studies was assessed. Data on syndrome distribution and correlations
between syndromes and severity of CHB, were extracted and analyzed. Forty-seven
syndromes were identified under 24 different syndrome diagnosis systems for CHB.
The majority of included studies reported Liver Depression and Spleen Deficiency
(LDSD) (52.54% of studies) or Liver-Gallbladder Dampness Heat (LGDH)/Dampness
Heat Obstructing Middle Energizer (DHME) (32.20%) as the major syndromes in CHB
patients without cirrhosis. Pooled analysis revealed that LDSD and LGDH/DHME
accounted for 61.19% of participants without cirrhosis. In addition, Liver-Kidney
Yin Deficiency (LKYinD) (40.99%) and Spleen-Kidney Yang Deficiency (SKYangD)
(40.43%) syndromes were common in patients with severe CHB. The percentage of
patients with Blood Stasis syndrome increased as the disease progressed to
cirrhosis (32.09%). To conclude, LDSD and LGDH/DHME syndromes are found in a
significant majority of CHB patients, particularly in the early stages. LKYinD,
SKYangD and Blood Stasis dominate in patients at more advanced stages. More
epidemiological studies of high methodological quality on syndrome distribution
in CHB and standardization of syndrome differentiation for CHB are required to
confirm the trends indicated by the studies reviewed here; confirming these
trends can increase the efficacy of treatment and give guidance to doctors.
PMID- 22083982
TI - Anticancer activity of Nigella sativa (black seed) - a review.
AB - Nigella sativa (N. sativa) seed has been an important nutritional flavoring agent
and natural remedy for many ailments for centuries in ancient systems of
medicine, e.g. Unani, Ayurveda, Chinese and Arabic Medicines. Many active
components have been isolated from N. sativa, including thymoquinone,
thymohydroquinone, dithymoquinone, thymol, carvacrol, nigellimine-N-oxide,
nigellicine, nigellidine and alpha-hederin. In addition, quite a few
pharmacological effects of N. sativa seed, its oil, various extracts and active
components have been identified to include immune stimulation, anti-inflammation,
hypoglycemic, antihypertensive, antiasthmatic, antimicrobial, antiparasitic,
antioxidant and anticancer effects. Only a few authors have reviewed the
medicinal properties of N. sativa and given some description of the anticancer
effects. A literature search has revealed that a lot more studies have been
recently carried out related to the anticancer activities of N. sativa and some
of its active compounds, such as thymoquinone and alpha-hederin. Acute and
chronic toxicity studies have recently confirmed the safety of N. sativa oil and
its most abundant active component, thymoquinone, particularly when given orally.
The present work is aimed at summarizing the extremely valuable work done by
various investigators on the effects of N. sativa seed, its extracts and active
principles against cancer. Those related to the underlying mechanism of action,
derivatives of thymoquinone, nano thymoquinone and combinations of thymoquinone
with the currently used cytotoxic drugs are of particular interest. We hope this
review will encourage interested researchers to conduct further preclinical and
clinical studies to evaluate the anticancer activities of N. sativa, its active
constituents and their derivatives.
PMID- 22083983
TI - Applications of nanoparticles in herbal medicine: zedoary turmeric oil and its
active compound beta-elemene.
AB - Zedoary turmeric oil and its main active ingredient beta-elemene are novel plant
derived anticancer agents with long-term clinical application history and low
toxicity, which have been approved by the Chinese SFDA to treat different tumors
including cancers of the brain, ovary, prostate, breast, lungs, liver, colon, and
other tissues. Unfortunately, their hydrophobic properties, poor stabilities and
low bioavailabilities seriously hamper their applications in clinic. Therefore,
more attention should be paid to develop novel drug delivery systems for zedoary
turmeric oil and beta-elemene to enhance their overall quality. Recently,
increased research has been carried out on a nanoparticle drug delivery system of
zedoary turmeric oil and beta-elemene to solve their poor aqueous solubilities
and low bioavailabilities in vivo with much remarkable achievements springing up
in the last decade. This review presents the novel nanoparticle formulations of
zedoary turmeric oil and beta-elemene and introduces the possible future
prospects of their further study.
PMID- 22083985
TI - Acupuncture for treatment of noncyclic breast pain: a pilot study.
AB - Noncyclic breast pain is a common breast disorder prompting women to seek medical
evaluation. We aimed to perform a pilot study on the relief of noncyclic breast
pain using acupuncture. Thirty-seven women seen at a diagnostic breast clinic
between April 2003 and January 2009 were enrolled. Treatment consisted of four
acupuncture sessions over two weeks, with three months of follow-up. Response to
treatment was measured with use of a breast pain questionnaire, a quality of life
(QOL) questionnaire, and the Cleeland Brief Pain Inventory (BPI) assessed at
baseline, end of treatment, and three months after treatment. Data were analyzed
using standard descriptive statistics. Twenty-two patients completed four
acupuncture sessions. Pain described as throbbing and heavy decreased
significantly after acupuncture (p = 0.04 and p = 0.03, respectively). After
treatment, pain scores (on the 10-point BPI scale) decreased by an average of 3.5
points for the worst pain during the previous month (p = 0.001), by 2.7 points
for average pain (p < 0.001), and by 2.3 points for pain interference (p =
0.002). The percentage of patients reporting a clinically meaningful decrease of
2 points from baseline to the end of treatment included 67% (12/18) for the worst
pain, 65% (11/17) for average pain, and 56% (10/18) for pain interference. QOL
data showed no improvement in QOL measures (mental, physical, emotional, social,
or spiritual well-being). The results of this preliminary study suggest that a
randomized controlled trial may be warranted to evaluate the effect of
acupuncture on noncyclic breast pain, as well as the optimal frequency of
acupuncture treatments.
PMID- 22083984
TI - Bioactivity enhancement of herbal supplements by intestinal microbiota focusing
on ginsenosides.
AB - Intestinal microbiota contribute to diverse mammalian processes including the
metabolic functions of drugs. It is a potential new territory for drug targeting,
especially for dietary herbal products. Because most herbal medicines are orally
administered, the chemical profile and corresponding bioactivities of herbal
medicines may be altered by intestinal microbiota. Ginseng is one of the most
commonly used herbs and it is an attractive natural product to study its effect
in the body. In this review, after briefly introducing the interactions of herbal
products and gut microbiota, we discuss the microbiota-mediated metabolism of
ginsenosides in ginseng and red ginseng. In particular, the major metabolite
compound K and its pharmacological advances are described including anticancer,
antidiabetic and anti-inflammatory effects. In summary, the intestinal microbiota
may play an important role in mediating the metabolism bioactivity of herbal
medicines.
PMID- 22083986
TI - Effects of auricular acupuncture on heart rate, oxygen consumption and blood
lactic acid for elite basketball athletes.
AB - This study investigated the effects of auricular acupuncture on athletes'
recovery abilities after exercise. Subjects were selected from twenty-four male
elite university basketball players, randomly divided into two groups: auricular
acupuncture group (AAG), and normal control group (NCG), each group containing
twelve subjects. Auricular acupuncture was experimented to each AAG athlete while
no auricular acupuncture was conducted to each NCG athlete. Each subject in both
groups performed a ride on the stationary bike until exhausted. The data of heart
rate (HR(max)), oxygen consumption (VO(2 max)), and blood lactic acid were
measured at four points of time: during the rest period after warm-ups and at the
5th, 30th and 60th minutes post-exercise, respectively. One-way ANOVA and
repeated Scheffe methods were used to test the differences of the data between
these two groups. The results showed that both HR(max) and blood lactic acid in
AAG were significantly lower than those in NCG at the 30th and 60th minutes post
exercise. This suggests that auricular acupuncture can enhance athletes' recovery
abilities after aggressive exercise.
PMID- 22083987
TI - Effect of acupressure in treating urodynamic stress incontinence: a randomized
controlled trial.
AB - The efficacy of acupressure therapy in managing urodynamic stress incontinence
has not been fully investigated. This study evaluates the effects of a validated
acupressure protocol for treating women with urodynamic stress incontinence. A
randomized, single-blinded, placebo-controlled trial with a before-and-after
study design was conducted. Eighty-one women aged between 18 and 60 were
recruited at a urogynecology clinic in an acute hospital in Hong Kong.
Participants were randomly assigned to an intervention (acupressure) group, a
sham group, or a usual care (control) group. A validated acupressure protocol was
employed in the intervention group. The intervention comprised three weekly
sessions of 30 minutes each for a total of thirty sessions. Outcome measures
included pelvic floor muscle strength, number of episodes of urine leakage over
four days, self-reported severity of urine leakage, and responses on the Chinese
version of the King's Health Questionnaire. No adverse effects were reported.
Five percent of the participants dropped out of the study. Intention-to-treat
analysis was conducted, the results indicated significant differences in pelvic
floor muscle strength across the three groups (H =7.05, p =0.03) and in self
reported severity of urine leakage between the intervention and control groups (Z
= -2.48, p = 0.01). Significant improvement in all domains of the Chinese version
of the King's Health Questionnaire was evident in the intervention group.
Acupressure is a simple and non-invasive intervention that appears to have
positive physiological and psychological effects on women with urodynamic stress
incontinence. The findings support acupressure as an intervention option for
managing urodynamic stress incontinence in women.
PMID- 22083989
TI - Hot water extracted Lycium barbarum and Rehmannia glutinosa inhibit liver
inflammation and fibrosis in rats.
AB - Polysaccharide-rich Lycium barbarum and Rehmannia glutinosa have been considered
to have immune-modulating activity. This study investigated the effects of water
extracted Lycium barbarum and Rehmannia glutinosa (HE) on carbon tetrachloride
(CCl(4))-induced liver injury in rats. Male Sprague-Dawley rats were randomly
divided into: normal diet + peritoneal injection of olive oil (control), normal
diet + CCl(4) injection (CCl(4)), 1 * HE (0.05% HE for each) + CCl(4) (1 * HE),
and 3 * HE (0.15% HE for each) + CCl(4) (3 * HE) groups. Rats were injected with
40% CCl(4) at a dose of 0.75 ml/kg body weight once a week for seven weeks, one
week after herbal extract treatment. After eight week herbal extract treatment,
pathohistological examination showed that both 1* and 3 * HE treatments
diminished necrotic hepatocytes, chemoattraction of inflammatory cells, and liver
fibrosis. Both 1* and 3 * HE treatments decreased plasma alanine aminotransferase
(ALT) and aspartate aminotransferase (AST) activities, and reduced hepatic levels
of pro-inflammatory cytokines - tumor necrosis factor-alpha and interleukin-1beta
- compared to CCl(4) treatment alone. The 1 * HE treatment increased hepatic anti
inflammatory cytokine IL-10 levels. Both the 1* and 3 * HE treatments suppressed
liver fibrosis biomarkers - transforming growth factor-beta1 and hydroxyproline.
Therefore, treatment with water extracted Lycium barbarum and Rehmannia glutinosa
(0.05% and 0.15% for each) for eight weeks protects against necrotic damage,
indicated by decreases in plasma ALT and AST activities, and suppresses liver
fibrosis by down-regulation of liver inflammation in rats with CCl(4)-induced
liver injury.
PMID- 22083988
TI - Ultra-performance liquid chromatography and time-of-flight mass spectrometry
analysis of ginsenoside metabolites in human plasma.
AB - American ginseng is a commonly used herbal medicine in the United States. When
ginseng is taken orally, its active components, ginsenosides, are reportedly
biotransformed by intestinal microbiota. Previous pharmacokinetic evaluations of
ginseng in humans have focused on its parent constituents. However, the
metabolites, especially those transformed by intestinal microbiota, have not been
carefully studied. We used an ultra-performance liquid chromatography/time-of
flight mass spectrometry (UPLC/TOF-MS) method to determine 15 ginsenosides and/or
metabolites and their bioavailability in humans. Six healthy human subjects
received a single oral dose of 10 g of American ginseng root powder, after which
samples of their blood were collected at 0, 2, 4, 7, 9 and 12 h for measurement
of ginsenoside/metabolite levels in plasma. Ginsenosides Rb1, Rd, Rg2 and
compound K (C-K) were detected in human plasma samples at different time points.
The Rb1 concentration peak was 19.90 +/- 5.43 ng/ml at 4 h. C-K was detected from
7 h to 12 h with 7.32 +/- 1.35 ng/ml at 12 h. Since the last time point was at 12
h, C-K peak level was not observed. The areas under the concentration curves
(AUC) from 0 to 12 h were 155.0 +/- 19.5 ng?h/ml for Rb1 and 26.4 +/- 6.4 ng?h/ml
for C-K, respectively. The gradual decrease of Rb1 levels and the delayed
increase in levels of C-K observed in human subjects supported previous reports
that enteric microbiota played a key role in transforming Rb1 to C-K.
PMID- 22083990
TI - Scutellarin attenuates human-neutrophil-elastase-induced mucus production by
inhibiting the PKC-ERK signaling pathway in vitro and in vivo.
AB - The aim of this study was to investigate the influence of scutellarin on mucus
production induced by human neutrophil elastase (HNE) and the possible in vitro
and in vivo mechanisms. To this purpose, cells were incubated with saline,
scutellarin or gefitinib for 60 min and exposed to 0.1 MUM HNE for 24 h. After
being pretreated respectively with saline, scutellarin or gefitinib, rats were
challenged intratracheally with HNE by means of nebulization for 30 days. The
expression of mucin (MUC) 5AC, protein kinase C (PKC), and extracellular signal
regulated kinase 1/2 (ERK1/2) was assessed by ELISA, RT-PCR or Western blotting.
The results showed that scutellarin inhibited MUC5AC mRNA and protein expressions
induced by HNE in a concentration-dependent manner in vitro. In the in vivo
model, scutellarin significantly attenuated MUC5AC mRNA expression and goblet
cell hyperplasia in rats treated with HNE for 30 days, as well as decreased the
phosporylation of PKC and ERK1/2 compared to the HNE control group. Therefore,
our study showed that scutellarin could prevent mucus hypersecretion by
inhibiting the PKC-ERK signaling pathway. Inhalation scutellarin may be valuable
in the treatment of chronic inflammatory lung disease.
PMID- 22083991
TI - Puerarin accelerates peripheral nerve regeneration.
AB - This study investigates the effect of puerarin (PR) on peripheral nerve
regeneration in vitro and in vivo. PR at concentrations of 1, 10, and 100 MUM
significantly promoted survival and outgrowth of cultured Schwann cells, as
compared to the controls treated with culture medium only. in vivo study,
peripheral nerve regeneration was evaluated across a 15-mm gap in the sciatic
nerve of rats using a silicone rubber nerve chamber filled with PR solution. The
control group chambers were filled with normal saline only. At the end of eight
weeks, animals in the PR groups, especially at a concentration of 1 MUM, had a
significantly higher density of myelinated axons, greater evoked action potential
area, and a larger nerve conductive velocity, as compared to the controls. All
experimental results indicate that PR treatment promotes nerve growth and is a
promising herbal medicine for recovery of regenerating peripheral nerves.
PMID- 22083992
TI - In vitro activity of Paris polyphylla smith against enterovirus 71 and
coxsackievirus B3 and its immune modulation.
AB - Enterovirus 71 (EV71) and coxsackievirus B3 (CVB3) have resulted in severe
pathogenesis caused by the host's immune response, including the cytokine
cascade. Paris polyphylla Smith is a folk medicinal plant in Asia traditionally
prescribed for the reduction of pain and elimination of poisoning. In this study,
we investigated the anti-EV71 and CVB3 activity of P. polyphylla Smith as well as
its immune modulation. The IC(50) for the P. polyphylla Smith 95% ethanol extract
against EV71 and CVB3 were 12.5-23% and 99-156% of that of ribavirin, a positive
control. Prevention of viral infection, viral inactivation, and anti-viral
replication effects against both EV71 and CVB3 were demonstrated by the extract,
the anti-viral replication effect being dominant. The extract significantly
increased IL-6 production in both EV71- and CVB3-infected cells. A high
correlation was possibly demonstrated between the high amounts of IL-6 induction
in the EV71 and CVB3-infected cells and the anti-viral replication activity of
the extract. In conclusion, good anti-EV71 and CVB3 activity was observed in the
P. polyphylla Smith 95% ethanol extract. The high amounts of IL-6 induction in
the virus-infected cells played a key role in the anti-viral activity of the
extract.
PMID- 22083993
TI - Herbal cocktail ka-mi-kae-kyuk-tang stimulates mouse bone marrow stem cell
hematopoiesis and janus-activated kinase 2/signal transducer and activator of
transcription 5 pathway.
AB - Ka-mi-kae-kyuk-tang (KMKKT) is an Oriental herbal medicinal cocktail. Our
collaborative team has shown that it has potent anti-angiogenic, anti-cancer and
anti-metastatic activities in vivo without observable side effects. We have
documented evidence for KMKKT to alleviate drug-induced hematotoxicity in vivo.
In the present study, we investigated the mechanistic and signaling events
through which KMKKT enhances hematopoiesis, using hematopoietic stem cells (HSCs)
isolated from the bone marrow of 8-12 week-old C57BL/6 mice. Our results show
that KMKKT significantly increased the expression of the hematopoietic cytokines
interleukin (IL)-3, stem cell factor (SCF), granulocyte-macrophage-colony
stimulating factor (GM-CSF), thrombopoietin (TPO) and erythropoietin (EPO) at the
level of mRNA and secretion in HSCs. KMKKT also increased the expression of c
Kit, a cytokine receptor expressed in HSCs. In addition, KMKKT enhanced
phosphorylation of Janus kinase 2 (JAK2) and signal transducer and activator of
transcription 5 (STAT5), and increased the binding activity of STAT5 to gamma
interferon activated sites (GAS) that mediate JAK2 downstream signaling.
Furthermore, we found that KMKKT significantly enhanced the growth rate of colony
forming unit granulocyte erythrocyte monocyte macrophages (CFU-GEMM) and burst
forming unit erythroid (BFU-E) of mouse HSCs (mHSCs) stimulated by IL-3/EPO.
Overall, our results demonstrated that KMKKT alleviated drug-induced side effects
through enhanced hematopoiesis, at least in part through cytokine-mediated
JAK2/STAT5 signaling.
PMID- 22083994
TI - Royal jelly reduces melanin synthesis through down-regulation of tyrosinase
expression.
AB - For cosmetic reasons, the demand for effective and safe skin-whitening agents is
high. Since the key enzyme in the melanin synthetic pathway is tyrosinase, many
depigmenting agents in the treatment of hyperpigmentation act as tyrosinase
inhibitors. In this study, we have investigated the hypo-pigmentary mechanism of
royal jelly in a mouse melanocyte cell line, B16F1. Treatment of B16F1 cells with
royal jelly markedly inhibited melanin biosynthesis in a dose-dependent manner.
Decreased melanin content occurred through the decrease of tyrosinase activity.
The mRNA levels of tyrosinase were also reduced by royal jelly. These results
suggest that royal jelly reduces melanin synthesis by down-regulation of
tyrosinase mRNA transcription and serves as a new candidate in the design of new
skin-whitening or therapeutic agents.
PMID- 22083995
TI - The chloroform fraction of Solanum nigrum suppresses nitric oxide and tumor
necrosis factor-alpha in LPS-stimulated mouse peritoneal macrophages through
inhibition of p38, JNK and ERK1/2.
AB - Solanum nigrum L., commonly known as black nightshade, is used worldwide for the
treatment of skin and mucosal ulcers, liver cirrhosis and edema. We aimed to
determine the anti-inflammatory active fraction of S. nigrum by serial
extractions. S. nigrum was first extracted with methanol, then fractionated with
chloroform and water. The effects of S. nigrum fractions, diosgenin and alpha
solanine on LPS/interferon-gamma-induced nitric oxide (NO) and inducible NO
synthase (iNOS), or LPS-induced tumor necrosis factor-alpha (TNF-alpha) and
interleukin (IL)-6, in mouse peritoneal macrophages were determined. Western
blotting analysis was used to detect LPS-induced phosphorylation of p38, JNK and
ERK1/2. The chloroform fraction of S. nigrum was cytotoxic in a time and
concentration dependent manner; however, the methanol and water fractions were
not. The chloroform fraction reduced NO through inhibition of iNOS synthesis and
inhibited TNF-alpha and IL-6 at the level of protein secretion; the methanol and
water fractions showed a weak or no effect. The chloroform fraction also
suppressed p38, JNK and ERK1/2. Diosgenin and alpha-solanine were cytotoxic at a
high concentration. In particular, diosgenin was able to inhibit TNF-alpha and IL
6, but both compounds did not affect LPS-induced iNOS expression. These results
indicate that the anti-inflammatory compounds of S. nigrum exist preferentially
in the nonpolar fraction, ruling out the possibility that diosgenin and alpha
solanine are the likely candidates. The inhibition of iNOS, TNF-alpha and IL-6 by
the chloroform fraction may be partly due to the suppression of p38, JNK and
ERK1/2. Further study is required to identify the active compounds of S. nigrum.
PMID- 22083996
TI - Antioxidant, anti-inflammatory and antiproliferative activities of Kalanchoe
gracilis (L.) DC stem.
AB - Oxidative stress and inflammation are related to several chronic diseases
including cancer and atherosclerosis. Kalanchoe gracilis (L.) DC is a special
folk medicinal plant in Taiwan. The aim of this study was to evaluate the
antioxidant, anti-inflammatory and antiproliferative activities of the methanolic
extract and fractions of the stem of K. gracilis. TEAC, total phenolic compound
content, total flavonoid content, DPPH radical scavenging activity, reducing
power, inhibition of NO production in LPS-induced RAW264.7 cells, and inhibition
of cancer cell proliferation were analyzed. Among all fractions, the chloroform
fraction showed the highest TEAC and DPPH radical scavenging activities. The
chloroform fraction also had the highest content of polyphenols and flavonoids.
Chloroform fractions also decreased LPS-induced NO production and expressions of
iNOS and COX-2 in RAW264.7 cells. The antiproliferative activities of the
methanolic extract and fractions were studied in vitro using HepG2 cells, and the
results were consistent with their antioxidant capacities. Chloroform fractions
had the highest antiproliferative activity with an IC(50) of 136.85 +/- 2.32
MUg/ml. Eupafolin also had good pharmacological activity in the antioxidant, anti
inflammation and antiproliferative. Eupafolin might be an important bioactive
compound in the stem of K. gracilis. The above experimental data indicated that
the stem of K. gracilis is a potent antioxidant medicinal plant, and such
efficacy may be mainly attributed to its polyphenolic compounds.
PMID- 22083997
TI - Self-renewal of leukemia stem cells in Friend virus-induced erythroleukemia
requires proviral insertional activation of Spi1 and hedgehog signaling but not
mutation of p53.
AB - Friend virus induces erythroleukemia through a characteristic two-stage
progression. The prevailing model proposes that during the initial, polyclonal
stage of disease most of the infected cells terminally differentiate, resulting
in acute erythrocytosis. In the late stage of disease, a clonal leukemia develops
through the acquisition of new mutations--proviral insertional activation of
Spi1/Pu.1 and mutation of p53. Previous work from our laboratory demonstrated
that Friend virus activates the bone morphogenic protein 4 (BMP4)-dependent
stress erythropoiesis pathway, which leads to the rapid expansion of stress
erythroid progenitors, which are the targets for Friend virus in the spleen. We
recently showed that stress erythroid progenitors have intrinsic self-renewal
ability and therefore could function as leukemia stem cells (LSCs) when infected
with Friend virus. Here, we show that the two stages of Friend virus-induced
disease are caused by infection of distinct stress progenitor populations in the
spleen. The development of leukemia relies on the ability of the virus to hijack
the intrinsic self-renewal capability of stress erythroid progenitors leading to
the generation of LSCs. Two signals are required for the self-renewal of Friend
virus LSCs proviral insertional activation of Spi1/Pu.1 and Hedgehog-dependent
signaling. Surprisingly, mutation of p53 is not observed in LSCs. These data
establish a new model for Friend virus-induced erythroleukemia and demonstrate
the utility of Friend virus as a model system to study LSC self-renewal.
PMID- 22083999
TI - Microwave-assisted organocatalytic enantioselective intramolecular aza-Michael
reaction with alpha,beta-unsaturated ketones.
AB - An organocatalytic enantioselective intramolecular aza-Michael reaction of
carbamates bearing conjugated ketones as Michael acceptors is described. By using
9-amino-9-deoxy-epi-hydroquinine as the catalyst and pentafluoropropionic acid as
a co-catalyst, a series of piperidines, pyrrolidines, and the corresponding benzo
fused derivatives (indolines, isoindolines, tetrahydroquinolines, and
tetrahydroisoquinolines) can be obtained in excellent yields and
enantioselectivities. In addition, the use of microwave irradiation at 60
degrees C improves the efficiency of the process giving rise to the final
products with comparable yields and enantiomeric excesses. Some mechanistic
insights are also considered.
PMID- 22083998
TI - Accelerated phase-contrast cine MRI using k-t SPARSE-SENSE.
AB - Phase-contrast (PC) cine MRI is a promising method for assessment of pathologic
hemodynamics, including cardiovascular and hepatoportal vascular dynamics, but
its low data acquisition efficiency limits the achievable spatial and temporal
resolutions within clinically acceptable breath-hold durations. We propose to
accelerate PC cine MRI using an approach which combines compressed sensing and
parallel imaging (k-t SPARSE-SENSE). We validated the proposed 6-fold accelerated
PC cine MRI against 3-fold accelerated PC cine MRI with parallel imaging
(generalized autocalibrating partially parallel acquisitions). With the
programmable flow pump, we simulated a time varying waveform emulating hepatic
blood flow. Normalized root mean square error between two sets of velocity
measurements was 2.59%. In multiple blood vessels of 12 control subjects, two
sets of mean velocity measurements were in good agreement (mean difference =
0.29 cm/s; lower and upper 95% limits of agreement = -5.26 and 4.67 cm/s,
respectively). The mean phase noise, defined as the standard deviation of the
phase in a homogeneous stationary region, was significantly lower for k-t SPARSE
SENSE than for generalized autocalibrating partially parallel acquisitions (0.05
+/- 0.01 vs. 0.19 +/- 0.06 radians, respectively; P < 0.01). The proposed 6-fold
accelerated PC cine MRI pulse sequence with k-t SPARSE-SENSE is a promising
investigational method for rapid velocity measurement with relatively high
spatial (1.7 mm * 1.7 mm) and temporal (~35 ms) resolutions.
PMID- 22084000
TI - Metabolomics study of stepwise hepatocarcinogenesis from the model rats to
patients: potential biomarkers effective for small hepatocellular carcinoma
diagnosis.
AB - The aim of this study is to find the potential biomarkers from the rat
hepatocellular carcinoma (HCC) disease model by using a non-target metabolomics
method, and test their usefulness in early human HCC diagnosis. The serum
metabolic profiling of the diethylnitrosamine-induced rat HCC model, which
presents a stepwise histopathological progression that is similar to human HCC,
was performed using liquid chromatography-mass spectrometry. Multivariate data
analysis methods were utilized to identify the potential biomarkers. Three
metabolites, taurocholic acid, lysophosphoethanolamine 16:0, and
lysophosphatidylcholine 22:5, were defined as "marker metabolites," which can be
used to distinguish the different stages of chemical hepatocarcinogenesis. These
metabolites represented the abnormal metabolism during the progress of
hepatocarcinogenesis, which could also be found in patients. To test their
diagnosis potential 412 sera from 262 patients with HCC, 76 patients with
cirrhosis and 74 patients with chronic hepatitis B were collected and studied, it
was found that 3 marker metabolites were effective for the discrimination of
small liver tumor (solitary nodules of less than 2 cm in diameter) patients,
achieved a sensitivity of 80.5% and a specificity of 80.1%,which is better than
those of alpha-fetoprotein (53 and 64%, respectively). Moreover, they were also
effective for the discrimination of all HCCs and chronic liver disease patients,
which could achieve a sensitivity of 87.5% and a specificity of 72.3%, better
than those of alpha-fetoprotein (61.2 and 64%). These results indicate
metabolomics method has the potential of finding biomarkers for the early
diagnosis of HCC.
PMID- 22084001
TI - Cryogun cryotherapy for oral leukoplakia.
AB - BACKGROUND: Our previous study showed that cotton-swab cryotherapy is an
alternative treatment modality for oral leukoplakia. METHODS: This study used
liquid nitrogen spray with a cryogun (cryogun cryotherapy) to treat 60 oral
leukoplakia lesions. RESULTS: Complete regression was achieved in all 60 oral
leukoplakia lesions after cryogun cryotherapy. We found that 60 oral leukoplakia
lesions treated with cryogen cryotherapy needed significantly fewer mean
treatments (3.1 +/- 1.3) to achieve complete regression than 60 previously
reported oral leukoplakia lesions treated with cotton-swab cryotherapy (mean, 6.3
+/- 3.8 treatments). Oral leukoplakia lesions on oral mucosal sites other than
the tongue, <2 cm(2) , with epithelial dysplasia, or with a surface keratin
thickness <55 MUm required significantly fewer cryogun cryotherapy treatments to
achieve complete regression. CONCLUSIONS: For treatment of oral leukoplakia, the
cryogun cryotherapy needed fewer mean treatments to achieve complete regression
of the lesions than the cotton-swab cryotherapy.
PMID- 22084002
TI - Conformational and electronic consequences in crafting extended, pi-conjugated,
light-harvesting macrocycles.
AB - The synthesis of a new series of free-base, Ni(II) and Zn(II) 2,3,12,13
tetra(ethynyl)-5,10,15,20-tetraphenyl porphyrins is described. Upon heating, two
of the four ethynyl moieties undergo Bergman cyclization to afford the
monocyclized 2,3-diethynyl-5,20-diphenylpiceno[10,11,12,13,14,15-jklmn]porphyrin
in 30 %, 10 %, and trace yields, respectively. The structures of all products
were investigated by using quantum chemical calculations and the free-base
analogue was isolated and crystallized; all compounds show significant deviation
from the idealized planar structure. No fully-cyclized
bispiceno[20,1,2,3,4,5,10,11,12,13,14,15-fghij]porphyrin was isolated from the
reaction mixture. To understand why only two of the four enthynyl groups undergo
Bergman cyclization, the reaction coordinates were examined by using DFT at the
PWPW91/cc-pVTZ(-f) level coupled to a continuum solvation model. The barrier to
cyclization of the second pair of ethynyl groups was found to be 5.5 kcal mol(
1) higher than the first, suggesting a negative cooperative effect and
significantly slower rate for the second cyclization. Cyclization reactions for
model porphyrin-enediynes with ethene- and H-functionality substitutions at the
meso-phenyl rings were also examined, and found to have a similar barrier to
diradical formation for the second cyclization event as for the first in these
highly planar molecules. By enforcing an artificial 30 degrees cant in two of
the pyrrole rings of the porphyrin, the second barrier was increased by 2 kcal
mol(-1) in the ethene model system; this suggests that the disruption of the pi
conjugation of the extended porphyrin structure is the cause of the increased
barrier to the second cyclization event.
PMID- 22084005
TI - HILIC-MS/MS method development for targeted quantitation of metabolites:
practical considerations from a clinical diagnostic perspective.
AB - The development of targeted assays for polar molecules is a persistent challenge
in quantitative metabolite measurement. In addressing these challenges,
hydrophilic interaction liquid chromatography (HILIC) has proved to be a
valuable, though under-used and poorly understood chromatographic technique. This
work has addressed a number of components that are intrinsic in development of a
high-throughput, specific and sensitive assay for metabolites using HILIC-MS/MS.
Generally accepted HILIC doctrine, such as addition of water to all mobile phases
and re-equilibration time, was shown to be flawed under gradient HILIC mode. The
effect of non-classical mobile phase additives on HILIC-MS/MS specificity,
sensitivity and assay throughput was shown for endogenous metabolites. A broad
evaluation of columns and mobile phases for the retention of varied molecular
classes was performed, elucidating the empirical nature of HILIC method
development. Application of the empirical evaluations performed in the paper was
demonstrated by detailing a method development cycle for methylmalonic acid to
achieve a highly selective and sensitive HILIC-MS/MS quantitation capable of high
throughput analysis for clinical utility.
PMID- 22084006
TI - Reduced resolution transit delay prescan for quantitative continuous arterial
spin labeling perfusion imaging.
AB - Arterial spin labeling perfusion MRI can suffer from artifacts and quantification
errors when the time delay between labeling and arrival of labeled blood in the
tissue is uncertain. This transit delay is particularly uncertain in broad
clinical populations, where reduced or collateral flow may occur. Measurement of
transit delay by acquisition of the arterial spin labeling signal at many
different time delays typically extends the imaging time and degrades the
sensitivity of the resulting perfusion images. Acquisition of transit delay maps
at the same spatial resolution as perfusion images may not be necessary, however,
because transit delay maps tend to contain little high spatial resolution
information. Here, we propose the use of a reduced spatial resolution arterial
spin labeling prescan for the rapid measurement of transit delay. Approaches to
using the derived transit delay information to optimize and quantify higher
resolution continuous arterial spin labeling perfusion images are described.
Results in normal volunteers demonstrate heterogeneity of transit delay across
different brain regions that lead to quantification errors without the transit
maps and demonstrate the feasibility of this approach to perfusion and transit
delay quantification.
PMID- 22084007
TI - Sequential linear neighborhood propagation for semi-supervised protein function
prediction.
AB - Predicting protein function is one of the most challenging problems of the post
genomic era. The development of experimental methods for genome scale analysis of
molecular interaction networks has provided new approaches to inferring protein
function. In this paper we introduce a new graph-based semi-supervised
classification algorithm Sequential Linear Neighborhood Propagation (SLNP), which
addresses the problem of the classification of partially labeled protein
interaction networks. The proposed SLNP first constructs a sequence of node sets
according to their shortest distance to the labeled nodes, and then predicts the
function of the unlabel proteins from the set closer to labeled one, using Linear
Neighborhood Propagation. Its performance is assessed on the Saccharomyces
cerevisiae PPI network data sets, with good results compared with three current
state-of-the-art algorithms, especially in settings where only a small fraction
of the proteins are labeled.
PMID- 22084008
TI - A graph-based semantic similarity measure for the gene ontology.
AB - Existing methods for calculating semantic similarities between pairs of Gene
Ontology (GO) terms and gene products often rely on external databases like Gene
Ontology Annotation (GOA) that annotate gene products using the GO terms. This
dependency leads to some limitations in real applications. Here, we present a
semantic similarity algorithm (SSA), that relies exclusively on the GO. When
calculating the semantic similarity between a pair of input GO terms, SSA takes
into account the shortest path between them, the depth of their nearest common
ancestor, and a novel similarity score calculated between the definitions of the
involved GO terms. In our work, we use SSA to calculate semantic similarities
between pairs of proteins by combining pairwise semantic similarities between the
GO terms that annotate the involved proteins. The reliability of SSA was
evaluated by comparing the resulting semantic similarities between proteins with
the functional similarities between proteins derived from expert annotations or
sequence similarity. Comparisons with existing state-of-the-art methods showed
that SSA is highly competitive with the other methods. SSA provides a reliable
measure for semantics similarity independent of external databases of functional
annotation observations.
PMID- 22084009
TI - Using binding profiles to predict binding sites of target RNAs.
AB - Prediction of RNA-RNA interaction is a key to elucidating possible functions of
small non-coding RNAs, and a number of computational methods have been proposed
to analyze interacting RNA secondary structures. In this article, we focus on
predicting binding sites of target RNAs that are expected to interact with
regulatory antisense RNAs in a general form of interaction. For this purpose, we
propose bistaRNA, a novel method for predicting multiple binding sites of target
RNAs. bistaRNA employs binding profiles that represent scores for hybridized
structures, leading to reducing the computational cost for interaction
prediction. bistaRNA considers an ensemble of equilibrium interacting structures
and seeks to maximize expected accuracy using dynamic programming. Experimental
results on real interaction data validate good accuracy and fast computation time
of bistaRNA as compared with several competitive methods. Moreover, we aim to
find new targets given specific antisense RNAs, which provides interesting
insights into antisense RNA regulation. bistaRNA is implemented in C++. The
program and Supplementary Material are available at
http://rna.naist.jp/program/bistarna/.
PMID- 22084010
TI - A new genotype calling method for affymetrix SNP arrays.
AB - Current genotype-calling methods such as Robust Linear Model with Mahalanobis
Distance Classifier (RLMM) and Corrected Robust Linear Model with Maximum
Likelihood Classification (CRLMM) provide accurate calling results for Affymetrix
Single Nucleotide Polymorphisms (SNP) chips. However, these methods are
computationally expensive as they employ preprocess procedures, including chip
data normalization and other sophisticated statistical techniques. In the small
sample case the accuracy rate may drop significantly. We develop a new genotype
calling method for Affymetrix 100 k and 500 k SNP chips. A two-stage
classification scheme is proposed to obtain a fast genotype calling algorithm.
The first stage uses unsupervised classification to quickly discriminate
genotypes with high accuracy for the majority of the SNPs. And the second stage
employs a supervised classification method to incorporate allele frequency
information either from the HapMap data or from a self-training scheme.
Confidence score is provided for every genotype call. The overall performance is
shown to be comparable to that of CRLMM as verified by the known gold standard
HapMap data and is superior in small sample cases. The new algorithm is
computationally simple and standalone in the sense that a self-training scheme
can be used without employing any other training data. A package implementing the
calling algorithm is freely available at
http://www.sfs.ecnu.edu.cn/teachers/xuj_en.html.
PMID- 22084011
TI - Verification of phylogenetic inference programs using metamorphic testing.
AB - Many phylogenetic inference programs are available to infer evolutionary
relationships among taxa using aligned sequences of characters, typically DNA or
amino acids. These programs are often used to infer the evolutionary history of
species. However, in most cases it is impossible to systematically verify the
correctness of the tree returned by these programs, as the correct evolutionary
history is generally unknown and unknowable. In addition, it is nearly impossible
to verify whether any non-trivial tree is correct in accordance to the
specification of the often complicated search and scoring algorithms. This
difficulty is known as the oracle problem of software testing: there is no oracle
that we can use to verify the correctness of the returned tree. This makes it
very challenging to test the correctness of any phylogenetic inference programs.
Here, we demonstrate how to apply a simple software testing technique, called
Metamorphic Testing, to alleviate the oracle problem in testing phylogenetic
inference programs. We have used both real and randomly generated test inputs to
evaluate the effectiveness of metamorphic testing, and found that metamorphic
testing can detect failures effectively in faulty phylogenetic inference programs
with both types of test inputs.
PMID- 22084012
TI - JAGUC--a software package for environmental diversity analyses.
AB - BACKGROUND: The study of microbial diversity and community structures heavily
relies on the analyses of sequence data, predominantly taxonomic marker genes
like the small subunit of the ribosomal RNA (SSU rRNA) amplified from
environmental samples. Until recently, the "gold standard" for this strategy was
the cloning and Sanger sequencing of amplified target genes, usually restricted
to a few hundred sequences per sample due to relatively high costs and labor
intensity. The recent introduction of massive parallel tag sequencing strategies
like pyrosequencing (454 sequencing) has opened a new window into microbial
biodiversity research. Due to its swift nature and relatively low expense, this
strategy produces millions of environmental SSU rDNA sequences granting the
opportunity to gain deep insights into the true diversity and complexity of
microbial communities. The bottleneck, however, is the computational processing
of these massive sequence data, without which, biologists are hardly able to
exploit the full information included in these sequence data. RESULTS: The freely
available standalone software package JAGUC implements a broad regime of
different functions, allowing for efficient and convenient processing of a huge
number of sequence tags, including importing custom-made reference data bases for
basic local alignment searches, user-defined quality and search filters for
analyses of specific sets of sequences, pairwise alignment-based sequence
similarity calculations and clustering as well as sampling saturation and rank
abundance analyses. In initial applications, JAGUC successfully analyzed hundreds
of thousands of sequence data (eukaryote SSU rRNA genes) from aquatic samples and
also was applied for quality assessments of different pyrosequencing platforms.
CONCLUSIONS: The new program package JAGUC is a tool that bridges the gap between
computational and biological sciences. It enables biologists to process large
sequence data sets in order to infer biological meaning from hundreds of
thousands of raw sequence data. JAGUC offers advantages over available tools
which are further discussed in this manuscript.
PMID- 22084013
TI - Comparison of two academic software packages for analyzing two-dimensional gel
images.
AB - One of the key limitations for proteomic studies using two-dimensional (2D) gel
is the lack of automatic, fast, robust, and reliable methods for detecting,
matching, and quantifying protein spots. Although there are commercial software
packages for 2D gel image analysis, extensive human intervention is still needed
for spot detection and matching, which is time-consuming and error-prone.
Moreover, the commercial software packages are usually expensive and non-open
source. Thus, it is very beneficial for researchers to have free software that is
fast, fully automatic, and robust. In this paper, we review and compare two
recently developed and publicly available software packages, RegStatGel and
Pinnacle, for analyzing 2D gel images. These two software packages share some
common features and also have some fundamental difference in the aspects of spot
detection and quantification. Based on our experience, RegStatGel is much better
in terms of spot detection and matching. It also contains more advanced
statistical tools and is more user-friendly. In contrast, Pinnacle is quite
sensitive to background noise and relies on external statistical software
packages for statistical analysis.
PMID- 22084014
TI - How to choose a normalization strategy for miRNA quantitative real-time (qPCR)
arrays.
AB - Low-density arrays for quantitative real-time PCR (qPCR) are increasingly being
used as an experimental technique for miRNA expression profiling. As with gene
expression profiling using microarrays, data from such experiments needs
effective analysis methods to produce reliable and high-quality results. In the
pre-processing of the data, one crucial analysis step is normalization, which
aims to reduce measurement errors and technical variability among arrays that
might have arisen during the execution of the experiments. However, there are
currently a number of different approaches to choose among and an unsuitable
applied method may induce misleading effects, which could affect the subsequent
analysis steps and thereby any conclusions drawn from the results. The choice of
normalization method is hence an important issue to consider. In this study we
present the comparison of a number of data-driven normalization methods for
TaqMan low-density arrays for qPCR and different descriptive statistical
techniques that can facilitate the choice of normalization method. The
performance of the normalization methods was assessed and compared against each
other as well as against standard normalization using endogenous controls. The
results clearly show that the data-driven methods reduce variation and represent
robust alternatives to using endogenous controls.
PMID- 22084015
TI - Hierarchical mesoporous zeolites: direct self-assembly synthesis in a
conventional surfactant solution by kinetic control over the zeolite seed
formation.
AB - By kinetic control over the zeolite seed formation, we report the direct
fabrication of hierarchical mesoporous zeolites using hexadecyl trimethyl
ammonium bromide (CTAB) as the soft template in a conventional solution route.
Nanometer-sized, subnanocrystal-type zeolite seeds with a high degree of
polymerization are essential to prevent the formation of a separate amorphous
mesoporous phase and the phase separation between the mesophase and zeolite
crystals in the presence of CTAB and a certain amount of ethanol. The mechanisms
for the formation of hierarchically porous zeolites in the solution process,
including the effect of mother liquid aging, formation of subnanocrystal zeolite
seeds and their self-assembly effect with CTAB, and the role of ethanol are
proposed and discussed in detail. The prepared mesoporous ZSM-5 zeolite showed
much higher catalytic activity than conventional counterparts for aldol
condensations involving large molecules, especially in the synthesis of vesidryl.
PMID- 22084016
TI - HOXB4 can enhance the differentiation of embryonic stem cells by modulating the
hematopoietic niche.
AB - Hematopoietic differentiation of embryonic stem cells (ESCs) in vitro has been
used as a model to study early hematopoietic development, and it is well
documented that hematopoietic differentiation can be enhanced by overexpression
of HOXB4. HOXB4 is expressed in hematopoietic progenitor cells (HPCs) where it
promotes self-renewal, but it is also expressed in the primitive streak of the
gastrulating embryo. This led us to hypothesize that HOXB4 might modulate gene
expression in prehematopoietic mesoderm and that this property might contribute
to its prohematopoietic effect in differentiating ESCs. To test our hypothesis,
we developed a conditionally activated HOXB4 expression system using the mutant
estrogen receptor (ER(T2)) and showed that a pulse of HOXB4 prior to HPC
emergence in differentiating ESCs led to an increase in hematopoietic
differentiation. Expression profiling revealed an increase in the expression of
genes associated with paraxial mesoderm that gives rise to the hematopoietic
niche. Therefore, we considered that HOXB4 might modulate the formation of the
hematopoietic niche as well as the production of hematopoietic cells per se. Cell
mixing experiments supported this hypothesis demonstrating that HOXB4 activation
can generate a paracrine as well as a cell autonomous effect on hematopoietic
differentiation. We provide evidence to demonstrate that this activity is partly
mediated by the secreted protein FRZB.
PMID- 22084017
TI - Longitudinal analysis of voice quality in patients with early glottic cancer
after transoral laser microsurgery.
AB - BACKGROUND: We conducted longitudinal voice evaluations in patients with early
glottic cancer who underwent transoral laser microsurgery (TLM) to determine the
time to stability. METHODS: Twenty-five patients underwent TLM, including 13
limited cordectomies (type I and type II) and 12 extended cordectomies (type III
to type IV). Multidimensional voice evaluations were performed before treatment
and at 1, 3, 6, and 12 months after treatment. RESULTS: Voice parameters of
asthenicity, strain, mean airflow rate (MFR), voice handicap index (VHI)
functional, VHI-physical, and VHI-total scores improved in all patients. Most
patients had improved 6 months after TLM. Patients with extended cordectomy
showed higher breathiness, MFR, VHI-functional, and VHI-total scores. Healing was
complete in all cases by 6 months and in a majority of cases (76%) by 3 months.
CONCLUSIONS: Voice quality achieved stability 6 months after TLM. Comparing
treatment outcomes and surgical intervention are not recommended within 6 months
of surgery.
PMID- 22084018
TI - A fluorophoric-axle-based, nonfluororescent, metallo anti-[3]pseudorotaxane:
recovery of fluorescence by means of an axle substitution reaction.
AB - A Cu(2+)-templated, multinuclear, nonfluorescent, anti-[3]pseudorotaxane was
synthesized on a fluorophoric axle. The Cu(2+)-templated [3]pseudorotaxane was
characterized by the electrospray ionization mass spectroscopy (ESI-MS), UV/Vis
and EPR spectroscopy, and single-crystal X-ray data. The ESI-MS showed peaks that
support the formation of [3]pseudorotaxane. The UV/Vis spectrum of
[3]pseudorotaxane in CH(3)CN showed a characteristic d-d band of a Cu(2+) complex
at 650 nm. Further, the X-band in the EPR spectrum of [3]pseudorotaxane suggested
a distorted square-pyramidal geometry of Cu(2+). Importantly, formation of the
[3]pseudorotaxane was confirmed by the single-crystal X-ray structural analysis,
which showed that one fluorophoric axle was threaded into two Cu(2+) macrocyclic
wheels (MC-Cu(2+)) with an anti conformation. The UV/Vis and fluorescence
titration experiments were carried out to follow the solution-state formation of
[3]pseudorotaxane by MC-Cu(2+) and fluorophoric axle in CH(3)CN. In both studies,
the sigmoidal curve fit supported the formation of 1:2 complex of the
fluorophoric axle and MC-Cu(2+) complex. Secondly, the release of the
fluorophoric axle from the nonfluorescent [3]pseudorotaxane through the formation
of a [2]pseudorotaxane was demonstrated by titrating a solution of the
[3]pseudorotaxane with a stronger bidentate chelating ligand, such as 1,10
phenanthroline (Phen). Substitution of the fluorophoric axle from the
[3]pseudorotaxane with about 100% efficiency was achieved by the addition of
approximately two equivalents of Phen, and the formation of a Phen-threaded
[2]pseudorotaxane was established by ESI-MS of the resulting solution and a
single-crystal X-ray study. Axle substitution was also confirmed by a
fluorescence titration experiment, which showed a step-wise recovery of the
fluorescence intensity of the fluorophoric axle. The association constants for
the formation of the [3]- and [2]pseudrotaxanes were calculated from the
fluorescence and UV/Vis data. In addition, 2,2'-bipyridine (BPy), which is a
relatively weaker bidendate chelating ligand compared to Phen, showed an
inefficient and incomplete axle substitution of the [3]pseudorotaxane, although
BPy previously showed the formation of [2]pseudrotaxane with the MC-Cu(2+) wheel
in solution and ESI-MS studies. In this context, the formation of a BPy-threaded
[2]pseudrotaxane was further established by single-crystal X-ray diffraction
study.
PMID- 22084019
TI - Genetic vs. phenotypic responses of trees to altitude.
PMID- 22084020
TI - Growth and physiology of olive pioneer and fibrous roots exposed to soil moisture
deficits.
AB - In woody plants, pioneer roots are the main roots used to expand the root system
horizontally and vertically whereas fibrous 'feeder' roots are chiefly used in
the absorption of water and nutrients. Because of their different roles, we
expected newly emerged pioneer and fibrous roots to respond differently to
restrictions in soil moisture. We hypothesized that fibrous roots would exhibit
greater growth plasticity and greater physiological impairment from soil moisture
deficits, especially under heterogeneous conditions. We compared the responses of
fibrous and pioneer roots of olive seedlings (Olea europaea) to localized and
uniform soil moisture deficits in transparent containers in the greenhouse. In
comparison with uniformly wet conditions, uniformly dry conditions caused reduced
shoot photosynthesis and reduced shoot growth, but no significant effect on root
morphology, root respiration (measured in aerated buffer solution using excised
roots) or electrolyte leakage as a function of root age. Under heterogeneous soil
moisture conditions, root growth tended to preferentially occur in the moist
sector, especially in the pioneer roots. In comparison with pioneer roots in the
moist sector, pioneer roots in the dry sector had higher tissue density and
higher suberin content, but no shift in root respiration, non-structural
carbohydrates or electrolyte leakage. In contrast, fibrous roots in the dry
sector exhibited evidence of impaired physiology in older (>38 days) roots
compared with similar age fibrous roots in the moist sector. While we anticipated
that, compared with pioneer roots, fibrous roots would be more sensitive to soil
moisture deficits as expressed by higher electrolyte leakage, we did not expect
the strong growth plasticity of pioneer roots under heterogeneous soil moisture
conditions. Differentiating the responses of these two very different root types
can improve our understanding of how different portions of the root system of
woody plants cope with soil moisture deficits.
PMID- 22084021
TI - Boron nutrition affects the carbon metabolism of silver birch seedlings.
AB - Boron (B) is an essential micronutrient whose deficiency is common both in
agriculture and in silviculture. Boron deficiency impairs the growth of plants
and affects many metabolic processes like carbohydrate metabolism. Boron
deficiency and also excess B may decrease the sink demand by decreasing the
growth and sugar transport which may lead to the accumulation of carbohydrates
and down-regulation of photosynthesis. In this study, we investigated the effects
of B nutrition on the soluble and storage carbohydrate concentrations of summer
leaves and autumn buds in a deciduous tree species, Betula pendula Roth. In
addition, we investigated the changes in the pools of condensed tannins between
summer and autumn harvests. One-year-old birch seedlings were fertilized with a
complete nutrient solution containing three different levels of B: 0, 30 and 100%
of the standard level for complete nutrient solution. Half of the seedlings were
harvested after summer period and another half when leaves abscised. The highest
B fertilization level (B100) caused an accumulation of starch and a decrease in
the concentrations of hexoses (glucose and fructose) in summer leaves, whereas in
the B0 seedlings, hexoses (mainly glucose) accumulated and starch decreased.
These changes in carbohydrate concentrations might be related to the changes in
the sink demand since the autumn growth was the smallest for the B100 seedlings
and largest for the B30 seedlings that did not accumulate carbohydrates. The
autumn buds of B30 seedlings contained the lowest levels of glucose, glycerol,
raffinose and total polyols, which was probably due to the dilution effect of the
deposition of other substances like phenols. Condensed tannins accumulated in
high amounts in the birch stems during the hardening of seedlings and the largest
accumulation was detected in the B30 treatment. Our results suggest that B
nutrition of birch seedlings affects the carbohydrate and phenol metabolism and
may play an important role in the hardening process of the seedlings.
PMID- 22084022
TI - The pathogenic white-rot fungus Heterobasidion parviporum triggers non-specific
defence responses in the bark of Norway spruce.
AB - Norway spruce [Picea abies (L.) Karst.] is one of the economically most important
conifer species in Europe. The major pathogen on Norway spruce is Heterobasidion
parviporum (Fr.) Niemela & Korhonen. To achieve a better understanding of Norway
spruce's defence mechanisms, transcriptional responses in bark to H. parviporum
infection were compared with the response to wounding using cDNA-amplified
fragment length polymorphism. The majority of the recovered transcript-derived
fragments (TDFs) showed a similar expression pattern for infection and wounding
treatment, although inoculated samples showed an enhanced reaction. Genes related
to systemic acquired resistance, e.g., PR1, accumulated after H. parviporum
infection. Simultaneously, several transcripts involved in various aspects of
jasmonic acid (JA)- and ethylene (ET)-mediated signalling accumulated. Genes
involved in the ubiquitin/proteasome system were also regulated. Expression
patterns have been confirmed by quantitative polymerase chain reaction. The
expression patterns of the isolated TDFs suggest that infection with H.
parviporum in Norway spruce induces a broad defence, with many similarities to
non-specific defence responses in angiosperms. The parallel induction of
salicylic acid- and JA/ET-mediated pathways implies spatially separated responses
in different cell layers, with and without hyphal contact. A set of TDFs were
analysed in an independent experiment with unrelated material treated with
wounding or with inoculation with H. parviporum or Phlebiopsis gigantea,
verifying the original observations and underlining the non-specific defence
responses. In addition, our data suggest that rerouting of carbon in secondary
metabolism is an integral part of Norway spruce induced defence. We report the
sequences of three 3-deoxy-d-arabino-heptulosonate 7-phosphate synthase genes
(PaDAHP1, PaDAHP2 and PaDAHP3) and their relative expression in response to
wounding and infection with H. parviporum and P. gigantea. The results clearly
indicate differential regulation of the three DAHPs in the induced defence
responses in Norway spruce. This study gives insights into the central mechanisms
in the induced defences in Norway spruce.
PMID- 22084023
TI - Probing the effects of one-electron reduction and protonation on the electronic
properties of the Fe-S clusters in the active-ready form of [FeFe]-hydrogenases.
A QM/MM investigation.
AB - A QM/MM investigation of the active-ready (H(ox)) form of [FeFe]-hydrogenase from
D. desulfuricans, in which the electronic properties of all Fe-S clusters (H, F
and F') have been simultaneously described using DFT, was carried out with the
aim of disclosing a possible interplay between the H-cluster and the accessory
iron-sulfur clusters in the initial steps of the catalytic process leading to
H(2) formation. It turned out that one-electron addition to the active-ready form
leads to reduction of the F'-cluster and not of the H-cluster. Protonation of the
H-cluster in H(ox) is unlikely, and in any case it would not trigger electron
transfer from the accessory Fe(4)S(4) clusters to the active site. Instead, one
electron reduction and protonation of the active-ready form trigger electron
transfer within the protein, a key event in the catalytic cycle. In particular,
protonation of the H-cluster after one-electron reduction of the enzyme lowers
the energy of the lowest unoccupied molecular orbitals localized on the H-cluster
to such an extent that a long-range electron transfer from the F'-cluster towards
the H-cluster itself is allowed.
PMID- 22084024
TI - Separation and purification of isorhamnetin 3-sulphate from Flaveria bidentis
(L.) Kuntze by counter-current chromatography comparing two kinds of solvent
systems.
AB - The first preparative separation of a flavonoid sulphate isorhamnetin 3-sulphate
from Flaveria bidentis (L.) Kuntze by counter-current chromatography (CCC) was
presented. Two kinds of solvent systems were used. A conventional organic/aqueous
solvent system n-butanol-ethyl acetate-water (4:1:5, v/v) was used, yielding
isorhamnetin 3-sulphate 2.0 mg with a purity of 93.4% from 83 mg of pre-enriched
crude extract obtained from 553 mg ethanol extract by macroporous resin. A one
component organic/salt-containing system composed of n-butanol-0.25% sodium
chloride aqueous solution (1:1, v/v) was also used, and the LC column packed with
macroporous resin has been employed for desalination of the target compound
purified from CCC. As a result, 2.1 mg of isorhamnetin 3-sulphate with a purity
of over 97% has been isolated from 402 mg of crude extract without pre
enrichment. Compared with the conventional organic/aqueous system, the one
component organic/salt-containing aqueous system was more suitable for the
separation of isorhamnetin 3-sulphate, and purer target compound was obtained
from the crude extract without pre-enrichment using the new solvent system. The
chemical structure was confirmed by ESI-MS and (1)H, (13)C NMR. In summary, our
results indicated that CCC using one-component organic/salt-containing aqueous
solution is very promising and powerful for high-throughput purification of
isorhamnetin 3-sulphate from Flaveria bidentis (L.) Kuntze.
PMID- 22084025
TI - Optically transmitted and inductively coupled electric reference to access in
vivo concentrations for quantitative proton-decoupled 13C magnetic resonance
spectroscopy.
AB - This report describes our efforts on quantification of tissue metabolite
concentrations in mM by nuclear Overhauser enhanced and proton decoupled (13) C
magnetic resonance spectroscopy and the Electric Reference To access In vivo
Concentrations (ERETIC) method. Previous work showed that a calibrated synthetic
magnetic resonance spectroscopy-like signal transmitted through an optical fiber
and inductively coupled into a transmit/receive coil represents a reliable
reference standard for in vivo (1) H magnetic resonance spectroscopy
quantification on a clinical platform. In this work, we introduce a related
implementation that enables simultaneous proton decoupling and ERETIC-based
metabolite quantification and hence extends the applicability of the ERETIC
method to nuclear Overhauser enhanced and proton decoupled in vivo (13) C
magnetic resonance spectroscopy. In addition, ERETIC signal stability under the
influence of simultaneous proton decoupling is investigated. The proposed
quantification method was cross-validated against internal and external reference
standards on human skeletal muscle. The ERETIC signal intensity stability was
100.65 +/- 4.18% over 3 months including measurements with and without proton
decoupling. Glycogen and unsaturated fatty acid concentrations measured with the
ERETIC method were in excellent agreement with internal creatine and external
phantom reference methods, showing a difference of 1.85 +/- 1.21% for glycogen
and 1.84 +/- 1.00% for unsaturated fatty acid between ERETIC and creatine-based
quantification, whereas the deviations between external reference and creatine
based quantification are 6.95 +/- 9.52% and 3.19 +/- 2.60%, respectively.
PMID- 22084026
TI - Benzo[e]pyrene skeleton dipyrylium dication with a strong donor-acceptor-donor
interaction, and its two-electron reduced molecule.
AB - The donor-acceptor-donor (D-A-D) conjugated molecules 1,4
bis(diarylaminophenylethynyl)anthraquinone (1,4-Am(2)Aq) and 1,4
bis(ferrocenylethynyl)anthraquinone (1,4-Fc(2)Aq), undergo a double proton
cyclization reaction with bis(trifluoromethanesulfone)imide acid (TFSIH) to yield
1,4-bis(diarylaminophenyl or ferrocenyl) dipyrylium salts [1,4
R(2)Pyl(2)](TFSI)(2) (R=Am or Fc) with novel planar pentacyclic structures
similar to the aromatic benzo[e]pyrene-type skeleton. [1,4-Am(2)Pyl(2)](TFSI)(2)
could be reduced to give the neutral molecule [1,4-Am(2)Pyl(2)](0), which is
stable and maintains the benzo[e]pyrene-type skeleton. To the best of our
knowledge, this is the first oxygen-atom-containing polycyclic aromatic
hydrocarbon with 22 (4n+2) pi-electrons. The obtained condensed-ring
benzo[e]pyrene-type skeleton compounds show physical and chemical properties that
are significantly different from those of [1,5-Am(2)Pyl(2)](TFSI)(2), which has a
perylene-type skeleton.
PMID- 22084028
TI - Surgical management of T1 oropharyngeal carcinoma.
AB - BACKGROUND: The purpose of this study was to assess the efficacy of primary
transoral surgery in the management of T1 oropharyngeal carcinoma. METHODS: A
retrospective evaluation was conducted on the files of all patients treated with
primary surgery for pT1 oropharyngeal carcinoma at a tertiary referral center
between 1976 and 2005. RESULTS: A total of 223 cases were assessed. Disease
specific survival was 88% and local control 93%. Transoral surgery with the use
of CO(2) laser or electrocautery was adopted in every case. Positive surgical
margins and regional disease were found to significantly worsen prognosis. A low
rate of complications and satisfactory retention of pharyngeal function were
noted. CONCLUSIONS: Primary transoral surgical treatment is very effective
against T1 oropharyngeal carcinoma. A low rate of complications should be
expected. However, in every case complete excision of the tumor must be
accomplished and the neck included in the primary treatment plan.
PMID- 22084027
TI - Nitric oxide sustains long-term skeletal muscle regeneration by regulating fate
of satellite cells via signaling pathways requiring Vangl2 and cyclic GMP.
AB - Satellite cells are myogenic precursors that proliferate, activate, and
differentiate on muscle injury to sustain the regenerative capacity of adult
skeletal muscle; in this process, they self-renew through the return to
quiescence of the cycling progeny. This mechanism, while efficient in
physiological conditions does not prevent exhaustion of satellite cells in
pathologies such as muscular dystrophy where numerous rounds of damage occur.
Here, we describe a key role of nitric oxide, an important signaling molecule in
adult skeletal muscle, on satellite cells maintenance, studied ex vivo on
isolated myofibers and in vivo using the alpha-sarcoglycan null mouse model of
dystrophy and a cardiotoxin-induced model of repetitive damage. Nitric oxide
stimulated satellite cells proliferation in a pathway dependent on cGMP
generation. Furthermore, it increased the number of Pax7(+)/Myf5(-) cells in a
cGMP-independent pathway requiring enhanced expression of Vangl2, a member of the
planar cell polarity pathway involved in the Wnt noncanonical pathway. The
enhanced self-renewal ability of satellite cells induced by nitric oxide is
sufficient to delay the reduction of the satellite cell pool during repetitive
acute and chronic damages, favoring muscle regeneration; in the alpha-sarcoglycan
null dystrophic mouse, it also slowed disease progression persistently. These
results identify nitric oxide as a key messenger in satellite cells maintenance,
expand the significance of the Vangl2-dependent Wnt noncanonical pathway in
myogenesis, and indicate novel strategies to optimize nitric oxide-based
therapies for muscular dystrophy.
PMID- 22084029
TI - All organic host-guest crystals based on a dumb-bell-shaped conjugated host for
light harvesting through resonant energy transfer.
AB - Together we glow: Fully organic host-guest crystals with two dyes inserted in
their parallel nanochannels display broad emission in the visible range thanks to
resonant energy transfer. The conjugated host crystal provides light harvesting
in the UV region.
PMID- 22084030
TI - Energy transfer tunes phosphorescent color of single-dopant white OLEDs.
PMID- 22084031
TI - Electromembrane extraction of peptides--fundamental studies on the supported
liquid membrane.
AB - A large screening of different components in the supported liquid membrane (SLM)
in electromembrane extraction (EME) was performed to test the extraction
efficiency on eight model peptides. Electromembrane extraction from a 500 MUL
acidified aqueous sample containing the model peptides in the concentration 10
MUg/mL was used. Extraction time was 5 min with an electric potential of 10 V and
900 rpm agitation of the sample vial. The samples were extracted through a hollow
fiber-based SLM with different compositions of organic solvents and carriers. A
small volume of acidified acceptor solution (25 MUL) was after extraction
analyzed directly, or with some dilution, on CE or HPLC. This article has
identified mono- or di-substituted phosphate groups as the prominent group of
carrier molecules needed to obtain acceptable recoveries. For the organic
solvents, primary alcohols and ketones have shown promise regarding recovery and
reproducibility, with some differences in selectivity. A new composition of the
SLM, namely 2-octanone and tridecyl phosphate (90:10 w/w) has proved to give
higher extraction recoveries and lower standard deviation than SLMs previously
reported in the literature.
PMID- 22084032
TI - Survey of the frequency and perceived stressfulness of ethical dilemmas
encountered in UK veterinary practice.
AB - The scale of the ethical challenges faced by veterinary surgeons and their
perceived stressful consequences were investigated via a short questionnaire,
completed by 58 practising veterinary surgeons. Respondents were asked to report
how frequently they faced ethical dilemmas, and to rate on a simple numerical
scale (zero to 10) how stressful they found three common scenarios. Fifty seven
per cent of respondents reported that they faced one to two dilemmas per week,
while 34 per cent stated they typically faced three to five dilemmas per week.
The three scenarios provided were all rated as highly stressful with 'client
wishing to continue treatment despite poor animal welfare' rated as the most
stressful (median 9). The female veterinary surgeons gave two of the scenarios
significantly higher stress ratings than the male veterinary surgeons. Stress
ratings were not influenced by number of years in practice (which ranged from one
to more than 25 years). The results show that veterinary surgeons regularly face
ethical dilemmas and that they find these stressful. This has implications for
the wellbeing of veterinary surgeons and supports the case for increased
provision of training and support, especially for those who entered the
profession before undergraduate ethics teaching was widely available.
PMID- 22084033
TI - Human neural stem cell tropism to metastatic breast cancer.
AB - Metastasis to multiple organs is the primary cause of mortality in breast cancer
patients. The poor prognosis for patients with metastatic breast cancer and toxic
side effects of currently available treatments necessitate the development of
effective tumor-selective therapies. Neural stem cells (NSCs) possess inherent
tumor tropic properties that enable them to overcome many obstacles of drug
delivery that limit effective chemotherapy strategies for breast cancer. We
report that increased NSC tropism to breast tumor cell lines is strongly
correlated with the invasiveness of cancer cells. Interleukin 6 (IL-6) was
identified as a major cytokine mediating NSC tropism to invasive breast cancer
cells. We show for the first time in a preclinical mouse model of metastatic
human breast cancer that NSCs preferentially target tumor metastases in multiple
organs, including liver, lung, lymph nodes, and femur, versus the primary
intramammary fat pad tumor. For proof-of-concept of stem cell-mediated breast
cancer therapy, NSCs were genetically modified to secrete rabbit carboxylesterase
(rCE), an enzyme that activates the CPT-11 prodrug to SN-38, a potent
topoisomerase I inhibitor, to effect tumor-localized chemotherapy. In vitro data
demonstrate that exposure of breast cancer cells to conditioned media from rCE
secreting NSCs (NSC.rCE) increased their sensitivity to CPT-11 by 200-fold. In
vivo, treatment of tumor-bearing mice with NSC.rCE cells in combination with CPT
11 resulted in reduction of metastatic tumor burden in lung and lymph nodes.
These data suggest that NSC-mediated enzyme/prodrug therapy may be more effective
and less toxic than currently available chemotherapy strategies for breast cancer
metastases.
PMID- 22084034
TI - Ectopic mediastinal goiter successfully managed via cervical approach: case
report and review of the literature.
AB - BACKGROUND: Ectopic mediastinal goiter is a rare entity that presents diagnostic
and treatment challenges to the clinician. METHODS: A case of primary ectopic
mediastinal goiter is presented and use of a cervical approach for excision is
described herein. Additionally, precedent literature highlighting surgical
treatment approaches is reviewed. RESULTS: The distinction between primary and
secondary ectopic mediastinal goiter is significant as this indicates the
vascular supply to the ectopic mass. Previous authors suggested open thoracic
procedures for all primary mediastinal goiters to safely divide intrathoracic
vascular supply, but favorably positioned anterior mediastinal goiter may be
safely removed via cervical approach. This is the second case of primary ectopic
mediastinal goiter successfully resected via cervical approach. CONCLUSION:
Select cases of primary mediastinal goiter may be amenable to excision via
cervical approach, avoiding potential complications of open thoracic procedures.
Additionally, CT-guided biopsy is an effective tool in preoperative diagnosis of
anterior mediastinal masses.
PMID- 22084036
TI - Synthesis of tripeptide mimetics based on dihydroquinolinone and benzoxazinone
scaffolds.
PMID- 22084037
TI - Online tissue discrimination for transcutaneous needle guidance applications
using broadband impedance spectroscopy.
AB - This paper reports on a novel system architecture for measuring impedance spectra
of a biological tissue close to the tip of a hollow needle. The measurement is
performed online using fast broadband chirp signals. The time domain measurement
raw data are transformed into the transfer function of the tissue in frequency
domain. Correlation technique is used to analyze the characteristic shape of the
derived tissue transfer function with respect to known "library functions" for
different types of tissue derived in earlier experiments. Based on the resulting
correlation coefficients the exact type of tissue is determined. A bipolar
coaxial needle is constructed, simulated by finite element method and tested
during various in vitro and in vivo experiments. The results show a good spatial
resolution of approximately 1.0 mm for a needle with a diameter of 2.0 mm. The
correlation coefficients for the three tested tissue types muscle, fat, and blood
allow for a clear tissue classification. Best results have been obtained using
the characteristic phase diagrams for each tissue. Correlated to the
corresponding library transfer function the coefficients are in the range of
+0.96 to +0.99 for the matching tissue. In return, the resulting coefficients for
correlation with nonmatching tissues are in the range of -0.93 to +0.81.
PMID- 22084035
TI - Simple, fast, tissue-specific bacterial artificial chromosome transgenesis in
Xenopus.
AB - We have developed a method of injecting bacterial artificial chromosome (BAC) DNA
into Xenopus embryos that is simple and efficient, and results in consistent and
tissue-specific expression of transgenes cloned into BAC vectors. Working with
large pieces of DNA, as can be accommodated by BACs, is necessary when studying
large or complex genes and conducive to studying the function of long-range
regulatory elements that act to control developmentally restricted gene
expression. We recombineered fluorescent reporters into three Xenopus tropicalis
BAC clones targeting three different genes and report that up to 60% of injected
embryos express the reporter in a manner consistent with endogenous expression.
The behavior of these BACs, which are replicated after injection, contrasts with
that of smaller plasmids, which degrade relatively quickly when injected as
circular molecules and generally fail to recapitulate endogenous expression when
not integrated into the Xenopus genome.
PMID- 22084038
TI - Cross validation for selection of cortical interaction models from scalp EEG or
MEG.
AB - A cross-validation (CV) method based on state-space framework is introduced for
comparing the fidelity of different cortical interaction models to the measured
scalp electroencephalogram (EEG) or magnetoencephalography (MEG) data being
modeled. A state equation models the cortical interaction dynamics and an
observation equation represents the scalp measurement of cortical activity and
noise. The measured data are partitioned into training and test sets. The
training set is used to estimate model parameters and the model quality is
evaluated by computing test data innovations for the estimated model. Two CV
metrics normalized mean square error and log-likelihood are estimated by
averaging over different training/test partitions of the data. The effectiveness
of this method of model selection is illustrated by comparing two linear modeling
methods and two nonlinear modeling methods on simulated EEG data derived using
both known dynamic systems and measured electrocorticography data from an
epilepsy patient.
PMID- 22084039
TI - Setting adaptive spike detection threshold for smoothed TEO based on robust
statistics theory.
AB - We propose a novel approach aimed at adaptively setting the threshold of the
smoothed Teager energy operator (STEO) detector to be used in extracellular
recording of neural signals. In this proposed approach, to set the adaptive
threshold of the STEO detector, we derive the relationship between the low-order
statistics of its input signal and the ones of its output signal. This
relationship is determined with only the background noise component assumed to be
present at the input. Robust statistics theory techniques were used to achieve an
unbiased estimation of these low-order statistics of the background noise
component directly from the neural input signal. In this paper, the emphasis is
made on extracellular neural recordings. However, the proposed method can be used
in the analysis of different biomedical signals where spikes are important for
diagnostic (e.g., ECG, EEG, etc.). We validated the efficacy of the proposed
method using synthetic neural signals constructed from real neural recordings
signals. Four different sets of extracellular recordings from four distinct
neural sources have been exploited to that purpose. The first dataset is recorded
from an adult male monkey using the Utath 10*10 microelectrode array implemented
in the prefrontal cortex, the second one was obtained from the visual cortex of a
rat using a stainless-steel-tipped microelectrode, the third dataset came from
recording in a human medial lobe using intracranial electrode, and finally, the
fourth one was extracted from recordings in a macaque parietal cortex using a
single tetrode. Simulation results show that our approach is effective and
robust, and outperforms state-of-the-art adaptive detection methods in its
category (i.e., efficient and simple, and do not require a priori knowledge about
neural spike waveforms shapes).
PMID- 22084040
TI - Personalized tooth shape estimation from radiograph and cast.
AB - Three-dimensional geometric information of teeth is usually needed in pre- and
postoperative diagnoses of orthodontic dentistry. The computerized tomography can
provide comprehensive 3-D teeth geometries. However, there is still a discussion
on computed tomography (CT) as a routine in orthodontic dentistry due to
radiation dose. Moreover, the CT is useless when a dentist needs to extract 3-D
structures from old archive files with only radiographs and casts, where
patient's teeth changed ever since. In this paper, we propose a reconstruction
framework for patient-specific teeth based on an integration of 2-D radiographs
and digitized casts. The reconstruction is under a template-fitting framework.
The shape and orientation of teeth templates are tuned in accordance with
patient's radiographs. Specially, the tooth root morphology is controlled by 2-D
contours in radiographs. With ray tracing and a contour plane assumption, 2-D
root contours in radiographs are projected back to 3-D space, and guide tooth
root deformations. Moreover, the template's crown is deformed nonrigidly to fit
digitized casts that bear patient's crown details. The system allows 3-D tooth
reconstruction with patient-specific geometric details from just casts and 2-D
radiographs.
PMID- 22084041
TI - DiBa: a data-driven Bayesian algorithm for sleep spindle detection.
AB - Although the spontaneous brain rhythms of sleep have commanded much recent
interest, their detection and analysis remains suboptimal. In this paper, we
develop a data-driven Bayesian algorithm for sleep spindle detection on the
electroencephalography (EEG). The algorithm exploits the Karhunen-Loeve transform
and Bayesian hypothesis testing to produce the instantaneous probability of a
spindle's presence with maximal resolution. In addition to possessing
flexibility, transparency, and scalability, this algorithm could perform at
levels superior to standard methods for EEG event detection.
PMID- 22084042
TI - Optimal multiresolution blending of confocal microscope images.
AB - Typical mosaicing schemes assume that to-be-combined images are equally
informative; thus, the images are processed in a similar manner. However, the new
imaging technique for confocal fluorescence images has revealed a problem when
two asymmetrically informative biological images are stitched during microscope
image mosaicing. The latter process is widely used in biological studies to
generate a higher resolution image by combining multiple images taken at
different times and angles. To resolve the earlier problem, we propose a
multiresolution optimization approach that evaluates the blending coefficients
based on the relative importance of the overlapping regions of the to-be-combined
image pair. The blending coefficients are the optimal solution obtained by a
quadratic programming algorithm with constraints that are enforced by the
biological requirements. We demonstrate the efficacy of the proposed approach on
several confocal microscope fluorescence images and compare the results with
those derived by other methods.
PMID- 22084043
TI - Modeling the slow wave shapes of spreading depression in a rat cortex: a
methodology for seeking physiological parameters.
AB - Spreading depression (SD) consists of a transient significant suppression of the
spontaneous neural electrical activity that spreads slowly across regions of the
gray matter in a wave form. Nowadays, this phenomenon is being studied by means
of mathematical and computational models to reproduce the main characteristics of
SD. Given the high number of parameters and their unknown ranges of variation,
the setting of parameters for current SD models is usually a hard task that must
be addressed in order to make such models reproduce real data. In this paper, we
present a 1-D model which is able to reproduce the most important characteristics
of SD waves observed in laboratory experiments: the slow extracellular potential
shift and extracellular ionic concentration variations regarding speed, shape,
and amplitude. Such a reproduction is possible due to a methodology that we
introduced to set the parameters of the SD models. The methodology allows the
impact of each parameter on the results produced by the model and the range of
parameters for which the model displays plausible behavior to be determined. The
methodology also helps to identify features that the model cannot produce and it
gives insights about what parts of the model should be modified to improve its
capacities through the identification of parameters involved with each behavior.
PMID- 22084044
TI - Vibrotactile pattern recognition: a portable compact tactile matrix.
AB - Compact tactile matrix (CTM) is a vibrotactile device composed of a seven-by
seven array of electromechanical vibrators "tactip" used to represent tactile
patterns applied to a small skin area. The CTM uses a dynamic feature to generate
spatiotemporal tactile patterns. The design requirements focus particularly on
maximizing the transmission of the vibration from one tactip to the others as
well as to the skin over a square area of 16 cm (2) while simultaneously
minimizing the transmission of vibrations throughout the overall structure of the
CTM. Experiments were conducted on 22 unpracticed subjects to evaluate how the
CTM could be used to develop a tactile semantics for communication of
instructions in order to test the ability of the subjects to identify: 1)
directional prescriptors for gesture guidance and 2) instructional commands for
operational task requirements in a military context. The results indicate that,
after familiarization, recognition accuracies in the tactile patterns were
remarkably precise for more 80% of the subjects.
PMID- 22084046
TI - A splitting-based iterative algorithm for accelerated statistical X-ray CT
reconstruction.
AB - Statistical image reconstruction using penalized weighted least-squares (PWLS)
criteria can improve image-quality in X-ray computed tomography (CT). However,
the huge dynamic range of the statistical weights leads to a highly shift-variant
inverse problem making it difficult to precondition and accelerate existing
iterative algorithms that attack the statistical model directly. We propose to
alleviate the problem by using a variable-splitting scheme that separates the
shift-variant and ("nearly") invariant components of the statistical data model
and also decouples the regularization term. This leads to an equivalent
constrained problem that we tackle using the classical method-of-multipliers
framework with alternating minimization. The specific form of our splitting
yields an alternating direction method of multipliers (ADMM) algorithm with an
inner-step involving a "nearly" shift-invariant linear system that is suitable
for FFT-based preconditioning using cone-type filters. The proposed method can
efficiently handle a variety of convex regularization criteria including smooth
edge-preserving regularizers and nonsmooth sparsity-promoting ones based on the
l(1)-norm and total variation. Numerical experiments with synthetic and real in
vivo human data illustrate that cone-filter preconditioners accelerate the
proposed ADMM resulting in fast convergence of ADMM compared to conventional
(nonlinear conjugate gradient, ordered subsets) and state-of-the-art (MFISTA,
split-Bregman) algorithms that are applicable for CT.
PMID- 22084047
TI - Three-dimensional in silico breast phantoms for multimodal image simulations.
AB - Anatomic simulators have provided researchers with the realistic objects needed
to develop and evaluate medical imaging approaches. Today we have new insights
into the cellular biology of breast tissues that is driving many new targeted
diagnostic and therapeutic approaches, including molecular imaging. We report on
our initial efforts to build a scalable framework for the construction of
realistic 3-D in silico breast phantoms (ISBP) capable of leveraging existing
knowledge and yet adaptable to fully integrate future discoveries. The ISBP
frames are developed with scalable anatomical shapes and morphologic features as
adapted from a rich literature on this topic. Frames are populated with tissue
subtypes essential for imaging and object contrast functions are assigned. These
data can be resampled to match the intrinsics scales of various imaging
modalities; we explore mammography, sonography and computed tomography. Initial
comparisons between simulated and clinical images demonstrate reasonable
agreement and provides guidance for future development of a more realistic ISBP.
An end-to-end simulation of breast images is described to demonstrate techniques
for including stochastic variability and deterministic physical principles on
which image formation is based.
PMID- 22084048
TI - Motion correction in dual gated cardiac PET using mass-preserving image
registration.
AB - Respiratory and cardiac motion leads to image degradation in positron emission
tomography (PET) studies of the human heart. In this paper we present a novel
approach to motion correction based on dual gating and mass-preserving
hyperelastic image registration. Thereby, we account for intensity modulations
caused by the highly nonrigid cardiac motion. This leads to accurate and
realistic motion estimates which are quantitatively validated on software phantom
data and carried over to clinically relevant data using a hardware phantom. For
patient data, the proposed method is first evaluated in a high statistic (20 min
scans) dual gating study of 21 patients. It is shown that the proposed approach
properly corrects PET images for dual-cardiac as well as respiratory-motion. In a
second study the list mode data of the same patients is cropped to a scan time
reasonable for clinical practice (3 min). This low statistic study not only shows
the clinical applicability of our method but also demonstrates its robustness
against noise obtained by hyperelastic regularization.
PMID- 22084049
TI - Robust image deblurring with an inaccurate blur kernel.
AB - Most existing nonblind image deblurring methods assume that the blur kernel is
free of error. However, it is often unavoidable in practice that the input blur
kernel is erroneous to some extent. Sometimes, the error could be severe, e.g.,
for images degraded by nonuniform motion blurring. When an inaccurate blur kernel
is used as the input, significant distortions will appear in the image recovered
by existing methods. In this paper, we present a novel convex minimization model
that explicitly takes account of error in the blur kernel. The resulting
minimization problem can be efficiently solved by the so-called accelerated
proximal gradient method. In addition, a new boundary extension scheme is
incorporated in the proposed model to further improve the results. The
experiments on both synthesized and real images showed the efficiency and
robustness of our algorithm to both the image noise and the model error in the
blur kernel.
PMID- 22084050
TI - Robust multichannel blind deconvolution via fast alternating minimization.
AB - Blind deconvolution, which comprises simultaneous blur and image estimations, is
a strongly ill-posed problem. It is by now well known that if multiple images of
the same scene are acquired, this multichannel (MC) blind deconvolution problem
is better posed and allows blur estimation directly from the degraded images. We
improve the MC idea by adding robustness to noise and stability in the case of
large blurs or if the blur size is vastly overestimated. We formulate blind
deconvolution as an l(1) -regularized optimization problem and seek a solution
by alternately optimizing with respect to the image and with respect to blurs.
Each optimization step is converted to a constrained problem by variable
splitting and then is addressed with an augmented Lagrangian method, which
permits simple and fast implementation in the Fourier domain. The rapid
convergence of the proposed method is illustrated on synthetically blurred data.
Applicability is also demonstrated on the deconvolution of real photos taken by a
digital camera.
PMID- 22084051
TI - Selective recognition of cysteine in its free and protein-bound states by the
Zn2+ complex of a triazole-based calix[4]arene conjugate.
PMID- 22084052
TI - Connectivity analysis as a novel approach to motor decoding for prosthesis
control.
AB - The use of neural signals for prosthesis control is an emerging frontier of
research to restore lost function to amputees and the paralyzed.
Electrocorticography (ECoG) brain-machine interfaces (BMI) are an alternative to
EEG and neural spiking and local field potential BMI approaches. Conventional
ECoG BMIs rely on spectral analysis at specific electrode sites to extract
signals for controlling prostheses. We compare traditional features with
information about the connectivity of an ECoG electrode network. We use time
varying dynamic Bayesian networks (TV-DBN) to determine connectivity between ECoG
channels in humans during a motor task. We show that, on average, TV-DBN
connectivity decreases from baseline preceding movement and then becomes
negative, indicating an alteration in the phase relationship between electrode
pairs. In some subjects, this change occurs preceding and during movement, before
changes in low or high frequency power. We tested TV-DBN output in a hand
kinematic decoder and obtained an average correlation coefficient (r(2))
between actual and predicted joint angle of 0.40, and as high as 0.66 in one
subject. This result compares favorably with spectral feature decoders, for which
the average correlation coefficient was 0.13. This work introduces a new feature
set based on connectivity and demonstrates its potential to improve ECoG BMI
accuracy.
PMID- 22084053
TI - Aerodynamics of cyclist posture, bicycle and helmet characteristics in time trial
stage.
AB - The present work is focused on the aerodynamic study of different parameters,
including both the posture of a cyclist's upper limbs and the saddle position, in
time trial (TT) stages. The aerodynamic influence of a TT helmet large visor is
also quantified as a function of the helmet inclination. Experiments conducted in
a wind tunnel on nine professional cyclists provided drag force and frontal area
measurements to determine the drag force coefficient. Data statistical analysis
clearly shows that the hands positioning on shifters and the elbows joined
together are significantly reducing the cyclist drag force. Concerning the saddle
position, the drag force is shown to be significantly increased (about 3%) when
the saddle is raised. The usual helmet inclination appears to be the inclination
value minimizing the drag force. Moreover, the addition of a large visor on the
helmet is shown to provide a drag coefficient reduction as a function of the
helmet inclination. Present results indicate that variations in the TT cyclist
posture, the saddle position and the helmet visor can produce a significant gain
in time (up to 2.2%) during stages.
PMID- 22084054
TI - Enantioselective intermolecular carbon-carbon bond formation of glyoxylate imines
with allylstannanes catalyzed by tropos BIPHEP-gold(I) complexes with Au-Au
interactions.
PMID- 22084055
TI - Impact response and simulation of damaged ulna with internal fixation.
AB - The objectives of this work were to explore a methodology that combines static
and dynamic finite element (FE) analysis, linear elastic fracture mechanics
(LEFM) and experimental methods to investigate a worst-case scenario in which a
previously damaged bone plate system is subjected to an impact load. Cadaver
ulnas with and without midshaft dynamic compression plates are subjected to a
static three-point bend test and loaded such that subcritical crack growth occurs
as predicted by a hybrid method that couples LEFM and static FE. The plated and
unplated bones are then unloaded and subsequently subjected to a midshaft
transverse impact test. A dynamic strain-based FE model is also developed to
model the midshaft transverse impact test. The average value of the impact energy
required for failure was observed to be 10.53% greater for the plated set. There
appears to be a trade-off between impact damage and impact resistance when ulnas
are supported by fixation devices. Predictions from the dynamic FE model are
shown to corroborate inferences from the experimental approach.
PMID- 22084057
TI - Antibacterial compounds from the mushroom Ganoderma colossum from Nigeria.
AB - Three colossolactones (colossolactone E, colossolactone B and 23
hydroxycolossolactone E) were isolated and characterized from an n
hexane:dichloromethane (2:7) extract of Ganoderma colossum using chromatographic
techniques. The antimicrobial activity of the three compounds was then tested
against Gram-positive and Gram-negative bacteria. The activity was evaluated by
the thin-layer chromatography agar overlay method. The results showed that
colossolactone E and 23-hydroxycolossolactone E were active against Bacillus
subtilis and Pseudomonas syringae. Colossolactone B was not active against the
bacteria. Their structures were elucidated by spectroscopic methods. Potency of
the compounds against bacteria tested supports the use of this mushroom in
therapeutic medicine.
PMID- 22084058
TI - Influence of shear stress on behaviors of piezoelectric voltages in bone.
AB - The piezoelectric properties of bone play an important role in the bone
remodeling process and can be employed in clinical bone repair. In this study,
the piezo-voltage of bone between two surfaces of a bone beam under bending
deformation was measured using an ultra-high-input impedance bioamplifier. The
influence of shear stress on the signs of piezo-voltages in bone was determined
by comparing and contrasting the results from three-point and four-point bending
experiments. From the three-point bending experiment, the study found that the
signs of piezo-voltages depend only on shear stress and are not sensitive to the
normal stress.
PMID- 22084059
TI - An antithrombotic fucoidan, unlike heparin, does not prolong bleeding time in a
murine arterial thrombosis model: a comparative study of Undaria pinnatifida
sporophylls and Fucus vesiculosus.
AB - The antithrombotic activities and bleeding effects of selected fucoidans (source
from either Undaria pinnatifida sporophylls or from Fucus vesiculosus) have been
compared with heparin in the ferric chloride-induced arterial thrombus mouse
model. Thrombosis was induced by applying 5% ferric chloride for 3 min on the
carotid artery region of Balb/c mouse. Five minutes prior to thrombus induction,
mice were infused through the tail vein with either saline (control) or
polysaccharides. Either fucoidan or heparin was dosed at 0.1, 1.25, 2.5, 5.0, 10,
25, or 50 mg/kg intravenously (i.v.) The carotid blood flow was monitored until
more than 60 min post-thrombus induction. Mouse tail transection bleeding time
was measured up to 60 min after making a cut in the mouse tail. Both
antithrombotic and bleeding effects were observed in a dose-dependent manner for
both fucoidans and heparin. Thrombus formation was totally (reflected by Doppler
flow meter) inhibited at either 5 or 50 mg/kg of unfractionated Undaria fucoidan
or a low-molecular-weight Undaria fucoidan fraction, respectively, without
prolonging the time-to-stop bleeding compared with the control (p < 0.01). The
total inhibition of thrombus formation was observed for unfractionated Fucus
fucoidan at 25 mg/kg where the time-to-stop bleeding was still significantly
prolonged, by as much as 8 +/- 1.7 min (p < 0.02). In contrast the heparin
treated group showed total inhibition of thrombus formation even at a small dose
of 0.8 mg/kg (400 IU) at which bleeding continued until 60 min. In conclusion
algal fucoidans are highly antithrombotic without potential haemorrhagic effects
compared with heparin in the arterial thrombus model, but this property differs
from algal species to species, and from the molecular structure of fucoidans.
PMID- 22084060
TI - The antimutagenic effect of mistletoe lectin (Viscum album L. var. coloratum
agglutinin).
AB - A galactose- and N-acetyl-D-galactosamine-specific lectin (Viscum album L. var.
coloratum agglutinin, VCA), which is known for its anticancer activity, was
isolated from mistletoe. In this study, we investigated the antimutagenic
potentials of VCA by using the pre-incubation method of the Ames test (Salmonella
typhimurium TA98 and TA100) in the presence or absence of S9 mixture. Viscum
album L. var. coloratum agglutinin was assessed for its antimutagenic properties
against the mutagens 2-aminoanthracene (2AA) and furylfuramide (AF-2) for strain
TA98, and sodium azide (NaN(3) ) and 2-aminoanthracene (2AA) for strain TA100.
The concentrations used for this test compound were 100, 200 and 400 ug per
plate. Viscum album L. var. coloratum agglutinin showed moderate, but not
negligible, protective effects regarding the antimutagenic properties against the
direct-acting mutagens NaN(3) and AF-2. Furthermore, VCA was more effective in
preventing the mutagenicity of the indirect-acting mutagen 2-AA (in the presence
of S9) when tested with both TA98 and TA100. In conclusion, this report has shown
broad ranging antimutagenic effects of VCA to numerous mutagens in TA98 and TA100
Salmonella typhimurium strains. Although the data presented here cannot be
applied in vivo, they can support other antimutagenic and anticarcinogenic
findings for VCA.
PMID- 22084061
TI - Red clover extract ameliorates dyslipidemia in streptozotocin-induced diabetic
C57BL/6 mice by activating hepatic PPARalpha.
AB - The effects of red clover extract and its bioactive components, biochanin A and
formononetin, on the blood glucose and lipid levels of streptozotocin (STZ)
induced-diabetic mice were investigated. Male diabetic C57BL/6 mice were induced
by multiple low-dose STZ administration and then treated with red clover extract
or isoflavones for a period of 3 weeks. Red clover extract had no significant
effect on lowering the blood glucose levels of STZ-diabetic mice. Similarly,
biochanin A and formononetin exerted no hypoglycemic effect. However, the serum
triglycerides, total cholesterols and low-density lipoprotein-cholesterol levels
for STZ-diabetic mice receiving red clover extract were significantly lower than
that of untreated STZ-diabetic mice. In addition, treatment with biochanin A or
formononetin significantly ameliorated these lipid profiles in diabetic mice. The
mRNA expression of two target genes transcriptionally regulated by peroxisome
proliferator-activated receptor (PPAR) alpha were determined by semi-quantitative
RT-PCR and biochanin A or formononetin were found to significantly down-regulate
hepatic APOC3 expression, whereas they had no significant effect on hepatic APOA5
expression. Thus we conclude that red clover extract and biochanin A or
formononetin significantly ameliorate the lipid profiles of STZ-diabetic mice and
these effects are achieved at least in part by activating hepatic PPARalpha.
PMID- 22084063
TI - Direct-bandgap light-emitting germanium in tensilely strained nanomembranes.
AB - Silicon, germanium, and related alloys, which provide the leading materials
platform of electronics, are extremely inefficient light emitters because of the
indirect nature of their fundamental energy bandgap. This basic materials
property has so far hindered the development of group-IV photonic active devices,
including diode lasers, thereby significantly limiting our ability to integrate
electronic and photonic functionalities at the chip level. Here we show that Ge
nanomembranes (i.e., single-crystal sheets no more than a few tens of nanometers
thick) can be used to overcome this materials limitation. Theoretical studies
have predicted that tensile strain in Ge lowers the direct energy bandgap
relative to the indirect one. We demonstrate that mechanically stressed
nanomembranes allow for the introduction of sufficient biaxial tensile strain to
transform Ge into a direct-bandgap material with strongly enhanced light-emission
efficiency, capable of supporting population inversion as required for providing
optical gain.
PMID- 22084062
TI - Frozen steady states in active systems.
AB - Even simple active systems can show a plethora of intriguing phenomena and often
we find complexity where we would have expected simplicity. One striking example
is the occurrence of a quiescent or absorbing state with frozen fluctuations that
at first sight seems to be impossible for active matter driven by the incessant
input of energy. While such states were reported for externally driven systems
through macroscopic shear or agitation, the investigation of frozen active states
in inherently active systems like cytoskeletal suspensions or active gels is
still at large. Using high-density motility assay experiments, we demonstrate
that frozen steady states can arise in active systems if active transport is
coupled to growth processes. The experiments are complemented by agent-based
simulations which identify the coupling between self-organization, growth, and
mechanical properties to be responsible for the pattern formation process.
PMID- 22084064
TI - Nitrosyl hydride (HNO) replaces dioxygen in nitroxygenase activity of manganese
quercetin dioxygenase.
AB - Quercetin dioxygenase (QDO) catalyzes the oxidation of the flavonol quercetin
with dioxygen, cleaving the central heterocyclic ring and releasing CO. The QDO
from Bacillus subtilis is unusual in that it has been shown to be active with
several divalent metal cofactors such as Fe, Mn, and Co. Previous comparison of
the catalytic activities suggest that Mn(II) is the preferred cofactor for this
enzyme. We herein report the unprecedented substitution of nitrosyl hydride (HNO)
for dioxygen in the activity of Mn-QDO, resulting in the incorporation of both N
and O atoms into the product. Turnover is demonstrated by consumption of
quercetin and other related substrates under anaerobic conditions in the presence
of HNO-releasing compounds and the enzyme. As with dioxygenase activity, a
nonenzymatic base-catalyzed reaction of quercetin with HNO is observed above pH
7, but no enhancement of this basal reactivity is found upon addition of divalent
metal salts. Unique and regioselective N-containing products ((14)N/(15)N) have
been characterized by MS analysis for both the enzymatic and nonenzymatic
reactions. Of the several metallo-QDO enzymes examined for nitroxygenase activity
under anaerobic condition, only the Mn(II) is active; the Fe(II) and Co(II)
substituted enzymes show little or no activity. This result represents an
enzymatic catalysis which we denote nitroxygenase activity; the unique reactivity
of the Mn-QDO suggests a metal-mediated electron transfer mechanism rather than
metal activation of the substrate's inherent base-catalyzed reactivity.
PMID- 22084065
TI - Imaging guided trials of the angiogenesis inhibitor sunitinib in mouse models
predict efficacy in pancreatic neuroendocrine but not ductal carcinoma.
AB - Preclinical trials in mice represent a critical step in the evaluation of
experimental therapeutics. Genetically engineered mouse models (GEMMs) represent
a promising platform for the evaluation of drugs, particularly those targeting
the tumor microenvironment. We evaluated sunitinib, an angiogenesis inhibitor
that targets VEGF and PDGF receptor signaling, in two GEMMs of pancreatic cancer.
Sunitinib did not reduce tumor burden in pancreatic ductal adenocarcinoma (PDAC),
whereas tumor burden was reduced in the pancreatic neuroendocrine tumor (PNET)
model, the latter results confirming and extending previous studies. To explore
the basis for the lack of pathologic response in PDAC, we used noninvasive
microbubble contrast-enhanced ultrasound imaging, which revealed that sunitinib
reduced blood flow both in PDAC and in PNET, concomitant with a reduction in
vessel density; nevertheless, PDAC tumors continued to grow, whereas PNET were
growth impaired. These results parallel the response in humans, where sunitinib
recently garnered FDA and European approval in PNET, whereas two antiangiogenic
drugs failed to demonstrate efficacy in PDAC clinical trials. The demonstration
of on-target activity but with discordant benefit in the PDAC and PNET GEMMs
illustrates the potential value of linked preclinical and clinical trials.
PMID- 22084066
TI - Differential effects on p53-mediated cell cycle arrest vs. apoptosis by p90.
AB - p53 functions as a central node for organizing whether the cell responds to
stress with apoptosis or cell cycle arrest; however, the molecular events that
lead to apoptotic responses are not completely understood. Here, we identified
p90 (also called Coiled-Coil Domain Containing 8) as a unique regulator for p53.
p90 has no obvious effects on either the levels of p53 or p53-mediated cell cycle
arrest but is specifically required for p53-mediated apoptosis upon DNA damage.
Notably, p90 is crucial for Tip60-dependent p53 acetylation at Lys120, therefore
facilitating activation of the proapoptotic targets. These studies indicate that
p90 is a critical cofactor for p53-mediated apoptosis through promoting Tip60
mediated p53 acetylation.
PMID- 22084067
TI - Organ aging and susceptibility to cancer may be related to the geometry of the
stem cell niche.
AB - Telomere loss at each cell replication limits the proliferative capacity of
normal cells, including adult stem cells. Entering replicative senescence
protects dividing cells from neoplastic transformation, but also contributes to
aging of the tissue. Recent experiments have shown that intestinal mouse stem
cells divide symmetrically, at random make decisions to remain stem cells or to
differentiate, and gradually lose telomeric DNA. A cell's decision whether to
differentiate or to remain a stem cell depends on the local cellular and chemical
environment and thus tissue architecture is expected to play role in cell
proliferation dynamics. To take into account the structure of the stem cell niche
in determining its proliferative potential and susceptibility to cancer, a
theoretical model is introduced and the niche proliferative potential is
quantified for different architectures. The niche proliferative potential is
quantitatively related to the proliferative potential of the individual stem
cells for different structural classes of the stem cell niche. Stem cells at the
periphery of a niche are under pressure to divide and to differentiate, as well
as to maintain the stem cell niche boundary, and thus the geometry of the stem
cell niche is expected to play a role in determining the stem cell division
sequence and differentiation. Smaller surface-to-volume ratio is associated with
higher susceptibility to cancer, higher tissue renewal capacity, and decreased
aging rate. Several testable experimental predictions are discussed, as well the
presence of stochastic effects.
PMID- 22084068
TI - Landslide-dammed paleolake perturbs marine sedimentation and drives genetic
change in anadromous fish.
AB - Large bedrock landslides have been shown to modulate rates and processes of river
activity by forming dams, forcing upstream aggradation of water and sediment, and
generating catastrophic outburst floods. Less apparent is the effect of large
landslide dams on river ecosystems and marine sedimentation. Combining analyses
of 1-m resolution topographic data (acquired via airborne laser mapping) and
field investigation, we present evidence for a large, landslide-dammed paleolake
along the Eel River, CA. The landslide mass initiated from a high-relief,
resistant outcrop which failed catastrophically, blocking the Eel River with an
approximately 130-m-tall dam. Support for the resulting 55-km-long, 1.3-km(3)
lake includes subtle shorelines cut into bounding terrain, deltas, and lacustrine
sediments radiocarbon dated to 22.5 ka. The landslide provides an explanation for
the recent genetic divergence of local anadromous (ocean-run) steelhead trout
(Oncorhynchus mykiss) by blocking their migration route and causing gene flow
between summer run and winter run reproductive ecotypes. Further, the dam
arrested the prodigious flux of sediment down the Eel River; this cessation is
recorded in marine sedimentary deposits as a 10-fold reduction in deposition
rates of Eel-derived sediment and constitutes a rare example of a terrestrial
event transmitted through the dispersal system and recorded offshore.
PMID- 22084069
TI - Low absorption losses of strongly coupled surface plasmons in nanoparticle
assemblies.
AB - Coupled surface plasmons in one-dimensional assemblies of metal nanoparticles
have attracted significant attention because strong interparticle interactions
lead to large electromagnetic field enhancements that can be exploited for
localizing and amplifying electromagnetic radiation in nanoscale structures.
Ohmic loss (i.e., absorption by the metal), however, limits the performance of
any application due to nonradiative surface plasmon relaxation. While absorption
losses have been studied theoretically, they have not been quantified
experimentally for strongly coupled surface plasmons. Here, we report on the
ohmic loss in one-dimensional assemblies of gold nanoparticles with small
interparticle separations of only a few nanometers and hence strong plasmon
coupling. Both the absorption and scattering cross-sections of coupled surface
plasmons were determined and compared to electrodynamic simulations. A lower
absorption and higher scattering cross-section for coupled surface plasmons
compared to surface plasmons of isolated nanoparticles suggest that coupled
surface plasmons suffer smaller ohmic losses and therefore act as better
antennas. These experimental results provide important insight for the design of
plasmonic devices.
PMID- 22084070
TI - Assessment of individual radionuclide distributions from the Fukushima nuclear
accident covering central-east Japan.
AB - A tremendous amount of radioactivity was discharged because of the damage to
cooling systems of nuclear reactors in the Fukushima No. 1 nuclear power plant in
March 2011. Fukushima and its adjacent prefectures were contaminated with fission
products from the accident. Here, we show a geographical distribution of
radioactive iodine, tellurium, and cesium in the surface soils of central-east
Japan as determined by gamma-ray spectrometry. Especially in Fukushima
prefecture, contaminated area spreads around Iitate and Naka-Dori for all the
radionuclides we measured. Distributions of the radionuclides were affected by
the physical state of each nuclide as well as geographical features. Considering
meteorological conditions, it is concluded that the radioactive material
transported on March 15 was the major contributor to contamination in Fukushima
prefecture, whereas the radioactive material transported on March 21 was the
major source in Ibaraki, Tochigi, Saitama, and Chiba prefectures and in Tokyo.
PMID- 22084071
TI - Evolutionary dynamics of feedback escape and the development of stem-cell-driven
cancers.
AB - Cancers are thought to arise in tissue stem cells, and similar to healthy tissue,
are thought to be maintained by a small population of tumor stem or initiating
cells, whereas the majority of tumor cells are more differentiated with limited
replicative potential. Healthy tissue homeostasis is achieved by feedback loops,
and particular importance has been attached to signals secreted from
differentiated cells that inhibit stem-cell division and stem-cell self-renewal,
as documented in the olfactory epithelium and other tissues. Therefore, a key
event in carcinogenesis must be escape from these feedback loops, which is
studied here using evolutionary computational models. We find that out of all
potential evolutionary pathways, only one unique sequence of phenotypic
transitions can lead to complete escape in stem-cell-driven tumors, even though
the required mutations for these transitions are certainly tissue specific. This
insight, supported by data, facilitates the search for driver mutations and for
therapeutic targets. Different growth patterns can result from feedback escape,
which we call "inhibited," "uninhibited," and "sigmoidal," and which are found in
published data. The finding of inhibited growth patterns in data indicates that
besides architecture, the regulatory mechanisms of healthy tissue continue to
operate to a degree in tumors.
PMID- 22084072
TI - Evidence for an allosteric mechanism of substrate release from membrane
transporter accessory binding proteins.
AB - Numerous membrane importers rely on accessory water-soluble proteins to capture
their substrates. These substrate-binding proteins (SBP) have a strong affinity
for their ligands; yet, substrate release onto the low-affinity membrane
transporter must occur for uptake to proceed. It is generally accepted that
release is facilitated by the association of SBP and transporter, upon which the
SBP adopts a conformation similar to the unliganded state, whose affinity is
sufficiently reduced. Despite the appeal of this mechanism, however, direct
supporting evidence is lacking. Here, we use experimental and theoretical methods
to demonstrate that an allosteric mechanism of enhanced substrate release is
indeed plausible. First, we report the atomic-resolution structure of apo TeaA,
the SBP of the Na(+)-coupled ectoine TRAP transporter TeaBC from Halomonas
elongata DSM2581(T), and compare it with the substrate-bound structure previously
reported. Conformational free-energy landscape calculations based upon molecular
dynamics simulations are then used to dissect the mechanism that couples ectoine
binding to structural change in TeaA. These insights allow us to design a triple
mutation that biases TeaA toward apo-like conformations without directly
perturbing the binding cleft, thus mimicking the influence of the membrane
transporter. Calorimetric measurements demonstrate that the ectoine affinity of
the conformationally biased triple mutant is 100-fold weaker than that of the
wild type. By contrast, a control mutant predicted to be conformationally
unbiased displays wild-type affinity. This work thus demonstrates that substrate
release from SBPs onto their membrane transporters can be facilitated by the
latter through a mechanism of allosteric modulation of the former.
PMID- 22084073
TI - Friction mechanism of individual multilayered nanoparticles.
AB - Inorganic nanoparticles of layered [two-dimensional (2D)] compounds with hollow
polyhedral structure, known as fullerene-like nanoparticles (IF), were found to
have excellent lubricating properties. This behavior can be explained by
superposition of three main mechanisms: rolling, sliding, and exfoliation
material transfer (third body). In order to elucidate the tribological mechanism
of individual nanoparticles in different regimes, in situ axial nanocompression
and shearing forces were applied to individual nanoparticles using a high
resolution scanning electron microscope. Gold nanoparticles deposited onto the IF
nanoparticles surface served as markers, delineating the motion of individual IF
nanoparticle. It can be concluded from these experiments that rolling is an
important lubrication mechanism for IF-WS(2) in the relatively low range of
normal stress (0.96 +/- 0.38 GPa). Sliding is shown to be relevant under slightly
higher normal stress, where the spacing between the two mating surfaces does not
permit free rolling of the nanoparticles. Exfoliation of the IF nanoparticles
becomes the dominant mechanism at the high end of normal stress; above 1.2 GPa
and (slow) shear; i.e., boundary lubrication conditions. It is argued that the
modus operandi of the nanoparticles depends on their degree of crystallinity
(defects); sizes; shape, and their mechanical characteristics. This study
suggests that the rolling mechanism, which leads to low friction and wear, could
be attained by improving the sphericity of the IF nanoparticle, the dispersion
(deagglomeration) of the nanoparticles, and the smoothness of the mating
surfaces.
PMID- 22084074
TI - Cesium-137 deposition and contamination of Japanese soils due to the Fukushima
nuclear accident.
AB - The largest concern on the cesium-137 ((137)Cs) deposition and its soil
contamination due to the emission from the Fukushima Daiichi Nuclear Power Plant
(NPP) showed up after a massive quake on March 11, 2011. Cesium-137 ((137)Cs)
with a half-life of 30.1 y causes the largest concerns because of its deleterious
effect on agriculture and stock farming, and, thus, human life for decades.
Removal of (137)Cs contaminated soils or land use limitations in areas where
removal is not possible is, therefore, an urgent issue. A challenge lies in the
fact that estimates of (137)Cs emissions from the Fukushima NPP are extremely
uncertain, therefore, the distribution of (137)Cs in the environment is poorly
constrained. Here, we estimate total (137)Cs deposition by integrating daily
observations of (137)Cs deposition in each prefecture in Japan with relative
deposition distribution patterns from a Lagrangian particle dispersion model,
FLEXPART. We show that (137)Cs strongly contaminated the soils in large areas of
eastern and northeastern Japan, whereas western Japan was sheltered by mountain
ranges. The soils around Fukushima NPP and neighboring prefectures have been
extensively contaminated with depositions of more than 100,000 and 10,000 MBq km(
2), respectively. Total (137)Cs depositions over two domains: (i) the Japan
Islands and the surrounding ocean (130-150 degrees E and 30-46 degrees N) and,
(ii) the Japan Islands, were estimated to be approximately 6.7 and 1.3 PBq,
[corrected] respectively.We hope our (137)Cs deposition maps will help to
coordinate decontamination efforts and plan regulatory measures in Japan.
PMID- 22084075
TI - An entirely specific type I A-kinase anchoring protein that can sequester two
molecules of protein kinase A at mitochondria.
AB - A-kinase anchoring proteins (AKAPs) tether the cAMP-dependent protein kinase
(PKA) to intracellular sites where they preferentially phosphorylate target
substrates. Most AKAPs exhibit nanomolar affinity for the regulatory (RII)
subunit of the type II PKA holoenzyme, whereas dual-specificity anchoring
proteins also bind the type I (RI) regulatory subunit of PKA with 10-100-fold
lower affinity. A range of cellular, biochemical, biophysical, and genetic
approaches comprehensively establish that sphingosine kinase interacting protein
(SKIP) is a truly type I-specific AKAP. Mapping studies located anchoring sites
between residues 925-949 and 1,140-1,175 of SKIP that bind RI with dissociation
constants of 73 and 774 nM, respectively. Molecular modeling and site-directed
mutagenesis approaches identify Phe 929 and Tyr 1,151 as RI-selective binding
determinants in each anchoring site. SKIP complexes exist in different states of
RI-occupancy as single-molecule pull-down photobleaching experiments show that 41
+/- 10% of SKIP sequesters two YFP-RI dimers, whereas 59 +/- 10% of the anchoring
protein binds a single YFP-RI dimer. Imaging, proteomic analysis, and subcellular
fractionation experiments reveal that SKIP is enriched at the inner mitochondrial
membrane where it associates with a prominent PKA substrate, the coiled-coil
helix protein ChChd3.
PMID- 22084076
TI - Mechanical stochastic tug-of-war models cannot explain bidirectional lipid
droplet transport.
AB - Intracellular transport via the microtubule motors kinesin and dynein plays an
important role in maintaining cell structure and function. Often, multiple
kinesin or dynein motors move the same cargo. Their collective function depends
critically on the single motors' detachment kinetics under load, which we
experimentally measure here. This experimental constraint--combined with other
experimentally determined parameters--is then incorporated into theoretical
stochastic and mean-field models. Comparison of modeling results and in vitro
data shows good agreement for the stochastic, but not mean-field, model. Many
cargos in vivo move bidirectionally, frequently reversing course. Because both
kinesin and dynein are present on the cargos, one popular hypothesis explaining
the frequent reversals is that the opposite-polarity motors engage in unregulated
stochastic tugs-of-war. Then, the cargos' motion can be explained entirely by the
outcome of these opposite-motor competitions. Here, we use fully calibrated
stochastic and mean-field models to test the tug-of-war hypothesis. Neither model
agrees well with our in vivo data, suggesting that, in addition to inevitable
tugs-of-war between opposite motors, there is an additional level of regulation
not included in the models.
PMID- 22084077
TI - Socially transmitted gut microbiota protect bumble bees against an intestinal
parasite.
AB - Populations of important pollinators, such as bumble bees and honey bees, are
declining at alarming rates worldwide. Parasites are likely contributing to this
phenomenon. A distinct resident community of bacteria has recently been
identified in bumble bees and honey bees that is not shared with related solitary
bee species. We now show that the presence of these microbiota protects bee hosts
against a widespread and highly virulent natural parasite (Crithidia bombi) in an
experimental setting. We add further support to this antagonistic relationship
from patterns found in field data. For the successful establishment of these
microbiota and a protective effect, exposure to feces from nest mates was needed
after pupal eclosion. Transmission of beneficial gut bacteria could therefore
represent an important benefit of sociality. Our results stress the importance of
considering the host microbiota as an "extended immune phenotype" in addition to
the host immune system itself and provide a unique perspective to understanding
bees in health and disease.
PMID- 22084079
TI - Two processes support visual recognition memory in rhesus monkeys.
AB - A large body of evidence in humans suggests that recognition memory can be
supported by both recollection and familiarity. Recollection-based recognition is
characterized by the retrieval of contextual information about the episode in
which an item was previously encountered, whereas familiarity-based recognition
is characterized instead by knowledge only that the item had been encountered
previously in the absence of any context. To date, it is unknown whether monkeys
rely on similar mnemonic processes to perform recognition memory tasks. Here, we
present evidence from the analysis of receiver operating characteristics,
suggesting that visual recognition memory in rhesus monkeys also can be supported
by two separate processes and that these processes have features considered to be
characteristic of recollection and familiarity. Thus, the present study provides
converging evidence across species for a dual process model of recognition memory
and opens up the possibility of studying the neural mechanisms of recognition
memory in nonhuman primates on tasks that are highly similar to the ones used in
humans.
PMID- 22084078
TI - Basic residues in the T-cell receptor zeta cytoplasmic domain mediate membrane
association and modulate signaling.
AB - The T-cell receptor (TCR) consists of a TCRalphabeta heterodimer, a TCRzeta
homodimer, and CD3gammaepsilon and CD3deltaepsilon heterodimers. The precise
mechanism of T-cell triggering following TCR ligand engagement remains elusive.
Previous studies reported that the cytoplasmic tail of CD3epsilon binds to the
plasma membrane through a basic residue-rich stretch (BRS) and proposed that
dissociation from the membrane is required for phosphorylation thereof. In this
report we show that BRS motifs within the cytoplasmic tail of TCRzeta mediate
association with the plasma membrane and that TCR engagement results in TCRzeta
dissociation from the membrane. This dissociation requires phosphorylation of the
TCRzeta immunoreceptor tyrosine-based activation motifs by lymphocyte cell
specificprotein tyrosine kinase (Lck) but not zeta-chain-associated protein
kinase 70 binding. Mutations of the TCRzeta BRS motifs that disrupt this membrane
association attenuate proximal and distal responses induced by TCR engagement.
These mutations appear to alter the localization of TCRzeta with respect to Lck
as well as the mobility of the TCR complex. This study reveals that tyrosine
phosphorylation of the TCRzeta cytoplasmic domain regulates its association with
the plasma membrane and highlights the functional importance of TCRzeta BRS
motifs.
PMID- 22084080
TI - On the strengths and drawbacks of tsunami-buffer forests.
PMID- 22084081
TI - Social network models predict movement and connectivity in ecological landscapes.
AB - Network analysis is on the rise across scientific disciplines because of its
ability to reveal complex, and often emergent, patterns and dynamics.
Nonetheless, a growing concern in network analysis is the use of limited data for
constructing networks. This concern is strikingly relevant to ecology and
conservation biology, where network analysis is used to infer connectivity across
landscapes. In this context, movement among patches is the crucial parameter for
interpreting connectivity but because of the difficulty of collecting reliable
movement data, most network analysis proceeds with only indirect information on
movement across landscapes rather than using observed movement to construct
networks. Statistical models developed for social networks provide promising
alternatives for landscape network construction because they can leverage limited
movement information to predict linkages. Using two mark-recapture datasets on
individual movement and connectivity across landscapes, we test whether commonly
used network constructions for interpreting connectivity can predict actual
linkages and network structure, and we contrast these approaches to social
network models. We find that currently applied network constructions for
assessing connectivity consistently, and substantially, overpredict actual
connectivity, resulting in considerable overestimation of metapopulation
lifetime. Furthermore, social network models provide accurate predictions of
network structure, and can do so with remarkably limited data on movement. Social
network models offer a flexible and powerful way for not only understanding the
factors influencing connectivity but also for providing more reliable estimates
of connectivity and metapopulation persistence in the face of limited data.
PMID- 22084082
TI - Regulatory functions of limbic Y1 receptors in body weight and anxiety uncovered
by conditional knockout and maternal care.
AB - Neuropeptide Y (NPY) plays an important role in stress, anxiety, obesity, and
energy homeostasis via activation of NPY-Y1 receptors (Y1Rs) in the brain.
However, global knockout of the Npy1r gene has low or no impact on anxiety and
body weight. To uncover the role of limbic Y1Rs, we generated conditional
knockout mice in which the inactivation of the Npy1r gene was restricted to
excitatory neurons of the forebrain, starting from juvenile stages (Npy1r(rfb)).
Npy1r(rfb) mice exhibited increased anxiety and reduced body weight, less adipose
tissue, and lower serum leptin levels. Npy1r(rfb) mutants also had a hyperactive
hypothalamic-pituitary-adrenocortical axis, as indicated by higher peripheral
corticosterone and higher density of NPY immunoreactive fibers and corticotropin
releasing hormone immunoreactive cell bodies in the paraventricular hypothalamic
nucleus. Importantly, through fostering experiments, we determined that
differences in phenotype between Npy1r(rfb) and Npy1r(2lox) mice became apparent
when both genotypes were raised by FVB/J but not by C57BL/6J dams, suggesting
that limbic Y1Rs are key targets of maternal care-induced programming of anxiety
and energy homeostasis.
PMID- 22084083
TI - Conformational lability in the class II MHC 310 helix and adjacent extended
strand dictate HLA-DM susceptibility and peptide exchange.
AB - HLA-DM is required for efficient peptide exchange on class II MHC molecules, but
its mechanism of action is controversial. We trapped an intermediate state of
class II MHC HLA-DR1 by substitution of alphaF54, resulting in a protein with
increased HLA-DM binding affinity, weakened MHC-peptide hydrogen bonding as
measured by hydrogen-deuterium exchange mass spectrometry, and increased
susceptibility to DM-mediated peptide exchange. Structural analysis revealed a
set of concerted conformational alterations at the N-terminal end of the peptide
binding site. These results suggest that interaction with HLA-DM is driven by a
conformational change of the MHC II protein in the region of the alpha-subunit
3(10) helix and adjacent extended strand region, and provide a model for the
mechanism of DM-mediated peptide exchange.
PMID- 22084084
TI - Chemical screen identifies FDA-approved drugs and target pathways that induce
precocious pancreatic endocrine differentiation.
AB - Pancreatic beta-cells are an essential source of insulin and their destruction
because of autoimmunity causes type I diabetes. We conducted a chemical screen to
identify compounds that would induce the differentiation of insulin-producing
beta-cells in vivo. To do this screen, we brought together the use of transgenic
zebrafish as a model of beta-cell differentiation, a unique multiwell plate that
allows easy visualization of lateral views of swimming larval fish and a library
of clinical drugs. We identified six hits that can induce precocious
differentiation of secondary islets in larval zebrafish. Three of these six hits
were known drugs with a considerable background of published data on mechanism of
action. Using pharmacological approaches, we have identified and characterized
two unique pathways in beta-cell differentiation in the zebrafish, including down
regulation of GTP production and retinoic acid biosynthesis.
PMID- 22084085
TI - Nonlinear response of summer temperature to Holocene insolation forcing in
Alaska.
AB - Regional climate responses to large-scale forcings, such as precessional changes
in solar irradiation and increases in anthropogenic greenhouse gases, may be
nonlinear as a result of complex interactions among earth system components. Such
nonlinear behaviors constitute a major source of climate "surprises" with
important socioeconomic and ecological implications. Paleorecords are key for
elucidating patterns and mechanisms of nonlinear responses to radiative forcing,
but their utility has been greatly limited by the paucity of quantitative
temperature reconstructions. Here we present Holocene July temperature
reconstructions on the basis of midge analysis of sediment cores from three
Alaskan lakes. Results show that summer temperatures during 10,000-5,500
calibrated years (cal) B.P. were generally lower than modern and that peak summer
temperatures around 5,000 were followed by a decreasing trend toward the present.
These patterns stand in stark contrast with the trend of precessional insolation,
which decreased by ~10% from 10,000 y ago to the present. Cool summers before
5,500 cal B.P. coincided with extensive summer ice cover in the western Arctic
Ocean, persistence of a positive phase of the Arctic Oscillation, predominantly
La Nina-like conditions, and variation in the position of the Alaskan treeline.
These results illustrate nonlinear responses of summer temperatures to Holocene
insolation radiative forcing in the Alaskan sub-Arctic, possibly because of state
changes in the Arctic Oscillation and El Nino-Southern Oscillation and associated
land-atmosphere-ocean feedbacks.
PMID- 22084086
TI - Transcriptomic resilience to global warming in the seagrass Zostera marina, a
marine foundation species.
AB - Large-scale transcription profiling via direct cDNA sequencing provides important
insights as to how foundation species cope with increasing climatic extremes
predicted under global warming. Species distributed along a thermal cline, such
as the ecologically important seagrass Zostera marina, provide an opportunity to
assess temperature effects on gene expression as a function of their long-term
adaptation to heat stress. We exposed a southern and northern European population
of Zostera marina from contrasting thermal environments to a realistic heat wave
in a common-stress garden. In a fully crossed experiment, eight cDNA libraries,
each comprising ~125 000 reads, were obtained during and after a simulated heat
wave, along with nonstressed control treatments. Although gene-expression
patterns during stress were similar in both populations and were dominated by
classical heat-shock proteins, transcription profiles diverged after the heat
wave. Gene-expression patterns in southern genotypes returned to control values
immediately, but genotypes from the northern site failed to recover and revealed
the induction of genes involved in protein degradation, indicating failed
metabolic compensation to high sea-surface temperature. We conclude that the
return of gene-expression patterns during recovery provides critical information
on thermal adaptation in aquatic habitats under climatic stress. As a unifying
concept for ecological genomics, we propose transcriptomic resilience, analogous
to ecological resilience, as an important measure to predict the tolerance of
individuals and hence the fate of local populations in the face of global
warming.
PMID- 22084088
TI - First synchronous retreat of ice shelves marks a new phase of polar deglaciation.
PMID- 22084087
TI - Increase in dNTP pool size during the DNA damage response plays a key role in
spontaneous and induced-mutagenesis in Escherichia coli.
AB - Exposure of Escherichia coli to UV light increases expression of NrdAB, the major
ribonucleotide reductase leading to a moderate increase in dNTP levels. The role
of elevated dNTP levels during translesion synthesis (TLS) across specific
replication-blocking lesions was investigated. Here we show that although the
specialized DNA polymerase PolV is necessary for replication across UV-lesions,
such as cyclobutane pyrimidine dimers or pyrimidine(6-4)pyrimidone photoproduct,
Pol V per se is not sufficient. Indeed, efficient TLS additionally requires
elevated dNTP levels. Similarly, for the bypass of an N-2-acetylaminofluorene
guanine adduct that requires Pol II instead of PolV, efficient TLS is only
observed under conditions of high dNTP levels. We suggest that increased dNTP
levels transiently modify the activity balance of Pol III (i.e., increasing the
polymerase and reducing the proofreading functions). Indeed, we show that the
stimulation of TLS by elevated dNTP levels can be mimicked by genetic
inactivation of the proofreading function (mutD5 allele). We also show that
spontaneous mutagenesis increases proportionally to dNTP pool levels, thus
defining a unique spontaneous mutator phenotype. The so-called "dNTP mutator"
phenotype does not depend upon any of the specialized DNA polymerases, and is
thus likely to reflect an increase in Pol III's own replication errors because of
the modified activity balance of Pol III. As up-regulation of the dNTP pool size
represents a common physiological response to DNA damage, the present model is
likely to represent a general and unique paradigm for TLS pathways in many
organisms.
PMID- 22084089
TI - Metacommunity theory explains the emergence of food web complexity.
AB - Food webs are highly complex ecological networks, dynamic in both space and time.
Metacommunity models are now at the core of unified theories of biodiversity, but
to date they have not addressed food web complexity. Here we show that
metacommunity theory can explain the emergence of species-rich food webs with
complex network topologies. Our analysis shows that network branching in the food
web is maximized at intermediate colonization rates and limited dispersal scales,
which also leads to concomitant peaks in species diversity. Increased food web
complexity and species diversity are made possible by the structural role played
by network branches that are supported by omnivore and generalist feeding links.
Thus, in contrast to traditional food web theory, which emphasizes the
destabilizing effect of omnivory feeding in closed systems, metacommunity theory
predicts that these feeding links, which are commonly observed in empirical food
webs, play a critical structural role as food webs assemble in space. As this
mechanism functions at the metacommunity level, evidence for its operation in
nature will be obtained through multiscale surveys of food web structure.
Finally, we apply our theory to reveal the effects of habitat destruction on
network complexity and metacommunity diversity.
PMID- 22084090
TI - Statistical learning of visual transitions in monkey inferotemporal cortex.
AB - One of the most fundamental functions of the brain is to predict upcoming events
on the basis of the recent past. A closely related function is to signal when a
prediction has been violated. The identity of the brain regions that mediate
these functions is not known. We set out to determine whether they are
implemented at the level of single neurons in the visual system. We gave monkeys
prolonged exposure to pairs of images presented in fixed sequence so that each
leading image became a strong predictor for the corresponding trailing image. We
then monitored the responses of neurons in the inferotemporal cortex to image
sequences that obeyed or violated the transitional rules imposed during training.
Inferotemporal neurons exhibited a transitional surprise effect, responding much
more strongly to unpredicted transitions than to predicted transitions. Thus,
neurons even in the visual system make experience-based predictions and react
when they fail.
PMID- 22084091
TI - Cell cycle adaptations of embryonic stem cells.
AB - ES cells proliferate with very short gap phases yet maintain their capacity to
differentiate. It had been thought that the levels of cyclins and other
substrates of ubiquitin ligase APC/C remain nearly constant and Cdk activity
remains constitutively high in mouse ES cells. Here we demonstrate that APC/C
(anaphase-promoting complex/cyclosome) enzyme is active in ES cells but
attenuated by high levels of the Emi1 (early mitotic inhibitor-1) protein.
Despite the presence of high Cdk activity during the G1 phase, chromatin can be
effectively licensed for DNA replication and fast entry into the S phase can
still occur. High Cdk activity during S-G2-M phases produces high levels of the
DNA replication factor Cdt1, and this leads to efficient Mcm proteins loading on
chromatin after mitotic exit. Although disturbing the usual balance between Cdk
activity and APC/C activity found in somatic cells, a few key adaptations allow
normal progression of a very rapid cell cycle.
PMID- 22084092
TI - Effects of brefeldin A-inhibited guanine nucleotide-exchange (BIG) 1 and KANK1
proteins on cell polarity and directed migration during wound healing.
AB - Brefeldin A-inhibited guanine nucleotide-exchange protein (BIG) 1 activates class
I ADP ribosylation factors (ARFs) by accelerating the replacement of bound GDP
with GTP to initiate recruitment of coat proteins for membrane vesicle formation.
Among proteins that interact with BIG1, kinesin family member 21A (KIF21A), a
plus-end-directed motor protein, moves cargo away from the microtubule-organizing
center (MTOC) on microtubules. Because KANK1, a protein containing N-terminal KN,
C-terminal ankyrin-repeat, and intervening coiled-coil domains, has multiple
actions in cells and also interacts with KIF21A, we explored a possible
interaction between it and BIG1. We obtained evidence for a functional and
physical association between these proteins, and found that the effects of BIG1
and KANK1 depletion on cell migration in wound-healing assays were remarkably
similar. Treatment of cells with BIG1- or KANK1-specific siRNA interfered
significantly with directed cell migration and initial orientation of Golgi/MTOC
toward the leading edge, which was not mimicked by KIF21A depletion. Although
colocalization of overexpressed KANK1 and endogenous BIG1 in HeLa cells was not
clear microscopically, their reciprocal immunoprecipitation (IP) is compatible
with the presence of small percentages of each protein in the same complexes.
Depletion or overexpression of BIG1 protein appeared not to affect KANK1
distribution. Our data identify actions of both BIG1 and KANK1 in regulating cell
polarity during directed migration; these actions are consistent with the
presence of both BIG1 and KANK1 in dynamic multimolecular complexes that maintain
Golgi/MTOC orientation, differ from those that might contain all three proteins
(BIG1, KIF21A, and KANK1), and function in directed transport along microtubules.
PMID- 22084093
TI - Glucocorticoid therapy of antigen-induced arthritis depends on the dimerized
glucocorticoid receptor in T cells.
AB - Despite several side effects, glucocorticoids (GCs) have been widely used for 60
y to treat rheumatoid arthritis on the basis of their antiinflammatory effects.
However, the cells targeted by GCs and the transcriptional mechanisms underlying
their actions through the glucocorticoid receptor (GR) in steroid therapy remain
poorly defined. Using cell type-specific GR-deficient mice subjected to antigen
induced arthritis (AIA) as a model of human rheumatoid arthritis, we show that GC
action on T cells but not myeloid cells is critical for therapeutic intervention
in AIA. Furthermore, the resistance of mice expressing a DNA binding-defective GR
(GR(dim)) to GC treatment reveals that dimerization of the GR is indispensable
for the antiinflammatory effects. In these mice, the GC-induced suppression of
T(H)1 and T(H)17 cell-derived proinflammatory cytokines is impaired. Our finding
that IL-17A(-/-) mice are resistant to GC therapy, whereas IFN-gamma(-/-) mice
respond as efficiently as WT mice implies that IL-17-producing T cells and not
IFN-gamma-producing T cells are the most important targets for an efficient GC
therapy. The present study's identification of the critical cell type and the
mode of GR action in steroid therapy of AIA significantly advances our
understanding of steroid therapy and should lead to therapies with greater
efficiency and fewer side effects.
PMID- 22084094
TI - Wing patterning gene redefines the mimetic history of Heliconius butterflies.
AB - The mimetic butterflies Heliconius erato and Heliconius melpomene have undergone
parallel radiations to form a near-identical patchwork of over 20 different wing
pattern races across the Neotropics. Previous molecular phylogenetic work on
these radiations has suggested that similar but geographically disjunct color
patterns arose multiple times independently in each species. The neutral markers
used in these studies, however, can move freely across color pattern boundaries,
and therefore might not represent the history of the adaptive traits as
accurately as markers linked to color pattern genes. To assess the evolutionary
histories across different loci, we compared relationships among races within H.
erato and within H. melpomene using a series of unlinked genes, genes linked to
color pattern loci, and optix, a gene recently shown to control red color-pattern
variation. We found that although unlinked genes partition populations by
geographic region, optix had a different history, structuring lineages by red
color patterns and supporting a single origin of red-rayed patterns within each
species. Genes closely linked (80-250 kb) to optix exhibited only weak
associations with color pattern. This study empirically demonstrates the
necessity of examining phenotype-determining genomic regions to understand the
history of adaptive change in rapidly radiating lineages. With these refined
relationships, we resolve a long-standing debate about the origins of the races
within each species, supporting the hypothesis that the red-rayed Amazonian
pattern evolved recently and expanded, causing disjunctions of more ancestral
patterns.
PMID- 22084095
TI - An antinociceptive role for substance P in acid-induced chronic muscle pain.
AB - Release of substance P (SP) from nociceptive nerve fibers and activation of its
receptor neurokinin 1 (NK1) are important effectors in the transmission of pain
signals. Nonetheless, the role of SP in muscle pain remains unknown. Here we show
that a single i.m. acid injection in mice lacking SP signaling by deletion of the
tachykinin precursor 1 (Tac1) gene or coadministration of NK1 receptor
antagonists produces long-lasting hyperalgesia rather than the transient
hyperalgesia seen in control animals. The inhibitory effect of SP was found
exclusively in neurons expressing acid-sensing ion channel 3, where SP enhances M
channel-like potassium currents through the NK1 receptor in a G protein
independent but tyrosine kinase-dependent manner. Furthermore, the SP signaling
could alter action potential thresholds and modulate the expression of TTX
resistant sodium currents in medium-sized muscle nociceptors. Thus, i.m. SP
mediates an unconventional NK1 receptor signal pathway to inhibit acid activation
in muscle nociceptors, resulting in an unexpected antinociceptive effect against
chronic mechanical hyperalgesia, here induced by repeated i.m. acid injection.
PMID- 22084096
TI - Temporally structured metapopulation dynamics and persistence of influenza A H3N2
virus in humans.
AB - Populations of seasonal influenza virus experience strong annual bottlenecks that
pose a considerable extinction risk. It has been suggested that an influenza
source population located in tropical Southeast or East Asia seeds annual
temperate epidemics. Here we investigate the seasonal dynamics and migration
patterns of influenza A H3N2 virus by analysis of virus samples obtained from
2003 to 2006 from Australia, Europe, Japan, New York, New Zealand, Southeast
Asia, and newly sequenced viruses from Hong Kong. In contrast to annual temperate
epidemics, relatively low levels of relative genetic diversity and no seasonal
fluctuations characterized virus populations in tropical Southeast Asia and Hong
Kong. Bayesian phylogeographic analysis using discrete temporal and spatial
characters reveal high rates of viral migration between urban centers tested.
Although the virus population that migrated between Southeast Asia and Hong Kong
persisted through time, this was dependent on virus input from temperate regions
and these tropical regions did not maintain a source for annual H3N2 influenza
epidemics. We further show that multiple lineages may seed annual influenza
epidemics, and that each region may function as a potential source population. We
therefore propose that the global persistence of H3N2 influenza A virus is the
result of a migrating metapopulation in which multiple different localities may
seed seasonal epidemics in temperate regions in a given year. Such complex global
migration dynamics may confound control efforts and contribute to the emergence
and spread of antigenic variants and drug-resistant viruses.
PMID- 22084097
TI - Diameter and rigidity of multiwalled carbon nanotubes are critical factors in
mesothelial injury and carcinogenesis.
AB - Multiwalled carbon nanotubes (MWCNTs) have the potential for widespread
applications in engineering and materials science. However, because of their
needle-like shape and high durability, concerns have been raised that MWCNTs may
induce asbestos-like pathogenicity. Although recent studies have demonstrated
that MWCNTs induce various types of reactivities, the physicochemical features of
MWCNTs that determine their cytotoxicity and carcinogenicity in mesothelial cells
remain unclear. Here, we showed that the deleterious effects of nonfunctionalized
MWCNTs on human mesothelial cells were associated with their diameter-dependent
piercing of the cell membrane. Thin MWCNTs (diameter ~ 50 nm) with high
crystallinity showed mesothelial cell membrane piercing and cytotoxicity in vitro
and subsequent inflammogenicity and mesotheliomagenicity in vivo. In contrast,
thick (diameter ~ 150 nm) or tangled (diameter ~ 2-20 nm) MWCNTs were less toxic,
inflammogenic, and carcinogenic. Thin and thick MWCNTs similarly affected
macrophages. Mesotheliomas induced by MWCNTs shared homozygous deletion of
Cdkn2a/2b tumor suppressor genes, similar to mesotheliomas induced by asbestos.
Thus, we propose that different degrees of direct mesothelial injury by thin and
thick MWCNTs are responsible for the extent of inflammogenicity and
carcinogenicity. This work suggests that control of the diameter of MWCNTs could
reduce the potential hazard to human health.
PMID- 22084098
TI - Does evening sun increase the risk of skin cancer?
PMID- 22084099
TI - Tripartite motif 8 (TRIM8) modulates TNFalpha- and IL-1beta-triggered NF-kappaB
activation by targeting TAK1 for K63-linked polyubiquitination.
AB - The tripartite motif (TRIM)-containing proteins are a family of proteins that
have been known to be involved in divergent biological processes, including
important roles in immune responses through regulating various signaling
pathways. In this study, we identified a member of the TRIM family, TRIM8, as a
positive regulator of tumor necrosis factor-alpha (TNFalpha) and interleukin
1beta (IL-1beta)-triggered NF-kappaB activation. Overexpression of TRIM8
activated NF-kappaB and potentiated TNFalpha- and IL-1beta-induced activation of
NF-kappaB, whereas knockdown of TRIM8 had opposite effects.
Coimmunoprecipitations indicated that TRIM8 interacted with TGFbeta activated
kinase 1 (TAK1), a serine/threonine kinase essential for TNFalpha- and IL-beta
induced NF-kappaB activation. Furthermore, we found that TRIM8 mediated K63
linked polyubiquitination of TAK1 triggered by TNFalpha and IL-1beta. Our
findings demonstrate that TRIM8 serves as a critical regulator of TNFalpha- and
IL-1beta-induced NF-kappaB activation by mediating K63-linked polyubiquitination
of TAK1.
PMID- 22084100
TI - Intragenic alternative splicing coordination is essential for Caenorhabditis
elegans slo-1 gene function.
AB - Alternative splicing is critical for diversifying eukaryotic proteomes, but the
rules governing and coordinating splicing events among multiple alternate splice
sites within individual genes are not well understood. We developed a
quantitative PCR-based strategy to quantify the expression of the 12 transcripts
encoded by the Caenorhabditis elegans slo-1 gene, containing three alternate
splice sites. Using conditional probability-based models, we show that splicing
events are coordinated across these sites. Further, we identify a point mutation
in an intron adjacent to one alternate splice site that disrupts alternative
splicing at all three sites. This mutation leads to aberrant synaptic
transmission at the neuromuscular junction. In a genomic survey, we found that a
UAAAUC element disrupted by this mutation is enriched in introns flanking
alternate exons in genes with multiple alternate splice sites. These results
establish that proper coordination of intragenic alternative splicing is
essential for normal physiology of slo-1 in vivo and identify putative
specialized cis-regulatory elements that regulate the coordination of intragenic
alternative splicing.
PMID- 22084101
TI - Profile of Michael Grunstein.
PMID- 22084102
TI - Heroin relapse requires long-term potentiation-like plasticity mediated by NMDA2b
containing receptors.
AB - Persistent relapse to addictive drugs constitutes the most challenging problem in
addiction therapy, and is linked to impaired prefrontal cortex regulation of
motivated behaviors involving the nucleus accumbens. Using a rat model of heroin
addiction, we show that relapse requires long-term potentiation (LTP)-like
increases in synaptic strength in the prefrontal cortex projection to the nucleus
accumbens. The increased synaptic strength was paralleled by dendritic spine
enlargement in accumbens spiny neurons and required up-regulated surface
expression of NMDA2b-containing receptors (NR2B). Accordingly, blocking NR2B
before reinstating heroin-seeking prevented the induction of LTP-like changes in
spine remodeling and synaptic strength, and inhibited heroin relapse. These data
show that LTP-like neuroplasticity in prefrontal-accumbens synapses is initiated
by NR2B stimulation and strongly contributes to heroin relapse. Moreover, the
data reveal NR2B-containing NMDA receptors as a previously unexplored therapeutic
target for treating heroin addiction.
PMID- 22084103
TI - Dynamic social networks promote cooperation in experiments with humans.
AB - Human populations are both highly cooperative and highly organized. Human
interactions are not random but rather are structured in social networks.
Importantly, ties in these networks often are dynamic, changing in response to
the behavior of one's social partners. This dynamic structure permits an
important form of conditional action that has been explored theoretically but has
received little empirical attention: People can respond to the cooperation and
defection of those around them by making or breaking network links. Here, we
present experimental evidence of the power of using strategic link formation and
dissolution, and the network modification it entails, to stabilize cooperation in
sizable groups. Our experiments explore large-scale cooperation, where subjects'
cooperative actions are equally beneficial to all those with whom they interact.
Consistent with previous research, we find that cooperation decays over time when
social networks are shuffled randomly every round or are fixed across all rounds.
We also find that, when networks are dynamic but are updated only infrequently,
cooperation again fails. However, when subjects can update their network
connections frequently, we see a qualitatively different outcome: Cooperation is
maintained at a high level through network rewiring. Subjects preferentially
break links with defectors and form new links with cooperators, creating an
incentive to cooperate and leading to substantial changes in network structure.
Our experiments confirm the predictions of a set of evolutionary game theoretic
models and demonstrate the important role that dynamic social networks can play
in supporting large-scale human cooperation.
PMID- 22084104
TI - Developmental stalling and organ-autonomous regulation of morphogenesis.
AB - Timing of organ development during embryogenesis is coordinated such that at
birth, organ and fetal size and maturity are appropriately proportioned. The
extent to which local developmental timers are integrated with each other and
with the signaling interactions that regulate morphogenesis to achieve this end
is not understood. Using the absolute requirement for a signaling pathway
activity (bone morphogenetic protein, BMP) during a critical stage of tooth
development, we show that suboptimal levels of BMP signaling do not lead to
abnormal morphogenesis, as suggested by mutants affecting BMP signaling, but to a
24-h stalling of the intrinsic developmental clock of the tooth. During this
time, BMP levels accumulate to reach critical levels whereupon tooth development
restarts, accelerates to catch up with development of the rest of the embryo and
completes normal morphogenesis. This suggests that individual organs can
autonomously control their developmental timing to adjust their stage of
development to that of other organs. We also find that although BMP signaling is
critical for the bud-to-cap transition in all teeth, levels of BMP signaling are
regulated differently in multicusped teeth. We identify an interaction between
two homeodomain transcription factors, Barx1 and Msx1, which is responsible for
setting critical levels of BMP activity in multicusped teeth and provides
evidence that correlates the levels of Barx1 transcriptional activity with cuspal
complexity. This study highlights the importance of absolute levels of signaling
activity for development and illustrates remarkable self-regulation in
organogenesis that ensures coordination of developmental processes such that
timing is subordinate to developmental structure.
PMID- 22084105
TI - Acrosome-reacted mouse spermatozoa recovered from the perivitelline space can
fertilize other eggs.
AB - Many investigators maintain that spermatozoa that have initiated the acrosome
reaction (AR) before reaching the surface of the egg's zona pellucida (ZP) are
unable to bind and penetrate the ZP. A recent study has revealed that most
fertilizing mouse spermatozoa initiate the AR before contacting the ZP. We found
that acrosome-reacted spermatozoa collected from the perivitelline space of Cd9
null mice (whose egg plasma membranes are incapable of fusing with spermatozoa)
were able to pass through both the cumulus and ZP of WT mouse eggs and produced
live offspring. This means that the spermatozoa we used had the ability to pass
through the ZP at least twice. Apparently, some spermatozoa that had undergone
the AR long before contact with the ZP remained capable of crossing the ZP and
fertilizing eggs. Thus, the concept that acrosome-reacted spermatozoa are unable
to bind to the ZP and have lost their fertilizing capacity must be reconsidered.
PMID- 22084106
TI - alpha-Oscillations in the monkey sensorimotor network influence discrimination
performance by rhythmical inhibition of neuronal spiking.
AB - Extensive work in humans using magneto- and electroencephalography strongly
suggests that decreased oscillatory alpha-activity (8-14 Hz) facilitates
processing in a given region, whereas increased alpha-activity serves to actively
suppress irrelevant or interfering processing. However, little work has been done
to understand how alpha-activity is linked to neuronal firing. Here, we
simultaneously recorded local field potentials and spikes from somatosensory,
premotor, and motor regions while a trained monkey performed a vibrotactile
discrimination task. In the local field potentials we observed strong activity in
the alpha-band, which decreased in the sensorimotor regions during the
discrimination task. This alpha-power decrease predicted better discrimination
performance. Furthermore, the alpha-oscillations demonstrated a rhythmic relation
with the spiking, such that firing was highest at the trough of the alpha-cycle.
Firing rates increased with a decrease in alpha-power. These findings suggest
that alpha-oscillations exercise a strong inhibitory influence on both spike
timing and firing rate. Thus, the pulsed inhibition by alpha-oscillations plays
an important functional role in the extended sensorimotor system.
PMID- 22084107
TI - Thin-slicing study of the oxytocin receptor (OXTR) gene and the evaluation and
expression of the prosocial disposition.
AB - Individuals who are homozygous for the G allele of the rs53576 SNP of the
oxytocin receptor (OXTR) gene tend to be more prosocial than carriers of the A
allele. However, little is known about how these differences manifest
behaviorally and whether they are readily detectable by outside observers, both
critical questions in theoretical accounts of prosociality. In the present study,
we used thin-slicing methodology to test the hypotheses that (i) individual
differences in rs53576 genotype predict how prosocial observers judge target
individuals to be on the basis of brief observations of behavior, and (ii) that
variation in targets' nonverbal displays of affiliative cues would account for
these judgment differences. In line with predictions, we found that individuals
homozygous for the G allele were judged to be more prosocial than carriers of the
A allele. These differences were completely accounted for by variations in the
expression of affiliative cues. Thus, individual differences in rs53576 are
associated with behavioral manifestations of prosociality, which ultimately guide
the judgments others make about the individual.
PMID- 22084108
TI - Oceanic islands are not sinks of biodiversity in spore-producing plants.
AB - Islands have traditionally been considered as migratory and evolutionary dead
ends for two main reasons: island colonizers are typically assumed to lose their
dispersal power, and continental back colonization has been regarded as unlikely
because of niche preemption. The hypothesis that islands might actually represent
dynamic refugia and migratory stepping stones for species that are effective
dispersers, and in particular, for spore-producing plants, is formally tested
here, using the archipelagos of the Azores, Canary Islands, and Madeira, as a
model. Population genetic analyses based on nuclear microsatellite variation
indicate that dispersal ability of the moss Platyhypnidium riparioides does not
decrease in the island setting. The analyses further show that, unlike island
populations, mainland (southwestern Europe and North Africa) populations
underwent a severe bottleneck during the last glacial maximum (LGM). Our results
thus refute the traditional view of islands as the end of the colonization road
and point to a different perception of North Atlantic archipelagos as major
sources of biodiversity for the postglacial recolonization of Europe by spore
producing plants.
PMID- 22084109
TI - QnAs with Bruce M. Spiegelman.
PMID- 22084110
TI - Ecological mechanisms underlying the sustainability of the agricultural heritage
rice-fish coculture system.
AB - For centuries, traditional agricultural systems have contributed to food and
livelihood security throughout the world. Recognizing the ecological legacy in
the traditional agricultural systems may help us develop novel sustainable
agriculture. We examine how rice-fish coculture (RF), which has been designated a
"globally important agricultural heritage system," has been maintained for over
1,200 y in south China. A field survey demonstrated that although rice yield and
rice-yield stability are similar in RF and rice monoculture (RM), RF requires 68%
less pesticide and 24% less chemical fertilizer than RM. A field experiment
confirmed this result. We documented that a mutually beneficial relationship
between rice and fish develops in RF: Fish reduce rice pests and rice favors fish
by moderating the water environment. This positive relationship between rice and
fish reduces the need for pesticides in RF. Our results also indicate a
complementary use of nitrogen (N) between rice and fish in RF, resulting in low N
fertilizer application and low N release into the environment. These findings
provide unique insights into how positive interactions and complementary use of
resource between species generate emergent ecosystem properties and how modern
agricultural systems might be improved by exploiting synergies between species.
PMID- 22084111
TI - POST, partner of stromal interaction molecule 1 (STIM1), targets STIM1 to
multiple transporters.
AB - Specialized proteins in the plasma membrane, endoplasmic reticulum (ER), and
mitochondria tightly regulate intracellular calcium. A unique mechanism called
store-operated calcium entry is activated when ER calcium is depleted, serving to
restore intra-ER calcium levels. An ER calcium sensor, stromal interaction
molecule 1 (STIM1), translocates within the ER membrane upon store depletion to
the juxtaplasma membrane domain, where it interacts with intracellular domains of
a highly calcium-selective plasma membrane ion channel, Orai1. STIM1 gates Orai1,
allowing calcium to enter the cytoplasm, where it repletes the ER store via
calcium-ATPases pumps. Here, we performed affinity purification of Orai1 from
Jurkat cells to identify partner of STIM1 (POST), a 10-transmembrane-spanning
segment protein of unknown function. The protein is located in the plasma
membrane and ER. POST-Orai1 binding is store depletion-independent. On store
depletion, the protein binds STIM1 and moves within the ER to localize near the
cell membrane. This protein, TMEM20 (POST), does not affect store-operated
calcium entry but does reduce plasma membrane Ca(2+) pump activity. Store
depletion promotes STIM1-POST complex binding to smooth ER and plasma membrane
Ca(2+) ATPases (SERCAs and PMCAs, respectively), Na/K-ATPase, as well as to the
nuclear transporters, importins-beta and exportins.
PMID- 22084112
TI - Different levels of the Tripartite motif protein, Anomalies in sensory axon
patterning (Asap), regulate distinct axonal projections of Drosophila sensory
neurons.
AB - The axonal projection pattern of sensory neurons typically is regulated by
environmental signals, but how different sensory afferents can establish distinct
projections in the same environment remains largely unknown. Drosophila class IV
dendrite arborization (C4da) sensory neurons project subtype-specific axonal
branches in the ventral nerve cord, and we show that the Tripartite motif
protein, Anomalies in sensory axon patterning (Asap) is a critical determinant of
the axonal projection patterns of different C4da neurons. Asap is highly
expressed in C4da neurons with both ipsilateral and contralateral axonal
projections, but the Asap level is low in neurons that have only ipsilateral
projections. Mutations in asap cause a specific loss of contralateral
projections, whereas overexpression of Asap induces ectopic contralateral
projections in C4da neurons. We also show by biochemical and genetic analysis
that Asap regulates Netrin signaling, at least in part by linking the Netrin
receptor Frazzled to the downstream effector Pico. In the absence of Asap, the
sensory afferent connectivity within the ventral nerve cord is disrupted,
resulting in specific larval behavioral deficits. These results indicate that
different levels of Asap determine distinct patterns of axonal projections of
C4da neurons by modulating Netrin signaling and that the Asap-mediated axonal
projection is critical for assembly of a functional sensory circuit.
PMID- 22084113
TI - Parasitoid-specific induction of plant responses to parasitized herbivores
affects colonization by subsequent herbivores.
AB - Plants are exposed to a suite of herbivorous attackers that often arrive
sequentially. Herbivory affects interactions between the host plants and
subsequently attacking herbivores. Moreover, plants may respond to herbivory by
emitting volatile organic compounds (VOCs) that attract carnivorous natural
enemies of the herbivores. However, information borne by VOCs is ubiquitous and
may attract carnivores, such as parasitoids, that differ in their effectiveness
at releasing the plant from its herbivorous attackers. Furthermore, the
development of parasitoids within their herbivorous hosts, attacking a given host
plant, may influence the elicitation of defensive reactions in the host plant.
This may, in turn, affect the behavior of subsequent herbivores attacking the
host plant. Here, we show that the species identity of a parasitoid had a more
significant effect on defense responses of Brassica oleracea plants than the
species identity of the herbivorous hosts of the parasitoids. Consequently, B.
oleracea plants that were damaged by caterpillars (Pieris spp.) parasitized by
different parasitoid species varied in the degree to which diamondback moths
(Plutella xylostella) selected the plants for oviposition. Attracting parasitoids
in general benefitted the plants by reducing diamondback moth colonization.
However, the species of parasitoid that parasitized the herbivore significantly
affected the magnitude of this benefit by its species-specific effect on
herbivore-plant interactions mediated by caterpillar regurgitant. Our findings
show that information-mediated indirect defense may lead to unpredictable
consequences for plants when considering trait-mediated effects of parasitized
caterpillars on the host plant and their consequences because of community-wide
responses to induced plants.
PMID- 22084114
TI - Modeling rotavirus strain dynamics in developed countries to understand the
potential impact of vaccination on genotype distributions.
AB - Understanding how immunity shapes the dynamics of multistrain pathogens is
essential in determining the selective pressures imposed by vaccines. There is
currently much interest in elucidating the strain dynamics of rotavirus to
determine whether vaccination may lead to the replacement of vaccine-type
strains. In developed countries, G1P[8] strains constitute the majority of
rotavirus infections most years, but occasionally other genotypes dominate for
reasons that are not well understood. We developed a mathematical model to
examine the interaction of five common rotavirus genotypes. We explored a range
of estimates for the relative strength of homotypic vs. heterotypic immunity and
compared model predictions against observed genotype patterns from six countries.
We then incorporated vaccination in the model to examine its impact on rotavirus
incidence and the distribution of strains. Our model can explain the coexistence
and cyclical pattern in the distribution of genotypes observed in most developed
countries. The predicted frequency of cycling depends on the relative strength of
homotypic vs. heterotypic immunity. Vaccination that provides strong protection
against G1 and weaker protection against other strains will likely lead to an
increase in the relative prevalence of non-G1 strains, whereas a vaccine that
provides equally strong immunity against all strains may promote the continued
predominance of G1. Overall, however, disease incidence is expected to be
substantially reduced under both scenarios and remain below prevaccination levels
despite the possible emergence of new strains. Better understanding of homotypic
vs. heterotypic immunity, both natural and vaccine-induced, will be critical in
predicting the impact of vaccination.
PMID- 22084116
TI - Shedding light on the vitamin D-tuberculosis-HIV connection.
PMID- 22084115
TI - Crystal structure of the Lassa virus nucleoprotein-RNA complex reveals a gating
mechanism for RNA binding.
AB - Arenaviruses cause disease in industrialized and developing nations alike. Among
them, the hemorrhagic fever virus Lassa is responsible for ~300,000-500,000
infections/y in Western Africa. The arenavirus nucleoprotein (NP) forms the
protein scaffold of the genomic ribonucleoprotein complexes and is critical for
transcription and replication of the viral genome. Here, we present crystal
structures of the RNA-binding domain of Lassa virus NP in complex with ssRNA.
This structure shows, in contrast to the predicted model, that RNA binds in a
deep, basic crevice located entirely within the N-terminal domain. Furthermore,
the NP-ssRNA structures presented here, combined with hydrogen-deuterium
exchange/MS and functional studies, suggest a gating mechanism by which NP opens
to accept RNA. Directed mutagenesis and functional studies provide a unique look
into how the arenavirus NPs bind to and protect the viral genome and also suggest
the likely assembly by which viral ribonucleoprotein complexes are organized.
PMID- 22084117
TI - LRRC52 (leucine-rich-repeat-containing protein 52), a testis-specific auxiliary
subunit of the alkalization-activated Slo3 channel.
AB - KSper, a pH-dependent K(+) current in mouse spermatozoa that is critical for
fertility, is activated by alkalization in the range of pH 6.4-7.2 at membrane
potentials between -50 and 0 mV. Although the KSper pore-forming subunit is
encoded by the Slo3 gene, heterologously expressed Slo3 channels are largely
closed at potentials negative to 0 mV at physiological pH. Here we identify a
Slo3-associating protein, LRRC52 (leucine-rich repeat-containing 52), that shifts
Slo3 gating into a range of voltages and pH values similar to that producing
KSper current activation. Message for LRRC52, a homolog of the Slo1-modifying
LRRC26 protein, is enriched in testis relative to other homologous LRRC subunits
and is developmentally regulated in concert with that for Slo3. LRRC52 protein is
detected only in testis. It is markedly diminished from Slo3(-/-) testis and
completely absent from Slo3(-/-) sperm, indicating that LRRC52 expression is
critically dependent on the presence of Slo3. We also examined the ability of
other LRRC subunits homologous to LRRC26 and LRRC52 to modify Slo3 currents.
Although both LRRC26 and LRRC52 are able to modify Slo3 function, LRRC52 is the
stronger modifier of Slo3 function. Effects of other related subunits were weaker
or absent. We propose that LRRC52 is a testis-enriched Slo3 auxiliary subunit
that helps define the specific alkalization dependence of KSper activation.
Together, LRRC52 and LRRC26 define a new family of auxiliary subunits capable of
critically modifying the gating behavior of Slo family channels.
PMID- 22084118
TI - Construction of regulatory networks using expression time-series data of a
genotyped population.
AB - The inference of regulatory and biochemical networks from large-scale genomics
data is a basic problem in molecular biology. The goal is to generate testable
hypotheses of gene-to-gene influences and subsequently to design bench
experiments to confirm these network predictions. Coexpression of genes in large
scale gene-expression data implies coregulation and potential gene-gene
interactions, but provide little information about the direction of influences.
Here, we use both time-series data and genetics data to infer directionality of
edges in regulatory networks: time-series data contain information about the
chronological order of regulatory events and genetics data allow us to map DNA
variations to variations at the RNA level. We generate microarray data measuring
time-dependent gene-expression levels in 95 genotyped yeast segregants subjected
to a drug perturbation. We develop a Bayesian model averaging regression
algorithm that incorporates external information from diverse data types to infer
regulatory networks from the time-series and genetics data. Our algorithm is
capable of generating feedback loops. We show that our inferred network recovers
existing and novel regulatory relationships. Following network construction, we
generate independent microarray data on selected deletion mutants to
prospectively test network predictions. We demonstrate the potential of our
network to discover de novo transcription-factor binding sites. Applying our
construction method to previously published data demonstrates that our method is
competitive with leading network construction algorithms in the literature.
PMID- 22084120
TI - Wnt signaling and a Smad pathway blockade direct the differentiation of human
pluripotent stem cells to multipotent neural crest cells.
AB - Neural crest stem cells can be isolated from differentiated cultures of human
pluripotent stem cells, but the process is inefficient and requires cell sorting
to obtain a highly enriched population. No specific method for directed
differentiation of human pluripotent cells toward neural crest stem cells has yet
been reported. This severely restricts the utility of these cells as a model for
disease and development and for more applied purposes such as cell therapy and
tissue engineering. In this report, we use small-molecule compounds in a single
step method for the efficient generation of self-renewing neural crest-like stem
cells in chemically defined media. This approach is accomplished directly from
human pluripotent cells without the need for coculture on feeder layers or cell
sorting to obtain a highly enriched population. Critical to this approach is the
activation of canonical Wnt signaling and concurrent suppression of the Activin
A/Nodal pathway. Over 12-14 d, pluripotent cells are efficiently specified along
the neuroectoderm lineage toward p75(+) Hnk1(+) Ap2(+) neural crest-like cells
with little or no contamination by Pax6(+) neural progenitors. This cell
population can be clonally amplified and maintained for >25 passages (>100 d)
while retaining the capacity to differentiate into peripheral neurons, smooth
muscle cells, and mesenchymal precursor cells. Neural crest-like stem cell
derived mesenchymal precursors have the capacity for differentiation into
osteocytes, chondrocytes, and adipocytes. In sum, we have developed methods for
the efficient generation of self-renewing neural crest stem cells that greatly
enhance their potential utility in disease modeling and regenerative medicine.
PMID- 22084121
TI - Constitutive exposure of phosphatidylserine on viable cells.
AB - Apoptotic cells are quickly recognized and engulfed by phagocytes to prevent the
release of noxious materials from dying cells. Phosphatidylserine (PS) exposed on
the surface of apoptotic cells is a proposed "eat-me" signal for the phagocytes.
Transmembrane protein 16F (TMEM16F), a membrane protein with eight transmembrane
segments, has the Ca-dependent phospholipid scramblase activity. Here we show
that when lymphoma cells were transformed with a constitutively active form of
TMEM16F, they exposed a high level of PS that was comparable to that observed on
apoptotic cells. The PS-exposing cells were morphologically normal and grew
normally. They efficiently responded to interleukin 3 and underwent apoptosis
upon treatment with Fas ligand. The viable PS-exposing cells bound to peritoneal
macrophages at 4 degrees C, but not at 25 degrees C. Accordingly, these cells
were not engulfed by macrophages. When apoptotic cells were injected i.v. into
mice, they were phagocytosed by CD11c(+)CD8(+) dendritic cells (DCs) in the
spleen, but the PS-exposing living cells were not phagocytosed by these DCs.
Furthermore, when PS-exposing lymphoma cells were transplanted s.c. into nude
mice, they generated tumors as efficiently as parental lymphoma cells that did
not expose PS. These results indicated that PS exposure alone is not sufficient
to be recognized by macrophages as an eat-me signal.
PMID- 22084122
TI - Cholesterol level and statin use in Alzheimer disease: II. Review of human trials
and recommendations.
AB - Substantial evidence has accumulated in support of the hypothesis that elevated
cholesterol levels increase the risk of developing Alzheimer disease (AD). As a
result, much work has investigated the potential use of lipid-lowering agents,
particularly statins, as preventive or therapeutic agents for AD. Although
epidemiology and preclinical statin research (described in part I of this review)
have generally supported an adverse role of high cholesterol levels regarding AD,
human studies of statins (reviewed herein) show highly variable outcomes, making
it difficult to draw firm conclusions. We identify several confounding factors
among the human studies, including differing blood-brain barrier permeabilities
among statins, the stage in AD at which statins were administered, and the drugs'
pleiotropic metabolic effects, all of which contribute to the substantial
variability observed to date. We recommend that future human studies of this
important therapeutic topic (1) take the blood-brain barrier permeabilities of
statins into account when analyzing results, (2) include specific analyses of the
effects on low- and high-density lipoprotein cholesterol, and, most important,
(3) conduct statin treatment trials solely in patients with mild AD, who have the
best chance for disease modification.
PMID- 22084123
TI - Coping with death and dying on a neurology inpatient service: death rounds as an
educational initiative for residents.
AB - BACKGROUND: Residents in neurology may feel unprepared to care for dying
patients. We developed Death Rounds to provide emotional support and end-of-life
care teaching for residents caring for dying patients on the inpatient neurology
service. Death Rounds are monthly 1-hour clinical case discussions where
residents identify issues through shared experiences. OBJECTIVE: To survey
neurology residents' perceptions of Death Rounds with respect to end-of-life care
teaching and emotional support. Design, Setting, and PARTICIPANTS: We conducted
an electronic survey of all (n = 26) neurology residents and recent residency
graduates at the University of Washington 2 years after instituting monthly Death
Rounds. Main Outcome Measure The survey consisted of 10 questions examining
residents' perceptions of the extent to which Death Rounds provided emotional
support and end-of-life care teaching. We dichotomized responses to statements
about Death Rounds as agree or disagree. RESULTS: All 26 residents responded to
the survey and attended at least 1 Death Rounds session. More than half of
residents attended more than 3 sessions. Residents agreed that Death Rounds
helped them cope with dying patients (17 residents [65%]), delivered closure for
the team (16 residents [61%]), and provided emotional support, more for the team
(18 residents [69%]) than the individual (10 residents [38%]). Most residents
felt that Death Rounds provided useful teaching about end-of-life care (18
residents [69%]), and they were satisfied overall with Death Rounds (16 residents
[61%]). CONCLUSIONS: Death Rounds afford an opportunity for physicians-in
training to process as a group their feelings, intense emotions, and insecurities
while learning from the dying process. In our inpatient neurology service, most
residents found it a rewarding and valuable experience.
PMID- 22084124
TI - Interferon beta-1b for the treatment of primary progressive multiple sclerosis:
five-year clinical trial follow-up.
AB - OBJECTIVES: To investigate, during the 5-year period without treatment after
termination of a 2-year clinical trial of interferon beta-1b for the treatment of
primary progressive multiple sclerosis, differences in the evolution of clinical
variables and magnetic resonance imaging results between trial arms and to
investigate correlations between in-trial changes in Multiple Sclerosis
Functional Composite (MSFC) score and magnetic resonance imaging variables and
Expanded Disability Status Scale (EDSS) score evolution. DESIGN: Five-year
clinical trial follow-up. SETTING: Clinical Neuroimmunology Unit, Multiple
Sclerosis Centre of Catalonia, Autonomous University of Barcelona, Spain.
Patients Seventy-three patients received interferon beta-1b or placebo during
the trial. MAIN OUTCOME MEASURES: After 5 years without treatment, the EDSS and
MSFC measures were scored for 63 and 59 patients, respectively.
Neuropsychological and magnetic resonance imaging assessments were performed for
59 and 50 patients, respectively. RESULTS: After 5 years without treatment, the
interferon beta-1b group had better 9-Hole Peg Test (P = .02) and Word List
Generation Test (P < .001) scores, and their magnetization transfer ratio
measures in the normal-appearing white matter were significantly higher (P = .02,
P = .009, and P = .03 for the mean, peak location, and peak height magnetic
transfer ratios, respectively). During the entire study period (from trial
baseline to assessment at 5 years without treatment), the placebo group showed a
greater decrease in brain parenchymal fraction (P = .004). The in-trial increase
of lesions correlated with the worsening of the EDSS score during the 5-year
period without treatment (P = .004). CONCLUSIONS: Modest but beneficial effects
of interferon beta-1b on clinical variables and brain atrophy development were
observed 5 years after trial termination. Moreover, in-trial lesion activity
correlated with EDSS progression after trial termination. Therefore, we provide
evidence to consider immunomodulation as a sensible approach to treat primary
progressive multiple sclerosis.
PMID- 22084125
TI - Natalizumab and impedance of the homing of CD34+ hematopoietic progenitors.
AB - BACKGROUND: Treatment with natalizumab, an antibody blocking the alpha4-integrin,
is associated with increased numbers of circulating CD34+ cells in the peripheral
blood of patients with multiple sclerosis. OBJECTIVE: To determine whether
natalizumab mobilizes CD34+ cells from or inhibits homing to the bone marrow
(BM). DESIGN: Fifty-two patients with relapsing-remitting multiple sclerosis
treated with natalizumab were included. Flow cytometric analyses; polymerase
chain reaction assays for JC (John Cunningham) virus DNA detection; and adhesion,
migration, and apoptosis assays of immunomagnetically enriched peripheral blood
and BM CD34+ cells were conducted. A comparison was made with CD34+ cells from
granulocyte colony-stimulating factor-mobilized peripheral blood or steady-state
BM of age- and sex-matched healthy donors. RESULTS: We found adhesion and
migration of peripheral blood-derived CD34+ cells to be reduced. In BM aspirates
from natalizumab-treated patients, the cellularity, the proportion, and the
adhesive capacity of CD34+ cells were normal. The JC virus was undetectable.
CONCLUSIONS: Natalizumab mediates an increase in circulating CD34+ cells by
interfering with homing to the BM. Thus, CD34+ cells appear unlikely to represent
a source mobilizing JC virus out of the BM in patients treated with natalizumab.
PMID- 22084126
TI - Brain involvement in neuromyelitis optica spectrum disorders.
AB - BACKGROUND: Neuromyelitis optica spectrum disorders (NMOSDs) are severe
inflammatory demyelinating disorders of the central nervous system. Brain
involvement is increasingly recognized. OBJECTIVE: To study brain involvement in
NMOSDs among Hong Kong Chinese patients. DESIGN: Retrospective study of patients
with NMOSDs. SETTING: Tertiary medical center in Hong Kong. Patients Thirty-four
Hong Kong Chinese patients with NMOSDs of 2 years or longer were recruited.
INTERVENTIONS: Brain and spinal cord magnetic resonance imaging was performed
during NMOSD attacks and was repeated yearly for the first 3 years. MAIN OUTCOME
MEASURES: We evaluated clinical features of NMOSDs associated with brain
involvement and brain lesions on magnetic resonance imaging. RESULTS: Among 34
patients with NMOSDs of 2 years or longer, 20 (59%) had brain involvement. The
mean age at onset among these 20 patients was 45.6 years (age range, 19-67
years); 18 were women. Eleven patients (32% of all the patients with NMOSDs) had
clinical manifestation of brain involvement, 19 patients (56%) had brain
abnormalities on magnetic resonance imaging consistent with inflammatory
demyelination, and 2 patients (6%) fulfilled criteria for multiple sclerosis.
Clinical manifestation of brain involvement included the following: trigeminal
neuralgia; vomiting, vertigo, ataxia, dysphagia, and tetraparesis from lesions
around the third and fourth ventricles and aqueduct; homonymous hemianopia,
aphasia, hemiparesis, and cognitive impairment from extensive hemispheric white
matter lesions; and ataxia, diplopia, hiccups, facial sensory loss, internuclear
ophthalmoplegia, hemisensory loss, and hemiparesis from other lesions in the
midbrain, pons, cerebellar peduncles, and medulla. Eight patients (24%) developed
brainstem encephalitis clinically, and brainstem encephalitis was the initial
clinical manifestation in 6 patients (18%). Brain abnormalities on magnetic
resonance imaging were detected in brainstem in 15 patients (44%), hemispheric
periventricular white matter in 7 patients (21%), deep white matter in 7 patients
(21%), corpus callosum in 4 patients (12%), subcortical white matter in 3
patients (9%), thalamus in 2 patients (6%), hypothalamus in 1 patient (3%), basal
ganglia in 1 patient (3%), internal capsule in 1 patient (3%), periaqueductal
gray matter in 1 patient (3%), and around the third and fourth ventricles in 1
patient (3%); large confluent lesions were detected in 2 patients (6%).
CONCLUSION: Brain involvement manifesting clinically as brainstem encephalitis is
common among Hong Kong Chinese patients with NMOSDs.
PMID- 22084127
TI - SQSTM1 mutations in familial and sporadic amyotrophic lateral sclerosis.
AB - BACKGROUND: The SQSTM1 gene encodes p62, a major pathologic protein involved in
neurodegeneration. OBJECTIVE: To examine whether SQSTM1 mutations contribute to
familial and sporadic amyotrophic lateral sclerosis (ALS). DESIGN: Case-control
study. SETTING: Academic research. Patients A cohort of 546 patients with
familial (n = 340) or sporadic (n = 206) ALS seen at a major academic referral
center were screened for SQSTM1 mutations. MAIN OUTCOME MEASURES: We evaluated
the distribution of missense, deletion, silent, and intronic variants in SQSTM1
among our cohort of patients with ALS. In silico analysis of variants was
performed to predict alterations in p62 structure and function. RESULTS: We
identified 10 novel SQSTM1 mutations (9 heterozygous missense and 1 deletion) in
15 patients (6 with familial ALS and 9 with sporadic ALS). Predictive in silico
analysis classified 8 of 9 missense variants as pathogenic. CONCLUSIONS: Using
candidate gene identification based on prior biological knowledge and the
functional prediction of rare variants, we identified several novel SQSTM1
mutations in patients with ALS. Our findings provide evidence of a direct genetic
role for p62 in ALS pathogenesis and suggest that regulation of protein
degradation pathways may represent an important therapeutic target in motor
neuron degeneration.
PMID- 22084128
TI - Prefrontal cortex and executive function impairments in primary breast cancer.
AB - OBJECTIVES: To examine differences in prefrontal-executive function between
breast cancer (BC) survivors with and without a history of chemotherapy treatment
compared with healthy control women and to determine the associations between
prefrontal cortex deficits and behavioral impairments, as well as certain
demographic and disease variables. DESIGN: Observational study. SETTING:
University-based research facility. PARTICIPANTS: Twenty-five women with BC who
had received chemotherapy, 19 women with BC who had not received chemotherapy,
and 18 healthy female controls, all matched for age and other demographic
variables. RESULTS: Women with BC demonstrated significantly reduced activation
in the left middle dorsolateral prefrontal cortex and premotor cortex compared
with healthy controls. The chemotherapy group also demonstrated significantly
reduced left caudal lateral prefrontal cortex activation and increased
perseverative errors and reduced processing speed compared with the other 2
groups. Reduced left caudal lateral prefrontal cortex activation was
significantly correlated with higher disease severity and elevated subjective
executive dysfunction in the chemotherapy-treated women. Older age and lower
educational level were associated with increased executive function impairment in
the chemotherapy group. CONCLUSIONS: These findings provide further evidence of
neurological impairment associated with primary BC irrespective of treatment
history. The left caudal lateral prefrontal region may be particularly vulnerable
to the effects of chemotherapy and/or disease severity and may represent a novel
biomarker of subjective executive dysfunction in chemotherapy-treated women.
Furthermore, negative effects of chemotherapy on brain function may be
exacerbated by such factors as increased age and lower educational level.
PMID- 22084129
TI - Relationship between chronic atrial fibrillation and worse outcomes in stroke
patients after intravenous thrombolysis.
AB - BACKGROUND: It is unclear whether stroke patients with atrial fibrillation (AF)
are prone to adverse outcomes following treatment with intravenous recombinant
tissue plasminogen activator, and whether the burden of AF affects these
outcomes. OBJECTIVE: To investigate the contribution of AF (whether it be a first
detected episode of AF or chronic AF) to stroke outcomes in patients treated with
intravenous recombinant tissue plasminogen activator. DESIGN: Retrospective
study. SETTING: Academic hospital. Patients Consecutive patients with acute
ischemic stroke who received intravenous recombinant tissue plasminogen activator
within 3 hours from symptom onset were included. Vascular risk factors, stroke
characteristics, and outcome measures were compared between patients with and
without AF. MAIN OUTCOME MEASURES: Symptomatic intracranial hemorrhage and poor
functional recovery (modified Rankin Scale score of >2). RESULTS: Of the 214
patients who were studied (mean [SD] age, 74 [14] years, with 50% of patients
being men), 21 had a first-detected episode of AF, and 55 had chronic AF. The
incidence of symptomatic intracranial hemorrhage was significantly higher in
patients with chronic AF than in patients without AF (16% vs 5%), and the
incidence of poor functional recovery was significantly higher in patients with
chronic AF than in patients without AF (62% vs 44%). The increase in risk of
symptomatic intracranial hemorrhage (but not in poor functional recovery) among
patients with chronic AF remained significant after adjusting for age and
baseline National Institutes of Health Stroke Scale score (odds ratio, 2.95 [95%
CI, 1.12-9.30]). Patients with chronic AF who developed a symptomatic
intracranial hemorrhage had a longer duration of AF than those who did not (59 vs
23 months), and patients with chronic AF who had a poor functional recovery had a
longer duration of AF than those who did not (36 vs 16 months) (P < .05). By
contrast, there were no differences in outcomes between patients with a first
detected episode of AF and those without AF, and between patients with paroxysmal
AF and those with persistent or permanent AF. CONCLUSIONS: Patients with chronic
AF have worse stroke outcomes than do patients without AF, and the risk for worse
outcomes was greater in patients with a longer duration of AF.
PMID- 22084130
TI - Clusterin as an Alzheimer biomarker.
PMID- 22084132
TI - New type of cortical neuroplasticity after nerve repair in brachial plexus
lesions.
AB - BACKGROUND: In brachial plexus avulsion, a recent technique connects the ending
of the disrupted musculocutaneous nerve to the side of the intact phrenic nerve
to regain elbow flexion. This requires the phrenic nerve to perform a new double
function: independent control of breathing and elbow flexion. Neuroplastic
changes associated with acquisition of double nerve functions have not yet been
investigated. OBJECTIVE: To evaluate neuroplastic changes associated with
acquisition of double nerve functions in a monofunctional nerve (phrenic nerve).
DESIGN: Clinical and functional magnetic resonance imaging investigations during
arm movements, forced inspiration, and motor control tasks. SETTING:
Investigations at the Medical University of Vienna, Vienna, Austria.
PARTICIPANTS: Three healthy control subjects, 2 patients with phrenic nerve end
to-side coaptation, and 1 control patient with C7 end-to-end coaptation (same
clinical presentation but phrenic nerve unchanged). RESULTS: Clinical
documentation showed that both patients with phrenic nerve end-to-side coaptation
were able to control the diaphragm and the biceps independently via the same
phrenic nerve. In contrast to all controls, both patients with phrenic nerve end
to-side coaptation activated the cortical diaphragm areas with flexion of the
diseased arm. CONCLUSION: Our functional magnetic resonance imaging data indicate
that the patient's cortical diaphragm areas reorganize in such a way that
independent control of breathing and elbow flexion is possible with the same
neuronal population.
PMID- 22084131
TI - Positron emission tomography and neuropathologic estimates of fibrillar amyloid
beta in a patient with Down syndrome and Alzheimer disease.
AB - BACKGROUND: Down syndrome appears to be associated with a virtually certain risk
of fibrillar amyloid-beta (Abeta) pathology by the age of 40 and a very high risk
of dementia at older ages. The positron emission tomography (PET) ligand
florbetapir F18 has been shown to characterize fibrillar Abeta in the living
human brain and to provide a close correlation with subsequent Abeta
neuropathology in individuals proximate to and after the end of life. The extent
to which the most frequently used PET ligands can be used to detect fibrillar
Abeta in patients with Down syndrome remains to be determined. OBJECTIVES: To
characterize PET estimates of fibrillar Abeta burden in a Down syndrome patient
very close to the end of life and to compare them with neuropathologic assessment
made after his death. Design/ METHODS: With the family's informed consent,
florbetapir PET was used to study a 55-year-old Down syndrome patient with
Alzheimer disease near the end of life; his brain was donated for neuropathologic
assessment when he died 14 days later. Visual ratings of cerebral florbetapir
uptake were performed by trained readers who were masked to the patient's
diagnosis as part of a larger study, and an automated algorithm was used to
characterize regional-to-cerebellar standard uptake value ratios in 6 cerebral
regions of interest. Neuropathologic assessments were performed masked to the
patient's diagnosis or PET measurements. RESULTS: Visual ratings and automated
analyses of the PET image revealed a heavy fibrillar Abeta burden in cortical,
striatal, and thalamic regions, similar to that reported for patients with late
onset Alzheimer disease. This matched neuropathologic findings of frequent
neuritic and diffuse plaques, as well as frequent amyloid angiopathy, except for
neuropathologically demonstrated frequent cerebellar diffuse plaques and amyloid
angiopathy that were not detected by the PET scan. CONCLUSIONS: Florbetapir PET
can be used to detect increased cerebral-to-cerebellar fibrillar Abeta burden in
a Down syndrome patient with Alzheimer disease, even in the presence of frequent
amyloid angiopathy and diffuse plaques in the cerebellum. Additional studies are
needed to determine the extent to which PET could be used to detect and to track
fibrillar Abeta and to evaluate investigational Abeta-modifying treatments in the
presymptomatic and symptomatic stages of Alzheimer disease.
PMID- 22084133
TI - Whipple limbic encephalitis.
AB - OBJECTIVE: To describe a relapse of Whipple disease revealed by isolated limbic
encephalitis with no other signs of systemic involvement. DESIGN: Case report.
SETTING: University Hospital of Strasbourg, Strasbourg, France. Patient A 41
year-old patient. MAIN OUTCOME MEASURES: Cognitive functions and results of
cerebrospinal fluid analysis and brain magnetic resonance imaging. RESULTS: A 41
year-old patient was hospitalized for headache associated with anterograde
amnesia and temporospatial disorientation. Whipple disease with systemic
manifestations was diagnosed 4 years previously and insufficiently treated. The
neuropsychological evaluation showed impaired episodic memory and executive
functions. Analysis of the cerebrospinal fluid showed increased lymphocytes and a
positive Tropheryma whipplei polymerase chain reaction result. Cerebral magnetic
resonance imaging revealed a typical pattern of limbic encephalitis with an
intense signal in the amygdalae and hippocampi. The outcome under antibiotic
treatment was marked by partial improvement of the cognitive disorders,
disappearance of the positive T whipplei polymerase chain reaction result in
cerebrospinal fluid, and a clear decrease of inflammation on brain magnetic
resonance imaging. CONCLUSIONS: Whipple disease can present as limbic
encephalitis. Few cases have been previously described in the literature. Such
diagnosis is of importance because of the specific treatment.
PMID- 22084134
TI - Ring sign on diffusion-weighted imaging.
PMID- 22084135
TI - Pontine ring-enhancing glioblastoma multiforme-like fungal abscess.
PMID- 22084136
TI - Unilateral tongue atrophy and fasciculation.
PMID- 22084137
TI - Limitations of NIS database in evaluation of epilepsy surgery morbidity and
mortality.
PMID- 22084138
TI - The European Society of Cardiology publishes its first Guidelines on peripheral
artery diseases.
PMID- 22084140
TI - Remembrances of Walter M. Fitch.
PMID- 22084141
TI - BRIEF: Computing a Local Binary Descriptor Very Fast.
AB - Binary descriptors are becoming increasingly popular as a means to compare
feature points very fast while requiring comparatively small amounts of memory.
The typical approach to creating them is to first compute floating-point ones,
using an algorithm such as SIFT, and then to binarize them. In this paper, we
show that we can directly compute a binary descriptor, which we call BRIEF, on
the basis of simple intensity difference tests. As a result, BRIEF is very fast
both to build and to match. We compare it against SURF and SIFT on standard
benchmarks and show that it yields comparable recognition accuracy, while running
in an almost vanishing fraction of the time required by either.
PMID- 22084142
TI - Combining Single and Packet-Ray Tracing for Arbitrary Ray Distributions on the
Intel MIC Architecture.
AB - Wide-SIMD hardware is power and area efficient, but it is challenging to
efficiently map ray tracing algorithms to such hardware especially when the rays
are incoherent. The two most commonly used schemes are either packet tracing, or
relying on a separate traversal stack for each SIMD lane. Both work great for
coherent rays, but suffer when rays are incoherent: The former experiences a
dramatic loss of SIMD utilization once rays diverge; the latter requires a large
local storage, and generates multiple incoherent streams of memory accesses that
present challenges for the memory system. In this paper, we introduce a single
ray tracing scheme for incoherent rays that uses just one traversal stack on 16
wide SIMD hardware. It uses a bounding-volume hierarchy with a branching factor
of four as the acceleration structure, exploits four-wide SIMD in each box and
primitive intersection test, and uses 16-wide SIMD by always performing four such
node or primitive tests in parallel. We then extend this scheme to a hybrid
tracing scheme that automatically adapts to varying ray coherence by starting out
with a 16-wide packet scheme and switching to the new single-ray scheme as soon
as rays diverge. We show that on the Intel Many Integrated Core architecture this
hybrid scheme consistently, and over a wide range of scenes and ray
distributions, outperforms both packet and single-ray tracing.
PMID- 22084143
TI - A Curvature-Adaptive Implicit Surface Reconstruction for Irregularly Spaced
Points.
AB - A curvature-adaptive implicit surface reconstruction for noisy and irregularly
spaced points in 3D is introduced. The reconstructed surface traces the zero
crossings of a signed field obtained from the sum of first-derivative anisotropic
Gaussians centered at the points. The standard deviations of the anisotropic
Gaussians are adapted to surface curvatures estimated from local data. A key
characteristic of the formulation is its ability to smooth more along edges than
across them, thereby preserving shape details while smoothing noise. The behavior
of the proposed method under various density and organization of points is
investigated and surface reconstruction results are compared with those obtained
by well-known methods in the literature.
PMID- 22084144
TI - Tuning self-motion perception in virtual reality with visual illusions.
AB - Motion perception in immersive virtual environments significantly differs from
the real world. For example, previous work has shown that users tend to
underestimate travel distances in virtual environments (VEs). As a solution to
this problem, researchers proposed to scale the mapped virtual camera motion
relative to the tracked real-world movement of a user until real and virtual
motion are perceived as equal, i.e., real-world movements could be mapped with a
larger gain to the VE in order to compensate for the underestimation. However,
introducing discrepancies between real and virtual motion can become a problem,
in particular, due to misalignments of both worlds and distorted space cognition.
In this paper, we describe a different approach that introduces apparent self
motion illusions by manipulating optic flow fields during movements in VEs. These
manipulations can affect self-motion perception in VEs, but omit a quantitative
discrepancy between real and virtual motions. In particular, we consider to which
regions of the virtual view these apparent self-motion illusions can be applied,
i.e., the ground plane or peripheral vision. Therefore, we introduce four
illusions and show in experiments that optic flow manipulation can significantly
affect users' self-motion judgments. Furthermore, we show that with such
manipulations of optic flow fields the underestimation of travel distances can be
compensated.
PMID- 22084145
TI - Designing filters for fast-known NcRNA identification.
AB - Detecting members of known noncoding RNA (ncRNA) families in genomic DNA is an
important part of sequence annotation. However, the most widely used tool for
modeling ncRNA families, the covariance model (CM), incurs a high-computational
cost when used for genome-wide search. This cost can be reduced by using a filter
to exclude sequences that are unlikely to contain the ncRNA of interest, applying
the CM only where it is likely to match strongly. Despite recent advances,
designing an efficient filter that can detect ncRNA instances lacking strong
conservation while excluding most irrelevant sequences remains challenging. In
this work, we design three types of filters based on multiple secondary structure
profiles (SSPs). An SSP augments a regular profile (i.e., a position weight
matrix) with secondary structure information but can still be efficiently scanned
against long sequences. Multi-SSPbased filters combine evidence from multiple SSP
matches and can achieve high sensitivity and specificity. Our SSP-based filters
are extensively tested in BRAliBase III data set, Rfam 9.0, and a published soil
metagenomic data set. In addition, we compare the SSPbased filters with several
other ncRNA search tools including Infernal (with profile HMMs as filters),
ERPIN, and tRNAscan-SE. Our experiments demonstrate that carefully designed SSP
filters can achieve significant speedup over unfiltered CM search while
maintaining high sensitivity for various ncRNA families. The designed filters and
filter-scanning programs are available at our website:
www.cse.msu.edu/~yannisun/ssp/.
PMID- 22084146
TI - Eigen-genomic system dynamic-pattern analysis (ESDA): modeling mRNA degradation
and self-regulation.
AB - High-throughput methods systematically measure the internal state of the entire
cell, but powerful computational tools are needed to infer dynamics from their
raw data. Therefore, we have developed a new computational method, Eigen-genomic
System Dynamic-pattern Analysis (ESDA), which uses systems theory to infer
dynamic parameters from a time series of gene expression measurements. As many
genes are measured at a modest number of time points, estimation of the system
matrix is underdetermined and traditional approaches for estimating dynamic
parameters are ineffective; thus, ESDA uses the principle of dimensionality
reduction to overcome the data imbalance. Since degradation rates are naturally
confounded by self-regulation, our model estimates an effective degradation rate
that is the difference between self-regulation and degradation. We demonstrate
that ESDA is able to recover effective degradation rates with reasonable accuracy
in simulation. We also apply ESDA to a budding yeast dataset, and find that
effective degradation rates are normally slower than experimentally measured
degradation rates. Our results suggest that either self-regulation is widespread
in budding yeast and that self-promotion dominates self-inhibition, or that self
regulation may be rare and that experimental methods for measuring degradation
rates based on transcription arrest may severely overestimate true degradation
rates in healthy cells.
PMID- 22084147
TI - Identification of essential proteins based on edge clustering coefficient.
AB - Identification of essential proteins is key to understanding the minimal
requirements for cellular life and important for drug design. The rapid increase
of available protein-protein interaction (PPI) data has made it possible to
detect protein essentiality on network level. A series of centrality measures
have been proposed to discover essential proteins based on network topology.
However, most of them tended to focus only on the location of single protein, but
ignored the relevance between interactions and protein essentiality. In this
paper, a new centrality measure for identifying essential proteins based on edge
clustering coefficient, named as NC, is proposed. Different from previous
centrality measures, NC considers both the centrality of a node and the
relationship between it and its neighbors. For each interaction in the network,
we calculate its edge clustering coefficient. A node's essentiality is determined
by the sum of the edge clustering coefficients of interactions connecting it and
its neighbors. The new centrality measure NC takes into account the modular
nature of protein essentiality. NC is applied to three different types of yeast
protein-protein interaction networks, which are obtained from the DIP database,
the MIPS database and the BioGRID database, respectively. The experimental
results on the three different networks show that the number of essential
proteins discovered by NC universally exceeds that discovered by the six other
centrality measures: DC, BC, CC, SC, EC, and IC. Moreover, the essential proteins
discovered by NC show significant cluster effect.
PMID- 22084148
TI - A framework for incorporating functional interrelationships into protein function
prediction algorithms.
AB - The functional annotation of proteins is one of the most important tasks in the
post-genomic era. Although many computational approaches have been developed in
recent years to predict protein function, most of these traditional algorithms do
not take interrelationships among functional terms into account, such as
different GO terms usually coannotate with some common proteins. In this study,
we propose a new functional similarity measure in the form of Jaccard coefficient
to quantify these interrelationships and also develop a framework for
incorporating GO term similarity into protein function prediction process. The
experimental results of cross-validation on S. cerevisiae and Homo sapiens data
sets demonstrate that our method is able to improve the performance of protein
function prediction. In addition, we find that small size terms associated with a
few of proteins obtain more benefit than the large size ones when considering
functional interrelationships. We also compare our similarity measure with other
two widely used measures, and results indicate that when incorporated into
function prediction algorithms, our proposed measure is more effective.
Experiment results also illustrate that our algorithms outperform two previous
competing algorithms, which also take functional interrelationships into account,
in prediction accuracy. Finally, we show that our method is robust to annotations
in the database which are not complete at present. These results give new
insights about the importance of functional interrelationships in protein
function prediction.
PMID- 22084149
TI - A top-r feature selection algorithm for microarray gene expression data.
AB - Most of the conventional feature selection algorithms have a drawback whereby a
weakly ranked gene that could perform well in terms of classification accuracy
with an appropriate subset of genes will be left out of the selection.
Considering this shortcoming, we propose a feature selection algorithm in gene
expression data analysis of sample classifications. The proposed algorithm first
divides genes into subsets, the sizes of which are relatively small (roughly of
size h), then selects informative smaller subsets of genes (of size r < h) from a
subset and merges the chosen genes with another gene subset (of size r) to update
the gene subset. We repeat this process until all subsets are merged into one
informative subset. We illustrate the effectiveness of the proposed algorithm by
analyzing three distinct gene expression data sets. Our method shows promising
classification accuracy for all the test data sets. We also show the relevance of
the selected genes in terms of their biological functions.
PMID- 22084150
TI - A new efficient data structure for storage and retrieval of multiple
biosequences.
AB - Today's genome analysis applications require sequence representations allowing
for fast access to their contents while also being memory-efficient enough to
facilitate analyses of large-scale data. While a wide variety of sequence
representations exist, lack of a generic implementation of efficient sequence
storage has led to a plethora of poorly reusable or programming language-specific
implementations. We present a novel, space-efficient data structure (GtEncseq)
for storing multiple biological sequences of variable alphabet size, with
customizable character transformations, wildcard support and an assortment of
internal representations optimized for different distributions of wildcards and
sequence lengths. For the human genome (3.1 gigabases, including 237 million
wildcard characters) our representation requires only 2 + 8 × 10^-6bits
per character. Implemented in C, our portable software implementation provides a
variety of methods for random and sequential access to characters and substrings
(including different reading directions) using an object-oriented interface. In
addition, it includes access to metadata like sequence descriptions or character
distributions. The library is extensible to be used from various scripting
languages. GtEncseq is much more versatile than previous solutions, adding
features that were previously unavailable. Benchmarks show that it is competitive
with respect to space and time requirements.
PMID- 22084151
TI - Low-dose Erythropoietin reduces risk of heart failure induced by anti-cancer
therapy.
PMID- 22084152
TI - Microsatellite instability in colorectal cancer: time to stop hiding!
PMID- 22084153
TI - Impact of phosphodiesterase 8B gene rs4704397 variation on thyroid homeostasis in
childhood obesity.
AB - CONTEXT: Several studies demonstrated that obese children have higher TSH than
normal-weight children. The polymorphism rs4704397 in the phosphodiesterase 8B
(PDE8B) gene showed an association with TSH. OBJECTIVES: i) To assess the effect
of PDE8B on TSH in obese children; ii) to dissect the role of obesity degree in
modulating this association; and iii) to stratify the individual risk to show
hyperthyrotropinaemia according to PDE8B genotype. METHODS: Eight hundred and
sixty-seven Italian obese children were investigated. Clinical data and thyroid
hormones were evaluated and the PDE8B rs4704397 was genotyped. RESULTS: PDE8B A/A
homozygous subjects showed higher TSH (P=0.0005) compared with A/G or G/G. No
differences were found for peripheral thyroid hormones. Among A/A children, 22%
had hyperthyrotropinaemia, compared with 11.6% of heterozygotes and 10.8% of G/G
(P=0.0008). Consistently, A/A had an odds ratio (OR) to show abnormal TSH level
of 2.25 (P=0.0004). Body mass index (BMI) appeared correlated with TSH
(P=0.0001), but the strength of the effect of PDE8B on TSH was independent of BMI
(P=0.1). Children were subdivided into six groups according to obesity degree and
genotypes. PDE8B A/A with BMI SDS above 3 had the highest OR (OR 2.6, P=0.0015)
to have hyperthyrotropinaemia, whereas G/G with BMI SDS below 3 showed the lowest
possibilities (OR 0.3, P=0.005). CONCLUSIONS: We have shown: i) in obese
children, PDE8B is associated with TSH; ii) the interaction between adiposity and
PDE8B on TSH is not synergistic, but follows an additive model; and iii) impact
of this association in the stratification of individual risk to have
hyperthyrotropinaemia.
PMID- 22084154
TI - Mechanisms in endocrinology: micro-RNAs: targets for enhancing osteoblast
differentiation and bone formation.
AB - Osteoblast differentiation and bone formation (osteogenesis) are regulated by
transcriptional and post-transcriptional mechanisms. Recently, a novel class of
regulatory factors termed micro-RNAs (miRNAs) has been identified as playing an
important role in the regulation of many aspects of osteoblast biology including
proliferation, differentiation, metabolism and apoptosis. Also, preliminary data
from animal disease models suggest that targeting miRNAs in bone can be a novel
approach to increase bone mass. This review highlights the current knowledge of
miRNA biology and their role in bone formation and discusses their potential use
in future therapeutic applications for metabolic bone diseases.
PMID- 22084155
TI - Adrenal involvement in MEN1. Analysis of 715 cases from the Groupe d'etude des
Tumeurs Endocrines database.
AB - OBJECTIVE: Limited data regarding adrenal involvement in multiple endocrine
neoplasia type 1 (MEN1) is available. We describe the characteristics of MEN1
associated adrenal lesions in a large cohort to provide a rationale for their
management. METHODS: Analysis of records from 715 MEN1 patients from a
multicentre database between 1956 and 2008. Adrenal lesions were compared with
those from a multicentre cohort of 144 patients with adrenal sporadic
incidentalomas. RESULTS: Adrenal enlargement was reported in 20.4% (146/715) of
patients. Adrenal tumours (>10 mm in size) accounted for 58.1% of these cases
(10.1% of the whole patient cohort). Tumours were bilateral and >40 mm in size in
12.5 and 19.4% of cases respectively. Hormonal hypersecretion was restricted to
patients with tumours and occurred in 15.3% of them. Compared with
incidentalomas, MEN1-related tumours exhibited more cases of primary
hyperaldosteronism, fewer pheochromocytomas and more adrenocortical carcinomas
(ACCs; 13.8 vs 1.3%). Ten ACCs occurred in eight patients. Interestingly, ACCs
occurred after several years of follow-up of small adrenal tumours in two of the
eight affected patients. Nine of the ten ACCs were classified as stage I or II
according to the European Network for the Study of Adrenal Tumors. No evident
genotype/phenotype correlation was found for the occurrence of adrenal lesions,
endocrine hypersecretion or ACC. CONCLUSIONS: Adrenal pathology in MEN1 differs
from that observed in sporadic incidentalomas. In the absence of relevant
symptoms, endocrine biology can be restricted to patients with adrenal tumours
and should focus on steroid secretion including the aldosterone-renin system.
MEN1 is a high-risk condition for the occurrence of ACCs. It should be considered
regardless of the size of the tumour.
PMID- 22084156
TI - The utility of basal serum LH in prediction of central precocious puberty in
girls.
AB - OBJECTIVE: The mainstay of distinction between prepubertal girls and girls who
are suspected of having central precocious puberty (CPP) is based on gonadotropin
measurements after a GnRH stimulation test to evaluate hypothalamic-pituitary
gonadal axis maturity. The objective of this study was to determine whether a
single basal gonadotropin measurement carries a useful predictive value in
verifying or refuting the diagnosis of CPP. DESIGN AND METHODS: Basal serum LH
and FSH were measured by a chemiluminescent immunometric assay in a cohort of
girls who had been evaluated for CPP before and after GnRH stimulation test. Peak
LH levels higher than 5 IU/l were considered a pubertal response. RESULTS: Eighty
girls with suspected breast development before 8 years of age were enrolled to
the study, out of whom 42 had CPP. Low basal serum LH (<=0.1 IU/l) was sufficient
to rule out the diagnosis of CPP in 94.7% of the 38 prepubertal girls; the
sensitivity of basal LH levels for this purpose was only 64%. The basal FSH and
the basal LH to FSH ratio achieved less efficient predictive value with 76 and
71% sensitivity and 73 and 86% specificity respectively. CONCLUSION: A single
basal LH measurement may be adequate to confirm but not to refute the presence of
CPP in most of the girls who are evaluated for early pubertal signs.
PMID- 22084158
TI - Three-dimensional distribution of the vitelliform lesion, photoreceptors, and
retinal pigment epithelium in the macula of patients with best vitelliform
macular dystrophy.
AB - OBJECTIVE: To describe the anatomical phenotypes of Best vitelliform macular
dystrophy (BVMD) with spectral-domain optical coherence tomography (SD-OCT) in a
large series of patients with confirmed mutations in the BEST1 gene. METHODS: In
our retrospective observational case series, we assessed 15 patients (30 eyes)
with a clinical diagnosis of vitelliform macular dystrophy who were found to have
mutations in the BEST1 gene. Color fundus photographs and SD-OCT images were
evaluated and compared with those of 15 age-matched controls (30 eyes). Using a
validated 3-dimensional SD-OCT segmentation algorithm, we calculated the
equivalent thickness of photoreceptors and the equivalent thickness of the
retinal pigment epithelium for each patient. The photoreceptor equivalent
thickness and the retinal pigment epithelium (RPE) equivalent thickness were
compared in all patients, in a region of the macula outside the central lesion
for patients with BVMD and outside the fovea in control patients. Paired t tests
were used for statistical analysis. RESULTS: The SD-OCT findings revealed that
the vitelliform lesion consists of material above the RPE and below the outer
segment tips. Additionally, drusen-like deposition of sub-RPE material was
notable, and several patients exhibited a sub-RPE fibrotic nodule. Patients with
BVMD had a mean photoreceptor equivalent thickness of 28.3 MUm, and control
patients had a mean photoreceptor equivalent thickness of 21.8 MUm, a mean
difference of 6.5 MUm (P < .01), whereas the mean RPE equivalent thickness was
not statistically different between patients with BVMD and control patients (P =
.53). CONCLUSIONS: The SD-OCT findings suggest that vitelliform material is
located in the subretinal space and that BVMD is associated with diffuse
photoreceptor outer segment abnormalities overlying a structurally normal RPE.
CLINICAL RELEVANCE: These findings provide new insight into the pathophysiology
of BVMD and thus have implications for the development of therapeutic
interventions.
PMID- 22084157
TI - Glaucoma-related adverse events in the Infant Aphakia Treatment Study: 1-year
results.
AB - OBJECTIVES: To report the incidence of glaucoma and glaucoma suspects in the
IATS, and to evaluate risk factors for the development of a glaucoma-related
adverse event in patients in the IATS in the first year of follow-up. METHODS: A
total of 114 infants between 1 and 6 months of age with a unilateral congenital
cataract were assigned to undergo cataract surgery either with or without an
intraocular lens implant. Standardized definitions of glaucoma and glaucoma
suspect were created and used in the IATS. RESULTS: Of these 114 patients, 10
(9%) developed glaucoma and 4 (4%) had glaucoma suspect, for a total of 14
patients (12%) with a glaucoma-related adverse event in the treated eye through
the first year of follow-up. Of the 57 patients who underwent lensectomy and
anterior vitrectomy, 5 (9%) developed a glaucoma-related adverse event; of the 57
patients who underwent an intraocular lens implant, 9 (16%) developed a glaucoma
related adverse event. The odds of developing a glaucoma-related adverse event
were 3.1 times higher for a child with persistent fetal vasculature and 1.6 times
higher for each month of age younger at cataract surgery. CONCLUSIONS: Modern
surgical techniques do not eliminate the early development of glaucoma following
congenital cataract surgery with or without an intraocular lens implant. Younger
patients with or without persistent fetal vasculature seem more likely to develop
a glaucoma-related adverse event in the first year of follow-up. Vigilance for
the early development of glaucoma is needed following congenital cataract
surgery, especially when surgery is performed during early infancy or for a child
with persistent fetal vasculature. Five-year follow-up data for the IATS will
likely reveal more glaucoma-related adverse events. TRIAL REGISTRATION:
clinicaltrials.gov Identifier: NCT00212134.
PMID- 22084160
TI - Prevention and management of graft detachment in descemet membrane endothelial
keratoplasty.
AB - OBJECTIVE: To describe the prevention and management of various types of graft
detachment after Descemet membrane endothelial keratoplasty. METHODS: In 150
consecutive eyes that underwent Descemet membrane endothelial keratoplasty, the
incidence and type of graft detachment were studied at 1, 3, 6, 9, 12, and 24
months after surgery in a nonrandomized, prospective clinical study at a tertiary
referral center. Four groups of detachments were identified: a partial detachment
of one-third or less of the graft surface area (n = 16; group 1); a partial
detachment of more than one-third of the graft surface area (n = 8; group 2); a
graft positioned upside down (n = 4; group 3); and a free-floating Descemet roll
in the host anterior chamber (n = 8; group 4). RESULTS: Partial or complete graft
detachment was found in 36 cases (24%), of which 18 (12%) were clinically
significant. All 24 eyes with a partial detachment (groups 1 and 2) showed
spontaneous corneal clearance, and all but 6 of these eyes (75%) reached visual
acuity of 20/40 or better (>=0.5). A reversed clearance pattern and interface
spikes were observed in eyes with the graft positioned upside down (group 3).
Eyes with a free-floating graft (group 4) showed persistent corneal edema.
Detachments were associated with inward folds (12 eyes [33%]), insufficient air
bubble support (7 eyes [19%]), upside-down graft positioning (4 eyes [11%]), use
of plastic materials (2 eyes [6%]), irido-graft synechiae (1 eye [3%]), poor
endothelial morphology (1 eye [3%]), and stromal irregularity under the main
incision (1 eye [3%]); 14 (58%) of the partial detachments were localized
inferiorly. CONCLUSIONS: Awaiting spontaneous clearance may be advocated in eyes
with a partial detachment. Minor adjustments in surgical protocol as well as
careful patient selection may further reduce the incidence of graft detachment
after Descemet membrane endothelial keratoplasty to 4% or less. TRIAL
REGISTRATION: clinicaltrials.gov Identifier: NCT00521898.
PMID- 22084159
TI - Retinal microvascular signs and disability in the Cardiovascular Health Study.
AB - OBJECTIVE: To study the associations of retinal microvascular changes, which are
associated with systemic conditions and cognitive decline, with disability in
performing activities of daily living (ADL). DESIGN: Prospective cohort study of
1487 community-dwelling participants in the Cardiovascular Health Study (mean
age, 78 years) who were free of ADL disability and had available data on retinal
signs and carotid intima-media thickness at the 1998-1999 visit. Main outcome
measures were incident ADL disability, defined as self-reported difficulty in
performing any ADL, by the presence of retinal signs and advanced carotid
atherosclerosis, defined by carotid intima-media thickness in the 80th percentile
or more or 25% or more stenosis, and potential mediation by cerebral
microvascular disease on brain imaging or by executive dysfunction, slow gait,
and depressive mood, which are symptoms of frontal subcortical dysfunction.
RESULTS: During the median follow-up of 3.1 years (maximum, 7.8 years),
participants with 2 or more retinal signs had a higher rate of disability than
those with fewer than 2 retinal signs (10.1% vs 7.1%; adjusted hazard ratio,
1.45; 95% confidence interval, 1.24-1.69; P < .001). There was no evidence of
interaction by advanced carotid atherosclerosis (P > .10). The association seemed
to be partially mediated by executive dysfunction, slow gait, and depressive
symptoms but not by cerebral microvascular disease on brain imaging. CONCLUSIONS:
These results provide further support for the pathophysiologic and prognostic
significance of microvascular disease in age-related disability. However, it
remains to be determined how to best use retinal photography in clinical risk
prediction.
PMID- 22084162
TI - Genomic c-Myc quadruplex DNA selectively kills leukemia.
AB - c-Myc, a key regulator of cell cycle and proliferation, is commonly overexpressed
in leukemia and associated with poor prognosis. Conventional antisense
oligonucleotides targeting c-myc may attenuate leukemic cell growth, however, are
poorly taken into cells, rapidly degraded, and have unwanted effects on normal
cells. The c-myc promoter contains a guanine-rich sequence (PU27) capable of
forming quadruplex (four-stranded) DNA, which may negatively regulate c-myc
transcription. However, its biological significance is unknown. We show that
treatment of leukemia with an oligonucleotide encoding the genomic PU27 sequence
induces cell-cycle arrest and death by oncotic necrosis due to PU27-mediated
suppression of c-myc mRNA/protein expression. Furthermore, PU27 is abundantly
taken into cells, localized in the cytoplasm/nucleus, inherently stable in serum
and intracellularly, and has no effect on normal cells. Suppression of c-myc
expression by PU27 caused significant DNA damage, cell and mitochondrial
swelling, and membrane permeability characteristic of oncotic necrosis. Induction
of oncosis caused mitochondrial dysfunction, depletion of cellular ATP levels,
and enhanced oxidative stress. This novel antileukemic strategy addresses current
concerns of oligonucleotide therapeutics including problems with uptake,
stability, and unintentional effects on normal cells and is the first report of
selective cancer cell killing by a genomic DNA sequence.
PMID- 22084163
TI - Effective targeting of Hedgehog signaling in a medulloblastoma model with PF
5274857, a potent and selective Smoothened antagonist that penetrates the blood
brain barrier.
AB - Inhibition of the Smoothened (Smo) represents a promising therapeutic strategy
for treating malignant tumors that are dependent on the Hedgehog (Hh) signaling
pathway. PF-5274857 is a novel Smo antagonist that specifically binds to Smo with
a K(i) of 4.6 +/- 1.1 nmol/L and completely blocks the transcriptional activity
of the downstream gene Gli1 with an IC(50) of 2.7 +/- 1.4 nmol/L in cells. This
Smo antagonist showed robust antitumor activity in a mouse model of
medulloblastoma with an in vivo IC(50) of 8.9 +/- 2.6 nmol/L. The downregulation
of Gli1 is closely linked to the tumor growth inhibition in patched(+/-)
medulloblastoma mice. Mathematical analysis of the relationship between the
drug's pharmacokinetics and Gli1 pharmacodynamics in patched(+/-) medulloblastoma
tumor models yielded similar tumor and skin Gli1 IC(50) values, suggesting that
skin can be used as a surrogate tissue for the measurement of tumor Gli1 levels.
In addition, PF-5274857 was found to effectively penetrate the blood-brain
barrier and inhibit Smo activity in the brain of primary medulloblastoma mice,
resulting in improved animal survival rates. The brain permeability of PF-5274857
was also confirmed and quantified in nontumor-bearing preclinical species with an
intact blood-brain barrier. PF-5274857 was orally available and metabolically
stable in vivo. These findings suggest that PF-5274857 is a potentially
attractive clinical candidate for the treatment of tumor types including brain
tumors and brain metastasis driven by an activated Hh pathway.
PMID- 22084164
TI - Vascular disruption in combination with mTOR inhibition in renal cell carcinoma.
AB - Renal cell carcinoma (RCC) is an angiogenesis-dependent and hypoxia-driven
malignancy. As a result, there has been an increased interest in the use of
antiangiogenic agents for the management of RCC in patients. However, the
activity of tumor-vascular disrupting agents (tumor-VDA) has not been extensively
examined against RCC. In this study, we investigated the therapeutic efficacy of
the tumor-VDA ASA404 (DMXAA, 5,6-dimethylxanthenone-4-acetic acid, or vadimezan)
in combination with the mTOR inhibitor everolimus (RAD001) against RCC. In vitro
studies were carried out using human umbilical vein endothelial cells and in vivo
studies using orthotopic RENCA tumors and immunohistochemical patient tumor
derived RCC xenografts. MRI was used to characterize the vascular response of
orthotopic RENCA xenografts to combination treatment. Therapeutic efficacy was
determined by tumor growth measurements and histopathologic evaluation.
ASA404/everolimus combination resulted in enhanced inhibition of endothelial cell
sprouting in the 3-dimensional spheroid assay. MRI of orthotopic RENCA xenografts
revealed an early increase in permeability 4 hours posttreatment with ASA404, but
not with everolimus. Twenty-four hours after treatment, a significant reduction
in blood volume was observed with combination treatment. Correlative CD31/NG2
staining of tumor sections confirmed marked vascular damage following combination
therapy. Histologic sections showed extensive necrosis and a reduction in the
viable rim following combination treatment compared with VDA treatment alone.
These results show the potential of combining tumor-VDAs with mTOR inhibitors in
RCC. Further investigation into this novel combination strategy is warranted.
PMID- 22084165
TI - Targeting interleukin-4 receptor alpha with hybrid peptide for effective cancer
therapy.
AB - Interleukin-4 receptor alpha (IL-4Ralpha) chain is highly expressed on the
surface of various human solid tumors. We designed a novel hybrid peptide termed
IL-4Ralpha-lytic peptide that targets the IL-4Ralpha chain. The IL-4Ralpha-lytic
peptide contains a target moiety to bind to IL-4Ralpha and a cellular toxic lytic
peptide that selectively kills cancer cells. The anticancer activity of the IL
4Ralpha-lytic peptide was evaluated in vitro and in vivo. It was found that the
IL-4Ralpha-lytic peptide has cytotoxic activity in cancer cell lines expressing
IL-4Ralpha, determined by quantitative real-time PCR. The IC(50) ratios of the
lytic peptide to the IL-4Ralpha-lytic peptide correlated well with the expression
levels of IL-4Ralpha on cancer cells (r = 0.80). In addition, IL-4Ralpha-lytic
peptide administered either intratumoraly or intravenously significantly
inhibited tumor growth in xenograft model of human pancreatic cancer (BXPC-3) in
mice. These results indicate that the IL-4Ralpha-lytic peptide generated in this
study has a potent and selective anticancer potential against IL-4Ralpha-positive
solid cancers.
PMID- 22084166
TI - Induction of vascular endothelial growth factor secretion by childhood acute
lymphoblastic leukemia cells via the FLT-3 signaling pathway.
AB - Human leukemia cells secrete VEGF, which can act in a paracrine manner within the
bone marrow microenvironment to promote leukemia cell survival and proliferation.
The FLT-3 receptor tyrosine kinase plays an essential role in regulating normal
hematopoiesis, but its constitutive activation via mutation in acute leukemias is
generally associated with poor outcome. The aim of this study was to investigate
interactions between the FLT-3 and VEGF signaling pathways in acute leukemia
using cell lines and ex vivo cultures of pediatric acute lymphoblastic leukemia
cells following expansion of direct patient explants in immunodeficient mice.
Different xenograft lines exhibited variable cell surface FLT-3 expression, as
well as basal and FLT-3 ligand-induced VEGF secretion, whereas the MV4;11 cell
line, which expresses constitutively active FLT-3, secreted high levels of VEGF.
The FLT-3 inhibitor, SU11657, significantly reduced VEGF secretion in three of
six xenograft lines and MV4;11 cells, in conjunction with inhibition of FLT-3
tyrosine phosphorylation. Moreover, exposure of xenograft cells to the FLT-3
blocking antibody, D43, also reduced VEGF secretion to basal levels and decreased
FLT-3 tyrosine phosphorylation. In terms of downstream signaling, SU11657 and D43
both caused dephosphorylation of extracellular signal-regulated kinase 1/2, with
no changes in AKT or STAT5 phosphorylation. Finally, partial knockdown of FLT-3
expression by short interfering RNA also resulted in inhibition of VEGF
secretion. These results indicate that FLT-3 signaling plays a central role in
the regulation of VEGF secretion and that inhibition of the FLT-3/VEGF pathway
may disrupt paracrine signaling between leukemia cells and the bone marrow
microenvironment.
PMID- 22084167
TI - Apigenin induces apoptosis in human leukemia cells and exhibits anti-leukemic
activity in vivo.
AB - In this study, we investigated the functional role of Akt and c-jun-NH(2)-kinase
(JNK) signaling cascades in apigenin-induced apoptosis in U937 human leukemia
cells and anti-leukemic activity of apigenin in vivo. Apigenin induced apoptosis
by inactivation of Akt with a concomitant activation of JNK, Mcl-1 and Bcl-2
downregulation, cytochrome c release from mitochondria, and activation of
caspases. Constitutively active myristolated Akt prevented apigenin-induced JNK,
caspase activation, and apoptosis. Conversely, LY294002 and a dominant-negative
construct of Akt potentiated apigenin-induced apoptosis in leukemia cells.
Interruption of the JNK pathway showed marked reduction in apigenin-induced
caspase activation and apoptosis in leukemia cells. Furthermore, in vivo
administration of apigenin resulted in attenuation of tumor growth in U937
xenografts accompanied by inactivation of Akt and activation of JNK. Attenuation
of tumor growth in U937 xenografts by apigenin raises the possibility that
apigenin may have clinical implications and can be further tested for
incorporating in leukemia treatment regimens.
PMID- 22084168
TI - Gene expression profile in response to doxorubicin-rapamycin combined treatment
of HER-2-overexpressing human mammary epithelial cell lines.
AB - HER-2-positive breast cancers frequently sustain elevated AKT/mTOR signaling,
which has been associated with resistance to doxorubicin treatment. Here, we
investigated whether rapamycin, an mTOR inhibitor, increased the sensitivity to
doxorubicin therapy in two HER-2-overexpressing cell lines: C5.2, which was
derived from the parental HB4a by transfection with HER-2 and SKBR3, which
exhibits HER-2 amplification. The epithelial mammary cell line HB4a was also
analyzed. The combined treatment using 20 nmol/L of rapamycin and 30 nmol/L of
doxorubicin arrested HB4a and C5.2 cells in S to G(2)-M, whereas SKBR3 cells
showed an increase in the G(0)-G(1) phase. Rapamycin increased the sensitivity to
doxorubicin in HER-2-overexpressing cells by approximately 2-fold, suggesting
that the combination displayed a more effective antiproliferative action. Gene
expression profiling showed that these results might reflect alterations in genes
involved in canonical pathways related to purine metabolism, oxidative
phosphorylation, protein ubiquitination, and mitochondrial dysfunction. A set of
122 genes modulated by the combined treatment and specifically related to HER-2
overexpression was determined by finding genes commonly regulated in both C5.2
and SKBR3 that were not affected in HB4a cells. Network analysis of this
particular set showed a smaller subgroup of genes in which coexpression pattern
in HB4a cells was disrupted in C5.2 and SKBR3. Altogether, our data showed a
subset of genes that might be more robust than individual markers in predicting
the response of HER-2-overexpressing breast cancers to doxorubicin and rapamycin
combination.
PMID- 22084171
TI - Targeting allosteric regulatory modules in oncoproteins: "drugging the
undruggable".
PMID- 22084169
TI - Targeted mutations in the ATR pathway define agent-specific requirements for
cancer cell growth and survival.
AB - Many anticancer agents induce DNA strand breaks or cause the accumulation of DNA
replication intermediates. The protein encoded by ataxia-telangiectasia mutated
and Rad 3-related (ATR) generates signals in response to these altered DNA
structures and activates cellular survival responses. Accordingly, ATR has drawn
increased attention as a potential target for novel therapeutic strategies
designed to potentiate the effects of existing drugs. In this study, we use a
unique panel of genetically modified human cancer cells to unambiguously test the
roles of upstream and downstream components of the ATR pathway in the responses
to common therapeutic agents. Upstream, the S-phase-specific cyclin-dependent
kinase (Cdk) 2 was required for robust activation of ATR in response to diverse
chemotherapeutic agents. While Cdk2-mediated ATR activation promoted cell
survival after treatment with many drugs, signaling from ATR directly to the
checkpoint kinase Chk1 was required for survival responses to only a subset of
the drugs tested. These results show that specifically inhibiting the
Cdk2/ATR/Chk1 pathway via distinct regulators can differentially sensitize cancer
cells to a wide range of therapeutic agents.
PMID- 22084174
TI - Role of imaging in the diagnosis, staging, and treatment of thymoma.
AB - Thymoma is a rare mediastinal neoplasm but is the most common primary neoplasm of
the anterior mediastinum. There have been only a few published reports assessing
this disease. Furthermore, many of these reports are from a single institution
and span several decades, which may lead to potentially misleading conclusions
related to diagnosis, staging, and treatment. Computed tomography is the imaging
modality of choice for evaluating thymoma and can help distinguish thymoma from
other anterior mediastinal abnormalities. Tumor stage and extent of resection are
the most important prognostic factors. Tumors that are encapsulated and are
amenable to complete resection have a good prognosis, whereas invasive and
unresectable tumors have a poor prognosis regardless of their histologic
characteristics. Radiologists must be aware of the full spectrum of imaging
findings of thymoma, the standard guidelines for diagnostic evaluation, and how
imaging findings affect therapeutic decisions.
PMID- 22084170
TI - MK1775, a selective Wee1 inhibitor, shows single-agent antitumor activity against
sarcoma cells.
AB - Wee1 is a critical component of the G(2)-M cell-cycle checkpoint control and
mediates cell-cycle arrest by regulating the phosphorylation of CDC2. Inhibition
of Wee1 by a selective small molecule inhibitor MK1775 can abrogate G(2)-M
checkpoint, resulting in premature mitotic entry and cell death. MK1775 has
recently been tested in preclinical and clinical studies of human carcinoma to
enhance the cytotoxic effect of DNA-damaging agents. However, its role in
mesenchymal tumors, especially as a single agent, has not been explored. Here, we
studied the cytotoxic effect of MK1775 in various sarcoma cell lines and patient
derived tumor explants ex vivo. Our data show that MK1775 treatment at clinically
relevant concentrations leads to unscheduled entry into mitosis and initiation of
apoptotic cell death in all sarcomas tested. In MK1775-treated cells, CDC2
activity was enhanced, as determined by decreased inhibitory phosphorylation of
tyrosine-15 residue and increased expression of phosphorylated histone H3, a
marker of mitotic entry. The cytotoxic effect of Wee1 inhibition on sarcoma cells
seems to be independent of p53 status as all sarcoma cell lines with different
p53 mutation were highly sensitive to MK1775 treatment. Finally, in patient
derived sarcoma samples, we showed that MK1775 as a single agent causes
significant apoptotic cell death, suggesting that Wee1 inhibition may represent a
novel approach in the treatment of sarcomas.
PMID- 22084176
TI - Sclerosing bone dysplasias: review and differentiation from other causes of
osteosclerosis.
AB - Sclerosing bone dysplasias are skeletal abnormalities of varying severity with a
wide range of radiologic, clinical, and genetic features. Hereditary sclerosing
bone dysplasias result from some disturbance in the pathways involved in
osteoblast or osteoclast regulation, leading to abnormal accumulation of bone.
Several genes have been discovered that, when disrupted, result in specific types
of hereditary sclerosing bone dysplasia (osteopetrosis, pyknodysostosis,
osteopoikilosis, osteopathia striata, progressive diaphyseal dysplasia,
hereditary multiple diaphyseal sclerosis, hyperostosis corticalis generalisata),
many of which exhibit similar pathologic mechanisms involving endochondral or
intramembranous ossification and some of which share similar underlying genetic
defects. Nonhereditary dysplasias include intramedullary osteosclerosis,
melorheostosis, and overlap syndromes, whereas acquired syndromes with increased
bone density, which may simulate sclerosing bone dysplasias, include osteoblastic
metastases, Paget disease of bone, Erdheim-Chester disease, myelofibrosis, and
sickle cell disease. Knowledge of the radiologic appearances, distribution, and
associated clinical findings of hereditary and nonhereditary sclerosing bone
dysplasias and acquired syndromes with increased bone density is crucial for
accurate diagnosis.
PMID- 22084177
TI - AIRP best cases in radiologic-pathologic correlation: synovial chondrosarcoma.
PMID- 22084178
TI - Masses and malformations of the third ventricle: normal anatomic relationships
and differential diagnoses.
AB - The third ventricle lies in the center of the brain. It is surrounded by critical
nuclear structures (the hypothalamus and thalami) and important glandular
structures (the pituitary and pineal glands). Although a wide array of pathologic
processes may involve the third ventricle, most are extrinsic masses. By
understanding the anatomic boundaries of the third ventricle and its relationship
to adjacent structures, it is possible to create short lists of differential
diagnoses. Third ventricle masses can be classified as arising in or immediately
adjacent to one of five locations: anterior, posterior, inferior, foramen of
Monro, and intraventricular. Anterior masses involve the optic and infundibular
recesses, posterior masses affect or arise in the posterior commissure and pineal
gland, and inferior masses involve or affect the ventricle floor. Masses may also
arise at or adjacent to the foramen of Monro or entirely within the third
ventricle. Of the intraventricular masses, chordoid glioma-a rare low-grade
primary neoplasm-is unique to the third ventricle. Congenital malformations of
the third ventricle are uncommon and are most often noted during childhood. Most
commonly, these anomalies represent malformations of the neurohypophysis, which
may manifest as hormonal abnormalities, or stenosis of the aqueduct of Sylvius,
which manifests as dilatation of the third and lateral ventricles
(hydrocephalus).
PMID- 22084179
TI - Primary lesions of the root of the tongue.
AB - In the assessment of the head and neck, differential diagnoses can be formulated
by subdividing the anatomy into spaces along identifiable and logical boundaries.
In the oral cavity, the root of the tongue is notably unlike adjacent regions due
to structural and tissue-specific differences. The majority of lesions found in
the root of the tongue are congenital and benign, representing ectopic tissues of
thyroidal, epidermal, dermal, foregut, venous, and lymphatic origin. A greater
number of acquired neoplasms and infections are seen in the adjacent sublingual,
submandibular, and oropharyngeal regions of the base of the tongue, presumably
due to their greater exposure to mucosal surfaces and lymphatic tissues. Many
lesions of the root of the tongue have clinical and imaging characteristics that
can help narrow the differential diagnosis, and surgical management may be
required. Familiarity with these lesions and how they differ from other lesions
of the oral cavity and oropharynx can significantly aid in their diagnosis and
treatment.
PMID- 22084180
TI - Soft tissue tumors of the head and neck: imaging-based review of the WHO
classification.
AB - The World Health Organization (WHO) system for defining and classifying soft
tissue tumors is usually applied to lesions that occur in the trunk and
extremities, but it also provides an excellent framework for characterizing
nonepithelial extraskeletal tumors of the head and neck. Although nonepithelial
extraskeletal tumors are in the minority among head and neck lesions, they are by
no means rare. The WHO classification system recognizes nine major types based on
histologic differentiation: adipocytic, fibroblastic or myofibroblastic,
fibrohistiocytic, smooth muscle, skeletal muscle, vascular, pericytic, and
chondro-osseous tumors, as well as soft tissue tumors of uncertain
differentiation. Tumors of each histologic type may be further subclassified on
the basis of their biologic behavior as benign, intermediate (ie, having
malignant potential), or malignant. Imaging plays an important role in the
noninvasive diagnosis and characterization of nonepithelial soft tissue tumors of
the head and neck, providing clues about tumor grade, composition, extent, and
involvement of adjacent structures. Although the imaging characteristics of many
such tumors are nonspecific, consideration of the clinical history in concert
with the imaging findings may help limit the differential diagnosis or even allow
reliable diagnosis of some of these tumors.
PMID- 22084181
TI - Distinguishing breast skin lesions from superficial breast parenchymal lesions:
diagnostic criteria, imaging characteristics, and pitfalls.
AB - Superficial lesions are commonly encountered in the breast and may be located in
the dermis, hypodermis (subcutaneous fat), or parenchyma. The differential
diagnosis varies for each anatomic layer. Dermal lesions that are seen by breast
imagers are usually benign skin cysts. Hypodermal lesions, although usually
benign, may include lesions that arise from anterior terminal duct lobular units
and include papilloma, adenosis, fibroadenoma, and breast cancer. To avoid
misclassifying a small superficial breast cancer as a benign dermal lesion, it is
necessary to understand superficial breast and skin anatomy and the mammographic,
ultrasonographic (U.S.), and magnetic resonance (MR) imaging signs that indicate
that a lesion is dermal. Mammography is the optimal modality for localizing
calcifications to the dermis or hypodermis. However, U.S. typically has higher
resolution for localizing masses than mammography and MR imaging. At US, a lesion
may be categorized as dermal (a) if it is contained entirely within the dermis,
(b) if a tract that extends from the lesion to the skin is seen, or (c) if a claw
of tissue surrounding the margin of the lesion is present. As with other breast
lesions, suspicious imaging features should be sought in addition to determining
the anatomic origin. If histologic analysis is necessary to characterize lesions
with an unknown cause or origin, precautions must be taken to decrease patient
morbidity.
PMID- 22084182
TI - Paget disease of the breast: mammographic, US, and MR imaging findings with
pathologic correlation.
AB - Paget disease is a rare malignancy of the breast characterized by infiltration of
the nipple epidermis by adenocarcinoma cells. The clinical features of Paget
disease are characteristic and should increase the likelihood of the diagnosis
being made. An important point is that more than 90% of cases of Paget disease
are associated with an additional underlying breast malignancy. Paget disease is
frequently associated with ductal carcinoma in situ (DCIS) in the underlying
lactiferous ducts of the nipple-areolar complex; it may even be associated with
DCIS or invasive breast cancer elsewhere in the breast, at least 2 cm from the
nipple-areolar complex. Nevertheless, mammographic findings may be negative in up
to 50% of cases. Magnetic resonance (MR) imaging can be useful in patients with
Paget disease for evaluation of the nipple-areolar complex and identification of
an additional underlying malignancy in the breast. The appropriate surgical
treatment must be carefully selected and individualized on the basis of
radiologic findings, especially those obtained with breast MR imaging.
PMID- 22084183
TI - saline-infused sonohysterography: tips for achieving greater success.
AB - Saline-infused sonohysterography (SIS) may help improve visualization of the
endometrium and endometrial cavity and assess tubal patency. Although most SIS
procedures are straightforward, a variety of pitfalls may lead to an unsuccessful
procedure or incomplete evaluation. SIS should be scheduled between days 4 and 10
of the patient's menstrual cycle, when the endometrium is at its thinnest, and
physiologic changes during the secretory phase are not present. Performing
preprocedure imaging serves many purposes, such as depicting hydrosalpinx, causes
of uterine and adnexal tenderness, and pelvic inflammatory disease, as well as
assessing the size and position of the uterus and the orientation of the cervix.
It is important not to presume that fibroids are the cause of bleeding when the
endometrium is obscured at preprocedure imaging. Obstacles to a successful
procedure include issues related to patient anxiety and discomfort, which may be
prevented or minimized at almost every step of the procedure. Inability to obtain
access to the cervix is the most common cause of unsuccessful SIS; proper patient
positioning in a semi-upright lithotomy position is important. Injection of air
during any US-guided procedure may lead to shadowing that obscures the region of
interest. Adequate distention of the endometrial cavity is crucial for successful
SIS, and optimal positioning of the distended balloon may improve the degree of
distention. However, poor distention may be indicative of an underlying
pathologic condition. Knowledge of these pitfalls and the strategies to overcome
them may prevent premature or unnecessary termination of an otherwise successful
study.
PMID- 22084184
TI - Pelvic reconstruction with omental and VRAM flaps: anatomy, surgical technique,
normal postoperative findings, and complications.
AB - Soft-tissue reconstruction of the pelvis with vascularized tissue flaps has
become an increasingly common procedure. The types of flaps and the indications
for their use vary, but all flap procedures are performed with the goal of
transferring tissue from a donor site to a recipient site to restore form and
function, obliterate dead space, and create an environment favorable to wound
healing. Oncologic surgeries, including total pelvic exenteration and
abdominoperineal resection, are the leading indications for pelvic
reconstruction. The pedicle flaps most commonly used for pelvic reconstruction
following these oncologic interventions are the vertical rectus abdominis
myocutaneous flap (VRAM) and the omental pedicle flap. Familiarity with the
surgical techniques used for pelvic reconstruction with these flaps is crucial
for the accurate interpretation of cross-sectional imaging studies, allowing the
radiologist to distinguish between normal postoperative findings and
complications or recurrent disease.
PMID- 22084185
TI - Imaging manifestations of abdominal fat necrosis and its mimics.
AB - Intraabdominal fat is a metabolically active tissue that may undergo necrosis
through a number of mechanisms. Fat necrosis is a common finding at abdominal
cross-sectional imaging, and it may cause abdominal pain, mimic findings of acute
abdomen, or be asymptomatic and accompany other pathophysiologic processes.
Common processes that are present in fat necrosis include torsion of an epiploic
appendage, infarction of the greater omentum, and fat necrosis related to trauma
or pancreatitis. In addition, other pathologic processes that involve fat may be
visualized at computed tomography, including focal lipohypertrophy, pathologic
fat paucity (lipodystrophies), and malignancies such as liposarcoma, which may
mimic benign causes of fat stranding. Because fat necrosis and malignant
processes such as liposarcoma and peritoneal carcinomatosis may mimic one
another, knowledge of a patient's clinical history and prior imaging studies is
essential for accurate diagnosis.
PMID- 22084186
TI - AIRP best cases in radiologic-pathologic correlation: spindle cell carcinoma of
the esophagus.
PMID- 22084188
TI - Interpretation of SPECT/CT myocardial perfusion images: common artifacts and
quality control techniques.
AB - Nuclear medicine has long played an important role in the noninvasive evaluation
of known or suspected coronary artery disease. The development of single photon
emission computed tomography (SPECT) led to improved assessments of myocardial
perfusion, and the use of electrocardiographic gating made accurate measurements
of ventricular wall motion, ejection fractions, and ventricular volumes possible.
With the use of hybrid SPECT/computed tomography (CT) scanning systems, the
cardiac functional parameters can be measured in a single imaging session. These
recent advances in imaging technology have not only enhanced image quality but
also improved diagnostic sensitivity and specificity in the detection of
clinically relevant coronary artery disease. The CT-based attenuation maps
obtained with hybrid SPECT/CT systems also have been useful for improving
diagnostic accuracy. However, when attenuation correction and other advanced
image data postprocessing techniques are used, unexpected artifacts may arise.
The artifacts most commonly encountered are related to the characteristics either
of the technology or of the patient. Thus, close attention to the details of
acquisition protocols, processing techniques, and image interpretation is needed
to ensure high diagnostic quality in myocardial perfusion studies.
PMID- 22084189
TI - Novel oncologic drugs: what they do and how they affect images.
AB - Targeted therapies are designed to interfere with specific aberrant biologic
pathways involved in tumor development. The main classes of novel oncologic drugs
include antiangiogenic drugs, antivascular agents, drugs interfering with EGFR
HER2 or KIT receptors, inhibitors of the PI3K/Akt/mTOR pathway, and hormonal
therapies. Cancer cells usurp normal signal transduction pathways used by growth
factors to stimulate proliferation and sustain viability. The interaction of
growth factors with their receptors activates different intracellular pathways
affecting key tumor biologic processes such as neoangiogenesis, tumor metabolism,
and tumor proliferation. The response of tumors to anticancer therapy can be
evaluated with anatomic response assessment, qualitative response assessment, and
response assessment with functional and molecular imaging. Angiogenesis can be
measured by means of perfusion imaging with computed tomography and magnetic
resonance (MR) imaging. Diffusion-weighted MR imaging allows imaging evaluation
of tumor cellularity. The main imaging techniques for studying tumor metabolism
in vivo are positron emission tomography and MR spectroscopy. Familiarity with
imaging findings secondary to tumor response to targeted therapies may help the
radiologist better assist the clinician in accurate evaluation of tumor response
to these anticancer treatments. Functional and molecular imaging techniques may
provide valuable data and augment conventional assessment of tumor response to
targeted therapies. Supplemental material available at
http://radiographics.rsna.org/lookup/suppl/doi:10.1148/rg.317115108/-/DC1.
PMID- 22084190
TI - Radiologic assessment of response to therapy: comparison of RECIST Versions 1.1
and 1.0.
AB - Improvements in radiologic imaging technology and therapeutic options available
for management of tumors have necessitated the revision of guidelines for the
imaging-based assessment of tumor response to therapy. The purpose of this
article is to familiarize radiologists with the modifications to the Response
Evaluation Criteria in Solid Tumors (RECIST) that have been incorporated in the
latest version of the guidelines, RECIST 1.1. The most important differences
between this version and the previous one, RECIST 1.0, include reductions in the
maximum number of lesions per patient and per organ that may be targeted for
measurement, augmentation of the criteria defining progressive disease,
additional guidelines for reporting findings of lesions that are too small to
measure and for measuring lesions that appear to have fragmented or coalesced at
follow-up imaging, new criteria for characterizing lymphadenopathy, new criteria
for selecting bone lesions and cystic lesions as targets for measurement, and the
inclusion of findings at positron emission tomography among the indicators of
disease response.
PMID- 22084191
TI - Vascular abnormalities of the breast: arterial and venous disorders, vascular
masses, and mimic lesions with radiologic-pathologic correlation.
AB - Vascular abnormalities of the breast include a wide spectrum of arterial and
venous disorders, as well as benign and malignant vascular masses. Multimodality
imaging is often necessary to accurately diagnose several of these uncommon
conditions, and pathologic correlation can be important for accurate diagnosis of
vascular masses. After a review of the vascular anatomy of the breast, the
authors discuss the imaging appearance of several native arterial disorders
(atherosclerosis and aneurysm) and venous disorders (superior vena cava syndrome,
congestive heart failure, thrombophlebitis, and varix). Benign vascular tumors
(hemangioma, lymphangioma, and angiolipoma) and malignant vascular tumors
(angiosarcoma and hemangiopericytoma) that may be encountered in the breast are
also reviewed. In addition, pitfalls in the correct interpretation of vascular
breast lesions (devascularization of masses and pathologic mimics) are reviewed.
Understanding and assessing normal and pathologic breast vasculature will permit
more accurate diagnosis and treatment planning and allow breast imagers to have a
more active role in breast care.
PMID- 22084192
TI - Enlargement of the inferior intercavernous sinus: a new sign for the diagnosis of
craniospinal hypotension.
PMID- 22084193
TI - Dabigatran.
PMID- 22084194
TI - Bone marrow therapy for myocardial infarction.
PMID- 22084196
TI - BGMUT: NCBI dbRBC database of allelic variations of genes encoding antigens of
blood group systems.
AB - Analogous to human leukocyte antigens, blood group antigens are surface markers
on the erythrocyte cell membrane whose structures differ among individuals and
which can be serologically identified. The Blood Group Antigen Gene Mutation
Database (BGMUT) is an online repository of allelic variations in genes that
determine the antigens of various human blood group systems. The database is
manually curated with allelic information collated from scientific literature and
from direct submissions from research laboratories. Currently, the database
documents sequence variations of a total of 1251 alleles of all 40 gene loci that
together are known to affect antigens of 30 human blood group systems. When
available, information on the geographic or ethnic prevalence of an allele is
also provided. The BGMUT website also has general information on the human blood
group systems and the genes responsible for them. BGMUT is a part of the dbRBC
resource of the National Center for Biotechnology Information, USA, and is
available online at
http://www.ncbi.nlm.nih.gov/projects/gv/rbc/xslcgi.fcgi?cmd=bgmut. The database
should be of use to members of the transfusion medicine community, those
interested in studies of genetic variation and related topics such as human
migrations, and students as well as members of the general public.
PMID- 22084195
TI - Effect of intracoronary delivery of autologous bone marrow mononuclear cells 2 to
3 weeks following acute myocardial infarction on left ventricular function: the
LateTIME randomized trial.
AB - CONTEXT: Clinical trial results suggest that intracoronary delivery of autologous
bone marrow mononuclear cells (BMCs) may improve left ventricular (LV) function
when administered within the first week following myocardial infarction (MI).
However, because a substantial number of patients may not present for early cell
delivery, the efficacy of autologous BMC delivery 2 to 3 weeks post-MI warrants
investigation. OBJECTIVE: To determine if intracoronary delivery of autologous
BMCs improves global and regional LV function when delivered 2 to 3 weeks
following first MI. DESIGN, SETTING, AND PATIENTS: A randomized, double-blind,
placebo-controlled trial (LateTIME) of the National Heart, Lung, and Blood
Institute-sponsored Cardiovascular Cell Therapy Research Network of 87 patients
with significant LV dysfunction (LV ejection fraction [LVEF] <=45%) following
successful primary percutaneous coronary intervention (PCI) between July 8, 2008,
and February 28, 2011. INTERVENTIONS: Intracoronary infusion of 150 * 10(6)
autologous BMCs (total nucleated cells) or placebo (BMC:placebo, 2:1) was
performed within 12 hours of bone marrow aspiration after local automated cell
processing. MAIN OUTCOME MEASURES: Changes in global (LVEF) and regional (wall
motion) LV function in the infarct and border zone between baseline and 6 months,
measured by cardiac magnetic resonance imaging. Secondary end points included
changes in LV volumes and infarct size. RESULTS: A total of 87 patients were
randomized (mean [SD] age, 57 [11] years; 83% men). Harvesting, processing, and
intracoronary delivery of BMCs in this setting was feasible. Change between
baseline and 6 months in the BMC group vs placebo for mean LVEF (48.7% to 49.2%
vs 45.3% to 48.8%; between-group mean difference, -3.00; 95% CI, -7.05 to 0.95),
wall motion in the infarct zone (6.2 to 6.5 mm vs 4.9 to 5.9 mm; between-group
mean difference, -0.70; 95% CI, -2.78 to 1.34), and wall motion in the border
zone (16.0 to 16.6 mm vs 16.1 to 19.3 mm; between-group mean difference, -2.60;
95% CI, -6.03 to 0.77) were not statistically significant. No significant change
in LV volumes and infarct volumes was observed; both groups decreased by a
similar amount at 6 months vs baseline. CONCLUSION: Among patients with MI and LV
dysfunction following reperfusion with PCI, intracoronary infusion of autologous
BMCs vs intracoronary placebo infusion, 2 to 3 weeks after PCI, did not improve
global or regional function at 6 months. TRIAL REGISTRATION: clinicaltrials.gov
Identifier: NCT00684060.
PMID- 22084197
TI - AP endonuclease independent repair of abasic sites in Schizosaccharomyces pombe.
AB - Abasic (AP) sites are formed spontaneously and are inevitably intermediates
during base excision repair of DNA base damages. AP sites are both mutagenic and
cytotoxic and key enzymes for their removal are AP endonucleases. However, AP
endonuclease independent repair initiated by DNA glycosylases performing
beta,delta-elimination cleavage of the AP sites has been described in mammalian
cells. Here, we describe another AP endonuclease independent repair pathway for
removal of AP sites in Schizosaccharomyces pombe that is initiated by a
bifunctional DNA glycosylase, Nth1 and followed by cleavage of the baseless sugar
residue by tyrosyl phosphodiesterase Tdp1. We propose that repair is completed by
the action of a polynucleotide kinase, a DNA polymerase and finally a DNA ligase
to seal the gap. A fission yeast double mutant of the major AP endonuclease Apn2
and Tdp1 shows synergistic increase in MMS sensitivity, substantiating that Apn2
and Tdp1 process the same substrate. These results add new knowledge to the
complex cellular response to AP sites, which could be exploited in chemotherapy
where synthetic lethality is a key strategy of treatment.
PMID- 22084198
TI - PLEXdb: gene expression resources for plants and plant pathogens.
AB - PLEXdb (http://www.plexdb.org), in partnership with community databases, supports
comparisons of gene expression across multiple plant and pathogen species,
promoting individuals and/or consortia to upload genome-scale data sets to
contrast them to previously archived data. These analyses facilitate the
interpretation of structure, function and regulation of genes in economically
important plants. A list of Gene Atlas experiments highlights data sets that give
responses across different developmental stages, conditions and tissues. Tools at
PLEXdb allow users to perform complex analyses quickly and easily. The Model
Genome Interrogator (MGI) tool supports mapping gene lists onto corresponding
genes from model plant organisms, including rice and Arabidopsis. MGI predicts
homologies, displays gene structures and supporting information for annotated
genes and full-length cDNAs. The gene list-processing wizard guides users through
PLEXdb functions for creating, analyzing, annotating and managing gene lists.
Users can upload their own lists or create them from the output of PLEXdb tools,
and then apply diverse higher level analyses, such as ANOVA and clustering.
PLEXdb also provides methods for users to track how gene expression changes
across many different experiments using the Gene OscilloScope. This tool can
identify interesting expression patterns, such as up-regulation under diverse
conditions or checking any gene's suitability as a steady-state control.
PMID- 22084199
TI - Solution structure and DNA-binding properties of the phosphoesterase domain of
DNA ligase D.
AB - The phosphoesterase (PE) domain of the bacterial DNA repair enzyme LigD possesses
distinctive manganese-dependent 3'-phosphomonoesterase and 3'-phosphodiesterase
activities. PE exemplifies a new family of DNA end-healing enzymes found in all
phylogenetic domains. Here, we determined the structure of the PE domain of
Pseudomonas aeruginosa LigD (PaePE) using solution NMR methodology. PaePE has a
disordered N-terminus and a well-folded core that differs in instructive ways
from the crystal structure of a PaePE*Mn(2+)* sulfate complex, especially at the
active site that is found to be conformationally dynamic. Chemical shift
perturbations in the presence of primer-template duplexes with 3'
deoxynucleotide, 3'-deoxynucleotide 3'-phosphate, or 3' ribonucleotide termini
reveal the surface used by PaePE to bind substrate DNA and suggest a more
efficient engagement in the presence of a 3'-ribonucleotide. Spectral
perturbations measured in the presence of weakly catalytic (Cd(2+)) and
inhibitory (Zn(2+)) metals provide evidence for significant conformational
changes at and near the active site, compared to the relatively modest changes
elicited by Mn(2+).
PMID- 22084200
TI - AH-DB: collecting protein structure pairs before and after binding.
AB - This work presents the Apo-Holo DataBase (AH-DB, http://ahdb.ee.ncku.edu.tw/ and
http://ahdb.csbb.ntu.edu.tw/), which provides corresponding pairs of protein
structures before and after binding. Conformational transitions are commonly
observed in various protein interactions that are involved in important
biological functions. For example, copper-zinc superoxide dismutase (SOD1), which
destroys free superoxide radicals in the body, undergoes a large conformational
transition from an 'open' state (apo structure) to a 'closed' state (holo
structure). Many studies have utilized collections of apo-holo structure pairs to
investigate the conformational transitions and critical residues. However, the
collection process is usually complicated, varies from study to study and
produces a small-scale data set. AH-DB is designed to provide an easy and unified
way to prepare such data, which is generated by identifying/mapping molecules in
different Protein Data Bank (PDB) entries. Conformational transitions are
identified based on a refined alignment scheme to overcome the challenge that
many structures in the PDB database are only protein fragments and not complete
proteins. There are 746,314 apo-holo pairs in AH-DB, which is about 30 times
those in the second largest collection of similar data. AH-DB provides
sophisticated interfaces for searching apo-holo structure pairs and exploring
conformational transitions from apo structures to the corresponding holo
structures.
PMID- 22084201
TI - Improving patient safety via automated laboratory-based adverse event grading.
AB - The identification and grading of adverse events (AEs) during the conduct of
clinical trials is a labor-intensive and error-prone process. This paper
describes and evaluates a software tool developed by City of Hope to automate
complex algorithms to assess laboratory results and identify and grade AEs. We
compared AEs identified by the automated system with those previously assessed
manually, to evaluate missed/misgraded AEs. We also conducted a prospective
paired time assessment of automated versus manual AE assessment. We found a
substantial improvement in accuracy/completeness with the automated grading tool,
which identified an additional 17% of severe grade 3-4 AEs that had been
missed/misgraded manually. The automated system also provided an average time
saving of 5.5 min per treatment course. With 400 ongoing treatment trials at City
of Hope and an average of 1800 laboratory results requiring assessment per study,
the implications of these findings for patient safety are enormous.
PMID- 22084202
TI - Prevalence rate and dentoskeletal features associated with buccally displaced
maxillary canines.
AB - The aim of the study was to analyse the prevalence and distribution of buccally
displaced canines (BDCs) in subjects scheduled for orthodontic treatment and to
investigate the association between BDC and sagittal, vertical, and transverse
dentoskeletal relationships. A study sample of 1852 subjects was examined, and it
was divided randomly into two groups. A first group of 252 subjects served as
control group: the 'reference' prevalence rates for the examined parameters were
calculated in this group. The remaining 1600 subjects comprised the sample from
which the experimental BDC group was derived. Presence of unilateral or bilateral
maxillary BDC, ANB, and SN GOGn angles for sagittal and vertical skeletal
relationships, intercanine and intermolar distances, and tooth crowding at the
maxillary arch were recorded for each subject. The statistical significance of
differences between the BDC and the control groups in transverse relations and
tooth crowding at the upper arch was tested by means of independent sample t
tests. Chi-square tests were performed to compare the prevalence rates of BDC and
also sagittal and vertical skeletal features in the two groups. The prevalence
rate of BDC was 3.06 per cent with a male-to-female ratio of 1:1. BDC subjects
exhibited a significant association with hyperdivergent skeletal relationships
(38.8%), reduced maxillary intercanine width, and crowding in the upper arch. The
presence of specific dentoskeletal characteristics can be considered as a risk
indicator for developing a buccal displacement of upper permanent canines.
PMID- 22084203
TI - Prognostic implications of dipyridamole cardiac MR imaging: a prospective
multicenter registry.
AB - PURPOSE: To evaluate dipyridamole cardiac magnetic resonance (MR) imaging in the
prediction of major events (MEs) in patients with ischemic chest pain in a large
multicenter registry. MATERIALS AND METHODS: Institutional ethics committee
approval and written informed consent were obtained. A total of 1722 patients who
were undergoing cardiac MR imaging for chest pain were included. Wall motion
abnormalities (WMAs) at rest, hyperemia perfusion defect (PD), late gadolinium
enhancement (LGE), and inducible WMA were analyzed (abnormal if more than one
abnormal segment was seen) with the 17-segment model. A cardiac MR categorization
was created: category 1, no PD, LGE, or inducible WMA; category 2, PD without LGE
and inducible WMA; category 3, LGE without inducible WMA; and category 4,
inducible WMA. The association with ME was analyzed by using Cox proportional
hazard regression multivariate models. RESULTS: During a median follow-up period
of 308 days, 61 MEs (4%) occurred (36 cardiac deaths, 25 nonfatal myocardial
infarctions). MEs were associated with a greater extent of WMA, PD, LGE, and
inducible WMA (P <= .001 for all analyses). In multivariable analyses, PD (P =
.002) and inducible WMA (P = .0001) were the only cardiac MR predictors. ME rate
in categories 1, 2, 3, and 4 was 2% (14 of 901 patients), 3% (six of 219
patients), 4% (15 of 409 patients), and 14% (26 of 193 patients), respectively
(category 4 vs category 1, adjusted P < .001). Cardiac MR-directed
revascularization was performed in 242 patients (14%) and reduced the risk of ME
in only category 4 (7% [six of 92 patients] vs 26% [26 of 101 patients], P =
.0004). CONCLUSION: Dipyridamole cardiac MR imaging can be used to predict MEs in
patients with ischemic chest pain. Patients with inducible WMA are at the highest
risk for MEs and benefit the most from revascularization.
PMID- 22084204
TI - Vasculitis: molecular imaging by targeting the inflammatory enzyme
myeloperoxidase.
AB - PURPOSE: To determine if a molecular imaging approach targeting the highly
oxidative enzyme myeloperoxidase (MPO) can help noninvasively identify and
confirm sites of vascular wall inflammation in a murine model of vasculitis.
MATERIALS AND METHODS: Animal experiments were approved by the institutional
animal care committee. Twenty-six mice were studied, including eight MPO
deficient and six sham-operated mice as controls. Vasculitis was induced with
intraperitoneal injection of Candida albicans water-soluble fraction (CAWS).
Aortic root magnetic resonance imaging was performed after intravenous injection
of the activatable MPO sensor (bis-5-hydroxytryptamide
diethylenetriaminepentatacetate gadolinium) (n = 23), referred to as MPO-Gd, or
gadopentetate dimeglumine (n = 10). Seven mice were randomly assigned to receive
either MPO-Gd or gadopentetate dimeglumine first. Aortic root specimens were
collected for biochemical and histopathologic analyses to validate imaging
findings. Statistical significance was calculated for contrast-to-noise ratios
(CNRs) by using the paired t test. RESULTS: In the aortic root, the mean MPO-Gd
CNRs after agent injection (CNR = 28.1) were more than 2.5-fold higher than those
of sham-operated mice imaged with MPO-Gd and vasculitis mice imaged with
gadopentetate dimeglumine (CNR = 10.6) (P < .05). MPO-Gd MR imaging helped
identify areas of vasculitis that were not seen at unenhanced and contrast
material-enhanced imaging with gadopentetate dimeglumine. Histopathologic and
biochemical analyses for MPO and myeloid cells confirmed imaging findings. In MPO
deficient mice, injection of CAWS did not result in a vasculitis phenotype,
implying a key role of the imaging target in disease cause. CONCLUSION: Molecular
imaging targeting MPO can be a useful biomarker to noninvasively detect and
confirm inflammation in vasculitis by using a murine model of Kawasaki disease.
PMID- 22084205
TI - Chronic multiple sclerosis lesions: characterization with high-field-strength MR
imaging.
AB - PURPOSE: To elucidate the mechanism of magnetic resonance (MR) imaging contrast
in multiple sclerosis (MS) lesion appearance by using susceptibility-weighted
imaging and to assess with histologic correlation the role of iron and myelin in
generating this MR imaging contrast. MATERIALS AND METHODS: Each patient provided
written consent to a human subject protocol approved by an institutional review
board. High-spatial-resolution susceptibility-weighted 7.0-T MR images were
obtained in 21 patients with MS. Contrast patterns in quantitative phase and R2*
images, derived from 7.0-T data, were investigated in 220 areas defined as
chronic MS lesions on conventional T2-weighted fluid-attenuated inversion
recovery, T2-weighted, and T1-weighted spin-echo images. The presence of positive
or negative phase shifts (ie, decreased or increased MR frequency, respectively)
was assessed in each lesion. In addition, postmortem MR imaging was performed at
7.0 T and 11.7 T, and its results were correlated with those of
immunohistochemical staining specific for myelin, iron, and ferritin. RESULTS:
The majority (133 [60.5%] of 220) of the identified lesions had a normal phase
and reduced R2*. A substantial fraction of the lesions (84 [38.2%] of 220) had
negative phase shift, either uniformly or at their rim, and a variety of
appearances on R2* maps. These two lesion contrast patterns were reproduced in
the postmortem MR imaging study. Comparison with histologic findings showed that,
while R2* reduction corresponded to severe loss of both iron and myelin, negative
phase shift corresponded to focal iron deposits with myelin loss. CONCLUSION:
Combined analysis of 7.0-T R2* and phase data may help in characterizing the
pathologic features of MS lesions. The observed R2* decreases suggest profound
myelin loss, whereas negative phase shifts suggest a focal iron accumulation.
PMID- 22084206
TI - Reduced iodine load at CT pulmonary angiography with dual-energy monochromatic
imaging: comparison with standard CT pulmonary angiography--a prospective
randomized trial.
AB - PURPOSE: To compare quantitative and subjective image quality and radiation dose
between standard computed tomographic (CT) pulmonary angiography (CTPA) and CTPA
with a dual-energy technique with reduced iodine load. MATERIALS AND METHODS:
This prospective study was approved by the institutional review board and each
participant provided informed consent. Ninety-four patients (59% male; mean age
+/- standard deviation, 62 years +/- 15) were randomized to one of two protocols:
standard CTPA (100-120 kVp) with standard contrast medium injection (n = 46) and
dual-energy CTPA (image reconstruction at 50 keV) with the same injection volume
as in the standard protocol but composed of contrast medium and saline in a 1:1
fashion, resulting in 50% reduction in iodine load (n = 48). Signal intensity and
noise in three central and two segmental pulmonary arteries were measured; signal
to-noise ratio (SNR) and contrast-to-noise ratio (CNR) were calculated. A five
point scale was used to subjectively evaluate vascular enhancement and image
noise. The proportion of diagnostic (score, >= 3) studies and the interreader
agreement regarding the dichotomized diagnostic versus nondiagnostic scale were
compared between the two groups. RESULTS: Compared with standard CTPA, dual
energy CTPA demonstrated higher signal intensity in all pulmonary arteries (all P
< .01), inferior noise only in segmental arteries (P < .05), higher SNR and CNR
(both P < .05), and compatible effective dose (P > .05). The five-point score was
higher in the standard CTPA protocol (P < .05). The interreader agreement
regarding the dichotomized diagnostic versus nondiagnostic scale was similar (P >
.05) between the two groups. CONCLUSION: Dual-energy CTPA with image
reconstruction at 50 keV allows a significant reduction in iodine load while
improving intravascular signal intensity, maintaining SNR and with comparable
radiation dose.
PMID- 22084207
TI - Determination of grade and subtype of meningiomas by using histogram analysis of
diffusion-tensor imaging metrics.
AB - PURPOSE: To determine whether histogram analysis of diffusion-tensor (DT)
magnetic resonance (MR) imaging metrics, including tensor shape measurements, can
help determine the grades and subtypes of meningiomas. MATERIALS AND METHODS: The
institutional review board approved this HIPAA-compliant study. Nine atypical,
three anaplastic, and 39 typical meningiomas were retrospectively studied. The 39
typical meningiomas included one secretory meningioma and 11 fibroblastic, 11
transitional, 14 meningothelial, and two angiomatous meningiomas. DT imaging
metrics, including fractional anisotropy, mean diffusivity, linear anisotropy
coefficient, planar anisotropy coefficient (CP), spherical anisotropy coefficient
(CS), and eigenvalue skewness (SK), as well as normalized signal intensity from
contrast-enhanced T1- and T2-weighted images, were measured from the enhancing
region of the tumor. Mean, variance, skewness, and kurtosis were extracted from
the histograms. A two-level decision tree was designed, and a multivariate
logistic regression analysis was used at each level to determine the best model
for classification. RESULTS: Histogram skewness of SK and kurtosis of SK were
significantly higher in atypical and anaplastic meningiomas than in typical
meningiomas (P<.01). Among typical meningiomas, significant differences in
histogram measures of CP and CS between fibroblastic meningiomas and other
subtypes were observed (P<.01). The best model for differentiating atypical and
anaplastic meningiomas from typical meningiomas consisted of mean and skewness of
SK and kurtosis of T1 signal intensity, with an area under the receiver operating
characteristic curve (AUC) of 0.946. The best model for differentiating
fibroblastic meningiomas from other subtypes consisted of skewness of T2 signal
intensity and kurtosis of CP (AUC, 0.970). CONCLUSION: Histogram analysis of DT
imaging metrics can help determine the grades and subtypes of meningiomas, which
can better assist in surgical planning.
PMID- 22084208
TI - New reference values for the neonatal cerebral ventricles.
AB - PURPOSE: To establish new cross-sectional reference values for the size of the
lateral ventricles in a large cohort of neonates between 24 and 42 weeks'
gestational age (GA) as well as longitudinal reference values for the follow-up
of very preterm infants born at less than 30 weeks' gestation. MATERIALS AND
METHODS: Institutional review board approval and parental written informed
consent were obtained for this prospective cohort study of 625 neonates (58% male
patients) with a median GA of 33.4 weeks (range, 24.7-42.6 weeks). All infants
underwent cranial ultrasonography (US) within 4 days after birth to evaluate the
size of the lateral ventricles. Scanning was repeated in 301 preterm and term
neonates within the 1st week of life to assess the presence of ventricular
reopening. Seventy-nine very preterm infants (GA, <30 weeks) were prospectively
included for cranial US at term-equivalent age (TEA). US measurements were
performed of the ventricular index (VI), anterior horn width (AHW), and thalamo
occipital distance (TOD). Statistical analysis was conducted by using a paired t
test, multilevel analysis, and analysis of covariance. RESULTS: Cross-sectional
reference values for the VI and TOD increased with maturity, whereas the AHW
remained constant. Vaginal birth was independently associated with a slightly
smaller AHW following birth and with an increase in AHW within the 1st week of
life (P < .05). Preterm-born infants showed a larger ventricular size at TEA
compared with term infants (P < .001). CONCLUSION: New cross-sectional and
longitudinal reference curves were established for the size of the neonatal
lateral ventricles, which may allow for early identification and quantification
of ventriculomegaly due to either posthemorrhagic ventricular dilation or
periventricular white matter loss.
PMID- 22084209
TI - Distinguishing benign from malignant masses at breast US: combined US
elastography and color doppler US--influence on radiologist accuracy.
AB - PURPOSE: To investigate the effect of the combined use of ultrasonographic (US)
elastography and color Doppler US on the accuracy of radiologists in
distinguishing benign from malignant nonpalpable breast masses and in making the
decision for biopsy recommendations at B-mode US. MATERIALS AND METHODS: This
prospective study was conducted with institutional review board approval; written
informed consent was obtained. A cohort of 367 biopsy-proved cases in 319 women
(age range, 22-78 years; mean age, 48.6 years) with B-mode US, US elastographic,
and Doppler US images was included. Five blinded readers independently scored the
likelihood of malignancy for four data sets (ie, B-mode US alone, B-mode US and
elastography, B-mode US and Doppler US, and B-mode US, US elastography, and
Doppler US). The area under the receiver operating characteristic curve (A(z))
values, sensitivities, and specificities of each data set were compared. RESULTS:
The A(z) of B-mode US, US elastography, and Doppler US (average, 0.844; range,
0.797-0.876) was greater than that of B-mode US alone (average, 0.771; range,
0.738-0.798) for all readers (P = .001 for readers 1, 2, and 3; P < .001 for
reader 4; P = .002 for reader 5). When both elastography and Doppler scores were
negative, leading to strict downgrading, the specificity increased for all
readers from an average of 25.3% (75.4 of 298; range, 6.4%-40.9%) to 34.0% (101.2
of 298; range, 26.5%-48.7%) (P < .001 for readers 1, 2, 4, and 5; P = .016 for
reader 3) without a significant change in sensitivity. CONCLUSION: Combined use
of US elastography and color Doppler US increases both the accuracy in
distinguishing benign from malignant masses and the specificity in decision
making for biopsy recommendation at B-mode US.
PMID- 22084210
TI - When does a radiologist's recommendation for follow-up result in high-cost
imaging?
AB - PURPOSE: To measure the proportion of high-cost imaging generated by a
radiologist's recommendation and to identify the imaging findings resulting in
follow-up. MATERIALS AND METHODS: This retrospective HIPAA-compliant study had
institutional review board approval, with waiver of informed consent. A
recommended examination was defined as one performed within a single episode of
care (defined as fewer than 60 days after the initial imaging) following a
radiologist's recommendation in a prior examination report. Chest and abdominal
computed tomography (CT), brain and lumbar spine magnetic resonance (MR) imaging,
and body positron emission tomography were included for analysis. From a database
of all radiology examinations (approximately 200,000) at one institution over a 6
month period, a computerized search identified all high-cost examinations that
were preceded by an examination containing a radiologist recommendation. Medical
records were reviewed to verify accuracy of the recommending-recommended
examination pairs and to determine the reason for the radiologist's
recommendation. For proportions, 95% confidence intervals were calculated.
RESULTS: Overall, 1558 of 29,232 (5.3%) high-cost examinations followed a
radiologist's recommendation. Chest CT was the high-cost examination most often
resulting from a radiologist's recommendation (878 of 9331, 9.4%), followed by
abdominal CT (390 of 10,258, 3.8%) and brain MR imaging (222 of 6436, 3.4%). The
examination types with the highest numbers of follow-up examinations were chest
radiography (n=431), chest CT (n=410), abdominal CT (n=214), and abdominal
ultrasonography (n=120). The most common findings resulting in follow-up were
pulmonary nodules or masses (559 of 1558, 35.9%), other pulmonary abnormalities
(150 of 1558, 9.6%), adenopathy (103 of 1558, 6.6%), renal lesions (101 of 1558,
6.5%), and negative examination findings (101 of 1558, 6.5%). CONCLUSION:
Radiologists' recommendations account for only a small proportion of outpatient
high-cost imaging examinations. Pulmonary nodule follow-up is the most common
cause for radiologist-generated examinations.
PMID- 22084211
TI - Acute lower intestinal bleeding: feasibility and diagnostic performance of CT
angiography.
AB - PURPOSE: To assess the diagnostic performance of computed tomographic (CT)
angiography as the initial diagnostic examination for patients presenting to the
emergency room with acute lower intestinal bleeding. MATERIALS AND METHODS: The
study was reviewed and approved by the ethics committee, and written informed
consent was obtained from each patient or their closest relative when the
clinical condition precluded consent by the patient. This prospective study
comprised 47 patients (27 men, 20 women; mean age, 68 years) with acute lower
gastrointestinal tract bleeding who were referred to undergo emergency
colonoscopy for evaluation. CT angiography was performed in all patients shortly
after arrival to the emergency room. Findings identified at CT angiography
included active extravasation (ongoing hemorrhage) or hyperattenuating
intraluminal contents on noncontrast material-enhanced images (recent
hemorrhage). Presence and location of bleeding and likely cause of hemorrhage
were determined and compared with the standard of reference (angiography,
colonoscopy, or surgical findings). Data collected were analyzed with a
statistical software package. Sensitivity, specificity, and positive and negative
predictive values of CT angiography in depicting ongoing or recent hemorrhage
were calculated and compared with those of standard of reference. RESULTS: CT
angiography demonstrated active bleeding in 14 patients and intraluminal
hyperattenuating material in six patients. The sensitivity, specificity, positive
predictive value, and negative predictive value of CT angiography in depicting
active or recent bleeding were 100% (19 of 19), 96% (27 of 28), 95% (19 of 20),
and 100% (27 of 27), respectively. Findings of CT angiography and the standard of
reference were concordant for determining definite or potential cause of bleeding
in 44 of 47 patients (93% accuracy). CONCLUSION: CT angiography performed in the
emergency setting in patients with acute lower intestinal bleeding is feasible
and correctly depicts the presence and location of active or recent hemorrhage,
as well as the potential cause, in the majority of patients.
PMID- 22084212
TI - Roadway characteristics and pediatric pedestrian injury.
AB - Changing the built environment is a sound, but often underutilized approach to
injury control. The authors reviewed the literature and conducted a meta-analysis
to synthesize the evidence on the association of roadway characteristics with
risk of pediatric pedestrian injury. To synthesize the data, they converted
results to odds ratios based on direct results or abstracted outcomes and used
Bayesian meta-analytic approaches by modeling outcomes as the logit of a normally
distributed set of outcomes with vague prior distributions for the central
measure of effect and its variance. On the basis of 10 studies of roadway
features restricted exclusively to pediatric populations, the synthesized effect
estimate for the association of roadway characteristics with pedestrian injury
risk was 2.5 (95% credible interval: 1.8, 3.2). The probability of a new study
showing an association between the built roadway and pediatric pedestrian injury
was nearly 100%. The authors concluded that the built environment is directly
related to the risk of pedestrian injury. This review and meta-analysis suggests
that even modest interventions to the built roadway environment may result in
meaningful reductions in the risk of pediatric pedestrian injury.
PMID- 22084213
TI - Postenucleation adjuvant chemotherapy with vincristine, etoposide, and
carboplatin for the treatment of high-risk retinoblastoma.
AB - BACKGROUND: Analysis of 52 eyes with high-risk retinoblastoma managed with
postenucleation adjuvant chemotherapy using vincristine sulfate, etoposide
phosphate, and carboplatin showed no evidence of systemic metastasis in any case
during a mean (range) follow-up of 66 (12-202) months. PURPOSE: To determine the
efficacy of postenucleation adjuvant chemotherapy with vincristine, etoposide,
and carboplatin in the prevention of metastasis for patients with high-risk
retinoblastoma. METHODS: Retrospective, nonrandomized, interventional case series
of 52 eyes in 51 patients with high-risk retinoblastoma consisting of tumor
invasion into the anterior segment, posterior uvea 3 mm or greater, postlaminar
optic nerve, or any combination of posterior uvea and optic nerve involvement.
RESULTS: Of 51 consecutive patients with high-risk retinoblastoma, there were 30
males (59%) and 21 females (41%), with a median age of 28 months at diagnosis.
All 52 eyes were classified as group E. The main histopathologic risk factors
included anterior segment invasion (7 [13%]), isolated massive posterior uveal
invasion of 3 mm or greater (6 [12%]), isolated postlaminar optic nerve invasion
(15 [29%]), or any posterior uveal invasion with any optic nerve involvement (24
[46%]). There was additional invasion into the sclera (3 [6%]) and extrascleral
structures, including the orbit (1 [2%]). A single histopathologic high-risk
factor was present in 32 eyes (62%), whereas 20 eyes (38%) manifested 2 or more
high-risk characteristics. Based on previously published series, untreated high
risk retinoblastoma carries at least a 24% risk for metastatic disease. In the
present series, using vincristine, etoposide, and carboplatin in all cases, there
was no metastasis during a mean follow-up of 66 months (median [range], 55 [12
202] months). CONCLUSIONS: Retinoblastoma with invasion into the postlaminar
optic nerve and/or posterior uvea is at high risk for metastasis and death. In
this study, postenucleation chemotherapy using vincristine, etoposide, and
carboplatin was effective in preventing metastasis in every case (100%).
PMID- 22084214
TI - Outcomes of integrating genetics in management of patients with retinoblastoma.
AB - OBJECTIVE: To present the outcome of a comprehensive team approach to provide
genetic evaluation and testing for a large cohort of children diagnosed with
retinoblastoma. METHODS: The multidisciplinary team included pediatric
oncologists, an ophthalmologist, an ophthalmic pathologist, a geneticist, and
genetic counselors. Retrospective data from 8 years included 90 initial
evaluations, of which 81 probands were diagnosed with retinoblastoma (34
bilateral and 47 unilateral) and 9 were evaluated because of a positive family
history. RESULTS: Genetic testing was accomplished equivalently in bilateral and
unilateral cases in 51 of 81 patients (63%). In 5 of 30 patients (17%), with
unilateral disease an RB1 mutation was identified in peripheral blood samples. In
another 7 of 30 patients (23%), mutation analysis confirmed the occurrence of
sporadic retinoblastoma. Overall, genetic testing of 48 at-risk family members
from 21 families revealed 6 individuals positive and 42 negative for the familial
mutation. CONCLUSIONS: Our study emphasizes that genetics can be incorporated
into the management plan of all retinoblastoma patients using a team approach to
ensure timely evaluations and appropriate counseling. Genetic evaluations
improved risk prediction for patients and family members as well as prevented
overutilization of clinical screening tests, which had potential morbidity for
relatives documented to not carry an RB1 mutation.
PMID- 22084215
TI - Real-time ophthalmoscopic findings of superselective intraophthalmic artery
chemotherapy in a nonhuman primate model.
AB - OBJECTIVE: To report real-time ophthalmoscopic findings during superselective
intraophthalmic artery chemotherapy (SSIOAC) in a nonhuman primate model.
METHODS: Six adult male Rhesus macaques (Macacca mulatta) were randomly assigned
to 1 of 2 treatment cohorts: melphalan (5 mg/30 mL) or carboplatin (30 mg/30 mL).
Each animal underwent 3 separate SSIOAC procedures at 3-week intervals. Digital
retinal images were obtained during each infusion. Intravenous fluorescein
angiography was performed immediately after each procedure. RESULTS: All SSIOAC
procedures were successfully completed. Toxicities were equally distributed
between drug cohorts. Systemic toxicities included mild bone marrow suppression
in all animals and anorexia in 1. One animal had greater than 50% narrowing of
the treated ophthalmic artery after its second infusion. All 18 procedures (100%)
resulted in pulsatile optic nerve and choroid blanching, retinal artery
narrowing, and retinal edema. Of the 18 procedures, retinal artery sheathing was
found during 17 (94%), and retinal artery precipitates were seen in 10 (56%);
choroidal hypoperfusion was seen by fluorescein angiogram in 18 (100%).
CONCLUSION: Real-time ophthalmic investigations are useful and, in our nonhuman
primate model, indicate prevalent, acute ocular vascular toxicities during
SSIOAC. CLINICAL RELEVANCE: Real-time retinal imaging is feasible in a nonhuman
primate model of SSIOAC. Application to SSIOAC in children may shed insight into
reported vascular toxicities.
PMID- 22084216
TI - Aceruloplasminemia: retinal histopathologic manifestations and iron-mediated
melanosome degradation.
AB - OBJECTIVE: To examine the retinal histopathologic manifestation of
aceruloplasminemia, an autosomal recessive disease caused by mutation of the
ferroxidase ceruloplasmin, resulting in tissue iron overload. METHODS: The
morphologic features of the human aceruloplasminemic retina were studied with
light and electron microscopy. Retinal iron accumulation was assessed with Perls
Prussian blue staining, immunohistochemistry, and secondary ion mass
spectrometry. RESULTS: Light and electron microscopic analysis revealed several
ocular pathologic findings that resembled age-related macular degeneration,
including retinal pigment epithelium (RPE) depigmentation, atrophy and
hypertrophy, nodular and diffuse drusen, and lipofuscin and melanolipofuscin
granules. Complement deposition was detected in drusen. The RPE cells and neural
retina had increased levels of iron. Two major types of RPE cells were observed:
melanosome rich and melanosome poor. Melanosome-rich cells had increased levels
of iron and melanolipofuscin. The melanolipofuscin granules were observed in
large aggregates, where some of the melanosomes were degrading. Melanosome-poor
cells lacked melanosomes, melanolipofuscin, and lipofuscin but contained electron
dense aggregates high in iron, phosphorus, and sulfur. CONCLUSIONS: The findings
in the aceruloplasminemic retina resemble some of those found in age-related
macular degeneration. Also, they suggest that melanosomes in the RPE can be
degraded via iron-mediated reactive oxygen species production. CLINICAL
RELEVANCE: Mechanisms underlying the pathologic mechanisms found in
aceruloplasminemia also may be important in age-related macular degeneration.
PMID- 22084217
TI - Phenotypic characterization of 3 families with autosomal dominant retinitis
pigmentosa due to mutations in KLHL7.
AB - OBJECTIVE: To characterize the visual phenotype caused by mutations in the BTB
Kelch protein, KLHL7, responsible for the RP42 form of autosomal dominant
retinitis pigmentosa (RP). METHODS: Comprehensive ophthalmic testing included
visual acuity, static visual field, kinetic visual field, dark adaptometry, full
field electroretinography, spectral-domain optical coherence tomography, and
fundus photography. Longitudinal visual function data (range, 15-27 years) were
available for some of the affected individuals. RESULTS: We report a phenotypic
assessment of 3 unrelated families, each harboring different KLHL7 mutations
(c.458C>T, c.449G>A, and c.457G>A). The fundi showed classic signs of RP. Best
corrected visual acuity was 20/50 or better in at least one eye up to age 65
years. Static and kinetic visual fields showed concentric constriction to central
10 degrees to 20 degrees by age 65 years; 2 patients with Goldmann perimetry
exhibited bilateral visual field retention in the far periphery. Both rod and
cone full-field electroretinographic amplitudes were substantially lower than
normal, with a decline rate of 3% per year in cone 31-Hz flicker response. Rod
and cone activation and inactivation variables were abnormal. Spectral-domain
optical coherence tomography indicated retention of foveal inner segment-outer
segment junction through age 65 years. CONCLUSIONS: Mutations in KLHL7 are
associated with a late-onset form of autosomal dominant retinal degeneration that
preferentially affects the rod photoreceptors. Full-field electroretinographic
findings, including recovery kinetics, are consistent with those observed in
other forms of autosomal dominant RP. CLINICAL RELEVANCE: The phenotypes are
similar among patients with 3 types of KLHL7 mutations (c.458C>T, c.449G>A, and
c.457G>A). Strong retention of foveal function and bilateral concentric
constriction of visual fields with far periphery sparing may guide mutation
screening in autosomal dominant RP.
PMID- 22084218
TI - Analysis of pars plana vitrectomy for optic pit-related maculopathy with
intraoperative optical coherence tomography: a possible connection with the
vitreous cavity.
AB - Optimal management of optic pit-related maculopathy remains to be determined. The
fluid source for the maculopathy also remains controversial. In this article, we
present a unique surgical technique for internal drainage of the intraretinal
fluid and describe the intraoperative use of spectral-domain optical coherence
tomography to assist in the surgical management of this condition. Pars plana
vitrectomy was performed with elevation of the posterior hyaloid. Following an
air-fluid exchange, aspiration over the optic nerve pit was performed. Following
aspiration, intraoperative spectral-domain optical coherence tomography
demonstrated collapse of the retinoschisis, strongly suggesting a connection
between the vitreous cavity and the intraretinal fluid.
PMID- 22084219
TI - Intra-arterial chemotherapy for advanced retinoblastoma: is the time right for a
prospective clinical trial?
PMID- 22084220
TI - Superselective intraophthalmic artery chemotherapy: what we do not know.
PMID- 22084221
TI - Chemosurgery for retinoblastoma: what we know after 5 years.
PMID- 22084222
TI - Acute retinal necrosis after herpes zoster vaccination.
PMID- 22084223
TI - Vitelliform retinopathy in metastatic cutaneous melanoma with choroidal
involvement.
PMID- 22084224
TI - Cutaneous halo nevi following plaque radiotherapy for uveal melanoma.
PMID- 22084225
TI - Histopathologic, immunohistochemical, ultrastructural, and cytogenetic analysis
of oncocytic uveal melanoma.
PMID- 22084226
TI - Solitary epithelioid histiocytoma (reticulohistiocytoma) of the eyelid.
PMID- 22084227
TI - Obviating endophthalmitis after cataract surgery: excellent wound closure is the
key.
PMID- 22084229
TI - Colobomas and amblyopia.
PMID- 22084231
TI - Chloroquine and hydroxychloroquine toxicity.
PMID- 22084232
TI - Proportionate topographic areas of retinal zones 1, 2, and 3 for use in
describing infectious retinitis.
PMID- 22084233
TI - Peripheral retinal nonperfusion in septo-optic dysplasia.
PMID- 22084234
TI - Cardiac hypertrophy is positively regulated by MicroRNA miR-23a.
AB - MicroRNAs (miRNAs) are a class of small noncoding RNAs that mediate post
transcriptional gene silencing. Myocardial hypertrophy is frequently associated
with the development of heart failure. A variety of miRNAs are involved in the
regulation of cardiac hypertrophy, however, the molecular targets of miRNAs in
the cardiac hypertrophic cascades remain to be fully identified. We produced miR
23a transgenic mice, and these mice exhibit exaggerated cardiac hypertrophy in
response to the stimulation with phenylephrine or pressure overload by transverse
aortic banding. The endogenous miR-23a is up-regulated upon treatment with
phenylephrine, endothelin-1, or transverse aortic banding. Knockdown of miR-23a
attenuates hypertrophic responses. To identify the downstream targets of miR-23a,
we found that transcription factor Foxo3a is suppressed by miR-23a. Luciferase
assay indicates that miR-23a directly inhibits the translation activity of Foxo3a
3' UTR. Introduction or knockdown of miR-23a leads to the alterations of Foxo3a
protein levels. Enforced expression of the constitutively active form of Foxo3a
counteracts the provocative effect of miR-23a on hypertrophy. Furthermore, we
observed that miR-23a is able to alter the expression levels of manganese
superoxide dismutase and the consequent reactive oxygen species, and this effect
is mediated by Foxo3a. In addition, our results show that miR-23a and Foxo3a bi
transgenic mice exhibit a reduced hypertrophic response compared with the miR-23a
transgenic mice alone. Our present study reveals that miR-23a can mediate the
hypertrophic signal through regulating Foxo3a. They form an axis in hypertrophic
machinery and can be targets for the development of hypertrophic treatment.
PMID- 22084235
TI - Core fucosylation of MU heavy chains regulates assembly and intracellular
signaling of precursor B cell receptors.
AB - alpha1,6-Fucosyltransferase (Fut8) knock-out (Fut8(-/-)) mice showed an
abnormality in pre-B cell generation. Membrane assembly of pre-BCR is a crucial
checkpoint for pre-B cell differentiation and proliferation in both humans and
mice. The assembly of pre-BCR on the cell surface was substantially blocked in
the Fut8-knockdown pre-B cell line, 70Z/3-KD cells, and then completely restored
by re-introduction of the Fut8 gene to 70Z/3-KD (70Z/3-KD-re) cells. Moreover,
loss of alpha1,6-fucosylation (also called core fucosylation) of MUHC was
associated with the suppression of the interaction between MUHC and lambda5. In
contrast to Fut8(+/+) CD19(+)CD43(-) cells, the subpopulation expressing the
MUHC.lambda5 complex in the Fut8(-/-) CD19(+)CD43(-) cell fraction was decreased.
The pre-BCR-mediated tyrosine phosphorylation of CD79a and activation of Btk were
attenuated in Fut8-KD cells, and restored in 70Z/3-KD-re cells. The frequency of
CD19(low)CD43(-) cells (pre-B cell enriched fraction) was also reduced in Fut8(-/
) bone marrow cells, and then the levels of IgM, IgG, and IgA of 12-week-old
Fut8(-/-) mice sera were significantly lower than those of Fut8(+/+) mice. Our
results suggest that the core fucosylation of MUHC mediates the assembly of pre
BCR to regulate pre-BCR intracellular signaling and pre-B cell proliferation.
PMID- 22084236
TI - Lipocalin-2 (24p3/neutrophil gelatinase-associated lipocalin (NGAL)) receptor is
expressed in distal nephron and mediates protein endocytosis.
AB - In the kidney, bulk reabsorption of filtered proteins occurs in the proximal
tubule via receptor-mediated endocytosis (RME) through the multiligand receptor
complex megalin-cubilin. Other mechanisms and nephron sites for RME of proteins
are unclear. Recently, the secreted protein 24p3 (lipocalin-2, neutrophil
gelatinase-associated lipocalin (NGAL)), which is expressed in the distal
nephron, has been identified as a sensitive biomarker of kidney damage. A high
affinity receptor for 24p3 (24p3R) that is involved in endocytotic iron delivery
has also been cloned. We investigated the localization of 24p3R in rodent kidney
and its role in RME of protein-metal complexes and albumin. Immunostaining of
kidney tissue showed expression of 24p3R in apical membranes of distal tubules
and collecting ducts, but not of proximal tubule. The differential expression of
24p3R in these nephron segments was confirmed in the respective cell lines. CHO
cells transiently transfected with 24p3R or distal tubule cells internalized
submicromolar concentrations of fluorescence-coupled proteins transferrin,
albumin, or metallothionein (MT) as well as the toxic cadmium-MT (Cd2+(7)-MT)
complex, which caused cell death. Uptake of MT or transferrin and Cd2+(7)-MT
toxicity were prevented by picomolar concentrations of 24p3. An EC50 of 123+/-50
nM was determined for binding of MT to 24p3R by microscale thermophoresis. Hence,
24p3R binds proteins filtered by the kidney with high affinity and may contribute
to RME of proteins, including 24p3, and to Cd2+(7)-MT toxicity in distal nephron
segments.
PMID- 22084237
TI - Outer membrane lipoprotein Lpp is Gram-negative bacterial cell surface receptor
for cationic antimicrobial peptides.
AB - Antimicrobial peptides/proteins (AMPs) are important components of the host
innate defense mechanisms. Here we demonstrate that the outer membrane
lipoprotein, Lpp, of Enterobacteriaceae interacts with and promotes
susceptibility to the bactericidal activities of AMPs. The oligomeric Lpp was
specifically recognized by several cationic alpha-helical AMPs, including SMAP
29, CAP-18, and LL-37; AMP-mediated bactericidal activities were blocked by anti
Lpp antibody blocking. Blebbing of the outer membrane and increase in membrane
permeability occurred in association with the coordinate internalization of Lpp
and AMP. Interestingly, the specific binding of AMP to Lpp was resistant to
divalent cations and salts, which were able to inhibit the bactericidal
activities of some AMPs. Furthermore, using His-tagged Lpp as a ligand, we
retrieved several characterized AMPs, including SMAP-29 and hRNase 7, from a
peptide library containing crude mammalian cell lysates. Overall, this study
explores a new mechanism and target of antimicrobial activity and provides a
novel method for screening of antimicrobials for use against drug-resistant
bacteria.
PMID- 22084238
TI - Intramembrane proton binding site linked to activation of bacterial pentameric
ion channel.
AB - Prokaryotic orthologs of eukaryotic Cys-loop receptor channels recently emerged
as structural and mechanistic surrogates to investigate this superfamily of
intercellular signaling proteins. Here, we examine proton activation of the
prokaryotic ortholog GLIC using patch clamp electrophysiology, mutagenesis, and
molecular dynamics (MD) simulations. Whole-cell current recordings from human
embryonic kidney (HEK) 293 cells expressing GLIC show half-maximal activation at
pH 6, close to the pK(a) of histidine, implicating the three native His residues
in proton sensing linked to activation. The mutation H235F abolishes proton
activation, H277Y is without effect, and all nine mutations of His-127 prevent
expression on the cell surface. In the GLIC crystal structure, His-235 on
transmembrane (TM) alpha-helix 2, hydrogen bonds to the main chain carbonyl
oxygen of Ile-259 on TM alpha-helix 3. MD simulations show that when His-235 is
protonated, the hydrogen bond persists, and the channel remains in the open
conformation, whereas when His-235 is deprotonated, the hydrogen bond
dissociates, and the channel closes. Mutations of the proximal Tyr-263, which
also links TM alpha-helices 2 and 3 via a hydrogen bond, alter proton sensitivity
over a 1.5 pH unit range. MD simulations show that mutations of Tyr-263 alter the
hydrogen bonding capacity of His-235. The overall findings show that His-235 in
the TM region of GLIC is a novel proton binding site linked to channel
activation.
PMID- 22084239
TI - Energetics of cyclic AMP binding to HCN channel C terminus reveal negative
cooperativity.
AB - Cyclic AMP binds to the HCN channel C terminus and variably stabilizes its open
state. Using isothermal titration calorimetry, we show that cAMP binds to one
subunit of tetrameric HCN2 and HCN4 C termini with high affinity (~0.12 MUM) and
subsequently with low affinity (~1 MUM) to the remaining three subunits. Changes
induced by high affinity binding already exist in both a constrained HCN2
tetramer and the unconstrained HCN1 tetramer. Natural "preactivation" of HCN1 may
explain both the smaller effect of cAMP on stabilizing its open state and the
opening of unliganded HCN1, which occurs as though already disinhibited.
PMID- 22084240
TI - Nitric oxide storage and transport in cells are mediated by glutathione S
transferase P1-1 and multidrug resistance protein 1 via dinitrosyl iron
complexes.
AB - Nitrogen monoxide (NO) plays a role in the cytotoxic mechanisms of activated
macrophages against tumor cells by inducing iron release. We showed that NO
mediated iron efflux from cells required glutathione (GSH) (Watts, R. N., and
Richardson, D. R. (2001) J. Biol. Chem. 276, 4724-4732) and that the GSH
conjugate transporter, multidrug resistance-associated protein 1 (MRP1), mediates
this release potentially as a dinitrosyl-dithiol iron complex (DNIC; Watts, R.
N., Hawkins, C., Ponka, P., and Richardson, D. R. (2006) Proc. Natl. Acad. Sci.
U.S.A. 103, 7670-7675). Recently, glutathione S-transferase P1-1 (GST P1-1) was
shown to bind DNICs as dinitrosyl-diglutathionyl iron complexes. Considering this
and that GSTs and MRP1 form an integrated detoxification unit with
chemotherapeutics, we assessed whether these proteins coordinately regulate
storage and transport of DNICs as long lived NO intermediates. Cells transfected
with GSTP1 (but not GSTA1 or GSTM1) significantly decreased NO-mediated 59Fe
release from cells. This NO-mediated 59Fe efflux and the effect of GST P1-1 on
preventing this were observed with NO-generating agents and also in cells
transfected with inducible nitric oxide synthase. Notably, 59Fe accumulated in
cells within GST P1-1-containing fractions, indicating an alteration in
intracellular 59Fe distribution. Furthermore, electron paramagnetic resonance
studies showed that MCF7-VP cells transfected with GSTP1 contain significantly
greater levels of a unique DNIC signal. These investigations indicate that GST P1
1 acts to sequester NO as DNICs, reducing their transport out of the cell by
MRP1. Cell proliferation studies demonstrated the importance of the combined
effect of GST P1-1 and MRP1 in protecting cells from the cytotoxic effects of NO.
Thus, the DNIC storage function of GST P1-1 and ability of MRP1 to efflux DNICs
are vital in protection against NO cytotoxicity.
PMID- 22084241
TI - Discovery of intracellular heme-binding protein HrtR, which controls heme efflux
by the conserved HrtB-HrtA transporter in Lactococcus lactis.
AB - Most commensal and food bacteria lack heme biosynthesis genes. For several of
these, the capture of environmental heme is a means of activating aerobic
respiration metabolism. Our previous studies in the Gram-positive bacterium
Lactococcus lactis showed that heme exposure strongly induced expression of a
single operon, called here hrtRBA, encoding an ortholog of the conserved membrane
hrt (heme-regulated transporter) and a unique transcriptional regulator that we
named HrtR. We show that HrtR expressed as a fusion protein is a heme-binding
protein. Heme iron interaction with HrtR is non-covalent, hexacoordinated, and
involves two histidines, His-72 and His-149. HrtR specifically binds a 15-nt
palindromic sequence in the hrtRBA promoter region, which is needed for hrtRBA
repression. HrtR-DNA binding is abolished by heme addition, which activates
expression of the HrtB-HrtA (HrtBA) transporter in vitro and in vivo. The use of
HrtR as an intracellular heme sensor appears to be conserved among numerous
commensal bacteria, in contrast with numerous Gram-positive pathogens that use an
extracellular heme-sensing system, HssRS, to regulate hrt. Finally, we show for
the first time that HrtBA permease controls heme toxicity by its direct and
specific efflux. The use of an intracellular heme sensor to control heme efflux
constitutes a novel paradigm for bacterial heme homeostasis.
PMID- 22084242
TI - Two-pronged binding with bromodomain-containing protein 4 liberates positive
transcription elongation factor b from inactive ribonucleoprotein complexes.
AB - The positive transcription elongation factor b (P-TEFb) exists in two forms in
cells as follows: an inactive form where the core components cyclin T1 and CDK9
are incorporated in the 7SK small nuclear ribonucleoprotein complex containing
the inhibitory molecule HEXIM1, and an active form, part of which associates with
the bromodomain-containing protein BRD4. Here, we define a novel interaction
between P-TEFb and BRD4 involving tri-acetylated cyclin T1 (acK380, acK386, and
acK309) and the second bromodomain in BRD4. This interaction is observed with the
short splice variant of BRD4 (amino acids 1-722) lacking a previously defined C
terminal P-TEFb-interacting domain (PID). Notably, P-TEFb complexes associated
with short BRD4 contain HEXIM1 and 7SK snRNA, implicating the PID in the
liberation of P-TEFb from the 7SK small nuclear ribonucleoprotein complex (7SK
snPNP). Overexpression of the PID alone in cells dissociates HEXIM1 and 7SK snRNA
from P-TEFb, but it is not sufficient to activate P-TEFb-dependent transcription
of the HIV LTR. Our data support a model where two BRD4 domains, the second
bromodomain and the PID, bind P-TEFb and are required for full transcriptional
activation of P-TEFb response genes.
PMID- 22084244
TI - An electrostatic switch controls palmitoylation of the large conductance voltage-
and calcium-activated potassium (BK) channel.
AB - Protein palmitoylation is a major dynamic posttranslational regulator of protein
function. However, mechanisms that control palmitoylation are poorly understood.
In many proteins, palmitoylation occurs at cysteine residues juxtaposed to
membrane-anchoring domains such as transmembrane helices, sites of irreversible
lipid modification, or hydrophobic and/or polybasic domains. In particular,
polybasic domains represent an attractive mechanism to dynamically control
protein palmitoylation, as the function of these domains can be dramatically
influenced by protein phosphorylation. Here we demonstrate that a polybasic
domain immediately upstream of palmitoylated cysteine residues within an
alternatively spliced insert in the C terminus of the large conductance calcium-
and voltage-activated potassium channel is an important determinant of channel
palmitoylation and function. Mutation of basic amino acids to acidic residues
within the polybasic domain results in inhibition of channel palmitoylation and a
significant right-shift in channel half maximal voltage for activation.
Importantly, protein kinase A-dependent phosphorylation of a single serine
residue within the core of the polybasic domain, which results in channel
inhibition, also reduces channel palmitoylation. These data demonstrate the key
role of the polybasic domain in controlling stress-regulated exon palmitoylation
and suggests that phosphorylation controls the domain by acting as an
electrostatic switch.
PMID- 22084243
TI - Regulation of arginine acquisition and virulence gene expression in the human
pathogen Streptococcus pneumoniae by transcription regulators ArgR1 and AhrC.
AB - In this study, we investigated for the first time the transcriptional response of
the human pathogen Streptococcus pneumoniae to fluctuating concentrations of
arginine, an essential amino acid for this bacterium. By means of DNA microarray
analyses, several operons and genes were found, the expression of which was
affected by the concentration of arginine in the medium. Five of the identified
operons were demonstrated to be directly repressed in the presence of high
arginine concentrations via the concerted action of the ArgR-type regulators
ArgR1 and AhrC. These ArgR1/AhrC targets encompass the putative amino acid
transport genes artPQ, abpA, abpB, and aapA; the arginine biosynthetic genes
argGH; and the virulence genes aliB and lmB/adcAII-phtD encoding an oligopeptide
binding lipoprotein and cell surface Zn(2+)-scavenging units, respectively. In
addition, the data indicate that three of the amino acid transport genes encode
an arginine ATP-binding cassette transporter unit required for efficient growth
during arginine limitation. Instead of regulating arginine biosynthetic and
catabolic genes as has been reported for other Gram-positive bacteria, our
findings suggest that the physiological function of ArgR1/AhrC in S. pneumoniae
is to ensure optimal uptake of arginine from the surrounding milieu.
PMID- 22084245
TI - Protein-tyrosine kinase 6 promotes peripheral adhesion complex formation and cell
migration by phosphorylating p130 CRK-associated substrate.
AB - Protein-tyrosine kinase 6 (PTK6) is a non-myristoylated intracellular tyrosine
kinase evolutionarily related to Src kinases. Aberrant PTK6 expression and
intracellular localization have been detected in human prostate tumors. In the
PC3 prostate cancer cell line, the pool of endogenous activated PTK6, which is
phosphorylated on tyrosine residue 342, is localized at the membrane. Expression
of ectopic membrane-targeted PTK6 led to dramatic morphology changes and
formation of peripheral adhesion complexes in PC3 cells. Peripheral adhesion
complex formation was dependent upon PTK6 kinase activity. We demonstrated that
p130 CRK-associated substrate (p130CAS) is a novel direct substrate of PTK6, and
it works as a crucial adapter protein in inducing peripheral adhesion complexes.
Activation of ERK5 downstream of p130CAS was indispensable for this process.
Knockdown of endogenous PTK6 led to reduced cell migration and p130CAS
phosphorylation, whereas knockdown of p130CAS attenuated oncogenic signaling
induced by membrane-targeted PTK6, including ERK5 and AKT activation. Expression
of membrane-targeted PTK6 promoted cell migration, which could be impaired by
knockdown of p130CAS or ERK5. Our study reveals a novel function for PTK6 at the
plasma membrane and suggests that the PTK6-p130CAS-ERK5 signaling cascade plays
an important role in cancer cell migration and invasion.
PMID- 22084246
TI - Hypoxia-induced acidosis uncouples the STIM-Orai calcium signaling complex.
AB - The endoplasmic reticulum Ca(2+)-sensing STIM proteins mediate Ca(2+) entry
signals by coupling to activate plasma membrane Orai channels. We reveal that
STIM-Orai coupling is rapidly blocked by hypoxia and the ensuing decrease in
cytosolic pH. In smooth muscle cells or HEK293 cells coexpressing STIM1 and
Orai1, acute hypoxic conditions rapidly blocked store-operated Ca(2+) entry and
the Orai1-mediated Ca(2+) release-activated Ca(2+) current (I(CRAC)). Hypoxia
induced blockade of Ca(2+) entry and I(CRAC) was reversed by NH(4)(+)-induced
cytosolic alkalinization. Hypoxia and acidification both blocked I(CRAC) induced
by the short STIM1 Orai-activating region. Although hypoxia induced STIM1
translocation into junctions, it did not dissociate the STIM1-Orai1 complex.
However, both hypoxia and cytosolic acidosis rapidly decreased Forster resonance
energy transfer (FRET) between STIM1-YFP and Orai1-CFP. Thus, although hypoxia
promotes STIM1 junctional accumulation, the ensuing acidification functionally
uncouples the STIM1-Orai1 complex providing an important mechanism protecting
cells from Ca(2+) overload under hypoxic stress conditions.
PMID- 22084247
TI - CUEDC2 (CUE domain-containing 2) and SOCS3 (suppressors of cytokine signaling 3)
cooperate to negatively regulate Janus kinase 1/signal transducers and activators
of transcription 3 signaling.
AB - Janus kinase 1/signal transducers and activators of transcription 3 (JAK1/STAT3)
pathway is one of the recognized oncogenic signaling pathways that frequently
overactivated in a variety of human tumors. Despite rapid progress in elucidating
the molecular mechanisms of activation of JAK/STAT pathway, the processes that
regulate JAK/STAT deactivation need to be further clarified. Here we demonstrate
that CUE domain-containing 2 (CUEDC2) inhibits cytokine-induced phosphorylation
of JAK1 and STAT3 and the subsequent STAT3 transcriptional activity. Further
analysis by a yeast two-hybrid assay showed that CUEDC2 could engage in a
specific interaction with a key JAK/STAT inhibitor, SOCS3 (suppressors of
cytokine signaling 3). The interaction between CUEDC2 and SOCS3 is required for
the inhibitory effect of CUEDC2 on JAK1 and STAT3 activity. Additionally, we
found CUEDC2 functions collaboratively with SOCS3 to inhibit JAK1/STAT3 signaling
by increasing SOCS3 stability via enhancing its association with Elongin C.
Therefore, our findings revealed a new biological activity for CUEDC2 as the
regulator of JAK1/STAT3 signaling and paved the way to a better understanding of
the mechanisms by which SOCS3 has been linked to suppression of the JAK/STAT
pathway.
PMID- 22084248
TI - Up-regulation of the neuronal nicotinic receptor alpha7 by HIV glycoprotein 120:
potential implications for HIV-associated neurocognitive disorder.
AB - Approximately 30-50% of the >30 million HIV-infected subjects develop
neurological complications ranging from mild symptoms to dementia. HIV does not
infect neurons, and the molecular mechanisms behind HIV-associated neurocognitive
decline are not understood. There are several hypotheses to explain the
development of dementia in HIV(+) individuals, including neuroinflammation
mediated by infected microglia and neuronal toxicity by HIV proteins. A key
protein associated with the neurological complications of HIV, gp120, forms part
of the viral envelope and can be found in the CSF of infected individuals. HIV-1
gp120 interacts with several receptors including CD4, CCR5, CXCR4, and nicotinic
acetylcholine receptors (nAChRs). However, the role of nAChRs in HIV-associated
neurocognitive disorder has not been investigated. We studied the effects of
gp120(IIIB) on the expression and function of the nicotinic receptor alpha7
(alpha7-nAChR). Our results show that gp120, through activation of the CXCR4
chemokine receptor, induces a functional up-regulation of alpha7-nAChRs. Because
alpha7-nAChRs have a high permeability to Ca(2+), we performed TUNEL staining to
investigate the effects of receptor up-regulation on cell viability. Our data
revealed an increase in cell death, which was blocked by the selective antagonist
alpha-bungarotoxin. The in vitro data are supported by RT-PCR and Western blot
analysis, confirming a remarkable up-regulation of the alpha7-nAChR in gp120
transgenic mice brains. Specifically, alpha7-nAChR up-regulation is observed in
mouse striatum, a region severely affected in HIV(+) patients. In summary, CXCR4
activation induces up-regulation of alpha7-nAChR, causing cell death, suggesting
that alpha7-nAChR is a previously unrecognized contributor to the neurotoxicity
associated with HIV infection.
PMID- 22084249
TI - Increasing rate of cleavage at boundary between non-structural proteins 4B and 5A
inhibits replication of hepatitis C virus.
AB - In hepatitis C virus, non-structural proteins are cleaved from the viral
polyprotein by viral encoded proteases. Although proteolytic processing goes to
completion, the rate of cleavage differs between different boundaries, primarily
due to the sequence at these positions. However, it is not known whether slow
cleavage is important for viral replication or a consequence of restrictions on
sequences that can be tolerated at the cleaved ends of non-structural proteins.
To address this question, mutations were introduced into the NS4B side of the
NS4B5A boundary, and their effect on replication and polyprotein processing was
examined in the context of a subgenomic replicon. Single mutations that modestly
increased the rate of boundary processing were phenotypically silent, but a
double mutation, which further increased the rate of boundary cleavage, was
lethal. Rescue experiments relying on viral RNA polymerase-induced error failed
to identify second site compensatory mutations. Use of a replicon library with
codon degeneracy did allow identification of second site compensatory mutations,
some of which fell exclusively within the NS5A side of the boundary. These
mutations slowed boundary cleavage and only enhanced replication in the context
of the original lethal NS4B double mutation. Overall, the data indicate that slow
cleavage of the NS4B5A boundary is important and identify a previously
unrecognized role for NS4B5A-containing precursors requiring them to exist for a
minimum finite period of time.
PMID- 22084250
TI - Elucidation of acid-induced unfolding and aggregation of human immunoglobulin
IgG1 and IgG2 Fc.
AB - Understanding the underlying mechanisms of Fc aggregation is an important
prerequisite for developing stable and efficacious antibody-based therapeutics.
In our study, high resolution two-dimensional nuclear magnetic resonance (NMR)
was employed to probe structural changes in the IgG1 Fc. A series of (1)H-(15)N
heteronuclear single-quantum correlation NMR spectra were collected between pH
2.5 and 4.7 to assess whether unfolding of C(H)2 domains precedes that of C(H)3
domains. The same pH range was subsequently screened in Fc aggregation
experiments that utilized molecules of IgG1 and IgG2 subclasses with varying
levels of C(H)2 glycosylation. In addition, differential scanning calorimetry
data were collected over a pH range of 3-7 to assess changes in C(H)2 and C(H)3
thermostability. As a result, compelling evidence was gathered that emphasizes
the importance of C(H)2 stability in determining the rate and extent of Fc
aggregation. In particular, we found that Fc domains of the IgG1 subclass have a
lower propensity to aggregate compared with those of the IgG2 subclass. Our data
for glycosylated, partially deglycosylated, and fully deglycosylated molecules
further revealed the criticality of C(H)2 glycans in modulating Fc aggregation.
These findings provide important insights into the stability of Fc-based
therapeutics and promote better understanding of their acid-induced aggregation
process.
PMID- 22084251
TI - Multiple site acetylation of Rictor stimulates mammalian target of rapamycin
complex 2 (mTORC2)-dependent phosphorylation of Akt protein.
AB - The serine/threonine protein kinase Akt is a critical regulator of cell growth
and survival in response to growth factors. A key step in Akt activation is
phosphorylation at Ser-473 by the mammalian target of rapamycin (mTOR) complex 2
(mTORC2). Although Rictor is required for the stability and activity of mTORC2,
little is known about functional regions or post-translational modifications
within Rictor that are responsible for regulating mTORC2. Here, we demonstrate
that Rictor contains two distinct central regions critical for mTORC2 function.
One we refer to as the stability region because it is critical for interaction
with Sin1.1 and LST8, and a second adjacent region is required for multisite
acetylation. p300-mediated acetylation of Rictor increases mTORC2 activity toward
Akt, whereas site-directed mutants within the acetylation region of Rictor
exhibit reduced insulin-like growth factor 1 (IGF-1)-stimulated mTORC2 kinase
activity. Inhibition of deacetylases, including the NAD+-dependent sirtuins,
promotes Rictor acetylation and IGF-1-mediated Akt phosphorylation. These results
suggest that multiple-site acetylation of Rictor signals for increased activation
of mTORC2, providing a critical link between nutrient-sensitive deacetylases and
mTORC2 signaling to Akt.
PMID- 22084252
TI - rNA: a fast and accurate short reads numerical aligner.
AB - SUMMARY: The advent of high-throughput sequencers (HTS) introduced the need of
new tools in order to analyse the large amount of data that those machines are
able to produce. The mandatory first step for a wide range of analyses is the
alignment of the sequences against a reference genome. We present a major update
to our rNA (randomized Numerical Aligner) tool. The main feature of rNA is the
fact that it achieves an accuracy greater than the majority of other tools in a
feasible amount of time. rNA executables and source codes are freely downloadable
at http://iga-rna.sourceforge.net/. CONTACT: vezzi@appliedgenomics.org;
delfabbro@appliedgenomics.org SUPPLEMENTARY INFORMATION: Supplementary data are
available at Bioinformatics online.
PMID- 22084253
TI - Feature-based classifiers for somatic mutation detection in tumour-normal paired
sequencing data.
AB - MOTIVATION: The study of cancer genomes now routinely involves using next
generation sequencing technology (NGS) to profile tumours for single nucleotide
variant (SNV) somatic mutations. However, surprisingly few published
bioinformatics methods exist for the specific purpose of identifying somatic
mutations from NGS data and existing tools are often inaccurate, yielding
intolerably high false prediction rates. As such, the computational problem of
accurately inferring somatic mutations from paired tumour/normal NGS data remains
an unsolved challenge. RESULTS: We present the comparison of four standard
supervised machine learning algorithms for the purpose of somatic SNV prediction
in tumour/normal NGS experiments. To evaluate these approaches (random forest,
Bayesian additive regression tree, support vector machine and logistic
regression), we constructed 106 features representing 3369 candidate somatic SNVs
from 48 breast cancer genomes, originally predicted with naive methods and
subsequently revalidated to establish ground truth labels. We trained the
classifiers on this data (consisting of 1015 true somatic mutations and 2354 non
somatic mutation positions) and conducted a rigorous evaluation of these methods
using a cross-validation framework and hold-out test NGS data from both exome
capture and whole genome shotgun platforms. All learning algorithms employing
predictive discriminative approaches with feature selection improved the
predictive accuracy over standard approaches by statistically significant
margins. In addition, using unsupervised clustering of the ground truth 'false
positive' predictions, we noted several distinct classes and present evidence
suggesting non-overlapping sources of technical artefacts illuminating important
directions for future study. AVAILABILITY: Software called MutationSeq and
datasets are available from http://compbio.bccrc.ca.
PMID- 22084254
TI - Gene set analysis in the cloud.
AB - Cloud computing offers low cost and highly flexible opportunities in
bioinformatics. Its potential has already been demonstrated in high-throughput
sequence data analysis. Pathway-based or gene set analysis of expression data has
received relatively less attention. We developed a gene set analysis algorithm
for biomarker identification in the cloud. The resulting tool, YunBe, is ready to
use on Amazon Web Services. Moreover, here we compare its performance to those
obtained with desktop and computing cluster solutions. AVAILABILITY AND
IMPLEMENTATION: YunBe is open-source and freely accessible within the Amazon
Elastic MapReduce service at s3n://lrcv-crp-sante/app/yunbe.jar. Source code and
user's guidelines can be downloaded from http://tinyurl.com/yunbedownload.
PMID- 22084255
TI - PubChem promiscuity: a web resource for gathering compound promiscuity data from
PubChem.
AB - SUMMARY: Promiscuity counts allow for a better understanding of a compound's
assay activity profile and drug potential. Although PubChem contains a vast
amount of compound and assay data, it currently does not have a convenient or
efficient method to obtain in-depth promiscuity counts for compounds. PubChem
promiscuity fills this gap. It is a Java servlet that uses NCBI Entrez (eUtils)
web services to interact with PubChem and provide promiscuity counts in a variety
of categories along with compound descriptors, including PAINS-based functional
group detection. AVAILABILITY: http://chemutils.florida.scripps.edu/pcpromiscuity
CONTACT: southern@scripps.edu
PMID- 22084256
TI - Discovering transcription factor regulatory targets using gene expression and
binding data.
AB - MOTIVATION: Identifying the target genes regulated by transcription factors (TFs)
is the most basic step in understanding gene regulation. Recent advances in high
throughput sequencing technology, together with chromatin immunoprecipitation
(ChIP), enable mapping TF binding sites genome wide, but it is not possible to
infer function from binding alone. This is especially true in mammalian systems,
where regulation often occurs through long-range enhancers in gene-rich
neighborhoods, rather than proximal promoters, preventing straightforward
assignment of a binding site to a target gene. RESULTS: We present EMBER
(Expectation Maximization of Binding and Expression pRofiles), a method that
integrates high-throughput binding data (e.g. ChIP-chip or ChIP-seq) with gene
expression data (e.g. DNA microarray) via an unsupervised machine learning
algorithm for inferring the gene targets of sets of TF binding sites. Genes
selected are those that match overrepresented expression patterns, which can be
used to provide information about multiple TF regulatory modes. We apply the
method to genome-wide human breast cancer data and demonstrate that EMBER
confirms a role for the TFs estrogen receptor alpha, retinoic acid receptors
alpha and gamma in breast cancer development, whereas the conventional approach
of assigning regulatory targets based on proximity does not. Additionally, we
compare several predicted target genes from EMBER to interactions inferred
previously, examine combinatorial effects of TFs on gene regulation and
illustrate the ability of EMBER to discover multiple modes of regulation.
AVAILABILITY: All code used for this work is available at http://dinner
group.uchicago.edu/downloads.html.
PMID- 22084257
TI - Where the United States falls down and how we might stand up.
PMID- 22084258
TI - When lightning strikes.
PMID- 22084259
TI - Impact of insurance and hospital ownership on hospital length of stay among
patients with ambulatory care-sensitive conditions.
AB - PURPOSE Some studies suggest proprietary (for-profit) hospitals are maximizing
financial margins from patient care by limiting therapies or decreasing length of
stay for uninsured patients. This study examines the role of insurance related to
length of stay once the patient is in the hospital and risk for mortality,
particularly in a for-profit environment. METHODS We undertook an analysis of
hospitalizations in the National Hospital Discharge Survey (NHDS) of the 5-year
period of 2003 to 2007 for patients aged 18 to 64 years (unweighted n = 849,866;
weighted n = 90 million). The analysis included those who were hospitalized with
both ambulatory care-sensitive conditions (ACSCs), hospitalizations considered to
be preventable, and non-ACSCs. We analyzed the transformed mean length of stay
between individuals who had Medicaid or all other insurance types while
hospitalized and those who were hospitalized without insurance. This analysis was
stratified by hospital ownership. We also examined the relationship between in
hospital mortality and insurance status. RESULTS After controlling for
comorbidities; age, sex, and race/ethnicity; and hospitalizations with either an
ACSC or non-ACSC diagnosis, patients without insurance tended to have a
significantly shorter length of stay. Across all hospital types, the mean length
of stay for ACSCs was significantly shorter for individuals without insurance
(2.77 days) than for those with either private insurance (2.89 days, P = .04) or
Medicaid (3.19, P <.01). Among hospitalizations for ACSCs, in-hospital mortality
rate for individuals with either private insurance or Medicaid was not
significantly different from the mortality rate for those without insurance.
CONCLUSIONS Patients without insurance have shorter lengths of stay for both
ACSCs and non-ACSCs. Future research should examine whether patients without
insurance are being discharged prematurely.
PMID- 22084260
TI - Effect of closure of a local safety-net hospital on primary care physicians'
perceptions of their role in patient care.
AB - PURPOSE We examined how the closure of a large safety-net hospital in Los Angeles
County, California, affected local primary care physicians. METHODS We conducted
semistructured interviews with 42 primary care physicians who practiced in both
underserved and nonunderserved settings in Los Angeles County. Two investigators
independently reviewed and coded transcripts. Three investigators used pile
sorting to sort the codes into themes. RESULTS Overall, 28 of 42 physicians (67%)
described some effect of the hospital closure on their practices. Three major
themes emerged regarding the impact of the closure on the affected physicians:
(1) reduced local access to specialist consultations, direct hospital admissions,
and timely emergency department evaluation; (2) more patient delays in care and
worse health outcomes because of poor patient understanding of the health care
system changes; and (3) loss of colleagues and opportunities to teach residents
and medical students. CONCLUSIONS Physicians in close proximity to the closed
hospital-even those practicing in nonunderserved settings-reported difficulty
getting their patients needed care that extended beyond the anticipated loss of
inpatient services. There is a need for greater recognition of and support for
the role primary care physicians play in coordinating care; promoting continuity
of care; and informing patients, clinic administrators and policy makers about
system changes during such transitions.
PMID- 22084262
TI - Trends in quality during medical home transformation.
AB - PURPOSE We describe changes over time in performance on measures of technical
quality and patient experience as a group of primary care clinics transformed
themselves into level III patient-centered medical homes. METHODS A group of 21
Minnesota primary care clinics achieving level III recognition as medical homes
by the National Committee for Quality Assurance has been tracking a variety of
quality and patient satisfaction measures for years. We analyzed trends in these
measures and compared them with those of other medical groups in the community to
estimate what we might expect as other primary care sites gear up to achieve
medical home status. RESULTS The clinics in this group achieved a 1% to 3%
increase per year in patient satisfaction and a 2% to 7% increase per year in
performance on quality measures for diabetes, coronary artery disease, preventive
services, and generic medication use. When compared with the average for other
medical groups in the region, the rates of increase were greater for
satisfaction, but similar for the quality measures. CONCLUSIONS Achieving medical
home recognition was associated with improvements in quality and patient
satisfaction for these clinics, but the rate of improvement is slow and does not
always exceed levels in the surrounding community in Minnesota (which are also
improving). Expectations for large and rapid change are probably unrealistic.
PMID- 22084261
TI - Parent and child usual source of care and children's receipt of health care
services.
AB - PURPOSE In the United States, children who have a usual source of care (USC) have
better access to health care than those who do not, but little is known about how
parental USC affects children's access. We examined the association between child
and parent USC patterns and children's access to health care services. METHODS We
undertook a secondary analysis of nationally representative, cross-sectional data
from children participating in the 2002-2007 Medical Expenditure Panel Survey (n
= 56,302). We assessed 10 outcome measures: insurance coverage gaps, no doctor
visits in the past year, less than yearly dental visits, unmet medical and
prescription needs, delayed care, problems getting care, and unmet preventive
counseling needs regarding healthy eating, regular exercise, car safety devices,
and bicycle helmets. RESULTS Among children, 78.6% had a USC and at least 1
parent with a USC, whereas 12.4% had a USC but no parent USC. Children with a USC
but no parent USC had a higher likelihood of several unmet needs, including an
insurance coverage gap (adjusted risk ratio [aRR] 1.33; 95% confidence interval
[CI], 1.21-1.47), an unmet medical or prescription need (aRR 1.70; 95% CI 1.09
2.65), and no yearly dental visits (aRR 1.12; 95% CI 1.06-1.18), compared with
children with a USC whose parent(s) had a USC. CONCLUSIONS Among children with a
USC, having no parent USC was associated with a higher likelihood of reporting
unmet needs when compared with children whose parent(s) had a USC. Policy reforms
should ensure access to a USC for all family members.
PMID- 22084263
TI - Complaints against family physicians submitted to disciplinary tribunals in the
Netherlands: lessons for patient safety.
AB - PURPOSE We analyzed the disciplinary law verdicts concerning family physicians,
submitted to the Dutch disciplinary law system, to identify domains of high risk
of harm for patients in family practice. METHODS The Dutch disciplinary law
system offers patients the opportunity to file complaints against physicians
outside a legal malpractice system, without possibility of financial compensation
in case of verdicts in which the physician was found to be at fault. We performed
an analysis of 250 random disciplinary law verdicts on Dutch family physicians
submitted to disciplinary tribunals and published between 2008 and 2010. Our
analysis focused on clinical domains represented in the verdicts with serious
permanent damage or death. RESULTS Of the 74 complaints with a serious health
outcome, 44.6% (n = 33) were related to a wrong diagnosis, 23.0% (n = 17) to
insufficient care, 8.1% (n = 6) to a wrong treatment, 8.1% (n = 6) to a late
arrival at a house visit, 5.4% (n = 4) to a late referral to the hospital, and
1.4% (n = 1) to insufficient information given; 9.5% (n = 7) consisted of other
complaints. The wrong or late diagnosis-related cases mostly consisted of
myocardial infarction and stroke (35.1%) and malignancies (33.7%). The family
physician was disciplined as a result of 37 of these 74 complaints (50%).
Logistic regression analysis showed that a serious outcome was associated with a
higher probability of disciplinary measures (B=0.703; P =.02) CONCLUSIONS The
disciplinary law system in the Netherlands differs fundamentally from a legal
malpractice system. It can be used to learn from patients' complaints with a view
on improving patient safety.
PMID- 22084264
TI - Translating cholesterol guidelines into primary care practice: a multimodal
cluster randomized trial.
AB - PURPOSE We wanted to determine whether an intervention based on patient
activation and a physician decision support tool was more effective than usual
care for improving adherence to National Cholesterol Education Program
guidelines. METHODS A 1-year cluster randomized controlled trial was performed
using 30 primary care practices (4,105 patients) in southeastern New England. The
main outcome was the percentage of patients screened for hyperlipidemia and
treated to their low-density lipoprotein (LDL) and non-high-density lipoprotein
(HDL) cholesterol goals. RESULTS After 1 year of intervention, both randomized
practice groups improved screening (89% screened), and 74% of patients in both
groups were at their LDL and non-HDL cholesterol goals (P <.001). Using intent-to
treat analysis, we found no statistically significant differences between
practice groups in screening or percentage of patients who achieved LDL and non
HDL cholesterol goals. Post hoc analysis showed practices who made high use of
the patient activation kiosk were more likely to have patients screened (odds
ratio [OR] = 2.54; 95% confidence interval [CI], 1.97-3.27) compared with those
who made infrequent or no use. Additionally, physicians who made high use of
decision support tools were more likely to have their patients at their LDL
cholesterol goals (OR = 1.27; 95% CI, 1.07-1.50) and non-HDL goals (OR = 1.23;
95% CI, 1.04-1.46) than low-use or no-use physicians. CONCLUSION This study
showed null results with the intent-to-treat analysis regarding the benefits of a
patient activation and a decision support tool in improving cholesterol
management in primary care practices. Post hoc analysis showed a potential
benefit in practices that used the e-health tools more frequently in screening
and management of dyslipidemia. Further research on how to incorporate and
increase adoption of user-friendly, patient-centered e-health tools to improve
screening and management of chronic diseases and their risk factors is warranted.
PMID- 22084266
TI - Promoting healthy lifestyles and decreasing childhood obesity: increasing
physician effectiveness through advocacy.
AB - Childhood obesity is a well-documented public health crisis. Even many children
who are not overweight have inadequate physical activity, poor nutrition,
excessive television and other screen time, or some combination thereof. The
solution lies in the community. Environmental interventions are among the most
effective for improving public health. In addition to addressing lifestyle issues
in the office, physicians should advocate for environmental approaches. We can
advocate at institutional, local, state, and federal levels through speaking,
writing, and collaborating with others. In the United States, the timing is right
to synergize with efforts such as the White House Task Force on Childhood Obesity
and the Surgeon General's emphasis on changing the national conversation "from a
negative one about obesity and illness" to a positive one about health and
fitness.
PMID- 22084265
TI - Patient-doctor depth-of-relationship scale: development and validation.
AB - PURPOSE Because patient-doctor continuity has been measured in its longitudinal
rather than its personal dimension, evidence to show that seeing the same doctor
leads to better patient care is weak. Existing relational measures of patient
doctor continuity are limited, so we developed a new patient self-completion
instrument designed to specifically measure patient-doctor depth of relationship.
METHODS Draft versions of the questionnaire were tested with patients in face-to
face interviews and 2 rounds of pilot testing. The final instrument was completed
by patients attending routine appointments with their general practitioner, and
some were sent a follow-up questionnaire. Scale structure, validity, and
reliability were assessed. RESULTS Face validity of candidate items was confirmed
in interviews with 11 patients. Data from the pilot rounds 1 (n = 375) and 2 (n =
154) were used to refine and shorten the questionnaire. The final instrument
comprised a single scale of 8 items and had good internal reliability (Cronbach's
alpha = .93). In the main study (N = 490), seeing the same doctor was associated
with deep patient-doctor relationships, but the relationship appeared to be
nonlinear (overall adjusted odds ratio = 1.5; 95% CI, 1.2-1.8). Test-retest
reliability in a sample of participants (n = 154) was good (intracluster
correlation coefficient 0.87; 95% CI, 0.53-0.97). CONCLUSIONS The Patient-Doctor
Depth-of-Relationship Scale is a novel, conceptually grounded questionnaire that
is easy for patients to complete and is psychometrically robust. Future research
will further establish its validity and answer whether patient-doctor depth of
relationship is associated with improved patient care.
PMID- 22084267
TI - Family physicians leaving their clinic--the Balint group as an opportunity to say
good-bye.
AB - The cornerstone of family medicine is the belief in both the continuity and
availability of care. These beliefs are challenged when a doctor leaves his or
her clinic because of personal reasons. In the example described in this article,
the involvement of colleagues in a Balint group led a doctor to a flash insight
into her conflicting feelings related to leaving her clinic. The group process
helped her to prepare and deal with her own feelings and needs, as well as those
of her patients and staff. Balint groups are a secure place to explore and gain
insight into the emotional aspects of attachment and separation of physicians
from their patients.
PMID- 22084268
TI - AAFP's new president urges family physicians to "be part of the unstoppable force
of family medicine".
PMID- 22084269
TI - Pisacano Leadership Foundation names 2011 Pisacano Scholars.
PMID- 22084270
TI - Building a high-performance organization for the discipline.
PMID- 22084271
TI - Leadership in an age of uncertainty and austerity.
PMID- 22084272
TI - Implications of the 2011 ACGME duty hour rules.
PMID- 22084273
TI - Lessons learned from rebuilding a primary care infrastructure: a Canadian
perspective.
PMID- 22084276
TI - POLG-related disorders: defects of the nuclear and mitochondrial genome
interaction.
PMID- 22084277
TI - Neuron-specific enolase correlates with other prognostic markers after cardiac
arrest.
PMID- 22084278
TI - SPP1 genotype is a determinant of disease severity in Duchenne muscular
dystrophy: predicting the severity of Duchenne muscular dystrophy: implications
for treatment.
PMID- 22084279
TI - The outer arterial wall layers are primarily affected in spontaneous cervical
artery dissection.
PMID- 22084280
TI - Teaching NeuroImages: apathetic variant of frontotemporal dementia.
PMID- 22084281
TI - Teaching NeuroImages: perineural spread of basal cell carcinoma along the
trigeminal nerve.
PMID- 22084282
TI - Teaching NeuroImages: recurrent vertebrobasilar embolism out of a blind sack.
PMID- 22084283
TI - Reduced uptake of [18F]FDOPA PET in asymptomatic welders with occupational
manganese exposure.
PMID- 22084284
TI - A new golden age: testing general relativity with cosmology.
AB - Gravity drives the evolution of the Universe and is at the heart of its
complexity. Einstein's field equations can be used to work out the detailed
dynamics of space and time and to calculate the emergence of large-scale
structure in the distribution of galaxies and radiation. Over the past few years,
it has become clear that cosmological observations can be used not only to
constrain different world models within the context of Einstein gravity but also
to constrain the theory of gravity itself. In this article, we look at different
aspects of this new field in which cosmology is used to test theories of gravity
with a wide range of observations.
PMID- 22084285
TI - One gravitational potential or two? Forecasts and tests.
AB - The metric of a perturbed Robertson-Walker space-time is characterized by three
functions: a scale-factor giving the expansion history and two potentials that
generalize the single potential of Newtonian gravity. The Newtonian potential
induces peculiar velocities and, from these, the growth of matter fluctuations.
Massless particles respond equally to the Newtonian potential and to a curvature
potential. The difference of the two potentials, called the gravitational slip,
is predicted to be very small in general relativity, but can be substantial in
modified gravity theories. The two potentials can be measured, and gravity tested
on cosmological scales, by combining weak gravitational lensing or the integrated
Sachs-Wolfe effect with galaxy peculiar velocities or clustering.
PMID- 22084286
TI - Modifications of gravity.
AB - General relativity (GR) is a phenomenologically successful theory that rests on
firm foundations, but has not been tested on cosmological scales. The deep
mystery of dark energy (and possibly even the requirement of cold dark matter
(CDM)) has increased the need for testing modifications to GR, as the inference
of such otherwise undetected fluids depends crucially on the theory of gravity.
Here, I discuss a general scheme for constructing consistent and covariant
modifications to the Einstein equations. This framework is such that there is a
clear connection between the modification and the underlying field content that
produces it. I argue that this is mandatory for distinguishing modifications of
gravity from conventional fluids. I give a non-trivial example, a simple metric
based modification of the fluctuation equations for which the background is exact
LambdaCDM, but differs from it in the perturbations. I show how this can be
generalized and solved in terms of two arbitrary functions. Finally, I discuss
future prospects and directions of research.
PMID- 22084287
TI - Constraints on gravity on cosmic scales with upcoming large-scale structure
surveys.
AB - We consider how upcoming, prospective large-scale structure surveys, measuring
galaxy weak lensing and position in tandem with the cosmic microwave background
temperature anisotropies, constrain cosmic scale modifications to general
relativity. In such theories, both the homogeneous expansion history and the
growth of large-scale structure can have signatures of the modification. We
consider an equation of state figure of merit parameter, and introduce an
analogous figure of merit parameter for modified gravity, to quantify the
relative constraints. We discuss how assumptions about the presence of
astrophysical and instrumental systematics such as galaxy bias, intrinsic
alignments, weak lensing shear calibration uncertainties and photometric redshift
offsets can impact the prospective dark energy constraints.
PMID- 22084288
TI - Model-independent tests of cosmic gravity.
AB - Gravitation governs the expansion and fate of the universe, and the growth of
large-scale structure within it, but has not been tested in detail on these
cosmic scales. The observed acceleration of the expansion may provide signs of
gravitational laws beyond general relativity (GR). Since the form of any such
extension is not clear, from either theory or data, we adopt a model-independent
approach to parametrizing deviations to the Einstein framework. We explore the
phase space dynamics of two key post-GR functions and derive a classification
scheme, and an absolute criterion on accuracy necessary for distinguishing
classes of gravity models. Future surveys will be able to constrain the post-GR
functions' amplitudes and forms to the required precision, and hence reveal new
aspects of gravitation.
PMID- 22084289
TI - A gravitational puzzle.
AB - The challenge to understand the physical origin of the cosmic acceleration is
framed as a problem of gravitation. Specifically, does the relationship between
stress-energy and space-time curvature differ on large scales from the
predictions of general relativity. In this article, we describe efforts to model
and test a generalized relationship between the matter and the metric using
cosmological observations. Late-time tracers of large-scale structure, including
the cosmic microwave background, weak gravitational lensing, and clustering are
shown to provide good tests of the proposed solution. Current data are very close
to proving a critical test, leaving only a small window in parameter space in the
case that the generalized relationship is scale free above galactic scales.
PMID- 22084290
TI - Tensor-vector-scalar-modified gravity: from small scale to cosmology.
AB - The impressive success of the standard cosmological model has suggested to many
that its ingredients are all that one needs to explain galaxies and their
systems. I summarize a number of known problems with this programme. They might
signal the failure of standard gravity theory on galaxy scales. The requisite
hints as to the alternative gravity theory may lie with the modified Newtonian
dynamics (MOND) paradigm, which has proved to be an effective summary of galaxy
phenomenology. A simple nonlinear modified gravity theory does justice to MOND at
the non-relativistic level, but cannot be consistently promoted to relativistic
status. The obstacles were first side-stepped with the formulation of tensor
vector-scalar theory (TeVeS), a covariant-modified gravity theory. I review its
structure, its MOND and Newtonian limits, and its performance in the face of
galaxy phenomenology. I also summarize features of TeVeS cosmology and describe
the confrontation with data from strong and weak gravitational lensing.
PMID- 22084291
TI - Modifying gravity: you cannot always get what you want.
AB - The combination of general relativity (GR) and the Standard Model of particle
physics disagrees with numerous observations on scales from our Solar System up.
In the canonical concordance model of Lambda cold dark matter (LambdaCDM)
cosmology, many of these contradictions between theory and data are removed or
alleviated by the introduction of three completely independent new components of
stress energy--the inflaton, dark matter and dark energy. Each of these in its
turn is meant to have dominated (or to currently dominate) the dynamics of the
Universe. There is, until now, no non-gravitational evidence for any of these
dark sectors, nor is there evidence (though there may be motivation) for the
required extension of the Standard Model. An alternative is to imagine that it is
GR that must be modified to account for some or all of these disagreements.
Certain coincidences of scale even suggest that one might expect not to make
independent modifications of the theory to replace each of the three dark
sectors. Because they must address the most different types of data, attempts to
replace dark matter with modified gravity are the most controversial. A
phenomenological model (or family of models), modified Newtonian dynamics, has,
over the last few years, seen several covariant realizations. We discuss a number
of challenges that any model that seeks to replace dark matter with modified
gravity must face: the loss of Birkhoff's theorem, and the calculational
simplifications it implies; the failure to explain clusters, whether static or
interacting, and the consequent need to introduce dark matter of some form,
whether hot dark matter neutrinos or dark fields that arise in new sectors of the
modified gravity theory; the intrusion of cosmological expansion into the
modified force law, which arises precisely because of the coincidence in scale
between the centripetal acceleration at which Newtonian gravity fails in galaxies
and the cosmic acceleration. We conclude with the observation that, although
modified gravity may indeed manage to replace dark matter, it is likely to do so
by becoming or at least incorporating a dark matter theory itself.
PMID- 22084292
TI - Testing general relativity: from local to cosmological scales.
AB - I summarize various tests of general relativity on astrophysical scales, based on
the large-scale structure of the universe but also on other systems, in
particular the constants of physics. I emphasize the importance of hypotheses on
the geometric structures of our universe while performing such tests and discuss
their complementarity as well as their possible extensions.
PMID- 22084293
TI - Redshift-space distortions.
AB - Comparing measurements of redshift-space distortions (RSDs) with geometrical
observations of the expansion of the Universe offers tremendous potential for
testing general relativity on very large scales. The basic linear theory of RSDs
in the distant-observer limit has been known for 25 years and the effect has been
conclusively observed in numerous galaxy surveys. The next generation of galaxy
survey will observe many millions of galaxies over volumes of many tens of
Gpc(3). They will provide RSD measurements of such exquisite precision that we
will have to carefully analyse and correct for many systematic deviations from
this simple picture in order to fully exploit the statistical precision obtained.
We review RSD theory and show how ubiquitous RSDs actually are, and then consider
a number of potential systematic effects, shamelessly highlighting recent work in
which we have been involved. This review ends by looking ahead to the future
surveys that will make the next generation of RSD measurements.
PMID- 22084294
TI - Cosmological probes of modified gravity: the nonlinear regime.
AB - We review the effects of modified gravity on large-scale structure in the
nonlinear regime, focusing on f(R) gravity and the Dvali-Gabadadze-Porrati model,
for which full N-body simulations have been performed. In particular, we discuss
the abundance of massive halos, the nonlinear matter power spectrum and the
dynamics within clusters and galaxies, with particular emphasis on the screening
mechanisms present in these models.
PMID- 22084295
TI - Designing surveys for tests of gravity.
AB - Modified gravity theories may provide an alternative to dark energy to explain
cosmic acceleration. We argue that the observational programme developed to test
dark energy needs to be augmented to capture new tests of gravity on
astrophysical scales. Several distinct signatures of gravity theories exist
outside the 'linear' regime, especially owing to the screening mechanism that
operates inside halos such as the Milky Way to ensure that gravity tests in the
solar system are satisfied. This opens up several decades in length scale and
classes of galaxies at low redshift that can be exploited by surveys. While
theoretical work on models of gravity is in the early stages, we can already
identify new regimes that cosmological surveys could target to test gravity.
These include: (i) a small-scale component that focuses on the interior and
vicinity of galaxy and cluster halos, (ii) spectroscopy of low-redshift galaxies,
especially galaxies smaller than the Milky Way, in environments that range from
voids to clusters, and (iii) a programme of combining lensing and dynamical
information, from imaging and spectroscopic surveys, respectively, on the same
(or statistically identical) sample of galaxies.
PMID- 22084296
TI - Model selection for modified gravity.
AB - In this article, we review model selection predictions for modified gravity
scenarios as an explanation for the observed acceleration of the expansion
history of the Universe. We present analytical procedures for calculating
expected Bayesian evidence values in two cases: (i) that modified gravity is a
simple parametrized extension of general relativity (GR; two nested models), such
that a Bayes' factor can be calculated, and (ii) that we have a class of non
nested models where a rank-ordering of evidence values is required. We show that,
in the case of a minimal modified gravity parametrization, we can expect large
area photometric and spectroscopic surveys, using three-dimensional cosmic shear
and baryonic acoustic oscillations, to 'decisively' distinguish modified gravity
models over GR (or vice versa), with odds of ?1:100. It is apparent that the
potential discovery space for modified gravity models is large, even in a simple
extension to gravity models, where Newton's constant G is allowed to vary as a
function of time and length scale. On the time and length scales where dark
energy dominates, it is only through large-scale cosmological experiments that we
can hope to understand the nature of gravity.
PMID- 22084297
TI - What do we really know about dark energy?
AB - In this paper, we discuss what we truly know about dark energy. I shall argue
that, to date, our single indication for the existence of dark energy comes from
distance measurements and their relation to redshift. Supernovae, cosmic
microwave background anisotropies and observations of baryon acoustic
oscillations simply tell us that the observed distance to a given redshift z is
larger than the one expected from a Friedmann-Lemaitre universe with matter only
and the locally measured Hubble parameter.
PMID- 22084298
TI - Is the Universe homogeneous?
AB - The standard model of cosmology is based on the existence of homogeneous surfaces
as the background arena for structure formation. Homogeneity underpins both
general relativistic and modified gravity models and is central to the way in
which we interpret observations of the cosmic microwave background (CMB) and the
galaxy distribution. However, homogeneity cannot be directly observed in the
galaxy distribution or CMB, even with perfect observations, since we observe on
the past light cone and not on spatial surfaces. We can directly observe and test
for isotropy, but to link this to homogeneity we need to assume the Copernican
principle (CP). First, we discuss the link between isotropic observations on the
past light cone and isotropic space-time geometry: what observations do we need
to be isotropic in order to deduce space-time isotropy? Second, we discuss what
we can say with the Copernican assumption. The most powerful result is based on
the CMB: the vanishing of the dipole, quadrupole and octupole of the CMB is
sufficient to impose homogeneity. Real observations lead to near-isotropy on
large scales--does this lead to near-homogeneity? There are important partial
results, and we discuss why this remains a difficult open question. Thus, we are
currently unable to prove homogeneity of the Universe on large scales, even with
the CP. However, we can use observations of the cosmic microwave background,
galaxies and clusters to test homogeneity itself.
PMID- 22084299
TI - Confirmation of the Copernican principle through the anisotropic kinetic Sunyaev
Zel'dovich effect.
AB - The Copernican principle remains largely unproven at Gpc radial scale and above.
Generally, violations of this type cause a first-order anisotropic kinetic
Sunyaev Zel'dovich (kSZ) effect. Here we show that, if large-scale radial
inhomogeneities have amplitude large enough to explain the 'dark energy'
phenomena, the induced kSZ power spectrum will be orders of magnitude larger than
the Atacama cosmology telescope/South Pole telescope upper limit. This single
test rules out the void model as the cause of the apparent cosmic acceleration,
confirms the Copernican principle on Gpc radial scale and above, and closes a
loophole in the standard cosmology.
PMID- 22084300
TI - Arrhythmia-induced cardiomyopathies: the riddle of the chicken and the egg still
unanswered?
AB - The hypothesis testing of inappropriate fast, irregular, or asynchronous
myocardial contraction provoking cardiomyopathy has been the primary focus of
numerous research efforts, especially during the last few decades. Rapid
ventricular rates resulting from supraventricular arrhythmias and atrial
fibrillation (AF), irregularity of heart rhythm-basic element of AF-and
asynchrony, as a consequence of right ventricular pacing, bundle branch block, or
frequent premature ventricular complexes, have been established as primary causes
of arrhythmia-induced cardiomyopathy. The main pathophysiological pathways
involved have been clarified, including neurohumoral activation, energy stores
depletion, and abnormalities in stress and strain. Unfortunately, from a clinical
point of view, patients usually seek medical advice only when symptoms develop,
while the causative arrhythmia may be present for months or years, resulting in
myocardial remodelling, diastolic, and systolic dysfunction. In some cases,
making a definite diagnosis may become a strenuous exercise for the treating
physician, as the arrhythmia may not be present and, additionally, therapy must
be applied for the diagnosis to be confirmed retrospectively. The diagnostic
process is also hardened due to the fact that strict diagnosing criteria are
still a matter of discrepancy. Therapy options include pharmaceutical agents
trials, catheter-based therapies and, in the context of chronic ventricular
pacing, resynchronization. For the majority of patients, partial or complete
recovery is expected, although they have to be followed up thoroughly due to the
risk of recurrence. Large, randomized controlled trials are more than necessary
to optimize patients' stratification and therapeutic strategy choices.
PMID- 22084301
TI - Doubly robust estimation of the generalized impact fraction.
AB - The attributable fraction (AF) is commonly used in epidemiology to quantify the
impact of an exposure to a disease. Recently, Sjolander and Vansteelandt (2011.
Doubly robust estimation of attributable fractions. Biostatistics 12, 112-121)
introduced the doubly robust (DR) estimator of the AF, which involves positing
models for both the exposure and the outcome and is consistent if at least one of
these models is correct. In this article, we derived a DR estimator of the
generalized impact fraction (IF) with a polytomous exposure. The IF is a measure
that generalizes the AF by allowing the possibility of incomplete removal of the
exposure. We demonstrated the performance of the proposed estimator via a
simulation study and by application to data from a large prospective cohort study
conducted in Japan.
PMID- 22084302
TI - Gene-environment interaction testing in family-based association studies with
phenotypically ascertained samples: a causal inference approach.
AB - We propose a method for testing gene-environment (G * E) interactions on a
complex trait in family-based studies in which a phenotypic ascertainment
criterion has been imposed. This novel approach employs G-estimation, a
semiparametric estimation technique from the causal inference literature, to
avoid modeling of the association between the environmental exposure and the
phenotype, to gain robustness against unmeasured confounding due to population
substructure, and to acknowledge the ascertainment conditions. The proposed test
allows for incomplete parental genotypes. It is compared by simulation studies to
an analogous conditional likelihood-based approach and to the QBAT-I test, which
also invokes the G-estimation principle but ignores ascertainment. We apply our
approach to a study of chronic obstructive pulmonary disorder.
PMID- 22084303
TI - Denise Montell: lighting the way in border cell migration by Caitlin Sedwick.
PMID- 22084304
TI - The secret life of alpha-catenin: moonlighting in morphogenesis.
AB - Cadherin-based intercellular adhesions are important determinants of proper
tissue architecture. These adhesions must be both stable and dynamic to maintain
tissue integrity as cells undergo morphogenetic movements during development. The
role of alpha-catenin in this process has been vigorously debated due to
conflicting in vitro and in vivo evidence regarding its molecular mechanism of
action. Recent data supports the classical view that alpha-catenin facilitates
actin attachments at adherens junctions, but also suggests that alpha-catenin may
act as a force transducer, and may have additional roles in the cytoplasm. These
multiple functions for alpha-catenin converge on the regulation of adhesion and
may help to explain its stable yet dynamic nature.
PMID- 22084305
TI - The Rap1-Rgl-Ral signaling network regulates neuroblast cortical polarity and
spindle orientation.
AB - A crucial first step in asymmetric cell division is to establish an axis of cell
polarity along which the mitotic spindle aligns. Drosophila melanogaster neural
stem cells, called neuroblasts (NBs), divide asymmetrically through intrinsic
polarity cues, which regulate spindle orientation and cortical polarity. In this
paper, we show that the Ras-like small guanosine triphosphatase Rap1 signals
through the Ral guanine nucleotide exchange factor Rgl and the PDZ protein Canoe
(Cno; AF-6/Afadin in vertebrates) to modulate the NB division axis and its
apicobasal cortical polarity. Rap1 is slightly enriched at the apical pole of
metaphase/anaphase NBs and was found in a complex with atypical protein kinase C
and Par6 in vivo. Loss of function and gain of function of Rap1, Rgl, and Ral
proteins disrupt the mitotic axis orientation, the localization of Cno and
Mushroom body defect, and the localization of cell fate determinants. We propose
that the Rap1-Rgl-Ral signaling network is a novel mechanism that cooperates with
other intrinsic polarity cues to modulate asymmetric NB division.
PMID- 22084306
TI - CENP-A exceeds microtubule attachment sites in centromere clusters of both
budding and fission yeast.
AB - The stoichiometries of kinetochores and their constituent proteins in yeast and
vertebrate cells were determined using the histone H3 variant CENP-A, known as
Cse4 in budding yeast, as a counting standard. One Cse4-containing nucleosome
exists in the centromere (CEN) of each chromosome, so it has been assumed that
each anaphase CEN/kinetochore cluster contains 32 Cse4 molecules. We report that
anaphase CEN clusters instead contained approximately fourfold more Cse4 in
Saccharomyces cerevisiae and ~40-fold more CENP-A (Cnp1) in Schizosaccharomyces
pombe than predicted. These results suggest that the number of CENP-A molecules
exceeds the number of kinetochore-microtubule (MT) attachment sites on each
chromosome and that CENP-A is not the sole determinant of kinetochore assembly
sites in either yeast. In addition, we show that fission yeast has enough Dam1
DASH complex for ring formation around attached MTs. The results of this study
suggest the need for significant revision of existing CEN/kinetochore
architectural models.
PMID- 22084307
TI - Point centromeres contain more than a single centromere-specific Cse4 (CENP-A)
nucleosome.
AB - Cse4 is the budding yeast homologue of CENP-A, a modified histone H3 that
specifies the base of kinetochores in all eukaryotes. Budding yeast is unique in
having only one kinetochore microtubule attachment site per centromere. The
centromere is specified by CEN DNA, a sequence-specific binding complex (CBF3),
and a Cse4-containing nucleosome. Here we compare the ratio of kinetochore
proximal Cse4-GFP fluorescence at anaphase to several standards including
purified EGFP molecules in vitro to generate a calibration curve for the copy
number of GFP-fusion proteins. Our results yield a mean of ~5 Cse4s, ~3 inner
kinetochore CBF3 complexes, and ~20 outer kinetochore Ndc80 complexes. Our
calibrated measurements increase 2.5-3-fold protein copy numbers at eukaryotic
kinetochores based on previous ratio measurements assuming two Cse4s per budding
yeast kinetochore. All approximately five Cse4s may be associated with the CEN
nucleosome, but we show that a mean of three Cse4s could be located within
flanking nucleosomes at random sites that differ between chromosomes.
PMID- 22084308
TI - Sticky/Citron kinase maintains proper RhoA localization at the cleavage site
during cytokinesis.
AB - In many organisms, the small guanosine triphosphatase RhoA controls assembly and
contraction of the actomyosin ring during cytokinesis by activating different
effectors. Although the role of some RhoA effectors like formins and Rho kinase
is reasonably understood, the functions of another putative effector, Citron
kinase (CIT-K), are still debated. In this paper, we show that, contrary to
previous models, the Drosophila melanogaster CIT-K orthologue Sticky (Sti) does
not require interaction with RhoA to localize to the cleavage site. Instead, RhoA
fails to form a compact ring in late cytokinesis after Sti depletion, and this
function requires Sti kinase activity. Moreover, we found that the Sti Citron
Nik1 homology domain interacts with RhoA regardless of its status, indicating
that Sti is not a canonical RhoA effector. Finally, Sti depletion caused an
increase of phosphorylated myosin regulatory light chain at the cleavage site in
late cytokinesis. We propose that Sti/CIT-K maintains correct RhoA localization
at the cleavage site, which is necessary for proper RhoA activity and contractile
ring dynamics.
PMID- 22084310
TI - Astrocyte pVHL and HIF-alpha isoforms are required for embryonic-to-adult
vascular transition in the eye.
AB - Successful transition from embryonic to adult circulation is critical for
survival of mammalian organisms. This shift occurs in the central cardiovascular
circulation and in the eye as oxygen tension increases. However, its regulation
is not well understood. We have used combinatorial gene deletion and
overexpression assays to assess the effect of astrocyte-targeted deletion of von
Hippel-Lindau tumor suppressor (Vhl), hypoxia-inducible factor-alphas (Hif
alphas), and Vegf on the normal regression of the hyaloidal vessels, the fetal
ocular circulation system. Astrocytic Vhl deletion induced accelerated hyaloidal
regression and subsequent massive secondary outgrowth. Combinatorial gene
deletion involving Vhl, Hif-alphas, and Vegf genes revealed that HIF
2alpha/vascular endothelial growth factor signaling induces secondary outgrowth
in Vhl mutants. Conversely, HIF-1alpha regulated macrophage migration inhibitory
factor and promoted macrophage infiltration that accelerates hyaloidal vessel
regression. The phenotype observed in Vhl mutants strongly resembles human
persistent hyperplastic primary vitreous cases and may provide insights into
vascular remodeling mechanisms in other systems.
PMID- 22084309
TI - Two single-headed myosin V motors bound to a tetrameric adapter protein form a
processive complex.
AB - Myo4p, one of two class V myosins in budding yeast, continuously transports
messenger RNA (mRNA) cargo in the cell but is nonprocessive when characterized in
vitro. The adapter protein She3p tightly binds to the Myo4p rod, forming a single
headed motor complex. In this paper, we show that two Myo4p-She3p motors are
recruited by the tetrameric mRNA-binding protein She2p to form a processive
double-headed complex. The binding site for She3p was mapped to a single alpha
helix that protrudes at right angles from She2p. Processive runs of several
micrometers on yeast actin-tropomyosin filaments were observed only in the
presence of She2p, and, thus, motor activity is regulated by cargo binding. While
moving processively, each head steps ~72 nm in a hand-over-hand motion. Coupling
two high-duty cycle monomeric motors via a common cargo-binding adapter protein
creates a complex with transport properties comparable with a single dimeric
processive motor such as vertebrate myosin Va.
PMID- 22084311
TI - Blood and body fluid exposures in the French military.
AB - BACKGROUND: Occupational exposure to blood and body fluids (BBFs) is a hazard of
many occupations, particularly hospital-based health care providers. However, non
hospital-based health care workers (HCWs) and other personnel not providing
health care are also at risk. AIMS: To present the results of surveillance of
accidental exposure to BBFs among non-hospital-based French military personnel
between 2007 and 2009, comparing different occupational categories. METHODS: The
study population included all French military personnel subjected to occupational
BBF exposure in a non-hospital setting. BBF exposure was defined as any
percutaneous (needlestick injury, scalpel cut, etc.) or mucocutaneous (splash to
mucosa, eyes or non-intact skin) exposure to blood, a biological fluid
contaminated with blood or a fluid known to transmit blood-borne pathogens.
RESULTS: Between 1 January 2007 and 31 December 2009, 704 occupational BBF
exposures were reported in non-hospital-based French military personnel.
Annualized BBF exposure incidence rates were statistically different among health
care workers in non-hospital settings, firefighters, 'gendarmes' and other
military personnel, with respectively 38.7, 5.4, 0.8 and 0.1 exposures per 1000
persons per year. Among the 97 cases of HIV post-exposure prophylaxis (PEP)
initiated, the source patient's HIV status was unknown in 78 cases (84%).
CONCLUSIONS: These results suggest that the appropriateness of HIV PEP initiation
in the French military should be reviewed. Adapting French guidelines for
managing BBF exposure, for non-hospital-based environments should be considered.
PMID- 22084312
TI - A functional variant in the core promoter of the CD95 cell death receptor gene
predicts prognosis in acute promyelocytic leukemia.
AB - Up to 15% of acute promyelocytic leukemia (APL) patients fail to achieve or
maintain remission. We investigated a common G > A polymorphism at position -1377
(rs2234767) in the core promoter of the CD95 cell death receptor gene in 708
subjects with acute myeloid leukemia, including 231 patients with APL. Compared
with the GG genotype, carrier status for the -1377A variant was associated with a
significantly worse prognosis in APL patients. Carriers were more likely to fail
remission induction (odds ratio = 4.22; 95% confidence interval, 1.41-12.6, P =
.01), were more likely to die during the first 8 weeks of remission induction
therapy (hazard ratio = 7.26; 95% confidence interval, 2.39-22.9, P = .0005), and
had a significantly worse 5-year overall survival (odds ratio = 2.14; 95%
confidence interval, 1.10-4.15, P = .03). The -1377A variant destroys a binding
site for the SP1 transcriptional regulator and is associated with lower
transcriptional activity of the CD95 promoter. Identifying patients at high risk
of life-threatening events, such as remission induction failure, is a high
priority in APL, especially because such events represent a major cause of death
despite the introduction of differentiation therapy.
PMID- 22084313
TI - Functional CSF-1 receptors are located at the nuclear envelope and activated via
the p110delta isoform of PI 3-kinase.
AB - Colony stimulating factor-1 (CSF-1) and its receptor (CSF-1R) are key regulators
of macrophage biology, and their elevated expression in cancer cells has been
linked to poor prognosis. CSF-1Rs are thought to function at the plasma membrane.
We show here that functional CSF-1Rs are present at the nuclear envelope of
various cell types, including primary macrophages, human cancer cell lines, and
primary human carcinomas. In response to CSF-1, added to intact cells or isolated
nuclei, nucleus-associated CSF-1R became phosphorylated and triggered the
phosphorylation of Akt and p27 inside the nucleus. Extracellularly added CSF-1
was also found to colocalize with nucleus-associated CSF-1Rs. All these
activities were found to depend selectively on the activity of the p110delta
isoform of phosphoinositide 3-kinase (PI3K). This finding was related to the
p110delta-dependent translocation of exogenous CSF-1 to the nucleus-associated
CSF-1Rs, correlating with a prominent role of p110delta in activation of the Rab5
GTPase, a key regulator of the endocytic trafficking. siRNA-silencing of Rab5a
phenocopied p110delta inactivation and nuclear CSF-1 signaling. Our work
demonstrates for the first time the presence of functional nucleus-associated CSF
1Rs, which are activated by extracellular CSF-1 by a mechanism that involves
p110delta and Rab5 activity. These findings may have important implications in
cancer development.
PMID- 22084314
TI - A rice transmembrane bZIP transcription factor, OsbZIP39, regulates the
endoplasmic reticulum stress response.
AB - The endoplasmic reticulum (ER) responds to the accumulation of unfolded proteins
in its lumen (ER stress) by activating intracellular signal transduction
pathways. These pathways are known as the ER stress response or the unfolded
protein response. In this study, three rice basic leucine zipper (bZIP)
transcription factors (OsbZIP39, OsbZIP50 and OsbZIP60) containing putative
transmembrane domains (TMDs) in their C-terminal regions were identified as
candidates of the ER stress sensor transducer. One of these proteins, OsbZIP39,
was characterized in this study. OsbZIP39 was shown to associate with microsomes
as a membrane-integrated protein using the subcellular fractionation method. When
the full length and a truncated form of OsbZIP39 without the TMD (OsbZIP39DeltaC)
was fused to green fluorescent protein (GFP) and transfected into rice
protoplasts, the proteins were identified in the cytoplasm and nucleus,
respectively. This suggests that OsbZIP39 may be converted into a soluble
truncated form by proteolytic cleavage and subsequently translocated to the
nucleus. Expression of OsbZIP39DeltaC clearly activated the binding protein 1
(BiP1) promoter in a rice protoplast transient assay. Overexpression of
OsbZIP39DeltaC in stable transgenic rice also led to the up-regulation of several
ER stress response genes including BiP1 and OsbZIP50 in the absence of ER stress.
However, in the OsbZIP39DeltaC-overexpressing line, OsbZIP50 mRNA did not undergo
IRE1 (inositol-requiring protein 1)-mediated cytoplasmic splicing that is
required for its activation. These data indicate that OsbZIP39 may be directly
involved in the regulation of several ER stress response genes.
PMID- 22084316
TI - Renal transplantation.
PMID- 22084315
TI - Extensive genomic variation within clonal complexes of Neisseria meningitidis.
AB - Meningococcal disease is a widely distributed complex disease affecting all age
categories. It can cause severe meningitis and septicemia, especially in
unvaccinated infants and young children. The causative agent, Neisseria
meningitidis (Nm), can be phenotypically and genetically differentiated into
serogroups and sequence types (STs) and has a highly dynamic population
structure. To obtain a deeper understanding of the epidemiology of Nm, we
sequenced seven Nm genomes. Large-scale genomic analysis was conducted with these
7 Nm genomes, 27 additional Nm genomes from GenBank, and 4 other Neisseria
genomes. We observed extensive homologous recombination in all gene functional
categories among different Nm genomes. Homologous recombination is so frequent
that it has resulted in numerous chimeric open reading frames, including genes in
the capsule biosynthesis cluster and loci targeted by commercial vaccines. Our
results reveal that, despite widespread use, evolutionary relationships inferred
from the standard seven-gene multilocus sequence typing (MLST) method could not
predict virulence gene content or strain phenotype. In fact, up to 28% of the
virulence-associated genes could differ between strains of identical STs.
Consistent with previous studies, we found that allelic recombination is also
associated with alterations in antibiotic susceptibility. Overall, these findings
emphasize the extensive genomic plasticity of Nm and the limitations of standard
molecular methods to quantify this genotypic and phenotypic diversity.
PMID- 22084317
TI - Be more radical, vocal, and bold to improve patient safety, conference hears.
PMID- 22084318
TI - Nature defends itself in High Court over issue of "freedom of scientific
expression".
PMID- 22084319
TI - Medical researchers in Germany receive largest grants of all university
disciplines.
PMID- 22084320
TI - Definition of refugee is inadequate for current patterns of migration in armed
conflicts, report says.
PMID- 22084321
TI - EU is set to use innovative solutions to help elderly people live more healthily.
PMID- 22084322
TI - Government is not taking responsibility for improving air quality, MPs say.
PMID- 22084323
TI - Attitudes toward newborn screening for cytomegalovirus infection.
AB - OBJECTIVE: Newborns are not routinely screened for cytomegalovirus (CMV), the
leading infectious cause of developmental disability. Congenital CMV satisfies a
number of criteria for inclusion in newborn screening, and screening potentially
offers benefits. Screening could also introduce harms such as anxiety and
unnecessary costs for the families of the substantial proportion of CMV-infected
children who never develop CMV-related disabilities. Our objective was to assess
attitudes toward newborn screening for CMV. METHODS: We analyzed responses to 5
statements about CMV and newborn screening from 3922 participants in the 2009
HealthStyles survey, a national mail survey designed to include a group similar
to the US population with respect to gender, age, race/ethnicity, income, and
household size. Two-step cluster analysis was performed to identify clusters of
parental attitudes. RESULTS: The majority of respondents strongly or somewhat
agreed that they would want to have their newborn tested for CMV even if it was
not performed routinely (84%), they had to pay $20 (87%), or CMV-related problems
never developed (84%). Nearly half (47%) of them "would worry that the CMV test
would lead to unneeded doctor visits and expenses," and 32% "think CMV problems
are too rare to worry about." Three clusters of parent respondents were
identified on the basis of their attitudes toward CMV screening: "strongly in
favor" (31%), "moderately in favor" (49%), and "weakly opposed" (20%).
CONCLUSIONS: Among most parents, costs, worry, and anxiety associated with
newborn screening for CMV would be acceptable. Although attitudes were generally
favorable, a minority of the parents were weakly opposed to newborn screening for
CMV.
PMID- 22084324
TI - Contemporary ethical issues in human milk-banking in the United States.
AB - Donor human milk has been used in the United States for >90 years, but recent
advances in human milk science and laboratory techniques have led to increasing
use of this resource. Pediatricians began using donor human milk in the 1900s in
response to anecdotal observation that premature infants had better health
outcomes when receiving their own mothers' milk. Since then, a formalized human
milk-banking system developed in the mid-1980s and distributed >1 million ounces
of pasteurized donor human milk in 2008. Despite growth in the use of pasteurized
donor human milk, there is little discussion in the medical literature regarding
the ethical considerations of collection and use of this resource. Key ethical
considerations include issues surrounding medical decision-making and informed
consent, increasing the limited supply of human milk, how ethically to allocate
this scarce resource, and concerns linked to the marketing of a human milk.
PMID- 22084325
TI - Medical-legal partnership: impact on patients with sickle cell disease.
AB - OBJECTIVE: To determine the types of legal problems addressed by the Health Law
Partnership (HeLP) and the impact of the legal interventions in pediatric
patients with sickle cell disease (SCD) or its variants. We hypothesized that an
interdisciplinary team that includes lawyers would positively affect the social
determinants of health that affect patients with SCD. METHODS: The HeLP database
was retrospectively queried for all patients with the diagnosis of SCD or 1 of
its variants who had been seen by the lawyers of HeLP between April 2004 and
September 2010. Data collected in this cohort of patients included income level
of the patient/client, the initial presenting problems, any
patient/parent/guardian problems identified during the legal checkup, and the
type of legal assistance provided. Estimated annualized financial outcomes were
calculated. RESULTS: From April 2004 through September 2010, 71 parents/guardians
with 76 children with SCD were referred to the HeLP for legal intervention. Of
the 71 parents/guardians, 33 were at <100% of the federal poverty level. There
were 106 initial case problems identified in the 71 parents/guardians; 51 of 106
problems were directly related to the child. An additional 93 issues were
identified during the legal checkup. Of 106 cases, 99 were closed with 21
resulting in a measurable gain of benefits. CONCLUSIONS: In a cohort of families
of children with SCD, incorporating access to legal services as part of the care
plan resulted in a positive impact on these patients/parents/guardians. The
impact was directly attributable to the intervention of the HeLP.
PMID- 22084326
TI - Adolescent vaccination-coverage levels in the United States: 2006-2009.
AB - BACKGROUND: From 2005 through 2007, 3 vaccines were added to the adolescent
vaccination schedule: tetanus-diphtheria-acellular pertussis (TdaP);
meningococcal conjugate (MenACWY); and human papillomavirus (HPV) for girls.
OBJECTIVE: To assess implementation of new adolescent vaccination
recommendations. METHODS: Data from the 2006-2009 National Immunization Survey
Teen, an annual provider-verified random-digit-dial survey of vaccination
coverage in US adolescents aged 13 to 17 years, were analyzed. Main outcome
measures included percentage of adolescents who received each vaccine according
to survey year; potential coverage if all vaccines were administered during the
same vaccination visit; and, among unvaccinated adolescents, the reasons for not
receiving vaccine. RESULTS: Between 2006 and 2009, >=1 TdaP and >=1 MenACWY
coverage increased from 11% to 56% and 12% to 54%, respectively. Between 2007 and
2009, >=1 HPV coverage among girls increased from 25% to 44%; between 2008 and
2009, >=3 HPV coverage increased from 18% to 27%. In 2009, vaccination coverage
could have been >80% for Td/TdaP and MenACWY and as high as 74% for the first HPV
dose if providers had administered all recommended vaccines during the same
vaccination visit. For all years, the top reported reasons for not vaccinating
were no knowledge about the vaccine, provider did not recommend, and vaccine is
not needed/necessary (for TdaP and MenACWY) and adolescent is not sexually
active, no knowledge about the vaccine, and vaccine is not needed/necessary (for
HPV). CONCLUSIONS: Adolescent vaccination coverage is increasing but could be
improved. Strategies are needed to increase parental knowledge about adolescent
vaccines and improve provider recommendation and administration of all vaccines
during the same visit.
PMID- 22084327
TI - Delayed acyclovir and outcomes of children hospitalized with eczema herpeticum.
AB - OBJECTIVE: To describe the epidemiology and outcomes of children hospitalized
with eczema herpeticum and to determine the association with delayed acyclovir on
outcomes. PATIENTS AND METHODS: This was a multicenter retrospective cohort study
conducted between January 1, 2001, and March 31, 2010, of 1331 children aged 2
months to 17 years with eczema herpeticum from 42 tertiary care children's
hospitals in the Pediatric Health Information System database. Multivariable
linear regression models determined the association between delayed acyclovir
therapy and the main outcome measure: hospital length of stay (LOS). RESULTS:
There were no deaths during the study period. Staphylococcus aureus infection was
diagnosed in 30.3% of the patients; 3.9% of the patients had a bloodstream
infection. Fifty-one patients (3.8%) required ICU admission. There were 893
patients (67.1%) who received acyclovir on the first day of admission. The median
LOS increased with each day delay in acyclovir initiation. In multivariable
analysis, delay of acyclovir initiation by 1 day was associated with an 11%
increased LOS (95% confidence interval [CI]: 3%-20%; P = .008), and LOS increased
by 41% when acyclovir was started on day 3 (95% CI: 19%-67%; P < .001) and by 98%
when started on day 4 to 7 (95% CI: 60%-145%; P < .001). Use of topical
corticosteroids on day 1 of hospitalization was not associated with LOS.
CONCLUSIONS: Delay of acyclovir initiation is associated with increased LOS in
hospitalized children with eczema herpeticum. Use of topical corticosteroids on
admission is not associated with increased LOS. The mortality rate of
hospitalized children with eczema herpeticum is low.
PMID- 22084328
TI - Leveraging state immunization information systems to measure the effectiveness of
rotavirus vaccine.
AB - OBJECTIVE: Electronic immunization information systems (IISs) are now established
in almost all US states. We used the IIS in Minnesota, Georgia, and Connecticut
for immunization data and as the source of 1 of 2 control groups to measure
pentavalent rotavirus vaccine (RV5) effectiveness (VE) using case-control
methodology. PATIENTS AND METHODS: Case-subjects were vaccine-eligible children
who presented to 1 of 5 hospitals or emergency departments with gastroenteritis
and had rotavirus antigen detected in stool during any of 3 rotavirus seasons
(2007-2009). Two control groups were used: children with gastroenteritis who
tested negative for rotavirus and children from the IIS matched by zip code and
birth date. In Minnesota and Georgia, immunization records for rotavirus-positive
and -negative children were also obtained from providers. RESULTS: Overall, 402
(96%) rotavirus case-subjects and 825 (97%) rotavirus-negative controls who met
eligibility criteria were found in the IISs. Ten IIS controls were identified for
each case. VE estimates for RV5 were similar across control groups, immunization
data sources, and states. VE point estimates for 3 vs 0 doses were 89% to 94%
among children aged 8 months or older and 86% to 92% among those aged 24 months
or older. VE for 2 doses was >=90% among children aged 8 months or older, and VE
for 1 dose was 66% among those aged 6 weeks through 5 months. CONCLUSIONS: Three
RV5 doses confer sustained protection against rotavirus disease during the first
3 years of life in US children. Two RV5 doses also seem to provide good
protection. IISs can be valuable tools for assessing the effectiveness of
vaccines administered to young children.
PMID- 22084329
TI - Expert panel on integrated guidelines for cardiovascular health and risk
reduction in children and adolescents: summary report.
PMID- 22084330
TI - Preoperative anxiety and pain sensitivity are independent predictors of propofol
and sevoflurane requirements in general anaesthesia.
AB - BACKGROUND: Psychological factors are thought to drive inter-patient variations
in anaesthetic and analgesic requirements. This cross-sectional study
investigated whether preoperative psychological factors can predict anaesthetic
requirements and postoperative pain. METHODS: Before total thyroidectomy, 100
consecutive women completed the Spielberger's State-Trait Anxiety Inventory
(STAI) and the pain sensitivity questionnaire (PSQ). Target-controlled propofol
was administered for induction of anaesthesia, and sevoflurane-oxygen-air was
given to maintain equal depths of anaesthesia, as determined by bispectral index
(BIS) monitoring. RESULTS: Patients with higher anxiety scores (state and trait)
required greater amounts of propofol to reach light (BIS=85) and moderate
(BIS=75) levels of sedation, but only trait anxiety was significantly associated
with propofol requirements in reaching a deep level of sedation (BIS=65). The MAC
hour of sevoflurane was significantly correlated only with PSQ scores. The
postoperative pain intensity was significantly correlated with both STAI and PSQ.
CONCLUSIONS: Preoperative anxiety and pain sensitivity are independent predictors
of propofol and sevoflurane requirements in general anaesthesia. Anaesthetic and
analgesic doses could be modified based on the patient's preoperative anxiety and
pain sensitivity.
PMID- 22084331
TI - Warfarin dose assessment every 4 weeks versus every 12 weeks in patients with
stable international normalized ratios: a randomized trial.
AB - BACKGROUND: Guidelines recommend that patients receiving warfarin undergo
international normalized ratio (INR) monitoring every 4 weeks. OBJECTIVE: To
investigate whether assessment of warfarin dosing every 12 weeks is as safe as
assessment every 4 weeks. DESIGN: Noninferiority randomized trial. The
randomization schedule (in a 1:1 ratio) was computer-generated, and allocation
was concealed until the database was locked by using a centralized schedule.
Patients, study and clinical personnel, adjudicators of clinical events, and the
study statistician were blinded to treatment assignment. (ClinicalTrials.gov
registration number: NCT00356759) SETTING: Single center in Hamilton, Ontario,
Canada. PATIENTS: 250 patients receiving long-term warfarin therapy, whose dose
was unchanged for at least 6 months; 226 completed the study. INTERVENTION:
Dosing assessment every 12 weeks (n = 124) compared with every 4 weeks (n = 126)
for 12 months. Patients in the 12-week group were tested every 4 weeks; sham INRs
within the target range were reported for two of the three 4-week periods.
MEASUREMENTS: Percentage of time in the therapeutic range (primary outcome) and
number of extreme INRs, changes in maintenance dose, major bleeding events,
objectively verified thromboembolism, and death (secondary outcomes). RESULTS:
The percentage of time in the therapeutic range was 74.1% (SD, 18.8%) in the 4
week group compared with 71.6% (SD, 20.0%) in the 12-week group (absolute
difference, 2.5 percentage points [1-sided 97.5% upper confidence bound, 7.3
percentage points]; noninferiority P = 0.020 for a 7.5-percentage point margin).
Fewer patients in the 12-week group than in the 4-week group had any dose changes
(37.1% vs. 55.6%; absolute difference, 18.5 percentage points [95% CI, 6.1 to
30.0 percentage points]; P = 0.004). Secondary outcomes did not differ between
groups. LIMITATIONS: Patients in the 12-week group had testing and contact with
clinic staff every 4 weeks. The study was conducted at a single center and used
surrogate outcomes. CONCLUSION: Assessment of warfarin dosing every 12 weeks
seems to be safe and noninferior to assessment every 4 weeks. A comparison of INR
testing, patient contact, and warfarin dose assessment every 12 weeks versus
every 4 weeks is necessary before INR testing every 12 weeks can be routinely
recommended for practice. PRIMARY FUNDING SOURCE: Physicians' Services
Incorporated Foundation.
PMID- 22084332
TI - Risks for stroke, bleeding, and death in patients with atrial fibrillation
receiving dabigatran or warfarin in relation to the CHADS2 score: a subgroup
analysis of the RE-LY trial.
AB - BACKGROUND: CHADS(2) is a simple, validated risk score for predicting the risk
for stroke in patients with atrial fibrillation not treated with anticoagulants.
There are sparse data on the risk for thrombotic and bleeding complications
according to the CHADS(2) score in patients receiving anticoagulant therapy.
OBJECTIVE: To evaluate the prognostic importance of CHADS(2) risk score in
patients with atrial fibrillation receiving oral anticoagulants, including the
vitamin K antagonist warfarin and the direct thrombin inhibitor dabigatran.
DESIGN: Subgroup analysis of a randomized, controlled trial. (ClinicalTrials.gov
registration number: NCT00262600) SETTING: Multinational study setting. PATIENTS:
18 112 patients with atrial fibrillation who were receiving oral anticoagulants.
MEASUREMENTS: Baseline CHADS(2) score, which assigns 1 point each for congestive
heart failure, hypertension, age 75 years or older, and diabetes mellitus and 2
points for stroke. RESULTS: Distribution of CHADS(2) scores were as follows: 0 to
1-5775 patients; 2-6455 patients; and 3 to 6-5882 patients. Annual rates of the
primary outcome of stroke or systemic embolism among all participants were 0.93%
in patients with a CHADS(2) score of 0 to 1, 1.22% in those with a score of 2,
and 2.24% in those with a score of 3 to 6. Annual rates of other outcomes among
all participants with CHADS(2) scores of 0 to 1, 2, and 3 to 6, respectively,
were the following: major bleeding, 2.26%, 3.11%, and 4.42%; intracranial
bleeding, 0.31%, 0.40%, and 0.61%; and vascular mortality, 1.35%, 2.39%, and
3.68% (P < 0.001 for all comparisons). Rates of stroke or systemic embolism,
major and intracranial bleeding, and vascular and total mortality each increased
in the warfarin and dabigatran groups as CHADS(2) score increased. The rates of
stroke or systemic embolism with dabigatran, 150 mg twice daily, and of
intracranial bleeding with dabigatran, 150 mg or 110 mg twice daily, were lower
than those with warfarin; there was no significant heterogeneity in subgroups
defined by CHADS(2) scores. LIMITATION: These analyses were not prespecified and
should be deemed exploratory. CONCLUSION: Higher CHADS(2) scores were associated
with increased risks for stroke or systemic embolism, bleeding, and death in
patients with atrial fibrillation receiving oral anticoagulants. PRIMARY FUNDING
SOURCE: Boehringer Ingelheim.
PMID- 22084334
TI - Whole-body vibration therapy for osteoporosis: state of the science.
AB - Clinical guidelines for osteoporosis recommend dietary and pharmacologic
interventions and weight-bearing exercise to prevent bone fractures. These
interventions sometimes have low adherence and can cause adverse effects. A
proposed alternative or adjunctive treatment is whole-body vibration therapy
(WBV), in which energy produced by a forced oscillation is transferred to an
individual from a mechanical vibration platform. Whole-body vibration platforms
are not approved by the U.S. Food and Drug Administration for medical purposes.
This review provides a broad overview of important issues related to WBV therapy
for prevention and treatment of osteoporosis. Relying on key informants and a
search of the gray and published literature from January 2000 to August 2011, the
investigators found that the designs of WBV platforms and protocols for their use
vary widely. The optimal target population for the therapy is not defined.
Although WBV has some theoretical advantages, key informants have voiced several
concerns, including uncertain safety and potential consumer confusion between low
intensity vibration platforms intended for osteoporosis therapy and high
intensity platforms intended for exercise. Finally, the scant literature did not
establish whether WBV therapy leads to clinically important increases in bone
mineral density or reduces risk for fracture.
PMID- 22084333
TI - Effect of 12 months of whole-body vibration therapy on bone density and structure
in postmenopausal women: a randomized trial.
AB - BACKGROUND: Although data from studies in animals demonstrated beneficial effects
of whole-body vibration (WBV) therapy on bone, clinical trials in postmenopausal
women showed conflicting results. OBJECTIVE: To determine whether WBV improves
bone density and structure. DESIGN: A 12-month, single-center, superiority,
randomized, controlled trial with 3 parallel groups. (ClinicalTrials.gov
registration number: NCT00420940) SETTING: Toronto General Hospital, Ontario,
Canada. PARTICIPANTS: 202 healthy postmenopausal women with bone mineral density
(BMD) T-scores between -1.0 and -2.5 who were not receiving prescription bone
medications. INTERVENTION: Participants were randomly assigned to 1 of 3 groups
(1:1:1 ratio) by using a block-randomization scheme and sealed envelopes. They
were asked to stand on a low-magnitude (0.3g) 90-Hz or 30-Hz WBV platform for 20
minutes daily or to serve as control participants; all participants received
calcium and vitamin D. MEASUREMENTS: Bone outcome assessors, who were blinded to
group assignment, determined trabecular volumetric BMD and other measurements of
the distal tibia and distal radius with high-resolution peripheral quantitative
computed tomography and areal BMD with dual-energy x-ray absorptiometry at
baseline and at 12 months. RESULTS: 12 months of WBV therapy had no significant
effect on any bone outcomes compared with no WBV therapy. For the primary outcome
of tibial trabecular volumetric BMD, mean change from baseline was 0.4 mg/cm(3)
(95% CI, -0.4 to 1.2 mg/cm(3)) in the 90-Hz WBV group, -0.1 mg/cm(3) (CI, -1.0 to
0.8 mg/cm(3)) in the 30-Hz WBV group, and -0.2 mg/cm(3) (CI, -1.1 to 0.6
mg/cm(3)) in the control group (P = 0.55). Changes in areal BMD at the femoral
neck, total hip, and lumbar spine were also similar among the groups. Overall,
low-magnitude WBV at both 90 and 30 Hz was well-tolerated. LIMITATIONS: Adherence
to WBV ranged from 65% to 79%. Double-blinding was not possible. CONCLUSION:
Whole-body vibration therapy at 0.3g and 90 or 30 Hz for 12 months did not alter
BMD or bone structure in postmenopausal women who received calcium and vitamin D
supplementation.
PMID- 22084335
TI - Promises and challenges of stem cell research for regenerative medicine.
AB - In recent years, stem cells have generated increasing excitement, with frequent
claims that they are revolutionizing medicine. For those not directly involved in
stem cell research, however, it can be difficult to separate fact from fiction or
realistic expectation from wishful thinking. This article aims to provide
internists with a clear and concise introduction to the field. While recounting
some scientific and medical milestones, the authors discuss the 3 main varieties
of stem cells-adult, embryonic, and induced pluripotent-comparing their
advantages and disadvantages for clinical medicine. The authors have sought to
avoid the moral and political debates surrounding stem cell research, focusing
instead on scientific and medical issues.
PMID- 22084336
TI - Learning the respective roles of warfarin and dabigatran to prevent stroke in
patients with nonvalvular atrial fibrillation.
PMID- 22084337
TI - A matter of the heart.
PMID- 22084338
TI - House call.
PMID- 22084339
TI - Hospital care and medical utilization after discharge.
PMID- 22084340
TI - Hospital care and medical utilization after discharge.
PMID- 22084341
TI - Hospital care and medical utilization after discharge.
PMID- 22084342
TI - Hospital care and medical utilization after discharge.
PMID- 22084343
TI - Hospital care and medical utilization after discharge.
PMID- 22084344
TI - Hospital care and medical utilization after discharge.
PMID- 22084345
TI - Hospital care and medical utilization after discharge.
PMID- 22084346
TI - Therapy-related acute myelogenous leukemia in a hydroxyurea-treated patient with
sickle cell anemia.
PMID- 22084347
TI - Summaries for patients: can people who are receiving steady doses of warfarin
have their dose assessed less frequently?
PMID- 22084348
TI - Summaries for patients: whole-body vibration therapy for the prevention of bone
loss.
PMID- 22084349
TI - ACP Journal Club. Simvastatin plus ezetimibe reduced major atherosclerotic events
in patients with chronic kidney disease.
PMID- 22084350
TI - ACP Journal Club. Review: lowering systolic BP to <= 135 mm Hg reduces CV events
in type 2 diabetes/IFG/IGT.
PMID- 22084351
TI - ACP Journal Club. Review: cholecalciferol (vitamin D3) reduces mortality in
adults; other forms of vitamin D do not.
PMID- 22084352
TI - ACP Journal Club. Influenza vaccination reduced cardiovascular events in patients
hospitalized with an acute coronary syndrome.
PMID- 22084353
TI - ACP Journal Club. Screening with low-dose computed tomography reduced lung cancer
mortality in high-risk patients.
PMID- 22084354
TI - ACP Journal Club. Adding short-term androgen-deprivation therapy to radiotherapy
improved survival in localized prostate cancer.
PMID- 22084355
TI - ACP Journal Club. Review: pregabalin and other drugs reduce pain in patients with
painful diabetic neuropathy.
PMID- 22084356
TI - ACP Journal Club. Systematic pain management reduced agitation in nursing home
residents with dementia.
PMID- 22084357
TI - ACP Journal Club. Review: cholinesterase inhibitors but not memantine increase
syncope in older adults with mild cognitive impairment or dementia.
PMID- 22084358
TI - ACP Journal Club. Late initiation of parenteral nutrition reduced length of
intensive care unit stay.
PMID- 22084359
TI - ACP Journal Club. Review: several instruments are accurate for evaluating patient
capacity for medical treatment decision-making.
PMID- 22084360
TI - ACP Journal Club. A 5-item score predicted risk for warfarin-associated major
hemorrhage in patients with atrial fibrillation.
PMID- 22084362
TI - Novel staging system for predicting disease-specific survival in patients with
breast cancer treated with surgery as the first intervention: time to modify the
current American Joint Committee on Cancer staging system.
AB - PURPOSE: American Joint Committee on Cancer (AJCC) staging is used to determine
breast cancer prognosis, yet patient survival within each stage shows wide
variation. We hypothesized that differences in biology influence this variation
and that addition of biologic markers to AJCC staging improves determination of
prognosis. PATIENTS AND METHODS: We identified a cohort of 3,728 patients who
underwent surgery as the first intervention between 1997 and 2006. A Cox
proportional hazards model, with backward stepwise exclusion of factors and
stratification on pathologic stage (PS), was used to test the significance of
adding grade (G), lymphovascular invasion (L), estrogen receptor (ER) status (E),
progesterone receptor (PR) status, combined ER and PR status (EP), or combined
ER, PR, and human epidermal growth factor receptor 2 status (M). We assigned
values of 0 to 2 to these disease-specific survival (DSS) -associated factors and
assessed six different staging systems: PS, PS + G, PS + G L, PS + G E, PS + G
EP, and PS + G M. We compared 5-year DSS rates, Akaike's information criterion
(AIC), and Harrell's concordance index (C-index) between systems. Surveillance,
Epidemiology, and End Results data were used as the external validation cohort (n
= 26,711). RESULTS: Median follow-up was 6.5 years, and 5-year DSS rate was
97.4%. The PS + G E status staging system was most precise, with a low AIC
(1,931.9) and the highest C-index (0.80). PS + G E status was confirmed to
stratify outcomes in internal bootstrapping samples and the external validation
cohort. CONCLUSION: Our results validate an improved breast cancer staging system
that incorporates grade and ER status. We recommend that biologic markers be
incorporated into revised versions of the AJCC staging system.
PMID- 22084361
TI - Aspirin in the chemoprevention of colorectal neoplasia: an overview.
AB - Considerable evidence supports the effectiveness of aspirin for chemoprevention
of colorectal cancer (CRC) in addition to its well-established benefits in the
prevention of vascular disease. Epidemiologic studies have consistently observed
an inverse association between aspirin use and risk of CRC. A recent pooled
analysis of a long-term posttrial follow-up of nearly 14,000 patients from four
randomized, cardiovascular disease prevention trials showed that daily aspirin
treatment for about five years was associated with a 34% reduction in 20-year CRC
mortality. A separate metaanalysis of nearly 3,000 patients with a history of
colorectal adenoma or cancer in four randomized adenoma prevention trials showed
that aspirin reduced the occurrence of advanced adenomas by 28% and any adenoma
by 17%. Aspirin has also been shown to be beneficial in a clinical trial of
patients with Lynch syndrome, a hereditary CRC syndrome; in those treated with
aspirin for at least two years, there was a 50% or more reduction in the risk of
CRC commencing five years after randomization and after aspirin had been
discontinued. A few observational studies have shown an increase in survival
among patients with CRC who use aspirin. Taken together, these findings
strengthen the case for consideration of long-term aspirin use in CRC prevention.
Despite these compelling data, there is a lack of consensus about the balance of
risks and benefits associated with long-term aspirin use, particularly in low
risk populations. The optimal dose to use for cancer prevention and the precise
mechanism underlying aspirin's anticancer effect require further investigation.
PMID- 22084363
TI - Acute isolated transmural neutropenic gastritis.
PMID- 22084364
TI - Multiple cytokine-producing solitary plasmacytoma of bone with expression of
cytokine receptors.
PMID- 22084365
TI - Activity of alemtuzumab in acute myelogenous leukemia and myelodysplastic
syndrome with chromosome 7 aberrations.
PMID- 22084366
TI - Predicting survival after curative colectomy for cancer: individualizing colon
cancer staging.
AB - PURPOSE: Cancer staging determines extent of disease, facilitating
prognostication and treatment decision making. The American Joint Committee on
Cancer (AJCC) TNM classification system is the most commonly used staging
algorithm for colon cancer, categorizing patients on the basis of only these
three variables (tumor, node, and metastasis). The purpose of this study was to
extend the seventh edition of the AJCC staging system for colon cancer to
incorporate additional information available from tumor registries, thereby
improving prognostic accuracy. METHODS: Records from 128,853 patients with
primary colon cancer reported to the Surveillance, Epidemiology and End Results
Program from 1994 to 2005 were used to construct and validate three survival
models for patients with primary curative-intent surgery. Independent
training/test data sets were used to develop and test alternative models. The
seventh edition TNM staging system was compared with models supplementing TNM
staging with additional demographic and tumor variables available from the
registry by calculating a concordance index, performing calibration, and
identifying the area under receiver operating characteristic (ROC) curves.
RESULTS: Inclusion of additional registry covariates improved prognostic
estimates. The concordance index rose from 0.60 (95% CI, 0.59 to 0.61) for the
AJCC model, with T- and N-stage variables, to 0.68 (95% CI, 0.67 to 0.68) for the
model including tumor grade, number of collected metastatic lymph nodes, age, and
sex. ROC curves for the extended model had higher sensitivity, at all values of
specificity, than the TNM system; calibration curves indicated no deviation from
the reference line. CONCLUSION: Prognostic models incorporating readily available
data elements outperform the current AJCC system. These models can assist in
personalizing treatment and follow-up for patients with colon cancer.
PMID- 22084368
TI - The care people need and the education of physicians.
PMID- 22084369
TI - Prognostic impact of morphologic and phenotypic features of childhood ALK
positive anaplastic large-cell lymphoma: results of the ALCL99 study.
AB - PURPOSE: The prognostic value of pathologic characteristics of childhood ALK
positive anaplastic large-cell lymphomas (ALCL), such as histologic subtypes,
immunophenotype, and presence of the t(2;5) translocation or its variants, was
assessed. PATIENTS AND METHODS: All 375 patients with systemic ALK-positive ALCL
included in an international trial launched by the European Intergroup for
Childhood Non-Hodgkin's Lymphoma were reviewed by an international panel of
pathologists based on conventional hematoxylin and eosin-stained and
immunostained sections and classified according to the 2001 WHO classification.
RESULTS: A small-cell (SC) or lymphohistiocytic (LH) component was observed in
114 (32%) of 361 patients, whereas ALCL of common type was diagnosed in 235 (65%)
of 361 patients. Regarding the histologic subtyping of patients within the two
categories of ALCL (with v without SC/LH component), the concordance between the
national and international reviews was quite good, with a kappa index equal to
0.67 (95% CI, 0.57 to 0.75). The presence of an SC/LH component was significantly
associated with a high risk of failure (hazard ratio [HR], 2.0; 95% CI, 1.3 to
3.0; P = .002) in the multivariate analysis controlling for clinical
characteristics, as well as the perivascular pattern (HR, 1.7; 95% CI, 1.1 to
2.7; P = .01), whereas CD3 positivity was significantly associated with a high
risk of failure only in univariate analysis. CONCLUSION: Our study, which to our
knowledge includes the largest series of childhood systemic ALK-positive ALCL so
far, demonstrates the adverse prognostic value of SC and/or LH morphologic
features. Combining these histologic characteristics with other biologic or
clinical factors might have a high potential for future risk stratification and
treatment.
PMID- 22084370
TI - Taxane doublets for metastatic breast cancer: do we need another cytotoxic pair
or another approach?
PMID- 22084371
TI - Survival data from a phase II, open-label study of pazopanib or lapatinib
monotherapy in patients with advanced and recurrent cervical cancer.
PMID- 22084372
TI - Medical oncologists' attitudes and practice in cancer pain management: a national
survey.
AB - PURPOSE: To evaluate the attitudes, knowledge, and practices of US medical
oncologists that are related to management of cancer pain. METHODS: An anonymous
survey was mailed to a geographically representative sample of medical
oncologists randomly selected from the American Medical Association's Physician
Master File. RESULTS: From a total of 2,000 oncologists, 354 responded to the
original questionnaire and 256 responded to one of two subsequent shortened
versions (overall response rate, 32%). Responders were demographically similar to
all US medical oncologists. Using numeric rating scales of 0 to 10, oncologists
rated their specialty highly for the ability to manage cancer pain (median, 7;
interquartile range [IQR], 6 to 8) but rated their peers as more conservative
prescribers than themselves (median, 3; IQR, 2 to 5). The quality of pain
management training during medical school and residency was rated as 3 (IQR, 1 to
5) and 5 (IQR, 3 to 7), respectively. The most important barriers to pain
management were poor assessment (median, 6; IQR, 4 to 7) and patient reluctance
to take opioids (median, 6; IQR, 5 to 7) or report pain (median, 6; IQR, 4 to 7).
Other barriers included physician reluctance to prescribe opioids (median, 5;
IQR, 3 to 7) and perceived excessive regulation (median, 4; IQR, 2 to 7). In
response to two vignettes describing challenging clinical scenarios, 60% and 87%,
respectively, endorsed treatment decisions that would be considered unacceptable
by pain specialists. Frequent referrals to pain or palliative care specialists
were reported by only 14% and 16%, respectively. CONCLUSION: These data suggest
that, for more than 20 years, a focus on cancer pain has not adequately addressed
the perception of treatment barriers or limitations in pain-related knowledge and
practice within the oncology community. Additional efforts are needed to achieve
meaningful progress.
PMID- 22084373
TI - Adverse prognostic impact of abnormal lesions detected by genome-wide single
nucleotide polymorphism array-based karyotyping analysis in acute myeloid
leukemia with normal karyotype.
AB - PURPOSE: This study attempted to analyze the prognostic role of single nucleotide
polymorphism array (SNP-A) -based karyotying in 133 patients with acute myeloid
leukemia with normal karyotype (AML-NK), which presents with diverse clinical
outcomes, thus requiring further stratification of patient subgroups according to
their prognoses. PATIENTS AND METHODS: A total of 133 patients with AML-NK
confirmed by metaphase cytogenetics (MC) and fluorescent in situ hybridization
analysis were included in this study. Analysis by Genome-Wide Human SNP 6.0 Array
was performed by using DNAs derived from marrow samples at diagnosis. RESULTS:
Forty-three patients (32.3%) had at least one abnormal SNP lesion that was not
detected by MC. One hundred thirteen abnormal SNP lesions included 55 losses, 23
gains, and 35 copy-neutral losses of heterozygosity. Multivariate analyses showed
that detection of abnormal SNP lesions by SNP-A karyotyping results in an
unfavorable prognostic value for overall survival (hazard ratio [HR], 2.69; 95%
CI, 1.50 to 4.82; P = .001); other significant prognostic factors included
secondary AML (HR, 5.55; 95% CI, 1.80 to 17.14; P = .003), presence of the FLT3
mutation (HR, 3.17; 95% CI, 1.71 to 5.87; P < .001), and age (HR, 1.03; 95% CI,
1.01 to 1.05; P = .020). CONCLUSION: Our data demonstrated that abnormal SNP
lesions detected by SNP-A karyotyping might indicate an adverse prognosis in
patients with AML-NK, thus requiring a more sophisticated treatment strategy for
improvement of treatment outcomes.
PMID- 22084374
TI - Gemcitabine plus docetaxel versus docetaxel in patients with predominantly human
epidermal growth factor receptor 2-negative locally advanced or metastatic breast
cancer: a randomized, phase III study by the Danish Breast Cancer Cooperative
Group.
AB - PURPOSE: The objective of this phase III study was to compare the efficacy of
gemcitabine plus docetaxel (GD) versus docetaxel in patients with advanced breast
cancer. PATIENTS AND METHODS: Predominantly human epidermal growth factor
receptor 2 (HER2) -negative patients were randomly assigned to gemcitabine (1,000
mg/m(2)) on days 1 and 8 plus docetaxel (75 mg/m(2)) on day 8 or to docetaxel
(100 mg/m(2)) on day 1, every 21 days. Patients were untreated or had prior
(neo)adjuvant chemotherapy or a single anthracycline-based chemotherapy regimen
for metastatic breast cancer. The primary end point was time to progression
(TTP), and secondary end points were overall survival (OS), response rate (RR),
and toxicity. RESULTS: A total of 170 patients were allocated to GD, and 167 were
allocated to docetaxel. Median TTP on GD was 10.3 months versus 8.3 months on
docetaxel (hazard ratio [HR], 0.77; 95% CI, 0.59 to 1.01; log-rank P = .06). The
adjusted Cox proportional model for TTP showed a significant difference favoring
the combination (HR, 0.68; 95% CI, 0.51 to 0.90; P = .007). However, RR was
similar (GD, 36%; docetaxel, 34%), and OS was not different (P = .57). Grades 3
to 4 neutropenia was common (GD, 75%; docetaxel, 69%); infection was reported in
26% and 21% of patients in the GD and docetaxel groups, respectively. Grades 3 to
4 thrombocytopenia was more frequent with GD (GD, 16%; docetaxel, 0.6%), and
peripheral neuropathy was higher with docetaxel (GD, 5%; docetaxel, 16%).
CONCLUSION: GD compared with docetaxel demonstrated increased TTP in metastatic
breast cancer. However, RR and OS were similar. Thus, the addition of gemcitabine
failed to demonstrate any clinically meaningful benefit when combined with
docetaxel.
PMID- 22084375
TI - The cell cycle. Preface.
PMID- 22084376
TI - The cell cycle.
PMID- 22084377
TI - Quiescence: early evolutionary origins and universality do not imply uniformity.
AB - Cell cycle investigations have focused on relentless exponential proliferation of
cells, an unsustainable situation in nature. Proliferation of cells, whether
microbial or metazoan, is interrupted by periods of quiescence. The vast majority
of cells in an adult metazoan lie quiescent. As disruptions in this quiescence
are at the foundation of cancer, it will be important for the field to turn its
attention to the mechanisms regulating quiescence. While often presented as a
single topic, there are multiple forms of quiescence each with complex inputs,
some of which are tied to conceptually challenging aspects of metazoan regulation
such as size control. In an effort to expose the enormity of the challenge, I
describe the differing biological purposes of quiescence, and the coupling of
quiescence in metazoans to growth and to the structuring of tissues during
development. I emphasize studies in the organism rather than in tissue culture,
because these expose the diversity of regulation. While quiescence is likely to
be a primitive biological process, it appears that in adapting quiescence to its
many distinct biological settings, evolution has diversified it. Consideration of
quiescence in different models gives us an overview of this diversity.
PMID- 22084378
TI - Nutrient limitations alter cell division control and chromosome segregation
through growth-related kinases and phosphatases.
AB - In dividing fission yeast Schizosaccharomyces pombe cells, the balance between
Wee1 kinase and Cdc25 phosphatase which control the cyclin-dependent kinase (CDK)
at the G2-M transition determines the rod-shaped cell length. Under nitrogen
source starvation or glucose limitation, however, cell size determination is
considerably modulated, and cell size shortening occurs for wild-type cells. For
several mutants of kinases or phosphatases, including CDK, target of rapamycin
complex (TORC) 1 and 2, stress-responsive mitogen-activated protein kinase (MAPK)
Sty1/Spc1, MAPK kinase Wis1, calcium- and calmodulin-dependent protein kinase
kinase-like Ssp1, and type 2A and 2A-related phosphatases inhibitor Sds23, this
cell shortening does not normally occur. In tor1 and ssp1 mutants, cell
elongation is observed. Sds23 that binds to and inhibits 2A and 2A-related
phosphatases is synergistic with Ssp1 in the cell size determination and survival
under low glucose and nitrogen source. Tor2 (TORC1) is required for growth,
whereas Tor1 (TORC2) is needed for determining division size according to
different nutrient conditions. Surprisingly, in growth-diminished tor2 mutant or
rapamycin-treated cells, the requirement of separase/Cut1-securin/Cut2 essential
for chromosome segregation is greatly alleviated. By contrast, defects of tor1
with secruin/cut2 or overproduction of Cut1 are additive. While Tor1 and Tor2 are
opposite in their apparent functions, both may actually coordinate cell division
with growth in response to the changes in nutrients.
PMID- 22084379
TI - Regulation of entry into gametogenesis.
AB - Gametogenesis is a fundamental aspect of sexual reproduction in eukaryotes. In
the unicellular fungi Saccharomyces cerevisiae (budding yeast) and
Schizosaccharomyces pombe (fission yeast), where this developmental programme has
been extensively studied, entry into gametogenesis requires the convergence of
multiple signals on the promoter of a master regulator. Starvation signals and
cellular mating-type information promote the transcription of cell fate inducers,
which in turn initiate a transcriptional cascade that propels a unique type of
cell division, meiosis, and gamete morphogenesis. Here, we will provide an
overview of how entry into gametogenesis is initiated in budding and fission
yeast and discuss potential conserved features in the germ cell development of
higher eukaryotes.
PMID- 22084380
TI - Evolution of networks and sequences in eukaryotic cell cycle control.
AB - The molecular networks regulating the G1-S transition in budding yeast and
mammals are strikingly similar in network structure. However, many of the
individual proteins performing similar network roles appear to have unrelated
amino acid sequences, suggesting either extremely rapid sequence evolution, or
true polyphyly of proteins carrying out identical network roles. A yeast/mammal
comparison suggests that network topology, and its associated dynamic properties,
rather than regulatory proteins themselves may be the most important elements
conserved through evolution. However, recent deep phylogenetic studies show that
fungal and animal lineages are relatively closely related in the opisthokont
branch of eukaryotes. The presence in plants of cell cycle regulators such as Rb,
E2F and cyclins A and D, that appear lost in yeast, suggests cell cycle control
in the last common ancestor of the eukaryotes was implemented with this set of
regulatory proteins. Forward genetics in non-opisthokonts, such as plants or
their green algal relatives, will provide direct information on cell cycle
control in these organisms, and may elucidate the potentially more complex cell
cycle control network of the last common eukaryotic ancestor.
PMID- 22084381
TI - Quality control in the initiation of eukaryotic DNA replication.
AB - Origins of DNA replication must be regulated to ensure that the entire genome is
replicated precisely once in each cell cycle. In human cells, this requires that
tens of thousands of replication origins are activated exactly once per cell
cycle. Failure to do so can lead to cell death or genome rearrangements such as
those associated with cancer. Systems ensuring efficient initiation of
replication, while also providing a robust block to re-initiation, play a crucial
role in genome stability. In this review, I will discuss some of the strategies
used by cells to ensure once per cell cycle replication and provide a
quantitative framework to evaluate the relative importance and efficiency of
individual pathways involved in this regulation.
PMID- 22084382
TI - Surviving chromosome replication: the many roles of the S-phase checkpoint
pathway.
AB - Checkpoints were originally identified as signalling pathways that delay mitosis
in response to DNA damage or defects in chromosome replication, allowing time for
DNA repair to occur. The ATR (ataxia- and rad-related) and ATM (ataxia-mutated)
protein kinases are recruited to defective replication forks or to sites of DNA
damage, and are thought to initiate the DNA damage response in all eukaryotes. In
addition to delaying cell cycle progression, however, the S-phase checkpoint
pathway also controls chromosome replication and DNA repair pathways in a highly
complex fashion, in order to preserve genome integrity. Much of our understanding
of this regulation has come from studies of yeasts, in which the best
characterized targets are the stimulation of ribonucleotide reductase activity by
multiple mechanisms, and the inhibition of new initiation events at later origins
of DNA replication. In addition, however, the S-phase checkpoint also plays a
more enigmatic and apparently critical role in preserving the functional
integrity of defective replication forks, by mechanisms that are still understood
poorly. This review considers some of the key experiments that have led to our
current understanding of this highly complex pathway.
PMID- 22084383
TI - Regulatory networks integrating cell cycle control with DNA damage checkpoints
and double-strand break repair.
AB - Double-strand breaks (DSBs), arising from exposure to exogenous clastogens or as
a by-product of endogenous cellular metabolism, pose grave threats to genome
integrity. DSBs can sever whole chromosomes, leading to chromosomal instability,
a hallmark of cancer. Healing broken DNA takes time, and it is therefore
essential to temporarily halt cell division while DSB repair is underway. The
seminal discovery of cyclin-dependent kinases as master regulators of the cell
cycle unleashed a series of studies aimed at defining how the DNA damage response
network delays cell division. These efforts culminated with the identification of
Cdc25, the protein phosphatase that activates Cdc2/Cdk1, as a critical target of
the checkpoint kinase Chk1. However, regulation works both ways, as recent
studies have revealed that Cdc2 activity and cell cycle position determine
whether DSBs are repaired by non-homologous end-joining or homologous
recombination (HR). Central to this regulation are the proteins that initiate the
processing of DNA ends for HR repair, Mre11-Rad50-Nbs1 protein complex and
Ctp1/Sae2/CtIP, and the checkpoint kinases Tel1/ATM and Rad3/ATR. Here, we review
recent findings and provide insight on how proteins that regulate cell cycle
progression affect DSB repair, and, conversely how proteins that repair DSBs
affect cell cycle progression.
PMID- 22084384
TI - A quantitative model for cyclin-dependent kinase control of the cell cycle:
revisited.
AB - The eukaryotic cell division cycle encompasses an ordered series of events.
Chromosomal DNA is replicated during S phase of the cell cycle before being
distributed to daughter cells in mitosis. Both S phase and mitosis in turn
consist of an intricately ordered sequence of molecular events. How cell cycle
ordering is achieved, to promote healthy cell proliferation and avert insults on
genomic integrity, has been a theme of Paul Nurse's research. To explain a key
aspect of cell cycle ordering, sequential S phase and mitosis, Stern & Nurse
proposed 'A quantitative model for cdc2 control of S phase and mitosis in fission
yeast'. In this model, S phase and mitosis are ordered by their dependence on
increasing levels of cyclin-dependent kinase (Cdk) activity. Alternative
mechanisms for ordering have been proposed that rely on checkpoint controls or on
sequential waves of cyclins with distinct substrate specificities. Here, we
review these ideas in the light of experimental evidence that has meanwhile
accumulated. Quantitative Cdk control emerges as the basis for cell cycle
ordering, fine-tuned by cyclin specificity and checkpoints. We propose a
molecular explanation for quantitative Cdk control, based on thresholds imposed
by Cdk-counteracting phosphatases, and discuss its implications.
PMID- 22084385
TI - Switches and latches: a biochemical tug-of-war between the kinases and
phosphatases that control mitosis.
AB - Activation of the cyclin-dependent kinase (Cdk1) cyclin B (CycB) complex
(Cdk1:CycB) in mitosis brings about a remarkable extent of protein
phosphorylation. Cdk1:CycB activation is switch-like, controlled by two auto
amplification loops--Cdk1:CycB activates its activating phosphatase, Cdc25, and
inhibits its inhibiting kinase, Wee1. Recent experimental evidence suggests that
parallel to Cdk1:CycB activation during mitosis, there is inhibition of its
counteracting phosphatase activity. We argue that the downregulation of the
phosphatase is not just a simple latch that suppresses futile cycles of
phosphorylation/dephosphorylation during mitosis. Instead, we propose that
phosphatase regulation creates coherent feed-forward loops and adds extra
amplification loops to the Cdk1:CycB regulatory network, thus forming an integral
part of the mitotic switch. These network motifs further strengthen the bistable
characteristic of the mitotic switch, which is based on the antagonistic
interaction of two groups of proteins: M-phase promoting factors (Cdk1:CycB,
Cdc25, Greatwall and Endosulfine/Arpp19) and interphase promoting factors (Wee1,
PP2A-B55 and a Greatwall counteracting phosphatase, probably PP1). The bistable
character of the switch implies the existence of a CycB threshold for entry into
mitosis. The end of G2 phase is determined by the point where CycB level crosses
the CycB threshold for Cdk1 activation.
PMID- 22084386
TI - Spindle assembly checkpoint: the third decade.
AB - The spindle assembly checkpoint controls cell cycle progression during mitosis,
synchronizing it with the attachment of chromosomes to spindle microtubules.
After the discovery of the mitotic arrest deficient (MAD) and budding uninhibited
by benzymidazole (BUB) genes as crucial checkpoint components in 1991, the second
decade of checkpoint studies (2001-2010) witnessed crucial advances in the
elucidation of the mechanism through which the checkpoint effector, the mitotic
checkpoint complex, targets the anaphase-promoting complex (APC/C) to prevent
progression into anaphase. Concomitantly, the discovery that the Ndc80 complex
and other components of the microtubule-binding interface of kinetochores are
essential for the checkpoint response finally asserted that kinetochores are
crucial for the checkpoint response. Nevertheless, the relationship between
kinetochores and checkpoint control remains poorly understood. Crucial advances
in this area in the third decade of checkpoint studies (2011-2020) are likely to
be brought about by the characterization of the mechanism of kinetochore
recruitment, activation and inactivation of checkpoint proteins, which remains
elusive for the majority of checkpoint components. Here, we take a molecular view
on the main challenges hampering this task.
PMID- 22084387
TI - Structural insights into anaphase-promoting complex function and mechanism.
AB - The anaphase-promoting complex or cyclosome (APC/C) controls sister chromatid
segregation and the exit from mitosis by catalysing the ubiquitylation of cyclins
and other cell cycle regulatory proteins. This unusually large E3 RING-cullin
ubiquitin ligase is assembled from 13 different proteins. Selection of APC/C
targets is controlled through recognition of short destruction motifs,
predominantly the D box and KEN box. APC/C-mediated coordination of cell cycle
progression is achieved through the temporal regulation of APC/C activity and
substrate specificity, exerted through a combination of co-activator subunits,
reversible phosphorylation and inhibitory proteins and complexes. Recent
structural and biochemical studies of the APC/C are beginning to reveal an
understanding of the roles of individual APC/C subunits and co-activators and how
they mutually interact to mediate APC/C functions. This review focuses on the
findings showing how information on the structural organization of the APC/C
provides insights into the role of co-activators and core APC/C subunits in
mediating substrate recognition. Mechanisms of regulating and modulating
substrate recognition are discussed in the context of controlling the binding of
the co-activator to the APC/C, and the accessibility and conformation of the co
activator when bound to the APC/C.
PMID- 22084388
TI - The Renaissance or the cuckoo clock.
AB - '...in Italy, for thirty years under the Borgias, they had warfare, terror,
murder and bloodshed, but they produced Michelangelo, Leonardo da Vinci and the
Renaissance. In Switzerland, they had brotherly love, they had five hundred years
of democracy and peace-and what did that produce? The cuckoo clock'. Orson Welles
as Harry Lime: The Third Man. Orson Welles might have been a little unfair on the
Swiss, after all cuckoo clocks were developed in the Schwartzwald, but, more
importantly, Swiss democracy gives remarkably stable government with considerable
decision-making at the local level. The alternative is the battling city-states
of Renaissance Italy: culturally rich but chaotic at a higher level of
organization. As our understanding of the cell cycle improves, it appears that
the cell is organized more along the lines of Switzerland than Renaissance Italy,
and one major challenge is to determine how local decisions are made and
coordinated to produce the robust cell cycle mechanisms that we observe in the
cell as a whole.
PMID- 22084389
TI - Whither systems biology.
AB - Cell biologists are interested in how complexity arises from the interaction of
different molecules. However, cells are many orders of magnitude larger than the
protein-binding interfaces. To bridge these vast difference in scales, biologists
construct hierarchies of organization of cellular structures. I describe how
systems biology provides an approach to bridge these different scales.
PMID- 22084391
TI - A population-based assessment of live births in women with systemic lupus
erythematosus.
AB - OBJECTIVES: The authors aim to calculate the number of live births, before and
after systemic lupus erythematosus (SLE) diagnosis, in women diagnosed during
their reproductive years and to compare this with general population rates.
METHODS: The authors identified women with SLE using Quebec administrative
databases (1 January 1994 to 31 December 2003). The authors determined the number
of live births, and calculated the standardised incidence ratio (SIR) of observed
to expected live births. RESULTS: 1334 women with SLE were identified. Overall,
the number of live births over the interval (559) was below that which would be
expected (708) (SIR 0.79; 95% CI 0.73 to 0.86). Compared with the general
population, live births were substantially lower after SLE diagnosis (SIR 0.62;
95% CI 0.55 to 0.70) than before diagnosis (SIR 1.01; 95% CI 0.90 to 1.13).
CONCLUSION: After diagnosis, women with SLE have substantially fewer live births
than the general population.
PMID- 22084390
TI - Translational regulation of the cell cycle: when, where, how and why?
AB - Translational regulation contributes to the control of archetypal and specialized
cell cycles, such as the meiotic and early embryonic cycles. Late meiosis and
early embryogenesis unfold in the absence of transcription, so they particularly
rely on translational repression and activation of stored maternal mRNAs. Here,
we present examples of cell cycle regulators that are translationally controlled
during different cell cycle and developmental transitions in model organisms
ranging from yeast to mouse. Our focus also is on the RNA-binding proteins that
affect cell cycle progression by recognizing special features in untranslated
regions of mRNAs. Recent research highlights the significance of the cytoplasmic
polyadenylation element-binding protein (CPEB). CPEB determines polyadenylation
status, and consequently translational efficiency, of its target mRNAs in both
transcriptionally active somatic cells as well as in transcriptionally silent
mature Xenopus oocytes and early embryos. We discuss the role of CPEB in
mediating the translational timing and in some cases spindle-localized
translation of critical regulators of Xenopus oogenesis and early embryogenesis.
We conclude by outlining potential directions and approaches that may provide
further insights into the translational control of the cell cycle.
PMID- 22084392
TI - Interleukin-1beta-regulating antibody XOMA 052 (gevokizumab) in the treatment of
acute exacerbations of resistant uveitis of Behcet's disease: an open-label pilot
study.
AB - OBJECTIVE: Uveitis and retinal vasculitis are sight-threatening manifestations of
Behcet's disease with limited treatment options. This pilot study aimed to
evaluate the safety, pharmacokinetics and clinical activity of XOMA 052
(gevokizumab), a recombinant humanised anti-interleukin 1beta antibody, in
Behcet's disease patients with uveitis. METHODS: Patients with acute posterior or
panuveitis, and/or retinal vasculitis, resistant to azathioprine and/or
ciclosporin, and receiving 10 mg/day or less of prednisolone, were enrolled into
the 98-day study. Immunosuppressive agents were discontinued at baseline.
Patients received a single infusion of XOMA 052 (0.3 mg/kg). The safety and
uveitis status and pharmacokinetics of XOMA 052 were evaluated. RESULTS: Seven
patients enrolled and completed the study. No treatment-related adverse event was
observed. XOMA 052 treatment was associated with rapid and durable clinical
response in all patients. Complete resolution of intraocular inflammation was
achieved in 4-21 days (median 14 days), with a median duration of response of 49
days (range 21-97 days); one patient remained exacerbation free throughout the
study. CONCLUSIONS: Well tolerated, XOMA 052 resulted in a rapid onset and
sustained reduction in intraocular inflammation in patients with resistant
uveitis and retinal vasculitis. Moreover, the effect was observed despite
discontinuation of immunosuppressive agents and without the need to increase
corticosteroid dosages.
PMID- 22084393
TI - IgG from patients with pulmonary arterial hypertension and/or systemic sclerosis
binds to vascular smooth muscle cells and induces cell contraction.
AB - OBJECTIVES: Pulmonary arterial hypertension (PAH) is characterised by remodelling
of pulmonary arteries with enhanced vascular smooth muscle cell (VSMC)
contraction, migration and proliferation. The authors investigated the presence
of antibodies to human VSMCs in the serum of patients with systemic sclerosis
with or without PAH and idiopathic PAH (iPAH). METHODS AND RESULTS: Antibodies to
VSMCs were detected by immunofluorescence in sera from healthy controls and
patients with scleroderma without PAH, scleroderma-associated PAH and iPAH. Serum
IgG from these patients induced contraction of VSMCs in a collagen matrix in
contrast with IgG from healthy controls. Several protein spots of interest and
target antigens were identified by two-dimensional immunoblotting and MS,
including stress-induced phosphoprotein 1 and alpha-enolase. Finally, antibodies
to stress-induced phosphoprotein 1 were detected by ELISA in sera from 84%, 76%
and 24% of patients with scleroderma without PAH, scleroderma-associated PAH and
iPAH, respectively, compared with only 3% of healthy controls. CONCLUSION: The
authors have identified IgG that binds to VSMCs in the serum of patients with
scleroderma and iPAH. These antibodies may be pathogenic by modulating vascular
contraction. The target antigens of these antibodies are stress-induced
phosphoprotein 1 and alpha-enolase.
PMID- 22084394
TI - Autophagy activation by rapamycin reduces severity of experimental
osteoarthritis.
AB - OBJECTIVES: Osteoarthritis is associated with cell death and extracellular matrix
degradation in articular cartilage. Autophagy is an essential cellular
homeostasis mechanism that was found to be deficient in ageing and osteoarthritic
cartilage. This study determined whether pharmacological inhibition of the
mammalian target of rapamycin (mTOR), a key inhibitor of autophagy, has disease
modifying activity in experimental osteoarthritis. METHODS: Experimental
osteoarthritis was induced by transection of the medial meniscotibial ligament
and the medial collateral ligament in 2-month-old C57Bl/6 mice (n=36). Rapamycin
(1 mg/kg weight/day) (n=18 mice) or dimethyl sulphoxide vehicle control (n=18
mice) was administered intraperitoneally for 10 weeks. Histopathological changes
in articular cartilage and synovium were examined by using semiquantitative
scoring systems. Rapamycin effects on mTOR signalling, autophagy, cartilage
homeostasis and inflammation were analysed by immunohistochemistry and
immunofluorescence staining. RESULTS: Rapamycin affected the mTOR signalling
pathway in mouse knee joints as indicated by the inhibition of ribosomal protein
S6 phosphorylation, a target of mTOR and activation of LC3, a main marker of
autophagy. The severity of cartilage degradation was significantly (p<0.01)
reduced in the rapamycin-treated group compared with the control group and this
was associated with a significant (p<0.05) decrease in synovitis. Rapamycin
treatment also maintained cartilage cellularity and decreased ADAMTS-5 and
interleukin-1beta expression in articular cartilage. CONCLUSIONS: These results
suggest that rapamycin, at least in part by autophagy activation, reduces the
severity of experimental osteoarthritis. Pharmacological activation of autophagy
may be an effective therapeutic approach for osteoarthritis.
PMID- 22084395
TI - Anti-Ro52 monoclonal antibodies specific for amino acid 200-239, but not other
Ro52 epitopes, induce congenital heart block in a rat model.
AB - BACKGROUND: Congenital heart block (CHB) may develop in fetuses of women with
anti-Ro/La autoantibodies following placental transfer of maternal autoantibodies
and disruption of the fetal atrioventricular (AV) conduction system. Animal
models of CHB currently rely on immunisation or transfer of anti-Ro/La antibodies
purified from mothers of children with CHB, which does not allow precise
identification of the disease-inducing antibody specificity. OBJECTIVE: To
determine the ability of different anti-Ro52 monoclonal antibodies to induce
cardiac electrophysiological abnormalities in vivo and affect the calcium
homoeostasis of cardiomyocytes in vitro. METHODS: Monoclonal antibodies
recognising different domains of Ro52 were generated and injected into pregnant
rats, and ECG was recorded on newborn pups. Cultures of rat neonatal
cardiomyocytes were established to assess the effect of the different anti-Ro52
monoclonal antibodies on calcium homoeostasis. RESULTS: First-degree AV block and
bradycardia developed after maternal transfer of antibodies specific for amino
acids 200-239 of Ro52 (p200), while pups exposed to antibodies targeting N- or C
terminal epitopes of Ro52 did not show any electrocardiogram abnormalities.
Addition of an anti-p200 antibody to cultured cardiomyocytes induced calcium
dyshomoeostasis in a time- and dose-dependent manner, while addition of other
Ro52 antibodies had no effect. CONCLUSION: These data for the first time show
unambiguously that antibodies specific for amino acids 200-239 of Ro52 can induce
cardiac conduction defects in the absence of other autoantibodies, and may
therefore be the main initiators of cardiac pathology in the pool of anti-Ro52
antibodies in mothers of children with CHB.
PMID- 22084396
TI - Intermittent administration of MEK inhibitor GDC-0973 plus PI3K inhibitor GDC
0941 triggers robust apoptosis and tumor growth inhibition.
AB - Combinations of MAP/ERK kinase (MEK) and phosphoinositide 3-kinase (PI3K)
inhibitors have shown promise in preclinical cancer models, leading to the
initiation of clinical trials cotargeting these two key cancer signaling
pathways. GDC-0973, a novel selective MEK inhibitor, and GDC-0941, a class I PI3K
inhibitor, are in early stage clinical trials as both single agents and in
combination. The discovery of these selective inhibitors has allowed
investigation into the precise effects of combining inhibitors of two major
signaling branches downstream of RAS. Here, we investigated multiple biomarkers
in the mitogen-activated protein kinase (MAPK) and PI3K pathway to search for
points of convergence that explain the increased apoptosis seen in combination.
Using washout studies in vitro and alternate dosing schedules in mice, we showed
that intermittent inhibition of the PI3K and MAPK pathway is sufficient for
efficacy in BRAF and KRAS mutant cancer cells. The combination of GDC-0973 with
the PI3K inhibitor GDC-0941 resulted in combination efficacy in vitro and in vivo
via induction of biomarkers associated with apoptosis, including Bcl-2 family
proapoptotic regulators. Therefore, these data suggest that continuous exposure
of MEK and PI3K inhibitors in combination is not required for efficacy in
preclinical cancer models and that sustained effects on downstream apoptosis
biomarkers can be observed in response to intermittent dosing.
PMID- 22084397
TI - Novel transcriptional targets of the SRY-HMG box transcription factor SOX4 link
its expression to the development of small cell lung cancer.
AB - The HMG box transcription factor SOX4 involved in neuronal development is
amplified and overexpressed in a subset of lung cancers, suggesting that it may
be a driver oncogene. In this study, we sought to develop this hypothesis
including by defining targets of SOX4 that may mediate its involvement in lung
cancer. Ablating SOX4 expression in SOX4-amplified lung cancer cells revealed a
gene expression signature that included genes involved in neuronal development
such as PCDHB, MYB, RBP1, and TEAD2. Direct recruitment of SOX4 to gene promoters
was associated with their upregulation upon ectopic overexpression of SOX4. We
confirmed upregulation of the SOX4 expression signature in a panel of primary
lung tumors, validating their specific response by a comparison using embryonic
fibroblasts from Sox4-deficient mice. Interestingly, we found that small cell
lung cancer (SCLC), a subtype of lung cancer with neuroendocrine characteristics,
was generally characterized by high levels of SOX2, SOX4, and SOX11 along with
the SOX4-specific gene expression signature identified. Taken together, our
findings identify a functional role for SOX genes in SCLC, particularly for SOX4
and several novel targets defined in this study.
PMID- 22084398
TI - Intracellular ATP levels are a pivotal determinant of chemoresistance in colon
cancer cells.
AB - Altered metabolism in cancer cells is suspected to contribute to chemoresistance,
but the precise mechanisms are unclear. Here, we show that intracellular ATP
levels are a core determinant in the development of acquired cross-drug
resistance of human colon cancer cells that harbor different genetic backgrounds.
Drug-resistant cells were characterized by defective mitochondrial ATP
production, elevated aerobic glycolysis, higher absolute levels of intracellular
ATP, and enhanced HIF-1alpha-mediated signaling. Interestingly, direct delivery
of ATP into cross-chemoresistant cells destabilized HIF-1alpha and inhibited
glycolysis. Thus, drug-resistant cells exhibit a greater "ATP debt" defined as
the extra amount of ATP needed to maintain homeostasis of survival pathways under
genotoxic stress. Direct delivery of ATP was sufficient to render drug-sensitive
cells drug resistant. Conversely, depleting ATP by cell treatment with an
inhibitor of glycolysis, 3-bromopyruvate, was sufficient to sensitize cells cross
resistant to multiple chemotherapeutic drugs. In revealing that intracellular ATP
levels are a core determinant of chemoresistance in colon cancer cells, our
findings may offer a foundation for new improvements to colon cancer treatment.
PMID- 22084399
TI - Norathyriol suppresses skin cancers induced by solar ultraviolet radiation by
targeting ERK kinases.
AB - Ultraviolet (UV) irradiation is the leading factor in the development of skin
cancer, prompting great interest in chemopreventive agents for this disease. In
this study, we report the discovery of norathyriol, a plant-derived
chemopreventive compound identified through an in silico virtual screening of the
Chinese Medicine Library. Norathyriol is a metabolite of mangiferin found in
mango, Hypericum elegans, and Tripterospermum lanceolatum and is known to have
anticancer activity. Mechanistic investigations determined that norathyriol acted
as an inhibitor of extracellular signal-regulated kinase (ERK)1/2 activity to
attenuate UVB-induced phosphorylation in mitogen-activated protein kinases
signaling cascades. We confirmed the direct and specific binding of norathyriol
with ERK2 through a cocrystal structural analysis. The xanthone moiety in
norathyriol acted as an adenine mimetic to anchor the compound by hydrogen bonds
to the hinge region of the protein ATP-binding site on ERK2. Norathyriol
inhibited in vitro cell growth in mouse skin epidermal JB6 P+ cells at the level
of G(2)-M phase arrest. In mouse skin tumorigenesis assays, norathyriol
significantly suppressed solar UV-induced skin carcinogenesis. Further analysis
indicated that norathyriol mediates its chemopreventive activity by inhibiting
the ERK-dependent activity of transcriptional factors AP-1 and NF-kappaB during
UV-induced skin carcinogenesis. Taken together, our results identify norathyriol
as a safe new chemopreventive agent that is highly effective against development
of UV-induced skin cancer.
PMID- 22084401
TI - Psychological stress and cytokine production in multiple sclerosis: correlation
with disease symptomatology.
AB - OBJECTIVE: Psychological variables such as perceived stress appear to play a role
in symptom onset or disease exacerbation in multiple sclerosis (MS). The authors
sought to determine if perceived stress is indeed associated with the expression
of pro-inflammatory cytokines and disease symptoms in individuals with MS. To do
so, the authors examined the relationships among disease symptomatology,
perceived stress, and cytokine production from peripheral blood mononuclear cells
in 42 outpatients with MS and 36 normative controls. METHOD: The authors drew
peripheral blood from all subjects prior to the completion of a series of
psychological instruments. The authors measured stress using the Perceived Stress
scale and negative mood with the Profile of Mood States. Disease symptoms were
measured using the Multiple Sclerosis Symptom Checklist. Cytokine production was
induced separately by lipopolysaccharide and a combination of phytohemagglutinin
and phorbol-12-myristate-13-acetate. RESULTS: In MS subjects, the induced
production of interleukin (IL)-6 and IL-10 positively correlated with
psychological stress, mood disturbance, and disease symptomatology. In contrast,
psychological stress in control subjects significantly correlated with level of
tumor necrosis factor-alpha (TNF-alpha), and mood disturbance correlated with
levels of TNF-alpha and interferon-gamma. As well, compared to controls, MS
subjects exhibited a significant fourfold increase in the production of IL-12.
CONCLUSION: There is, in those with MS, a pattern of IL-6 and IL-10 production
that correlates significantly with perceived stress and disease symptomatology.
PMID- 22084400
TI - The brief family relationship scale: a brief measure of the relationship
dimension in family functioning.
AB - The Relationship dimension of the Family Environment Scale, which consists of the
Cohesion, Expressiveness, and Conflict subscales, measures a person's perception
of the quality of his or her family relationship functioning. This study
investigates an adaptation of the Relationship dimension of the Family
Environment Scale for Alaska Native youth. The authors tested the adapted
measure, the Brief Family Relationship Scale, for psychometric properties and
internal structure with 284 12- to 18-year-old predominately Yup'ik Eskimo Alaska
Native adolescents from rural, remote communities. This non-Western cultural
group is hypothesized to display higher levels of collectivism traditionally
organized around an extended kinship family structure. Results demonstrate a
subset of the adapted items function satisfactorily, a three-response alternative
format provided meaningful information, and the subscale's underlying structure
is best described through three distinct first-order factors, organized under one
higher order factor. Convergent and discriminant validity of the Brief Family
Relationship Scale was assessed through correlational analysis.
PMID- 22084402
TI - Fatigue-related gene networks identified in CD14+ cells isolated from HIV
infected patients: part II: statistical analysis.
AB - PURPOSE: In limited samples of valuable biological tissues, univariate ranking
methods of microarray analyses often fail to show significant differences among
expression profiles. In order to allow for hypothesis generation, novel
statistical modeling systems can be greatly beneficial. The authors applied new
statistical approaches to solve the issue of limited experimental data to
generate new hypotheses in CD14(+) cells of patients with HIV-related fatigue
(HRF) and healthy controls. METHODOLOGY: We compared gene expression profiles of
CD14(+) cells of nucleoside reverse transcriptase inhibitor (NRTI)-treated HIV
patients with low versus high fatigue to healthy controls (n = 5 each). With
novel Bayesian modeling procedures, the authors identified 32 genes predictive of
low versus high fatigue and 33 genes predictive of healthy versus HIV infection.
Sparse association and liquid association networks further elucidated the
possible biological pathways in which these genes are involved. RELEVANCE FOR
NURSING PRACTICE: Genetic networks developed in a comprehensive Bayesian
framework from small sample sizes allow nursing researchers to design future
research approaches to address such issues as HRF. IMPLICATION FOR PRACTICE: The
findings from this pilot study may take us one step closer to the development of
useful biomarker targets for fatigue status. Specific and reliable tests are
needed to diagnosis, monitor and treat fatigue and mitochondrial dysfunction.
PMID- 22084403
TI - Pain and inflammation in women with early-stage breast cancer prior to induction
of chemotherapy.
AB - CONTEXT: Pain is a commonly experienced and distressing symptom in women with
breast cancer (BCA), and recent evidence suggests that immune activation may be
associated with pain and other co-occurring symptoms. However, no studies to date
have explored the relationships among perceived pain and biomarkers of
inflammation in women with early-stage BCA during the initial course of
treatment. OBJECTIVES: The purpose of this research study was to examine the
relationships among pro- and anti-inflammatory biomarkers and the presence of
pain and other symptoms (anxiety, depression, fatigue, and sleep disorder) prior
to induction of chemotherapy. METHOD: This was a secondary analysis of data that
measured perceived symptoms, including the presence of pain and pain
interference, and plasma levels of pro- and anti-inflammatory cytokines and C
reactive protein (CRP) in women with early-stage BCA (N = 32) at 1 month
postsurgery but prior to induction of chemotherapy. RESULTS: Women experiencing
pain had significantly higher levels of CRP (p < .01), interleukin (IL) 13 (p <
.02), and IL-7 (p < .02) and more pain interference (p < .01), depression (p <
.01), and sleep disturbance (p < .01) compared to women reporting no pain.
CONCLUSION: The presence of pain during the initial course of treatment in women
with early-stage BCA was associated with significantly higher levels of CRP, IL
7, and IL-13, suggesting a potential role of immune activation in perceived pain.
Further research to examine the precise effects of these biological factors in
modulating pain is needed. Perceived pain was also associated with multiple co
occurring symptoms, and this finding has important implications for symptom
management.
PMID- 22084404
TI - Lymphocyte recovery after breast cancer treatment and mindfulness-based stress
reduction (MBSR) therapy.
AB - OBJECTIVES: This randomized controlled trial was conducted to examine immune
recovery following breast cancer (BC) therapy and evaluate the effect of
mindfulness-based stress reduction therapy (MBSR) on immune recovery with
emphasis on lymphocyte subsets, T cell activation, and production of T-helper 1
(Th1; interferon [IFN]-gamma) and T-helper 2 (Th2; interleukin-4 [IL-4])
cytokines. METHOD: Participants who completed the study consisted of 82 patients
diagnosed with Stage 0-III BC, who received lumpectomy and adjuvant radiation +/-
chemotherapy. Patients were randomized into an MBSR(BC) intervention program or a
control (usual care) group. Immune cell measures were assessed at baseline and
within 2 weeks after the 6-week intervention. The numbers and percentages of
lymphocyte subsets, activated T cells, and Th1 and Th2 cells in peripheral blood
samples were determined by immunostaining and flow cytometry. RESULTS: Immune
subset recovery after cancer treatment showed positive associations with time
since treatment completion. The B and natural killer (NK) cells were more
susceptible than T cells in being suppressed by cancer treatment. Women who
received MBSR(BC) had T cells more readily activated by the mitogen
phytohemagglutinin (PHA) and an increase in the Th1/Th2 ratio. Activation was
also higher for the MBSR(BC) group if <12 weeks from the end of treatment and
women in MBSR(BC) <12 weeks had higher T cell count for CD4(+). CONCLUSION:
MBSR(BC) promotes a more rapid recovery of functional T cells capable of being
activated by a mitogen with the Th1 phenotype, whereas substantial recovery of B
and NK cells after completion of cancer treatment appears to occur independent of
stress-reducing interventions.
PMID- 22084405
TI - Evi1 is essential for hematopoietic stem cell self-renewal, and its expression
marks hematopoietic cells with long-term multilineage repopulating activity.
AB - Ecotropic viral integration site 1 (Evi1), a transcription factor of the SET/PR
domain protein family, is essential for the maintenance of hematopoietic stem
cells (HSCs) in mice and is overexpressed in several myeloid malignancies. Here,
we generate reporter mice in which an internal ribosome entry site (IRES)-GFP
cassette is knocked-in to the Evi1 locus. Using these mice, we find that Evi1 is
predominantly expressed in long-term HSCs (LT-HSCs) in adult bone marrow, and in
the hematopoietic stem/progenitor fraction in the aorta-gonad-mesonephros,
placenta, and fetal liver of embryos. In both fetal and adult hematopoietic
systems, Evi1 expression marks cells with long-term multilineage repopulating
activity. When combined with conventional HSC surface markers, sorting according
to Evi1 expression markedly enhances purification of cells with HSC activity.
Evi1 heterozygosity leads to marked impairment of the self-renewal capacity of LT
HSCs, whereas overexpression of Evi1 suppresses differentiation and boosts self
renewal activity. Reintroduction of Evi1, but not Mds1-Evi1, rescues the HSC
defects caused by Evi1 heterozygosity. Thus, in addition to documenting a
specific relationship between Evi1 expression and HSC self-renewal activity,
these findings highlight the utility of Evi1-IRES-GFP reporter mice for the
identification and sorting of functional HSCs.
PMID- 22084406
TI - Dendritic cells induce antigen-specific regulatory T cells that prevent graft
versus host disease and persist in mice.
AB - Foxp3(+) regulatory T cells (T reg cells) effectively suppress immunity, but it
is not determined if antigen-induced T reg cells (iT reg cells) are able to
persist under conditions of inflammation and to stably express the transcription
factor Foxp3. We used spleen cells to stimulate the mixed leukocyte reaction
(MLR) in the presence of transforming growth factor beta (TGF-beta) and retinoic
acid. We found that the CD11c(high) dendritic cell fraction was the most potent
at inducing high numbers of alloreactive Foxp3(+) cells. The induced
CD4(+)CD25(+)Foxp3(+) cells appeared after extensive proliferation. When purified
from the MLR, iT reg cells suppressed both primary and secondary MLR in vitro in
an antigen-specific manner. After transfer into allogeneic mice, iT reg cells
persisted for 6 mo and prevented graft versus host disease (GVHD) caused by co
transferred CD45RB(hi) T cells. Similar findings were made when iT reg cells were
transferred after onset of GVHD. The CNS2 intronic sequence of the Foxp3 gene in
the persisting iT reg cells was as demethylated as the corresponding sequence of
naturally occurring T reg cells. These results indicate that induced Foxp3(+) T
reg cells, after proliferating and differentiating into antigen-specific
suppressive T cells, can persist for long periods while suppressing a powerful
inflammatory disease.
PMID- 22084408
TI - Type I interferon negatively controls plasmacytoid dendritic cell numbers in
vivo.
AB - Plasmacytoid dendritic cells (pDCs) specialize in the secretion of type I
interferons (IFN-I) and thus are considered critical mediators of antiviral
responses. We recently reported that pDCs have a very early but limited and
transient capacity to curtail viral infections. Additionally, pDC numbers are not
sustained in human infections caused by Hepatitis B or C viruses (HBV and HCV)
and HIV. Thus, the numbers and/or function of pDCs appear to be regulated during
the course of viral infection. In this study, we show that splenic pDCs are
reduced in vivo during several systemic viral infections and after administration
of synthetic toll-like receptor ligands. We demonstrate that IFN-I, regardless of
the source, contributes to this decline and mediates pDC death via the intrinsic
apoptosis pathway. These findings demonstrate a feedback control mechanism by
which IFN-I modulates pDC numbers, thus fine-tuning systemic IFN-I response to
viruses. IFN-I-mediated control of pDCs may explain the loss of pDCs during human
infections caused by HBV, HCV, or HIV and has important therapeutic implications
for settings in which IFN-I is used to treat infections and autoimmune diseases.
PMID- 22084407
TI - Central nervous system inflammation induces muscle atrophy via activation of the
hypothalamic-pituitary-adrenal axis.
AB - Skeletal muscle catabolism is a co-morbidity of many chronic diseases and is the
result of systemic inflammation. Although direct inflammatory cytokine action on
muscle promotes atrophy, nonmuscle sites of action for inflammatory mediators are
less well described. We demonstrate that central nervous system (CNS)-delimited
interleukin 1beta (IL-1beta) signaling alone can evoke a catabolic program in
muscle, rapidly inducing atrophy. This effect is dependent on hypothalamic
pituitary-adrenal (HPA) axis activation, as CNS IL-1beta-induced atrophy is
abrogated by adrenalectomy. Furthermore, we identified a glucocorticoid
responsive gene expression pattern conserved in models of acute and chronic
inflammatory muscle atrophy. In contrast with studies suggesting that the direct
action of inflammatory cytokines on muscle is sufficient to induce catabolism,
adrenalectomy also blocks the atrophy program in response to systemic
inflammation, demonstrating that glucocorticoids are requisite for this process.
Additionally, circulating levels of glucocorticoids equivalent to those produced
under inflammatory conditions are sufficient to cause profound muscle wasting.
Together, these data suggest that a significant component of inflammation-induced
muscle catabolism occurs indirectly via a relay in the CNS.
PMID- 22084409
TI - IGSF4 is a novel TCR zeta-chain-interacting protein that enhances TCR-mediated
signaling.
AB - Immunoglobulin superfamily member 4 (IGSF4) is a known ligand of CRTAM, a
receptor expressed in activated NKT and CD8(+) T cells, but its function in T
cell immunity has not been elucidated. In this study, we show that IGSF4 directly
interacts with the T cell receptor (TCR) zeta-chain and enhances TCR signaling by
enhancing zeta-chain phosphorylation. Ectopic overexpression of IGSF4 enhances
TCR-mediated T cell activation. In contrast, IGSF4 knockdown shows a dramatic
decrease in markers associated with T cell activation compared with those in
control small interfering RNA. The transmembrane domain is essential for TCR zeta
chain association and clustering to the immunological synapse, and the ectodomain
is associated with T cell interaction with antigen-presenting cells (APCs). IGSF4
deficient mice have impaired TCR-mediated thymocyte selection and maturation.
Furthermore, these mice reveal attenuated effector T cell functions accompanied
by defective TCR signaling. Collectively, the results indicate that IGSF4 plays a
central role in T cell functioning by dual independent mechanisms, control of TCR
signaling and control of T cell-APC interaction.
PMID- 22084411
TI - Retraction. Foxp3-positive macrophages display immunosuppressive properties and
promote tumor growth.
PMID- 22084410
TI - Deregulation of TDP-43 in amyotrophic lateral sclerosis triggers nuclear factor
kappaB-mediated pathogenic pathways.
AB - TDP-43 (TAR DNA-binding protein 43) inclusions are a hallmark of amyotrophic
lateral sclerosis (ALS). In this study, we report that TDP-43 and nuclear factor
kappaB (NF-kappaB) p65 messenger RNA and protein expression is higher in spinal
cords in ALS patients than healthy individuals. TDP-43 interacts with and
colocalizes with p65 in glial and neuronal cells from ALS patients and mice
expressing wild-type and mutant TDP-43 transgenes but not in cells from healthy
individuals or nontransgenic mice. TDP-43 acted as a co-activator of p65, and
glial cells expressing higher amounts of TDP-43 produced more proinflammatory
cytokines and neurotoxic mediators after stimulation with lipopolysaccharide or
reactive oxygen species. TDP-43 overexpression in neurons also increased their
vulnerability to toxic mediators. Treatment of TDP-43 mice with Withaferin A, an
inhibitor of NF-kappaB activity, reduced denervation in the neuromuscular
junction and ALS disease symptoms. We propose that TDP-43 deregulation
contributes to ALS pathogenesis in part by enhancing NF-kappaB activation and
that NF-kappaB may constitute a therapeutic target for the disease.
PMID- 22084412
TI - Prevention of venous thromboembolism using enoxaparin in day surgery: results of
the SMART noninterventional study.
AB - We aimed to confirm the results of randomized, controlled trials on enoxaparin
prophylaxis in unselected patients undergoing day surgery. The primary end point
was the incidence of thromboembolic events during prophylaxis and up to 48 hours
thereafter. A total of 11 794 patients, consisting of 52.1% male with mean age of
49.2 +/- 15.7 were included. In all, 61.5% had no predisposing risk factors and
67.1% received no concomitant medication with the potential to increase bleeding.
Patients were exposed to 20 mg (63.6%) and 40 mg (36.4%) of enoxaparin for a mean
of 12.4 +/- 9.8 days. Forty-four patients (0.39%) had confirmed symptomatic deep
venous thrombosis and 1 patient confirmed pulmonary embolism. Bleeding occurred
in 3.47% of patients (3.29% minor bleeding). Differences between 20 and 40 mg
enoxaparin were negligible. Adverse drug reactions were experienced by 3.1% of
patients. The present study results demonstrate that it is effective and
tolerable to use a risk stratified dose of 20 or 40 mg enoxaparin in patients
undergoing day surgery.
PMID- 22084413
TI - Prevalence of factor V Leiden G1691A, MTHFR C677T, and prothrombin G20210A among
Asian Indian sickle cell patients.
AB - The prevalence of factor V (FV) Leiden G1691A, prothrombin G20210A, and
methylenetetrahydrofolate reductase (MTHFR) C677T mutations were investigated
among 90 sickle trait, 61 sickle homozygous, 75 sickle beta thalassemia, and 15
HbSD Asian Indian sickle cell patients. In all, 297 healthy controls were
evaluated to compare the polymorphism frequency. The prevalence of FV Leiden
heterozygous G>A were significant in the group (P = .02), while PRT G20210A
polymorphism was not seen among patients as well as controls. However, an
increased frequency of the MTHFR 677 C>T genotype was seen among patients as well
as controls, but this was not statistically significant (P = .13). This suggested
a low impact of inherited hypercoagulability risk factors in the pathogenesis of
sickle cell disease and/or its complications.
PMID- 22084414
TI - Ischemic stroke in the setting of chronic immune thrombocytopenia in an elderly
patient--a therapeutic dilemma.
AB - Chronic immune thrombocytopenia (ITP) carries a poor prognosis in the elderly
patients. Increasing evidence proposes that a subgroup of patients with chronic
ITP may be more susceptible to ischemic stroke. An 84-year-old Caucasian man with
multiple ischemic stroke risk factors presented with acute onset of slurred
speech, confusion, and unsteady gait. Physical examination and neurologic imaging
were consistent with a new left thalamic infarct. Platelet counts ranged between
40 000 * 10(9)/L and 65 000 * 10(9) /L. Antiplatelet therapy for his newly
acquired stroke was not initiated considering his low platelet counts and for
mildly symptomatic thrombocytopenia, and the patient was discharged home. Both
hematologic and neurologic guidelines for the management of chronic ITP and
stroke have contradictory goals. Although anticoagulation is mandated in acute
stroke, ITP causes low platelet counts that increase bleeding complications.
PMID- 22084415
TI - Cl(-) concentration changes and desensitization of GABA(A) and glycine receptors.
AB - Desensitization of ligand-gated ion channels plays a critical role for the
information transfer between neurons. The current view on gamma-aminobutyric acid
(GABA)(A) and glycine receptors includes significant rapid components of
desensitization as well as cross-desensitization between the two receptor types.
Here, we analyze the mechanism of apparent cross-desensitization between native
GABA(A) and glycine receptors in rat central neurons and quantify to what extent
the current decay in the presence of ligand is a result of desensitization versus
changes in intracellular Cl(-) concentration ([Cl(-)](i)). We show that apparent
cross-desensitization of currents evoked by GABA and by glycine is caused by
changes in [Cl(-)](i). We also show that changes in [Cl(-)](i) are critical for
the decay of current in the presence of either GABA or glycine, whereas changes
in conductance often play a minor role only. Thus, the currents decayed
significantly quicker than the conductances, which decayed with time constants of
several seconds and in some cells did not decay below the value at peak current
during 20-s agonist application. By taking the cytosolic volume into account and
numerically computing the membrane currents and expected changes in [Cl(-)](i),
we provide a theoretical framework for the observed effects. Modeling diffusional
exchange of Cl(-) between cytosol and patch pipettes, we also show that
considerable changes in [Cl(-)](i) may be expected and cause rapidly decaying
current components in conventional whole cell or outside-out patch recordings.
The findings imply that a reevaluation of the desensitization properties of
GABA(A) and glycine receptors is needed.
PMID- 22084417
TI - Psychodynamic Parenthood Therapy: a model for therapeutic work with parents and
parenthood.
AB - The approach to therapeutic work with parents in psychotherapy has been described
in the literature in various ways but is not well defined. This article will give
a short review of four main types of therapeutic work with parents: 1) Meeting to
update and to accompany parents (Periodic update meeting with parents
complementary to the child's psychotherapy), 2) Parental counseling (guidance),
3) Parent-child therapy (Relationship or dyadic therapy), 4) Family therapy. The
claim is made that those approaches, important and effective as they are, do not
deal with the parent as a main issue, and do not place enough attention on
parenthood. This article offers a model referred to here as Psychodynamic
Parenthood Therapy (PPT). PPT is a dynamic therapeutic intervention with parents
based upon a contract focusing mainly on working on their parenthood. In general,
a parent's negative feelings towards his parenthood or towards his child are some
of the basic issues that can be helped by this approach. After clarifying the
guidelines for choosing PPT, both the contract and the process itself will be
explained. The process deals with parenthood: internalizations, unconscious
feelings, intergenerational transmissions and parental identity. In comparison
with other methods which do not deal specifically with parenthood, PPT will often
lead to a meaningful and effective therapeutic result.
PMID- 22084416
TI - Expression and characterization of the bacterial mechanosensitive channel MscS in
Xenopus laevis oocytes.
AB - We have successfully expressed and characterized mechanosensitive channel of
small conductance (MscS) from Escherichia coli in oocytes of the African clawed
frog, Xenopus laevis. MscS expressed in oocytes has the same single-channel
conductance and voltage dependence as the channel in its native environment. Two
hallmarks of MscS activity, the presence of conducting substates at high
potentials and reversible adaptation to a sustained stimulus, are also exhibited
by oocyte-expressed MscS. In addition to its ease of use, the oocyte system
allows the user to work with relatively large patches, which could be an
advantage for the visualization of membrane deformation. Furthermore, MscS can
now be compared directly to its eukaryotic homologues or to other
mechanosensitive channels that are not easily studied in E. coli.
PMID- 22084418
TI - Problems with binary gender discourse: using context to promote flexibility and
connection in gender identity.
AB - Western society recognises male and female sex from physiological attributes,
such as genitals and chromosomes. 'Gender' is the social and cultural expectation
of how males and females should think, behave and how they should be treated by
others (Diamond, 2002). Some children and adolescents experience distress,
marginalization, and abuse associated with their gender identifications,
preferences and behaviours, which are inconsistent with those expected of their
biological sex. Often their families and society find gender non-conformity at
best difficult, at worst offensive, distressing and intolerable. There is
increasing focus on how mental health professionals work with difference in
gender and sexual identity and recent publications highlight the shift from
pathologizing transgender to a more 'identity-based' perspective, focussing more
on the stigmatizing affects of the environment and the impact on the individual
(Bockting, 2009). This article describes the challenges of binary gender
discourse for young people and their wider contexts and considers how clinicians
may more helpfully respond to avoid unhelpful binaries and so keep the young
person in mind. The therapeutic aims of the UK Gender Identity Development
Service (GIDS) for children and young people are considered and examples of our
work provided.
PMID- 22084419
TI - YeastBook: an encyclopedia of the reference eukaryotic cell.
PMID- 22084420
TI - DNA sequence-mediated, evolutionarily rapid redistribution of meiotic
recombination hotspots.
AB - Hotspots regulate the position and frequency of Spo11 (Rec12)-initiated meiotic
recombination, but paradoxically they are suicidal and are somehow resurrected
elsewhere in the genome. After the DNA sequence-dependent activation of hotspots
was discovered in fission yeast, nearly two decades elapsed before the key
realizations that (A) DNA site-dependent regulation is broadly conserved and (B)
individual eukaryotes have multiple different DNA sequence motifs that activate
hotspots. From our perspective, such findings provide a conceptually
straightforward solution to the hotspot paradox and can explain other, seemingly
complex features of meiotic recombination. We describe how a small number of
single-base-pair substitutions can generate hotspots de novo and dramatically
alter their distribution in the genome. This model also shows how equilibrium
rate kinetics could maintain the presence of hotspots over evolutionary
timescales, without strong selective pressures invoked previously, and explains
why hotspots localize preferentially to intergenic regions and introns. The model
is robust enough to account for all hotspots of humans and chimpanzees
repositioned since their divergence from the latest common ancestor.
PMID- 22084421
TI - Yeast: an experimental organism for 21st Century biology.
AB - In this essay, we revisit the status of yeast as a model system for biology. We
first summarize important contributions of yeast to eukaryotic biology that we
anticipated in 1988 in our first article on the subject. We then describe
transformative developments that we did not anticipate, most of which followed
the publication of the complete genomic sequence of Saccharomyces cerevisiae in
1996. In the intervening 23 years it appears to us that yeast has graduated from
a position as the premier model for eukaryotic cell biology to become the pioneer
organism that has facilitated the establishment of the entirely new fields of
study called "functional genomics" and "systems biology." These new fields look
beyond the functions of individual genes and proteins, focusing on how these
interact and work together to determine the properties of living cells and
organisms.
PMID- 22084425
TI - Notch-1 and Notch-4 biomarker expression in triple-negative breast cancer.
AB - Triple-negative breast cancer (TNBC) demonstrates lack of expression of hormone
receptors and human epidermal growth factor receptor. However, there is no
targeted therapy for TNBC. The authors analyzed 29 TNBC cases for Notch-1 and
Notch-4 biomarker expression and subcellular location, Ki67 proliferation rate,
and relevant clinical/survival data. Results demonstrated an unfavorable Ki67
rate in 90% of cases, Notch-1 expression in tumor and endothelial cells in 100%
of cases, and Notch-4 expression in tumor cells in 73% of cases and endothelial
cells in 100% of cases. Additionally, subcellular localization of Notch-1 and
Notch-4 was predominantly nuclear and cytoplasmic. In conclusion, (a) the
majority of TNBCs are high-grade infiltrating ductal carcinomas with high Ki67
proliferation rate and (b) both Notch-1 and Notch-4 receptors are overexpressed
in tumor and vascular endothelial cells with subcellular localization different
from that of hormone-positive breast cancer. Targeting Notch signaling with gamma
secretase inhibitors should to be explored to further improve the survival rate
of TNBC patients.
PMID- 22084426
TI - Intra-abdominal clear-cell sarcoma: a report of 3 cases, including 1 case with
unusual morphological features, and review of the literature.
AB - Clear-cell sarcoma (CCS) is a soft-tissue neoplasm that morphologically resembles
cutaneous malignant melanoma but has a distinct molecular profile.
Gastrointestinal and intra-abdominal CCSs are very rare. Here, the authors
present 3 cases of intra-abdominal CCS and review the literature. Of these cases,
2 involved the small bowel, and 1 involved the peritoneum. Cases 1 and 3 had the
characteristic CCS morphology, but case 2 was morphologically unusual and
therefore difficult to diagnose. It had relatively small cells with less
prominence of clear cells; many pseudoglandular structures were also present. It
also showed aberrant expression of epithelial membrane antigen (EMA). The other 2
cases also involved some diagnostic uncertainty and were therefore referred to
specialized centers. The authors wish to emphasize the importance of molecular
studies in making a conclusive diagnosis of intra-abdominal CCS.
PMID- 22084427
TI - Cutaneous pseudolymphoma following tattoo application: report of two new cases of
a potential lymphoma mimicker.
AB - The authors report 2 cases of cutaneous pseudolymphoma that occurred in 2 young
adult patients who referred for relentlessly growing nodules that appeared within
4 to 5 months after the application of a mercury-based tattoo. Systemic symptoms
were not present and there was no evidence of lymph node enlargement. Clinically,
both lesions were limited to the red, mercury-based areas of the tattoo.
Microscopic examination featured a dense cellular infiltrate composed of
polytypic T cells in the upper to mid-dermis, coupled with focal interface tissue
reaction. Scattered macrophages contained finely granular particles in their
cytoplasm. In addition, extracellular pigment particles were also recognized.
Collections of epithelioid macrophages were present in both cases and were
reminiscent of epithelioid granulomas. This study confirms evidence that, among
skin diseases featuring a dense lymphoid infiltrate, cutaneous pseudolymphoma
secondary to tattooing is a rare but not exceptional source of diagnostic
challenges.
PMID- 22084428
TI - "Fruiting bodies" of Aspergillus flavus: a rare finding in histopathology.
PMID- 22084429
TI - Late recurrence of a seminoma of the testis with a poorly differentiated
neuroendocrine carcinoma component.
AB - The frequency and clinical relevance of late recurrences of testicular germ cells
tumors (GCTs) has increased in the past few decades because of the improved
survival of patients following the introduction, in the late 1970s, of cisplatin
based chemotherapy. The late recurrences of GCT may take extremely variable
features and occur several years after the primary tumor, making the diagnosis a
challenge for both clinicians and pathologists. This study reports a case of a
testicular seminoma that relapsed 28 years after surgery as an undifferentiated
GCT with a heterologous component of neuroendocrine carcinoma that was initially
misdiagnosed as a metastasis of primary intestinal tumor.
PMID- 22084430
TI - Intestinal ancylostomiasis: an unusual histologic finding.
PMID- 22084423
TI - Sporulation in the budding yeast Saccharomyces cerevisiae.
AB - In response to nitrogen starvation in the presence of a poor carbon source,
diploid cells of the yeast Saccharomyces cerevisiae undergo meiosis and package
the haploid nuclei produced in meiosis into spores. The formation of spores
requires an unusual cell division event in which daughter cells are formed within
the cytoplasm of the mother cell. This process involves the de novo generation of
two different cellular structures: novel membrane compartments within the cell
cytoplasm that give rise to the spore plasma membrane and an extensive spore wall
that protects the spore from environmental insults. This article summarizes what
is known about the molecular mechanisms controlling spore assembly with
particular attention to how constitutive cellular functions are modified to
create novel behaviors during this developmental process. Key regulatory points
on the sporulation pathway are also discussed as well as the possible role of
sporulation in the natural ecology of S. cerevisiae.
PMID- 22084422
TI - Transcriptional regulation in Saccharomyces cerevisiae: transcription factor
regulation and function, mechanisms of initiation, and roles of activators and
coactivators.
AB - Here we review recent advances in understanding the regulation of mRNA synthesis
in Saccharomyces cerevisiae. Many fundamental gene regulatory mechanisms have
been conserved in all eukaryotes, and budding yeast has been at the forefront in
the discovery and dissection of these conserved mechanisms. Topics covered
include upstream activation sequence and promoter structure, transcription factor
classification, and examples of regulated transcription factor activity. We also
examine advances in understanding the RNA polymerase II transcription machinery,
conserved coactivator complexes, transcription activation domains, and the
cooperation of these factors in gene regulatory mechanisms.
PMID- 22084431
TI - Leukemic priming of resting NK cells is killer Ig-like receptor independent but
requires CD15-mediated CD2 ligation and natural cytotoxicity receptors.
AB - Resting human NK cells require a two-stage activation process that we have
previously described as "priming" and "triggering." NK-sensitive tumor cells
provide both priming and triggering signals. NK-resistant tumors evade lysis,
mostly by failure to prime; however, we recently reported a tumor cell line (CTV
1) that primes resting NK cells but fails to trigger lysis. In this article, we
report two additional leukemia cell lines that prime NK cells but are resistant
to lysis. Tumor-mediated NK priming is via CD2 binding to a ligand within CD15 on
the tumor cell. NK-resistant RAJI cells became susceptible to NK lysis following
transfection and expression of CD15. Blockade of CD15 on K562 cells or on CD15(+)
RAJI cells significantly inhibited lysis, as did blockade of CD2 on resting NK
cells. NK priming via CD2 induced CD16 shedding, releasing CD3zeta to the CD2,
leading to its phosphorylation and the subsequent phosphorylation of linker for
activation of T cells and STAT-5 and synthesis of IFN-gamma. Blockade of C-type
lectin receptors significantly suppressed the tumor-mediated priming of NK cells,
whereas blockade of Ig-superfamily-like receptors had no effect at the NK-priming
stage. Tumor priming of resting NK cells was irrespective of HLA expression, and
blockade of HLA-killer Ig-like receptor interactions did not influence the
incidence or degree of priming. However, CD15-CD2 interactions were critical for
NK priming and were required, even in the absence of HLA-mediated NK inhibition.
Tumor-mediated priming led to a sustained primed state, and the activated NK
cells retained the ability to lyse NK-resistant tumors, even after
cryopreservation.
PMID- 22084432
TI - Cutting edge: microRNA-181 promotes human NK cell development by regulating Notch
signaling.
AB - MicroRNAs (miRs) have recently been identified as important regulators of gene
expression at the posttranscriptional level. Although it has clearly been
established that miRs influence the ontogeny of several immune cell lineages, the
role of individual miRs during NK cell development has not been described. In
this study, we show that miR-181 expression levels have a profound impact on the
development of human NK cells from CD34(+) hematopoietic progenitor cells and IFN
gamma production in primary CD56(+) NK cells. We also demonstrate that nemo-like
kinase (NLK), an inhibitor of Notch signaling, is a target of miR-181 in NK
cells, and knockdown of NLK mirrors the developmental effect of miR-181
overexpression. We conclude that miR-181 promotes NK cell development, at least
in part, through the suppression of NLK, providing an important link between miRs
and Notch signaling.
PMID- 22084433
TI - Expansion of functionally anergic CD21-/low marginal zone-like B cell clones in
hepatitis C virus infection-related autoimmunity.
AB - Homeostasis of peripheral B cell subsets is disturbed during chronic hepatitis C
virus (HCV) infection, leading to the occurrence of autoimmunity and B cell
lymphoproliferation. However, mechanisms by which HCV causes lymphoproliferation
remain controversial. We report in this article on the elevated number of clonal
CD21(-/low)IgM(+)CD27(+) marginal zone (MZ)-like B cells, which correlates with
autoimmunity and lymphoproliferation in HCV patients. We found an increase in
autoreactive BCRs using V(H)1-69 and V(H)4-34 genes in CD21(-/low) MZ B cells.
CD21(-/low) MZ B cells showed impaired calcium-mediated signaling, did not
upregulate activation markers, and did not proliferate in response to BCR
triggering. CD21(-/low) MZ B cells also were prone to dying faster than their
CD21(+) counterparts, suggesting that these B cells were anergic. CD21(-/low) MZ
B cells, in contrast, remained responsive to TLR9 stimulation. Gene array
analyses revealed the critical role of Early growth response 2 and Cbl-b in the
induction of anergy. Therefore, HCV patients who display high frequencies of
unresponsive CD21(-/low) MZ B cells are more susceptible to developing
autoimmunity and/or lymphoproliferation. These cells remain in peripheral blood
controlled by functional anergy instead of being eliminated, and chronic
antigenic stimulation through TLR stimulation may create a favorable environment
for breaking tolerance and activating these cells.
PMID- 22084434
TI - Hepcidin is regulated during blood-stage malaria and plays a protective role in
malaria infection.
AB - Hepcidin is one of the regulators of iron metabolism. The expression of hepcidin
is induced in spleens and livers of mice infected with pathogenic bacteria.
Recent studies have indicated that serum hepcidin level is also increased in
human subjects infected with Plasmodium falciparum. The mechanism of the
regulation of hepcidin expression and its role in the infection of malaria
remains unknown. In this study, we determined the expression of hepcidin in
livers of mice infected with Plasmodium berghei. The expression of hepcidin in
the liver was upregulated and downregulated during the early and late stages of
malaria infection, respectively. Inflammation and erythropoietin, rather than the
iron-sensing pathway, are involved in the regulation of hepcidin expression in
livers of infected mice. Meanwhile, we investigated the effect of hepcidin on the
survival of mice infected with P. berghei. Treatment of malaria-infected mice
with anti-hepcidin neutralizing Abs promoted the rates of parasitemia and
mortality. In contrast, lentiviral vector-mediated overexpression of hepcidin
improved the outcome of P. berghei infection in mice. Our data demonstrate an
important role of hepcidin in modulating the course and outcome of blood-stage
malaria.
PMID- 22084436
TI - Alloantibodies against MHC class I: a novel mechanism of neonatal pancytopenia
linked to vaccination.
AB - Fetal/neonatal alloimmune thrombocytopenia is a frequent disease in humans where
alloantibodies against platelet Ags lead to platelet destruction and hemorrhage.
Although a role in the disease for Abs against MHC has been suspected, this has
not been formally demonstrated. Since 2007, a hemorrhagic syndrome due to
thrombocytopenia and designated as bovine neonatal pancytopenia (BNP) has been
recognized in calves in several European countries. An inactivated antiviral
vaccine is strongly suspected to be involved in this syndrome because of its
highly frequent use in the dams of affected calves. In this study, we show that
BNP is an alloimmune disease, as we reproduced the signs by transferring serum
Abs from vaccinated BNP dams into healthy neonatal calves. Ab specificity was
strongly associated with the presence of allogeneic MHC class I Abs in the dams.
MHC class I staining was also observed on Madin-Darby bovine kidney cells, a cell
line related to the one used to produce the vaccine Ag. Our report emphatically
demonstrates that alloimmunization against MHC class I is associated with a
substantial risk of developing cytopenia-associated syndromes in neonates when a
cell line of the same species is used to produce an inactivated vaccine injected
into the mother.
PMID- 22084435
TI - IL-15 regulates homeostasis and terminal maturation of NKT cells.
AB - Semi-invariant NKT cells are thymus-derived innate-like lymphocytes that modulate
microbial and tumor immunity as well as autoimmune diseases. These
immunoregulatory properties of NKT cells are acquired during their development.
Much has been learned regarding the molecular and cellular cues that promote NKT
cell development, yet how these cells are maintained in the thymus and the
periphery and how they acquire functional competence are incompletely understood.
We found that IL-15 induced several Bcl-2 family survival factors in thymic and
splenic NKT cells in vitro. Yet, IL-15-mediated thymic and peripheral NKT cell
survival critically depended on Bcl-x(L) expression. Additionally, IL-15
regulated thymic developmental stage 2 to stage 3 lineage progression and
terminal NKT cell differentiation. Global gene expression analyses and validation
revealed that IL-15 regulated Tbx21 (T-bet) expression in thymic NKT cells. The
loss of IL-15 also resulted in poor expression of key effector molecules such as
IFN-gamma, granzyme A and C, as well as several NK cell receptors, which are also
regulated by T-bet in NKT cells. Taken together, our findings reveal a critical
role for IL-15 in NKT cell survival, which is mediated by Bcl-x(L), and effector
differentiation, which is consistent with a role of T-bet in regulating terminal
maturation.
PMID- 22084437
TI - An MHC class Ib-restricted CD8+ T cell response to lymphocytic choriomeningitis
virus.
AB - Conventional MHC class Ia-restricted CD8(+) T cells play a dominant role in the
host response to virus infections, but recent studies indicate that T cells with
specificity for nonclassical MHC class Ib molecules may also participate in host
defense. To investigate the potential role of class Ib molecules in anti-viral
immune responses, K(b-/-)D(b-/-)CIITA(-/-) mice lacking expression of MHC class
Ia and class II molecules were infected with lymphocytic choriomeningitis virus
(LCMV). These animals have a large class Ib-selected CD8(+) T cell population and
they were observed to mediate partial (but incomplete) virus clearance during
acute LCMV infection as compared with K(b-/-)D(b-/-)beta(2)-microglobulin(-/-)
mice that lack expression of both MHC class Ia and class Ib molecules. Infection
was associated with expansion of splenic CD8(+) T cells and induction of granzyme
B and IFN-gamma effector molecules in CD8(+) T cells. Partial virus clearance was
dependent on CD8(+) cells. In vitro T cell restimulation assays demonstrated
induction of a population of beta(2)-microglobulin-dependent, MHC class Ib
restricted CD8(+) T cells with specificity for viral Ags and yet to be defined
nonclassical MHC molecules. MHC class Ib-restricted CD8(+) T cell responses were
also observed after infection of K(b-/-)D(b-/-)mice despite the low number of
CD8(+) T cells in these animals. Long-term infection studies demonstrated chronic
infection and gradual depletion of CD8(+) T cells in K(b-/-)D(b-/-)CIITA(-/-)
mice, demonstrating that class Ia molecules are required for viral clearance.
These findings demonstrate that class Ib-restricted CD8(+) T cells have the
potential to participate in the host immune response to LCMV.
PMID- 22084438
TI - Transfer of regulatory properties from tolerogenic to proinflammatory dendritic
cells via induced autoreactive regulatory T cells.
AB - Infectious tolerance is a term generally assigned to the process through which
regulatory T cells (Tregs) transfer immunoregulatory properties to other T cells.
In this study, we demonstrated that a similar process applies to human dendritic
cells (DCs), albeit through a different mechanism. We induced and cloned
proinsulin-specific Tregs using tolerogenic DCs and investigated mechanisms by
which induced Ag-specific regulatory T cells (iaTregs) endorse the suppressive
effects. iaTregs expressed FOXP3, programmed death-1, and membrane-bound TGF-beta
and upregulated IL-10 and CTLA-4 after stimulation with the cognate Ag. The
iaTregs suppressed effector T cells only when both encountered the cognate Ags on
the same APCs (linked suppression). This occurred independently of IL-10, TGF
beta, programmed death-1, or CTLA-4. Instead, iaTregs used a granzyme B-mediated
mechanism to kill B cells and monocytes, whereas proinflammatory DCs that
resisted being killed were induced to upregulate the inhibitory receptors B7
(family) homolog 3 and ICOS ligand. These re-educated mature monocyte-derived
dendritic cells (mDCs) suppressed effector T cells and induced IL-10-producing
cells from the naive T cell pool. Our data indicated that human tolerogenic DCs
confer infectious tolerance by inducing Ag-specific Tregs, which, in turn, re
educate proinflammatory mature DCs into DCs with regulatory properties.
PMID- 22084440
TI - Cutting edge: loss of alpha4 integrin expression differentially affects the
homing of Th1 and Th17 cells.
AB - The neutralization of alpha4 integrin is currently used as treatment in several
autoimmune diseases and is thought to prevent the entry of most immune cells in
target tissues. In this study, we showed that selective deletion of alpha4
integrin in T cells did not prevent but delayed the development of experimental
autoimmune encephalomyelitis. Whereas both Th1 and Th17 cells infiltrate the CNS
of wild-type mice, T cells present in the CNS of mice lacking alpha4 integrin
were mainly enriched in Th17 cells, suggesting that this T cell subset uses other
integrins to access the CNS. In contrast, alpha4 integrin expression is important
for Th1 cells to enter the CNS and for the stability of their Th1-associated
genetic program. Therefore, our data suggest that anti-alpha4 integrin Ab
treatment may be more efficient in the treatment of Th1- rather than Th17
mediated disease.
PMID- 22084439
TI - Neutralizing IL-6 reduces human arterial allograft rejection by allowing
emergence of CD161+ CD4+ regulatory T cells.
AB - Perioperative injuries to an allograft exacerbate graft rejection, which in
humans is primarily mediated by effector memory T cells. IL-6 transcripts in
human coronary artery segments rapidly increase posttransplantation into
immunodeficient mouse hosts compared with those of pretransplant specimens and
fall dramatically by 30 d. Adoptive transfer of human PBMCs allogeneic to the
artery 2 d postoperatively results in T cell infiltrates and intimal expansion 4
wk later. Ab neutralization of human IL-6 reduces the magnitude of intimal
expansion and total T cell infiltration but increases the relative expression of
CD161 while decreasing other Th17 markers. Coculture of MHC class II-expressing
human endothelial cells (ECs) with allogeneic CD4(+) memory T cells results in T
cell activation and EC secretion of IL-6. Neutralizing IL-6 in primary allogeneic
T cell-EC cocultures results in enhanced T cell proliferation of CD161(+) CD4(+)
T cells, reduces total T cell proliferation upon restimulation in secondary
cultures (an effect dependent on CD161(+) T cells), increases expression of FOXP3
in CD161(+) T cells, and generates T cells that suppress proliferation of freshly
isolated T cells. These data suggest that IL-6 released from injured allograft
vessels enhances allogeneic T cell infiltration and intimal expansion in a model
of human allograft rejection by inhibiting an increase in CD161(+) regulatory T
cells.
PMID- 22084441
TI - Rat and mouse CD94 associate directly with the activating transmembrane adaptor
proteins DAP12 and DAP10 and activate NK cell cytotoxicity.
AB - Signaling by the CD94/NKG2 heterodimeric NK cell receptor family has been well
characterized in the human but has remained unclear in the mouse and rat. In the
human, the activating receptor CD94/NKG2C associates with DAP12 by an ionic bond
between oppositely charged residues within the transmembrane regions of NKG2C and
DAP12. The lysine residue responsible for DAP12 association is absent in rat and
mouse NKG2C and -E, raising questions about signaling mechanisms in these
species. As a possible substitute, rat and mouse NKG2C and -E contain an arginine
residue in the transition between the transmembrane and stalk regions. In this
article, we demonstrate that, similar to their human orthologs, NKG2A inhibits,
whereas NKG2C activates, rat NK cells. Redirected lysis assays using NK cells
transfected with a mutated NKG2C construct indicated that the activating function
of CD94/NKG2C did not depend on the transmembrane/stalk region arginine residue.
Flow cytometry and biochemical analysis demonstrated that both DAP12 and DAP10
can associate with rat CD94/NKG2C. Surprisingly, DAP12 and DAP10 did not
associate with NKG2C but instead with CD94. These associations depended on a
transmembrane lysine residue in CD94 that is unique to rodents. Thus, in the
mouse and rat, the ability to bind activating adaptor proteins has been
transferred from NKG2C/E to the CD94 chain as a result of mutation events in both
chains. Remarkable from a phylogenetic perspective, this sheds new light on the
evolution and function of the CD94/NKG2 receptor family.
PMID- 22084442
TI - Granzyme B regulates antiviral CD8+ T cell responses.
AB - CTLs and NK cells use the perforin/granzyme cytotoxic pathway to kill virally
infected cells and tumors. Human regulatory T cells also express functional
granzymes and perforin and can induce autologous target cell death in vitro.
Perforin-deficient mice die of excessive immune responses after viral challenges,
implicating a potential role for this pathway in immune regulation. To further
investigate the role of granzyme B in immune regulation in response to viral
infections, we characterized the immune response in wild-type, granzyme B
deficient, and perforin-deficient mice infected with Sendai virus. Interestingly,
granzyme B-deficient mice, and to a lesser extent perforin-deficient mice,
exhibited a significant increase in the number of Ag-specific CD8(+) T cells in
the lungs and draining lymph nodes of virally infected animals. This increase was
not the result of failure in viral clearance because viral titers in granzyme B
deficient mice were similar to wild-type mice and significantly less than
perforin-deficient mice. Regulatory T cells from WT mice expressed high levels of
granzyme B in response to infection, and depletion of regulatory T cells from
these mice resulted in an increase in the number of Ag-specific CD8(+) T cells,
similar to that observed in granzyme B-deficient mice. Furthermore, granzyme B
deficient regulatory T cells displayed defective suppression of CD8(+) T cell
proliferation in vitro. Taken together, these results suggest a role for granzyme
B in the regulatory T cell compartment in immune regulation to viral infections.
PMID- 22084443
TI - Structural basis of diverse peptide accommodation by the rhesus macaque MHC class
I molecule Mamu-B*17: insights into immune protection from simian
immunodeficiency virus.
AB - The MHC class I molecule Mamu-B*17 has been associated with elite control of SIV
infection in rhesus macaques, akin to the protective effects described for HLA
B*57 in HIV-infected individuals. In this study, we determined the crystal
structures of Mamu-B*17 in complex with eight different peptides corresponding to
immunodominant SIV(mac)239-derived CD8(+) T cell epitopes: HW8 (HLEVQGYW), GW10
(GSHLEVQGYW), MW9 (MHPAQTSQW), QW9 (QTSQWDDPW), FW9 (FQWMGYELW), MF8 (MRHVLEPF),
IW9 (IRYPKTFGW), and IW11 (IRYPKTFGWLW). The structures reveal that not only P2,
but also P1 and P3, can be used as N-terminal anchor residues by Mamu-B*17
restricted peptides. Moreover, the N-terminal anchor residues exhibit a broad
chemical specificity, encompassing basic (H and R), bulky polar aliphatic (Q),
and small (T) residues. In contrast, Mamu-B*17 exhibits a very narrow preference
for aromatic residues (W and F) at the C terminus, similar to that displayed by
HLA-B*57. Flexibility within the whole peptide-binding groove contributes to the
accommodation of these diverse peptides, which adopt distinct conformations.
Furthermore, the unusually large pocket D enables compensation from other peptide
residues if P3 is occupied by an amino acid with a small side chain. In addition,
residues located at likely TCR contact regions present highly flexible
conformations, which may impact TCR repertoire profiles. These findings provide
novel insights into the structural basis of diverse peptide accommodation by Mamu
B*17 and highlight unique atomic features that might contribute to the protective
effect of this MHC I molecule in SIV-infected rhesus macaques.
PMID- 22084445
TI - Lactate: a metabolic key player in cancer.
AB - Increased glucose uptake and accumulation of lactate, even under normoxic
conditions (i.e., aerobic glycolysis or the Warburg Effect), is a common feature
of cancer cells. This phenomenon clearly indicates that lactate is not a
surrogate of tumor hypoxia. Tumor lactate can predict for metastases and overall
survival of patients, as shown by several studies of different entities.
Metastasis of tumors is promoted by lactate-induced secretion of hyaluronan by
tumor-associated fibroblasts that create a milieu favorable for migration.
Lactate itself has been found to induce the migration of cells and cell clusters.
Furthermore, radioresistance has been positively correlated with lactate
concentrations, suggesting an antioxidative capacity of lactate. Findings on
interactions of tumor metabolites with immune cells indicate a contribution of
lactate to the immune escape. Furthermore, lactate bridges the gap between high
lactate levels in wound healing, chronic inflammation, and cancer development.
Tumor cells ensure sufficient oxygen and nutrient supply for proliferation
through lactate-induced secretion of VEGF, resulting in the formation of new
vessels. In summary, accumulation of lactate in solid tumors is a pivotal and
early event in the development of malignancies. The determination of lactate
should enter further clinical trials to confirm its relevance in cancer biology.
PMID- 22084446
TI - Tyrosine isomers mediate the classical phenomenon of concomitant tumor
resistance.
AB - Concomitant tumor resistance (CR) is a phenomenon originally described in 1906 in
which a tumor-bearing host is resistant to the growth of secondary tumor implants
and metastasis. Although recent studies have indicated that T-cell-dependent
processes mediate CR in hosts bearing immunogenic small tumors, manifestations of
CR induced by immunogenic and nonimmunogenic large tumors have been associated
with an elusive serum factor. In this study, we identify this serum factor as
tyrosine in its meta and ortho isoforms. In three different murine models of
cancer that generate CR, both meta-tyrosine and ortho-tyrosine inhibited tumor
growth. In addition, we showed that both isoforms of tyrosine blocked metastasis
in a fourth model that does not generate CR but is sensitive to CR induced by
other tumors. Mechanistic studies showed that the antitumor effects of the
tyrosine isoforms were mediated, in part, by early inhibition of mitogen
activated protein/extracellular signal-regulated kinase pathway and inactivation
of STAT3, potentially driving tumor cells into a state of dormancy. By revealing
a molecular basis for the classical phenomenon of CR, our findings may stimulate
new generalized approaches to limit the development of metastases that arise
after resection of primary tumors, an issue of pivotal importance to oncologists
and their patients.
PMID- 22084447
TI - Neurobehavioral effects of acute exposure to isoparaffinic and cycloparaffinic
hydrocarbons.
AB - This article reports neurobehavioral tests in rats with C5-C11 isoparaffinic and
cycloparaffinic hydrocarbons. Testing, conducted shortly after exposure,
evaluated the effects in several domains including clinical effects, motor
activity, functional observations, and visual discrimination performance.
Isopentane and cyclopentane did not produce any evidence of acute central nervous
system (CNS) effects at levels up to 20 000 mg/m(3). A C(6)/C(7) mixed
cycloparaffinic solvent produced minor, reversible changes in latency to response
in visual discrimination testing at 14 000 mg/m(3); the no-effect level was 4200
mg/m(3). A C(8) isoparaffin produced no effects at 14 000 mg/m(3), the highest
level tested. A C(9)/C(11) isoparaffinic solvent produced minor acute CNS effects
at 5000 mg/m(3), with 1500 mg/m(3) as the no-effect level. A C(10)
cycloparaffinic solvent did not produce any statistically significant CNS effects
at 5000 mg/m(3). These studies were designed to provide data that may be useful
in setting occupational exposure limits for C5-C11 isoparaffinic and
cycloparaffinic hydrocarbons.
PMID- 22084448
TI - Time course of treatment effect of OROS(r) methylphenidate in children with ADHD.
AB - OBJECTIVE: The authors evaluated the time course of the treatment effect of
Osmotic-Release Oral System methylphenidate (OROS((r)) MPH) HCl (Concerta((r)),
Raritan, NJ) CII in children with ADHD. METHOD: Data were combined from two
double-blind, randomized, placebo-controlled, cross-over, analog classroom
studies in children (9-12 years) with ADHD. Participants received an
individualized dose of placebo or OROS((r)) MPH on two laboratory school days.
Permanent Product Math Test and Swanson, Kotkin, Agler, M-Flynn, and Pelham
scores were evaluated 0.5 hr before dosing and 1, 2, 4, 10, 11, and 12.5 hr post
dose. Analysis used a repeated-measures mixed model. RESULTS: Treatment effects
were present at all postdose assessment points (p < .0001 for all comparisons, n
= 139). Adverse events were similar to previous reports for OROS((r)) MPH.
CONCLUSION: A robust treatment effect occurred with OROS((r)) MPH; onset was at 1
hr and persisted for at least 12.5 hr after dosing.
PMID- 22084449
TI - Health advocate: what do we expect of family physicians?
PMID- 22084452
TI - Should patients be entitled to cesarean section on demand?: Yes.
PMID- 22084451
TI - Prescription opioid abuse: what is the real problem and how do we fix it?
PMID- 22084453
TI - Should patients be entitled to cesarean section on demand?: No.
PMID- 22084455
TI - Canadian guideline for safe and effective use of opioids for chronic noncancer
pain: clinical summary for family physicians. Part 1: general population.
AB - OBJECTIVE: To provide family physicians with a practical clinical summary of the
Canadian Guideline for Safe and Effective Use of Opioids for Chronic Non-Cancer
Pain, developed by the National Opioid Use Guideline Group. QUALITY OF EVIDENCE:
Researchers for the guideline conducted a systematic review of the literature on
the effectiveness and safety of opioids for chronic noncancer pain, and drafted a
series of recommendations. A panel of 49 clinicians from across Canada reviewed
the draft and achieved consensus on 24 recommendations. MAIN MESSAGE: Screening
for addiction risk is recommended before prescribing opioids. Weak opioids
(codeine and tramadol) are recommended for mild to moderate pain that has not
responded to first-line treatments. Oxycodone, hydromorphone, and morphine can be
tried in patients who have not responded to weaker opioids. A low initial dose
and slow upward titration is recommended, with patient education and close
monitoring. Physicians should watch for the development of complications such as
sleep apnea. The optimal dose is one which improves function or decreases pain
ratings by at least 30%. For by far most patients, the optimal dose will be well
below a 200-mg morphine equivalent dose per day. Tapering is recommended for
patients who have not responded to an adequate opioid trial. CONCLUSION: Opioids
play an important role in the management of chronic noncancer pain, but careful
prescribing is needed to limit potential harms. The new Canadian guideline
provides much-needed guidance to help physicians achieve a balance between
optimal pain control and safety.
PMID- 22084456
TI - Canadian guideline for safe and effective use of opioids for chronic noncancer
pain: clinical summary for family physicians. Part 2: special populations.
AB - OBJECTIVE: To provide family physicians with a practical clinical summary of
opioid prescribing for specific populations based on recommendations from the
Canadian Guideline for Safe and Effective Use of Opioids for Chronic Non-Cancer
Pain. QUALITY OF EVIDENCE: Researchers for the guideline conducted a systematic
review of the literature, focusing on reviews of the effectiveness and safety of
opioids in specific populations. MAIN MESSAGE: Family physicians can minimize the
risks of overdose, sedation, misuse, and addiction through the use of strategies
tailored to the age and health status of patients. For patients at high risk of
addiction, opioids should be reserved for well-defined nociceptive or neuropathic
pain conditions that have not responded to first-line treatments. Opioids should
be titrated slowly, with frequent dispensing and close monitoring for signs of
misuse. Suspected opioid addiction is managed with structured opioid therapy,
methadone or buprenorphine treatment, or abstinence-based treatment. Patients
with mood and anxiety disorders tend to have a blunted analgesic response to
opioids, are at higher risk of misuse, and are often taking sedating drugs that
interact adversely with opioids. Precautions similar to those for other high-risk
patients should be employed. The opioid should be tapered if the patient's pain
remains severe despite an adequate trial of opioid therapy. In the elderly,
sedation, falls, and overdose can be minimized through lower initial doses,
slower titration, benzodiazepine tapering, and careful patient education. For
pregnant women taking daily opioid therapy, the opioids should be slowly tapered
and discontinued. If this is not possible, they should be tapered to the lowest
effective dose. Opioid-dependent pregnant women should receive methadone
treatment. Adolescents are at high risk of opioid overdose, misuse, and
addiction. Patients with adolescents living at home should store their opioid
medication safely. Adolescents rarely require long-term opioid therapy.
CONCLUSION: Family physicians must take into consideration the patient's age,
psychiatric status, level of risk of addiction, and other factors when
prescribing opioids for chronic pain.
PMID- 22084457
TI - Prophylactic use of antimalarials during pregnancy.
AB - QUESTION: Some of my pregnant patients wish to travel to malaria-endemic regions.
Are there medications that can be used safely during pregnancy for malaria
prophylaxis? ANSWER: Pregnant women should avoid travel to malaria-endemic areas
if possible. However, if travel cannot be avoided, measures to prevent mosquito
bites, along with an effective chemoprophylaxis regimen, should be implemented.
Chloroquine or hydroxychloroquine are considered safe to use in all trimesters of
pregnancy. Mefloquine is the agent of choice for chloroquine-resistant areas, and
evidence suggests it is not associated with an increased risk to the fetus.
Although the atovaquone-proguanil drug combination is not currently recommended
for use during pregnancy, limited data suggest that it is not harmful to the
fetus. Doxycycline and primaquine are not recommended during pregnancy.
PMID- 22084458
TI - Update on acute otitis media in children younger than 2 years of age.
AB - QUESTION: As concern about antimicrobial resistance grows, I am aware of the need
to reduce unnecessary antibiotic treatment; however, in my practice I see many
children with acute otitis media (AOM) and this is the most common reason I
prescribe antibiotics. Most of these children are young and otherwise healthy,
and I am uncertain about when to prescribe antibiotics and when to endorse
"watchful waiting." Which children will benefit from antibiotic treatment?
ANSWER: Current Canadian guidelines recommend all children younger than 2 years
of age with otalgia due to AOM and fever greater than 39 degrees C be considered
for treatment with amoxicillin. Watchful waiting is indicated only for children
older than 6 months with mild-to-moderate AOM. Recent evidence suggests young
children with a definitive diagnosis of AOM will benefit from antibiotics and
experience fewer treatment failures compared with placebo, regardless of the
severity of otitis. These studies do not challenge watchful waiting directly, and
determining which children will improve spontaneously remains an enigma.
PMID- 22084459
TI - Delayed antibiotic prescriptions for URTIs.
PMID- 22084460
TI - Common cold.
PMID- 22084461
TI - Dermacase. Can you identify this condition? Nevus anemicus.
PMID- 22084462
TI - Ophthaproblem. Can you identify this condition? Temporal arteritis.
PMID- 22084463
TI - Part 12. Systematic desensitization.
PMID- 22084466
TI - Advocating for the advocate.
PMID- 22084464
TI - Age equity in different models of primary care practice in Ontario.
AB - OBJECTIVE: To assess whether the model of service delivery affects the equity of
the care provided across age groups. DESIGN: Cross-sectional study. SETTING:
Ontario. PARTICIPANTS: One hundred thirty-seven practices, including traditional
fee-for-service practices, salaried community health centres (CHCs), and
capitation-based family health networks and health service organizations. MAIN
OUTCOME MEASURES: To compare the quality of care across age groups using
multilevel linear or logistic regressions. Health service delivery measures and
health promotion were assessed through patient surveys (N = 5111), which were
based on the Primary Care Assessment Tool, and prevention and chronic disease
management were assessed, based on Canadian recommendations for care, through
chart abstraction (N = 4108). RESULTS: Older individuals reported better health
service delivery in all models. This age effect ranged from 1.9% to 5.7%, and was
larger in the 2 capitation-based models. Individuals aged younger than 30 years
attending CHCs had more features of disadvantage (ie, living below the poverty
line and without high school education) and were more likely than older
individuals to report discussing at least 1 health promotion subject at the index
visit. These differences were deemed an appropriate response to greater needs in
these younger individuals. The prevention score showed an age-sex interaction in
all models, with adherence to recommended care dropping with age for women. These
results are largely attributable to the fact that maneuvers recommended for
younger women are considerably more likely to be performed than other maneuvers.
Chronic disease management scores showed an inverted U relationship with age in
fee-for-service practices, family health networks, and health service
organizations but not in CHCs. CONCLUSION: The salaried model might have an
organizational structure that is more conducive to providing appropriate care
across age groups. The thrust toward adopting capitation-based payment is
unlikely to have an effect on age disparities.
PMID- 22084467
TI - Continuity: middle C--a very good place to start.
PMID- 22084470
TI - Cesarean section on demand: is it a fad or an advance in medical practice?
PMID- 22084471
TI - Addiction medicine and substance abuse care.
PMID- 22084472
TI - Comprehensive treatment program for pregnant substance users in a family medicine
clinic.
AB - PROBLEM BEING ADDRESSED: Substance use during pregnancy is a substantial public
health problem and a risk factor for poor neonatal outcomes. Prenatal care is
often provided in high-risk pregnancy units, separate from addiction treatment.
OBJECTIVE OF PROGRAM: To provide comprehensive prenatal care and addiction
treatment in a family medicine setting. DESCRIPTION OF PROGRAM: The Toronto
Centre for Substance Use in Pregnancy (T-CUP) is a family medicine-based program
in a large urban city in Ontario. The T-CUP program comprises an
interdisciplinary team using a one-stop access model to provide comprehensive
services for pregnant women with a history of alcohol or drug abuse, including
prenatal and postnatal medical care, addiction counseling, and assistance with
complex psychosocial needs. EVALUATION: A retrospective chart review was
performed, including charts for 121 women who received care at T-CUP from August
2000 to January 2006. Women demonstrated a high compliance rate with prenatal
care attendance. Most women reported reduction in a variety of drug use
categories. Significant differences were found especially among women who
presented earlier in their pregnancies (P < .05). As a result, neonatal outcomes
were satisfactory and approximately 75% of newborns were discharged home in the
care of their mothers. CONCLUSION: Pregnant substance-using women have positive
maternal and infant health outcomes when they receive comprehensive care in a
family medicine setting.
PMID- 22084473
TI - Characteristics of chronic pain patients in a rural teaching practice.
AB - OBJECTIVE: To describe the characteristics of chronic noncancer pain (CNCP)
patients taking oxycodone or its derivatives in a rural teaching practice.
DESIGN: Characteristics of CNCP patients taking oxycodone over a 5-year period
(September 2003 to September 2008) were compared with those of patients not
taking opioid medications using a retrospective chart audit. SETTING: A rural
teaching practice in southwestern Ontario. PARTICIPANTS: A total of 103 patients
taking chronic oxycodone therapy for CNCP and a random sample of 104 patients not
taking opioid medication. MAIN OUTCOME MEASURES: Number of visits, health
problems, sex, and previous history of addiction and mental illness. RESULTS:
Patients with CNCP taking oxycodone had significantly more health problems (P <
.001), including drug and tobacco addictions. They had more than 3 times as many
clinic visits during the same period of time as patients not taking opioid
medication (mean of 39.0 vs 12.8 visits, P < .001). CONCLUSION: Patients with
CNCP in this rural teaching practice had significantly more health issues (P <
.001) and were more likely to have a history of addiction than other patients
were. They created more work with significantly more visits over the same period
compared with the comparison group.
PMID- 22084474
TI - Narcotic-exposed neonates in a First Nations population in northwestern Ontario:
incidence and implications.
AB - OBJECTIVE: To document the incidence of neonatal abstinence syndrome (NAS) and
the rate of narcotic use during pregnancy in northwestern Ontario, where narcotic
abuse is a growing social and medical problem. DESIGN: Retrospective chart
review. SETTING: The Sioux Lookout Meno Ya Win Health Centre catchment area in
northwestern Ontario. PARTICIPANTS: Mothers and neonates for the 482 live births
that took place in the 18-month study period (January 2009 to June 2010). MAIN
OUTCOME MEASURES: Maternal drug use and neonatal outcomes were documented.
RESULTS: The incidence of narcotic (oxycodone) abuse during pregnancy increased
from a low of 8.4% at the beginning of the study period to a high of 17.2% by mid
2010. Narcotic-using mothers were more likely to also use nicotine and alcohol,
to have premature deliveries, and to be episodic users. Narcotic-exposed neonates
experienced NAS 29.5% of the time; daily maternal use was associated with a
higher rate of NAS (66.0%). While all infants roomed in with their mothers,
exposed infants were more likely to require transfer to a tertiary care nursery.
Infants with severe NAS were treated with oral morphine and had significantly
longer hospital stays compared with the entire cohort (4.5 vs 1.5 days, P =
.004). Narcotic abuse during pregnancy in our region is not currently associated
with increased rates of HIV or hepatitis C infection, as intravenous route of
administration is less common at present than intranasal and oral ingestion.
CONCLUSION: Narcotic abuse during pregnancy is a considerable problem in First
Nations communities in northwestern Ontario. Community-based initiatives need to
be developed to address this issue, and medical and nursing staff need to develop
surveillance, assessment, and therapeutic responses. Passive neonatal addiction
and withdrawal result from maternal narcotic use during pregnancy. Rates of
opioid use among pregnant Canadian women are unknown.
PMID- 22084475
TI - Pharmacists' experiences with dispensing opioids: provincial survey.
AB - OBJECTIVE: To explore pharmacists' beliefs, practices, and experiences regarding
opioid dispensing. DESIGN: Mailed survey. SETTING: The province of Ontario.
PARTICIPANTS: A total of 1011 pharmacists selected from the Ontario College of
Pharmacists' registration list. MAIN OUTCOME MEASURES: Pharmacists' experiences
with opioid-related adverse events (intoxication and aberrant drug-related
behaviour) and their interactions with physicians. RESULTS: A total of 652
pharmacists returned the survey, for a response rate of 64%. Most (86%) reported
that they were concerned about several or many of their patients who were taking
opioids; 36% reported that at least 1 patient was intoxicated from opioids while
visiting their pharmacies within the past year. Reasons for opioid intoxication
included the patient taking more than prescribed (84%), the patient using alcohol
or sedating drugs along with the opioid (69.9%), or the prescribed dose being too
high (34%). Participants' most common concerns in the 3 months before the survey
were patients coming in early for prescription refills, suspected double
doctoring, and requests for replacement doses for lost medication (reported
frequently by 39%, 12%, and 16% of respondents, respectively). Pharmacists were
concerned about physician practices, such as prescribing benzodiazepines along
with opioids. Pharmacists reported difficulty in reaching physicians directly by
telephone (43%), and indicated that physicians frequently did not return their
calls promptly (28%). The strategies rated as most helpful for improving opioid
dispensing were a provincial prescription database and opioid prescribing
guidelines. CONCLUSION: Pharmacists commonly observe opioid intoxication and
aberrant drug-related behaviour in their patients but have difficulty
communicating their concerns to physicians. System-wide strategies are urgently
needed to improve the safety of opioid prescribing and to enhance communication
between physicians and pharmacists.
PMID- 22084481
TI - Return of the "intimate outsider": current trends and issues in family nursing
research revisited.
AB - This article reviews family nursing research published from 1996 to 2011. This is
a follow-up to a review published in the Journal of Family Nursing in 1995.
Findings from the first review are compared with this one, trends in family
nursing scholarship are identified, and predictions and suggestions for future
directions are offered. The latest generation of family nursing scholarship is
conceptually and methodologically sound, and there is evidence of more
multidisciplinary and interdisciplinary research conducted by family nursing
researchers. Scholars are paying more attention to issues of diversity and family
context at present than in the past, although there are still aspects of
diversity that need more attention. Strong research programs in family nursing
exist worldwide; an international synergism has helped promote rapid expansion of
family nursing research and theory development. A vigorous movement to promote
research to practice initiatives and greater attention to family interventions
are exciting developments.
PMID- 22084479
TI - Frequent and widespread vascular abnormalities in human signal transducer and
activator of transcription 3 deficiency.
AB - BACKGROUND: Signal transducer and activator of transcription 3 (STAT3) deficiency
is responsible for autosomal dominant hyperimmunoglobulin E syndrome,
characterized by recurrent bacterial and fungal infections, connective tissue
abnormalities, hyperimmunoglobulin E, and Th17 lymphopenia. Although vascular
abnormalities have been reported in some patients, the prevalence,
characteristics, and etiology of these features have yet to be described. METHODS
AND RESULTS: We prospectively screened 21 adult STAT3-deficient patients
[corrected] (median age, 26 years; range, 17-44 years) [corrected] for vascular
abnormalities. We explored the entire arterial vasculature with whole-body
magnetic resonance imaging angiography, coronary multislice computed tomography,
and echo-tracking-based imaging specifically for the [corrected] carotid
arteries. We also assayed for serum biomarkers of inflammation and endothelial
dysfunction. Finally, we studied murine models of aortic aneurysm in the presence
and absence of inhibitors of STAT3-dependent signaling. Ninety-five percent of
patients showed brain abnormalities (white matter hyperintensities, lacunar
lesions suggestive of ischemic infarcts, and atrophy). We reported peripheral and
brain artery abnormalities in 84% of the patients and detected coronary artery
abnormalities in 50% of the patients. The most frequent vascular abnormalities
were ectasia and aneurysm. The carotid intima-media thickness was markedly
decreased, with a substantial increase in circumferential wall stress, indicating
the occurrence of hypotrophic arterial remodeling in this STAT3-deficient
population. Systemic inflammatory biomarker levels correlated poorly with the
vascular phenotype. In vivo inhibition of STAT3 signaling or blockade of IL-17A
resulted in a marked increase in aneurysm severity and fatal rupture in mouse
models. CONCLUSIONS: Vascular abnormalities are highly prevalent in patients with
STAT3 deficiency. This feature is consistent with the greater susceptibility to
vascular aneurysm observed after inhibition of STAT3-dependent signaling in mouse
models.
PMID- 22084482
TI - Contending and adapting every day: norwegian parents' lived experience of having
a child with ADHD.
AB - Attention deficit hyperactivity disorder (ADHD) is one of the most common
childhood disorders, and little attention has been paid to the parents and their
experiences. The aim of this study was to gain a deeper understanding of the
Norwegian parents' lived experiences of having a child with an ADHD diagnosis. A
descriptive design using phenomenological approach was chosen as the research
method. Individual qualitative interviews with nine parents, who were members of
the ADHD Association, were conducted. The interviews were analyzed according to
Colaizzi's method. The essential structure of the parents' experiences was
Contending and Adapting Every Day-Windsurfing in unpredictable waters which was
embedded in the interrelated main themes: Maintaining the Self and Parenthood,
and Interacting With the Surrounding World. Being the parent of a child with ADHD
is a demanding situation. Nurses need to address the needs of these parents and
focus on the family unit.
PMID- 22084483
TI - Misconceptions about missed conceptions: the meanings of emergency contraceptive
pills use among young adult couples.
AB - Emergency contraceptive pills (ECP) are a safe and efficacious backup method of
birth control. Despite widespread availability, ECP is underutilized by young
women. While partner level of involvement has been shown to influence
contraceptive behavior, there is a dearth of knowledge regarding any possible
association between partner influence and ECP use. To better understand the
reasons for the seemingly underuse of ECP, a grounded theory study was conducted
to elucidate the relationship of couple dynamics and knowledge of, attitudes
toward, and decision making regarding the use of ECP in coupled young adults.
Consistent with contemporary constructivist grounded theory methods, several
categories were identified including the meanings associated with ECP use. This
article presents an elaboration of this particular finding. The meanings that
participants ascribed to ECP use represented a continuum of value attributes
regarding ethics, safety, efficacy, and responsibility.
PMID- 22084484
TI - Family functioning of child-rearing Japanese families on family-accompanied work
assignments in Hong Kong.
AB - Although the number of employees on overseas assignments accompanied by their
families has increased steadily, little is known about the effects of this
experience on family functioning. Japanese families on family-accompanied
assignments living in Hong Kong were compared with families living in Japan
(consisting of 135 and 248 paired partners, respectively). Applying an ecological
framework, family functioning was examined using the Feetham Family Functioning
Survey-Japanese (FFFS-J). Japanese wives living in Hong Kong rated family
functioning lower, particularly in the area of "relationship between family and
family members." Between paired marital partners living in Hong Kong, the level
of satisfaction in the area of "relationship between family and society" was
significantly lower for wives than for husbands. This study provides application
of the family ecological framework in families in a multicultural environment and
identifies potential areas for family assessment and intervention that may of
interest to health care professionals who care for families living away from
their home countries.
PMID- 22084486
TI - International Family Nursing Association (IFNA): Update and Membership
Recruitment.
PMID- 22084488
TI - Tolerance and effects of FK506 (tacrolimus) on nerve regeneration: a pilot study.
AB - In adults, the outcome of nerve suture and nerve autograft remains generally
unsatisfactory. FK506 (tacrolimus), an immunosuppressant drug used in
transplantation, has been reported in animal studies to enhance nerve
regeneration. In hand transplantation patients, nerve regeneration was
unexpectedly good and rapid, and this observation has been attributed to FK506.
The present Phase II experiment investigated the tolerance to FK506 after nerve
suture or autograft, and the potential effects of the drug on axonal
regeneration. Following strict criteria, five patients were included in this
study. Within 7 days of nerve repair (median, ulnar and sciatic transections),
patients received FK506 (aiming for blood concentrations between 5 and 8 ng/ml)
for a total duration of 60 days. The patients were carefully followed with
clinical and biological monitoring in order to detect side-effects. A clinical
and electrophysiological assessment of the effect of FK506 on nerve regeneration
was conducted. No undesirable side-effect was observed during or after FK506
treatment, but one non-compliant patient discontinued treatment. There was no
evident improvement of sensory, motor or functional recovery at the end of the
follow-up period (average duration 39.8 months), as compared to the expected
clinical result without treatment. Although statistically non-significant, FK506
seemed to accelerate the progression of the Hoffmann-Tinel sign, but without
impact on the final result.
PMID- 22084489
TI - Anti-HHV-6 IgG titer significantly predicts subsequent relapse risk in multiple
sclerosis.
AB - BACKGROUND: Some of the strongest associations with MS onset are for human
herpesviruses, particularly Epstein-Barr virus (EBV) and human herpesvirus 6 (HHV
6). Their role in MS clinical course is less clear, however. METHODS: Prospective
cohort of 198 persons with clinically definite MS, followed 2002-5, and serum
samples obtained from all subjects at study entry to measure anti-HHV-6 and anti
EBV (Epstein-Barr nuclear antigen [EBNA] and viral capsid antigen [VCA]) IgG
titers. Association with relapse evaluated using survival analysis; association
with disability/progression evaluated using linear regression or multilevel mixed
effects linear regression. RESULTS: For the 145 persons with relapsing-remitting
MS followed beyond one review, anti-HHV-6 IgG titer was positively associated
with the hazard of relapse with a dose-dependent trend (p = 0.003), not affected
by adjustment for anti-EBV IgG titers, neither of which were independently
associated with relapse. There was no significant association between anti-human
herpesvirus IgG titers and baseline-measured disability scores, or change in
disability scores; however, anti-HHV-6 IgG titers were 2.8 times higher among
progressive-course females than progressive-course males. DISCUSSION: These
findings suggest that, in addition to a potential etiological role in MS, HHV-6
infection or the immune response to HHV-6 antigens may have an effect on the risk
of MS relapses and possibly on progressive courses of MS. The observed effect was
directly related to anti-HHV-6 IgG titers and may indicate that either HHV-6
infection or factors associated with an altered humoral immune response to HHV-6
may have an effect on MS clinical course. Anti-HHV-6 IgG titer may be a useful
prognostic factor in relapsing-remitting MS clinical course.
PMID- 22084490
TI - Effects of 3 weeks' whole body vibration training on muscle strength and
functional mobility in hospitalized persons with multiple sclerosis.
AB - BACKGROUND: Exercise therapy in persons with multiple sclerosis (MS) is effective
for improving muscle strength and functional mobility. OBJECTIVE: To investigate,
in MS patients attending an in-patient rehabilitation program, the additional
effects of a 3-week exercise program, performed on a whole body vibration
platform, on muscle strength and functionality. METHODS: Median Expanded
Disability Status Scale (EDSS) of participating patients was 5.5. This randomized
controlled trial differentiated a MS control group (n = 17) and two exercise
groups performing exercises on a vibration platform (WBV-full group, n = 20) and
on the platform additionally covered by a damping mat (WBV-light group, n = 18).
Exercise groups performed, during 10 training sessions, six static and dynamic
exercises standing on a platform vibrating at high frequency and low amplitude.
Isometric muscle strength of quadriceps, hamstrings, tibialis anterior and
gluteus medius was measured with a hand-held dynamometer. Functional mobility was
measured with Berg Balance Scale (BBS), 3-minute walk test and Timed Get up and
Go test. RESULTS: Eight drop-outs occurred in the exercise groups (WBV-full = 4,
WBV-light = 4), but were unrelated to WBV as type of intervention. Across groups,
significant time effects were found for all muscle groups. For maximal quadriceps
and hamstrings muscle strength, interaction effects were found with post-hoc
tests indicating exercise group-significant improvements in the WBV-full group
only. Significant time effects were found for all functional tests. Improvements
on the BBS and 3-minute walk test were larger in training than in control groups,
but no significant interactions were found. CONCLUSIONS: A 3-week exercise
program on a vibration plate significantly improved muscle strength, but not
functionality, in persons with MS.
PMID- 22084491
TI - Incidence and causes for syringe driver site reactions in palliative care: A
prospective hospice-based study.
AB - BACKGROUND: Syringe drivers are routinely used in palliative care for the
subcutaneous infusion of drugs for pain and symptom control. Local site reactions
occurring at the site of infusion can lead to patient discomfort and the
potential for sub-optimal symptom control. AIM: The aim of this study was to
investigate whether there was a correlation between drugs administered
subcutaneously via a syringe driver and the incidence of syringe driver site
reactions, further linking this to time to syringe driver site reaction. DESIGN:
Prospective quantitative data collection of syringe driver use for 170 hospice
inpatients. SETTING/PARTICIPANTS: Specialist palliative care inpatient facility
in the UK. Syringe driver recording forms were retrieved from case notes of
consecutive patients who received medication via a syringe driver. RESULTS: An
association between the presence of cyclizine and levomepromazine and the
incidence of syringe driver site reactions was identified. A marked difference in
incidence of syringe driver site reaction was observed between the two study
centres (26.5% vs. 7.7%). Although baseline patient characteristics were
comparable, a difference in practice between the centres was identified, i.e. use
of parenteral cannulae. An association between the time a syringe driver was in
situ and the occurrence of a syringe driver site reaction was also demonstrated.
CONCLUSIONS: Recommendations can be made for the frequency of syringe driver site
changes based on which drugs are in use. Incidental findings from the study have
been used to change practice at the hospice study site, with regard to choice of
parenteral cannulae.
PMID- 22084492
TI - The impact of body-part-naming training on the accuracy of imitative performances
in 2- to 3-year-old children.
AB - A series of three experiments explored the relationship between 3-year-old
children's ability to name target body parts and their untrained matching of
target hand-to-body touches. Nine participants, 3 per experiment, were presented
with repeated generalized imitation tests in a multiple-baseline procedure,
interspersed with step-by-step training that enabled them to (i) tact the target
locations on their own and the experimenter's bodies or (ii) respond accurately
as listeners to the experimenter's tacts of the target locations. Prompts for on
task naming of target body parts were also provided later in the procedure. In
Experiment 1, only tact training followed by listener probes were conducted; in
Experiment 2, tacting was trained first and listener behavior second, whereas in
Experiment 3 listener training preceded tact training. Both tact and listener
training resulted in emergence of naming together with significant and large
improvements in the children's matching performances; this was true for each
child and across most target gestures. The present series of experiments provides
evidence that naming--the most basic form of self-instructional behavior--may be
one means of establishing untrained matching as measured in generalized imitation
tests. This demonstration has a bearing on our interpretation of imitation
reported in the behavior analytic, cognitive developmental, and comparative
literature.
PMID- 22084493
TI - Contextual influences on resistance to disruption in children with intellectual
disabilities.
AB - Training context can influence resistance to disruption under differing
reinforcement schedules. With nonhumans, when relatively lean and rich
reinforcement schedules are experienced in the context of a multiple schedule,
greater resistance is found in the rich than the lean component, as described by
behavioral momentum theory. By contrast, when the schedules are experienced in
separated blocks of sessions (i.e., as single schedules), resistance is not
consistently greater in either component. In the current study, two groups of 6
children with intellectual disabilities responded to stimuli presented in
relatively lean or rich components. For both, reinforcers were delivered
according to the same variable-interval reinforcement schedule; additionally, the
rich component included the delivery of response-independent reinforcers. The
Within group was trained on a multiple schedule in which lean and rich components
alternated regularly within sessions; the Blocked group was trained on two single
schedules in which sessions with either the lean or rich schedule were conducted
in successive blocks. Disruption tests presented a concurrently available
alternative stimulus disrupter signaling the availability of tangible
reinforcers. All 6 Within participants showed greater resistance to disruption in
the rich component, consistent with behavioral momentum theory. By contrast,
there was no consistent or significant difference in resistance for Blocked
participants. This finding is potentially relevant to the development of
interventions in applied settings, where such interventions often approximate
single schedules and include response-independent reinforcers.
PMID- 22084494
TI - Emergent identity matching after successive matching training, I: reflexivity or
generalized identity.
AB - This research investigated the source of an ostensible reflexivity effect in
pigeons reported by Sweeney and Urcuioli (2010). In Experiment 1, pigeons learned
two symmetrically reinforced symbolic successive matching tasks (hue-form and
form-hue) using red-green and triangle-horizontal line stimuli. They differed in
their third concurrently trained baseline task: form-form matching with stimuli
appearing in the symbolic tasks (triangle and horizontal) for one group versus
hue-hue matching with stimuli not appearing in the symbolic tasks (blue and
white) for the other. During subsequent nonreinforced probe tests, all pigeons in
the former group and most pigeons in the latter group responded more to the
comparisons on matching than on nonmatching red-green probes. In Experiment 2,
the latter group was tested on nonreinforced form-form probes. One of the 4
pigeons responded significantly more to the comparisons on matching than on
nonmatching triangle-horizontal probes. These data are consistent with
generalized identity and at least one other interpretation of the reflexivity
results and question the functional stimulus assumption of Urcuioli's (2008)
stimulus-class theory.
PMID- 22084495
TI - Whatever gave you that idea? False memories following equivalence training: a
behavioral account of the misinformation effect.
AB - The misinformation effect is a term used in the cognitive psychological
literature to describe both experimental and real-world instances in which
misleading information is incorporated into an account of an historical event. In
many real-world situations, it is not possible to identify a distinct source of
misinformation, and it appears that the witness may have inferred a false memory
by integrating information from a variety of sources. In a stimulus equivalence
task, a small number of trained relations between some members of a class of
arbitrary stimuli result in a large number of untrained, or emergent relations,
between all members of the class. Misleading information was introduced into a
simple memory task between a learning phase and a recognition test by means of a
match-to-sample stimulus equivalence task that included both stimuli from the
original learning task and novel stimuli. At the recognition test, participants
given equivalence training were more likely to misidentify patterns than those
who were not given such training. The misinformation effect was distinct from the
effects of prior stimulus exposure, or partial stimulus control. In summary,
stimulus equivalence processes may underlie some real-world manifestations of the
misinformation effect.
PMID- 22084496
TI - A mechanism for reducing delay discounting by altering temporal attention.
AB - Rewards that are not immediately available are discounted compared to rewards
that are immediately available. The more a person discounts a delayed reward, the
more likely that person is to have a range of behavioral problems, including
clinical disorders. This latter observation has motivated the search for
interventions that reduce discounting. One surprisingly simple method to reduce
discounting is an "explicit-zero" reframing that states default or null outcomes.
Reframing a classical discounting choice as "something now but nothing later"
versus "nothing now but more later" decreases discount rates. However, it is not
clear how this "explicit-zero" framing intervention works. The present studies
delineate and test two possible mechanisms to explain the phenomenon. One
mechanism proposes that the explicit-zero framing creates the impression of an
improving sequence, thereby enhancing the present value of the delayed reward. A
second possible mechanism posits an increase in attention allocation to
temporally distant reward representations. In four experiments, we distinguish
between these two hypothesized mechanisms and conclude that the temporal
attention hypothesis is superior for explaining our results. We propose a model
of temporal attention whereby framing affects intertemporal preferences by
modifying present bias.
PMID- 22084497
TI - Some determinants of remote behavioral history effects in humans.
AB - Undergraduates were exposed to a series of reinforcement schedules: first, to a
fixed-ratio (FR) schedule in the presence of one stimulus and to a differential
reinforcement-of-low-rate (DRL) schedule in the presence of another (multiple FR
DRL training), then to a fixed-interval (FI) schedule in the presence of a third
stimulus (FI baseline), next to the FI schedule under the stimuli previously
correlated with the FR and DRL schedules (multiple FI FI testing), and, finally,
to a single session of the multiple FR DRL schedule again (multiple FR DRL
testing). Response rates during the multiple FI FI schedule were higher under the
former FR stimulus than under the former DRL stimulus. This effect of remote
histories was prolonged when either the number of FI-baseline sessions was small
or zero, or the time interval between the multiple FR DRL training and the
multiple FI FI testing was short. Response rates under these two stimuli
converged with continued exposure to the multiple FI FI schedule in most cases,
but quickly differentiated when the schedule returned to the multiple FR DRL.
PMID- 22084498
TI - Relational discrimination by pigeons in a go/no-go procedure with compound
stimuli: a methodological note.
AB - A go/no-go procedure with compound stimuli typically establishes emergent
behavior that parallels in structure and typical outcome that of conventional
tests for symmetric, transitive, and equivalence relations in normally capable
adults. The present study employed a go/no-go compound stimulus procedure with
pigeons. During training, pecks to two-component compounds A1B1, A2B2, B1C1, and
B2C2 were followed by food. Pecks to compounds A1B2, A2B1, B1C2, and B2C1 re
started the 30-s stimulus presentation interval. The absence of pecking to those
compounds for 30 s ended the trial. Subsequent tests presented these components
in new spatial arrangements and/or in recombinative compounds that together
corresponded to conventional tests of symmetry, transitivity, and equivalence:
B1A1, B2A2, C1B1, C2B2, A1C1, A2C2, C1A1, C2A2 vs. B1A2, B2A1, C1B2, C2B1, A1C2,
A2C1, C1A2, C2A1 (positive vs. negative instances of symmetric, transitive, and
equivalence relations). On tests for symmetric relations, all pigeons behaved in
a manner consistent with training on both positive instances (i.e., by
responding) and on negative instances (i.e., by not responding). By contrast, the
pigeons' behavior on tests for transitivity and equivalence was inconsistent with
baseline training, thus failing to show the recombinative discrimination
performance that is typical of normally capable humans when trained and tested
using the go/no-go procedure with compound stimuli.
PMID- 22084499
TI - Delay discounting: I'm a k, you're a k.
AB - Delay discounting is the decline in the present value of a reward with delay to
its receipt. Across a variety of species, populations, and reward types, value
declines hyperbolically with delay. Value declines steeply with shorter delays,
but more shallowly with longer delays. Quantitative modeling provides precise
measures to characterize the form of the discount function. These measures may be
regarded as higher-order dependent variables, intervening variables, or
hypothetical constructs. I suggest the degree of delay discounting may be a
personality trait. In the end, the ontological status of measures of delay
discounting is irrelevant. Whatever delay discounting may be, its study has
provided the field of behavior analysis and other areas measures with robust
generality and predictive validity for a variety of significant human problems.
Research on moderating the degree of delay discounting has the potential to
produce substantial societal benefits.
PMID- 22084501
TI - Global action on social determinants of health.
PMID- 22084502
TI - Social determinants of health: practical solutions to deal with a well-recognized
issue.
PMID- 22084504
TI - Behind the "Glasgow effect".
AB - Michael Reid reports on how the Scottish city is tackling the stark inequities in
its people's health.
PMID- 22084506
TI - A decade towards better health in Chile.
AB - In 1990, after 17 years of dictatorship, Chile started rebuilding its political
system with a focus on improving social conditions and health. A recent study of
the last 10 years shows some positive results. Irene Helmke reports.
PMID- 22084505
TI - Tackling social factors to save lives in India.
AB - Health inequalities persist amid a booming economy. Patralekha Chatterjee
reports.
PMID- 22084507
TI - Dealing with the big picture in Australia.
AB - Public health challenges cannot be tackled by departments of health alone.
Matthew Heath reports on how the state government of South Australia is taking a
new approach.
PMID- 22084508
TI - Brazil calls for pact on social factors to improve health.
AB - As host of the World Conference on Social Determinants of Health on 19-21 October
2011, Brazil has shown its commitment to tackling social factors to improve
people's health and well-being. Minister of Health Alexandre Padilha talks to the
WHO Bulletin about what his country has done in this respect and his hopes for
the conference.
PMID- 22084509
TI - Global mesothelioma deaths reported to the World Health Organization between 1994
and 2008.
AB - OBJECTIVE: To carry out a descriptive analysis of mesothelioma deaths reported
worldwide between 1994 and 2008. METHODS: We extracted data on mesothelioma
deaths reported to the World Health Organization mortality database since 1994,
when the disease was first recorded. We also sought information from other
English-language sources. Crude and age-adjusted mortality rates were calculated
and mortality trends were assessed from the annual percentage change in the age
adjusted mortality rate. FINDINGS: In total, 92,253 mesothelioma deaths were
reported by 83 countries. Crude and age-adjusted mortality rates were 6.2 and 4.9
per million population, respectively. The age-adjusted mortality rate increased
by 5.37% per year and consequently more than doubled during the study period. The
mean age at death was 70 years and the male-to-female ratio was 3.6:1. The
disease distribution by anatomical site was: pleura, 41.3%; peritoneum, 4.5%;
pericardium, 0.3%; and unspecified sites, 43.1%. The geographical distribution of
deaths was skewed towards high-income countries: the United States of America
reported the highest number, while over 50% of all deaths occurred in Europe. In
contrast, less than 12% occurred in middle- and low-income countries. The overall
trend in the age-adjusted mortality rate was increasing in Europe and Japan but
decreasing in the United States. CONCLUSION: The number of mesothelioma deaths
reported and the number of countries reporting deaths increased during the study
period, probably due to better disease recognition and an increase in incidence.
The different time trends observed between countries may be an early indication
that the disease burden is slowly shifting towards those that have used asbestos
more recently.
PMID- 22084510
TI - Excess child mortality after discharge from hospital in Kilifi, Kenya: a
retrospective cohort analysis.
AB - OBJECTIVE: To explore excess paediatric mortality after discharge from Kilifi
District Hospital, Kenya, and its duration and risk factors. METHODS: Hospital
and demographic data were used to describe post-discharge mortality and survival
probability in children aged < 15 years, by age group and clinical syndrome. Cox
regression models were developed to identify risk factors. FINDINGS: In 2004
2008, approximately 111,000 children were followed for 555,000 person-years. We
analysed 14,971 discharges and 535 deaths occurring within 365 days of discharge.
Mortality was higher in the post-discharge cohort than in the community cohort
(age-adjusted rate ratio, RR: 7.7; 95% confidence interval, CI: 6.6-8.9) and
declined little over time. An increased post-discharge mortality hazard was found
in children aged < 5 years with the following: weight-for-age Z score < -4
(hazard ratio, HR: 6.5); weight-for-age Z score > -4 but < -3 (HR: 3.4); hypoxia
(HR: 2.3); bacteraemia (HR: 1.8); hepatomegaly (HR: 2.3); jaundice (HR: 1.8);
hospital stay > 13 days (HR: 1.8). Older age was protective (reference < 1
month): 6-23 months, HR: 0.8; 2-4 years, HR: 0.6. Children with at least one risk
factor accounted for 545 (33%) of the 1655 annual discharges and for 39 (47%) of
the 83 discharge-associated deaths. CONCLUSION: Hospital admission selects
vulnerable children with a sustained increased risk of dying. The risk factors
identified provide an empiric basis for effective outpatient follow-up.
PMID- 22084511
TI - Childhood and adult mortality from unintentional falls in India.
AB - OBJECTIVE: To estimate fall-related mortality by type of fall in India. METHODS:
The authors analysed unintentional injury data from the ongoing Million Death
Study from 2001-2003 using verbal autopsy and coding of all deaths in accordance
with the International statistical classification of diseases and related health
problems, tenth revision, in a nationally representative sample of 1.1 million
homes throughout the country. FINDINGS: Falls accounted for 25% (2003/8023) of
all deaths from unintentional injury and were the second leading cause of such
deaths. An estimated 160,000 fall-related deaths occurred in India in 2005; of
these, nearly 20,000 were in children aged 0-14 years. The unintentional-fall
related mortality rate (MR) per 100,000 population was 14.5 (99% confidence
interval, CI: 13.7-15.4). Rates were similar for males and females at 14.9 (99%
CI: 13.7-16.0) and 14.2 (99% CI: 13.1-15.4) per 100,000 population, respectively.
People aged 70 years or older had the highest mortality rate from unintentional
falls (MR: 271.2; 99% CI: 249.0-293.5), and the rate was higher among women (MR:
281; 99% CI: 249.7-311.3). Falls on the same level were the most common among
older adults, whereas falls from heights were more common in younger age groups.
CONCLUSION: In India, unintentional falls are a major public health problem that
disproportionately affects older women and children. The contexts in which these
falls occur and the resulting morbidity and disability need to be better
understood. In India there is an urgent need to develop, test and implement
interventions aimed at preventing falls.
PMID- 22084512
TI - Risk factors for Mycobacterium tuberculosis infection among children in
Greenland.
AB - OBJECTIVE: To examine the risk factors for Mycobacterium tuberculosis infection
(MTI) among Greenlandic children for the purpose of identifying those at highest
risk of infection. METHODS: Between 2005 and 2007, 1797 Greenlandic
schoolchildren in five different areas were tested for MTI with an interferon
gamma release assay (IGRA) and a tuberculin skin test (TST). Parents or guardians
were surveyed using a standardized self-administered questionnaire to obtain data
on crowding in the household, parents' educational level and the child's health
status. Demographic data for each child--i.e. parents' place of birth, number of
siblings, distance between siblings (next younger and next older), birth order
and mother's age when the child was born--were also extracted from a public
registry. Logistic regression was used to check for associations between these
variables and MTI, and all results were expressed as odds ratios (ORs) and 95%
confidence intervals (CIs). Children were considered to have MTI if they tested
positive on both the IGRA assay and the TST. FINDINGS: The overall prevalence of
MTI was 8.5% (152/1797). MTI was diagnosed in 26.7% of the children with a known
TB contact, as opposed to 6.4% of the children without such contact. Overall, the
MTI rate was higher among Inuit children (OR: 4.22; 95% CI: 1.55-11.5) and among
children born less than one year after the birth of the next older sibling (OR:
2.48; 95% CI: 1.33-4.63). Self-reported TB contact modified the profile to
include household crowding and low mother's education. Children who had an older
MTI-positive sibling were much more likely to test positive for MTI themselves
(OR: 14.2; 95% CI: 5.75-35.0) than children without an infected older sibling.
CONCLUSION: Ethnicity, sibling relations, number of household residents and
maternal level of education are factors associated with the risk of TB infection
among children in Greenland. The strong household clustering of MTI suggests that
family sources of exposure are important.
PMID- 22084513
TI - Preoperative visual acuity among cataract surgery patients and countries' state
of development: a global study.
AB - OBJECTIVE: To describe the preoperative surgical case mix among patients
undergoing cataract extraction and explore associations between case mix, country
level of development (as measured by the Human Development Index, HDI) and
cataract surgery rates (CSRs). METHODS: Ophthalmologists in 50 countries were
invited to join the newly-established International Eye Research Network and
asked to complete a web-based questionnaire about their eye hospitals. Those who
complied received a data collection form for recording demographic and clinical
data on 100 consecutive patients about to undergo cataract surgery. Countries
were ranked into five HDI categories and multivariable regression was used to
explore associations. FINDINGS: Ophthalmologists at 112 eye hospitals (54% of
them nongovernmental) in 50 countries provided data on 11,048 cataract procedures
over 9 months in 2008. Patients whose visual acuity (VA) before surgery was <
6/60 in the better eye comprised 47% of the total case mix in poorly developed
countries and 1% in developed countries (P < 0.001). Overall, 72% of the eyes
undergoing surgery had a VA < 6/60. Very low VA before cataract surgery was
strongly associated with poor development at the country level and inversely
associated with national CSR. CONCLUSION: The proportion of patients with very
poor preoperative VA is a simple indicator that can be easily measured
periodically to monitor progress in ophthalmological services. Additionally, the
internet can be an effective tool for developing and supporting an
ophthalmological research network capable of providing a global snapshot of
service activity, particularly in developing countries.
PMID- 22084514
TI - Health-care-associated infection in Africa: a systematic review.
AB - OBJECTIVE: To assess the epidemiology of endemic health-care-associated infection
(HAI) in Africa. METHODS: Three databases (PubMed, the Cochrane Library, and the
WHO regional medical database for Africa) were searched to identify studies
published from 1995 to 2009 on the epidemiology of HAI in African countries. No
language restriction was applied. Available abstract books of leading
international infection control conferences were also searched from 2004 to 2009.
FINDINGS: The eligibility criteria for inclusion in the review were met by 19
articles, only 2 of which met the criterion of high quality. Four relevant
abstracts were retrieved from the international conference literature. The
hospital-wide prevalence of HAI varied between 2.5% and 14.8%; in surgical wards,
the cumulative incidence ranged from 5.7% to 45.8%. The largest number of studies
focused on surgical site infection, whose cumulative incidence ranged from 2.5%
to 30.9%. Data on causative pathogens were available from a few studies only and
highlighted the importance of gram-negative rods, particularly in surgical site
infection and ventilator-associated pneumonia. CONCLUSION: Limited information is
available on the endemic burden of HAI in Africa, but our review reveals that its
frequency is much higher than in developed countries. There is an urgent need to
identify and implement feasible and sustainable approaches to strengthen HAI
prevention, surveillance and control in Africa.
PMID- 22084516
TI - Action on social determinants of health is essential to tackle noncommunicable
diseases.
PMID- 22084515
TI - Estimated global incidence of Japanese encephalitis: a systematic review.
AB - OBJECTIVE: To update the estimated global incidence of Japanese encephalitis (JE)
using recent data for the purpose of guiding prevention and control efforts.
METHODS: Thirty-two areas endemic for JE in 24 Asian and Western Pacific
countries were sorted into 10 incidence groups on the basis of published data and
expert opinion. Population-based surveillance studies using laboratory-confirmed
cases were sought for each incidence group by a computerized search of the
scientific literature. When no eligible studies existed for a particular
incidence group, incidence data were extrapolated from related groups. FINDINGS:
A total of 12 eligible studies representing 7 of 10 incidence groups in 24 JE
endemic countries were identified. Approximately 67,900 JE cases typically occur
annually (overall incidence: 1.8 per 100,000), of which only about 10% are
reported to the World Health Organization. Approximately 33,900 (50%) of these
cases occur in China (excluding Taiwan) and approximately 51,000 (75%) occur in
children aged 0-14 years (incidence: 5.4 per 100,000). Approximately 55,000 (81%)
cases occur in areas with well established or developing JE vaccination
programmes, while approximately 12,900 (19%) occur in areas with minimal or no JE
vaccination programmes. CONCLUSION: Recent data allowed us to refine the estimate
of the global incidence of JE, which remains substantial despite improvements in
vaccination coverage. More and better incidence studies in selected countries,
particularly China and India, are needed to further refine these estimates.
PMID- 22084518
TI - Impact of non-health policies on infant mortality through the social determinants
pathway.
PMID- 22084519
TI - Maternal death surveillance and response.
PMID- 22084520
TI - Public health round-up.
PMID- 22084521
TI - Family planning gains ground.
AB - Once a taboo subject, family planning is increasingly being recognized as
essential for social and economic development in countries in WHO's Eastern
Mediterranean Region. Dale Gavlak reports from Jordan.
PMID- 22084522
TI - Mixed uptake of social media among public health specialists.
AB - Public health organizations are starting to use social media.Some specialists say
they hold untapped potential for public health. Ben Jones reports.
PMID- 22084523
TI - Will the Arab spring bring better health to Egyptians?
AB - Parliamentary elections in Egypt this month look set to change the political
landscape. Former member of parliament and equity campaigner Hoda Rashad tells
Fiona Fleck why the country's public health programmes need to take a social
justice approach.
PMID- 22084524
TI - Twenty-year trends in the prevalence of disability in China.
AB - OBJECTIVE: To evaluate changes in the age-adjusted prevalence of disability in
transitional China from 1987 to 2006. METHODS: Data from nationally
representative surveys conducted in 1987 and 2006 were used to calculate age
adjusted disability prevalence rates by applying appropriate sample weights and
directly adjusting to the age distribution of the 1990 Chinese population. Trends
were assessed in terms of average annual percentage change. FINDINGS: The
estimated number of disabled people in China in 1987 and 2006 was 52.7 and 84.6
million, respectively, corresponding to a weighted prevalence of 4.9% and 6.5%.
The age-adjusted prevalence of disability decreased by an average of 0.5% per
year (average annual percentage change, AAPC: -0.5%; 95% confidence interval, CI:
-0.7 to -0.4) during 1987-2006. However, it increased by an average of 0.3%
(AAPC: 0.3%; 95% CI: 0.1 to 0.5) per year in males and by an average of 1.0%
(AAPC: 1.0%; 95% CI: 0.8 to 1.2) per year among rural residents, whereas among
females it showed an average annual decrease of 1.5% (AAPC: -1.5%; 95% CI: -1.7
to -1.3) and among urban residents, an average annual decrease of 3.9% (AAPC:
3.9%; 95% CI: -4.3 to -3.5). Despite significant declining trends for hearing and
speech, intellectual and visual disabilities, the annual age-adjusted prevalence
of physical and mental disabilities increased by an average of 11.2% (AAPC:
11.2%; 95% CI: 10.5 to 11.9) and 13.3% (AAPC: 13.3%; 95% CI: 10.7 to 16.2),
respectively. CONCLUSION: In China, the age-adjusted prevalence of disability has
declined since 1987, with inconsistencies dependent on the type of disability.
These findings call for continuing and specific efforts to prevent disabilities
in China.
PMID- 22084525
TI - Syphilis test availability and uptake at medical facilities in southern China.
AB - OBJECTIVE: To examine syphilis testing capacity, screening coverage rates and
types of syphilis tests used in medical facilities in southern China. METHODS:
Eleven of the 14 municipalities in Guangdong province participated. Data on
syphilis testing capacity, screening coverage and types of syphilis tests used
were collected from all types of public medical facilities offering prenatal care
(n = 109). A total of 494 680 women who delivered during 2004-2008 were studied.
FINDINGS: In 2008, 54 196 pregnant women (43.1%) were not screened for syphilis.
Among such women, 32 863 (60.6%) attended clinics without any syphilis testing
capacity and 21 333 (39.4%) attended clinics that performed testing but were not
screened. The likelihood of not having syphilis test capacity was much higher for
hygiene stations (odds ratio, OR: 10; 95% confidence interval, CI: 4-25),
services at the township level (OR: 33; 95% CI: 10-100) and services with <= 1000
deliveries per year (OR: 1.002; 95% CI: 1.001-1.003). These same service
characteristics correlated with lower screening coverage rates (P < 0.01). Only
one antenatal clinic had the capacity to conduct both treponemal and non
treponemal tests for diagnosing syphilis. CONCLUSION: Syphilis screening is
available in very few of the basic medical facilities offering prenatal care
where most neonates in southern China are delivered. In light of this and of the
increasing incidence of syphilis in the area, expanding point-of-care rapid
syphilis testing is a priority.
PMID- 22084526
TI - Under-registration of deaths in Thailand in 2005-2006: results of cross-matching
data from two sources.
AB - OBJECTIVE: To estimate the magnitude of under-registration of deaths, by age and
sex, in Thailand. METHODS: THE DATA IN THIS STUDY WERE DERIVED FROM TWO SOURCES:
the Thai Survey of Population Changes (SPC) 2005-2006, a consecutive multi-round
household survey conducted over a 12-month period, and Thailand's vital
registration records. SPC death entries for people of all ages were matched to
2005-2006 death records from vital registration. The principles of a dual records
system were applied to estimate the magnitude of under-registration of deaths,
classified by age and sex, using the Chandrasekaran-Deming formula. FINDINGS:
Overall under-registration of deaths during 2005-2006 was 9.00% (95% confidence
interval, CI: 8.95-9.05) for males and 8.36% (95% CI: 8.31-8.41) for females. For
both males and females, under-registration decreased as age increased. Under
registration was greatest among people of either sex aged 1-4 years, whereas it
was < 10% among people 60 years of age and older, both males and females.
CONCLUSION: These findings provided correction factors that can be used for
adjusting mortality data from the registration system.
PMID- 22084527
TI - Iodine status in late pregnancy and psychosocial determinants of iodized salt use
in rural northern Viet Nam.
AB - OBJECTIVE: To establish iodine status among pregnant women in rural northern Viet
Nam and explore psychosocial predictors of the use of iodized salt in their
households. METHODS: This prospective study included pregnant women registered in
health stations in randomly-selected communes in Ha Nam province. At recruitment
(< 20 weeks of gestation), sociodemographic factors, reproductive health,
intimate partner relationship, family violence, symptoms of common mental
disorders and use of micronutrient supplements were assessed. During a second
assessment (> 28 weeks of gestation) a urine specimen was collected to measure
urinary iodine concentration (UIC) and iodized salt use was assessed. Predictors
were explored through univariable analyses and multivariable linear and logistic
regression. FINDINGS: The 413 pregnant women who provided data for this study had
a median UIC of 70 ug/l; nearly 83% had a UIC lower than the 150 ug/l recommended
by the World Health Organization; only 73.6% reported using iodized salt in any
form in their households. Iodized salt use was lower among nulliparous women
(odds ratio, OR: 0.56; 95% confidence interval, CI: 0.32-0.96); less educated
women (OR: 0.34; 95% CI: 0.16-0.71); factory workers or small-scale traders (OR:
0.52; 95% CI: 0.31-0.86), government workers (OR: 0.35; 95% CI: 0.13-0.89) and
women with common mental disorders at recruitment (OR: 0.61; 95% CI: 0.38-0.98).
CONCLUSION: The decline in the use of iodized salt in Viet Nam since the National
Iodine Deficiency Disorders Control Programme was suspended in 2005 has placed
pregnant women and their infants in rural areas at risk of iodine deficiency
disorders.
PMID- 22084528
TI - Human papillomavirus vaccine delivery strategies that achieved high coverage in
low- and middle-income countries.
AB - OBJECTIVE: To assess human papillomavirus (HPV) vaccination coverage after
demonstration projects conducted in India, Peru, Uganda and Viet Nam by PATH and
national governments and to explore the reasons for vaccine acceptance or
refusal. METHODS: Vaccines were delivered through schools or health centres or in
combination with other health interventions, and either monthly or through
campaigns at fixed time points. Using a two-stage cluster sample design, the
authors selected households in demonstration project areas and interviewed over
7000 parents or guardians of adolescent girls to assess coverage and
acceptability. They defined full vaccination as the receipt of all three vaccine
doses and used an open-ended question to explore acceptability. FINDINGS:
Vaccination coverage in school-based programmes was 82.6% (95% confidence
interval, CI: 79.3-85.6) in Peru, 88.9% (95% CI: 84.7-92.4) in 2009 in Uganda and
96.1% (95% CI: 93.0-97.8) in 2009 in Viet Nam. In India, a campaign approach
achieved 77.2% (95% CI: 72.4-81.6) to 87.8% (95% CI: 84.3-91.3) coverage, whereas
monthly delivery achieved 68.4% (95% CI: 63.4-73.4) to 83.3% (95% CI: 79.3-87.3)
coverage. More than two thirds of respondents gave as reasons for accepting the
HPV vaccine that: (i) it protects against cervical cancer; (ii) it prevents
disease, or (iii) vaccines are good. Refusal was more often driven by
programmatic considerations (e.g. school absenteeism) than by opposition to the
vaccine. CONCLUSION: High coverage with HPV vaccine among young adolescent girls
was achieved through various delivery strategies in the developing countries
studied. Reinforcing positive motivators for vaccine acceptance is likely to
facilitate uptake.
PMID- 22084529
TI - Evaluating large-scale health programmes at a district level in resource-limited
countries.
AB - Recent experience in evaluating large-scale global health programmes has
highlighted the need to consider contextual differences between sites
implementing the same intervention. Traditional randomized controlled trials are
ill-suited for this purpose, as they are designed to identify whether an
intervention works, not how, when and why it works. In this paper we review
several evaluation designs that attempt to account for contextual factors that
contribute to intervention effectiveness. Using these designs as a base, we
propose a set of principles that may help to capture information on context.
Finally, we propose a tool, called a driver diagram, traditionally used in
implementation that would allow evaluators to systematically monitor changing
dynamics in project implementation and identify contextual variation across
sites. We describe an implementation-related example from South Africa to
underline the strengths of the tool. If used across multiple sites and multiple
projects, the resulting driver diagrams could be pooled together to form a
generalized theory for how, when and why a widely-used intervention works.
Mechanisms similar to the driver diagram are urgently needed to complement
existing evaluations of large-scale implementation efforts.
PMID- 22084530
TI - Can pharmacogenomics improve malaria drug policy?
AB - Coordinated global efforts to prevent and control malaria have been a tour-de
force for public health, but success appears to have reached a plateau in many
parts of the world. While this is a multifaceted problem, policy strategies have
largely ignored genetic variations in humans as a factor that influences both
selection and dosing of antimalarial drugs. This includes attempts to decrease
toxicity, increase effectiveness and reduce the development of drug resistance,
thereby lowering health care costs. We review the potential hurdles to developing
and implementing pharmacogenetic-guided policies at a national or regional scale
for the treatment of uncomplicated falciparum malaria. We also consider current
knowledge on some component drugs of artemisinin combination therapies and ways
to increase our understanding of host genetics, with the goal of guiding policy
decisions for drug selection.
PMID- 22084533
TI - Let us all join hands in strengthening the publication process.
PMID- 22084531
TI - Redesigning the AIDS response for long-term impact.
AB - Three decades since the human immunodeficiency virus (HIV) was identified, the
pandemic of acquired immunodeficiency syndrome (AIDS) has developed into diverse
epidemics around the world. In many populations, HIV infection has become
endemic. While there is good progress on expanding access to treatment, with an
estimated 6.6 million people on antiretroviral therapy at the end of 2010,
prevention efforts are still highly inadequate with 2.6 million new infections
occurring in 2009. Demand for treatment is increasing while funding is becoming
more scarce and activism is waning. In 2007, the Joint United Nations Programme
on HIV/AIDS (UNAIDS) established an independent forum called aids2031 to take a
critical look at the global HIV/AIDS response. This paper outlines four key areas
for a re-designed AIDS response based on the deliberations of this initiative and
on the learning and experience of the first three decades of the epidemic: (i) a
new culture of knowledge generation and utilization; (ii) transformed prevention
and treatment to increase effectiveness; (iii) increased efficiency through
better management and maximizing synergies with other programmes; and (iv)
investment for the long term. Across all these areas is a strong emphasis on
local capacity building, leadership, programme priorities and budgets.
PMID- 22084534
TI - Traffic related air pollution and respiratory morbidity.
PMID- 22084535
TI - Mapping of asthma research in India: A scientometric analysis of publications
output during 1999-2008.
AB - OBJECTIVE: This study analyzes the research output of India in asthma during the
period from 1999 till 2008. It analyzes the growth, rank and global publications
share, citation impact, share of international collaborative papers, contribution
of major collaborative partner countries and contribution of various subject
fields. It also analyzes the characteristics of most productive institutions,
authors and high-cited papers. MATERIALS AND METHODS: SCOPUS database has been
used to retrieve the data on publication output in asthma research. RESULTS:
India ranks 15(th) position among the top 23 countries in asthma research, with
its global publication share of 1.27% (862 papers), registering an average
citation per paper of 3.43 and achieved an h-index of 33 during 1999-2008.
CONCLUSION: Indian research output on asthma is quite low in the global context
as reflected from its publication output per thousand population (0.001) and its
world publication share (1.27%) during 1999-2008. Also, the impact and quality of
Indian research is low compared to select developed and developing countries.
PMID- 22084536
TI - Normative values for maximal respiratory pressures in an Indian Mangalore
population: A cross-sectional pilot study.
AB - OBJECTIVE: The objective of the pilot study is to obtain normal maximal
inspiratory and expiratory pressures for individuals in the age group 20-70 years
in the Mangalore population and to predict normal values according to age, sex,
height, and weight using the regression equation. MATERIALS AND METHODS: Two
hundred and fifty subjects were selected through a convenient method of sampling.
Fifty subjects each were enrolled in the following age groups: 20 to 29, 30 to
39, 40 to 49, 50 to 59, and 60 to 70. Each group had 50 subjects (males-25 and
females-25). Baseline data such as height, weight, body mass index were recorded.
Maximal inspiratory pressure (PI) and expiratory pressure (PE) were determined
following standardized protocol. RESULT: With regard to PI max and PE max, the
measured values were significantly lower than those recorded in previous studies
for both males (30%) and females (20%). We found that age served as the best
factor for the prediction of PI max and PE max in both genders. CONCLUSION: The
results of this study can be used to predict respiratory muscle strength in
healthy adult subjects, and the strategy employed in this study will serve as a
useful, simple, reproducible, rapid assessment of respiratory muscle function and
also aid the planning of treatment.
PMID- 22084537
TI - Respiratory effects of air pollutants among nonsmoking traffic policemen of
Patiala, India.
AB - BACKGROUND: Air pollution due to road traffic is a serious health hazard and thus
the persons who are continuously exposed, may be at an increased risk. Although
several studies have confirmed the ill effects of air pollutants on the lung
function of traffic policemen, only a few have investigated the relationship
between respiratory health and duration of exposure in this category of
occupationally exposed persons. AIM: The study was carried out with the aim of
evaluating the extent of impairment in lung function in traffic policemen in
respect to an unexposed control group having the same age group. MATERIALS AND
METHODS: A cross-sectional study was conducted in which the spirometric
parameters of a group of 100 nonsmoking traffic policemen, aged 20-55 years,
working in and around Patiala city, were compared with those obtained in an age
matched control group, consisting of 100 healthy males, serving in the Punjab
Police, who have never done traffic duty and are thus not exposed to traffic
pollution. Lung function was done with MEDSPIROR. The data on the overall health
status of the subjects was collected using the standard Respirator Medical
Evaluation Questionnaire. The statistical analysis was carried out with SPSS PC
software version 13. RESULTS: Traffic policemen recorded a significant decline in
various parameters, such as forced vital capacity (FVC), forced expiratory volume
in one second (FEV(1)), and peak expiratory flow rate (PEFR) when compared with
controls, and is probably due to exposure to vehicular pollution. It was also
observed that in traffic policemen with >8 years of exposure, the values of FVC
(2.7 L), FEV(1) (1.8 L), and PEFR (7.5 L/s) were significantly lower than those
obtained in traffic policemen with <8 years of exposure, in whom the values were
2.9 L, 2.3 L, and 7.7 L/s for FVC, FEV(1), and PEFR, respectively. CONCLUSION:
The effect of pollution by vehicular exhausts may be responsible for these
pulmonary function impairments.
PMID- 22084538
TI - Chronic obstructive pulmonary disease: Does gender really matter?
AB - BACKGROUND: Limited data is available on the clinical expression of chronic
obstructive pulmonary disease (COPD) from India. The impact of gender on
expression of COPD has received even less attention. Apart from tobacco smoke,
indoor air pollution, especially from biomass fuel may play an important role in
development of COPD in women. MATERIALS AND METHODS: Seven hundred and two
patients of COPD were studied regarding the etiological and risk factors leading
to COPD, gender-related differences in clinical presentation, radiological
expression of COPD and the co-morbidities in COPD. RESULTS: Tobacco smoke in the
form of beedi smoking was the predominant smoke exposure in males, whereas smoke
from biofuel burning was the predominant exposure in females. As compared to
males, females were younger, reported more dyspnea, more severe bronchial
obstruction, more exacerbations, and exhibited higher prevalence of systemic
features. Also, females smoked less and had lesser incidence of productive cough,
lower body mass index, lesser co-morbidities and less number of hospital
admissions as compared to males. Males were more likely than females to have an
emphysema-predominant phenotype, while airway-predominant disease was more common
among females. CONCLUSION: The current study shows that gender-related
differences do exist in COPD patients. Understanding these differences in
etiological agent and clinical picture will help early diagnosis of COPD in
females.
PMID- 22084539
TI - Manifestations of tuberculosis in HIV/AIDS patients and its relationship with CD4
count.
AB - BACKGROUND: HIV/AIDS pandemic is responsible for the resurgence of TB worldwide,
resulting in increased morbidity and mortality. HIV and Mycobacterium
tuberculosis have a synergistic interaction; each propagates progression of the
other. Coinfection with HIV infection leads to difficulties in both the diagnosis
and treatment of tuberculosis, increase risk of death, treatment failure and
relapse. OBJECTIVE: The aim of the present study is to study the clinical,
radiological profile of pulmonary and extrapulmonary tuberculosis (EPTB) in HIV
seropositive patients and their relationship to CD4 counts. MATERIALS AND
METHODS: It was a prospective study conducted over a period of 1 year in the
department of medicine, Indira Gandhi Medical College, Shimla. We examined 87 HIV
infected patients with associated tuberculosis recruited from the department of
medicine and antiretroviral center and were subjected to thorough clinical
examination, X-ray chest, tuberculin testing and sputum examination for AFB and
necessary relevant investigations for EPTB. RESULTS: Most common affected age
group was 31-40 years. EPTB is the commonest form of TB in our study detected in
65 patients. Commonest EPTB was CNS tuberculosis. Disseminated tuberculosis was
only found in patient with CD4 count less than 200/cmm. Majority of lymph node TB
was diagnosed by fine needle aspiration cytology (FNAC) examination. All patients
with AFB-positive lymph node had CD4 count below 200/cum. CONCLUSIONS: The
results of this study provide information regarding the various forms of TB and
their presentation in HIV-infected persons. Early diagnosis of tuberculosis and
prompt institution of antitubercular treatment (ATT) reduces mortality and
morbidity significantly. In resource-poor areas, the diagnosis can be established
with cytological/biochemical analysis of fluid, histopathological examination and
ZN staining of tissue coupled with radiological features and response to ATT.
Therefore, adequate knowledge of the manifestations of tuberculosis in HIV
infected patients is absolutely necessary for optimal management and to reduce
mortality and morbidity.
PMID- 22084540
TI - Clinical characteristics and treatment outcomes in 132 patients with malignant
mesothelioma.
AB - PURPOSE: Our objective is to scrutinize clinical, laboratory, radiological
characteristics, treatment regimens, and treatment outcomes of malignant
mesothelioma (MM) cases in our hospital. MATERIALS AND METHODS: We investigated,
retrospectively, the clinical characteristics and treatment outcomes of all 132
MM patients at Dicle University Hospital between January 2006 and April 2010.
RESULTS: A total of 82 (62.1%) patients were male, and 50 (37.9%) female. Median
age was 56.0 years. Mean survival time was 9.6+/-6.9 months. Mean survival time
of patients who had received best supportive care was 7.5 months, chemotherapy
10.4 months, and multimodality treatment regimen 12.6 months. Patients in the
multimodality treatment group survived longer than did those in the other two
groups (P=0.042). A total of 76 patients received chemotherapy, of whom 17
(22.3%) were administered Cisplatin/Carboplatin and Gemcitabine, 58 (76.4%)
Cisplatin/Carboplatin and Pemetrexed, and one (1.3%) Cisplatin + Docetaxel.
Complete and partial response to treatment in patients receiving
Cisplatin/Carboplatin and Gemcitabine was found 47.1% and Cisplatin/Carboplatin
and Pemetrexed was found 50.0% (P>0.05). CONCLUSIONS: MM related to asbestos
exposure is seen frequently in Turkey. Patients present with the typical clinical
features of dyspnea, weight loss, and chest pain. Survival analysis shows that
patients receiving multimodality treatment may be better.
PMID- 22084541
TI - Impact of inhalation therapy on oral health.
AB - Inhalation therapy has been employed as the mainstay of the treatment in chronic
respiratory diseases such as asthma and chronic obstructive pulmonary disease
(COPD). Beta-2 agonists, anticholinergic bronchodilators, inhaled
corticosteroids, and sodium cromoglycate are often used alone or in combination
in an inhaled form. Studies have shown that inhaled drugs used in the treatment
have some adverse effects on the oral health based on their dosage, frequency,
and duration of use. Several oral conditions such as xerostomia, dental caries,
candidiasis, ulceration, gingivitis, periodontitis, and taste changes have been
associated with inhalation therapy. Since the prevalence of chronic respiratory
diseases is rising, it is important to provide optimal oral care to the
individuals receiving inhalation therapy. This article will review the influence
of inhaled drugs on the oral health of individuals and adequate management and
prevention of the same.
PMID- 22084542
TI - Pulmonary rehabilitation: An overview.
AB - The burden of chronic obstructive pulmonary disease (COPD) has increased recently
in developing countries. On the other hand, structured or non-structured
rehabilitation services for COPD patients are not routinely available in these
countries. We, therefore, planned this review to re-emphasize the emerging
benefits of pulmonary rehabilitation in COPD population. Aim of this review is to
stimulate pulmonary physicians in India and other resource-poor areas of the
world so that they start using pulmonary rehabilitation or its components more
often. The search included standard english literature PubMed citation of
relevant original articles, review articles and practice guidelines. The articles
and reviews were searched including standard MeSH terms - Rehabilitation (TIAB)
and pulmonary disease, chronic obstructive/therapy (MAJOR) and guidelines (TIAB).
Available 58 articles in English including 23 reviews from July 2001 to October
2010 were screened for evidence-based benefits regarding respiratory
rehabilitation as a whole or its different components. The cross references and
current citations relating to primary articles were also included for
description. No attempt was done to make a systematic analysis because our
purpose was not to derive evidence-based recommendations from database and
because sufficient evidence is already available for benefits of selected
components of pulmonary rehabilitation in COPD patients. Pulmonary rehabilitation
has emerged as an important modality as an adjunct to other therapies in patients
of COPD. Limited and more cost-effective protocols are to be developed and
executed by healthcare providers, especially in developing countries like India.
PMID- 22084543
TI - Hughes-Stovin syndrome: A rare cause of hemoptysis.
AB - Multiple pulmonary artery aneurysms are seen along with venous thrombosis in
Hughes-Stovin syndrome, which many investigators believe is an incomplete form of
Behcet's disease. We present a case of hemoptysis with multiple pulmonary artery
aneurysms, femoral vein thrombosis, and oral ulcers with emphasis on its CT
features.
PMID- 22084544
TI - A rapidly developing lung mass diagnosed as desmoplastic small round cell tumor.
AB - We present a case of a young male who presented with complaints of fever along
with cough and sputum. He was diagnosed with having right pleural effusion. He
was already taking anti-tubercular therapy for one month before presentation. He
was started on intravenous antibiotics and continued on anti-tubercular therapy
in our hospital, based on his high leukocyte count, pleural fluid analysis, and
ultrasonographic report of multiple hypoechoic areas in the liver. His symptoms
continued to worsen and he subsequently developed mediastinal widening and a left
lung mass. Commuted tomography (CT)-guided biopsy of the lung mass revealed a
desmoplastic small-round-cell tumor. Desmoplastic small-round-cell tumor is a
rare and aggressive tumor, which presents rarely as a mediastinal and lung mass.
This tumor has very poor prognosis.
PMID- 22084545
TI - Subcutaneous emphysema due to bronchial foreign body demonstrated by
multidetector-row computed tomography.
AB - Foreign body aspiration is an important cause of emergency hospital admissions in
young children less than 3 years of age. It may manifest with acute respiratory
difficulty, choking and wheeze acutely or may be asymptomatic. Surgical emphysema
is an unusual presentation of bronchial foreign body aspiration in young
children. We describe an infant with bronchial foreign body aspiration that
manifested with subcutaneous emphysema and pneumomediastinum. Multidetector-row
CT with virtual bronchoscopy helped in the diagnosis by detecting and localizing
the intraluminal foreign body in the right main bronchus that was removed with
rigid bronchoscopy.
PMID- 22084546
TI - Cement dust exposure-related emphysema in a construction worker.
AB - Although, smoking is considered the most important predisposing factor in
development of emphysema; environmental exposures also play an important role.
There have been several studies on work related respiratory symptoms and
ventilatory disorders among employees of cement industry. We report a case of
cement exposure related emphysema in 75 years old woman construction worker.
PMID- 22084547
TI - Herniation of unruptured tuberculous lung abscess into chest wall without pleural
or bronchial spillage.
AB - A 22-year-old unmarried man presented to the chest outpatient department with a
history of productive cough of two-month duration. He also complained of pain and
swelling on the anterior aspect of right side of chest of one-month duration.
Imaging studies of the thorax, including chest roentgenography and computerized
tomography, revealed an unruptured lung abscess which had herniated into the
chest wall. Culture of pus aspirated from the chest wall swelling grew
Mycobacterium tuberculosis. He was diagnosed to have a tuberculous lung abscess
which had extended into the chest wall, without spillage into the pleural cavity
or the bronchial tree. Antituberculosis drugs were prescribed, and he responded
to the treatment with complete resolution of the lesion.
PMID- 22084548
TI - Spontaneous esophageal-pleural fistula.
AB - Spontaneous esophageal-pleural fistula (EPF) is a rare entity. We describe a case
in a middle-aged female who presented with severe retrosternal chest pain and
shortness of breadth. Chest computed tomography showed right EPF and
hydropneumothorax. She was managed conservatively keeping the chest tube drainage
and performing feeding jejunostomy. A brief review of the imaging finding and
management of EPF is discussed.
PMID- 22084549
TI - Traumatic esophago-bronchopleural fistula-CT finding and treatment using glue: A
procedure not so commonly performed.
AB - Bronchopleural fistulas can occur from a number of causes (infective, traumatic,
or neoplastic). Combined esophageal-pleural and bronchopleural fistula is not a
common entity and previously has been reported after pneumonectomy. We describe
the imaging findings and procedure of endoscopic glue injection in a case of
combined esophageal-pleural and bronchopleural fistula after penetrating thoracic
trauma. The treatment included esophageal exclusion for esophageal-pleural
fistula, followed by endoscopic injection of glue for closure of bronchopleural
fistula. The fistulae were completely sealed.
PMID- 22084550
TI - Right hilar mass with hemoptysis: An unusual presentation of uncommon disorder.
AB - Common differential diagnosis of lung and hilar opacity includes infectious
pathology or a mitotic lesion. Behcet's disease (BD) is a rarely diagnosed
disease in Indian subcontinent. BD is a multisystem inflammatory disorder that
presents with recurrent orogenital ulceration, uveitis, and erythema nodosum. We
present here the case of a patient who presented with recurrent hemoptysis with
radiological picture of hilar mass, during the evaluation of which the diagnosis
of BD was established.
PMID- 22084552
TI - Two cases of aspiration of calcium tablets.
AB - Two clinical cases of aspiration are reported in elderly ladies with differing
presentations following inhalation of the same brand of calcium tablet. One of
the patients distinctly recalled the choking episode, whereas the other failed to
do so making the diagnosis of aspiration difficult. One of the aspirated tablets
was successfully retrieved during rigid bronchoscopy after the patient reported
several months of cough requiring multiple courses of antibiotics. The other
aspirated tablet was coughed up by the patient after the successful treatment of
a pneumonia complicated by a parapneumonic effusion.
PMID- 22084551
TI - Solitary plasmacytoma of the rib: A rare case.
AB - Localized solitary plasmacytoma of the bone is a rare disease and is
characterized by only one or two isolated bone lesions with no evidence of
disease dissemination. We report a case of solitary plasmacytoma of the rib in a
43-year-old female. The patient underwent complete en-bloc resection of the chest
wall including rib, muscle, and parietal pleura. Patient is asymptomatic without
any recurrence after two and half years of follow up.
PMID- 22084553
TI - Hydatidothorax.
PMID- 22084554
TI - Hemoptysis with a classical radiological sign.
AB - There can be various causes for hemoptysis. We present here a case of hemoptysis
in which the chest X-ray helped us to arrive at the diagnosis because of a
classical sign. This highlights the need of identifying this finding for prompt
recognition of the cause of hemoptysis.
PMID- 22084555
TI - Habits of tobacco use among the medical and non-medical students of Kolkata.
PMID- 22084556
TI - A study on habits of tobacco use among medical and non-medical students of
Kolkata.
PMID- 22084557
TI - Mycobacteria in keloid.
PMID- 22084558
TI - Closed needle pleural biopsy: A victim of western advancement?
PMID- 22084559
TI - Percutaneous closed-needle pleural biopsy and undiagnosed exudative pleural
effusion.
PMID- 22084560
TI - Pneumothorax in hair dye poisoning: An unrecognized danger.
PMID- 22084561
TI - Publication: An essential step in research.
PMID- 22084562
TI - Characterization and inference of gene gain/loss along burkholderia evolutionary
history.
AB - A comparative analysis of 60 complete Burkholderia genomes was conducted to
obtain insight in the evolutionary history behind the diversity and pathogenicity
at species level. A concatenated multiprotein phyletic pattern and a dataset with
Burkholderia clusters of orthologous genes (BuCOGs) were constructed. The extent
of horizontal gene transfer (HGT) was assessed using a Markov based probabilistic
method. A reconstruction of the gene gains and losses history shows that more
than half of the Burkholderia genes families are inferred to have experienced HGT
at least once during their evolution. Further analysis revealed that the number
of gene gain and loss was correlated with the branch length. Genomic islands
(GEIs) analysis based on evolutionary history reconstruction not only revealed
that most genes in ancient GEIs were gained but also suggested that the fraction
of the genome located in GEIs in the small chromosomes is higher than in the
large chromosomes in Burkholderia. The mapping of coexpressed genes onto
biological pathway schemes revealed that pathogenicity of Burkholderia strains is
probably mainly determined by the gained genes in its ancestor. Taken together,
our results strongly support that gene gain and loss especially in ancient
evolutionary history play an important role in strain divergence, pathogenicity
determinants of Burkholderia and GEIs formation.
PMID- 22084563
TI - On the Interplay between the Evolvability and Network Robustness in an
Evolutionary Biological Network: A Systems Biology Approach.
AB - In the evolutionary process, the random transmission and mutation of genes
provide biological diversities for natural selection. In order to preserve
functional phenotypes between generations, gene networks need to evolve robustly
under the influence of random perturbations. Therefore, the robustness of the
phenotype, in the evolutionary process, exerts a selection force on gene networks
to keep network functions. However, gene networks need to adjust, by variations
in genetic content, to generate phenotypes for new challenges in the network's
evolution, ie, the evolvability. Hence, there should be some interplay between
the evolvability and network robustness in evolutionary gene networks. In this
study, the interplay between the evolvability and network robustness of a gene
network and a biochemical network is discussed from a nonlinear stochastic system
point of view. It was found that if the genetic robustness plus environmental
robustness is less than the network robustness, the phenotype of the biological
network is robust in evolution. The tradeoff between the genetic robustness and
environmental robustness in evolution is discussed from the stochastic stability
robustness and sensitivity of the nonlinear stochastic biological network, which
may be relevant to the statistical tradeoff between bias and variance, the so
called bias/variance dilemma. Further, the tradeoff could be considered as an
antagonistic pleiotropic action of a gene network and discussed from the systems
biology perspective.
PMID- 22084564
TI - Mathematical prognostic biomarker models for treatment response and survival in
epithelial ovarian cancer.
AB - Following initial standard chemotherapy (platinum/taxol), more than 75% of those
patients with advanced stage epithelial ovarian cancer (EOC) experience a
recurrence. There are currently no accurate prognostic tests that, at the time of
the diagnosis/surgery, can identify those patients with advanced stage EOC who
will respond to chemotherapy. Using a novel mathematical theory, we have
developed three prognostic biomarker models (complex mathematical functions) that
based on a global gene expression analysis of tumor tissue collected during
surgery and prior to the commencement of chemotherapy-can identify with a high
accuracy those patients with advanced stage EOC who will respond to the standard
chemotherapy [long-term survivors (>7 yrs)] and those who will not do so [short
term survivors (<3 yrs)]. Our three prognostic biomarker models were developed
with 34 subjects and validated with 20 unknown (new and different) subjects. Both
the overall biomarker model sensitivity and specificity ranged from 95.83% to
100.00%. The 12 most significant genes identified, which are also the input
variables to the three mathematical functions, constitute three distinct gene
networks with the following functions: 1) production of cytoskeletal components,
2) cell proliferation, and 3) cell energy production. The first gene network is
directly associated with the mechanism of action of anti-tubulin chemotherapeutic
agents, such as taxanes and epothilones. This could have a significant impact in
the discovery of new, more effective pharmacological treatments that may
significantly extend the survival of patients with advanced stage EOC.
PMID- 22084565
TI - Improved Statistical Analysis for Array CGH-Based DNA Copy Number Aberrations.
AB - Array-based comparative genomic hybridization (aCGH) allows measuring DNA copy
number at the whole genome scale. In cancer studies, one may be interested in
identifying DNA copy number aberrations (CNAs) associated with certain
clinicopathological characteristics such as cancer metastasis. We proposed to
define test regions based on copy number pattern profiles across multiple
samples, using either smoothed log(2)-ratio or discrete data of copy number
gain/loss calls. Association test performed on the refined test regions instead
of the probes has improved power due to reduced number of tests. We also compared
three types of measurement of copy number levels, normalized log(2)-ratio,
smoothed log(2)-ratio, and copy number gain or loss calls in statistical
hypothesis testing. The relative strengths and weaknesses of the proposed method
were demonstrated using both simulation studies and real data analysis of a liver
cancer study.
PMID- 22084567
TI - Erratum for "Aberrantly Expressed Genes in HaCaT Keratinocytes Chronically
Exposed to Arsenic Trioxide".
AB - [This corrects the article on p. 7 in vol. 6, PMID: 21461292.].
PMID- 22084566
TI - New short term prediction method for chemical carcinogenicity by hepatic
transcript profiling following 28-day toxicity tests in rats.
AB - We have previously shown the hepatic gene expression profiles of carcinogens in
28-day toxicity tests were clustered into three major groups (Group-1 to 3).
Here, we developed a new prediction method for Group-1 carcinogens which consist
mainly of genotoxic rat hepatocarcinogens. The prediction formula was generated
by a support vector machine using 5 selected genes as the predictive genes and
predictive score was introduced to judge carcinogenicity. It correctly predicted
the carcinogenicity of all 17 Group-1 chemicals and 22 of 24 non-carcinogens
regardless of genotoxicity. In the dose-response study, the prediction score was
altered from negative to positive as the dose increased, indicating that the
characteristic gene expression profile emerged over a range of carcinogen
specific doses. We conclude that the prediction formula can quantitatively
predict the carcinogenicity of Group-1 carcinogens. The same method may be
applied to other groups of carcinogens to build a total system for prediction of
carcinogenicity.
PMID- 22084568
TI - Levels of Circulating MMCN-151, a Degradation Product of Mimecan, Reflect
Pathological Extracellular Matrix Remodeling in Apolipoprotein E Knockout Mice.
AB - AIM: Arterial extracellular matrix (ECM) remodeling by matrix metalloproteinases
(MMPs) is one of the major hallmarks of atherosclerosis. Mimecan, also known as
osteoglycin has been implicated in the integrity of the ECM. This study assessed
the validity of an enzyme-linked immunosorbent assay (ELISA) developed to measure
a specific MMP12-derived fragment of mimecan, MMCN-151, in apolipoprotein-E
knockout (ApoE-KO) mice. METHODS AND RESULTS: A mouse monoclonal antibody raised
against MMCN-151 was used to develop a competitive ELISA. The assay was validated
using samples from 20 ApoE-KO and 20 wild type [C57 BL/6] male mice fed a normal
or high-fat diet (HFD) for up to 20 weeks. The technical reliability of the assay
was established with intra-assay variability <2% and inter-assay variability
<10%. The lowest limit of quantification of MMCN-151 was 0.5 ng/ml. ApoE-KO mice
fed a HFD for 20 weeks had four-fold increased circulating levels of MMCN-151
compared to baseline, whereas MMCN-151 levels in control mice on HFD increased
two-fold compared with baseline. After 10 weeks of a HFD, a significant
difference in MMCN-151 levels was observed between ApoE-KO and control mice (P =
0.005) and became more significant at 20 weeks (P = 0.002). CONCLUSIONS: The
newly developed assay is a reliable detector of MMCN-151 levels which ultimately
may be useful indicators of arterial remodeling in patients affected by
atherosclerotic disease.
PMID- 22084569
TI - The primary cilium as a biomarker in the hypoxic adaptation of bone marrow
derived mesenchymal stromal cells: a role for the secreted frizzled-related
proteins.
AB - A pivotal role in guiding mesenchymal stem cell (MSC) differentiation has
recently been attributed to the primary cilium. This solitary, non-motile
microtubule-based organelle emerging from the cell surface acts as a sensorial
membrane structure reflecting developmental and adaptive processes associated
with pathologies including human cystic kidney disease, skeletal malformations,
obesity and cancer. Given that the intrinsic hypoxic adaptation of MSC remains
poorly understood within ischemic tissues or hypoxic tumours, we questioned
whether the hypoxia inducible factor-1alpha (HIF-1alpha) might be a downstream
effector regulating cilium maintenance. We show that murine bone marrow-derived
MSC cultured under hypoxic conditions (1.2% O(2)) lose their primary cilia in a
time-dependent manner. Gene silencing of HIF-1alpha prevented cilia loss in
hypoxic cultures, and generation of MSC expressing a constitutively active HIF
1alpha (MSC-HIF) was found to decrease primary cilium formation. A Wnt pathway
related gene expression array was also performed on MSC-HIF and indicated that
the secreted Frizzled-related proteins (sFRP)-1, -3 and -4 were down-regulated,
while sFRP-2 was up-regulated. Overexpression of recombinant sFRP-2 or gene
silencing of sFRP-1, -3 and -4 in MSC led to primary cilium disruption. These
results indicate a molecular signalling mechanism for the hypoxic disruption of
the primary cilium in MSC involving an HIF-1alpha/sFRP axis. This mechanism
contributes to our understanding of the adaptive processes possibly involved in
the oncogenic transformation and tumour-supporting potential of MSC. Our current
observations also open up the possibility for the primary cilia to serve as a
biomarker in MSC adaptation to low oxygen tension within (patho)physiological
microenvironments.
PMID- 22084570
TI - Detection of suPAR in the Saliva of Healthy Young Adults: Comparison with Plasma
Levels.
AB - The soluble urokinase plasminogen activator receptor (suPAR) has been detected in
blood, plasma, serum, urine, ovarian cystic fluid, and cerebrospinal fluid.
Elevated suPAR levels in plasma have been associated with negative outcomes in
various diseases, such as bacteremia, sepsis, SIRS, cardiovascular disease,
cancer, and tuberculosis. The primary aim of this study was to investigate
whether suPAR can be detected in saliva from healthy individuals and thus, if
saliva suPAR can be related to plasma suPAR, CRP, BMI, or gender. Blood and
unstimulated whole saliva was collected from 20 healthy individuals (10 female
and 10 male, median age of 28 years; range 21-41). CRP and suPAR were measured
with ELISA in saliva and serum/plasma. suPAR was detected in all saliva samples
in the 5.2-28.1 ng/mL range, with a median value of 17.1 ng/mL. Saliva suPAR was
significantly higher (P < 0.001) but not correlated to plasma suPAR in healthy
young adults with normal plasma suPAR levels. suPAR and CRP levels were
correlated in blood but not in saliva. No correlation was found between BMI, age,
or gender and suPAR in saliva.
PMID- 22084571
TI - Developmental Regulation of Genes Encoding Universal Stress Proteins in
Schistosoma mansoni.
AB - The draft nuclear genome sequence of the snail-transmitted, dimorphic, parasitic,
platyhelminth Schistosoma mansoni revealed eight genes encoding proteins that
contain the Universal Stress Protein (USP) domain. Schistosoma mansoni is a
causative agent of human schistosomiasis, a severe and debilitating Neglected
Tropical Disease (NTD) of poverty, which is endemic in at least 76 countries. The
availability of the genome sequences of Schistosoma species presents
opportunities for bioinformatics and genomics analyses of associated gene
families that could be targets for understanding schistosomiasis ecology,
intervention, prevention and control. Proteins with the USP domain are known to
provide bacteria, archaea, fungi, protists and plants with the ability to respond
to diverse environmental stresses. In this research investigation, the functional
annotations of the USP genes and predicted nucleotide and protein sequences were
initially verified. Subsequently, sequence clusters and distinctive features of
the sequences were determined. A total of twelve ligand binding sites were
predicted based on alignment to the ATP-binding universal stress protein from
Methanocaldococcus jannaschii. In addition, six USP sequences showed the presence
of ATP-binding motif residues indicating that they may be regulated by ATP.
Public domain gene expression data and RT-PCR assays confirmed that all the S.
mansoni USP genes were transcribed in at least one of the developmental life
cycle stages of the helminth. Six of these genes were up-regulated in the
miracidium, a free-swimming stage that is critical for transmission to the snail
intermediate host. It is possible that during the intra-snail stages, S. mansoni
gene transcripts for universal stress proteins are low abundant and are induced
to perform specialized functions triggered by environmental stressors such as
oxidative stress due to hydrogen peroxide that is present in the snail hemocytes.
This report serves to catalyze the formation of a network of researchers to
understand the function and regulation of the universal stress proteins encoded
in genomes of schistosomes and their snail intermediate hosts.
PMID- 22084572
TI - Functional Annotation Analytics of Rhodopseudomonas palustris Genomes.
AB - Rhodopseudomonas palustris, a nonsulphur purple photosynthetic bacteria, has been
extensively investigated for its metabolic versatility including ability to
produce hydrogen gas from sunlight and biomass. The availability of the finished
genome sequences of six R. palustris strains (BisA53, BisB18, BisB5, CGA009, HaA2
and TIE-1) combined with online bioinformatics software for integrated analysis
presents new opportunities to determine the genomic basis of metabolic
versatility and ecological lifestyles of the bacteria species. The purpose of
this investigation was to compare the functional annotations available for
multiple R. palustris genomes to identify annotations that can be further
investigated for strain-specific or uniquely shared phenotypic characteristics. A
total of 2,355 protein family Pfam domain annotations were clustered based on
presence or absence in the six genomes. The clustering process identified groups
of functional annotations including those that could be verified as strain
specific or uniquely shared phenotypes. For example, genes encoding
water/glycerol transport were present in the genome sequences of strains CGA009
and BisB5, but absent in strains BisA53, BisB18, HaA2 and TIE-1. Protein
structural homology modeling predicted that the two orthologous 240 aa R.
palustris aquaporins have water-specific transport function. Based on
observations in other microbes, the presence of aquaporin in R. palustris strains
may improve freeze tolerance in natural conditions of rapid freezing such as
nitrogen fixation at low temperatures where access to liquid water is a limiting
factor for nitrogenase activation. In the case of adaptive loss of aquaporin
genes, strains may be better adapted to survive in conditions of high-sugar
content such as fermentation of biomass for biohydrogen production. Finally, web
based resources were developed to allow for interactive, user-defined selection
of the relationship between protein family annotations and the R. palustris
genomes.
PMID- 22084573
TI - In Vitro Enhanced Sensitivity to Cisplatin in D67Y BRCA1 RING Domain Protein.
AB - BRCA1 is a tumor suppressor protein involved in maintaining genomic integrity
through multiple functions in DNA damage repair, transcriptional regulation, cell
cycle checkpoint, and protein ubiquitination. The BRCA1-BARD1 RING complex has an
E3 ubiquitin ligase function that plays essential roles in response to DNA damage
repair. BRCA1-associated cancers have been shown to confer a hypersensitivity to
chemotherapeutic agents. Here, we have studied the functional consequence of the
in vitro E3 ubiquitin ligase activity and cisplatin sensitivity of the missense
mutation D67Y BRCA1 RING domain. The D67Y BRCA1 RING domain protein exhibited the
reduced ubiquitination function, and was more susceptible to the drug than the
D67E or wild-type BRCA1 RING domain protein. This evidence emphasized the
potential of using the BRCA1 dysfunction as an important determinant of
chemotherapy responses in breast cancer.
PMID- 22084575
TI - Economic aspects of sanitation in developing countries.
AB - BACKGROUND: Improved sanitation has been shown to have great impacts on people's
health and economy. However, the progress of achieving the Millennium Development
Goals (MDGs) on halving the proportion of people without access to clean water
and basic sanitation by 2015 has thus far been delayed. One of the reasons for
the slow progress is that policy makers, as well as the general public, have not
fully understood the importance of the improved sanitation solutions. This paper,
by gathering relevant research findings, aims to report and discuss currently
available evidence on the economic aspects of sanitation, including the economic
impacts of unimproved sanitation and the costs and economic benefits of some
common improved sanitation options in developing countries. METHODS: DATA USED IN
THIS PAPER WERE OBTAINED FROM DIFFERENT INFORMATION SOURCES: international and
national journal articles and reports, web-based statistics, and fact sheets. We
used both online search and hand search methods to gather the information.
RESULTS: Scientific evidence has demonstrated that the economic cost associated
with poor sanitation is substantial. At the global level, failure to meet the MDG
water and sanitation target would have ramifications in the area of US$38
billion, and sanitation accounts for 92% of this amount. In developing countries,
the spending required to provide new coverage to meet the MDG sanitation target
(not including program costs) is US$142 billion (US$ year 2005). This translates
to a per capita spending of US$28 for sanitation. Annually, this translates to
roughly US$14 million. The evidence complied in this paper demonstrates that
investing in sanitation is socially and economically worthwhile. For every US$1
invested, achieving the sanitation MDG target and universal sanitation access in
the non-OECD countries would result in a global return of US$9.1 and US$11.2,
respectively. CONCLUSION: Given the current state of knowledge, sanitation is
undeniably a profitable investment. It is clear that achieving the MDG sanitation
target not only saves lives but also provides a foundation for economic growth.
PMID- 22084574
TI - Exemestane in the adjuvant treatment of breast cancer in postmenopausal women.
AB - Exemestane is an irreversible inhibitor of the aromatase enzyme, which is a key
component in the production of estrogen. The majority of breast cancers are
sensitive to the proliferative effects of estrogen. Exemestane is approved for
the adjuvant treatment of postmenopausal women with breast cancer after 2 to 3
years of tamoxifen therapy, based on a 32% improvement in disease-free survival
compared with 5 years of tamoxifen alone (P < 0.001). Exemestane has also shown
clinical benefits as an upfront therapy. The safety profile of exemestane shares
some side effects with tamoxifen (hot flashes and arthralgia), but is not
associated with an increased risk of endometrial cancer or thromboembolic events.
This review will discuss in detail the efficacy and safety of exemestane in early
breast cancer.
PMID- 22084576
TI - Introductory editorial.
PMID- 22084577
TI - Tryptophan research in panic disorder.
AB - A considerable body of evidence suggests the involvement of serotonin
neurotransmission in the pathogenesis of panic disorder. Research on pathways and
functions of tryptophan, an essential amino acid converted into serotonin, may
advance our understanding of serotonergic actions in panic disorder and related
phenomena. The investigative approaches in this field include manipulations of
tryptophan availability as well as genetic association and functional brain
imaging studies. In this review we examine the principle findings of these
studies and propose further research directions.
PMID- 22084579
TI - Paolo guidetti.
PMID- 22084578
TI - Kynurenine pathway metabolites in humans: disease and healthy States.
AB - Tryptophan is an essential amino acid that can be metabolised through different
pathways, a major route being the kynurenine pathway. The first enzyme of the
pathway, indoleamine-2,3-dioxygenase, is strongly stimulated by inflammatory
molecules, particularly interferon gamma. Thus, the kynurenine pathway is often
systematically up-regulated when the immune response is activated. The biological
significance is that 1) the depletion of tryptophan and generation of kynurenines
play a key modulatory role in the immune response; and 2) some of the
kynurenines, such as quinolinic acid, 3-hydroxykynurenine and kynurenic acid, are
neuroactive. The kynurenine pathway has been demonstrated to be involved in many
diseases and disorders, including Alzheimer's disease, amyotrophic lateral
sclerosis, Huntington's disease, AIDS dementia complex, malaria, cancer,
depression and schizophrenia, where imbalances in tryptophan and kynurenines have
been found. This review compiles most of these studies and provides an overview
of how the kynurenine pathway might be contributing to disease development, and
the concentrations of tryptophan and kynurenines in the serum, cerebrospinal
fluid and brain tissues in control and patient subjects.
PMID- 22084581
TI - Production and Peripheral Roles of 5-HTP, a Precursor of Serotonin.
AB - Serotonin (5-hydroxytryptamine [5-HT]) has been implicated in a variety of
physiological and pathological functions. Multiple steps of enzyme reactions
enable biosynthesis of 5-HT. The first and rate-limiting step of the reaction is
the synthesis of 5-hydroxy-L-tryptophan (5-HTP) from L-tryptophan. This step is
dictated by an enzyme, tryptophan hydroxylase (TPH). TPH requires 6R-L-erythro
5,6,7,8-tetrahydrobiopterin (BH4) as a co-substrate of TPH. 5-HTP has been simply
regarded as a precursor of 5-HT and it is believed that the biological
significance of 5-HTP is essentially ascribed to the production of 5-HT. However,
recent works shed light on the specific functions of 5-HTP in the periphery. In
this review article, we focus on the specific roles of exogenous 5-HTP as well as
the endogenous 5-HTP in the gut epithelial cells. Since systemic treatment with 5
HTP is applied to patients with lower 5-HT levels, the studies on the specific
role of 5-HTP might create an opportunity to explore the effects of exogenously
applied 5-HTP in the gut in man.
PMID- 22084580
TI - Assessment of the Potential Role of Tryptophan as the Precursor of Serotonin and
Melatonin for the Aged Sleep-wake Cycle and Immune Function: Streptopelia Risoria
as a Model.
AB - In the present review we summarize the relationship between the amino acid,
tryptophan, the neurotransmitter, serotonin, and the indole, melatonin, with the
rhythms of sleep/wake and the immune response along with the possible connections
between the alterations in these rhythms due to aging and the so-called
"serotonin and melatonin deficiency state." The decrease associated with aging of
the brain and circulating levels of serotonin and melatonin seemingly contributes
to the alterations of both the sleep/wake cycle and the immune response that
typically accompany old age. The supplemental administration of tryptophan, e.g.
the inclusion of tryptophan-enriched food in the diet, might help to remediate
these age-related alterations due to its capacity of raise the serotonin and
melatonin levels in the brain and blood. Herein, we also summarize a set of
studies related to the potential role that tryptophan, and its derived product
melatonin, may play in the restoration of the aged circadian rhythms of
sleep/wake and immune response, taking the ringdove (Streptopeliarisoria) as a
suitable model.
PMID- 22084582
TI - Effects of Kynurenine Pathway Metabolites on Intracellular NAD Synthesis and Cell
Death in Human Primary Astrocytes and Neurons.
AB - The kynurenine pathway (KP) is a major route of L-tryptophan catabolism resulting
in the production of the essential pyridine nucleotide nicotinamide adenine
dinucleotide, (NAD(+)). Up-regulation of the KP during inflammation leads to the
release of a number of biologically active metabolites into the brain. We
hypothesised that while some of the extracellular KP metabolites may be
beneficial for intracellular NAD(+) synthesis and cell survival at physiological
concentrations, they may contribute to neuronal and astroglial dysfunction and
cell death at pathophysiological concentrations. In this study, we found that
treatment of human primary neurons and astrocytes with 3-hydroxyanthranilic acid
(3-HAA), 3-hydroxykynurenine (3-HK), quinolinic acid (QUIN), and picolinic acid
(PIC) at concentrations below 100 nM significantly increased intracellular NAD(+)
levels compared to non-treated cells. However, a dose dependent decrease in
intracellular NAD(+) levels and increased extracellular LDH activity was observed
in human astrocytes and neurons treated with 3-HAA, 3-HK, QUIN and PIC at
concentrations >100 nM and kynurenine (KYN), at concentrations above 1 MUM.
Intracellular NAD(+) levels were unchanged in the presence of the
neuroprotectant, kynurenic acid (KYNA), and a dose dependent increase in
intracellular NAD(+) levels was observed for TRP up to 1 mM. While anthranilic
acid (AA) increased intracellular NAD(+) levels at concentration below 10 MUM in
astrocytes. NAD(+) depletion and cell death was observed in AA treated neurons at
concentrations above 500 nM. Therefore, the differing responses of astrocytes and
neurons to an increase in KP metabolites should be considered when assessing KP
toxicity during neuroinflammation.
PMID- 22084583
TI - The physiological action of picolinic Acid in the human brain.
AB - Picolinic Acid is an endogenous metabolite of L-tryptophan (TRP) that has been
reported to possess a wide range of neuroprotective, immunological, and anti
proliferative affects within the body. However the salient physiological function
of this molecule is yet to be established. The synthesis of picolinic acid as a
product of the kynurenine pathway (KP) suggests that, similar to other KP
metabolites, picolinic acid may play a role in the pathogenesis of inflammatory
disorders within the CNS and possibly other organs.In this paper we review the
limited body of literature dealing with the physiological actions of picolinic
acid in the CNS and its associated synthesis via the kynurenine pathway in health
and disease. Discrepancies and gaps in our current knowledge of picolinic acid
are identified highlighting areas of research to promote a more complete
understanding of its endogenous function in the brain.
PMID- 22084585
TI - Endogenous Kynurenine Aminotransferases Inhibitor is Proposed to Act as "Glia
Depressing Factor" (GDF).
AB - The endogenous neuroinhibitory amino acid receptor antagonist kynurenic acid
(KYNA) has been hypothetically linked to physiological processes and to the
pathogenesis of several brain disorders. The aim of this study was to search KYNA
metabolism i.e. KYNA levels and enzymes synthesising KYNA kynurenine
aminotransferase I and II (KAT I and II) in the central nervous system (CNS) and
in the peripheral nervous system. Within the investigated species we found a
remarkably low KYNA content (3.4 nM) in piglet's serum compared to rat and human
serum. Furthermore, in contrast to high KAT activity present in rat and human
livers, a lack of KAT I and KAT II activity was found in piglet liver and other
piglet peripheral organs. Therefore we attempted to find a reason for the absence
of KYNA formation in piglet peripheral tissue and we researched to find if KYNA
formation in rat liver homogenate (measured under standard assay conditions for
KAT activity) can be influenced by the application of piglet tissue homogenates
and other body fluids. KYNA formation in rat liver homogenate was investigated in
the presence of piglet liver, piglet brain, rat brain and human brain
homogenates, and also in the presence of cerebrospinal fluid (CSF) of the control
and of Multiple Sclerosis patients. We found a significant and dose dependent
reduction of rat liver KAT I and KAT II activities in the presence of piglet
brain, piglet liver, and human brain, but not in the presence of rat brain
homogenate. Interestingly, CSF of the human control subjects significantly
lowered rat liver KAT I activity. Furthermore, the inhibitory effect of CSF of
Multiple Sclerosis (MS) patients was significantly weaker when compared to the
CSF of control subjects. Our data, for the first time, indicated the presence of
active component(s)-depressing factor-in the body, which was able to block KYNA
formation. Reduced KAT inhibitory effect by CSF of MS patients would suggest a
lowered "depressing factor" level in CSF of MS patients and is possibly
responsible for an enhancement of KYNA formation and for glia activation and
gliosis in the CNS. Subsequently, two fractions obtained after centrifugation of
CSF from patients with Neuroborreliosis showed a significantly different ability
to block KAT I activity. The CSF-sediment fraction exerts a stronger inhibitory
activity than the CSF-supernatant fraction, supporting further the presence of a
depressing factor. For the first time, data revealed and demonstrated the ability
of endogenous components to block KYNA's synthesis. We propose that a glia
depressing factor (GDF), which is abundantly present in the body, might
simultaneously control glia cell's KAT activity, respectively KYNA synthesis and
also glia proliferation. The mechanism(s) of action, the composition and
structure of this factor needs to be further elaborated.
PMID- 22084584
TI - Role of the NMDA-receptor in Prepulse Inhibition in the Rat.
AB - Kynurenic acid (KYNA) is an endogenous metabolite of tryptophan. Studies have
revealed increased brain KYNA levels in patients with schizophrenia. Prepulse
inhibition (PPI) is a behavioral model for sensorimotor gating and found to be
reduced in schizophrenia. Previous studies have shown that pharmacologically
elevated brain KYNA levels disrupt PPI in the rat. The aim of the present study
was to investigate the receptor(s) involved in this effect. Rats were treated
with different drugs selectively blocking each of the sites that KYNA
antagonizes, namely the glutamate recognition site of the N-methyl-D-aspartate
receptor (NMDAR), the alpha7* nicotinic acetylcholine receptor (alpha7nAChR) and
the glycine site of the NMDAR. Kynurenine (200 mg/kg) was given to replicate the
effects of increased levels of KYNA on PPI. In order to block the glutamate
recognition site of the NMDAR, CGS 19755 (10 mg/kg) or SDZ 220-581 (2.5 mg/kg)
were administered and to antagonize the alpha7nAChR methyllycaconitine (MLA; 6
mg/kg) was given. L-701,324 (1 and 4 mg/kg) or 4-Chloro-kynurenine (4-Cl-KYN; 25,
50 and 100 mg/kg), a drug in situ converted to 7-Chloro-kynurenic acid, were used
to block the glycine-site of the NMDAR. Administration of SDZ 220-581 or CGS
19755 was associated with a robust reduction in PPI, whereas L-701,324, 4-Cl-KYN
or MLA failed to alter PPI. Kynurenine increased brain KYNA levels 5-fold and
tended to decrease PPI. The present study suggests that neither antagonism of the
glycine-site of the NMDA receptor nor antagonism of the alpha7nAChR disrupts PPI,
rather with regard to the effects of KYNA, blockade of the glutamate recognition
site is necessary to reduce PPI.
PMID- 22084586
TI - Editorial ISTRY Special Issue.
PMID- 22084588
TI - Accelerated tryptophan degradation predicts poor survival in trauma and sepsis
patients.
AB - Immune system activation and inflammation accompanies immune dysfunction in
trauma and sepsis patients. Immunodeficiency may develop in such patients as one
consequence of an activated chronic pro-inflammatory response. According to
recent data, degradation of L-tryptophan (TRP) via the kynurenine (KYN) pathway
by the cytokine-inducible enzyme indoleamine 2,3-dioxygenase (IDO) could
represent an important contributor to the deficient responsiveness of
immunocompetent cells. Compared to healthy controls, patients post trauma or with
sepsis had increasing KYN concentrations and KYN to TRP ratios (KYN/TRP) whereas
TRP concentrations decreased. Likewise, concentrations of cytokines tumor
necrosis factor-alpha (TNF-alpha) and interleukin-6 (IL-6) and of immune
activation marker neopterin increased in patients (all p < 0.001). Furthermore in
patients KYN/TRP, KYN and neopterin concentrations were further increasing (all p
< 0.001), whereas the changes of TRP, TNF-alpha and IL-6 concentrations were not
significant. Compared to the survivors, the non-survivors had a higher
concentration of KYN, neopterin, TNF-alpha and IL-6 as well as a higher KYN/TRP
ratio. KYN/TRP correlated with neopterin (p < 0.001) and also with TNF-alpha (p <
0.01) and IL-6 concentrations (p < 0.05) and inversely with the in vitro response
of stimulated monocytes. We conclude that increased TRP degradation in patients
post trauma is closely associated with immune activation. Cytokines released
during the pro-inflammatory response may induce the activity of IDO and thus
accelerate TRP degradation. Thus, increased IDO activity most likely represents a
result of host response to pro-inflammation in patients. Data support a possible
role of inflammation-induced IDO in the diminished immunoresponsiveness in
patients.
PMID- 22084587
TI - On the Biological Importance of the 3-hydroxyanthranilic Acid: Anthranilic Acid
Ratio.
AB - Of the major components of the kynurenine pathway for the oxidative metabolism of
tryptophan, most attention has focussed on the N-methyl-D-aspartate (NMDA)
receptor agonist quinolinic acid, and the glutamate receptor blocker kynurenic
acid. However, there is increasing evidence that the redox-active compound 3
hydroxyanthranilic acid may also have potent actions on cell function in the
nervous and immune systems, and recent clinical data show marked changes in the
levels of this compound, associated with changes in anthranilic acid levels, in
patients with a range of neurological and other disorders including osteoporosis,
chronic brain injury, Huntington's disease, coronary heart disease, thoracic
disease, stroke and depression. In most cases, there is a decrease in 3
hydroxyanthranilic acid levels and an increase in anthranilic acid levels. In
this paper, we summarise the range of data obtained to date, and hypothesise that
the levels of 3-hydroxyanthranilic acid or the ratio of 3-hydroxyanthranilic acid
to anthranilic acid levels, may contribute to disorders with an inflammatory
component, and may represent a novel marker for the assessment of inflammation
and its progression. Data are presented which suggest that the ratio between
these two compounds is not a simple determinant of neuronal viability. Finally, a
hypothesis is presented to account for the development of the observed changes in
3-hydroxyanthranilic acid and anthranilate levels in inflammation and it is
suggested that the change of the 3HAA:AA ratio, particularly in the brain, could
possibly be a protective response to limit primary and secondary damage.
PMID- 22084589
TI - Serum levels of tryptophan, 5-hydroxytryptophan and serotonin in patients
affected with different forms of amenorrhea.
AB - Tryptophan (Trp) is present in the serum, partly bound to albumine and in the
free form. The unbound portion of circulating tryptophan has the property of
crossing the hematoencephalic barrier and being converted within the brain into
serotonin (5-HT) through the enzymatic processes of hydroxylation and
decarboxylation. The serotoninergic system plays an important role in
neuroendocrine control of reproductive hormone secretion, and in particular, it
may influence GnRH pulsatility, a function essential for reproductive processes.
In this study, we analysed serum levels of tryptophan, serotonin and 5
hydroxytryptophan (5-HTP) in women with three different forms of amenorrhea: 16
patients were diagnosed with anorexia nervosa, 60 patients with functional
hypothalamic amenorrhea, and 14 patients with hyperprolactinemia. Data were
compared with those of a group of 25 healthy women. Serum Trp levels were
significantly (P <= 0.05) lower in the anorexic (11.64 +/- 0.53 MUg/ml, mean +/-
S.E.) than in the control (12.98 +/- 0.37 MUg/ml) groups. In addition, in the
anorexic group a statistical dispersion of Trp values was shown indicating a
bimodal data distribution suggesting the existence of two different subgroups of
patients. Regarding 5-HTP, an increase of its serum level was observed in all the
groups with amenorrhea with the highest value in hyperprolactinemic patients. On
the contrary, no statistical differences in serum 5-HT levels among the four
analyzed groups were observed.This study shows that women affected by various
forms of amenorrhea present an altered metabolism of tryptophan via serotonin
and, in particular, markedly high differences are observed between the two
subgroups of anorexic patients.
PMID- 22084590
TI - Indoleamine 2,3-dioxygenase in human hematopoietic stem cell transplantation.
AB - In recent years tryptophan metabolism and its rate limiting enzyme indoleamine
2,3-dioxygenase (IDO) have attracted increasing attention for their potential to
modulate immune responses including the regulation of transplantation tolerance.
The focus of this review is to discuss some features of IDO activity which
particularly relate to hematopoietic stem cell transplantation (HSCT). HSCT
invariably involves the establishment of some degree of a donor-derived immune
system in the recipient. Thus, the outstanding feature of tolerance in HSCT is
that in this type of transplantation it is not rejection, which causes the most
severe problems to HSCT recipients, but the reverse, graft-versus-host (GvH)
directed immune responses. We will discuss the peculiar role of IDO activity and
accelerated tryptophan metabolism at the interface between immune activation and
immune suppression and delineate from theoretical and experimental evidence the
potential significance of IDO in mediating tolerance in HSCT. Finally, we will
examine therapeutic options for exploitation of IDO activity in the generation of
allo-antigen-specific tolerance, i.e. avoiding allo-reactivity while maintaining
immunocompetence, in HSCT.
PMID- 22084591
TI - Proteasomal Degradation of Indoleamine 2,3-Dioxygenase in CD8 Dendritic Cells is
Mediated by Suppressor of Cytokine Signaling 3 (SOCS3).
AB - Indoleamine 2,3-dioxygenase (IDO) catalyzes the initial and rate-limiting step of
tryptophan catabolism in a specific pathway, resulting in a series of
extracellular messengers collectively known as kynurenines. IDO has been
recognized as an authentic regulator of immunity not only in mammalian pregnancy,
but also in infection, autoimmunity, inflammation, allergy, transplantation, and
neoplasia. Its suppressive effects are mostly mediated by dendritic cells (DCs)
and involve tryptophan deprivation and/or production of kynurenines, which act on
IDO-negative DCs as well as CD4(+) and CD8(+) T cells. We have found that mouse
IDO contains two tyrosine residues within two distinct putative immunoreceptor
tyrosine-based inhibitory motifs, VPY(115)CEL and LLY(253)EGV. We have also found
that Suppressor of Cytokine Signaling 3 (SOCS3)-known to interact with
phosphotyrosine-containing peptides and be selectively induced by interleukin 6
(IL-6)-binds mouse IDO, recruits the ECS (Elongin-Cullin-SOCS) E3 ligase, and
targets the IDO/SOCS3 complex for proteasomal degradation. This event underlies
the ability of IL-6 to convert otherwise tolerogenic, IDO-competent DCs into
immunogenic cells. Thus onset of immunity in response to antigen within an early
inflammatory context demands that IDO be degraded in tolerogenic DCs. These
studies support the finding that IDO is regulated by proteasomal degradation in
response to immunogenic and inflammatory stimuli.
PMID- 22084592
TI - Effects of acute tryptophan depletion on three different types of behavioral
impulsivity.
AB - INTRODUCTION: While central nervous system serotonin has been implicated in a
variety of problematic impulsive behaviors, biological manipulation of brain
serotonin using acute tryptophan depletion for studying changes in impulsive
behavior has received little attention. METHODS: Using identical treatment
conditions, we examined the effects of reduced serotonin synthesis for each of
three matched groups using acute tryptophan depletion. Thirty healthy men and
women (ages 18-45) were assigned to perform one of three tasks assessing
different types of behavioral impulsivity: response initiation, response
inhibition, and consequence sensitivity (N = 90). Participants completed two
experimental days during which each consumed either a tryptophan-depletion or
balanced-placebo amino-acid formulation and completed 5 sessions of their
respective tasks at 0.25 h before and 1.5, 4.0, 5.0, and 6.0 h after beverage
consumption. RESULTS: During peak effectiveness (5.0 h to 6.0 h following amino
acid consumption), depletion produced selective differences dependent on the type
of impulsivity being tested. Specifically, relative to baseline testing (pre
depletion), response initiation impulsivity was significantly increased during
the peak effects of depletion. And, when compared to placebo control, both
response initiation and consequence sensitivity impulsivity were increased during
the peak effects of depletion. CONCLUSION: Though response initiation and
consequence sensitivity impulsivity were affected by tryptophan depletion,
response inhibition impulsivity was not, suggesting that other biological
processes may underlie this specific component of impulsivity. Future research in
other populations or using different pharmacological agents is warranted to
further examine the biological processes underlying these components of
impulsivity.
PMID- 22084593
TI - IDO-Mediated Tryptophan Degradation in the Pathogenesis of Malignant Tumor
Disease.
AB - Immune escape is a fundamental trait of cancer in which the Th1-type cytokine
interferon- gamma (IFN-gamma) seems to play a key role. Among other tumoricidal
biochemical pathways, IFN-gamma induces the tryptophan-degrading enzyme
indoleamine 2,3-dioxygenase (IDO) in a variety of cells including macrophages,
dendritic cells (DCs) and tumor cells. IDO activity has been shown to reflect the
extent and the course in a plethora of malignancies including prostate,
colorectal, pancreatic, cervical, endometrial, gastric, lung, bladder, ovarian,
esophageal and renal cell carcinomas, glioblastomas, mesotheliomas, and
melanomas. Furthermore IDO activity during malignant tumor diseases seems to be
part of the tumoricidal immune defense strategy, which in the long run is
detrimental to the host, when tryptophan deprivation and production of pro
apoptotic tryptophan catabolites counteract T-cell responsiveness.
PMID- 22084595
TI - Kynurenine Pathway Metabolism is Involved in the Maintenance of the Intracellular
NAD Concentration in Human Primary Astrocytes.
AB - Efficient synthesis of NAD(+) is critical to maintaining cell viability in all
organs of the body. However, little is known of the pathway(s) by which cells of
the central nervous system produce NAD(+). The aim of this study was to
investigate the relationship, between tryptophan degradation via the kynurenine
pathway (KP) and de novo NAD(+) synthesis in human astrocytes, a major cell type
within the brain. In this study we observed that inhibition of single enzymes of
the KP resulted in significant decreases in NAD(+) levels in astroglial cells
after a 24 hr period. We also observed that astrocytes cultured in media
deficient in tryptophan, nicotinic acid and nicotinamide resulted in a 50%
decrease in NAD(+) levels after 24 hrs. This decrease in NAD(+) was partially
restored by supplementation of the culture media with either tryptophan or
kynurenine, or nicotinic acid or with supply of the salvage pathway precursor
nicotinamide.
PMID- 22084597
TI - Alzheimer's and seizures: interleukin-18, indoleamine 2,3-dioxygenase and
quinolinic Acid.
AB - Emergent seizures are common in Alzheimer's disease (AD), although the mechanisms
mediating this are unknown. It is proposed that stress induced interleukin-18 (IL
18), via interferon-gamma (IFNy) and independently, increases indoleamine 2,3
dioxygenase (IDO) and subsequent quinolinic acid (QA) in microglia. QA increases
seizures and concurrently contributes to neuronal loss via excitotoxicity. The
ApoE4 allele interacts with IL-18 polymorphisms to increase the risk of AD, and
seems likely to potentiate the emergence of seizures. Concurrent changes in IDO
and the kynurenine pathways at the blood-brain-barrier (BBB) have implications
for treatment, including in the efficacy of different anti-hypertensives.
Melatonin is proposed to inhibit these overlapping excitotoxic and
neurodegenerative processes, and would be a useful adjunctive treatment.
PMID- 22084596
TI - Understanding the roles of the kynurenine pathway in multiple sclerosis
progression.
AB - The kynurenine pathway (KP) is a major degradative pathway of tryptophan
ultimately leading to the production of nicotinamide adenine dinucleotide
(NAD(+)) and is also one of the major regulatory mechanisms of the immune
response. The KP is known to be involved in several neuroinflammatory disorders
including Alzheimer's disease, amyotrophic lateral sclerosis, AIDS dementia
complex, Parkinson's disease, schizophrenia, Huntington's disease and brain
tumours. However, the KP remains a relatively new topic for the field of multiple
sclerosis (MS). Over the last 2-3 years, some evidence has progressively emerged
suggesting that the KP is likely to be involved in the pathogenesis of autoimmune
diseases especially MS. Some KP modulators are already in clinical trials for
other inflammatory diseases and would potentially provide a new and important
therapeutic strategy for MS patients. This review summarizes the known
relationships between the KP and MS.
PMID- 22084594
TI - Tryptophan, Neurodegeneration and HIV-Associated Neurocognitive Disorder.
AB - This review presents an up-to-date assessment of the role of the tryptophan
metabolic and catabolic pathways in neurodegenerative disease and HIV-associated
neurocognitive disorder. The kynurenine pathway and the effects of each of its
enzymes and products are reviewed. The differential expression of the kynurenine
pathway in cells within the brain, including inflammatory cells, is explored
given the increasing recognition of the importance of inflammation in
neurodegenerative disease. An overview of common mechanisms of neurodegeneration
is presented before a review and discussion of the evidence for a pathogenetic
role of the kynurenine pathway in Alzheimer's disease, HIV-associated
neurocognitive disorder, Huntington's disease, motor neurone disease, and
Parkinson's disease.
PMID- 22084598
TI - Rapid Isocratic Liquid Chromatographic Separation and Quantification of
Tryptophan and Six kynurenine Metabolites in Biological Samples with Ultraviolet
and Fluorimetric Detection.
AB - A simple, rapid isocratic liquid chromatographic procedure with ultraviolet and
fluorimetric detection is described for the separation and quantification of L
tryptophan (Trp) and six of its kynurenine metabolites (kynurenine, 3
hydroxykynurenine, and 3-hydroxyanthranilic, kynurenic, xanthurenic and
anthranilic acids). Using the Perkin Elmer LC 200 system, a reverse phase Synergi
4 MU fusion-RP80 A column (250 * 4.6 mm) (Phenomenex), and a mobile phase of 10
mM sodium dihydrogen phosphate: methanol (73:27, by vol) at pH 2.8 and a flow
rate of 1.0-1.2 ml/min at 37 degrees C, a run took ~13 min. The run took <7 min
at 40 degrees C and a 1.4 ml/min flow rate. Limits of detection of all 7
analytes were 5-72 nM and their recoveries from human plasma and rat serum and
liver varied between 62% and 111%. This simple method is suitable for high
throughput work and can be further developed to include quinolinic acid and other
Trp metabolites.
PMID- 22084599
TI - Tryptophan oxidative metabolism catalyzed by geobacillus stearothermophilus: a
thermophile isolated from kuwait soil contaminated with petroleum hydrocarbons.
AB - Tryptophan metabolism has been extensively studied in humans as well as in soil.
Its metabolism takes place mainly through kynurenine pathway yielding
hydroxylated, deaminated and many other products of physiological significance.
However, tryptophan metabolism has not been studied in an isolated thermophilic
bacterium. Geobacillus stearothermophilus is a local thermophile isolated from
Kuwait desert soil contaminated with petroleum hydrocarbons. The bacterium grows
well at 65 degrees C in 0.05 M phosphate buffer (pH 7), when supplied with
organic compounds as a carbon source and has a good potential for transformation
of steroids and related molecules. In the present study, we used tryptophan ethyl
ester as a carbon source for the bacterium to study the catabolism of the amino
acid at pH 5 and pH 7. In this endeavor, we have resolved twenty one
transformation products of tryptophan by GC/LC and have identified them through
their mass spectral fragmentation.
PMID- 22084600
TI - Tryptophan transport in human fibroblast cells-a functional characterization.
AB - There are indications that serotonergic neurotransmission is disturbed in several
psychiatric disorders. One explanation may be disturbed transport of tryptophan
(precursor for serotonin synthesis) across cell membranes. Human fibroblast cells
offer an advantageous model to study the transport of amino acids across cell
membranes, since they are easy to propagate and the environmental factors can be
controlled. The aim of this study was to functionally characterize tryptophan
transport and to identify the main transporters of tryptophan in fibroblast cell
lines from healthy controls.Tryptophan kinetic parameters (V(max) and K(m)) at
low and high concentrations were measured in fibroblasts using the cluster tray
method. Uptake of (3)H (5)-L-tryptophan at different concentrations in the
presence and absence of excess concentrations of inhibitors or combinations of
inhibitors of amino acid transporters were also measured. Tryptophan transport at
high concentration (0.5 mM) had low affinity and high V(max) and the LAT1 isoform
of system-L was responsible for approximately 40% of the total uptake of
tryptophan. In comparison, tryptophan transport at low concentration (50 nM) had
higher affinity, lower V(max) and approximately 80% of tryptophan uptake was
transported by system-L with LAT1 as the major isoform. The uptake of tryptophan
at the low concentration was mainly sodium (Na(+)) dependent, while uptake at
high substrate concentration was mainly Na(+) independent. A series of different
transporter inhibitors had varying inhibitory effects on tryptophan uptake.This
study indicates that tryptophan is transported by multiple transporters that are
active at different substrate concentrations in human fibroblast cells. The
tryptophan transport trough system-L was mainly facilitated by the LAT1 isoform,
at both low and high substrate concentrations of tryptophan.
PMID- 22084601
TI - Effects of Kynurenine Pathway Inhibition on NAD Metabolism and Cell Viability in
Human Primary Astrocytes and Neurons.
AB - The kynurenine pathway (KP) is the principle route of L-Tryptophan (TRP)
metabolism, producing several neurotoxic and neuroprotective metabolic precursors
before complete oxidation to the essential pyridine nucleotide nicotinamide
adenine dinucleotide (NAD(+)). KP inhibition may prove therapeutic in central
nervous system (CNS) inflammation by reducing the production of excitotoxins such
as quinolinic acid (QUIN). However, KP metabolism may also be cytoprotective
through the de novo synthesis of intracellular NAD(+). We tested the hypothesis
that the KP is directly involved in the maintenance of intracellular NAD(+)
levels and SIRT1 function in primary astrocytes and neurons through regulation of
NAD(+) synthesis. Competitive inhibition of indoleamine 2,3 dioxygenase (IDO),
and quinolinic acid phosphoribosyltransferase (QPRT) activities with 1-methyl-L
Tryptophan (1-MT), and phthalic acid (PA) respectively, resulted in a dose
dependent decrease in intracellular NAD(+) levels and sirtuin deacetylase-1
(SIRT1) activity, and correlated directly with reduced cell viability. These
results support the hypothesis that the primary role of KP activation during
neuroinflammation is to maintain NAD(+) levels through de novo synthesis from
TRP. Inhibition of KP metabolism under these conditions can compromise cell
viability, NAD-dependent SIRT1 activity and CNS function, unless alternative
precursors for NAD(+) synthesis are made available.
PMID- 22084602
TI - L-Tryptophan Production by Auxotrophic and Analogue Resistant Mutants of
Aureobacterium flavescens.
AB - A number of tyrosine plus phenylalanine double auxotrophic mutants were isolated
by N-methyl-N-nitro-N-nitrosoguanidine (MNNG) treatment of a locally isolated
strain of Aureobacterium flavescens of which 11A(39) and 11A(17) were selected on
the basis of their tryptophan production in a mineral salt medium over other
isolated mutant strains. The mutational block in the aromatic amino acid
biosynthetic pathway of the selected double auxotrophs were determined. By
controlling pH of the production medium to near neutrality, the active growth
period could be extended up to 72 h and more tryptophan was accumulated compared
to pH unregulated culture where the active growth ceased after 48 h. Further
improvement of the tryptophan production has been achieved by stepwise isolation
of a mutant strain resistant to the tryptophan analogues p-fluorotryptophan (FT)
and 5-methyl tryptophan (MT) from the 11A(39). Demand for L-tryptophan as food
additive and therapeutic agent is increasing day by day throughout the World,
particularly in the underdeveloped and developing countries like India. Still to
date India depends on other countries for L-tryptophan. The aim of this work is
to develop a potent high yielding, feed back insensitive mutant strain and
optimization of its medium pH for maximum production of tryptophan.
PMID- 22084603
TI - Kynurenine pathway Hypothesis: The nature of the chronic Fatigue syndrome (cFs)
Revisited.
PMID- 22084604
TI - Diffuse Idiopathic Skeletal Hyperostosis (DISH)-A Rare Etiology of Dysphagia.
AB - A 72-year-old gentleman presented to the hospital with progressively worsening
dysphagia to soft foods and liquids. He was diagnosed with severe pharyngeal
dysphagia by modified barium swallow. A CT scan of the neck with IV contrast
showed anterior flowing of bridging osteophytes from C3-C6, indicative of DISH,
resulting in esophageal impingement. He underwent resection of the DISH segments.
Following the surgery, a PEG tube for nutrition supplementation was placed.
However, the PEG tube was removed after five months when the speech and swallow
evaluation showed no residual dysphagia. DISH is a rare non-inflammatory
condition that results in pathological ossification and calcification of the
anterolateral spinal ligaments.
PMID- 22084605
TI - Asymptomatic pulmonary hypertension in systemic lupus erythematosus.
AB - INTRODUCTION: Pulmonary arterial hypertension (PAH) is a serious and often fatal
complication of systemic lupus erythematosus (SLE). Because the diagnosis of PAH
often is made years after symptom onset, early diagnostic strategies are
essential. Doppler echocardiography currently is considered the noninvasive
screening test of choice for evaluating pulmonary hypertension. AIM: Screening
for asymptomatic pulmonary hypertension in systemic lupus erythematosus patients
using Doppler echocardiography, and correlating it with inflammatory parameters
of the disease. PATIENTS AND METHODS: Doppler echocardiography was performed in
74 patients with systemic lupus erythematosus over one year (66 adult and 8
juvenile), adult SLE included 57 patients with adult-onset and 9 patients with
childhood-onset. Pulmonary hypertension was diagnosed if the peak systolic
pressure gradient at the tricuspid valve was more than 30 mmHg. All patients were
subjected to full history taking, rheumatological examination, laboratory studies
and chest x-ray. RESULTS: In seventy four SLE patients, the pulmonary
hypertension was detected in 8 patients (10.8%), 7 adult-onset SLE patients (aged
from 19 to 30 years) and 1 juvenile SLE (aged 12 years). The range of pulmonary
artery systolic pressure was 34-61.2 mmHg (43.19 +/- 9.28). No significant
differences between patients with and those without pulmonary hypertension as
regard clinical features. Significantly higher frequencies of rheumatoid factor
and anti-cardiolipin antibodies were found in patients with pulmonary
hypertension versus those without (P = 0.02, P = 0.008 respectively). Positive
rheumatoid factor and ACL were significantly associated with occurrence of PAH in
SLE (P = 0.007, P = 0.006 respectively). No significant correlations were found
between pulmonary artery pressure, disease duration, SLE Disease Activity Index
(SLEDAI), ESR, and anti-ds DNA. CONCLUSION: Patients with SLE have an increased
risk of pulmonary arterial hypertension. Echocardiography should be used as a
screening tool in patients at high risk for development of pulmonary
hypertension. Positive anti-cardiolipin antibodies and rheumatoid factor were
significant predictors of pulmonary hypertension in our study.
PMID- 22084606
TI - Bone mineral density changes in patients with recent-onset rheumatoid arthritis.
AB - BACKGROUND: Osteoporosis and related fragility fractures are one of the most
common complications seen in patients with rheumatoid arthritis (RA) and
dramatically affect quality of life. OBJECTIVE: To evaluate changes in bone
mineral density in patients with recent onset rheumatoid arthritis (<1 year) and
its correlation if any with a modified DAS-28 score and simple erosion narrowing
score (SENS). METHODS: This study included 30 patients with recent-onset
rheumatoid arthritis fulfilling the new American College of Rheumatology/European
League Against Rheumatism diagnostic criteria for rheumatoid arthritis and 20
healthy volunteers as controls. All were subjected to a complete blood count,
erythrocyte sedimentation rate, C-reactive protein, liver function tests, renal
function tests, rheumatoid factor, and plain x-rays of the hands and feet. Dual
energy x-ray absorptiometry DEXA was used to measure bone mineral density (BMD)
of the left proximal femur, lumbar spine (L1-L4), and lower distal radius at the
time of recruitment. RESULTS: In the RA patients, 13.3% had osteoporosis, 50% had
osteopenia, and 36.7% had normal BMD. The most common site of osteoporosis was
the lumbar spine (four patients, 13.3%) followed by the femur (two patients,
6.6%), and forearm (only one patient, 3.3%). There was a significantly higher
percentage of osteoporosis among RA males than females and the difference was
statistically significant (P = 0.009). Osteoporosis was more common in patients
treated with corticosteroids and disease modifying antirheumatic drugs (DMARDs)
than in patients treated with only nonsteroidal anti-inflammatory drugs (P =
0.004). Higher disease activity (DAS-28) was found in RA patients with
osteoporosis compared to RA patients with normal BMD or osteopenia, but the
difference was not statistically significant. Osteoporotic RA patients were found
to have a higher SENS score for radiological damage than nonosteoporotic ones.
CONCLUSION: BMD changes do occur in patients with early RA, and are not
necessarily correlated with disease activity (DAS-28). However, a significant
negative correlation was found between BMD and the score of radiological damage
(SENS). Dual energy x-ray absorptiometry is an important investigation to assess
BMD in early RA patients.
PMID- 22084607
TI - Extended release niacin-laropiprant in patients with hypercholesterolemia or
mixed dyslipidemias improves clinical parameters.
AB - The progression of atherosclerosis remains a major cause of morbidity and
mortality. Plaque formation is an immunological response driven by a number of
risk factors, and reduction of risk is the primary goal of treatment. The role of
LDL-C is well established and statins have proved effective drugs, although the
relative risk reduction is only around 30%. The importance of other factors
notably low HDL-C and high TGs-has become increasingly clear and the search for
alternative strategies continues. Niacin is particularly effective in achieving
normalization of HDL-C but is clinically underutilized due to the side effect of
cutaneous flushing. The discovery that flushing is mediated by mechanisms
distinct from the lipid-lowering effects has led to the development of
combination drugs with reduced side effects. This review considers the evidence
regarding the clinical efficacy of extended-release niacin and the DP1 antagonist
laropiprant in the treatment of hypercholesterolemia and mixed dyslipidemias.
PMID- 22084609
TI - Bihemispheric subcortical infarcts in the middle cerebral artery territory.
AB - BACKGROUND AND PURPOSE: Previous studies have suggested embolic mechanisms for
bihemispheric subcortical infarcts involving the anterior and posterior
circulation. However, the mechanism of bihemispheric subcortical infarcts in
middle cerebral artery (MCA) territories remains uncertain. We describe a patient
with acute bihemispheric subcortical infarcts in restricted MCA territories
suggesting an embolic mechanism. CASE DESCRIPTION: A 62-year-old woman with a
history of hypertension and hyperlipidemia suddenly presented with left
hemiplegia. Diffusion-weighted and T(2)-weighted magnetic resolution imaging of
the brain showed multiple subcortical high intensity in the MCA territories.
There were no acute infarctions in the cerebrum, brain stem, or cerebellum,
including cortical lesions. The patient had no carotid, internal carotid artery,
or MCA disease. CONCLUSION: Bihemispheric subcortical infarcts in the MCA
territory are likely to have a proximal embolic source and such infarcts could be
associated with multiple subcortical infarcts due to small vessel disease.
PMID- 22084608
TI - Safety and efficacy of dronedarone in the treatment of atrial
fibrillation/flutter.
AB - Dronedarone is an amiodarone analog but differs structurally from amiodarone in
that the iodine moiety was removed and a methane-sulfonyl group was added. These
modifications reduced thyroid and other end-organ adverse effects and makes
dronedarone less lipophilic, shortening its half-life. Dronedarone has been shown
to prevent atrial fibrillation/flutter (AF/AFl) recurrences in several multi
center trials. In addition to its rhythm control properties, dronedarone has rate
control properties and slows the ventricular response during AF. Dronedarone is
approved in Europe for rhythm and rate control indications. In patients with
decompensated heart failure, dronedarone treatment increased mortality and
cardiovascular hospitalizations. However, when dronedarone was used in elderly
high risk AF/AFl patients excluding such high risk heart failure, cardiovascular
hospitalizations were significantly reduced and the drug was approved in the USA
for this indication in 2009 by the Food and Drug Administration. Updated
guidelines suggest dronedarone as a front-line antiarrhythmic in many patients
with AF/Fl but caution that the drug should not be used in patients with advanced
heart failure. In addition, the recent results of the PALLAS trial suggest that
dronedarone should not be used in the long-term treatment of patients with
permanent AF.
PMID- 22084610
TI - A case of cutaneous infection caused by mycobacterium szulgai with progression to
acute respiratory distress syndrome.
AB - A 59-year-old man presented with a skin eruption and bilateral swelling of the
legs. Soon after the initial presentation, he developed acute respiratory
distress syndrome (ARDS) with miliary lung nodules. Culture of samples from the
skin ulcers, sputum, and bronchoalveolar lavage fluid all revealed Mycobacterium
szulgai infection. The patient was successfully treated with antituberculosis
drugs. M. szulgai infection is very rarely reported worldwide, and disseminated
infection usually occurs in immunocompromised patients. However, the present
patient was a non-immunocompromised case, although he was a hepatitis B virus
carrier. While the progression to ARDS from M. tuberculosis infection is well
known, this is the first case of M. szulgai infection progressing to ARDS.
PMID- 22084611
TI - Traumatic Abdominal Wall Hernia: A Case Report of High-Energy Type without
Surgical Repair.
AB - Repair of traumatic abdominal wall hernia (TAWH) has been reported to be
necessary. Reported here is one case of TAWH without repair. A 27-year-old man
was accidentally sandwiched between a rock and a truck and admitted to our
emergency department. There was a swelling of 10 cm in the right upper quadrant
of the abdomen. The enhanced computed tomographic scan demonstrated a large
abdominal wall muscular defect, transverse colon protrusion, and the presence of
subcutaneous emphysema at the site. Based on these findings, lacerated transverse
colon entrapped in TAWH was diagnosed. The patient underwent emergency laparotomy
for laceration of the transverse colon, duodenum and pancreas, and open book
fracture of the pelvis. Repair of the hernia was not performed because of the
possibility of abscess formation by stool contamination. However, the hernia
disappeared and the patient is doing well without recurrence of hernia 16 months
after injury.
PMID- 22084612
TI - Spontaneous Transient Lateral Thoracic Lung Herniation Resulting in Systemic
Inflammatory Response Syndrome (SIRS) and Subsequent Contralateral Lung Injury.
AB - Lung herniation is a relatively rare clinical entity that is most commonly either
congenital or acquired traumatically. We describe a case of spontaneous lung
herniation secondary to acute cough in an obese male smoker complicated by
contralateral acute lung injury and systemic inflammatory response syndrome
(SIRS). Mechanisms of lung herniation, classification, diagnosis, and management
will be discussed.
PMID- 22084613
TI - A boy with non-herpes simplex acute limbic encephalitis and antiglutamate
receptor antibodies.
AB - This report concerns a 12-year-old male with intractable seizures over a long
period. The case fulfilled the diagnostic criteria for nonherpetic acute limbic
encephalitis. He had frequent convulsions starting with a partial seizure at the
left angle of the mouth and progressing to secondary generalized seizures. He was
treated with several anticonvulsants, combined with methylprednisolone and gamma
globulin under mechanical ventilation. However, his convulsions reappeared after
tapering of the barbiturate. His magnetic resonance imaging showed a high
intensity area in the hippocampus by FLAIR and diffusion. After five months he
recovered without serious sequelae. Virological studies, including for herpes
simplex virus, were all negative. He was transiently positive for antiglutamate
receptor antibodies in cerebrospinal fluid and serum.
PMID- 22084614
TI - Treatment with etanercept in a patient with rheumatoid arthritis-associated
interstitial lung disease.
AB - We report a case of a 52-year-old woman with a 1-year history of rheumatoid
arthritis-associated interstitial lung disease referred to hospital because of
aggravated pulmonary symptoms in spite of intensive treatment including
prednisone, azathioprine and triptergium glycoside. We subsequently initiated
treatment with 25 mg of etanercept, subcutaneously injected twice weekly.
Following 6 months of therapy with this agent, sustained improvement in dyspnea,
cough was reported by the patient and respiratory function test showed marked
improvement. The improvement was confirmed by reduced middle and lower lung
markings on chest radiography and high-resolution CT scan. This report suggests
etanercept may be effective in the treatment of rheumatoid arthritis-associated
interstitial lung disease.
PMID- 22084615
TI - Reduced hypoxia risk in a systemic sclerosis patient with interstitial lung
disease after long-term pulmonary rehabilitation.
AB - Pulmonary rehabilitation is effective for improving exercise capacity in patients
with interstitial lung disease (ILD), and most programs last about 8 weeks. A 43
year-old male patient with systemic sclerosis and oxygen saturation (SpO(2))
declining because of severe ILD was hospitalized for treatment of chronic skin
ulcers. During admission, he completed a 27-week walking exercise program with
SpO(2) monitoring. Consequently, continuous walking distance without severe
hypoxia (SpO(2) > 90%) increased from 60 m to 300 m after the program, although
his six-minute walking distance remained the same. This suggests that walking
exercise for several months may reduce the risk of hypoxia in patients with ILD,
even though exercise capacity does not improve.
PMID- 22084616
TI - Arterial stiffness in lower limb amputees.
AB - BACKGROUND: A high carotid-femoral pulse wave velocity (PWV) has been related to
increased cardiovascular morbidity and mortality, but has not been previously
evaluated in amputees. The aim of this study was to compare PWV between amputees
and nonamputees. METHODS: In this cross-sectional study, data were collected from
60 male lower limb amputees and 86 male age-matched nonamputees. PWV was measured
noninvasively using a Complior((r)) device. All participants underwent laboratory
investigations and anthropometry. The difference in PWV between amputee and
nonamputees was estimated. Multivariate regression was used to adjust for
differences between the groups as a result of potential confounders. RESULTS: PWV
was higher in amputees than in nonamputees (10.8 +/- 1.9 m/sec versus 9.9 +/- 1.8
m/sec, P = 0.008, respectively). This difference remained even after adjusting
for confounding factors. CONCLUSION: A higher PWV was demonstrated in lower limb
amputees. Routine assessment of PWV may contribute to cardiovascular risk
stratification in amputees.
PMID- 22084617
TI - Roflumilast, a Novel Phosphodiesterase 4 Inhibitor, for COPD Patients with a
History of Exacerbations.
AB - Acute exacerbations of COPD (AECOPD) are major clinical events. They are
associated with a more rapid decline in lung function, poorer quality of life
scores, and an increased risk of dying. Exacerbations that require
hospitalization have particular significance. Approximately 40% of the AECOPD
patients who require hospitalization will die in the subsequent year. Since many
AECOPD require hospitalization, they account for most of the expense of caring
for COPD patients. Treatment with long-acting bronchodilators and combination
inhaled corticosteroid/long-acting bronchodilator inhalers reduces but does not
eliminate AECOPD. Roflumilast, a selective phosphodiesterase 4 (PDE4) inhibitor,
is an anti-inflammatory medication that improves lung function in patients with
COPD. In patients with more severe airway obstruction, clinical features of
chronic bronchitis, and a history of AECOPD, roflumilast reduces the frequency of
AECOPD when given in combination with short-acting bronchodilators, long-acting
bronchodilators, or inhaled corticosteroids. It is generally well tolerated but
the most common adverse effects include diarrhea, nausea, weight loss, and
headaches. In clinical trials, patients treated with roflumilast experienced
weight loss that averaged just over 2 kg but was primarily due to the loss of fat
tissue. Weight loss was least in underweight patients and obese patients
experienced the greatest weight loss. An unexpected benefit of treatment with
roflumilast was that fasting blood glucose and hemoglobin A1c levels improved in
patients with comorbid type 2 diabetes mellitus. Roflumilast, the first selective
PDE4 inhibitor to be marketed, is a promising drug for the management of COPD
patients with more severe disease.
PMID- 22084618
TI - Sleep insufficiency, sleep health problems and performance in high school
students.
AB - A survey on sleep schedule, sleep health, school performance and school start
times was conducted in 1,941 adolescents. A high level of early and circadian
disadvantaged sleep/wake schedules during weekdays was observed. Shorter sleep
duration on weekdays was reported, especially in upper classmen. Complaints of
inadequate sleep and sleepiness during weekdays, alarm clock use, and napping
were prevalent. Night awakening and prolonged sleep onset were common and
associated with poor school performance. Students with a sleep length of less
than 7 hours on both weekdays and weekends exhibited poorer performance, while
those who made up this sleep loss on weekends did not. The total number of poor
sleep factors in an individual also correlated with poor school performance.
Earlier school start times were associated with a perception of poor sleep
quality, shorter sleep duration and more sleep health problems. We conclude that
sleep inadequacies and sleep health problems were prevalent in this population,
especially in those who started school earlier in the morning, and that these
poor sleep factors were associated with school performance.
PMID- 22084620
TI - Antitumor Efficacy of Intravesical BCG, Gemcitabine, Interferon-alpha and
Interleukin-2 as Mono- or Combination-Therapy for Bladder Cancer in an Orthotopic
Tumor Model.
AB - OBJECTIVE: To reduce adverse effects and improve efficacy of intravesical BCG for
bladder cancer, alternative treatment options were investigated in an orthotopic
rat tumor model. METHODS: Superficial bladder cancer was established in syngeneic
female rat bladders by instillation of AY-27 cells. Animals were randomly
assigned to treatment groups including dose escalation of intravesical BCG with
or without interferon-alpha (IFN-alpha) or interleukin-2 (IL-2); or graded doses
of gemcitabine alone; or BCG plus gemcitabine. Treatments were given twice weekly
for 3 weeks. Rats in control groups received saline instillations. Treatment
response was monitored by animals' well-being, survival days, tumor growth
inhibition, and histological examination at necropsy. RESULTS: Rats receiving
monotherapy with intravesical BCG, gemcitabine, or IFN-alpha, attained
significantly better survival and tumor reduction compared with control (P =
0.002; 0.001; 0.002, respectively, Log-rank Test). A dose-dependent treatment
response was observed in animals with established bladder tumor receiving
escalated BCG instillations. Only high-dose BCG significantly improved animal
survival. Although high-dose BCG plus gemcitabine or IFN-alpha did not increase
benefit over monotherapies, low-dose BCG plus IL-2 did show improved efficacy (P
= 0.01). CONCLUSION: Intravesical monotherapies with gemcitabine and IFN-alpha
were as effective as BCG for treatment of early non-muscle-invasive urothelial
bladder cancer in this immune competent rat model. Combining these agents with
high-dose BCG did not further increase efficacy. However, combining low-dose BCG
with IL-2 enhanced BCG effectiveness.
PMID- 22084621
TI - Management Options in Advanced Prostate Cancer: What is the Role for Sipuleucel
T?
AB - Most prostate cancer-related deaths occur in patients with castration-resistant
prostate cancer (CRPC). Until recently, only therapy with docetaxel and
prednisone has been shown to prolong survival in men with metastatic CRPC. With
the United States Food and Drug Administration (US FDA) approvals of sipuleucel
T, cabazitaxel, and abiraterone acetate, all based on improvement in overall
survival, the landscape for management of men with metastatic CRPC has
dramatically changed. In this review we will discuss the pivotal clinical trial
data leading to these approvals, with particular focus on the unique indication
for sipuleucel-T and the implications for optimal management and sequencing of
treatment in this patient population.
PMID- 22084622
TI - Tyrosine kinase inhibitors in the treatment of advanced renal cell carcinoma:
focus on pazopanib.
AB - Advances in our understanding of renal cancer biology have led to a new treatment
paradigm in renal cancer. Tyrosine kinase inhibitors (TKI), that target the
intracellular kinase domain of the VEGF receptor, have become established as the
most successful class of agent in this disease. Three TKIs are currently approved
for use in patients with advanced disease. Newer, more potent inhibitors have
reached phase III clinical testing, meaning others are likely to follow. In 2009,
pazopanib became the most recent TKI to receive FDA approval. This review sets
out to discuss the key opportunities and challenges associated with TKI use in
RCC, focusing particularly on pazopanib. We also review the current place of
pazopanib in the management of patients with advanced disease, in what is a
rapidly evolving therapeutic landscape.
PMID- 22084623
TI - Outreach to Improve Patient Education at South Carolina Free Medical Clinics.
AB - The University of South Carolina (USC) School of Medicine (SOM) librarians have
partnered with eight free medical clinics in South Carolina to enhance patient
education efforts. During these outreach projects, project librarians purchased
and installed computers, projectors, screens, LCD monitors, and touch-screen
information kiosks equipment in each clinic, conducted MedlinePlus training
sessions with clinic staff, and added links to MedlinePlus on the patient
education area of the clinics' websites. As a result, the free medical clinics
incorporated MedlinePlus into their patient education classes or use the self
playing tutorials in patient waiting rooms.
PMID- 22084624
TI - Fast Track THR: One Hospital's Experience with a 2-Day Length of Stay Protocol
for Total Hip Replacement.
AB - BACKGROUND: Current trends in total joint replacement have focused on shorter
hospital stays. PURPOSE: This study aimed to determine if a pathway for total hip
replacement (THR) with the goal of a 2-day discharge (fast track) is safe and
effective compared to our traditional pathway (control). METHODS: One hundred
forty-nine patients undergoing unilateral, uncomplicated, THR were enrolled in an
accelerated postoperative pathway and 134 were enrolled in the traditional
pathway. Patients were followed prospectively and outcomes included hospital
length of stay, intra- and postoperative complications, readmissions,
reoperations. A statistical model was created to determine factors predictive of
a 2-day discharge. RESULTS: At 1 year, there were no differences in
complications, readmissions, or reoperations. The average length of stay
decreased from 4.1 to 2.6 days (p < 0.0001). In the fast track group, 58% of
patients were discharged home within 2 days. Barriers to a 2-day discharge were
postoperative pain, nausea, and dizziness. The only preoperative factor that was
predictive of a 2-day discharge was hypertension. CONCLUSIONS: In a select group
of patients, a protocol that allows for a 2-day discharge following THR is safe
and effective.
PMID- 22084625
TI - Repeated 24-hour recalls versus dietary records for estimating nutrient intakes
in a national food consumption survey.
AB - BACKGROUND: The methodology used in the first Belgian food consumption survey
followed to a large extent the instructions of the European Food Consumption
(EFCOSUM) reports, where repeated 24-hour recalls (24HR) using EPIC-SOFT were
recommended. OBJECTIVES: To evaluate the relative validity of two non-consecutive
24HR using EPIC-SOFT by comparison with 5-day estimated dietary records (EDR). To
assess misreporting in energy for both methods by comparing energy intake with
energy expenditure from accelerometery in a subsample. DESIGN: A total of 175
subjects (aged 15 and over) were recruited to participate in the study. Repeated
24HR were performed with an interval of 2-8 weeks. After completion of the second
interview, subjects were instructed to keep an EDR. Dietary intakes were adjusted
for within-person variability to reflect usual intakes. A Student's t-test was
calculated to assess differences between both methods. Spearman and Kappa
correlation coefficients were used to investigate agreement. RESULTS: In total,
127 subjects completed the required repeated 24HR, as well as the five record
days. From 76 participants, accelerometer data were available. In both methods,
about 35% of participants had ratios of Energy Intake/Total Energy Expenditure
(EI/TEE) above or below 95% confidence intervals for EI/TEE, suggesting
misreporting of energy. Significant differences between the two dietary intake
methods were found for total energy, total fat, fatty acids, cholesterol,
alcohol, vitamin C, thiamine, riboflavin and iron. In general, intakes from 24HR
were higher compared to EDR. Correlation coefficients for all nutrients ranged
from 0.16 for thiamine to 0.70 for water. CONCLUSIONS: The results from this
study show that in the context of nutritional surveillance, duplicate 24HR can be
used to asses intakes of protein, carbohydrates, starch, sugar, water, potassium
and calcium.
PMID- 22084619
TI - Inhibitors of Glioma Growth that Reveal the Tumour to the Immune System.
AB - Treated glioblastoma patients survive from 6 to 14 months. In the first part of
this review, we describe glioma origins, cancer stem cells and the genomic
alterations that generate dysregulated cell division, with enhanced proliferation
and diverse response to radiation and chemotherapy. We review the pathways that
mediate tumour cell proliferation, neo-angiogenesis, tumor cell invasion, as well
as necrotic and apoptotic cell death. Then, we examine the ability of gliomas to
evade and suppress the host immune system, exhibited at the levels of antigen
recognition and immune activation, limiting the effective signaling between
glioma and host immune cells.The second part of the review presents current
therapies and their drawbacks. This is followed by a summary of the work of our
laboratory during the past 20 years, on oligosaccharide and glycosphingolipid
inhibitors of astroblast and astrocytoma division. Neurostatins, the O-acetylated
forms of gangliosides GD1b and GT1b naturally present in mammalian brain, are
cytostatic for normal astroblasts, but cytotoxic for rat C6 glioma cells and
human astrocytoma grades III and IV, with ID50 values ranging from 200 to 450 nM.
The inhibitors do not affect neurons or fibroblasts up to concentrations of 4 MUM
or higher.At least four different neurostatin-activated, cell-mediated
antitumoral processes, lead to tumor destruction: (i) inhibition of tumor
neovascularization; (ii) activation of microglia; (iii) activation of natural
killer (NK) cells; (iv) activation of cytotoxic lymphocytes (CTL). The enhanced
antigenicity of neurostatin-treated glioma cells, could be related to their
increased expression of connexin 43. Because neurostatins and their analogues
show specific activity and no toxicity for normal cells, a clinical trial would
be the logical next step.
PMID- 22084626
TI - Climate change and environmental impacts on maternal and newborn health with
focus on Arctic populations.
AB - BACKGROUND: In 2007, the Intergovernmental Panel on Climate Change (IPCC)
presented a report on global warming and the impact of human activities on global
warming. Later the Lancet commission identified six ways human health could be
affected. Among these were not environmental factors which are also believed to
be important for human health. In this paper we therefore focus on environmental
factors, climate change and the predicted effects on maternal and newborn health.
Arctic issues are discussed specifically considering their exposure and
sensitivity to long range transported contaminants. METHODS: Considering that the
different parts of pregnancy are particularly sensitive time periods for the
effects of environmental exposure, this review focuses on the impacts on maternal
and newborn health. Environmental stressors known to affects human health and how
these will change with the predicted climate change are addressed. Air pollution
and food security are crucial issues for the pregnant population in a changing
climate, especially indoor climate and food security in Arctic areas. RESULTS:
The total number of environmental factors is today responsible for a large number
of the global deaths, especially in young children. Climate change will most
likely lead to an increase in this number. Exposure to the different
environmental stressors especially air pollution will in most parts of the world
increase with climate change, even though some areas might face lower exposure.
Populations at risk today are believed to be most heavily affected. As for the
persistent organic pollutants a warming climate leads to a remobilisation and a
possible increase in food chain exposure in the Arctic and thus increased risk
for Arctic populations. This is especially the case for mercury. The perspective
for the next generations will be closely connected to the expected temperature
changes; changes in housing conditions; changes in exposure patterns; predicted
increased exposure to Mercury because of increased emissions and increased
biological availability. CONCLUSIONS: A number of environmental stressors are
predicted to increase with climate change and increasingly affecting human
health. Efforts should be put on reducing risk for the next generation, thus
global politics and research effort should focus on maternal and newborn health.
PMID- 22084627
TI - Mapping spikes to sensations.
AB - Single-unit recordings conducted during perceptual decision-making tasks have
yielded tremendous insights into the neural coding of sensory stimuli. In such
experiments, detection or discrimination behavior (the psychometric data) is
observed in parallel with spike trains in sensory neurons (the neurometric data).
Frequently, candidate neural codes for information read-out are pitted against
each other by transforming the neurometric data in some way and asking which
code's performance most closely approximates the psychometric performance. The
code that matches the psychometric performance best is retained as a viable
candidate and the others are rejected. In following this strategy, psychometric
data is often considered to provide an unbiased measure of perceptual
sensitivity. It is rarely acknowledged that psychometric data result from a
complex interplay of sensory and non-sensory processes and that neglect of these
processes may result in misestimating psychophysical sensitivity. This again may
lead to erroneous conclusions regarding the adequacy of candidate neural codes.
In this review, we first discuss requirements on the neural data for a subsequent
neurometric-psychometric comparison. We then focus on different psychophysical
tasks for the assessment of detection and discrimination performance and the
cognitive processes that may underlie their execution. We discuss further factors
that may compromise psychometric performance and how they can be detected or
avoided. We believe that these considerations point to shortcomings in our
understanding of the processes underlying perceptual decisions, and therefore
offer potential for future research.
PMID- 22084628
TI - The circadian clock, reward, and memory.
AB - During our daily activities, we experience variations in our cognitive
performance, which is often accompanied by cravings for small rewards, such as
consuming coffee or chocolate. This indicates that the time of day, cognitive
performance, and reward may be related to one another. This review will summarize
data that describe the influence of the circadian clock on addiction and mood
related behavior and put the data into perspective in relation to memory
processes.
PMID- 22084631
TI - MEGen: A Physiologically Based Pharmacokinetic Model Generator.
AB - Physiologically based pharmacokinetic models are being used in an increasing
number of different areas. However, they are perceived as complex, data hungry,
resource intensive, and time consuming. In addition, model validation and
verification are hindered by the relative complexity of the equations. To begin
to address these issues a web application called MEGen for the rapid construction
and documentation of bespoke deterministic PBPK model code is under development.
MEGen comprises a parameter database and a model code generator that produces
code for use in several commercial software packages and one that is freely
available. Here we present an overview of the current capabilities of MEGen, and
discuss future developments.
PMID- 22084629
TI - Moving forward: advances in the treatment of movement disorders with deep brain
stimulation.
AB - The modern era of stereotactic and functional neurosurgery has ushered in state
of the art technologies for the treatment of movement disorders, particularly
Parkinson's disease (PD), tremor, and dystonia. After years of experience with
various surgical therapies, the eventual shortcomings of both medical and
surgical treatments, and several serendipitous discoveries, deep brain
stimulation (DBS) has risen to the forefront as a highly effective, safe, and
reversible treatment for these conditions. Idiopathic advanced PD can be treated
with thalamic, globus pallidus internus (GPi), or subthalamic nucleus (STN) DBS.
Thalamic DBS primarily relieves tremor while GPi and STN DBS alleviate a wide
range of Parkinsonian symptoms. Thalamic DBS is also used in the treatment of
other types of tremor, particularly essential tremor, with excellent results.
Both primary and various types of secondary dystonia can be treated very
effectively with GPi DBS. The variety of anatomical targets for these movement
disorders is indicative of the network-level dysfunction mediating these movement
disturbances. Despite an increasing understanding of the clinical benefits of
DBS, little is known about how DBS can create such wide sweeping neuromodulatory
effects. The key to improving this therapeutic modality and discovering new ways
to treat these and other neurologic conditions lies in better understanding the
intricacies of DBS. Here we review the history and pertinent clinical data for
DBS treatment of PD, tremor, and dystonia. While multiple regions of the brain
have been targeted for DBS in the treatment of these movement disorders, this
review article focuses on those that are most commonly used in current clinical
practice. Our search criteria for PubMed included combinations of the following
terms: DBS, neuromodulation, movement disorders, PD, tremor, dystonia, and
history. Dates were not restricted.
PMID- 22084630
TI - Modulation of neuronal signal transduction and memory formation by synaptic zinc.
AB - The physiological role of synaptic zinc has remained largely enigmatic since its
initial detection in hippocampal mossy fibers over 50 years ago. The past few
years have witnessed a number of studies highlighting the ability of zinc ions to
regulate ion channels and intracellular signaling pathways implicated in
neuroplasticity, and others that shed some light on the elusive role of synaptic
zinc in learning and memory. Recent behavioral studies using knock-out mice for
the synapse-specific zinc transporter ZnT-3 indicate that vesicular zinc is
required for the formation of memories dependent on the hippocampus and the
amygdala, two brain centers that are prominently innervated by zinc-rich fibers.
A common theme emerging from this research is the activity-dependent regulation
of the Erk1/2 mitogen-activated-protein kinase pathway by synaptic zinc through
diverse mechanisms in neurons. Here we discuss current knowledge on how synaptic
zinc may play a role in cognition through its impact on neuronal signaling.
PMID- 22084634
TI - Bilingualism and creativity: benefits in convergent thinking come with losses in
divergent thinking.
AB - Bilingualism is commonly assumed to improve creativity but the mechanisms
underlying creative acts, and the way these mechanisms are affected by
bilingualism, are not very well understood. We hypothesize that learning to
master multiple languages drives individuals toward a relatively focused
cognitive-control state that exerts strong top-down impact on information
processing and creates strong local competition for selection between cognitive
codes. Considering the control requirements posed by creativity tasks tapping
into convergent and divergent thinking, this predicts that high-proficient
bilinguals should outperform low-proficient bilinguals in convergent thinking,
while low-proficient bilinguals might be better in divergent thinking. Comparing
low- and high-proficient bilinguals on convergent-thinking and divergent-thinking
tasks indeed showed a high-proficient bilingual advantage for convergent thinking
but a low-proficient bilingual advantage for fluency in divergent thinking. These
findings suggest that bilingualism should not be related to "creativity" as a
unitary concept but, rather, to the specific processes and mechanisms that
underlie creativity.
PMID- 22084633
TI - Heart rate variability and non-linear dynamics in risk stratification.
AB - The time-domain measures and power-spectral analysis of heart rate variability
(HRV) are classic conventional methods to assess the complex regulatory system
between autonomic nervous system and heart rate and are most widely used. There
are abundant scientific data about the prognostic significance of the
conventional measurements of HRV in patients with various conditions,
particularly with myocardial infarction. Some studies have suggested that some
newer measures describing non-linear dynamics of heart rate, such as fractal
measures, may reveal prognostic information beyond that obtained by the
conventional measures of HRV. An ideal risk indicator could specifically predict
sudden arrhythmic death as the implantable cardioverter-defibrillator (ICD)
therapy can prevent such events. There are numerically more sudden deaths among
post-infarction patients with better preserved left ventricular function than in
those with severe left ventricular dysfunction. Recent data support the concept
that HRV measurements, when analyzed several weeks after acute myocardial
infarction, predict life-threatening ventricular tachyarrhythmias in patients
with moderately depressed left ventricular function. However, well-designed
prospective randomized studies are needed to evaluate whether the ICD therapy
based on the assessment of HRV alone or with other risk indicators improves the
patients' prognosis. Several issues, such as the optimal target population,
optimal timing of HRV measurements, optimal methods of HRV analysis, and optimal
cutpoints for different HRV parameters, need clarification before the HRV
analysis can be a widespread clinical tool in risk stratification.
PMID- 22084632
TI - Neurotoxins and their binding areas on voltage-gated sodium channels.
AB - Voltage-gated sodium channels (VGSCs) are large transmembrane proteins that
conduct sodium ions across the membrane and by doing so they generate signals of
communication between many kinds of tissues. They are responsible for the
generation and propagation of action potentials in excitable cells, in close
collaboration with other channels like potassium channels. Therefore, genetic
defects in sodium channel genes can cause a wide variety of diseases, generally
called "channelopathies." The first insights into the mechanism of action
potentials and the involvement of sodium channels originated from Hodgkin and
Huxley for which they were awarded the Nobel Prize in 1963. These concepts still
form the basis for understanding the function of VGSCs. When VGSCs sense a
sufficient change in membrane potential, they are activated and consequently
generate a massive influx of sodium ions. Immediately after, channels will start
to inactivate and currents decrease. In the inactivated state, channels stay
refractory for new stimuli and they must return to the closed state before being
susceptible to a new depolarization. On the other hand, studies with neurotoxins
like tetrodotoxin (TTX) and saxitoxin (STX) also contributed largely to our
today's understanding of the structure and function of ion channels and of VGSCs
specifically. Moreover, neurotoxins acting on ion channels turned out to be
valuable lead compounds in the development of new drugs for the enormous range of
diseases in which ion channels are involved. A recent example of a synthetic
neurotoxin that made it to the market is ziconotide (Prialt((r)), Elan). The
original peptide, omega-MVIIA, is derived from the cone snail Conus magus and now
FDA/EMA-approved for the management of severe chronic pain by blocking the N-type
voltage-gated calcium channels in pain fibers. This review focuses on the current
status of research on neurotoxins acting on VGSC, their contribution to further
unravel the structure and function of VGSC and their potential as novel lead
compounds in drug development.
PMID- 22084635
TI - Cultural differences in affect intensity perception in the context of
advertising.
AB - Cultural differences in the perception of positive affect intensity within an
advertising context were investigated among American, Japanese, and Russian
participants. Participants were asked to rate the intensity of facial expressions
of positive emotions, which displayed either subtle, low intensity, or salient,
high intensity expressions of positive affect. In agreement with previous
findings from cross-cultural psychological research, current results demonstrate
both cross-cultural agreement and differences in the perception of positive
affect intensity across the three cultures. Specifically, American participants
perceived high arousal (HA) images as significantly less calm than participants
from the other two cultures, while the Japanese participants perceived low
arousal (LA) images as significantly more excited than participants from the
other cultures. The underlying mechanisms of these cultural differences were
further investigated through difference scores that probed for cultural
differences in perception and categorization of positive emotions. Findings
indicate that rating differences are due to (1) perceptual differences in the
extent to which HA images were discriminated from LA images, and (2)
categorization differences in the extent to which facial expressions were grouped
into affect intensity categories. Specifically, American participants revealed
significantly higher perceptual differentiation between arousal levels of facial
expressions in high and intermediate intensity categories. Japanese participants,
on the other hand, did not discriminate between high and low arousal affect
categories to the same extent as did the American and Russian participants. These
findings indicate the presence of cultural differences in underlying decoding
mechanisms of facial expressions of positive affect intensity. Implications of
these results for global advertising are discussed.
PMID- 22084636
TI - Monetary Incentives Improve Performance, Sometimes: Speed and Accuracy Matter,
and so Might Preparation.
PMID- 22084638
TI - Larger Error Signals in Major Depression are Associated with Better Avoidance
Learning.
AB - The medial prefrontal cortex (mPFC) is particularly reactive to signals of error,
punishment, and conflict in the service of behavioral adaptation and it is
consistently implicated in the etiology of major depressive disorder (MDD). This
association makes conceptual sense, given that MDD has been associated with hyper
reactivity in neural systems associated with punishment processing. Yet in
practice, depression-related variance in measures of mPFC functioning often fails
to relate to performance. For example, neuroelectric reflections of mediofrontal
error signals are often found to be larger in MDD, but a deficit in post-error
performance suggests that these error signals are not being used to rapidly adapt
behavior. Thus, it remains unknown if depression-related variance in error
signals reflects a meaningful alteration in the use of error or punishment
information. However, larger mediofrontal error signals have also been related to
another behavioral tendency: increased accuracy in avoidance learning. The
integrity of this error-avoidance system remains untested in MDD. In this study,
EEG was recorded as 21 symptomatic, drug-free participants with current or past
MDD and 24 control participants performed a probabilistic reinforcement learning
task. Depressed participants had larger mid-frontal EEG responses to error
feedback than controls. The direct relationship between error signal amplitudes
and avoidance learning accuracy was replicated. Crucially, this relationship was
stronger in depressed participants for high conflict "lose-lose" situations,
demonstrating a selective alteration of avoidance learning. This investigation
provided evidence that larger error signal amplitudes in depression are
associated with increased avoidance learning, identifying a candidate mechanistic
model for hypersensitivity to negative outcomes in depression.
PMID- 22084637
TI - A potential role of the inferior frontal gyrus and anterior insula in cognitive
control, brain rhythms, and event-related potentials.
AB - IN THE PRESENT PAPER, WE REVIEW EVIDENCE FOR OF A MODEL IN WHICH THE INFERIOR
FRONTAL GYRUS/ANTERIOR INSULA (IFG/AI) AREA IS INVOLVED IN ELABORATE ATTENTIONAL
AND WORKING MEMORY PROCESSING AND WE PRESENT THE HYPOTHESIS THAT THIS PROCESSING
MAY TAKE DIFFERENT FORMS AND MAY HAVE DIFFERENT EFFECTS, DEPENDING ON THE TASK AT
HAND: (1) it may facilitate fast and accurate responding, or (2) it may cause
slow responding when prolonged elaborate processing is required to increase
accuracy of responding, or (3) it may interfere with accuracy and speed of next
trial (for instance, post-error) performance when prolonged elaborate processing
interferes with processing of the next stimulus. We present our viewpoint that
ventrolateral corticolimbic control pathways, including the IFG/AI, and
mediodorsal corticolimbic control pathways, including dorsal anterior cingulate
cortex areas, play partly separable, but interacting roles in adaptive behavior
in environmental conditions that differ in the level of predictability: compared
to dorsal feed-forward control, the ventral corticolimbic control pathways
implement control over actions through higher responsiveness to momentary
environmental stimuli. This latter control mode is associated with an attentional
focus on stimuli that are urgent or close in time and space, while the former
control mode is associated with a broader, more global focus in time and space.
Both control pathways have developed extensively through evolution, and both
developed their own "cognitive controls," such that neither one can be properly
described as purely "cognitive" or "emotional." We discuss literature that
suggests that the role of IFG/AI in top-down control is reflected in cortical
rhythms and event-related potentials. Together, the literature suggests that the
IFG/AI is an important node in brain networks that control cognitive and
emotional processing and behavior.
PMID- 22084639
TI - Is the genetic landscape of the deep subsurface biosphere affected by viruses?
AB - Viruses are powerful manipulators of microbial diversity, biogeochemistry, and
evolution in the marine environment. Viruses can directly influence the genetic
capabilities and the fitness of their hosts through the use of fitness factors
and through horizontal gene transfer. However, the impact of viruses on microbial
ecology and evolution is often overlooked in studies of the deep subsurface
biosphere. Subsurface habitats connected to hydrothermal vent systems are
characterized by constant fluid flux, dynamic environmental variability, and high
microbial diversity. In such conditions, high adaptability would be an
evolutionary asset, and the potential for frequent host-virus interactions would
be high, increasing the likelihood that cellular hosts could acquire novel
functions. Here, we review evidence supporting this hypothesis, including data
indicating that microbial communities in subsurface hydrothermal fluids are
exposed to a high rate of viral infection, as well as viral metagenomic data
suggesting that the vent viral assemblage is particularly enriched in genes that
facilitate horizontal gene transfer and host adaptability. Therefore, viruses are
likely to play a crucial role in facilitating adaptability to the extreme
conditions of these regions of the deep subsurface biosphere. We also discuss how
these results might apply to other regions of the deep subsurface, where the
nature of virus-host interactions would be altered, but possibly no less
important, compared to more energetic hydrothermal systems.
PMID- 22084640
TI - The potential for poly (ADP-ribose) polymerase inhibitors in cancer therapy.
AB - The modulation of DNA repair pathways for therapeutic benefit in cancer has now
become a reality with the development of poly (ADP-ribose) polymerase inhibitors
(PARPi). PARP is involved in single-strand DNA breaks, which in the presence of
defective homologous recombination repair lead to double-strand DNA breaks, the
most lethal form of DNA damage. These agents therefore may be the drugs of choice
for BRCA mutant breast and ovarian cancers. PARPi result in synergistic antitumor
effects when combined with cisplatin, temozolomide, topoisomerase inhibitors and
ionizing radiation. The indications for PARPi lie beyond BRCA mutations and may
include genomic and functional defects in DNA repair and damage response
pathways. Several PARPi are in the clinical development phase at this time and,
given the recent failure of a phase III clinical trial of iniparib in triple
negative breast cancer, the identification of structural and functional
differences between these inhibitors becomes critical. Acquired resistance to
PARPi is being noted and represents an important limitation in this field. A
concise review of the literature in this field is presented.
PMID- 22084641
TI - Preventing bowel cancer: an insight for clinicians.
AB - Worldwide, colorectal cancer causes 610,000 deaths annually with 38,000 new cases
diagnosed in the UK and 16,000 deaths each year. The prognosis is directly
related to the staging of the cancer at diagnosis, with an overall 5-year
survival rate of approximately 50%. However, for localized disease the figure is
much higher at 90%, although unfortunately many cancers present at an advanced
stage. Importantly, there is the potential to reduce the incidence because most
tumours arise from premalignant adenomatous polyps, which if detected and removed
interrupts the adenoma-adenocarcinoma sequence. In addition, identifying
colorectal cancer at an early stage can impact on the mortality rates for this
neoplasm. The current screening options for bowel cancer include analysis of
stool for occult blood and endoscopic assessments of the colorectum, including
flexible sigmoidoscopy and full colonoscopy. The aim of this review is to present
information on the natural history of colorectal cancer, the evaluation of the
different screening modalities and the current faecal occult blood screening
program within the UK National Health Service, and to discuss how dietary factors
and aspirin may affect aetiology.
PMID- 22084642
TI - The potential for crizotinib in non-small cell lung cancer: a perspective review.
AB - Tyrosine kinases have a crucial role as key regulators of signaling pathways that
influence cell differentiation and growth. Dysregulation of tyrosine kinase
mediated signaling is understood to be an important oncogenic driver. Genetic
rearrangements involving the tyrosine kinase anaplastic lymphoma kinase (ALK)
gene occur in non-small cell lung cancer (NSCLC), anaplastic large cell
lymphomoas, inflammatory myofibroblastic tumors, and other cancers. Cells with
abnormal ALK signaling are sensitive to ALK inhibitors such as crizotinib. This
review will highlight the discovery of the fusion between echinoderm microtubule
associated protein-like 4 (EML4) and ALK as an oncogenic driver, recognition of
other ALK gene rearrangements in NSCLC, and the confirmation that crizotinib is
an effective treatment for patients with ALK-positive NSCLC. Work is underway to
further define the role for crizotinib in the treatment of ALK-positive lung
cancer and other cancers and to investigate the molecular mechanisms for
resistance to ALK inhibition with crizotinib.
PMID- 22084643
TI - Zoledronic acid in breast cancer: latest findings and interpretations.
AB - The intravenous nitrogen-containing bisphosphonate zoledronic acid has been shown
to block multiple steps in tumor metastasis (e.g. angiogenesis, invasion,
adhesion, proliferation) in preclinical and translational studies. Moreover,
clinical data from the ABCSG-12 and ZO-FAST trials demonstrate significantly
improved disease-free survival with zoledronic acid in the adjuvant breast cancer
setting. In contrast to these two trials, recent interim results from the AZURE
trial do not show a benefit from adding zoledronic acid to adjuvant therapy in
the overall patient population. However, subset analyses of AZURE data show that
zoledronic acid significantly improved overall survival in women who were more
than 5 years postmenopausal or older than 60 years at baseline. Similarly, subset
analyses of the ABCSG-12 trial data demonstrate greater benefits from zoledronic
acid treatment in patients who theoretically would have achieved more complete
ovarian suppression. These observations, together with the AZURE postmenopausal
data, suggest that the endocrine environment may affect the potential anticancer
activity of zoledronic acid. Indeed, current data support the possibility that
zoledronic acid might be most effective for improving disease-free survival in
the adjuvant breast cancer setting in women who are postmenopausal or have
endocrine therapy-induced menopause.
PMID- 22084644
TI - Malignant melanoma in the elderly: different regional disease and poorer
prognosis.
AB - PURPOSE: Age is a poor prognostic factor in melanoma patients. Elderly melanoma
patients have a different presentation and clinical course than younger patients.
We evaluated the impact of age >=70 years (yrs) on the diagnosis and natural
history of melanoma. METHODS: Retrospective review of 610 patients with malignant
melanoma entered into a prospective sentinel lymph node (SLN) database, treated
from June 1997 to June 2010. Disease characteristics and clinical outcomes were
compared between patients >=70 yrs vs. <70 yrs of age. RESULTS: 237 patients
(39%) were >=70 yrs. Elderly patients had a higher proportion of head and neck
melanomas (34% vs. 20%, p<0.001), and greater mean tumor thickness (2.4mm vs.
1.8mm, p<0.001). A greater proportion of T3 or T4 melanoma was seen in the
elderly (p<0.001) as well as a greater mean number of mitotic figures: 3.6/mm(2)
vs. 2.7/mm(2) (p=0.005). Despite greater mean thickness, the incidence of SLN
metastases was less in the >=70 yrs group with T3/T4 melanomas (18% vs. 33%,
p=0.02). The elderly had a higher rate of local and in-transit recurrences, 14.5%
vs. 3.4% at 5 yrs (p<0.001). 5 yr disease-specific mortality and overall
mortality were worse for those >=70 yrs: 16% vs. 8% (p=0.004), and 30% vs. 12%
(p<0.001), respectively. CONCLUSIONS: Elderly (>=70 yrs) melanoma patients
present with thicker melanomas and a higher mitotic rate but have fewer SLN
metastases. Melanoma in the elderly is more common on the head and neck. Higher
incidence of local/in-transit metastases is seen among the elderly. Five-year
disease-specific mortality and overall mortality are both worse for these
patients.
PMID- 22084645
TI - Complications following expander/implant breast reconstruction utilizing
acellular dermal matrix: a systematic review and meta-analysis.
AB - BACKGROUND: The recent increase in popularity of acellular dermal matrix
assistance in immediate expander/implant breast reconstruction has led to variety
of viewpoints. Many studies are published indicating an increase in complications
with the use of acellular dermal matrix, while others indicate there is no
increase in complications. METHODS: This meta-analysis utilizes information from
available studies that directly compare one specific type of acellular dermal
matrix with traditional methods of immediate expander/implant breast
reconstruction. Eight studies were found through a meticulous literature search
that met these criteria. RESULTS: There was more than a 2-fold increase in the
number of infections and explanations in the acellular dermal matrix group
compared to the control. There was a 3-fold increase in seroma formation in the
acellular dermal matrix group compared to the control. There was a significant
difference of intraoperative fill volumes between the acellular dermal matrix
group compared to the control. CONCLUSIONS: This study illustrates that after
pooling all available date regarding the use of acellular dermal matrix in
immediate expander/implant breast reconstruction there appears to be an increased
rate of complications. However, the increased intraoperative fill volume may lead
to ultimately greater patient satisfaction.
PMID- 22084647
TI - Quality control for diagnostic oral microbiology laboratories in European
countries.
AB - Participation in diagnostic microbiology internal and external quality control
(QC) processes is good laboratory practice and an essential component of a
quality management system. However, no QC scheme for diagnostic oral microbiology
existed until 2009 when the Clinical Oral Microbiology (COMB) Network was
created. At the European Oral Microbiology Workshop in 2008, 12 laboratories
processing clinical oral microbiological samples were identified. All these were
recruited to participate into the study and six laboratories from six European
countries completed both the online survey and the first QC round. Three
additional laboratories participated in the second round. Based on the survey,
European oral microbiology laboratories process a significant (mean per
laboratory 4,135) number of diagnostic samples from the oral cavity annually. A
majority of the laboratories did not participate in any internal or external QC
programme and nearly half of the laboratories did not have standard operating
procedures for the tests they performed. In both QC rounds, there was a large
variation in the results, interpretation and reporting of antibiotic
susceptibility testing among the laboratories. In conclusion, the results of this
study demonstrate the need for harmonisation of laboratory processing methods and
interpretation of results for oral microbiology specimens. The QC rounds
highlighted the value of external QC in evaluating the efficacy and safety of
processes, materials and methods used in the laboratory. The use of standardised
methods is also a prerequisite for multi-centre epidemiological studies that can
provide important information on emerging microbes and trends in anti-microbial
susceptibility for empirical prescribing in oro-facial infections.
PMID- 22084648
TI - Treatment of Acute Promyelocytic Leukemia with AIDA Based Regimen. Update of a
Tunisian Single Center Study.
AB - In Tunisia, the ATRA era began in 1998 with the use, consecutively, of two
regimens combining ATRA and an anthracycline with cytarabine (APL93), and without
cytarabine (LPA99). From 2004, 51 patients with confirmed APL either by t(15;17)
or PML/RARA were treated according to the PETHEMA LPA 99 trial. Forty three
patients achieved CR (86%). The remaining seven patients had early death (one
died before treatment onset): four caused by differentiation syndrome (DS) and
three died from central nervous system hemorrhage. Multivariate analysis revealed
that female gender (P=0.045), baseline WBC> 10 G/L (P=0.041) and serum creatinine
> 1.4mg/dl (P=0.021) were predictive of mortality during induction. DS was
observed in 16 patients (32%) after a median onset time of 15 days from treatment
onset (range, 2-29). Body mass index >= 30 (P=0.01) remained independent
predictor of DS. Occurrence of hypertensive peaks significantly predicted
occurrence of DS (P=0.011) and was significantly associated with high BMI
(p=0.003). With a median follow-up of 50 months, 5 year cumulative incidence of
relapse, event free and overall survival were 4.7%, 74% and 78%, respectively.
PMID- 22084649
TI - ATRA Induced Reactive Hemophagocytosis: a Case Report.
AB - All trans-retinoic acid (ATRA) is a targeted therapy, used in Acute Promyelcytic
leukemia (APL) and causes the abnormal promyelocytes to differentiate in to
mature leucocytes, however their clearance in vivo is not known. ATRA has been
found to be associated with hemophagocytosis, but sometimes one may find
phagocytosis of differentiated cells by histiocytes without the overt
manifestations of hemophagocytic syndrome. We report a case of APL showing
differentiated cells being phagocytosed by marrow histiocytes while patient was
getting ATRA therapy.
PMID- 22084646
TI - The use of growth factors and other humoral agents to accelerate and enhance burn
wound healing.
AB - OBJECTIVE: Certain cytokines, especially those known as growth factors, have been
demonstrated to mediate or modulate burn wound healing. Experimental and clinical
evidence suggests that there are therapeutic advantages to the wound healing
process when these agents are utilized. Positive effects have been reported for 4
types of wounds seen in the burn patient: partial-thickness wounds, full
thickness wounds, interstices of meshed skin grafts, and skin graft donor sites.
METHODS: A comprehensive literature search was performed using the MEDLINE, Ovid,
and Web of Science databases to identify pertinent articles regarding growth
factors and other cytokines in burns and wound healing. RESULTS: The current
knowledge about cytokine growth factors and their potential therapeutic
applications in burn wound healing are discussed and reviewed. CONCLUSIONS:
Platelet-derived growth factor, fibroblast growth factors, epidermal growth
factors, transforming growth factor alpha, vascular endothelial growth factor,
insulin-like growth factor I, nerve growth factor, transforming growth factor
beta, granulocyte-macrophage colony-stimulating factor, and amnion-derived
cellular cytokine solution have all been suggested to enhance the rate and
quality of healing in 1 or more of these wounds encountered in burn care.
PMID- 22084650
TI - A case series highlighting the relative frequencies of the common, uncommon and
atypical/unusual hematological findings on bone marrow examination in cases of
visceral leishmaniasis.
AB - INTRODUCTION: Bone marrow aspiration and biopsy still remains as one of the vital
tests for confirmation of diagnosis of visceral Leishmaniasis. The aim of the
present study is to assess the relative frequency of common, uncommon and
atypical hematological findings in cases of Visceral Leishmaniasis. MATERIALS
#ENTITYSTARTX00026; METHODS: A total of 16 cases of Leishmaniasis diagnosed on
Bone marrow examination over a period of two years (2008-2010), were retrieved
from the archives and the peripheral blood smear, bone marrow aspiration smears
and trephine biopsies were examined for the common, uncommon and atypical
features as described in the literature. RESULTS: Out of the total of 16 cases,
10 were pediatric and 6 adult cases. The common findings like pancytopenia,
peripheral blood monocytosis, increased histiocytes on aspirate smears and
granulomas on biopsies were noted in 12/16 (75%), 9/16 (56.25%), 13/16 (81.2%)
and 11/16 (69%) cases respectively. Amongst the uncommon findings,
hemophagocytosis was noted in 12/16 (75%) cases, plasma cells with inclusions in
6/16 (37.5%) and LD bodies in cells other than histiocytes in 4/16 (25%) cases.
The atypical findings included organism aggregates noted in 9/16 (56%) cases,
Pelger-Heut cells seen in 4/16 (25%) cases and increased focal vascularity on
biopsies in 10/16 (62.5%) cases. The average parasite density (APD) on smears was
3+ and the range of positivity was 1+ to 5+. CONCLUSION: The knowledge of these
morphological clues can assist us in searching for LD bodies and correctly
diagnosing the condition without excessive dependence on unnecessary and
sophisticated tests.
PMID- 22084651
TI - Coexisting pulmonary tuberculosis and mucormycosis in a patient with aplastic
anemia post allogenic stem cell transplantation.
AB - Infections are the most common cause of morbidity and mortality in allogenic stem
cell transplant recipients. Survival of the patient depends on the accurate
diagnosis of the infectious agents and prompt and effective management of the
infection alongwith maintenance of adequate immunosuppression post
transplantation. We here reported a case of aplastic anemia who developed left
upper lobe consolidation post allogenic stem cell transplantation and was found
to have combined infection with tuberculosis and mucormycosis. This is the first
case of combined infection with tuberculosis and mucormycosis reported in such a
host, with a favourable outcome.
PMID- 22084653
TI - Clinical profile of dengue infection in patients with hematological diseases.
AB - Managing hematological disorders in a tropical country presents several unique
diagnostic and management problems. Apart from the disease process, we need to be
aware of infections that can exacerbate or mimic serious hematological problems.
We present here a series of five patients with pre-existing hematological
diseases who were infected by dengue virus. These cases highlight the need to
keep a strong suspicion of common endemic diseases in tropical countries before
considering extensive workup for the basic hematological disease. There was no
mortality and all patients recovered without any significant impact on their pre
existing hematological condition inspite of their low baseline blood counts.
There was no excessive bleeding, prolonged stay in the hospital or relapse of
underlying hematological disease in these patients and the only major concern was
the increased anxiety among both the patient and treating physician regarding the
relapse/progression of pre-existing hematological disease.
PMID- 22084652
TI - Treatment of acute promyelocytic leukemia with high white cell blood counts.
AB - Acute promyelocytic leukemia (APL) with WBC above 10 G/L has long been
considered, even in the all-trans retinoic acid (ATRA) era, to carry a relatively
poor prognosis (compared to APL with WBC below 10 G/L), due to increased early
mortality and relapse. However, early deaths can to a large extent be avoided if
specific measures are rapidly instigated, including prompt referral to a
specialized center, immediate onset of ATRA and chemotherapy, treatment of
coagulopathy with adequate platelet transfusional support, and prevention and
management of differentiation syndrome. Strategies to reduce relapse rate include
chemotherapy reinforcement with cytarabine and/or arsenic trioxide during
consolidation, prolonged maintenance treatment, especially with ATRA and low dose
chemotherapy, and possibly, although this is debated, intrathecal prophylaxis to
prevent central nervous system relapse. By applying those measures, outcomes of
patients with high risk APL have considerably improved, and have become in many
studies almost similar to those of standard risk APL patients.
PMID- 22084654
TI - Takayasu arteritis initially mimicking infective endocarditis.
AB - Takayasu's arteritis (TA) is a chronic inflammatory disease that affects large
vessels, predominantly the aorta and its main branches, leading to vessel wall
thickening, fibrosis and stenosis. Cardiac and vascular symptoms are also
commonly present at disease onset. In TA with thoracic or abdominal involvement,
although murmur can be present at physical examination, the pulse difference may
be absent. Here, we report a case of TA who initially resembled infective
endocarditis and had widespread thoracic murmur.
PMID- 22084655
TI - Possible clinical failure of artemether-lumefantrine in an italian traveler with
uncomplicated falciparum malaria.
AB - Artemisinin-combination therapies (ACTs) are recommended for the treatment of
uncomplicated malaria in endemic areas with multidrug resistant Plasmodium
falciparum. We report a case of possible artemether-lumefantrine clinical failure
in an Italian traveler with uncomplicated P. falciparum malaria imported from
Democratic Republic of Congo.
PMID- 22084656
TI - Cauda Equina Enhancing Lesion in an HIV-Infected Patient. Case Report and
Literature Review.
AB - We report the case of an HIV-infected young men with neuro-toxoplasmosis
localized in the spinal cord. The patient received chemotherapy and immunotherapy
for Burkitt lymphoma one year before. At the time of the diagnosis of
toxoplasmosis, he was on prophylaxis with trimethoprim and sulfamethoxazole and
in complete remission of Burkitt lymphoma. The CD4+ T cell count was 270/MUl and
the HIV viremia was undetectable. These findings suggest that in this patient,
the immunodeficiency promoting the neurologic toxoplasmosis arose more from
previous immuno-chemotherapy than from the HIV-infection itself. On the whole,
this case highlights that the risk stratification for opportunistic infections of
HIV-infected patients should carefully consider their previous medical history
and therapies received.
PMID- 22084657
TI - Simplifying thromboprophylaxis could improve outcomes in orthopaedic surgery.
AB - Venous thromboembolism is a serious complication after total hip or knee surgery,
and there is a well-established clinical need for thromboprophylaxis. However, in
a large number of cases adequate administration of thromboprophylaxis does not
seem to occur after total joint arthroplasty. A major challenge in the management
of thromboprophylaxis is to balance the benefits of treatment with the risks,
including bleeding complications. Another potential barrier to the optimal use of
thromboprophylaxis could be the inconvenience of currently available agents. Many
surgeons therefore adopt a conservative approach towards thromboprophylaxis.
Simplifying therapy with more convenient, efficacious, and safe anticoagulants
could change attitudes to anticoagulant use and improve adherence to
thromboprophylactic guidelines.
PMID- 22084658
TI - New oral anticoagulants for thromboprophylaxis after elective total hip and knee
arthroplasty.
AB - Anticoagulant drugs reduce the risk of venous thromboembolic events after total
hip and knee arthroplasty. However, the use of current drugs, such as low
molecular weight heparins, is hampered by their subcutaneous route of
administration. The use of vitamin K antagonists is hampered by the requirement
for routine coagulation monitoring and dose titration to provide effective
anticoagulation without an increased risk of bleeding and numerous food and drug
interactions. Clearly, there is a need for new oral, fixed-dose anticoagulant
drugs that do not require coagulation monitoring, while demonstrating similar or
better efficacy and safety profiles when compared with current agents.
PMID- 22084659
TI - Thrombin a-chain: activation remnant or allosteric effector?
AB - Although prothrombin is one of the most widely studied enzymes in biology, the
role of the thrombin A-chain has been neglected in comparison to the other
domains. This paper summarizes the current data on the prothrombin catalytic
domain A-chain region and the subsequent thrombin A-chain. Attention is given to
biochemical characterization of naturally occurring prothrombin A-chain mutations
and alanine scanning mutants in this region. While originally considered to be
simply an activation remnant with little physiologic function, the thrombin A
chain is now thought to play a role as an allosteric effector in enzymatic
reactions and may also be a structural scaffold to stabilize the protease domain.
PMID- 22084660
TI - Different Finite Durations of Anticoagulation and Outcomes following Idiopathic
Venous Thromboembolism: A Meta-Analysis.
AB - Introduction. Controversy remains over the optimal length of anticoagulation
following idiopathic venous thromboembolism. We sought to determine if a longer,
finite course of anticoagulation offered additional benefit over a short course
in the initial treatment of the first episode of idiopathic venous
thromboembolism. Data Extraction. Rates of deep venous thrombosis, pulmonary
embolism, combined venous thromboembolism, major bleeding, and mortality were
extracted from prospective trials enrolling patients with first time, idiopathic
venous thromboembolism. Data was pooled using random effects meta-regression.
Results. Ten trials, with a total of 3225 patients, met inclusion criteria. For
each additional month of initial anticoagulation, once therapy was stopped,
recurrent venous thromboembolism (0.03 (95% CI: -0.28 to 0.35); P = .24),
mortality (-0.10 (95% CI: -0.24 to 0.04); P = .15), and major bleeding (-0.01
(95% CI: -0.05 to 0.02); P = .44) rates measured in percent per patient years,
did not significantly change. CONCLUSIONS: Patients with an initial idiopathic
venous thromboembolism should be treated with 3 to 6 months of secondary
prophylaxis with vitamin K antagonists. At that time, a decision between
continuing with indefinite therapy can be made, but there is no benefit to a
longer (but finite) course of therapy.
PMID- 22084661
TI - The Potential Value of Near Patient Platelet Function Testing in PCI: Randomised
Comparison of 600 mg versus 900 mg Clopidogrel Loading Doses.
AB - Whilst poor response to clopidogrel is associated with adverse outcomes
uncertainty exists as to how (a) response should be assessed and (b) poor
responders managed. We utilised VerifyNow P2Y12 and short Thrombelastography
(TEG) to assess 900 mg doses in (i) initial poor responders to 600 mg and (ii) in
a randomised comparison with 600 mg. Blood was taken before and six hours post
clopidogrel in (i) 30 volunteers receiving 600 mg (poor responders received 900
mg > two weeks later) and (ii) 60 patients randomized 1 : 1 to 600 mg or 900 mg
doses. Poor response was defined as TEG %Clotting Inhibition (%CIn) or VerifyNow
Platelet Response Unit (PRU) reduction <30%. (i) Poor responders to 600 mg had
greater PRU reduction (45.0 versus 20.1%, P = 0.03) and greater %CIn (22.9 versus
-15.1%, P = 0.01) after 900 mg but (ii) there were no significant differences
between the patient groups. Near-patient assessment of response to clopidogrel is
feasible and clinically useful. Whilst ineffective on a population basis 900 mg
doses increase the effect of clopidogrel in initial poor responders.
PMID- 22084662
TI - Urinary Prothrombin Fragment 1+2 in relation to Development of Non-Symptomatic
and Symptomatic Venous Thromboembolic Events following Total Knee Replacement.
AB - Prothrombin fragment 1+2 is excreted in urine (uF1+2) as a result of in vivo
thrombin generation and can be a marker of coagulation status after an operative
procedure. This study compared uF1+2 levels in patients with symptomatic and non
symptomatic venous thromboembolism (VTE) after total knee replacement (TKR) and
in event-free sex- and age-matched controls. Significantly higher median uF1+2
levels were seen in the VTE patients on days 1, 3, and the day of venography
(mostly day 7) after TKR compared with controls. The uF1+2 levels tended to be
high in some patients with symptomatic VTE; however, the discriminatory efficacy
of the test could not be evaluated. In conclusion, this study showed that
patients with VTE tend to have significantly higher uF1+2 levels compared with
patients without events between days 1 and 7 after TKR surgery. Measurement of
uF1+2 could provide a simple, non-invasive clinical test to identify patients at
risk of VTE.
PMID- 22084663
TI - Deep vein thrombosis prophylaxis in trauma patients.
AB - Deep vein thrombosis (DVT) and pulmonary embolism (PE) are known collectively as
venous thromboembolism (VTE). Venous thromboembolic events are common and
potentially life-threatening complications following trauma with an incidence of
5 to 63%. DVT prophylaxis is essential in the management of trauma patients.
Currently, the optimal VTE prophylaxis strategy for trauma patients is unknown.
Traditionally, pelvic and lower extremity fractures, head injury, and prolonged
immobilization have been considered risk factors for VTE; however it is unclear
which combination of risk factors defines a high-risk group. Modalities available
for trauma patient thromboprophylaxis are classified into pharmacologic
anticoagulation, mechanical prophylaxis, and inferior vena cava (IVC) filters.
The available pharmacologic agents include low-dose heparin (LDH), low molecular
weight heparin (LMWH), and factor Xa inhibitors. Mechanical prophylaxis methods
include graduated compression stockings (GCSs), pneumatic compression devices
(PCDs), and A-V foot pumps. IVCs are traditionally used in high risk patients in
whom pharmacological prophylaxis is contraindicated. Both EAST and ACCP
guidelines recommend primary use of LMWHs in trauma patients; however there are
still controversies regarding the definitive VTE prophylaxis in trauma patients.
Large randomized prospective clinical studies would be required to provide level
I evidence to define the optimal VTE prophylaxis in trauma patients.
PMID- 22084664
TI - Clinical use of the low-molecular-weight heparins in cancer patients: focus on
the improved patient outcomes.
AB - Patients with malignant neoplastic diseases represent a high-risk population
relative to thromboembolic disease. With the advent of improved and accessible
diagnostic technology, for example, ultrasound and/or spiral CT scans, timely
diagnosis of venous thromboembolic events (VTE) is readily accomplished. The
introduction of low-molecular-weight heparin (LMWH) approximately two decades ago
(in contrast to unfractionated heparin and vitamin K antagonists) has provided a
class of agents with a favorable therapeutic index. In the review to follow, the
literature regarding the use of LMWH in oncologic patient populations is
summarized. Topics addressed include prophylaxis, and treatment as well as
consideration of the potential anti-neoplastic properties of this class of drugs.
PMID- 22084666
TI - Incidence of Deep Vein Thrombosis in Hospitalized Chinese Medical Patients and
the Impact of DVT Prophylaxis.
AB - Objective. To evaluate the incidence of deep vein thrombosis in hospitalized
Chinese medical patients and the impact of DVT prophylaxis. Methods. All cases of
confirmed proximal DVT from 1 January 2005 to 31 December 2008 were reviewed
retrospectively to determine the presence of risk factors and whether DVT
developed: during hospitalization in medical wards or in case of readmission with
a diagnosis of DVT within 14 days of discharge from a recent admission to medical
wards. The impact of prophylaxis will be estimated by comparing the annual
incidence of proximal DVT among medical patients hospitalized from 2005 to 2007
with that of 2008 (DVT prophylaxis commonly used). Results. From 1 January 2005
to 31 December 2008, 3938 Doppler ultrasound studies were performed for suspected
DVT. Proximal DVT was diagnosed in 687 patients. The calculated incidence of
proximal DVT among medical patients hospitalized for at least two days was 1.8%,
2%, and 1.7% for the year 2005, 2006, and 2007, respectively. The incidence was
1.1% for 2008 (P < .001). Conclusion. Proximal DVT was substantial in Chinese
medical patients, and DVT prophylaxis might reduce such risk.
PMID- 22084665
TI - Thrombocytosis: diagnostic evaluation, thrombotic risk stratification, and risk
based management strategies.
AB - Thrombocytosis is a commonly encountered clinical scenario, with a large
proportion of cases discovered incidentally. The differential diagnosis for
thrombocytosis is broad and the diagnostic process can be challenging.
Thrombocytosis can be spurious, attributed to a reactive process or due to clonal
disorder. This distinction is important as it carries implications for
evaluation, prognosis, and treatment. Clonal thrombocytosis associated with the
myeloproliferative neoplasms, especially essential thrombocythemia and
polycythemia vera, carries a unique prognostic profile, with a markedly increased
risk of thrombosis. This risk is the driving factor behind treatment strategies
in these disorders. Clinical trials utilizing targeted therapies in
thrombocytosis are ongoing with new therapeutic targets waiting to be explored.
This paper will outline the mechanisms underlying thrombocytosis, the diagnostic
evaluation of thrombocytosis, complications of thrombocytosis with a special
focus on thrombotic risk as well as treatment options for clonal processes
leading to thrombocytosis, including essential thrombocythemia and polycythemia
vera.
PMID- 22084667
TI - The use of a scoring system to guide thromboprophylaxis in a high-risk pregnant
population.
AB - Guidelines for thromboprophylaxis in pregnancy are usually based upon clinical
observations and expert opinion. For optimal impact, their use must be attended
by consistency in the advice given to women. In this observational study, we
evaluated the performance of a scoring system, used as a guide for clinicians
administering dalteparin to pregnant women at increased risk of venous
thromboembolism. The work included 47 women treated with dalteparin prior to
adoption of the scoring system and 58 women treated with dalteparin after its
adoption. The indication for thromboprophylaxis was recorded in each case
together with details of the regimen employed, obstetric, and haematological
outcomes. The main outcome measure was to determine whether consistency improved
after adoption of the scoring system. We also recorded the occurrence of any new
venous thromboembolism, haemorrhage, the use of regional anaesthesia during
labour, evidence of allergy, and thrombocytopenia. We found that use of the
scoring system improved the consistency of advice and increased the mean duration
of thromboprophylaxis. None of the subjects suffered venous thromboembolism after
assessment using the scoring system. There was no increase in obstetric or
anaesthetic morbidity when dalteparin was given antenatally period and no
evidence of heparin-induced thrombocytopenia.
PMID- 22084668
TI - The CYTO-PV: A Large-Scale Trial Testing the Intensity of CYTOreductive Therapy
to Prevent Cardiovascular Events in Patients with Polycythemia Vera.
AB - Polycythemia vera (PV) is a chronic myeloproliferative disorder whose major
morbidity and mortality are thrombohaemorragic events. Current guidelines advise
maintaining hematocrit (HCT) level below 45% in males and 42% in females. Such
targets lean on pathophysiological reasoning, while evidence from ECLAP and PVSG
01, the two largest prospective studies in this disease, suggests no difference
in the rate of thrombosis in patients maintained at different HCT values below
50%-52%. Cytoreductive therapy in PV (CYTO-PV) is a multicenter, randomized, and
controlled trial assess the benefit/risk profile of cytoreductive therapy with
phlebotomy or HU aimed at maintaining HCT < 45% versus maintaining HCT in the
range 45%-50%. CYTO-PV is being conducted in the framework of the Gruppo Italiano
Malattie Ematologiche nell'Adulto (GIMEMA) and is funded by the Italian Drug
Agency (AIFA). It is an independent trial with broad recruitment criteria to
mimic clinical practice. We describe here the study and its advancement status.
Conclusions. Clinical research in rare disease can be carried out with limited
funds, provided a research hypothesis is felt as clinically relevant by a
scientific community willing to share knowledge on the outcome of clinical
practice, thus producing scientific results useful to improve treatment and
prognosis of patients.
PMID- 22084669
TI - Venous thromboembolism following colorectal surgery for suspected or confirmed
malignancy.
AB - Surgery for colorectal cancer conveys a high risk of venous thromboembolism
(VTE). The effect of thromboprophylactic regimens of varying duration on the
incidence of VTE was assessed in 417 patients undergoing surgery between 2005 and
2009 for colorectal cancer. Low-dose unfractionated heparin (LDUH) was used in
52.7% of patients, low-molecular-weight heparin (LMWH) in 35.3%, and 10.7%
received LDUH followed by LMWH. Pharmacological prophylaxis was continued after
hospitalisation in 31.6%. Major bleeding occurred in 4% of patients. The 30-day
mortality rate was 1.9%. The incidence of symptomatic VTE from hospital admission
for surgery to 12 months after was 2.4%. There were no in-hospital VTE events.
The majority of events occurred in the three-month period after discharge, but
there were VTE events up to 12 months, especially in patients with more advanced
cancer and multiple comorbidities.
PMID- 22084670
TI - Gender and Vascular Complications in the JAK2 V617F-Positive Myeloproliferative
Neoplasms.
AB - We previously found that gender influenced the JAK2 V617F allele burden, but it
is unknown whether this gender difference in molecular epidemiology influences
complications in the myeloproliferative neoplasms (MPNs). Historically, vascular
complications represented the most common cause of mortality in polycythemia vera
and essential thrombocytosis and contributed to morbidity in primary
myelofibrosis. To determine the influence of gender on vascular complications, we
retrospectively analyzed associations between gender and vascular complications.
Despite their younger age, less prevalent dyslipidemia or smoking history, lower
white blood counts, and lower JAK2 V617F allele burden, women had higher rates of
abdominal venous thrombosis and comparable rates of all vascular complications.
Vascular risk is currently not easily stratified by MPN-disease burden or
traditional risk factors. Our analysis contributes to growing literature
emphasizing gender differences in the MPN and further supports the important
impact of individual and host variation on MPN clinical manifestations, and
especially vascular risk.
PMID- 22084671
TI - Emergency Physician Performed Ultrasound for DVT Evaluation.
AB - Deep vein thrombosis is a common condition that is often difficult to diagnose
and may be lethal when allowed to progress. However, early implementation of
treatment substantially improves the disease prognosis. Therefore, care must be
taken to both acquire an accurate differential diagnosis for patients with
symptoms as well as to screen at-risk asymptomatic individuals. Many diagnostic
tools exist to evaluate deep vein thrombosis. Compression ultrasonography is
currently the most effective diagnostic tool in the emergency department, shown
to be highly accurate at minimal expense. However, limited availability of
ultrasound technicians may result in delayed imaging or in a decision not to
image low-risk cases. Many studies support emergency physiciansas capable of
accurately diagnosing deep vein thrombosis using bedside ultrasound. Further
integration of ultrasound into the training of emergency physicians for use in
evaluating deep vein thrombosis will improve patient care and cost-effective
treatment.
PMID- 22084672
TI - Treatment of Deep Vein Thrombosis with Continuous IV Infusion of LMWH: A
Retrospective Study in 32 Children.
AB - Thirty-two consecutive children aged 0-18 years with VTE treated with LMWH
administered as a continuous infusion (CI) were identified at the Children's
University Hospital Brno. The treatment led to at least partial resolution of the
thrombus within two weeks in 85% of patients. There were no adverse events or
increased bleeding reported in any patients. No recurrences were observed during
a followup period of 6 months. Although continuous infusion should not replace
subcutaneous (SC) administration of LMWH, CI appeared to be safe and efficient
and may provide an alternate method of administering LMWH in a subset of the
paediatric population where SC administration may not be feasible. Further
prospective studies are needed to support the promising findings of our pilot
clinical observation.
PMID- 22084673
TI - Are we missing post-thrombotic syndrome syndrome? An orthopaedic perspective in
lower limb arthroplasty.
AB - 2-5% of patients undergoing hip or knee arthroplasty develop a symptomatic DVT;
there is evidence to suggest that without prophylaxis 40-60% of patients have a
subclinical DVT. This can be reduced by around half with appropriate
thromboprophylaxis; there still remains a significant incidence of subclinical
DVT. Therefore, it is important to know, as orthopaedic surgeons, if our patients
undergoing large joint arthroplasty are being adversely affected. Post-thrombotic
syndrome (PTS) is usually associated with symptomatic DVT, and the purpose of
this paper is to address if asymptomatic DVT is also associated with an increased
risk of PTS. The majority of evidence gathered does not support a link;
therefore, there is no evidence to warrant a change in practice to warn patients
of a potential risk or to routinely screen asymptomatic patients.
PMID- 22084674
TI - Postthrombotic syndrome: surgical possibilities.
AB - Postthrombotic syndrome (PTS) is a late outcome of deep vein thrombosis
characterized by cramping pain, swelling, hyperpigmentation, eczema,
lipodermatosclerosis, and ulceration in the leg due to increased venous outflow
resistance and reflux venous flow. Newer surgical and endovascular interventions
have a promising result in the management of postthrombotic syndrome. Early
surgical or endovascular interventions in appropriately selected patients may
decrease the incidence of recurrent ulceration and skin changes and provide a
better quality of life. Duplex and IVUS (intravenous ultrasound) along with
venography serve as cornerstone investigative tools for assessment of reflux and
obstruction. Venous obstruction, if present, should be addressed earlier than
reflux. It requires endovenous stenting, endophlebectomy, or open bypass
procedures. Venous stripping, foam sclerotherapy, radiofrequency, or laser
ablation are used to abolish superficial venous reflux. Valvuloplasty procedures
are useful for incompetent but intact deep venous valves, while transposition or
axillary vein autotransplantation is done for completely destroyed valves.
PMID- 22084675
TI - Heat shock protein: hard worker or bad offender for gastric diseases.
AB - Heat shock proteins (HSPs) have core housekeeping functions in the cells where
they are built-in components of folding, signal transduction pathways, and
quality control functions for which they proofread the structure of proteins and
repair misfolded conformers. Helicobacter pylori (H. pylori) infection leads to
significant inflammations in the gastric mucosa, which is closely associated with
development of either precancerous lesion including chronic atrophic gastritis or
gastric cancer in addition to, peptic ulcer disease, and mucosa-associated
lymphoid tissue (MALT) lymphoma. Therefore, the association between H. pylori
infection and role of HSP has been focused as an important issue because there
had been rather conflicting publications showing that HSPs as a good worker for
defense against H. pylori infection, whereas HSPs as a bad offender contributing
to the progression of H. pylori-associated gastric carcinogenesis in addition to
aggravation of gastric inflammation. In this paper regarding proteomic discovery
of HSPs related to H. pylori-associated gastric diseases, we introduce several
evidences obtained from proteomic analysis dealing with friend or foe role of HSP
in H. pylori infection from a cellular level to human diseases. The implication
of HSPs in alcoholic or NSAIDs-induced gastritis and the intervening of HSPs in
biological changes exemplified with TGF-beta signaling, key tumor suppressor
growth factors regulating inflammation, immune function, and carcinogenesis were
further introduced.
PMID- 22084676
TI - p73-Binding Partners and Their Functional Significance.
AB - p73 is one of the tumor-suppressor p53 family of nuclear transcription factor. As
expected from the structural similarity between p53 and p73, p73 has a tumor
suppressive function. However, p73 was rarely mutated in human primary tumors.
Under normal physiological conditions, p73 is kept at an extremely low level to
allow cells normal growth. In response to a certain subset of DNA damages, p73 is
induced dramatically and transactivates an overlapping set of p53-target genes
implicated in the promotion of cell cycle arrest and/or apoptotic cell death.
Cells undergo cell cycle arrest and/or apoptotic cell death depending on the type
and strength of DNA damages. p73 is regulated largely through the
posttranslational modifications such as phosphorylation and acetylation. These
chemical modifications are tightly linked to direct protein-protein interactions.
In the present paper, the authors describe the functional significance of the
protein-protein interactions in the regulation of proapoptotic p73.
PMID- 22084677
TI - Heat shock proteins in the human eye.
AB - Heat shock proteins (Hsps) are believed to primarily protect and maintain cell
viability under stressful conditions such as those occurring during thermal and
oxidative challenges chiefly by refolding and stabilizing proteins. Hsps are
found throughout the various tissues of the eye where they are thought to confer
protection from disease states such as cataract, glaucoma, and cancer. This
minireview summarizes the placement, properties, and roles of Hsps in the eye and
aims to provide a better comprehension of their function and involvement in
ocular disease pathogenesis.
PMID- 22084678
TI - Proteomic Signatures of the Zebrafish (Danio rerio) Embryo: Sensitivity and
Specificity in Toxicity Assessment of Chemicals.
AB - Studies using embryos of the zebrafish Danio rerio (DarT) instead of adult fish
for characterising the (eco-) toxic potential of chemicals have been proposed as
animal replacing methods. Effect analysis at the molecular level might enhance
sensitivity, specificity, and predictive value of the embryonal studies. The
present paper aimed to test the potential of toxicoproteomics with zebrafish
eleutheroembryos for sensitive and specific toxicity assessment. 2-DE-based
toxicoproteomics was performed applying low-dose (EC(10)) exposure for 48 h with
three-model substances Rotenone, 4,6-dinitro-o-cresol (DNOC) and Diclofenac. By
multivariate "pattern-only" PCA and univariate statistical analyses, alterations
in the embryonal proteome were detectable in nonetheless visibly intact organisms
and treatment with the three substances was distinguishable at the molecular
level. Toxicoproteomics enabled the enhancement of sensitivity and specificity of
the embryonal toxicity assay and bear the potency to identify protein markers
serving as general stress markers and early diagnosis of toxic stress.
PMID- 22084679
TI - Expression of Heat Shock and Other Stress Response Proteins in Ticks and Cultured
Tick Cells in Response to Anaplasma spp. Infection and Heat Shock.
AB - Ticks are ectoparasites of animals and humans that serve as vectors of Anaplasma
and other pathogens that affect humans and animals worldwide. Ticks and the
pathogens that they transmit have coevolved molecular interactions involving
genetic traits of both the tick and the pathogen that mediate their development
and survival. In this paper, the expression of heat shock proteins (HSPs) and
other stress response proteins (SRPs) was characterized in ticks and cultured
tick cells by proteomics and transcriptomics analyses in response to Anaplasma
spp. infection and heat shock. The results of these studies demonstrated that the
stress response was activated in ticks and cultured tick cells after Anaplasma
spp. infection and heat shock. However, in the natural vector-pathogen
relationship, HSPs and other SRPs were not strongly activated, which likely
resulted from tick-pathogen coevolution. These results also demonstrated pathogen
and tick-specific differences in the expression of HSPs and other SRPs in ticks
and cultured tick cells infected with Anaplasma spp. and suggested the existence
of post-transcriptional mechanisms induced by Anaplasma spp. to control tick
response to infection. These results illustrated the complexity of the stress
response in ticks and suggested a function for the HSPs and other SRPs during
Anaplasma spp. infection.
PMID- 22084680
TI - Comparative proteomic analysis of proteins involved in the tumorigenic process of
seminal vesicle carcinoma in transgenic mice.
AB - We studied the seminal vesicle secretion (SVS) of transgenic mice by using one
dimensional gel electrophoresis combined with LTQ-FT ICR MS analysis to explore
protein expression profiles. Using unique peptide numbers as a cut-off criterion,
79 proteins were identified with high confidence in the SVS proteome. Label-free
quantitative analysis was performed by using the IDEAL_Q software program.
Furthermore, western blot assays were performed to validate the expression of
seminal vesicle proteins. Sulfhydryl oxidase 1, glia-derived nexin, SVS1, SVS3,
and SVS6 showed overexpression in SVS during cancer development. With high
sequence similarity to human semenogelin, SVS2 is the most abundance protein in
SVS and is dramatically decreased during the tumorigenic process. Our results
indicate that these protein candidates could serve as potential targets for
monitoring seminal vesicle carcinoma. Moreover, this information can provide
clues for investigating seminal vesicle secretion-containing seminal plasma for
related human diseases.
PMID- 22084681
TI - Cladribine and Fludarabine Nucleoside Change the Levels of CD Antigens on B
Lymphoproliferative Disorders.
AB - The purine analogs, fludarabine nucleoside (FdA), and cladribine (CdA) (1 MUM, 24
hours), significantly changed the levels of some surface antigens on the human B
cell lines MEC2 and Raji. Changes in the surface proteins were identified using a
Cluster of Differentiation (CD) antibody microarray that captures live cells and
confirmed by flow cytometry. For Raji cells, CdA up-regulated CD10, CD54, CD80,
and CD86, with repression of CD22, while FdA up-regulated CD20, CD54, CD80, CD86
and CD95. For MEC2 cells, CdA up-regulated CD11a, CD20, CD43, CD45, CD52, CD54,
CD62L, CD80, CD86, and CD95, but FdA had no effect. Up-regulation of particular
CD antigens induced on a B-cell lymphoproliferative disorder by a purine analog
could provide targets for therapeutic antibodies with synergistic cell killing.
PMID- 22084682
TI - Proteomics in melanoma biomarker discovery: great potential, many obstacles.
AB - The present clinical staging of melanoma stratifies patients into heterogeneous
groups, resulting in the application of aggressive therapies to large
populations, diluting impact and increasing toxicity. To move to a new era of
therapeutic decisions based on highly specific tumor profiling, the discovery and
validation of new prognostic and predictive biomarkers in melanoma is critical.
Genomic profiling, which is showing promise in other solid tumors, requires fresh
tissue from a large number of primary tumors, and thus faces a unique challenge
in melanoma. For this and other reasons, proteomics appears to be an ideal choice
for the discovery of new melanoma biomarkers. Several approaches to proteomics
have been utilized in the search for clinically relevant biomarkers, but to date
the results have been relatively limited. This article will review the present
work using both tissue and serum proteomics in the search for melanoma
biomarkers, highlighting both the relative advantages and disadvantages of each
approach. In addition, we review several of the major obstacles that need to be
overcome in order to advance the field.
PMID- 22084684
TI - Protein biomarkers for the early detection of breast cancer.
AB - Advances in breast cancer control will be greatly aided by early detection so as
to diagnose and treat breast cancer in its preinvasive state prior to metastasis.
For breast cancer, the second leading cause of cancer-related death among women
in the United States, early detection does allow for increased treatment options,
including surgical resection, with a corresponding better patient response.
Unfortunately, however, many patients' tumors are diagnosed following metastasis,
thus making it more difficult to successfully treat the malignancy. There are, at
present, no existing validated plasma/serum biomarkers for breast cancer. Only a
few biomarkers (such as HER-2/neu, estrogen receptor, and progesterone receptor)
have utility for diagnosis and prognosis. Thus, there is a great need for new
biomarkers for breast cancer. This paper will focus on the identification of new
serum protein biomarkers with utility for the early detection of breast cancer.
PMID- 22084683
TI - Secretome Analysis of Skeletal Myogenesis Using SILAC and Shotgun Proteomics.
AB - Myogenesis, the formation of skeletal muscle, is a multistep event that commences
with myoblast proliferation, followed by cell-cycle arrest, and finally the
formation of multinucleated myotubes via fusion of mononucleated myoblasts. Each
step is orchestrated by well-documented intracellular factors, such as
cytoplasmic signalling molecules and nuclear transcription factors. Regardless,
the key step in getting a more comprehensive understanding of the regulation of
myogenesis is to explore the extracellular factors that are capable of eliciting
the downstream intracellular factors. This could further provide valuable insight
into the acute cellular response to extrinsic cues in maintaining normal muscle
development. In this paper, we survey the intracellular factors that respond to
extracellular cues that are responsible for the cascades of events during
myogenesis: myoblast proliferation, cell-cycle arrest of myoblasts, and
differentiation of myoblasts into myotubes. This focus on extracellular
perspective of muscle development illustrates our mass spectrometry-based
proteomic approaches to identify differentially expressed secreted factors during
skeletal myogenesis.
PMID- 22084685
TI - Proteomics in pancreatic cancer research.
AB - Pancreatic cancer is a highly aggressive malignancy with a poor prognosis and
deeply affects the life of people. Therefore, the earlier diagnosis and better
treatments are urgently needed. In recent years, the proteomic technologies are
well established and growing rapidly and have been widely applied in clinical
applications, especially in pancreatic cancer research. In this paper, we attempt
to discuss the development of current proteomic technologies and the application
of proteomics to the field of pancreatic cancer research. This will explore the
potential perspective in revealing pathogenesis, making the diagnosis earlier and
treatment.
PMID- 22084686
TI - Phosphorylation: the molecular switch of double-strand break repair.
AB - Repair of double-stranded breaks (DSBs) is vital to maintaining genomic
stability. In mammalian cells, DSBs are resolved in one of the following complex
repair pathways: nonhomologous end-joining (NHEJ), homologous recombination (HR),
or the inclusive DNA damage response (DDR). These repair pathways rely on factors
that utilize reversible phosphorylation of proteins as molecular switches to
regulate DNA repair. Many of these molecular switches overlap and play key roles
in multiple pathways. For example, the NHEJ pathway and the DDR both utilize DNA
PK phosphorylation, whereas the HR pathway mediates repair with phosphorylation
of RPA2, BRCA1, and BRCA2. Also, the DDR pathway utilizes the kinases ATM and
ATR, as well as the phosphorylation of H2AX and MDC1. Together, these molecular
switches regulate repair of DSBs by aiding in DSB recognition, pathway
initiation, recruitment of repair factors, and the maintenance of repair
mechanisms.
PMID- 22084687
TI - Clinical utility of serum autoantibodies detected by protein microarray in
melanoma.
AB - Better prognostic and predictive markers in melanoma are needed to select
patients for therapy. We utilized a dual-lectin affinity chromatography and a
natural protein microarray-based analysis to select a subproteome of target
glycoproteins to profile serum antibodies against melanoma associated antigens
that may predict nodal positivity. We identified 5 melanoma-associated antigens
using this microarray coupled to mass spectrometry; GRP75, GRP94, ASAH1, CTSD and
LDHB. We evaluated their predictive value for nodal status adjusting for age,
gender, Breslow thickness, mitotic rate and ulceration using standard logistic
regression. After adjustment, ASAH1, CTSD and LDHB were significantly negatively
associated with nodal status (P = 0.0008) and GRP94 was significantly positively
associated (P = 0.014). Our best multivariate model for nodal positivity included
Breslow thickness, presence of serum anti-ASAH1, anti-LDHB or anti-CTSD, and
presence of serum anti-GRP94, with an area under the ROC curve of 0.869. If
validated, these results show promise for selecting clinically node negative
patients for SLN biopsy. In addition, there is strong potential for glycoprotein
microarray to screen serum autoantibodies that may identify patients at high risk
of distant metastases or those likely or unlikely to respond to treatment, and
these proteins may serve as targets for intervention.
PMID- 22084688
TI - PolyAlign: A Versatile LC-MS Data Alignment Tool for Landmark-Selected and
Automated Use.
AB - We present a versatile user-friendly software tool, PolyAlign, for the alignment
of multiple LC-MS signal maps with the option of manual landmark setting or
automated alignment. One of the spectral images is selected as a reference map,
and after manually setting the landmarks, the program warps the images using
either polynomial or Hermite transformation. The software provides an option for
automated landmark finding. The software includes a very fast zoom-in function
synchronized between the images, which facilitate detecting correspondences
between the adjacent images. Such an interactive visual process enables the
analyst to decide when the alignment is satisfactory and to correct known
irregularities. We demonstrate that the software provides significant
improvements in the alignment of LC-MALDI data, with 10-15 landmark pairs, and it
is also applicable to correcting electrospray LC-MS data. The results with
practical data show substantial improvement in peak alignment compared to MZmine,
which was among the best analysis packages in a recent assessment. The PolyAlign
software is freely available and easily accessible as an integrated component of
the popular MZmine software, and also as a simpler stand-alone Perl
implementation to preview data and apply landmark directed polynomial
transformation.
PMID- 22084689
TI - Heat shock proteins in association with heat tolerance in grasses.
AB - The grass family Poaceae includes annual species cultivated as major grain crops
and perennial species cultivated as forage or turf grasses. Heat stress is a
primary factor limiting growth and productivity of cool-season grass species and
is becoming a more significant problem in the context of global warming. Plants
have developed various mechanisms in heat-stress adaptation, including changes in
protein metabolism such as the induction of heat shock proteins (HSPs). This
paper summarizes the structure and function of major HSPs, recent research
progress on the association of HSPs with grass tolerance to heat stress, and
incorporation of HSPs in heat-tolerant grass breeding.
PMID- 22084690
TI - Proteomic Characterization of Cerebrospinal Fluid from Ataxia-Telangiectasia (A
T) Patients Using a LC/MS-Based Label-Free Protein Quantification Technology.
AB - Cerebrospinal fluid (CSF) has been used for biomarker discovery of
neurodegenerative diseases in humans since biological changes in the brain can be
seen in this biofluid. Inactivation of A-T-mutated protein (ATM), a
multifunctional protein kinase, is responsible for A-T, yet biochemical studies
have not succeeded in conclusively identifying the molecular mechanism(s)
underlying the neurodegeneration seen in A-T patients or the proteins that can be
used as biomarkers for neurologic assessment of A-T or as potential therapeutic
targets. In this study, we applied a high-throughput LC/MS-based label-free
protein quantification technology to quantitatively characterize the proteins in
CSF samples in order to identify differentially expressed proteins that can serve
as potential biomarker candidates for A-T. Among 204 identified CSF proteins with
high peptide-identification confidence, thirteen showed significant protein
expression changes. Bioinformatic analysis revealed that these 13 proteins are
either involved in neurodegenerative disorders or cancer. Future molecular and
functional characterization of these proteins would provide more insights into
the potential therapeutic targets for the treatment of A-T and the biomarkers
that can be used to monitor or predict A-T disease progression. Clinical
validation studies are required before any of these proteins can be developed
into clinically useful biomarkers.
PMID- 22084691
TI - Glycoproteomics-based identification of cancer biomarkers.
AB - Protein glycosylation is one of the most common posttranslational modifications
in mammalian cells. It is involved in many biological pathways and molecular
functions and is well suited for proteomics-based disease investigations.
Aberrant protein glycosylation may be associated with disease processes. Specific
glycoforms of glycoproteins may serve as potential biomarkers for the early
detection of disease or as biomarkers for the evaluation of therapeutic efficacy
for treatment of cancer, diabetes, and other diseases. Recent technological
developments, including lectin affinity chromatography and mass spectrometry,
have provided researchers the ability to obtain detailed information concerning
protein glycosylation. These in-depth investigations, including profiling and
quantifying glycoprotein expression, as well as comprehensive glycan structural
analyses may provide important information leading to the development of disease
related biomarkers. This paper describes methodologies for the detection of
cancer-related glycoprotein and glycan structural alterations and briefly
summarizes several current cancer-related findings.
PMID- 22084692
TI - Venous thromboembolism: classification, risk factors, diagnosis, and management.
AB - Venous thromboembolism (VTE) is categorised as deep venous thrombosis (DVT) and
pulmonary embolism (PE). VTE is associated with high morbidity and causes a huge
financial burden on patients, hospitals, and governments. Both acquired and
hereditary risks factors contribute to VTE. To diagnose VTE, noninvasive cost
effective diagnostic algorithms including clinical probability assessment and D
dimer measurement may be employed followup by compression ultrasonography for
suspected DVT patients and multidetector computed tomography angiography for
suspected PE patients. There are pharmacological and mechanical interventions to
manage and prevent VTE. The pharmacological approaches mainly target pathways in
coagulation cascade nonspecifically: conventional anticoagulants or specifically:
new generation of anticoagulants. Excess bleeding is one of the major risk
factors for pharmacological interventions. Hence, nonpharmacological or
mechanical approaches such as inferior vena cava filters, graduated compression
stockings, and intermittent pneumatic compression devices in combination with
pharmacological interventions or alone may be a good approach to manage VTE.
PMID- 22084693
TI - Hepatitis C virus-related lymphomagenesis in a mouse model.
AB - B cell non-Hodgkin lymphoma is a typical extrahepatic manifestation frequently
associated with hepatitis C virus (HCV) infection. The mechanism by which HCV
infection leads to lymphoproliferative disorder remains unclear. Our group
established HCV transgenic mice that expressed the full HCV genome in B cells
(RzCD19Cre mice). We observed a 25.0% incidence of diffuse large B cell non
Hodgkin lymphomas (22.2% in male and 29.6% in female mice) within 600 days of
birth. Interestingly, RzCD19Cre mice with substantially elevated serum-soluble
interleukin-2 receptor alpha-subunit (sIL-2Ralpha) levels (>1000 pg/mL) developed
B cell lymphomas. Another mouse model of lymphoproliferative disorder was
established by persistent expression of HCV structural proteins through
disruption of interferon regulatory factor-1 (irf-1(_/_)/CN2 mice). Irf
1(_/_)/CN2 mice showed extremely high incidences of lymphomas and
lymphoproliferative disorders. Moreover, these mice showed increased levels of
interleukin (IL)-2, IL-10, and Bcl-2 as well as increased Bcl-2 expression, which
promoted oncogenic transformation of lymphocytes.
PMID- 22084694
TI - POEMS Syndrome Presentation with an Abscess within the Plasmacytoma-A Rare Case
Report.
AB - POEMS Syndrome is a rare cause of demyelinating and axonal mixed neuropathy.
Plasmacytomas are usually seen in POEMS syndrome and can be osseous or
extramedullary. Plasmacytomas presenting as an abscess has not been noted
earlier. Our patient presented with localized hyperpigmented patch on the back
and later developed progressive weakness in upper and lower limbs. Initially
serum and urine protein electrophoresis were normal. The patient was thought to
have Chronic Inflammatory Demyelinating Polyneuropathy and was treated
accordingly without any improvement. Repeat serum protein electrophoresis showed
monoclonal gammopathy. MRI of the back revealed an abscess in the paravertebral
soft tissues reaching up to the skin. Needle biopsy was consistent with
plasmacytoma. Later, he developed a purulent fungating lesion in the lower
midback. Antibiotics were started and local resection was done followed by
radiation. Pathology of the resected mass showed plasmacytoma extensively
involving subcutaneous soft tissue and bone. The patient improved with the
treatment. Cystic plasmacytomas and abscess within the plasmacytoma has not been
reported earlier. Whether abscess formation is part of the disease spectrum due
to infiltration of overlying tissue or is secondary to localized
immunosuppression is unknown. Local treatment of a single plasmacytoma is useful
in ameliorating systemic symptoms.
PMID- 22084695
TI - Relapse of Acute Myeloid Leukemia with t(16;21)(p11;q22) Mimicking Autoimmune
Pancreatitis after Second Allogeneic Bone Marrow Transplantation.
AB - We report the case of a 37-year-old woman who had a relapse of acute myeloid
leukemia (AML) during treatment for chronic graft versus host disease (cGVHD)
after allogeneic bone marrow transplantation. She was originally suspected of
having autoimmune pancreatitis. Relapse of AML often occurs at extramedullary
sites. Whereas the pancreas is rare as an organ of AML relapse, physicians should
be aware that enlargement of the pancreas could be a sign of relapsed AML when
excluding autoimmune pancreatitis, particularly during active cGVHD after
allogeneic stem cell transplantation.
PMID- 22084696
TI - Management of warfarin anticoagulation in patients with fractured neck of femur.
AB - Background. Most orthopaedic units do not have a policy for reversal of
anticoagulation in patients with hip fractures. The aim of this study was to
examine the current practice in a district general hospital and determine
difference in the time to surgery, if any, with cessation of warfarin versus
cessation and treatment with vitamin K. Methods. A retrospective review of the
case notes between January 2005 and December 2008 identified 1797 patients with
fracture neck of femur. Fifty seven (3.2%) patients were on warfarin at the time
of admission. Patients were divided into 2 groups (A and B). Group A patients
(16/57; 28%) were treated with cessation of warfarin only and group B patients
(41; 72%) received pharmacological therapy in addition to stopping warfarin. Time
to surgery between the two groups was compared. Results. The mean INR on
admission was 2.9 (range 1.7-6.5) and prior to surgery 1.4 (range 1.0-2.1).
Thirty eight patients received vitamin K only and 3 patients received fresh
frozen plasma and vitamin K. The average time to surgery was 4.4 days in group A
and 2.4 days in group B. The difference was statistically significant (P < .01).
Conclusion. Reversal of high INR is important to avoid significant delay in
surgery. There is a need for a national policy for reversing warfarin
anticoagulation in patients with hip fractures requiring surgery. Vitamin K is
safe and effective for anticoagulation reversal in hip fracture patients.
PMID- 22084697
TI - Coexistence of plasma cell dyscrasia with prefibrotic stage of primary
myelofibrosis: a case report.
AB - Introduction. Coexistence of myeloproliferative neoplasms with
lymphoproliferative syndromes has been described in the past, whereas plasma cell
dyscrasias seem to be the most common cases. Case Presentation. We present a case
of a 59-year-old Caucasian female of Greek origin who presented with
thrombocytosis. Clinical and laboratory investigation disclosed the presence of a
smoldering myeloma with coexisting histological and molecular characteristics of
primary myelofibrosis. The patient had the acquired point mutation V617F in the
JAK2 gene but not the bcr-abl rearrangement and was treated for myelofibrosis
with subsequent improvement of all haematological parameters without evidence of
myelomatic evolution. Conclusion. We present the first case in the literature of
a smoldering myeloma coexisting with primary myelofibrosis. The underlying
pathogenetic mechanism could be either related to the presence of a pluripotent
neoplastic stem cell capable to differentiate into both lymphoid and myeloid
cells or be related to two separate nosologic entities.
PMID- 22084698
TI - Iron depletion: an ameliorating factor for sickle cell disease?
AB - We report some observations from our laboratory practice that might be important
for the treatment of sickle cell disease (SCD). We describe data from two cases
indicating that iron depletion might have a beneficial effect diminishing the
formation of HbS in favor of HbF, possibly reducing the severity of the disease.
We believe that it would be worthwhile to monitor the course of the disease
comparing cases with identical genotypes with and without iron depletion, and we
advise to consider chelation therapy to reduce iron overload in patients with
SCD.
PMID- 22084699
TI - Extranodal MALT Lymphoma of the Right Triceps Muscle following Influenza Vaccine
Injection: A Rare Case with an Interesting Presentation.
AB - The study describes a case of a 67-year-old female who developed a Stage I E
marginal zone lymphoma of the right triceps muscle 1 month after influenza
vaccination at the same site. She was treated with single modality, involved
field radiation therapy (IFRT) to 4000 cGy in 20 fractions with excellent
response and no evidence of disease after one year followup.
PMID- 22084701
TI - The role of albumin in human toxicology of cobalt: contribution from a clinical
case.
AB - The distribution and adverse effects, especially to optic and acoustic nerves, of
cobalt released from a hip arthroplasty and its association with albumin were
studied. The analysis of cobalt was performed in plasma, whole blood, urine, and
cerebrospinal fluid by inductively coupled plasma mass spectrometry (ICP-MS). The
fraction of albumin binding the metal was determined by colorimetric assay using
dithiothreitol (DTT). In all the biological matrices very high levels of cobalt
were measured, but contrary to expected, a higher concentration in whole blood
than in plasma was observed. The determination of altered albumin confirmed this
hypothesis. This evidence might indicate an alteration in the binding of cobalt
to albumin and a consequent increase in the concentration of the diffusible
(free) fraction of the metal. This appears an interesting starting point for
further investigations for identifying and better understanding cobalt
neurotoxicity, apparently not so frequent in occupational medicine and clinical
practice.
PMID- 22084700
TI - A Systematic Review and Meta-Analysis of Front-line Anthracycline-Based
Chemotherapy Regimens for Peripheral T-Cell Lymphoma.
AB - Anthracycline-based chemotherapy remains standard treatment for peripheral T-cell
lymphoma (PTCL) although its benefits have been questioned. We performed
systematic literature review and meta-analyses examining the complete response
(CR) and overall survival (OS) rates for patients with PTCL. The CR rate for PTCL
patients ranged from 35.9% (95% CI 23.4-50.7%) for enteropathy-type T-cell
lymphoma (ETTL) to 65.8% (95% CI 54.0-75.9%) for anaplastic large cell lymphoma
(ALCL). The 5-year OS was 38.5% (95% CI 35.5-41.6%) for all PTCL patients and
ranged from 20.3% (95% CI 12.5-31.2%) for ETTL to 56.5% (95% CI 42.8-69.2%) for
ALCL. These data suggest that there is marked heterogeneity across PTCL subtypes
in the benefits of anthracycline-based chemotherapy. While anthracyclines produce
CR in half of PTCL patients, this yields reasonable 5-year OS for patients with
ALCL but not for those with PTCL-NOS or ETTL. Novel agents and regimens are
needed to improve outcomes for these patients.
PMID- 22084702
TI - Structural and Functional Characterization of a New Double Variant Haemoglobin
(HbG-Philadelphia/Duarte alpha(2)beta(2)).
AB - WE REPORT THE FIRST CASE OF COSEGREGATION OF TWO HAEMOGLOBINS (HBS): HbG
Philadelphia [alpha68(E17)Asn -> Lys] and HbDuarte [beta62(E6)Ala -> Pro]. The
proband is a young patient heterozygous also for beta degrees -thalassaemia. We
detected exclusively two haemoglobin variants: HbDuarte and HbG
Philadelphia/Duarte. Functional study of the new double variant HbG
Philadelphia/Duarte exhibited an increase in oxygen affinity, with a slight
decrease of cooperativity and Bohr effect. This functional behaviour is
attributed to beta62Ala -> Pro instead of alpha68Asn -> Lys substitution. Indeed,
HbG-Philadelphia isolated in our laboratory from blood cells donor carrier for
this variant is not affected by any functional modification, whereas purified Hb
Duarte showed functional properties very similar to the double variant. NMR and
MD simulation studies confirmed that the presence of Pro instead of Ala at the
beta62 position produces displacement of the E helix and modifications of the
tertiary structure. The substitution alpha68(E17)Asn -> Lys does not cause
significant structural and dynamical modifications of the protein. A possible
structure-based rational of substitution effects is suggested.
PMID- 22084703
TI - Hematological reference values for healthy adults in togo.
AB - The hematological reference values are very important for diagnostic orientation
and treatment decision. The aim of this study was to establish hematological
reference values for healthy adults in Togo. A total of 2571 voluntary blood
donors participated to this study. Only 1349 subjects negative for HIV, HBV, HCV,
malaria, and without hemoglobin abnormalities in electrophoresis and hypochromia
on blood smear, were definitively retained for the study. Median hemoglobin level
was higher in males than females (15.1 g/dL versus 13.0 g/dL, p = 0.000). Median
total WBC (4.2*10(9)/L) and absolute neutrophil counts (1.6*10(9)/L) were similar
by gender. The median lymphocyte counts in males and females were, respectively,
2.1*10(9)/L and 2.2*10(9)/L (p = 0.11). The median platelet count was lower in
males than females (236*10(9)/L versus 247*10(9)/L, p = 0.004). Our median values
for RBC parameters differ from those of African countries probably because of our
inclusion criteria which eliminate most cases with iron deficiency and/or
thalassemia.
PMID- 22084704
TI - Hemoglobin disorders in South India.
AB - Cation exchange-high performance liquid chromatography (CE-HPLC) is increasingly
being used as a first line of investigation for hemoglobinopathies and
thalassemias. Together with a complete blood count, the CE-HPLC is effective in
categorizing hemoglobinopathies as traits, homozygous disorders and compound
heterozygous disorders. We carried out a one year study in Apollo Hospitals,
Chennai (Tamil Nadu, South India) during which 543 abnormal chromatogram patterns
were seen. The commonest disorder we encountered was beta-thalassemia trait
(37.9%), followed by HbE trait (23.2%), homozygous HbE disease (18.9%), HbS trait
(5.3%), HbE beta-thalassemia (4.6%), HbS beta-thalassemia (2.5%), beta
thalassemia major (2.3%), HbH (1.6%), homozygous HbS (1.4%), HbD trait (0.7%).
The average value of HbA2 in beta-thalassemia minor was 5.4%. beta-thalassemia
major had an average HbF of 88% and in HbH the mean A2 was 1.4%. Among the HbE
disorders the HbA2 + HbE was 30.1% in the heterozygous state, 90.8% in the
homozygous state and 54.8% in HbE beta-thalassemia. In the sickle cell disorders,
HbS varied from 30.9% in the trait to 79.9% in the homozygous state to 65.6% in
HbS beta-thalassemia.
PMID- 22084705
TI - Late effect of the cervical irradiation on periodontal status and cariogen flora
in hodgkin lymphoma patients.
AB - Cervical radiotherapy may leads to elevated caries risk in Hodgkin-lymphoma (HL)
patients. Our aim was to estimate the late effect of cervical irradiation on
periodontal status in HL patients. Patients filled out query-form, their clinical
data were collected, periodontal status was examined, decayed-missing-filled
teeth and periodontal-indexes were calculated. We examined 68 patients who
received, 64 patients who did not received cervical radiotherapy and 51 control
person. 23.5% of cervical irradiated, 18.15% of not irradiated patients and
17.64% of controls had subjective xerostomia, but it was not objective by
sialometry. Mean decayed-missing-filled-teeth-index was 22.53 among irradiated,
21.54 among not irradiated patients while it was 17.23 in control group.
Periodontal index was 2.47, 2.42, and 2.14 in different groups. Difference
between decayed-missing-filled-teeth indexes of irradiated patients and controls
was significant. We have to emphasize the importance of prevention and closer
dental observation of HL patients.
PMID- 22084706
TI - Splenic complications of sickle cell anemia and the role of splenectomy.
AB - Sickle cell disease is one of the common hemoglobinopathies in the world. It can
affect any part of the body and one of the most common and an early organ to be
affected in SCA is the spleen. It is commonly enlarged during the first decade of
life but then undergoes progressive atrophy leading to autosplenectomy. This
however is not the case always and sometimes splenomegaly persist necessitating
splenectomy for a variety of reasons including acute splenic sequestration
crisis, hypersplenism, massive splenic infarction and splenic abscess. Splenic
complications of SCA are known to be associated with an increased morbidity and
in some it may lead to mortality. To obviate this, splenectomy becomes an
essential part of their management. This review is based on our experience in the
management of 173 children with various splenic complications of SCA
necessitating splenectomy.
PMID- 22084707
TI - Clinical challenges of primary diffuse large B-cell lymphoma of the dura: case
report and literature review.
AB - Primary dural lymphoma is a rare disease with more indolent clinical behavior
compared to primary central nervous system lymphoma. The majority of the reported
cases were indolent marginal zone lymphoma subtype with more predilections to the
spine. Herein, we are presenting a case of intracranial, diffuse large B-cell
lymphoma of the dura that was diagnosed and treated at our institution. We are
presenting the challenges in the treatment based on a review of the literature.
PMID- 22084708
TI - Antitumor Activity of Citrus maxima (Burm.) Merr. Leaves in Ehrlich's Ascites
Carcinoma Cell-Treated Mice.
AB - Context. The plant Citrus maxima Merr. (Rutaceae), commonly known as shaddock or
pomelo is indigenous to tropical parts of Asia. The objective of present study is
to evaluate the methanol extract of Citrus maxima leaves for its antitumor
activity against Ehrlich's Ascites Carcinoma cell in Swiss albino mice.
Experimental design. The antitumor activity of methanol extract of Citrus maxima
leaves (MECM) was evaluated against Ehrlich Ascites Carcinoma (EAC) cell line in
Swiss albino mice. 2 * 10(6) cells were inoculated in different groups of
animals. MECM (200 and 400 mg/kg BW i.p.) was administered for nine consecutive
days. On day 10th half the animals of different groups were sacrificed for
determination of tumor and haematological parameters and the rest half were kept
with sufficient food and water ad libitum for determination of increase in life
span. Result and Discussions. Oral administration of the extract at the doses of
200 and 400 mg/kg significantly decreased tumor parameters such as tumor volume,
viable tumor cell count and increased body weight, hematological parameters and
life span in respect of the EAC control mice. Conclusion. Experimental design
exhibits significant antitumor activity of the extract (MECM) in a dose dependant
manner.
PMID- 22084709
TI - Evaluation of genetic variations in organic cationic transporter 3 in depressed
and nondepressed subjects.
AB - Organic cationic transporter 3 (OCT3, SLS22A3) has only recently emerged as one
of the regulators of monoaminergic neurotransmission, which plays a critical role
in the pathogenesis of depression and is a potential new antidepressant drug
target. OCT3 single-nucleotide polymorphisms (SNPs) have been investigated for
their association with psychiatric disorders such as methamphetamine use disorder
and obsessive-compulsive disorder in children and adolescents, but not
depression. This study was designed to evaluate the allele frequencies of seven
OCT3 SNPs in a US Caucasian depressed population and compare these frequencies
with a control group of nondepressed subjects. Informed consent and a DNA sample
were obtained from 157 subjects and analysis was performed using real-time PCR.
Allele and genotype frequencies were compared using a t-test and the Pearson chi
square analysis, respectively. There were no significant differences in OCT3
allele or genotype frequencies between the depressed and non-depressed groups for
all seven SNPs evaluated.
PMID- 22084710
TI - Novel Phenazine 5,10-Dioxides Release OH in Simulated Hypoxia and Induce
Reduction of Tumour Volume In Vivo.
AB - Phenazine 5,10-dioxides (PDOs) are a new class of bioreductive cytotoxins, which
could act towards tumours containing hypoxic regions. The PDOs selective-hypoxic
bioreduction was probed in vitro; however, the mechanism of action has not been
completely explained. Besides, PDOs in vivo antitumour activities have not been
demonstrated hitherto. We study the mechanism of hypoxic/normoxic cytotoxicity of
PDO representative members. Electron spin resonance is used to confirm (*)OH
production, alkaline comet assay to determine genotoxicity, and gel
electrophoresis and flow cytometry to analyze DNA fragmentation and cell cycle
distribution. Chemically induced rat breast tumours are employed to evaluate in
vivo activities. For the most selective cytotoxin, 7(8)-bromo-2-hydroxyphenazine
5,10-dioxide (PDO1), exclusive hypoxic (*)OH production is evidenced, while for
the unselective ones, (*)OH is produced in both conditions (normoxia and
simulated hypoxia). In normoxia (Caco-2 cells), PDO1 induces cell-cycle arrest
and DNA fragmentation but does not significantly induce apoptosis neither at
IC(50) nor IC(80). No difference in the comet-assay scores are observed in
normoxia and simulated hypoxia being the unselective 2-amino-7(8)-bromophenazine
5,10-dioxide (PDO2) the most genotoxic. The in vivo efficacy with the absence of
systemic toxicity of PDO1 and PDO2 is checked out. Results from this study
highlight the potential of PDOs as new therapeutics for cancer.
PMID- 22084711
TI - Dendritic cell-based graft tolerance.
AB - It has recently been demonstrated that mouse and human dendritic cells (DCs) can
produce IL-2 after activation. However the role of the IL2/IL2R pathway in DC
functions has not yet been fully elucidated. The results presented in this study
provide several new insights into the role of this pathway in DCs. We report that
stimulation of human monocyte-derived DCs with LPS strongly upregulated CD25
(alpha chain of the IL2R) expression. In additon, by using a humanized monoclonal
antibody against CD25, we demonstrated that the IL2 signalling in DC upregulated
both IL-12 and gammaIFN production but decreased IL10 synthesis. We also found
that LPS-matured DCs produced IL2. Taken together, these results suggest that IL
2 actively contributes to the DC activation through an autocrine pathway.
Furthermore, our results indicate that the IL2 pathway in DC is involved in the
development of T-helper priming ability and in the upregulation of surface
markers characteristic of a "mature" phenotype. This study therefore provide new
molecular clues regarding the split between these two phenomena and unravel new
mechanisms of action of anti-CD25 monoclonal antibodies that may contribute to
their action in several human immunological disorders such as autoimmune diseases
and acute allograft rejection.
PMID- 22084712
TI - Bioremediation of pharmaceuticals, pesticides, and petrochemicals with gomeya/cow
dung.
AB - Use and misuse of pharmaceuticals, pesticides, and petrochemicals by man is
causing havoc with nature, as they persist as such or as their toxic metabolites.
These pollutants bioaccumulate in environment, and they ultimately reach man
through various means. They are hazardous because of potential toxicity,
mutagenicity, carcinogenicity, and genotoxicity. To rejuvenate nature,
remediation methods currently available are usually expensive and might convert
one toxic pollutant to another. Bioremediation methods use naturally occurring
microorganisms to detoxify man-made pollutants so that they change pollutants to
innocuous products that make soil fertile in the process. Taking cue from
Ayurveda, Gomeya/cow dung is used as an excellent bioremediation method. Thus,
utilizing freely available cow dung as slurry or after composting in rural areas,
is a cheap and effective measure to bioremediate the harmful pollutants. Yet,
more research in this direction is warranted to bioremediate nonbiodegradable,
potentially toxic pollutants.
PMID- 22084713
TI - Carvedilol-Afforded Protection against Daunorubicin-Induced Cardiomyopathic Rats
In Vivo: Effects on Cardiac Fibrosis and Hypertrophy.
AB - Anthracyclines, most powerful anticancer agents, suffer from their cardiotoxic
effects, which may be due to the induction of oxidative stress. Carvedilol, a
third-generation, nonselective beta-adrenoreceptor antagonist, possesses both
reactive oxygen species (ROS) scavenging and ROS suppressive effects. It showed
protective effects against daunorubicin- (DNR-) induced cardiac toxicity by
reducing oxidative stress and apoptosis. This study therefore was designed to
examine the effects of carvedilol on DNR-induced cardiomyopathic rats, focused on
the changes of left ventricular function, cardiac fibrosis, and hypertrophy.
Carvedilol increased survival rate, prevented systolic and diastolic dysfunction,
and attenuated myocardial fibrosis and hypertrophy. DNR alone treated rats showed
upregulated myocardial expression of ANP, PKC-alpha, OPN, and TGF-beta1 and
downregulation of GATA-4 in comparison with control, and treatment with
carvedilol significantly reversed these changes. The results of the present study
add the available evidences on the cardioprotection by carvedilol when associated
with anthracyclines and explain the mechanisms underlying the benefits of their
coadministration.
PMID- 22084714
TI - Effect of rosmarinic and caffeic acids on inflammatory and nociception process in
rats.
AB - Rosmarinic acid is commonly found in species of the Boraginaceae and the
subfamily Nepetoideae (Lamiaceae). It has a number of interesting biological
activities, for example, antiviral, antibacterial, anti-inflammatory, and
antioxidant. The aim of the present study was to investigate the effect of the
i.p. administration of caffeic and rosmarinic acid (5 and 10 mg/kg) on anti
inflammatory and nociceptive response using carrageenan-induced pleurisy model
and tail-flick assay in rats. The analysis of cells in the pleural exudates
revealed a reduction of 66% of the number of leukocytes that migrated to the
pleural cavity in the animals treated with 5 mg/kg caffeic acid, and of 92.9% for
the animals treated with 10 mg/kg in comparison with the control group. These
exudates showed a balanced distribution of polymorphonuclear (PMN) and
mononuclear (MN) cells, differently from the control group, in which PMN cells
were predominant. The analysis to tail-flick latency was increased in the group
treated with 10 mg/kg caffeic acid characterizing a nociceptive response. While
there was no difference between control group and animals treated with
rosmarinic.
PMID- 22084715
TI - Efficacy and Safety of COX-2 Inhibitors in the Clinical Management of Arthritis:
Mini Review.
AB - In the clinical management of arthritis, the choice of nonsteroidal anti
inflammatory drug (NSAID) remains confusing and controversial. A common practice
on the choice of NSAID in clinical management of arthritis is the risk benefit
ratio. The main objective of this review is to addresses the main arguments for
the pharmacological and clinical use of COX-2 inhibitors in relation to
nonselective NSAIDs for the clinical management of arthritis. This review
concluded that, both NSAIDs and COX-2 inhibitors are equally effective and are
associated with increased risk of GI, renal, and CV, adverse effects. Complete
understanding of the patient's comorbid conditions and concomitant medications,
coupled with precise monitoring during the treatment, may help to decrease the
threat of adverse effects induced by nonselective NSAIDs and selective COX-2
inhibitors.
PMID- 22084716
TI - Hypolipidemic and Antiobesity-Like Activity of Standardised Extract of Hypericum
perforatum L. in Rats.
AB - Hypericum perforatum is known to have diverse medicinal uses for centuries. The
antidepressant activity of Hypericum perforatum is widely accepted and proved in
both animal and clinical studies. Present study was undertaken to investigate the
effect of Hypericum perforatum in a battery of animal models for metabolic
disorder. Hypericum is tested for hypolipidemic activity in normal rats,
antiobesity activity in high-fat-diet induced obese rats, and fructose-fed rats.
Hypericum was orally administered as suspension in 0.3% carboxymethyl cellulose
at the doses of 100 and 200 mg/kg body weight for 15 consecutive days. Hypericum
significantly lowered total cholesterol and low-density cholesterol in normal
rats. Hypericum significantly inhibited weight gain in high-fat-fed rats. In
fructose-fed rats, Hypericum normalised the dyslipidemia induced by fructose
feeding and improved the insulin sensitivity. Taken together, Hypericum could be
the antidepressant therapy of choice for patients suffering from comorbid
diabetes and obesity.
PMID- 22084717
TI - Chloroformic and Methanolic Extracts of Olea europaea L. Leaves Present Anti
Inflammatory and Analgesic Activities.
AB - Olea europaea L. is used in traditional medicine in the Mediterranean areas. Its
natural products are used in the treatment of different disorders, like fighting
fever and some infectious diseases such as malaria, the treatment of arrhythmia,
and relief of intestinal spasms. The aim of the current study is to investigate
the possible anti-inflammatory and anatinociceptive effects of methanol and
chloroformic extracts prepared from leaves of Olea europaea L. The anti
inflammatory and antinociceptive effects of the different extracts of Olea
europaea leaves were assessed after intraperitoneal administration into rats and
mice, using the carrageenan-induced paw edema model in rats to test the anti
inflammatory effect and the acetic acid-induced writhing in mice to test the
analgesic effect. The chloroformic and methanolic leaves extracts, studied at the
doses of 50, 100, and 200 mg/kg (Body Weight: BW), exhibited significant dose
dependent anti-inflammatory and analgesic activities. Based on the results
obtained, it can be concluded that Olea europaea leaves extracts have anti
inflammatory and antinociceptive effects.
PMID- 22084718
TI - Antioxidant Activity of Aspergillus fumigatus.
AB - The antioxidant activity of Aspergillus fumigatus was assayed by different
procedures and correlated with its extracellular total phenolic contents.
Different physio-chemical parameters were optimized to enhance the activity. The
culture grown under stationary conditions for 10 days at 25 degrees C at pH 7
gave the best antioxidant activity. Statistical approaches demonstrated sucrose
and NaNO(3) to be the most suitable carbon and nitrogen sources, respectively.
Response surface analysis showed 5% sucrose, 0.05% NaNO(3), and incubation
temperature of 35 degrees C to be the optimal conditions for best expression of
antioxidant activity. Under these conditions, the antioxidant potential assayed
through different procedures was 89.8%, 70.1%, and 70.2% scavenging effect for
DPPH radical, ferrous ion and nitric oxide ion, respectively. The reducing power
showed an absorbance of 1.0 and FRAP assay revealed the activity of 60.5%.
Extracellular total phenolic content and antioxidant activity as assayed by
different procedures positively correlated.
PMID- 22084719
TI - Control of Clinical Pathogens by the Haemolymph of Paratelphusa hydrodromous, a
Freshwater Crab.
AB - In the present study, effort has been made to find the antimicrobial activity of
haemolymph collected from freshwater crab, Paratelphusa hydrodromous. The
haemolymph collected was tested for antimicrobial assay by disc diffusion method
against clinical pathogens. Five bacterial species, namely, Escherichia coli,
Klebsiella pneumonia, Proteus mirabilis, Pseudomonas aeruginosa, Staphylococcus
aureus, and five fungal strains, namely and Aspergillus flavus, Aspergillus
fumigatus, Aspergillus niger, Rhizopus sp., and Mucor sp., were selected for the
study. The result shows a strong response of haemolymph against the clinical
pathogens which confirms the immune mechanism of the freshwater crab.
PMID- 22084720
TI - Studies on Wound Healing Activity of Heliotropium indicum Linn. Leaves on Rats.
AB - The petroleum ether, chloroform, methanol, and aqueous extracts of Heliotropium
indicum Linn. (Family: Boraginaceae) were separately evaluated for their wound
healing activity in rats using excision (normal and infected), incision, and dead
space wound models. The effects of test samples on the rate of wound healing were
assessed by the rate of wound closure, period of epithelialisation, wound
breaking strength, weights of the granulation tissue, determination of
hydroxyproline, super oxide dismutase (SOD), catalase, and histopathology of the
granulation tissues. Nitrofurazone (0.2% w/w) in simple ointment I. P. was used
as reference standard for the activity comparison. The results revealed
significant promotion of wound healing with both methanol and aqueous extracts
with more promising activity with the methanol extract compared to other extracts
under study. In the wound infection model (with S. aureus and P. aeruginosa), the
methanol extract showed significant healing activity similar to the reference
standard nitrofurazone. Significant increase in the granulation tissue weight,
increased hydroxyproline content, and increased activity of SOD and catalase
level with the animals treated with methanol extract in dead space wound model
further augmented the wound healing potential of H. indicum. The present work
substantiates its validity of the folklore use.
PMID- 22084721
TI - Increased excretion of c4-carnitine species after a therapeutic acetylsalicylic
Acid dose: evidence for an inhibitory effect on short-chain Fatty Acid
metabolism.
AB - Acetylsalicylic acid and/or its metabolites are implicated to have various
effects on metabolism and, especially, on mitochondrial function. These effects
include both inhibitory and stimulatory effects. We investigated the effect of
both combined and separate oral acetylsalicylic acid and acetaminophen
administration at therapeutic doses on the urinary metabolite profile of human
subjects. In this paper, we provided in vivo evidence, in human subjects, of a
statistically significant increase in isobutyrylcarnitine after the
administration of a therapeutic dose of acetylsalicylic acid. We, therefore,
propose an inhibitory effect of acetylsalicylic acid on the short-chain fatty
acid metabolism, possibly at the level of isobutyryl-CoA dehydrogenase.
PMID- 22084722
TI - Potential Agents against Plasma Leakage.
AB - Shock due to severe plasma leakage may happen in infectious diseases such as
severe dengue and sepsis due to various bacterial infections, which may be
deleterious and may lead to death. Various substances and proteins are known to
modulate the effects of proleakage mediators and counteract the deleterious
effect of plasma leakage. Some of the various substances and proteins such as
focal adhesion kinase (FAK), the Rho GTPases, protein kinase A, and caveolin-1
have dual actions; therefore they are not suitable for therapy. However,
sphingosine 1phosphate and its receptor agonists, Angiopoetin-1, Slit, and
Bbeta15-42 may be promising.
PMID- 22084723
TI - Colon carcinoma presenting with a synchronous oesophageal carcinoma and Basal
cell carcinoma of the skin.
AB - With advances in diagnostic techniques and treatment modalities, the number of
patients identified with colorectal carcinoma who develop multiple primary
malignancies during long-term followup has been increasing. We report a patient
who developed three histologically distinct malignancies. Primary colon carcinoma
treated radically followed by an 8-year disease-free period. The patient then
presented with progressive dysphagia and was investigated and diagnosed to have a
synchronous multicentric squamous cell carcinoma of the oesophagus and basal cell
carcinoma of the skin. There was a simultaneous multicentric recurrence in the
colon. This case is worth mentioning because the clustering of three primary
malignancies (synchronous and metachronous) is of rare occurrence in a single
patient, and, to our knowledge, this is the first report of this combination
occurring in the same individual. In addition, the report emphasizes the
importance of evaluating patients with known colonic primary neoplasms for
synchronous colonic and extracolonic tumors.
PMID- 22084724
TI - Identification of HN-1-Peptide Target in Head and Neck Squamous Cell Carcinoma
Cells.
AB - The HN-1 module was previously reported to ensure efficient targeting of head and
neck squamous cell carcinoma (HNSCC). Aim of this work was to indentify the
target of HN-1. Targeting of HN-1 peptide was compared in normal epithelial cells
(BEAS-2B) and in HNSCC tumor cells (SCC-25 and Detroit 562). Experimental, cell
culture, cell polarity, and adhesion conditions were tested; structure models of
peptides were created. Indeed, HN-1 was able to target HNSCC tumor cells in the
previously published conditions. The targeting efficiency of immortalized normal
epithelial cells was significantly lower. Nevertheless, in other experimental
conditions the binding was less efficient and not specific. A scrambled sequence
of HN-1, with altered order of amino acids showed even better targeting
efficiency than HN-1. HN-1 was only uptaken in adherent cells, not in suspension.
In conclusion, HN-1-peptide-targeting is not based on sequence specificity, but
more on electrostatic interactions with the cell surface of the tumor cells.
PMID- 22084725
TI - High Expression of Complement Component 5 (C5) at Tumor Site Associates with
Superior Survival in Ewing's Sarcoma Family of Tumour Patients.
AB - Background. Unlike in most adult-onset cancers, an association between typical
paediatric neoplasms and inflammatory triggers is rare. We studied whether immune
system-related genes are activated and have prognostic significance in Ewing's
sarcoma family of tumors (ESFTs). Method. Data analysis was performed on gene
expression profiles of 44 ESFT patients, 11 ESFT cell lines, and 18 normal
skeletal muscle samples. Differential expression of 238 inflammation and 299
macrophage-related genes was analysed by t-test, and survival analysis was
performed according to gene expression. Results. Inflammatory genes are activated
in ESFT patient samples, as 38 of 238 (16%) inflammatory genes were upregulated
(P < 0.001) when compared to cell lines. This inflammatory gene activation was
characterized by significant enrichment of macrophage-related gene expression
with 58 of 299 (19%) of genes upregulated (P < 0.001). High expression of
complement component 5 (C5) correlated with better event-free (P = 0.01) and
overall survival (P = 0.004) in a dose-dependent manner. C5 and its receptor
C5aR1 expression was verified at protein level by immunohistochemistry on an
independent ESFT tumour tissue microarray. Conclusion. Immune system-related gene
activation is observed in ESFT patient samples, and prognostically significant
inflammatory genes (C5, JAK1, and IL8) for ESFT were identified.
PMID- 22084726
TI - Role and efficacy of intraoperative evaluation of resection adequacy in
conservative breast surgery.
AB - In the present study we considered the histology of 51 patients who have
undergone breast conservative surgery and the related 54 re-excisions that were
performed in the same surgical procedure or in delayed procedures, in order to
evaluate the role of intraoperative re-excisions in completing tumor removal. In
13% of the cases the re excision obtained the resection of the target lesion. In
this study, the occurrence of residual neoplastic lesions in intraoperative re
excisions (24%) is lower than in delayed re-excisions (62%; P = .03). The
residual lesions that we could find with definitive histology of re excision
specimens are related with lesions with ill defined profile. In 77% of the cases
of re excision with tumoral residual the lesion was close to the new resection
margin, thus the re-excisions couldn't achieve an adequate ablation of the
neoplasm. Invasive or preinvasive nature of the main lesion resected for each
case and the approach to the evaluation of the first resection specimen adequacy
(surgical or radiological) don't affect the rate of tumoral residual in
intraoperative re-excisions. In conclusion, our data are consistent with a low
efficacy of intraoperative re excision in obtaining a complete removal of the
tumor; intraoperative radiologic evaluation of the first resection specimen is
however imperative in defining the effective removal of the target lesion.
PMID- 22084727
TI - The role of tobacco-derived carcinogens in pancreas cancer.
AB - The extremely poor outcome from pancreas cancer is well known. However, its
aetiology less well appreciated, and the molecular mechanisms underlying this are
poorly understood. Tobacco usage is one of the strongest risk factors for this
disease, and this is a completely avoidable hazard. In addition, there are well
described hereditary diseases which predispose, and familial pancreas cancer. We
have sought here to summarise the role of tobacco-derived carcinogens and the
mode of their tumorigenic action on the pancreas. There is compelling evidence
from animal and human studies (laboratory including cell line studies and
epidemiologic) that tobacco derived carcinogens cause pancreas cancer. However,
the manner in which they do so is not entirely apparent. There is also compelling
evidence that synergism with genetic and other life-style factors-like diet
obesity-results in a multifactorial causation of the disease. Ascertaining the
role of tobacco carcinogens in the development of this cancer and their
interaction with other risk factors will enable novel therapeutic and
preventative strategies to improve outcome from this appalling malignancy.
PMID- 22084728
TI - Anti-inflammatory drugs reduce the risk of hepatocellular carcinoma development.
AB - Nowadays, patients with chronic hepatitis C in all countries are generally
treated with interferon (IFN), and more than 50% of patients become HCV-RNA
negative following PEG-IFN plus ribavirin therapy, but unfortunately, the IFN
therapy is not effective in about 70% of patients with HCV-associated LC. In
Japan, HCC actually develops in about 7% of those patients every year. A strategy
for preventing HCC development other than IFN therapy is, therefore, urgently
needed for those patients. We reported that the recurrence rate and the
development of HCC was more rapid in the high serum ALT level (>80 IU) patients
with HCV-associated LC. Sho-saiko-to, Juzen-taiho-to, and stronger-neo minophagen
C are herbal medicines used in Japan to treat chronic viral liver diseases, and
they work by reducing inflammatory processes and controlling ALT levels.
Aggressive reduction therapy for ALT levels in HCV-LC patients could
significantly prevent HCC development.
PMID- 22084730
TI - Cytotoxic Activity of Peripheral Blood Mononuclear Leukocytes, Activated by
Interleukin-2/beta-Cyclodextrin Nanocomposition against Androgen Receptor
Negative Prostate Cancers.
AB - Nanocomposition comprised of interleukin-2 in suboptimal noneffective
concentration and beta-cyclodextrin was studied in vitro. This preparation as
well as interleukin-2 in optimal concentration was shown to increase natural
killer activity to K-562 cells and cytotoxicity of activated peripheral blood
mononuclear cells (PBMCs) against PC-3 and DU 145 cells. At the same time beta
cyclodextrin or interleukin-2 in equimolar concentrations did not influence the
spontaneous killer activity of PBMC. This combination of cyclodextrin +
interleukin-2 led to the decrease of interleukin-2 effective concentration by an
order. This phenomenon could be explained by cyclodextrins ability to promote the
formation of nanoparticles with drugs, which results in enhancing their water
solubility and bioavailability. Besides, interleukine-2/beta-cyclodextrin
nanocomposition as opposed to interleukin-2 alone led to increasing the number of
not only lymphocytes, but also macrophages contained in activated PBMC
population. Application of low concentration of interleukin-2 allowing for good
clinical efficiency may significantly mitigate the side effects of the drug and
enable to develop adoption of immunotherapy for patients with androgen-resistant
prostate cancer.
PMID- 22084729
TI - The chemopreventive properties and therapeutic modulation of green tea
polyphenols in oral squamous cell carcinoma.
AB - Chemoprevention is a relatively novel and promising approach for controlling
cancer that uses specific natural products or synthetic agents to suppress,
reverse, or prevent premalignancy before transformation into invasive cancer.
Oral cavity squamous cell carcinoma (OCSCC) represents a large, worldwide health
burden with approximately 274,000 cases diagnosed annually worldwide. Smoking and
alcohol consumption are major inducers of OCSCC. Recently, the human papilloma
virus was also shown to potentially be an etiologic factor. Due to its easily
identifiable risk factors and the presence of premalignant regions, oral cancer
makes a good candidate for chemoprevention. Green tea is the most widely consumed
beverage in the world, and it has received considerable attention because of its
abundant, scientifically proven, beneficial effects on human health. In this
review, we discuss the role of green tea in oral cancer chemoprevention with
regard to the multiple molecular mechanisms proposed in various in vitro, in
vivo, and clinical trials.
PMID- 22084731
TI - Cancer Risk and Behavioral Factors, Comorbidities, and Functional Status in the
US Elderly Population.
AB - About 80% of all cancers are diagnosed in the elderly and up to 75% of cancers
are associated with behavioral factors. An approach to estimate the contribution
of various measurable factors, including behavior/lifestyle, to cancer risk in
the US elderly population is presented. The nationally representative National
Long-Term Care Survey (NLTCS) data were used for measuring functional status and
behavioral factors in the US elderly population (65+), and Medicare Claims files
linked to each person from the NLTCS were used for estimating cancer incidence.
The associations (i.e., relative risks) of selected factors with risks of breast,
prostate, lung and colon cancers were evaluated and discussed. Behavioral risk
factors significantly affected cancer risks in the US elderly. The most
influential of potentially preventable risk factors can be detected with this
approach using NLTCS-Medicare linked dataset and for further deeper analyses
employing other datasets with detailed risk factors description.
PMID- 22084732
TI - Olfactory neuroblastomas: an experience of 24 years.
AB - Objective. The aim of this study was to evaluate clinicopathological findings and
the efficacy of the treatment modalities used in patients with olfactory
neuroblastomas. Study Design. Retrospective record review. Setting. Istanbul
University, Cerrahpasa Medical Faculty, medical oncology outpatient clinic.
Subjects and Methods. There were 3 stage A tumors, 5 stage B and 11 stage C
according to the Kadish staging system. There were 5 grade I/II and 12 grade
III/IV according to the Hyams' histopathologic system. Involvement to orbita was
detected in eight patients at the time of diagnosis. Results. The median follow
up period was 23.7 months. The 5-year survival rate for the whole group was 26%.
The stage A/B groups exhibited a better survival rate than the C group with 2
year survival rates being 25 versus 71% respectively (P = .008). The grade I/II
groups exhibited a better survival rate than the grade III/IV groups with 2-year
survival rates being 50 versus 16% respectively (P = .001). The group who had
orbital involvement exhibited a poor survival rate than the group of patients who
had no involvement of the orbital. Conclusion. In our study, tumor stage,
histopathologic grading, involvement of the orbita, brain and bone marow
metastases were the statistically significant prognostic factors.
PMID- 22084733
TI - Axillary dissection in breast cancer patients with metastatic sentinel node: to
do or not to do? Suggestions from our series.
AB - Several studies have put to question and evaluated the indication and prognosis
of sentinel lymph node biopsy (SNLB) as sole treatment in human breast cancer. We
reviewed 1588 patients who underwent axillary surgery. In 239 patients, axillary
lymph node dissection (ALND) was performed following positive fine needle
aspiration cytology (FNAC), and, in 299 cases, ALND was executed after positive
SNLB. The most dramatic result from our data is that patients with either
micrometastasis of the sentinel lymph node (SLN) or only metastatic SLN have,
respectively, an 84.5% and a 75.0% chance of having no other nodal involvement.
We believe a more refined patient selection is neccessary when considering ALND.
Where the primary tumor is larger than 5 cm, where radio or adjuvant therapies
are not indicated, in cases of FNAC+ nodes, and in cases presenting more than one
metastatic sentinel node, we prefer to carry out ALND. Having thus said, however,
our data suggests that it is wise not to perform ALND in almost all cases
presenting positive SLNs.
PMID- 22084734
TI - Differentiated thyroid cancer in navarra (Spain): historic cohort results (1987
2003).
AB - Introduction. Navarra has the highest incidence of differentiated thyroid cancer
in Spain. The aim of this study was to review its management carried out by the
Navarra's multidisciplinary Thyroid Disease Unit, from 1987 to 2003. Material and
Methods. 325 patients were studied to find the incidence, prevalence, and
prognostic factors. Statistical analysis comprised univariate and multivariate
Cox proportional hazards regression models for survival and tumor recurrence.
Results. The average annual incidence was 3.6 per 100,000 inhabitants, with a
final prevalence of 82.4 per 100,000. Regarding survival and recurrence,
statistical significance was observed for stage IV, follicular carcinoma,
capsular and prethyroid muscles invasion, and T4 group. Only survival was related
to tumour size larger than 40 mm. Only recurrence was related to lymph node
metastases and radioiodine dose higher than 100 mCi. Conclusions. Attendance of
patients in a functional unit setting has allowed us to classify them into three
risk groups.
PMID- 22084735
TI - 3-5 BI-RADs Microcalcifications: Correlation between MRI and Histological
Findings.
AB - Purpose. To evaluate the correlation between MRI and histopathological findings
in patients with mammographically detected 3-5 BI-RAD (Breast Imaging Reporting
And Data Systems) microcalcifications and to allow a better surgical planning.
Materials and Method. 62 female Patients (age 50 +/- 12) with screening detected
3-5 BI-RAD microcalcifications underwent dynamic 3 T contrast-enhanced breast
MRI. After 30-day (range 24-36 days) period, 55 Patients underwent biopsy using
stereotactic vacuum-assisted biopsy (VAB), 5 Patients underwent stereotactic
mammographically guided biopsy, and 2 Patients underwent MRI-guided VAB. Results.
Microhistology examination demonstrated 36 malignant lesions and 26 benign
lesions. The analysis of MRI findings identified 8 cases of MRI BI-RADS 5, 23
cases of MRI BI-RADS 4, 11 cases of MRI BI-RADS 3, 4 cases type A and 7 cases
type B, and 20 cases of MRI BI-RADS 1-2. MRI sensitivity, specificity, positive
predictive value, and negative predictive value were 88.8%, 76.9%, 84.2%, and
83.3%, respectively.
PMID- 22084736
TI - "Il corpo ritrovato": dermocosmetological skin care project for the oncologic
patient.
AB - Neoplastic disease and its therapeutic options have a huge impact on the
patient's quality of life from both the emotional and the working point of view.
The project "Il Corpo Ritrovato" aims at creating an interdisciplinary network of
physicians to improve the quality of life of the oncologic patient, focusing on
such important aspects as dermocosmetological skin care but also on the
evaluation of new therapeutic and diagnostic algorithms in order to make further
progress in the field of prevention.
PMID- 22084737
TI - Does radioiodine therapy in patients with differentiated thyroid cancer increase
the frequency of another malignant neoplasm?
AB - Objectives. To compare the frequency of another primary malignancy in patients
with differentiated thyroid carcinoma (DTC) who received radioiodine therapy or
not ((131)I). Material and Methods. 168 cases of DTC patients were
retrospectively evaluated as to the frequency of another neoplasia by comparing
patients with and without it, taking into account clinical, laboratory, and
therapeutic parameters. Results. Another primary malignancy occurred in 8.9% of
patients. Of these, 53.3% showed the malignancy before (131)I and 46.7% after it.
By comparing both groups, the age at the moment of diagnosis of another neoplasia
was 46.1 +/- 20.2 years for the group before (131)I therapy and of 69.4 +/- 11.4
years for the group after it (P = 0.02). Of the 148 patients treated with (131)I,
4.7% developed another malignancy. The latter were older (61 +/- 17 years) than
those who did not show another cancer type (44.1 +/- 14.2 years) (P < 0.05).
Conclusion. The frequency of another neoplasia found after (131)I was similar to
that found before (131)I.
PMID- 22084738
TI - Cancers of the appendix: review of the literatures.
AB - Cancers of the appendix are rare. Most of them are found accidentally on
appendectomies performed for appendicitis. When reviewed, majority of the tumors
were carcinoid, adenoma, and lymphoma. Adenocarcinomas of appendix are only 0.08%
of all cancers and the treatment remains controversial. Here we are reporting a
46-year-old male presented with symptoms of appendicitis, diagnosed with
adenocarcinoma of the appendix. The patient was treated with appendectomy and
refused further surgical intervention to complete hemicolectomy. Up to date, he
remains asymptomatic. We performed literature review of the tumors of the
appendix. Most of the benign conditions are treated with surgery alone. Lymphomas
require CHOP-like chemotherapy and carcinoid syndrome treatment with somatostatin
analogues. It is generally recommended that right hemicolectomy is the preferred
treatment for adenocarcinoma of appendix. The role of chemotherapy is unclear due
to lacking randomized trials but seems to be accepted if there is lymph node
involvement or peritoneal seeding.
PMID- 22084739
TI - Angiomyolipoma of the right adrenal gland.
AB - Adrenal angiomyolipoma is rare. Only four cases have been reported so far. These
are commonly found in Kidney but extrarenal sites are also mentioned.
Angiomyolipoma arising in adrenal is very rare entity, usually asymptomatic,
diagnosed incidentally on radiological investigation of abdomen for other
conditions. We report our experience with a 45-year-old woman who presented with
epigastric discomfort. A computerised tomography (CT) scan showed an adrenal
mass. Laparoscopic adrenalectomy was performed and the histopathological features
confirmed the diagnosis of adrenal angiomyolipoma. The patient recovered without
any complications following surgery.
PMID- 22084740
TI - Living Donor Liver Transplantation for Caroli's Disease: A Report of Two Cases.
AB - Caroli's disease (CD) is a rare autosomal recessive disorder characterized by
intrahepatic cystic dilatation of the bile ducts. Patients with bilobar or
progressive disease may require orthotopic liver transplantation (OLT). In the
MELD era, living donor liver transplantation (LDLT) raised as the ultimate
treatment option for these patients, once their MELD score is usually low.
Herein, we describe 2 cases of patients (a 2-year-old girl and a 19-year-old
teenager) that successfully underwent LDLT as a treatment for diffuse CD. The
good postoperative courses of the two cases indicate that LDLT is a feasible
option in the treatment of this disorder, even in complicated or early age
patients.
PMID- 22084741
TI - Congenital anterior urethral diverticulum in children: a case report and review.
AB - Congenital anterior urethral diverticulum (CAUD) is an uncommon condition in
children. We describe 2 patients of CAUD who presented with ventral penile
swelling; in one, the site of swelling was just proximal to corona which is quite
rare. The diagnosis was made on USG and MCU. Both patients had normal renal
function. Open diverticulectomy and primary repair was done in both patients.
PMID- 22084742
TI - Median nerve repair with autologous sciatic nerve graft: a case report.
AB - Background. Peripheral nerve injury treatment options are limited to primary
nerve repair, nerve grafting, and tendon transfers. In this case, a large
suitable donor site was easily accessible and delayed grafting was indicative of
poor prognosis. Case Description. A 25-year-old soldier presented to a military
hospital in Afghanistan following a roadside bomb attack. The patient had a
medial shrapnel wound in the bicipital groove with a cool pulseless hand and
catastrophic lower extremity injuries. Bilateral above-the-knee amputations
(AKAs) and exploration of the medial shrapnel wound were undertaken. A 7 cm
traumatic defect in the median nerve was repaired with interpositional sciatic
nerve graft harvested from the AKA. Conclusion. Recovery of motor function after
nerve grafting is dependent on motor axons reinnervating target muscles, making
proximal nerve injuries problematic. We identify a potential nerve harvest site
in patients with lower extremity amputations in need of long segment nerve
repairs.
PMID- 22084743
TI - Subarachnoid-pleural fistula: applied anatomy of the thoracic spinal nerve root.
AB - Subarachnoid-pleural fistula (SPF) is a rare complication of chest or spine
operations for neoplastic disease. Concomitant dural and parietal pleural defects
permit flow of cerebrospinal fluid into the pleural cavity or intrapleural air
into the subarachnoid space. Dural injury recognized intraoperatively permits
immediate repair, but unnoticed damage may cause postoperative pleural effusion,
intracranial hypotension, meningitis, or pneumocephalus. We review two cases of
SPF following surgical intervention for chest wall metastatic disease to motivate
a detailed review of the anatomy of neural, osseous, and ligamentous structures
at the intervertebral foramen. We further provide recommendations for avoidance
and detection of such complication.
PMID- 22084745
TI - Footballer's Lateral Meniscus: Anterior Horn Tears of the Lateral Meniscus with a
Stable Knee.
AB - This paper aimed to identify the characteristics of isolated anterior horn tear
of the lateral meniscus in footballers who underwent arthroscopic surgery. We
identified 8 patients with stable knee and no ligament injury, who had only
isolated anterior horn tear of the lateral meniscus between 2007 and 2009. All 8
patients were footballers, comprising 7 men and 1 woman with mean age of 18.6
years. Arthroscopy revealed multiple longitudinal tears in 2 patients,
longitudinal tear in 2 patients, degenerative tear in 3 patients, and flap tear
in 1 patient. Two patients were treated by repair, five by partial excision, and
one by rasping only. The mean Lysholm score was 65 before surgery and recovered
to 89 at the last followup, on average 12 months after surgery. Anterior horn
tear of the lateral meniscus in footballers with a stable knee is characterized
by pain at the anterolateral aspect of the knee during knee extension, especially
when kicking a ball, and pain during weight-bearing knee extension, together with
MRI finding of hyperintense signal in the anterior horn of the lateral meniscus.
Preoperative diagnosis may be possible based on these findings in footballers.
PMID- 22084744
TI - Transanal resection of rectal lipoma mimicking rectal prolapse: description of a
case and review of the literature.
AB - Submucosal lipomas of the large bowel are uncommon. Occasionally, they occur in
the rectum and may cause aspecific symptoms; presentation with rectal prolapse is
very unusual and may lead to a misdiagnosis of simple mucosal prolapse. The paper
describes an additional case of a prolapsing rectal mass that led to diagnosis
and surgical treatment of a rectal lipoma under local anesthesia.
PMID- 22084746
TI - Synchronous Laparoscopic Radical Nephrectomy Left and Contralateral Right
Hemicolectomy during the Same Endoscopic Procedure.
AB - Synchronous renal cell carcinoma in patients with colorectal carcinoma is
reported in various percentages ranging from 0.03 up to 4.85% (Halak et al.
(2000), Capra et al. (2003)). When surgical treatment is indicated usually two
separate operations are planned for resection. In open surgery, in such cases
simultaneous resection is recommended if possible. Few reports have described the
resection of colorectal and renal cell carcinoma in a single laparoscopic
procedure. We have shown that combining left radical nephrectomy and right
hemicolectomy is technically feasible, safe and that overall operative time can
be limited. In our case operative time was 210 minutes, blood loss 100
milliliters, and duration of hospital stay was 8 days. Adequate port placement,
preoperative scheduling, and surgical experience are essential to achieve this
goal.
PMID- 22084747
TI - Two unusual gastrointestinal foreign bodies.
AB - Swallowed foreign bodies are common in the pediatric age group, but fortunately,
the majority of them pass spontaneously without any adverse effects. Tube
gastrostomy is an excellent method to provide prolonged enteral feeding. It is,
however, associated with complications, namely, intraperitoneal leak and distal
migration of the gastrostomy tube causing gastric outlet obstruction. This paper
describes two unusual gastrointestinal foreign bodies, one was swallowed, while
the other one was a complication of a tube gastrostomy.
PMID- 22084748
TI - Dystonia and the role of deep brain stimulation.
AB - Dystonia is a painful, disabling disease whose cause in many cases remains
unknown. It has historically been treated with a variety methodologies including
baclofen pumps, Botox injection, peripheral denervation, and stereotactic
surgery. Deep brain stimulation (DBS) is emerging as a viable treatment option
for selected patients with dystonia. Results of DBS for dystonia appear to be
more consistently superior in patients with primary versus secondary forms of the
disorder. Patients with secondary dystonia, due to a variety of causes, may still
be candidates for DBS surgery, although the results may not be as consistently
good. The procedure is relatively safe with a small likelihood of morbidity and
mortality. A randomized trial is needed to determine who are the best patients
and when it is best to proceed with surgery.
PMID- 22084750
TI - Emergency pancreaticoduodenectomy in duodenal paraganglioma: case report.
AB - Duodenal gangliocytic paraganglioma (DGP) is a rare tumor that characteristically
occurs in the second part of duodenum. These appear as submucosal masses that
protrude into the lumen of a duodenum. Gastrointestinal bleeding is the commonest
manifestation of DGP. Metastatic spread to regional lymph nodes occurs rarely.
Surgical resection is the treatment of choice for DGP. A case of a DGP is
reported in young female who presented with a recurrent upper gastrointestinal
bleeding. Upper gastrointestinal endoscopy (UGIE) documented a mass in the
ampullary region with ulceration in its middle which was bleeding. Recurrent
gastrointestinal bleeding necessitated an emergency pancreaticoduodenectomy.
Histopathology of specimen documented gangliocytic paraganglioma.
PMID- 22084749
TI - Surgical brain metastases: management and outcome related to prognostic indexes:
a critical review of a ten-year series.
AB - Brain metastasis are the most common neoplastic lesions of the nervous system.
Many cancer patients are diagnosed on the basis of a first clinical presentation
of cancer on the basis of a single or multiple brain lesions. Brain metastases
are manifestations of primary disease progression and often determine a poor
prognosis. Not all patients with a brain metastases undergo surgery: many are
submitted to alternative or palliative treatments. Management of patients with
brain metastases is still controversial, and many studies have been developed to
determine which is the best therapy. Furthermore, management of patients operated
for a brain metastasis is often difficult. Chemotherapy, stereotactic
radiosurgery, panencephalic radiation therapy, and surgery, in combination or
alone, are the means most commonly used. We report our experience in the
management of a ten-year series of surgical brain metastasis and discuss our
results in the preoperative and postoperative management of this complex
condition.
PMID- 22084752
TI - A rare presentation of pellet injury in the neck.
AB - Penetrating neck injuries are dangerous and deserve emergency treatment by virtue
of the vital structures present underneath. There is a potential risk of
unrecognized vascular injury and retained foreign bodies with their associated
complications in these wounds. Therefore, an early diagnostic workup to localize
the site of injury and an immediate neck exploration are important.
PMID- 22084751
TI - Cerebral melanoma metastases: a critical review on diagnostic methods and
therapeutic options.
AB - Malignant melanoma represents the third most common cause for cerebral metastases
after breast and lung cancer. Central nervous system (CNS) metastases occur in 10
to 40% of patients with melanoma. Most of the symptoms of CNS melanoma metastases
are unspecific and depend on localization of the lesion. All patients with new
neurological signs and a previous primary melanoma lesion must be investigated.
Although primary diagnosis may rely on computed tomography scan, magnetic
resonance images are usually used in order to study more precisely the
characteristics of the lesions in and to embase the surgical plan. Other possible
complementary exams are: positron emission tomography, iofetamine cintilography,
immunohistochemistry of liquor, monoclonal antibody immunocytology, optical
coherence tomography, and transcriptase-polymerase chain reaction. Treatment
procedures are indicated based on patient clinical status, presence of unique or
multiple lesions, and family agreement. Often surgery, radiosurgery, whole brain
radiotherapy, and chemotherapy are combined in order to obtain longer remissions
and optimal symptom relieve. Corticoids may be also useful in those cases that
present with remarkable peritumoral edema and important mass effect. Despite of
the advance in therapeutic options, prognosis for patients with melanoma brain
metastases remains poor with a median survival time of six months after
diagnosis.
PMID- 22084753
TI - Ectopic sequestered thyroid tissue: an unusual cause of a mediastinal mass.
AB - An 80-year-old female presented with an incidental finding of a retrosternal mass
on magnetic resonance imaging. Ultrasound demonstrated a mediastinal lesion
adjacent to but separate from the inferior pole of the right thyroid lobe. Fine
needle aspiration cytology demonstrated colloid and follicular cells. At surgery,
the right thyroid lobe was found to be normal. A discrete 5 cm nodule was found
in the anterior mediastinum separate from the thyroid and just anterior and to
the right of the trachea and thymus. The nodule had a vascular pedicle arising
from the mediastinum. The differential diagnosis included metastatic thyroid
carcinoma. Histology was consistent with a benign ectopic sequestered thyroid
nodule. Extensive investigations demonstrated no sign of a thyroid malignancy.
PMID- 22084754
TI - The results of vascular and biliary variations in turks liver donors: comparison
with others.
AB - Objective. To evaluate liver anatomy with a view to access unerring surgery in
liver donors. Summary Background Data. Liver transplantation, the unique curative
treatment option for end-stage hepatic failure, has become routinely practicable,
which was inconceivable in the past. But, the vascular and biliary anatomy of the
liver has not been completely disclosed yet. Methods. From 1994 to 2009, we have
done a research on 496 liver donors. The data were accumulated and categorized
according to the most widely used classification systems. Results. Of 496 liver
donors, 393 (79.1%) underwent the right donor hepatectomy, 98 (19.9%) were
performed the left lateral segmentectomy, and 5 donors (1%) underwent the left
donor hepatectomy surgery. Given the data regarding to 398 liver donors undergone
right and left donor hepatectomy, arteries, bile ducts, and portal vein showed
classical anatomy in 107 (21.6%) donors. Variations in all three systems were
found in 16 donors (3.2%). In the remaining 275 donors (75.2%), anatomical
variations were found at either of arterial, biliary, or portal system.
Conclusions. Our study could come up to actual estimate in liver anatomy as any
of donors have not been removed in our institute due to high hilar dissection
technique.
PMID- 22084755
TI - Arthroscopic treatment of stiff elbow.
AB - Contracture of the elbow represents a disabling condition that can impair a
person's quality of life. Regardless of the event that causes an elbow
contracture, the conservative or surgical treatment is usually considered
technically difficult and associated with complications. When the conservative
treatment fails to restore an acceptable range of motion in the elbow, open
techniques have been shown to be successful options. More recently the use of
arthroscopy has become more popular for several reasons. These reasons include
better visualization of intra-articular structures, less tissue trauma from open
incisions, and potentially the ability to begin early postoperative motion. The
purpose of this paper is to review the indications, complications, and results of
arthroscopic management of a stiff elbow.
PMID- 22084756
TI - Giant splenic artery aneurysm: case report.
AB - Splenic artery aneurysm is the third most common location of intra-abdominal
aneurysms. Giant splenic artery aneurysm is rarely seen and is at a high risk of
rupture. Location and size of the splenic artery aneurysm determine the
likelihood of rupture. A case of giant splenic artery aneurysm in a 35-year-old
woman is reported. She presented with upper gastrointestinal bleeding. She had
splenomegaly and extrahepatic hepatic portal hypertension. Angiography confirmed
a giant splenic artery aneurysm measuring 8 * 10 centimeters, located in middle
and distal two-thirds of the splenic artery. Surgical treatment in the form of in
toto excision of aneurysm with splenectomy and devascularization was performed.
PMID- 22084757
TI - Pilot study on the efficiency of the biostimulation with autologous plasma rich
in platelet growth factors in otorhinolaryngology: otologic surgery
(tympanoplasty type I).
AB - When otologic procedures that involve tympanic membrane repairs are performed,
biomaterials or biological tissues as normal as grafts are used. At the moment,
biological material from the own patient is used with varying success rates. The
procedure used and the patient's tissue repair capabilities tend to determine the
outcome. We present a preliminary study on tympanic membrane perforation repairs
using an autograft obtained by manipulating platelet degranulation and the
coagulation cascade and reinforced with a seal using platelet growth factors. We
present three cases in which we used this procedure. The results will be valued
based on the tympanic perforation closure index. With this study, we want to
assess the effectiveness of tympanic perforation repairs with this technically
simple method. If this method was objectively proved to be effective, it would
lead to lower patient morbidity and sanitary costs.
PMID- 22084758
TI - Strangulated tension viscerothorax with gangrene of the stomach in missed
traumatic diaphragmatic rupture.
AB - Acquired diaphragmatic hernias are usually posttraumatic in occurrence. In
patients who have blunt trauma and associated diaphragmatic hernia, the diagnosis
may be missed or delayed, often leading to poor treatment outcomes. We present a
rare occurrence of tension viscerothorax due to missed traumatic diaphragmatic
rupture in a 25-year-old woman whose condition was complicated by gangrene and
perforation of the fundus as well as questionable viability of the anterior wall
of the body of the stomach. The patient had a successful emergency transabdominal
suture plication of the diaphragm and gastroplasty and has remained symptomless 3
months postoperatively.
PMID- 22084759
TI - A review of posttraumatic bowel injuries in ibadan.
AB - Background. Bowel injuries are a leading cause of morbidity and mortality
following trauma. Evaluating patients who sustained abdominal trauma with bowel
injury may pose a significant diagnostic challenge to the surgeon. Prompt
recognition and timely intervention is necessary to improve outcome. Aim. This
study was undertaken to evaluate treatment and outcome of patients with bowel
trauma. Methods. A 5-year retrospective study of all patients presenting with
abdominal trauma requiring surgical intervention seen in the UCH Ibadan, Nigeria
was undertaken. Results. There were 71 patients (59 males and 12 females). The
majority of cases (70%) occurred between the 3rd and 5th decades of life. Some 37
patients (52%) sustained blunt abdominal injury, while 34 patients (48%)
sustained penetrating abdominal injury. There were 27 patients with bowel
injuries (38%). Isolated bowel injuries occurred in 19 patients (27%). The most
common surgical operation performed was simple closure. There were 3 deaths in
patients with bowel injuries. Conclusion. Most cases of bowel injury can be
managed by simple closure, a technique that is not so technically demanding for
surgeons in less-developed countries. This study has also incidentally identified
a "rule of six" for patients with bowel injuries and abdominal trauma.
PMID- 22084760
TI - Simultaneous use of cannulated reamer and schanz screw for closed intramedullary
femoral nailing.
AB - Introduction. Closed reduction is a critical component of the intramedullary
nailing and at times can be difficult and technically challenging resulting in
increased operative time. Fluoroscopy is used extensively to achieve closed
reduction which increases the intra-operative radiation exposure. Materials and
Methods. Sixty patients with femoral diaphyseal fractures treated by locked
intramedullary nailing were randomized in two groups. In group I, fracture
reduction was performed under fluoroscopy with a cannulated reamer in the
proximal fragment or with simultaneous use of a cannulated reamer in the proximal
fragment and a Schanz screw in the distal fragment. Patients in group II had
fracture reduction under fluoroscopy alone. Results. Closed reduction was
achieved in 29 patients in group I and 25 patients in group II. The guide wire
insertion time, time for nail insertion and its distal locking, total operative
time, and total fluoroscopic time were 26.57, 27.93, 68.03, and 0.19 minutes in
group I, compared with 30.87, 27.83, 69.93, and 0.24 minutes in group II,
respectively. The average number of images taken to achieve guide wire insertion,
for nail insertion and its locking and for the complete procedure in group I,
respectively, was 12.33, 25.27, and 37.6 compared with 22.1, 26.17, and 48.27,
respectively, in group II. Conclusion. The use of cannulated reamer in proximal
fragment as intramedullary joystick and Schanz screw and in the distal fragment
as percutaneous joystick facilitates closed reduction of the fracture during
closed intramedullary femoral nailing with statistically significant reduction in
guide wire insertion time and radiation exposure.
PMID- 22084761
TI - Collateral tissue damage by several types of coagulation (monopolar, bipolar,
cold plasma and ultrasonic) in a minimally invasive, perfused liver model.
AB - Hemostasis in minimally invasive surgery causes tissue damage. Regardless of the
method of production of thermal energy, a quick and safe coagulation is essential
for its clinical use. In this study we examined the tissue damage in the isolated
perfused pig liver using monopolar, bipolar, cold plasma, and ultrasonic
coagulation. In a minimally invasive in vitro setup, a 2-3 cm slice of the edge
of the perfused pig liver was resected. After hemostasis was achieved, liver
tissue of the coagulated area was given to histopathological examination. The
depth of tissue necrosis, the height of tissue loss, and the time until
sufficient hemostasis was reached were analyzed. The lowest risk for extensive
tissue damage could be shown for the bipolar technique, combined with the highest
efficiency in hemostasis. Using cold plasma, coagulation time was longer with a
deeper tissue damage. Monopolar technique showed the worst results with the
highest tissue damage and a long coagulation time. Ultrasonic coagulation was not
useful for coagulation of large bleeding areas. In summary, bipolar technique led
to less tissue damage and best coagulation results in our minimally invasive
model. These results could be important to recommend bipolar coagulation for
clinical use in minimally invasive surgery.
PMID- 22084762
TI - Malignant peripheral nerve sheath tumors in Africa: a clinicopathological study.
AB - Introduction. Malignant peripheral nerve sheath tumors (MPNSTs) are rare,
aggressive soft tissue sarcomas associated with poor prognosis, that most
commonly affect patients aged 20 to 50 years, but have also been reported in
children. There is little reported in literature on these tumors in Africa.
Materials and Methods. A search of the hospital pathology database between 1992
and 2008 revealed 333 nerve sheath tumors, of which 31 were MPNSTs. Four
representative case reports are presented. Discussion. MNPSTs have rarely been
reported from sub-Saharan Africa; in this study, they constituted 9.3% of all
nerve sheath tumors. The trunk (42%) and limbs (45%) were the most frequently
affected anatomical sites. Late presentation of malignant lesions in this
environment is exemplified by the four case presentations patients. Conclusions.
This report confirms observations from studies on MPNSTs from other environments.
Anatomically centrally located MPNSTs may have a higher incidence in sub-Saharan
Africa than in the West. Because NF1-associated MPNSTs are difficult to diagnose
clinically, and because surgery is the only mode of therapy that offers a
complete cure, a lifetime follow-up is important, as this would enable diagnosis
of early lesions amenable to surgical extirpation.
PMID- 22084763
TI - Secondary tuberculosis of breast: case report.
AB - Tuberculosis of breast is a rare disease which is difficult to differentiate from
carcinoma of breast. The involvement of breast can be primary or secondary to
some focus in body. A case of secondary tuberculosis of right breast in a 21-year
old female from Kashmir, India, is being reported. Presentation was as a painless
discharging sinus of right breast. A tubercular foci of rib was the affecting
source of disease. No other evidence of tuberculosis was present in the body.
Resection of involved rib segment, along with the discharging sinus, was
performed. The patient had antitubercular therapy for 9 months, with no
recurrence seen in followup.
PMID- 22084764
TI - Adjuvant perioperative intraperitoneal chemotherapy in locally advanced
colorectal carcinoma: preliminary results.
AB - Background and Aims. Intraperitoneal chemotherapy is a basic tool in the
treatment of peritoneal malignancy. The purpose of the study is to investigate
the effect of adjuvant perioperative intraperitoneal chemotherapy in the
treatment of locally advanced colorectal cancer. Patients and Methods. Patients
with T(3) and T(4) colorectal carcinomas that underwent R(0) resection received
either hyperthermic intraoperative intraperitoneal chemotherapy (HIPEC group = 40
patients) or early postoperative intraperitoneal chemotherapy (EPIC group = 67
patients). The survival, the recurrences and the sites of recurrence were
assessed. Results. The 3-year survival rate for HIPEC group was 100% and for EPIC
group 69% (P = .011). Nodal infiltration was found to be the single prognostic
indicator of survival. The incidence of recurrence in EPIC group was higher than
in HIPEC group (P = .009). The independent indicators of recurrence were the use
of HIPEC and the degree of differentiation (P < .05). Conclusions.
Intraperitoneal chemotherapy, particularly HIPEC, as an adjuvant in locally
advanced colorectal carcinomas appears to improve survival and decrease the
incidence of recurrence.
PMID- 22084765
TI - Alimentation impact of treatments of 254 oropharyngeal cancers (1998-2003).
AB - Objective. To analyze the functional impact of the various possible treatments of
oropharyngeal squamous cell carcinomas to find the main prognostic factors of
dysphagia induced by these treatments. Patients. Clinical data from 254 patients
treated for squamous cell carcinoma of the oropharynx between 1998 and 2003 were
retrospectively analyzed. A multivariate model enabled us to evaluate the role of
each potentially harmful factor on swallowing. Main Outcome Measures. The
significant factors influencing the consumption of liquid, pasty, and normal food
were the same: the initial T stage and the type of treatment. Conclusion.
Whatever the possible and selected treatment was, the impact on the functional
capacities, and thus, the quality of life of the patients was considerable. Even
though we could not significantly demonstrate exclusive radiotherapy caused more
long-term undesirable effects than surgery followed by radiotherapy, our daily
practice has shown that we should favour the latter.
PMID- 22084766
TI - Spontaneous Hepatic Rupture with Intraperitoneal Hemorrhage without Underlying
Etiology: A Report of Two Cases.
AB - Spontaneous hepatic rupture is a rare event most often occurring in association
with underlying liver disease or pregnancy. We report two unusual cases of
hepatic rupture without any identifiable pathology, trauma, or comorbid
conditions.
PMID- 22084767
TI - Perils of prolonged impaction of oesophageal foreign bodies.
AB - Ill-conceived effort at removal of impacted foreign bodies (FBs) in oesophagus
vies with delay in removal as the causes of morbidity and mortality. Most
oesophageal FBs are safely removed endoscopically when attempted early. However,
large sharp FBs like dentures and meat bones can get deeply embedded in the wall
with prolonged impaction or injudicious attempts at removal leading to life
threatening mediastinitis. Open surgery to access the oesophageal-impacted FB in
such an event is hazardous. This report emphasizes the need for early site
specific surgical approaches that may be required, albeit rarely, for oesophageal
impacted FBs, where attempts at endoscopic removal have failed or complications
have ensued.
PMID- 22084768
TI - Treatment of complex fistula in ano with cable-tie seton: a prospective case
series.
AB - Objective. To determine the fecal incontinence and recurrence rate in patients
with complex fistula in ano managed with cable tie seton at a tertiary care
teaching hospital. Methods. This is a prospective case series of patients with
complex anal fistula i.e. recurrent fistula or encircling >30% of external anal
sphincter, managed with cable tie seton from March 2003 to March 2009. Patients
were seen in the clinic after 72 hours of seton insertion under anesthesia and
then every other week. Each time the cable-tie was tightened if found loose
without anesthesia and incontinence was inquired according to wexner's score.
Results. Seventy nine patients were treated during the study period with the age
(mean +/- standard deviation) of 41 +/- 10.6 years and. The seton was tightened
with a median of six times (3-15 times range). Complete healing was achieved in
11.2 +/- 5.7 weeks. All the patients were followed for a minimum period of one
year and none of the patients had any incontinence. Recurrence was found in 4
(5%) patients. Conclusion. The cable tie seton is safe, cost effective and low
morbidity option for the treatment of complex fistulae-in-ano. It can, therefore,
be recommended as the standard of treatment for complex fistulae-in-ano requiring
the placement of a seton.
PMID- 22084769
TI - Adhesive intestinal obstruction in infants and children: the place of
conservative treatment.
AB - Objectives. Adhesive intestinal obstruction (AIO) is rare in the pediatric age
group and its treatment is still controversial. This is a retrospective review of
our experience in infants and children with AIO. Patients and Methods. The
records of infants and children with AIO between January 2001 and December 2010
were retrospectively reviewed for age at diagnosis, sex, initial operation,
interval between initial operation and presentation, diagnosis, treatment and
outcome. Results. 44 infants and children were admitted with AIO. There were 28
males and 16 females who had 46 episodes. Their ages at presentation ranged from
1 month to 12 years (mean 5.4 years), while their ages at initial operation
ranged from 2 days to 12 years (mean 4.15 years). Time elapsed from initial
operation to presentation ranged from 7 days to 8 years (mean 1.5 years), and 66%
developed AIO within 1 year from initial operation. Appenedecectomy was the
commonest operation (29.5%). Four (9%) responded to conservative treatment. The
other 40 (91%) required surgical intervention. Twenty-nine had release of
adhesions only, while 10 (25%) had resection of small intestines and one
underwent stricturoplasty. Two developed recurrence and one died. Conclusions.
AIO is rare in the pediatric age group and the majority becomes symptomatic
within 1 year of operation. Appendecectomy is the commonest operation leading to
AIO. The place of conservative treatment is limited and to obviate delay and
decrease the chance of intestinal ischemia, they should be treated early with
surgical adhesiolysis.
PMID- 22084770
TI - Transient myocarditis associated with fulminant colitis.
AB - Case Summary. An 18-year old man presented with a three-week history of abdominal
pain, weight loss and bloody diarrhoea. He was profoundly septic, with
generalised abdominal tenderness. CT and flexible sigmoidosopy confirmed colitis
of the colon with rectal sparing. Laparotomy was performed when conservative
management failed to improve his condition. Subtotal colectomy, with end
ileostomy and mucus fistula formation, was performed in light of active colitis.
Despite successful operative intervention the patient acute left ventricular
failure, raising the possibility of giant cell myocarditis, which fully resolved
before a definitive diagnosis could be reached. Discussion. It is possible that
the transient cardiac failure in this case may represent an overwhelming
inflammatory response or myocarditis. Inflammatory bowel disease is rarely
associated with giant cell myocarditis (GCM). GCM usually affects a young
population and its prognosis is variable, ranging from complete recovery,
remission with recurrence and fatality. The management of this group of patients
is still relatively experimental. Conclusion. Fulminant colitis can be associated
with a rapid deterioration in cardiac function. Causes include sepsis, systemic
inflammatory response syndrome or myocarditis. GCM should be considered in
patients with new onset of left ventricular failure that decline rapidly.
PMID- 22084771
TI - Prediction of length of stay following elective percutaneous coronary
intervention.
AB - There have been published risk stratification approaches to predict complications
following percutaneous coronary interventions (PCI). However, a formal assessment
of such approaches with respect to predicting length of stay (LOS) is lacking.
Therefore, we sought to assess the performance of, an easy-to-use, tree
structured prognostic classification model in predicting LOS among patients with
elective PCI. The study is based on the New York State PCI database. The model
was developed on data for 1999-2000, consisting of 67,766 procedures. Validation
was carried out, with respect to LOS, using data for 2001-2002, consisting of
79,545 procedures. The risk groups identified by the model exhibited a strong
progressively increasing relative risk pattern of longer LOS. The predicted
average LOS ranged from 3 to 9 days. The performance of this model was comparable
to other published risk scores. In conclusion, the tree-structured prognostic
classification is a model which can be easily applied to aid practitioners early
on in their decision process regarding the need for extra resources required for
the management of more complicated patients following PCI, or to justify to
payors the extra costs required for the management of patients who have required
extended observation and care after PCI.
PMID- 22084772
TI - A systematic and evidence-based approach to the management of vertebral
metastasis.
AB - Diagnosis and management of vertebral metastasis requires a systematic approach
to patient identification as well as selection of appropriate therapy. Rapid
identification and prompt intervention in the treatment of malignant epidural
spinal cord compression (MESCC) is key to maintaining quality of life. This paper
provides a series of tools as well as guidance in selecting effective and
evidence-based therapy individualized to the specific patient.
PMID- 22084773
TI - Diagnostic accuracy of fine needle aspiration cytology in parotid lesions.
AB - Objective. Histopathology of parotid gland tumors is extremely varied and complex
due to heterogeneous cellular composition. Preoperative diagnostic tools include
fine needle aspiration cytology, the role of which remains controversial. The aim
of this paper is to evaluate the usefulness and accuracy of fine needle
aspiration cytology (FNAC) in the diagnosis of parotid gland tumors. Methods. We
retrospectively reviewed charts of 129 patients who underwent parotidectomy for
parotid lesions at Aga Khan University Hospital from 2002 to 2010. We compared
the results of preoperative FNAC with final histopathological diagnosis. Results.
Concordance with histological results was observed in 86%, specificity was 98%,
sensitivity was 84%, and diagnostic accuracy was 94%. Conclusion. Our results
demonstrate that preoperative cytology in parotid lesions is fairly accurate and
useful in diagnosing benign from malignant and in planning appropriate approach
for treatment.
PMID- 22084774
TI - Trocar site hernia after laparoscopic colectomy: a case report and literature
review.
AB - Background. Trocar Site Hernia (TSH) is defined as an incisional hernia which
occurs after minimally invasive surgery on the trocar incision site.In 2004
Tonouchi classified trocar site hernias into 3 types: Early onset type; Late
onset type; Special type. Case Report. We report the case of a 76-year old woman
that underwent an emergency explorative laparotomy on the 10th p.o. day after a
laparoscopic left hemicolectomy. Surgery showed a small bowel herniation through
the 12 mm trocar incision site; the intestinal loop appeared necrotic and had to
be resected, and the hernia orifice was repaired. We carried out a review of
literature about this topic. Discussion. The clinical onset of a trocar site
hernia is usually early, occurring within the 30th post operative day and it is
caused by the omentum or small bowel entrapment into the trocar orifice. The
clinical presentation is insidious, with progression to an acute abdomen, and an
emergency surgical approach is often required. Conclusions. TSH is a severe
complication of operative laparoscopy especially with large-bore trocar ports.
The incidence of TSH resulting from our review ranges from 0.007% to 22% with an
average of 1.85%. Prevention of TSH appears to be more effective when trocar
insertion through the abdominal wall is tangential, the closure of both the
fascia and the peritoneum is performed if the incision is greater than 7 mm, the
suture of extra umbilical port site is performed under laparoscopic vision.
PMID- 22084775
TI - Patient demographics in acute care surgery at the ruijin hospital in shanghai.
AB - Acute Care Surgery is a discipline that includes trauma care, surgical critical
care, and emergency surgery. It is organized in different models and provides
mainly operative and nonoperative care. The aim of this study was to provide a
demographic analysis of the care of surgical patients at the Emergency Department
(ED) in a large teaching hospital in Shanghai, where general surgeons and
orthopedic surgeons take care for most of all acute surgery. A bilingual
questionnaire was developed to collect data for patients referred to the general
or orthopedic surgeon in the ED (June-September 2008). Data about the gender,
age, diagnosis, diagnostic tools, treatments, and outcomes were collected. A
total of 255 questionnaires were collected; the most common diagnoses of patients
were infections of abdominal organs and fractures. Complementary diagnostics like
X-ray (59%), blood tests (36%), and ultrasound (17%) were frequently used. More
than half of the patients were discharged afterwards most of them with followup.
This study gives a first overview of acute care surgery of the emergency patients
of the ED in a large Chinese metropolitan hospital.
PMID- 22084776
TI - Dysphagia lusoria: a case of an aberrant right subclavian artery and a bicarotid
trunk.
AB - Dysphagia Lusoria is dysphagia secondary to an aberrant right subclavian artery
that has a retroesophageal course. Adachi and Williams categorized aortic arch
anomalies, showing that the right subclavian artery arising in this fashion (as
the final branch of the descending aortic arch) is one of the more common.
However, this very rarely coexists with a bicarotid trunk. We present such a case
as it is manifested in a 36-year-old lady complaining of marked weight loss and
dysphagia. The diagnosis remained elusive until a CT scan of the chest was
performed; angiography further delineated the pathology. It is believed that the
combination of the common carotid origins with the retroesophageal course of the
aberrant vessel more frequently accounts for symptoms in the absence of an
aneurysm of the origin of the aberrant vessel. Several techniques to manage the
aberrant vessel have been described in the literature, but we favoured open
ligation and transposition to the right carotid artery.
PMID- 22084777
TI - Reconsideration of laparoscopic cholecystectomy.
AB - We describe the surgical method of cases showing a distended gallbladder. Because
the most important thing does not cause biliary tract injury, it is to find
orientation carefully. The frequency of incidental gallbladder cancer was in 7
(0.7%) of the 983. Only cholecystectomy is necessary to be performed for Tis or
T1 cancer, and surgery has to be changed to radical surgery for T2 cancer or
deeper invasion. Laparoscopic cholecystectomy is already an established standard
operation. In the presence of acute or severe chronic inflammation, special
attention should be paid to these points.
PMID- 22084778
TI - Small bowel obstruction caused by an incarcerated hernia after iliac crest bone
harvest.
AB - The iliac crest has become an often used site for autogenous bone graft, because
of the easy access it affords. One of the less common complications that can
occur after removal is a graft-site hernia. It was first reported in 1945 (see
the work by Oldfield, 1945). We report a case of iliac crest bone hernia in a 53
year-old male who was admitted for elective resection of a pseudarthrosis and
reconstruction of the left femur with iliac crest bone from the right side. One
and a half months after initial surgery, the patient presented with increasing
abdominal pain and signs of bowel obstruction. A CT scan of the abdominal cavity
showed an obstruction of the small bowel caused by the bone defect of the right
iliac crest. A laparoscopy showed a herniation of the small bowel. Due to
collateral vessels of the peritoneum caused by portal hypertension, an IPOM
(intraperitoneal onlay-mesh) occlusion could not be performed. We performed a
conventional ventral hernia repair with an onlay mesh. The recovery was
uneventful.
PMID- 22084779
TI - Recurrent chest pain, as a presenting sign of ovarian endometrioma.
AB - Chest pain is a rare sign of thoracal endometriosis associated with endometrioma
of the tubo-ovarian endometrioma. We report the case periodic episodes of chest
pain concurrent with menstruation in a 35-year-old female, in which ovarian
endometrioma was diagnosed and left-sided oophorectomy was performed. After
surgery, patient underwent medical treatment which included a Gn-RH agonist and a
combined oral contraceptive. In the follow-up period, there was no evidence of
chest pain.
PMID- 22084780
TI - Acute posterior shoulder dislocation with reverse hill-sachs lesion of the
epiphyseal humeral head.
AB - Posterior dislocation of the shoulder is an unfrequent event that often occurs as
a consequence of a direct trauma or epileptic crisis. Frequently the posterior
dislocations are misunderstood, so they become chronic lesions. We reported a
case of an acute posterior left shoulder dislocation with lesser tuberosity
fracture and reverse Hill-Sachs lesions which involved more than 25% of the
articular surface of the humeral head, in a 57-old-year man with right
hemiparesis. We performed a synthesis of the lesser tuberosity with a screw, and
we restored the shape of the humeral head with allograft. We achieved a good
result that allows the patient to be able to do his previous activities of daily
living.
PMID- 22084781
TI - Treatment of maxillary deficiency by miniplates: a case report.
AB - Introduction. Numerous devices have been introduced for correction of Class III
malocclusion and maxillary deficiency. Aim. To assess the dentoskeletal effects
of miniplates combined with Class III traction in treating Cl III malocclusion
and maxillary deficiency in growing patients. Methods. This case describes the
treatment of a maxillary-deficient 11-year-old boy by using miniplates. The
patient's parents rejected the use of extraoral appliances and major surgical
correction; therefore the treatment was done by using Class III elastics
connected from two mandibular miniplates to an upper removable appliance. Two
miniplates were inserted in the anterior part of the mandible in the canine areas
under local anaesthesia. The treatment lasted for 10 months after which
favourable correction of the malocclusion was observed. Results. The SNA and ANB
angles increased by 5.1 degrees and 4.4 degrees , respectively. Lower 1 to
mandibular plane decreased by 3.4 degrees . Conclusions. This case demonstrates
that miniplates can be a suitable method to extraoral appliances and major
surgery in maxillary deficiency cases.
PMID- 22084782
TI - Congenital paraesophageal hernia with intrathoracic gastric volvolus in two
sisters.
AB - Congenital paraesophageal hernia is rare in infants and children. This paper
describes our experience with seven infants and children with congenital
paraesophageal hernia with emphasis on two sisters who presented with unusually
large paraesophageal hernias and herniation of most of the stomach resulting in
intrathoracic gastric volvolus. The literature on the subject is also reviewed.
PMID- 22084783
TI - Aggressive surgical treatment in late-diagnosed esophageal perforation: a report
of 11 cases.
AB - Introduction. Esophageal perforation is a relatively uncommon and lethal disease
usually resulting from endoscopic procedures. Delay in the diagnosis and
treatment occurs in more than 50% of cases, leading to a mortality rate of 40% to
60%, but this rate decreases is 10%-25% if treatment is carried out within 24
hours of perforation. Case Presentation. To analyze the characteristics,
etiology, site of perforation, presentation, time interval till diagnosis,
treatment and outcome of patients with esophageal perforation. Over a five-year
period, from October 2004 through March 2009, 11 patients with esophageal
perforation were referred to the division of thoracic surgery of a tertiary
referral hospital. In eight patients, perforations were thoracic with delayed
diagnosis for at least 48 hours. Two patients had cervical esophageal
perforation, and one patient had early-diagnosed Boerhaave's syndrome. Eight
patients are alive after followup for a period ranging from eight months to five
years. In the remaining three patients, cancer was the underlying disease and the
reason of death. Conclusion. No patient with esophageal perforation should be
deprived from surgical repair due to delayed diagnosis. All, except preterminal
patients, should undergo exploration after resuscitation, and appropriate
treatment should be carried out depending on the findings during operation.
Aggressive treatment is necessary in the case of established mediastinitis.
PMID- 22084784
TI - Using nodal ratios to predict risk of regional recurrences in patients treated
with breast conservation therapy with 4 or more positive lymph nodes.
AB - Purpose. The value of nodal ratios (NRs) as a prognostic variable in breast
cancer is continually being demonstrated. The purpose of this study was to use NR
in patients with >=4+ nodes to assess a correlation of NR with regional (lymph
node) recurrence. Methods. Inclusion criteria was >=8 nodes dissected with >=4+
nodes after breast conservation therapy. Of 1060 patients treated from 1975 to
2003 who had a minimum of 8 nodes dissected, 273 were node+; 56 patients had >=4+
involved nodes and were the focus of this study. Nodal ratios were calculated for
each patient and grouped into 3 categories: high (>=70%), intermediate (40%-69%)
and low (<40%). Each nodal ratio was correlated with patterns of local, regional,
and distant failures and OS. Results. Outcomes for the entire cohort were BRFS
83%, NRFS-93%, DMFS-61%, and OS 63% at 10 yrs. The OS, DMFS, and NRFS correlated
with N2 (4-9 nodes+) versus N3 (>=10+) status but did not correlate with BRFS, as
expected. When evaluating NR, 18 pts had high NR (>70%). Only 3 patients
experienced nodal recurrences, all within previously radiated supraclavicular
fields. All 3 in-field regional failures occurred in the N3 group of patients
with NR >70%. All were treated with a single AP field prescribed to a dose of 46
Gy at a standard depth of 3 cm. Conclusions. In this group of N2/N3 patients
treated with BCT, we were able to identify patients at high risk for regional
failures as those with high NR of >70% and >=10+ nodes. While these findings need
to be reproduced in larger datasets, this group of patients with NR of >70% in 4
or more positive axillary lymph nodes may benefit from meticulous targeting of
regional nodes, dose escalation, and/or more intensive systemic therapies.
PMID- 22084786
TI - Diffuse cutaneous metastases as the only sign of extranodal tumor spread in a
patient with adenocarcinoma of the colon.
AB - Cutaneous metastases from large bowel cancer are uncommon and are usually
associated with organ involvement. Localization of lesions to the skin is mainly
attributed to vascular and anatomical relationship, since most of them are seen
in the abdominal wall or in a surgical scar. We report a 73-year-old woman in
whom metastatic nodules from a poorly differentiated adenocarcinoma of the right
colon developed throughout the skin (buttock, trunk, chest wall, arms, and neck)
and remained the only sign of extranodal tumor spread until patient's death,
seven months later. This unusual behaviour suggests that localization of
neoplastic cells to the skin may be a site-specific process, determined by
adhesion molecules and/or by growth factors found at that site.
PMID- 22084785
TI - Giant gastrointestinal stromal tumor presenting as a palpable abdominal mass: an
unusual presentation.
AB - Gastrointestinal stromal tumors (GIST-) account for the majority of mesenchymal
tumors arising within the gastrointestinal tract. GIST presenting as a palpable
abdominal mass is extremely rare. We report four additional cases of a GIST
presenting as an abdominal mass along with a pertinent review of the literature.
Twenty five cases of GISTs presenting with an abdominal mass, including 4 cases
discussed here, have been reported in the world literature since 2001. The mean
duration of symptoms was 152.7 days. Twenty one of 25 (84%) patients received
surgical resection. The mean tumor size was 17.2 cm, with an average mitotic
index of 7.6 per 50 high power fields. Thirteen of 14 (92.9%) patients had a high
risk tumor. Five patients were disease-free at a mean followup of 11 months, 2
patients had stable disease and 2 patients had progressive disease, and one
patient had a partial response. In conclusion, symptomatic patents have an
increased incidence of high-risk tumors and metastases at presentation. Adjuvant
therapy with imatinib improves disease-free survival in patients with large
abdominal GIST tumors, but no change in overall survival was noted. Finally,
GISTs should be considered in the differential diagnosis of an abdominal mass in
an elderly patient.
PMID- 22084787
TI - Randomized split-mouth study on postoperative effects of palmitoylethanolamide
for impacted lower third molar surgery.
AB - The aim of this study was to assess the efficacy of Normast 300 mg in reducing
swelling and pain after the surgical extraction of impacted lower third molars.
Materials and Methods. A randomized, split-mouth, single-blind study was
conducted on 30 patients between 18 and 30 years of age requiring lower third
molar extraction. Patients underwent bilateral extractions in a randomized
sequence, one extraction being performed under Normast treatment. The Normast
treatment involved 2 tablets a day for 15 days. The parameters assessed at each
procedure were trismus, swelling, pain, NSAID consumption, postoperative
complications, drug tolerability, and safety. The results obtained were processed
using repeated measures analysis of variance. Results. Perceived postoperative
pain was reportedly significantly milder on Normast treatment than control. The
trend of the means differed over time (P < .0001) and between the two extraction
groups (P < .0221). On the other hand, for edema and trismus, the trend differed
over time for both groups but did not differ between the two groups. Discussion.
Our analyses indicate that patients experienced significantly less postoperative
pain when they were treated with Normast. Conclusions. Administering Normast
improves the postoperative course-in terms of pain-after lower third molar
extraction.
PMID- 22084788
TI - The management of "coats' response" in a patient with x-linked retinitis
pigmentosa-a case report.
AB - The management of exudative retinal detachment in Coats disease can be very
difficult with variable results. A case is presented of a 12 year old boy who was
diagnosed with X-linked retinitis pigmentosa with an associated "Coat's
Response". The patient had a marked reduction in his left visual acuity due to
intragel and subhyaloid haemorrhage as well as exudative retinal detachment. This
was managed successfully with vitrectomy and endolaser, resulting in clearance of
the haemorrhage and flattening of the retina. In our experience endolaser should
be considered as viable therapeutic option in the management of this condition.
PMID- 22084789
TI - Success rate of microimplants in a university orthodontic clinic.
AB - Introduction. The purpose of this study was to examine the success rate and find
factors affecting the clinical success of microimplants used as orthodontic
anchorage. Methods. Seventy-three consecutive patients (25 male, 48 female; mean
age, 22.45 years) with a total of 139 screw implants of 2 types were examined.
Success rate was determined according to 18 clinical variables. Results. The
overall success rate was 87.8%. The clinical variables of microimplant factors
(type), patient factors (sex, skeletal and dental relationships, overbite, jaw
involved, side involved and site involved), and treatment factors (type of
insertion, time of loading, purpose of microimplant insertion, mode of loading,
type of anchorage used, direction of forces applied) did not show any statistical
difference in success rates. Mandibular angle, vertical position of implant
placement, oral hygiene status, and inflammation showed significant difference in
success rates. Conclusions. Proper case selection and following the recommended
protocol are extremely essential to minimise failures.
PMID- 22084790
TI - A rare case of recurrent hematuria from right kidney: radiologic diagnosis and
treatment.
AB - We report the case of a young woman admitted because of several and recurring
episodes of macroscopic hematuria beginned after her first pregnancy. Contrast
enhanced multidetector computed tomography images showed dilated ovarian veins
due to a typical pelvic varicocele. We supposed to be a right ovarian vein
syndrome, a rare clinical situation characterized by an anomalous compression of
the lumbar ureter by the ectasic ovarian vein; this condition may cause a chronic
inflammatory stimulus above the urothelial mucosa with a following hematuria. All
symptoms were solved by an endovascular treatment through the sclero-embolisation
of the pelvic varicocele. After eighteen months the patient didn't present
hematuria anymore and she no longer complained about her right side lumbar pain.
PMID- 22084791
TI - Robotic-assisted laparoscopic prostatectomy for high-risk prostate cancer:
technical considerations and review of the literature.
AB - Men with high-risk prostate cancer are at significant risk of progressive,
symptomatic disease leading to metastases or death from prostate cancer. Surgery
specifically robotic-assisted laparoscopic prostatectomy (RALP)-is increasingly
being considered as a key component of a multimodal strategy to treat these
patients. Herein, we review key technical considerations of performing RALP with
bilateral pelvic lymphadenectomy in men with high-risk disease. Recent literature
supporting the increasing role of surgery either alone or in combination with
adjuvant therapies to treat men with high-risk prostate cancer is also reviewed.
PMID- 22084792
TI - Endourological Management of Urolithiasis in Donor Kidneys prior to Renal
Transplant.
AB - Background. We present our centres successful endourological methodology of ex
vivo ureteroscopy (EVFUS) in the management of these kidneys prior to renal
transplantation. Patient and Methods. A retrospective analysis was performed of
all living donors (n = 157) identified to have asymptomatic incidental renal
calculi from January 2004 until December 2008. The incidence of asymptomatic
renal calculi was 3.2% (n = 5). Donors were subdivided into 2 groups depending on
whether theydonated the kidney with the renal calculus (Group 1) versus the
opposite calculus-free kidney (Group 2). Results. All donors in Group 1 underwent
a left laparoscopic donor nephrectomy. The calculi were extracted in all 3 cases
using a 7.5 Fr flexible ureteroscope either prior to transplant (n = 2) or on
revascularization (n = 1). There were no urological complications in either
group. At a mean followup at 64 months there was no recurrent calculi formation
in the recipient in Group 1. However, 1 recipient formed a calculus in group 2 at
a follow up of 72 months. Conclusions. Renal calculi can be successfully
retrieved during living-related transplantation at the time of transplant itself
using EVUS. This is technically feasible and is associated with no compromise in
ureteral integrity or renal allograft function.
PMID- 22084793
TI - Giant Retroperitoneal Mucinous Tumor Supportively Diagnosed as a Dedifferentiated
Liposarcoma by Fluorescence In Situ Hybridization of MDM2 Gene.
AB - Surgical resection was performed on a 47-year-old woman for a retroperitoneal
mass that weighed 8.5 kg. Histological examination revealed a myxoid sarcomatous
tumor. Because diagnosis could not be determined by immunohistochemistry,
attention was focused on MDM2 (murine double minute) gene amplification by
fluorescence in situ hybridization (FISH) analysis. The tumor was finally
determined to be a dedifferentiated liposarcoma. We experienced a case of a giant
retroperitoneal dedifferentiated liposarcoma. FISH analysis was useful for the
diagnosis and determination of the therapeutic strategy.
PMID- 22084794
TI - Urinary Ascites following Mini Lap Tubectomy: A Rare Occurence.
AB - Iatrogenic bladder injury is a known complication of laparoscopic and
gynecological surgeries with an incidence of 1.5 per 1000 cases. Urinary ascites
is a result of undiagnosed iatrogenic bladder injury during pelvic surgeries. We
report a rare case of urinary ascites following mini lap tubectomy on the eighth
postoperative day. After the diagnosis was made, conservative management was done
for the patient, to which she successfully responded.
PMID- 22084795
TI - Surgical Treatment of Iatrogenic Rectourinary Fistula-York-Mason Technique-a Case
Report.
AB - Introduction. Recto-urinary fistulas resulting from trauma or surgery are a
serious and debilitating complication. They represent a challenge not only
because of the difficulty on choosing the best technique to solve them but also
because of the risk of recurrence. Spontaneous cure is rare. Materials and
Methods. We describe the case of a 61-years-old man that on the 9th postoperative
day of a laparoscopic radical prostatectomy (LRP) started with fecaluria and
liquid faeces. Recto-urinary fistula was confirmed at the 10th postoperative day
by CT scan and contrast enema. Discussion. We chose the York-Mason technique,
because it is simple to perform, effective and has minimal morbidity. This is a
posterior, transrectal, and transsphincteric approach, carried out on healthy
tissues without previous scarring phenomena. Results. The postoperative period
progressed without complications, and the patient discharged on the 4th day. The
closure of the fistula was confirmed radiologically by retrograde cystography
after 4 weeks allowing the removal of drainage catheter. The reconstruction of
intestinal transit was carried out 2 months later. Conclusion. The York-Mason
technique, a transrectal and transsphincteric approach with minimal morbidity,
proved to be effective on the resolution of the recto-urinary fistula, a rare
complication of the radical prostatectomy.
PMID- 22084796
TI - Novel vitamin d analogs for prostate cancer therapy.
AB - Prostate cells contain specific receptors for 1alpha,25-dihydroxyvitamin D
[1alpha,25(OH)(2)D] or calcitriol, the active form of vitamin D.
1alpha,25(OH)(2)D is known to inhibit the proliferation and invasiveness of
prostate cancer cells. These findings support the use of 1alpha,25(OH)(2)D for
prostate cancer therapy. However, 1alpha,25(OH)(2)D can cause hypercalcemia,
analogs of 1alpha,25(OH)(2)D that are less calcemic but exhibit potent
antiproliferative activity would be attractive as therapeutic agents. To
accomplish these goals, different strategies, based on metabolism, molecular
mechanism of actions, and structural modeling, have been taken to modify the
structure of vitamin D molecule with the aims to improve the efficacy and
decrease the toxicity of vitamin D to treat different diseases. During the past
four decades, over 3,000 analogs have been synthesized. In this paper, we discuss
the development and the biological analysis of a unique class of vitamin D
analogs with a substitution at the carbon 2 of 19-nor-1alpha,25(OH)(2)D(3)
molecule for potential application to the prevention and treatment of prostate
cancer as well as other cancers.
PMID- 22084797
TI - Intravesical tension-free vaginal tape removal: is there a single solution?
AB - Stress urinary incontinence (SUI) affects 10-20% of women in the general
population. Surgery for stress incontinence has been performed on women for over
a century, but with the advent of new urogynaecological sling procedures for its
management, urological surgeons are having to deal with an increasing number of
patients presenting with associated complications. With no clarity on the full
range of possible complications or certain consensus on their optimal management,
the ideal treatment remains a decision for the individual surgeon. In view of
this, we felt it of common interest to review the literature for the history of
sling procedures, present commonly arising complications, and seek to answer the
question in the title.
PMID- 22084798
TI - Assessment of the effects of zoledronic Acid therapy on bone metabolic indicators
in hormone-resistant prostate cancer patients with bone metastatasis.
AB - Purpose. Assessment of effects of zoledronic acid therapy on bone metabolic
indicators in hormone-resistant prostate cancer patients with bone metastasis.
Material and Methods. Hormone-resistant prostate cancer patients who were
identified to have metastases in their bone scintigraphy were taken to trial
group. Before administration of zoledronic acid, routine tests for serum calcium,
total alkalen phosphates were studied. Sample sera for bone metabolic indicators
BALP, PINP, and ICTP were collected. Bone pain was assessed via visual analogue
scale and performance via Karnofsky performance scale. Four mg zoledronic acid
was administered intravenously once a month. Results. When serum levels of bone
forming indicators PINP; BALP were compared before and after therapy, there were
insignificant decreases (P = .33, P = .21, resp.). Serum levels of bone
destruction indicator ICTP was compared, and there was a significant decrease
after zoledronic acid therapy (P = .04). When performances of the patients were
compared during therapy period, performances decreased significantly due to
progress of illness (P = .01). All patients had ostalgia caused by bone
metastases at various degrees. Significant decrease in pain scores was observed
(P < .01). Conclusion. Zoledronic acid therapy decreased bone destruction and was
effective in palliation of pain in patient with bone metastasis. Using bone
metabolic indicators during followup of zoledronic acid therapy might be useful.
PMID- 22084799
TI - Penile reconstruction for a case of genital lymphoedema secondary to proteus
syndrome.
AB - To our knowledge penile lymphoedema secondary to Proteus syndrome has not
previously been reported. Hence we report a case of a 16-year-old male who was
referred with features of right hemi-hypertrophy and severe lymphoedema affecting
his scrotum and penis. He had previously undergone scrotal reduction surgery at
the age of 13, but had since developed worsening penile oedema. His main concern
was that of cosmetic appearance prior to sexual debut, and he also complained of
erectile dysfunction. An MRI confirmed gross oedema of the penile skin, but
normal underlying cavernosal structure, and no other anatomical abnormality.
Under general anaesthesia, the entire diseased penile skin was excised. Two full
thickness skin grafts were harvested from the axillae, and grafted onto the
dorsal and ventral penile shaft respectively. A compressive dressing and urinary
catheter was applied for 7 days. Follow-up at 4 months confirmed complete graft
take with minimal scarring, and the patient was very satisfied with the cosmetic
outcome. He had also noticed a recovery in erectile activity, and feels
psychologically and physically more prepared for sexual relations.
PMID- 22084800
TI - Downstaging of TURBT-Based Muscle-Invasive Bladder Cancer by Radical Cystectomy
Predicts Better Survival.
AB - Differences between clinical (cT) and pathological tumor (pT) stage occur often
after radical cystectomy (RC) for muscle-invasive bladder cancer. In order to
evaluate the impact of downstaging on recurrence and survival, we selected
patients from a large, contemporary, population-based series of 1,409 patients
with MIBC. We included all patients who underwent RC (N=643) and excluded
patients who received (neo)adjuvant therapy, those with known metastasis at time
of diagnosis, and those with nonurothelial cell tumors. Disease outcomes were
defined as recurrence-free survival (RFS) and relative survival (RS), as a good
approximation of bladder cancer-specific survival. After applying the exclusion
criteria, 375 patients were eligible for analysis. Tumor downstaging was found to
be common after RC; in 99 patients (26.4%), tumor downstaging to non-muscle
invasive stages at RC occurred. Hydronephrosis at baseline and positive lymph
nodes at RC occurred significantly less often in these patients. In 62 patients,
no tumor was left in the cystectomy specimen. pT stage was pT1 in 20 patients and
pTis in 17 patients. Patients with tumor downstaging have about a 30% higher RFS
and RS compared to those without. Consequently, tumor downstaging is a favorable
marker for prognosis after RC.
PMID- 22084801
TI - Metastatic bladder cancer: a review of current management.
AB - Bladder cancer continues to result in substantial morbidity and mortality for
affected individuals. Advances in the management of metastatic bladder cancer
have been limited. Chemotherapy with platinum-based regimes remains the mainstay
of first-line treatment. Studies investigating alternative regimes have offered
no survival advantage. Targeted therapies may offer benefit either as single
agent or in combination with chemotherapy. Symptoms due to metastatic bladder
cancer impact patients' quality of life, and therefore holistic management is
vital. Such management includes radiotherapy, bisphosphonates, and the
involvement of specialist palliative care services. This review will discuss the
current management for metastatic bladder cancer, future potential treatment
modalities, and the evidence to support the management strategies.
PMID- 22084802
TI - Unique presentation of intra-abdominal testis: small bowel obstruction.
AB - We describe here a two-year-old male who required urgent laparotomy to relieve a
strangulated small bowel caused by internal herniation around an intra-abdominal
testis. This clinical presentation has not been reported previously.
PMID- 22084803
TI - Extraluminal colonic carcinoma invading into kidney: a case report and review of
the literature.
AB - Renal metastasis from primary colon cancer is very rare, comprising less than 3%
of secondary renal neoplasms. There are just 11 cases reported in the medical
literature of colonic adenocarcinoma metastatic to the kidney. Of these cases,
none occurred via direct invasion. We report a unique case of a 51-year-old
female with extraluminal colonic adenocarcinoma which directly invaded into the
kidney. Additionally, we investigate the causal relationship between the site of
invasion and a previous stab injury by reviewing the role of the peritoneum and
Gerota's fascia in preventing the spread of metastatic cancer into the perirenal
space. Due to the rarity of this event, we present this case including a review
of the existing literature relative to the diagnosis and treatment.
PMID- 22084804
TI - Mule bite to the male genitalia with complete penile and anterior urethra
amputation: unusual case and review of the literature.
AB - Animal bite is rare with few cases reported in the literature. The morbidity of
animal bites is directly related to the severity of the initial wound. Most
victims are boys, and dog bites are the most common injury. Infectious
complications are unusual, since treatment is sought early (Wein 2007). Thus,
urologists are not usually familiar with management and principles for treating
this condition. The authors report the case of a 38-year-old male with a severe
mule bite injury to the genitalia causing complete penile and anterior urethra
amputation and scrotal wound with no involvement of its contents. To our
knowledge, no such case had ever been reported in the medical literature. This
kind of emergencies is challenging for urologists.
PMID- 22084805
TI - Small cell carcinoma of the renal pelvis: a case report and review of the
literature.
AB - Extrapulmonary small cell carcinoma occurs in nearly all organs except the
central nervous system and the liver. We are presenting a case of renal small
cell carcinoma (SCC) with two unique characters. A 75-year-old patient was
evaluated for back pain with no other complaints. Magnetic Resonance (MR) imaging
of the abdomen revealed homogeneous tumor in the left renal pelvis extending
beyond the kidney. Metastatic workup was negative. A left nephroureterectomy was
performed. Histopathology and immunohistochemistry revealed a small cell
carcinoma of the renal pelvis. The patient declined adjuvant therapy and died 2
months after surgery due to unrelated causes. After comprehensive worldwide
literature search, we found 13 cases of SCC of the renal pelvis, including the
current case. The mean age was 61.6 years (37-83), with a M : F ratio of 1 : 1.8.
The average duration of symptoms was 71.4 days (21-168). Gross hematuria was the
most common symptom (69.2%) followed by pain (61.5%). Adjuvant chemotherapy was
provided to 4 patients (30.7%), and neoadjuvant to 1 patient. The median survival
of patients who did and did not receive chemotherapy was 5.5 months (3-8) and 6
months (2-31), respectively, P < .50. In conclusion, renal SCC (both parenchymal
and pelvic SCC) is a rapidly fatal disease with a median survival of <=8 months.
PMID- 22084806
TI - Urachal sinus presenting with abscess formation.
AB - Urachal affections are rare. Their variable ways of presentation may represent a
diagnostic challenge. Urachal sinuses are a rare type of these abnormalities.
They are usually incidental findings and remain asymptomatic unless a
complication (most commonly the infection) occurs. Infection of the urachal sinus
would clinically present as purulent umbilical discharge, abdominal pain, and
periumbilical mass. We report herein a case of infected urachal sinus in male
adult. The diagnosis was suspected clinically and confirmed with ultrasonography
and computed tomography scan. A preoperative cysto-fibroscopy showed normal
aspect of the bladder and excluded sinus communication. An initial broad spectrum
antibiotic therapy followed by complete excision of the sinus and fibrous tract
without cuff of bladder has been therefore performed. The postoperative course
was uneventful. No recurrence was observed after 18 months of followup.
Histological examination did not reveal any sign of malignancy.
PMID- 22084807
TI - Spinal versus General Anaesthesia in Postoperative Pain Management during
Transurethral Procedures.
AB - We compared the analgesic efficacy of spinal and general anaesthesia following
transurethral procedures. 97 and 47 patients underwent transurethral bladder
tumour resection (TUR-B) and transurethral prostatectomy (TUR-P), respectively.
Postoperative pain was recorded using an 11-point visual analogue scale (VAS).
VAS score was greatest at discharge from recovery room for general anaesthesia (P
= 0.027). The pattern changed significantly at 8 h and 12 h for general
anaesthesia's efficacy (P = 0.017 and P = 0.007, resp.). A higher VAS score was
observed in pT2 patients. Patients with resected tumour volume >10 cm(3)
exhibited a VAS score >3 at 8 h and 24 h (P = 0.050, P = 0.036, resp.).
Multifocality of bladder tumours induced more pain overall. It seems that spinal
anaesthesia is more effective during the first 2 postoperative hours, while
general prevails at later stages and at larger traumatic surfaces. Finally, we
incidentally found that tumour stage plays a significant role in postoperative
pain, a point that requires further verification.
PMID- 22084808
TI - The recent progress in quantitative medical image analysis for computer aided
diagnosis systems.
AB - Computer-aided diagnosis (CAD) has become one of the major research subjects in
medical imaging and diagnostic radiology. Many different CAD schemes are being
developed for use in the detection and/or characterization of various lesions
found through various types of medical imaging. These imaging technologies employ
conventional projection radiography, computed tomography, magnetic resonance
imaging, ultrasonography, etc. In order to achieve a high performance level for a
computerized diagnosis, it is important to employ effective image analysis
techniques in the major steps of a CAD scheme. The main objective of this review
is to attempt to introduce the diverse methods used for quantitative image
analysis, and to provide a guide for clinicians.
PMID- 22084809
TI - Recognizing Temporal Information in Korean Clinical Narratives through Text
Normalization.
AB - OBJECTIVES: Acquiring temporal information is important because knowledge in
clinical narratives is time-sensitive. In this paper, we describe an approach
that can be used to extract the temporal information found in Korean clinical
narrative texts. METHODS: We developed a two-stage system, which employs an
exhaustive text analysis phase and a temporal expression recognition phase. Since
our target document may include tokens that are made up of both Korean and
English text joined together, the minimal semantic units are analyzed and then
separated from the concatenated phrases and linguistic derivations within a token
using a corpus-based approach to decompose complex tokens. A finite state machine
is then used on the minimal semantic units in order to find phrases that possess
time-related information. RESULTS: In the experiment, the temporal expressions
within Korean clinical narratives were extracted using our system. The system
performance was evaluated through the use of 100 discharge summaries from Seoul
National University Hospital containing a total of 805 temporal expressions. Our
system scored a phrase-level precision and recall of 0.895 and 0.919,
respectively. CONCLUSIONS: Finding information in Korean clinical narrative is
challenging task, since the text is written in both Korean and English and
frequently omits syntactic elements and word spacing, which makes it extremely
noisy. This study presents an effective method that can be used to aquire the
temporal information found in Korean clinical documents.
PMID- 22084810
TI - Exploring the Possibility of Information Sharing between the Medical and Nursing
Domains by Mapping Medical Records to SNOMED CT and ICNP.
AB - OBJECTIVES: The purpose of this study is to explore possibility of information
sharing between the medical and nursing domains. METHODS: Narrative medical
records of 281 hospitalization days of 36 gastrectomy patients were decomposed
into single-meaning statements. These single-meaning statements were combined
into unique statements by removing semantically redundant statements. Concepts
from the statements describing patients' problem and medical procedures were
mapped to Systematized Nomenclature of Medicine Clinical Terms (SNOMED CT) and
International Classification for Nursing Practice (ICNP) concepts. RESULTS: A
total 4,717 single-meaning statements were collected and these single-meaning
statements were combined into 858 unique statements. Out of 677 unique statements
describing patients' problems and medical procedures, about 85.5% statements were
fully mapped to SNOMED CT. The remaining statements were partially mapped. In the
mapping to the ICNP concepts, 17.4% of unique statements were fully mapped, 62.8%
were partially mapped, and 19.8% were not mapped. About 32.3% of 705 concepts
extracted from the statements were mapped to both SNOMED CT and ICNP concepts.
CONCLUSIONS: These mapping results suggest that physicians' narrative medical
records can be structured and can be used for electronic medical record system,
and also it is possible for medicine and nursing to share patient care
information.
PMID- 22084811
TI - A SWOT Analysis of the Various Backup Scenarios Used in Electronic Medical Record
Systems.
AB - OBJECTIVES: Electronic medical records (EMRs) are increasingly being used by
health care services. Currently, if an EMR shutdown occurs, even for a moment,
patient safety and care can be seriously impacted. Our goal was to determine the
methodology needed to develop an effective and reliable EMR backup system.
METHODS: Our "independent backup system by medical organizations" paradigm
implies that individual medical organizations develop their own EMR backup
systems within their organizations. A "personal independent backup system" is
defined as an individual privately managing his/her own medical records, whereas
in a "central backup system by the government" the government controls all the
data. A "central backup system by private enterprises" implies that individual
companies retain control over their own data. A "cooperative backup system among
medical organizations" refers to a networked system established through mutual
agreement. The "backup system based on mutual trust between an individual and an
organization" means that the medical information backup system at the
organizational level is established through mutual trust. RESULTS: Through the
use of SWOT analysis it can be shown that cooperative backup among medical
organizations is possible to be established through a network composed of various
medical agencies and that it can be managed systematically. An owner of medical
information only grants data access to the specific person who gave the
authorization for backup based on the mutual trust between an individual and an
organization. CONCLUSIONS: By employing SWOT analysis, we concluded that a
linkage among medical organizations or between an individual and an organization
can provide an efficient backup system.
PMID- 22084812
TI - The adoption of electronic medical records and decision support systems in Korea.
AB - OBJECTIVES: To examine the current status of hospital information systems (HIS),
analyze the effects of Electronic Medical Records (EMR) and Clinical Decision
Support Systems (CDSS) have upon hospital performance, and examine how management
issues change over time according to various growth stages. METHODS: Data taken
from the 2010 survey on the HIS status and management issues for 44 tertiary
hospitals and 2009 survey on hospital performance appraisal were used. A chi
square test was used to analyze the association between the EMR and CDSS
characteristics. A t-test was used to analyze the effects of EMR and CDSS on
hospital performance. RESULTS: Hospital size and top management support were
significantly associated with the adoption of EMR. Unlike the EMR results,
however, only the standardization characteristic was significantly associated
with CDSS adoption. Both EMR and CDSS were associated with the improvement of
hospital performance. The EMR adoption rates and outsourcing consistently
increased as the growth stage increased. The CDSS, Knowledge Management System,
standardization, and user training adoption rates for Stage 3 hospitals were
higher than those found for Stage 2 hospitals. CONCLUSIONS: Both EMR and CDSS
influenced the improvement of hospital performance. As hospitals advanced to
Stage 3, i.e. have more experience with information systems, they adopted EMRs
and realized the importance of each management issue.
PMID- 22084813
TI - Japanese EMRs and IT in Medicine: Expansion, Integration, and Reuse of Data.
AB - OBJECTIVES: The prevalence of electronic medical record in Japan varies according
to the size of the hospital which is 62.5% in major hospitals, 21.7% in medium,
9.1% in small size hospitals, and 16.5% in clinics. The complete paperless system
is very limited, though some major hospitals are aiming at this system. Several
regional network systems which connect different platforms of EMRs, have been
developing in many districts, while the final picture of a regional network has
not been clearly proposed. To develop a whole electronic health record or
personal health records system from the regional network data, we have several
obstacles to overcome such as standardization, a privacy act, unique national
health number. METHODS: Some experimental trials have just been started. The
reuse of the accumulated data has also just been initiated. We exploited text
mining systems (term frequency-inverse document frequency method) to find similar
cases and auto-audit Japanese diagnosis related group (DRG) coding by using
discharge summaries. RESULTS: The same or even a more extreme phenomenon of huge
data accumulation is occurring in genetic research and confluence of multi
disciplines of informatics is the next step, which has an enormous accumulation
of data and discoveries of the relations beyond the dimension of each
informatics. CONCLUSIONS: We need another approach to science apart from the
conventional method, and data-driven approach with data mining techniques must be
brought in for each field. Informaticians have new important roles as
coordinators to link up numerous phenomena over dimensions.
PMID- 22084814
TI - Leveraging devices, data and discovery for smarter healthcare in Japan.
AB - OBJECTIVES: Over the past decade, hospitals and clinics have gradually adopted
hospital information systems, including provider order entries and electronic
health records. Although these systems have helped to improve patient safety and
efficiency of healthcare providers, not all healthcare providers and patients are
satisfied with the current situation. Healthcare should be smarter. Thus, there
is a need for state-of-the-art medical and healthcare devices that can handle
massive amounts of data with the help of sophisticated information processing and
discovery technologies. METHODS: This article compares hospital information
systems with the information systems of other social infrastructures. It also
explores the possibilities of smarter healthcare, including personal health
devices and personal health records with interoperability. RESULTS: The main
traits of the iEHR at Teikyo University Hospital in Tokyo include IT governance,
unification, and workflow efficiency. CONCLUSIONS: Smarter healthcare can be
achieved by leveraging the full capabilities of devices, data, and sophisticated
algorithms.
PMID- 22084815
TI - eHealth in Queensland: Progressing towards a Patient Centric, Networked Model of
Care.
AB - OBJECTIVES: Factors such as an ageing and rapidly growing population, an increase
in chronic disease rates and a global shortage of health professionals place
increased pressure on Australian health departments to deliver more with less. To
address the challenge faced by clinicians and support staff, the Queensland
Department of Health established an eHealth strategy in 2006 with a vision to
deliver a patient centric, networked model of care. METHODS: Queensland Health's
eHealth program is a complex program which brings together the outputs and
products of numerous projects to provide new clinical capabilities across the
state. To ensure the potential benefits of the Queensland Government investment
are realised, the eHealth program is implementing comprehensive benefits
management to plan for key outcomes and benefits, support projects to deliver
those benefits and ensure that they are delivered through ongoing measurement.
RESULTS: The first stage of the eHealth program is already delivering benefits
across the health department with a number of projects currently live in numerous
sites across Queensland. CONCLUSIONS: By adopting an evidence based benefits
management approach, Queensland Health's eHealth program is able to demonstrate
the achievement of these benefits with tangible evidence that will create
momentum for change in the short term, provide the evidence for future funding
applications in the medium term, and build an understanding of the economic
impacts of eHealth in the long term.
PMID- 22084816
TI - Mild chemo-selective hydration of terminal alkynes catalysed by AgSbF6.
AB - The chemo-selective hydration of a wide range of non-activated terminal alkynes
catalysed by AgSbF(6) under mild conditions is reported.
PMID- 22084817
TI - Encapsulation, release and applications of LbL polyelectrolyte multilayer
capsules.
AB - Ever since their invention in 1998, polyelectrolyte multilayer micro- and nano
capsules have impacted various areas of biology, chemistry and physics. Here we
highlight progress achieved since the millennium in the areas of encapsulation in
and release from microcapsules, describe various structures including
multicompartment and anisotropic constructs, and provide examples of several
applications in biology. We also describe application areas such as drug
delivery, intracellular trafficking, enzyme-catalyzed reactions, mechano-biology
which benefited from recent developments in the area of polyelectrolyte
multilayer capsules.
PMID- 22084818
TI - Using functional-structural plant models to study, understand and integrate
plant development and ecophysiology.
AB - Functional-structural plant models (FSPMs) explore and integrate relationships
between a plant's structure and processes that underlie its growth and
development. In recent years, the range of topics being addressed by scientists
interested in functional-structural plant modelling has expanded greatly. FSPM
techniques are now being used to dynamically simulate growth and development
occurring at the microscopic scale involving cell division in plant meristems to
the macroscopic scales of whole plants and plant communities. The plant types
studied also cover a broad spectrum from algae to trees. FSPM is highly
interdisciplinary and involves scientists with backgrounds in plant physiology,
plant anatomy, plant morphology, mathematics, computer science, cellular biology,
ecology and agronomy. This special issue of Annals of Botany features selected
papers that provide examples of comprehensive functional-structural models,
models of key processes such as partitioning of resources, software for modelling
plants and plant environments, data acquisition and processing techniques and
applications of functional-structural plant models for agronomic purposes.
PMID- 22084819
TI - The pendulum of anti-industry sentiment.
PMID- 22084821
TI - [Not Available].
PMID- 22084820
TI - Retraction: Erratum to "The effectiveness of Korean number naming on insight into
numbers in Dutch students with mild intellectual disabilities" [Res. Dev.
Disabil. 32 (5) (2011) 1822-1828].
PMID- 22084822
TI - New Zealand's bold strategy for reducing health disparities.
PMID- 22084823
TI - Keeping focused.
PMID- 22084824
TI - Care of the endurance athlete: promotion, perception, performance and
professionalism.
PMID- 22084826
TI - HIV-related conspiracy beliefs and its relationships with HIV testing and
unprotected sex among men who have sex with men in Tshwane (Pretoria), South
Africa.
AB - The objective of this study was to determine extent of HIV conspiracy belief
endorsement among men who have sex with men (MSM) in Pretoria, and assess whether
endorsement of HIV conspiracy beliefs are associated with inconsistent condom use
and never testing for HIV. A cross-sectional survey using respondent-driven
sampling was conducted between February and August 2009. A high proportion of
respondents endorsed HIV conspiracy beliefs. MSM commonly endorsed beliefs
related to AIDS information being held back from the general public (51.0%), HIV
being a man-made virus (25.5%), and people being used as guinea pigs in HIV
research and with HIV treatments (approximately 20%). Bisexually- or
heterosexually-identified MSM were significantly more likely to endorse
conspiracy beliefs compared to homosexually-identified MSM (38.5% vs. 14.7%).
Endorsing conspiracy beliefs was not associated with unprotected anal
intercourse; however, it was significantly associated with not having been HIV
tested (AOR: 2.4; 95% CI: 1.1-5.7). Endorsing beliefs in HIV conspiracies
reflects a mistrust in government institutions and systems which could be an
impediment to seeking HIV-related services, including HIV counseling and testing.
PMID- 22084827
TI - Remarkable enhancement in thermoelectric performance of BiCuSeO by Cu
deficiencies.
AB - A significant enhancement of thermoelectric performance in layered oxyselenides
BiCuSeO was achieved. The electrical conductivity and Seebeck coefficient of
BiCu(1-x)SeO (x = 0-0.1) indicate that the carriers were introduced in the
(Cu(2)Se(2))(2-) layer by Cu deficiencies. The maximum of electrical conductivity
is 3 * 10(3) S m(-1) for Bicu(0.975)Seo at 650 degrees C, much larger than 470 S
m(-1) for pristine BiCuSeO. Featured with very low thermal conductivity (~0.5 W
m(-1) K(-1)) and a large Seebeck coefficient (+273 MUV K(-1)), ZT at 650 degrees
C is significantly increased from 0.50 for pristine BiCuSeO to 0.81 for
BiCu(0.975)SeO by introducing Cu deficiencies, which makes it a promising
candidate for medium temperature thermoelectric applications.
PMID- 22084828
TI - Exploring the surface sensitivity of TOF-secondary ion mass spectrometry by
measuring the implantation and sampling depths of Bi(n) and C60 ions in organic
films.
AB - The surface sensitivity of Bi(n)(q+) (n = 1, 3, 5, q = 1, 2) and C(60)(q+) (q =
1, 2) primary ions in static time-of-flight secondary ion mass spectrometry (TOF
SIMS) experiments were investigated for molecular trehalose and polymeric
tetraglyme organic films. Parameters related to surface sensitivity (impact
crater depth, implantation depth, and molecular escape depths) were measured.
Under static TOF-SIMS conditions (primary ion doses of 1 * 10(12) ions/cm(2)),
the 25 keV Bi(1)(+) primary ions were the most surface sensitive with a molecular
escape depth of 1.8 nm for protein films with tetraglyme overlayers, but they had
the deepest implantation depth (~18 and 26 nm in trehalose and tetraglyme films,
respectively). The 20 keV C(60)(+2) primary ions were the second most surface
sensitive with a slightly larger molecular escape depth of 2.3 nm. The most
important factor that determined the surface sensitivity of the primary ion was
its impact crater depth or the amount of surface erosion. The most surface
sensitive primary ions, Bi(1)(+) and C(60)(+2), created impact craters with
depths of 0.3 and 1.0 nm, respectively, in tetraglyme films. In contrast,
Bi(5)(+2) primary ions created impact craters with a depth of 1.8 nm in
tetraglyme films and were the least surface sensitive with a molecular escape
depth of 4.7 nm.
PMID- 22084829
TI - Proteomic characterization of specific minor proteins in the human milk casein
fraction.
AB - Human milk contains many bioactive proteins that are likely to support the early
development of the newborn. The aim of this study was to identify whether there
are specific minor proteins associated with the human milk casein micelle
prepared by the acid precipitation method. Protein identification was performed
by liquid chromatography tandem mass spectrometry analysis. Eighty-two proteins
were identified in the casein micelle, 18 of which are not present in their whey
compartment. Thirty-two of these proteins specifically associated with the casein
micelle have not previously been identified in human milk or colostrum. Proteins
involved in immune function comprised the major part (28%) of total proteins, and
another significant part is involved in metabolism/energy production (22%). Most
of the proteins were of extracellular or cytoplasmic origin (accounting for 50
and 29%, respectively). This study indicates that various soluble proteins should
be considered as part of the casein compartment, prepared by the acid
precipitation method. The data provide new insight not only into the proteomic
profile of the human milk casein micelle and its physiological significance, but
also into the proper proportion of casein and casein-associated proteins to use
in infant formula.
PMID- 22084830
TI - 2D "soap"-assembly of nanoparticles via colloid-induced condensation of mixed
Langmuir monolayers of fatty surfactants.
AB - We describe a new type of colloidal 2D gels formed in mixed Langmuir monolayers
of stearic acid and octadecylamine on a surface of gold hydrosol. The adsorption
of gold nanoparticles on the mixed monolayer led to an increase of interactions
between oppositely charged surfactants giving a "soap" of mixed fatty salt. The
observed effect is equivalent to a virtual "cooling" of floating monolayer, which
undergoes rapid condensation on a surface of aqueous colloid. The consequent
shrinking and rearrangement of the monolayer resulted in aggregation of
nanoparticles into colloidal 2D "soap"-gels, which represented arrested colloidal
phases within nonadsorbing organic medium. When sequentially deposited onto
solids by Langmuir-Blodgett technique, the 2D "soap"-gels separated into organic
and colloidal phases and gave dendrite-like bilateral organic crystallites coated
with gold nanoparticles. The reported colloidal "soap"-assembly can offer a new
opportunity to design 2D colloidal systems of widely variable chemistry and
structures.
PMID- 22084831
TI - Protective and restorative effects of a Commiphora mukul gum resin and
triheptanoin preparation on the CCL-110 skin fibroblast cell line.
AB - Coenzyme Q10 (CoQ10) is a major ingredient in skin care products because of its
anti-wrinkle effects, although it has some side effects especially at higher
amounts. In this study, we compare the anti-wrinkle related properties of CoQ10
and a proprietary Commiphora mukul gum resin (guggul) and triheptanoin
preparation (GU-TC7). GU-TC7 is prepared with a supercritical CO2-co-solvent
extraction with ethanol, standardized to 2% guggulsterones and triheptanoin, a
triglyceride composed of three 7-carbon fatty acids. Treatment of CCL-110 skin
fibroblasts with GU-TC7 demonstrates a mild proliferative effect compared to
CoQ10 and increased type I collagen synthesis. Additionally, GU-TC7 inhibited
matrix metalloproteinase-1 (MMP-1) expression in a dose-dependent manner at 20
100 MUg mL-1 and inhibited human elastase expression by more than 50% as compared
to no elastase inhibition with CoQ10 treatment. These results suggest that GU-TC7
possesses properties that are applicable to the treatment of wrinkles and may be
considered for its further evaluation in skin care products.
PMID- 22084832
TI - Morphological examinations of oxidatively stressed pork muscle and myofibrils
upon salt marination and cooking to elucidate the water-binding potential.
AB - Pork longissimus muscle samples were subjected to the following three marination
conditions: (A) oxidation (40 min) in hydroxyl radical-generating solutions
(HRGS; 10 MUM FeCl(3)/100 MUM ascorbate with 5 or 20 mM H(2)O(2), pH 6.2)
containing 0.1 M NaCl and then marination (40 min) in 0.6 M NaCl with 15 mM
pyrophosphate (PP); (B) simultaneous oxidation/marination (40 min) in HRGS
containing 0.6 M NaCl and 15 mM PP; or (C) the same as condition B except that PP
was omitted. Protein oxidation, measured by the carbonyl and tryptophan
fluorescence changes, enhanced hydration but increased cooking loss of meat.
Light microscopy revealed a dense muscle structure characterized by swollen
fibers and reduced intercellular spacing in intermediately oxidized muscle
samples marinated with 0.6 M NaCl and 15 mM PP. However, oxidized fibers were
more susceptible to transverse shrinkage upon cooking than nonoxidized fibers,
which was supported by the dynamic ultrastructural changes in myofibrils observed
using phase contrast microscopy. These findings provide a further understanding
of the complex impact of oxidation on meat hydration and water-binding.
PMID- 22084833
TI - A new quantitative automatic method for the measurement of non-rapid eye movement
sleep electroencephalographic amplitude variability.
AB - The aim of this study was to arrange an automatic quantitative measure of the
electroencephalographic (EEG) signal amplitude variability during non-rapid eye
movement (NREM) sleep, correlated with the visually extracted cyclic alternating
pattern (CAP) parameters. Ninety-eight polysomnographic EEG recordings of normal
controls were used. A new algorithm based on the analysis of the EEG amplitude
variability during NREM sleep was designed and applied to all recordings, which
were also scored visually for CAP. All measurements obtained with the new
algorithm correlated positively with corresponding CAP parameters. In particular,
total CAP time correlated with total NREM variability time (r = 0.596; P < 1E
07), light sleep CAP time with light sleep variability time (r = 0.597; P < 1E
07) and slow wave sleep CAP time with slow wave sleep variability time (r =
0.809; P < 1E-07). Only the duration of CAP A phases showed a low correlation
with the duration of variability events. Finally, the age-related modifications
of CAP time and of NREM variability time were found to be very similar. The new
method for the automatic analysis of NREM sleep amplitude variability presented
here correlates significantly with visual CAP parameters; its application
requires a minimum work time, compared to CAP analysis, and might be used in
large studies involving numerous recordings in which NREM sleep EEG amplitude
variability needs to be assessed.
PMID- 22084834
TI - A population-based longitudinal community study of major depression and migraine.
AB - OBJECTIVE: To examine whether major depressive episodes (MDEs) are associated
with an increased risk of migraine in the general population and to examine
whether migraine is associated with an increase risk of MDE. BACKGROUND:
Population-based cross-sectional studies have consistently reported an
association between migraine and depression. However, longitudinal studies about
this potentially bidirectional association are inconsistent. METHODS: This
retrospective cohort study used 12 years of follow-up data from the Canadian
National Population Health Survey (15,254 respondents, age >12). Stratified
analysis, logistic regression, and proportional hazard modeling were used to
quantify the effect of migraine on subsequent MDE status and vice versa. RESULTS:
After adjusting for sex, age, and other chronic health conditions, respondents
with migraine were 60% more likely (HR 1.6, 95% confidence interval 1.3-1.9) to
develop MDE compared with those without migraine. Similarly adjusting for sex and
age, respondents with MDE were 40% more likely (HR 1.4, 95% confidence interval
1.0-1.9) to develop migraine compared with those without MDE. However, the latter
association disappeared after adjustment for stress and childhood trauma.
CONCLUSIONS: The current study provides substantial evidence that migraine is
associated with the later development of MDEs, but does not provide strong causal
evidence of an association in the other direction. Environmental factors such as
childhood trauma and stress may shape the expression of this bidirectional
relationship; however, the precise underlying mechanisms are not yet known.
PMID- 22084835
TI - Asymmetric hydrogenation on chirally modified Pt: origin of hydrogen in the N-H-O
interaction between cinchonidine and ketone.
AB - An understanding of the chiral site-substrate interaction is a necessary
prerequisite for the rational design and development of efficient heterogeneous
asymmetric catalysts. For the enantioselective hydrogenation of alpha-ketoesters
on cinchona-modified platinum, it has earlier been proposed that the crucial
interaction is an N-H-O type hydrogen bonding between the quinuclidine N atom of
cinchonidine and the alpha-carbonyl O atom of the substrate. The involved
hydrogen atom has been proposed to originate either from protonation (in protic
solvent) or from dissociatively adsorbed hydrogen (in aprotic solvent), but
experimental evidence for the latter was lacking so far. In this study, in situ
attenuated total reflection infrared spectroscopy combined with modulation
excitation spectroscopy and phase sensitive detection provides clear evidence
that in aprotic media, hydrogen dissociated on Pt is involved in the N-H-O
interaction between the chiral modifier, cinchonidine, and the ketone. In the
absence of Pt (pure alumina support), no such interaction occurs, indicating the
crucial role of dissociated hydrogen in the formation of the diastereomeric
transition complex.
PMID- 22084836
TI - Fetal growth and gestational hypertension in women classified as gestational
diabetes mellitus defined by the new consensus criteria only.
PMID- 22084837
TI - Functional identification of a novel F-box/FBA gene in tomato.
AB - In plants and animals, the SCF-type ubiquitin protein ligases play an important
role in many different physiological processes by regulating protein stability
such as S-RNase-based self-compatibility, flower development, hormone responses
and meiosis. This study identified an SlFbf gene in tomato that encodes 381 amino
acid residues containing a typical F-box motif and an FBA_1 motif associated
proteasome pathway; the transcripts of SlFbf was detected in all the tissues
(root, stem, leaf, sepal, petal, stamen, pistil, green fruit, breaker fruit and
red fruit), with the highest in stamen specifically during flowering stage; SlFbf
responded to gibberellins, abscisic acid and light. Suppressed SlFbf leads to
bigger pollen and less seeds showing that SlFbf might have an effect on
fertilization through regulating stamen development. These findings provide more
information about the functions of Fbf gene family.
PMID- 22084838
TI - Homochiral metal-organic frameworks for asymmetric heterogeneous catalysis.
PMID- 22084839
TI - A donor-supply electrode (DSE) for colloidal quantum dot photovoltaics.
AB - The highest-performing colloidal quantum dot (CQD) photovoltaics (PV) reported to
date have relied on high-temperature (>500 degrees C) annealing of electron
accepting TiO2. Room-temperature processing reduces energy payback time and
manufacturing cost, enables flexible substrates, and permits tandem solar cells
that integrate a small-bandgap back cell atop a low-thermal-budget larger-bandgap
front cell. Here we report an electrode strategy that enables a depleted
heterojunction CQD PV device to be fabricated entirely at room temperature. We
find that simply replacing the high-temperature-processed TiO2 with a sputtered
version of the same material leads to poor performance due to the low mobility of
the sputtered oxide. We develop instead a two-layer donor-supply electrode (DSE)
in which a highly doped, shallow work function layer supplies a high density of
free electrons to an ultrathin TiO2 layer via charge-transfer doping. Using the
DSE we build all-room-temperature-processed small-bandgap (1 eV) colloidal
quantum dot solar cells having 4% solar power conversion efficiency and high fill
factor. These 1 eV bandgap cells are suitable for use as the back junction in
tandem solar cells. The DSE concept, combined with control over TiO2
stoichiometry in sputtering, provides a much-needed tunable electrode to pair
with quantum-size-effect CQD films.
PMID- 22084840
TI - Variations in microbicide gel acceptability among young women in the USA and
Puerto Rico.
AB - In a multi-site study of vaginal microbicide acceptability conducted with
sexually active young women, quantitative assessments revealed significant
differences in acceptability by site. Participants in Puerto Rico rated the gel
more favourably than mainland US participants in terms of liking the gel and
likelihood of future use. To explain these differences, we examined responses to
qualitative behavioural assessments. Young women in mainland USA associated gel
leakage with uncomfortable sensations experienced during menstruation, while
young women in Puerto Rico had positive associations of gel use with douching.
These negative or positive associations affected assessments of the gel's
physical qualities. In addition, young women's perceptions of primary partners'
support for microbicide use influenced sexual satisfaction with the gel and,
ultimately, product acceptability. Finally, geographic HIV-risk context
contributed to heightened HIV-risk perception, which influenced likelihood of
future microbicide use, even for women in stated monogamous relationships. Future
microbicide acceptability studies should take into account potential differences
in acceptability by site such as HIV-risk perception based on local HIV
prevalence, popularity of vaginal hygiene products in a specific area and male
attitudes in different cultures concerning women's use of HIV protection
strategies.
PMID- 22084841
TI - Type 2 diabetes, cardiovascular disease and the utilisation of primary care in
urban and regional settings.
AB - INTRODUCTION: There are marked inequities in access to and use of different
primary care providers - including GPs, practice nurses, allied health services
and complementary and alternative medicine (CAM) providers among populations
residing in different geographical areas of Australia. Little research has
focused on patterns of primary care health service utilisation according to
locality in relation to the management of serious chronic illness, with even less
on the use of CAM. In this article geographic similarities and differences in
primary care service usage are examined among people with cardiovascular disease
and/or type 2 diabetes mellitus residing in regional and urban Victoria,
Australia. METHODS: Between April and July 2010, hard-copy questionnaires were
sent to a random selection of 10 000 registrants from the National Diabetes
Services Scheme, 2162 were distributed via Heart Support Australia and community
organisations within the state of Victoria; an online version yielded 290 valid
responses. This article draws on data from the 2914 returned survey responses in
which people provided their residential postal codes. From this information,
geographic location was determined on the basis of the Australian Standard
Geographical Classification. Data were subject to inferential analyses using PASW
Statistics 18.0 (SPSS; Chicago, IL, USA). A series of contingency table analyses
were conducted to evaluate the relationship between primary care service use and
respondents' geographical locality. Contingency analyses and chi(2) tests were
also conducted to examine the differences between rural and metropolitan
frequency of GP use. RESULTS: In comparison with urban respondents, rural
respondents reported greater use of allied health practitioners, district or
practice nurses, and community health centres. Conversely, use of hospital
outpatient services was significantly higher among metropolitan respondents. Use
of GP clinics was not related significantly to respondents' locality, nor was use
of inpatient hospital services or use of counselling, psychiatry or psychology
services. Frequency of GP use, however, varied significantly among geographical
categorisations, with urban respondents visiting their GPs more frequently.
CONCLUSIONS: While GPs play an important role in chronic disease management in
Australia, the rate of GP attendance remains lower among patients living in
regional areas. By contrast, the level of patient engagement with nurse
practitioners and allied health professionals in this study was significantly
higher among rural respondents. Issues related to access appear to play an
important role in determining what primary care services people use when managing
their chronic conditions and their frequency of consultation.
PMID- 22084842
TI - Introduction: return of research results: how should research results be handled?
PMID- 22084843
TI - Return of results: towards a lexicon?
AB - Currently, the return of results in the domain of biobanking constitutes an
ethical and legal quagmire, whether it involves population or specific clinical
research studies. In light of the fact that population biobanks are often not
seen as distinct from those biobanks created for disease research, as well as the
uncertainty as to what "return of results" means concretely, this lexicon
attempts to demystify the terminology. The terms - results, return, clinical
significance, and utility - are discussed. Through an analysis of international
and national normative guidance on this issue, the authors propose a concordance
of meaning and a simplified lexicon.
PMID- 22084844
TI - Return of research results: general principles and international perspectives.
AB - Five years ago, an article co-written by two of us (Joly and Simard) presented an
emerging trend to disclose certain individual genetic results to research
participants. Since then, both technologies and research practices have evolved
significantly. Given this rapid evolution, our goal is to provide updated and
thorough guidance on this issue. Our paper begins by identifying the ethical
principles that support the return of results: justice, beneficence, and respect
for persons. Then, it presents the results of an analysis of international norms
on the return of results, covering both general and individual research results.
It reveals existing divergence and consensus on these topics within the
international community. With the goal of promoting greater harmonization, we
conclude by proposing a flexible framework for the return of individual research
results.
PMID- 22084845
TI - Pediatric research and the return of individual research results.
AB - The return of individual research results to participants raises many socio
ethical issues and is even more challenging when the participant is a child. The
objective of this article is to present an overview of the few ethical guidelines
and relevant literature addressing the return of individual results in pediatric
research. By reviewing policies and the literature, we present some overarching
considerations and delineate contextual issues in order to propose a framework.
PMID- 22084846
TI - Familial communication of research results: a need to know?
AB - Research now provides participants greater indications of genetic risk for
disease, even for conditions incidental to the research study. Given this
development, should such information also be disclosed to the family of research
participants? There has been some indication at the national level that genetic
risk information can be disclosed to participants' families; however, limited
attention has been given to returning research results to family. Thus, we have
also incorporated the discussion surrounding the disclosure of genetic risk
discovered in the clinic (e.g., genetic testing). A number of important questions
are examined: Should genetic research results be provided to family? Are there
differences between clinical and research findings that would prevent research
results from being disclosed to family? Who should make the disclosure, if in
fact it is done at all? We conclude by noting that the return of results is
increasingly accepted as technology permits the discovery of more and more
medically useful data. However, debates of whether results should be returned to
participants must first be settled before moving to familial disclosure.
PMID- 22084847
TI - Biobanks and the return of research results: out with the old and in with the
new?
AB - This article examines the complex and contemporary issue of the return of
research results in biobanks. After suggesting the exclusion of some adjacent
issues usually flanking the debate, this article reviews the current practices of
biobanks on the disclosure of research results to participants. It then focuses
more specifically on the debate in the literature before turning to a review of
the typology of recent reforms being put forward.
PMID- 22084848
TI - The return of results of deceased research participants.
AB - The death of a research participant raises numerous ethical and legal issues
regarding the return of research results to related family members. This question
is particularly acute in the context of genetic research since the research
results from an individual may be relevant to each of the biological relatives.
This paper first investigates the ethical and legal frameworks governing the
return of a deceased participant's individual research results to his or her
related family members. Then, it weighs the rights and interests of both the
deceased individual and related family members in an attempt to identify key
ethical considerations underlying the return of such results. This analysis of
international guidelines and national laws and regulations reveals that though
the legal framework regarding privacy and confidentiality of clinical and
research information is well established (albeit not homogenous), guidelines are
generally absent in the post-mortem context. Nevertheless, a brief analysis of
this issue through two ethical perspectives (principlism and consequentialism)
allows us to identify six key elements to be taken into consideration when
returning a deceased participant's research results.
PMID- 22084849
TI - The needle in the haystack: international consortia and the return of individual
research results.
AB - Returning individual results to participants in research studies is gaining
acceptance and policy guidance is now available for investigators to develop a
plan for returning results at the local level. However, returning results
discovered through the work of an international scientific research consortium
presents additional ethical and procedural difficulties. No general guidance is
available for international consortia that wish to consider this issue, but there
are examples of internal policies that are being used by consortia such as the
International Cancer Genome Consortium (ICGC) and the Type 1 Diabetes Genetics
Consortium (T1DGC). This paper presents the policy stance these studies have
adopted regarding returning individual research results and their reasons behind
it, and gives specific examples from their policy documents and project consent
materials. Finally, it suggests an oversight mechanism these and other
international consortia can use to ensure that this important issue is addressed
appropriately.
PMID- 22084850
TI - Conflicts over control and use of medical records at the New York hospital before
the standardization movement.
AB - Historians of medicine generally credit the hospital standardization movement of
the early 20th century with establishing the record as a sign of hospital and
staff quality. The medical record's role had already been the subject of intense
interest at the New York Hospital several decades before, however. In the 1880s
malpractice and insurance concerns caused the administration to attempt to
supervise record creation, quality, and access, over the objections of
physicians. Contemporary concerns about the uses of the medical record were in
play well before 1910.
PMID- 22084851
TI - An ethics expertise for clinical ethics consultation.
AB - The legitimacy of clinical ethics consultation is often implied to rest on the
legitimacy of moral expertise. In turn, moral expertise seems subject to many
serious critiques, the success of which implies that clinical ethics consultation
is illegitimate. I explore a number of these critiques, and forward "ethics
expertise," as distinct from "moral expertise," as a way of avoiding these
critiques. I argue that "ethics expertise" succeeds in avoiding most of the
critiques, captures what clinical ethics consultants might justifiably do, and
expresses a subject matter which can be taught and assessed.
PMID- 22084852
TI - Reforming pharmaceutical industry-physician financial relationships: lessons from
the United States, France, and Japan.
AB - This article compares the means that the United States, France, and Japan use to
oversee pharmaceutical industry-physician financial relationships. These
countries rely on professional and/or industry ethical codes, anti-kickback laws,
and fair trade practice laws. They restrict kickbacks the most strictly, allow
wide latitude on gifts, and generally permit drug firms to fund professional
activities and associations. Consequently, to avoid legal liability, drug firms
often replace kickbacks with gifts and grants. The paper concludes by proposing
reforms that address problems that persist when firms replace kickbacks with
gifts and grants based on the experience of the three countries.
PMID- 22084853
TI - Me and my body: the relevance of the distinction for the difference between
withdrawing life support and euthanasia.
AB - In this paper, I discuss David Shaw's claim that the body of a terminally ill
person can be conceived as a kind of life support, akin to an artificial
ventilator. I claim that this position rests upon an untenable dualism between
the mind and the body. Given that dualism continues to be attractive to some
thinkers, I attempt to diagnose the reasons why it continues to be attractive, as
well as to demonstrate its incoherence, drawing on some recent work in the
philosophy of psychology. I conclude that, if my criticisms are sound, Shaw's
attempt to deny the distinction between withdrawal and euthanasia fails.
PMID- 22084854
TI - Communitarianism and the ethics of communicable disease: some preliminary
thoughts.
AB - Communicable diseases, especially those that are highly contagious, are on the
rise and each of us, no matter who we are or where we live, is equally at risk of
transmitting contagious diseases to others as we are of contracting such diseases
from others. Because contagious diseases are as readily passed state-to-state as
person-to-person, we all have a stake in every country's ability to enact
effective infectious disease control policies, while policies grounded in shared
values are more likely to gain widespread acceptance and thereby prove most
effective. This paper suggests that principlism proved invaluable as an ethical
framework for resolving hard medical cases and setting health care policy because
it nicely "fits" dilemmas that arise in the context of the special relationship
between doctors and patients or within family units. It then argues that
communitarianism provides the better foundation for crafting infectious diseases
control policies because contagious diseases, which often pass between perfect
strangers, raise questions about the moral obligations we owe to (or are entitled
to demand of) people with whom we share no "special" relationship. Accordingly, a
socially embedded framework such as communitarianism may be a better fit for the
more socially embedded ethical dilemmas of communicable diseases.
PMID- 22084855
TI - Currents in contemporary bioethics: physicians' duty to inform patients of new
medical discoveries: the effect of health information technology.
PMID- 22084856
TI - Teaching health law: problem-based learning regarding "fractious problems" in
health law: reflections on an educational experiment.
PMID- 22084858
TI - Using symptom validity tests to detect malingered ADHD in college students.
AB - Recently there has been growing concern that college students may feign symptoms
of ADHD in order to obtain academic accommodations and stimulant medication.
Unfortunately research has only begun to validate detection tools for malingered
ADHD. The present study cross-validated the results of Sollman, Ranseen, and
Berry (2010) on the efficacy of several symptom validity tests for detection of
simulated ADHD among college students. Undergraduates with a history of diagnosed
ADHD were randomly assigned either to respond honestly or exaggerate symptoms,
and were compared to undergraduates with no history of ADHD or other psychiatric
disorders who were also randomly assigned to respond honestly or feign symptoms
of ADHD. Similar to Sollman et al. (2010) and other recent research on feigned
ADHD, several symptom validity tests, including the Test of Memory Malingering
(TOMM), Letter Memory Test (LMT), Digit Memory Test (DMT), Nonverbal Medical
Symptom Validity Test (NV-MSVT), and the b Test were reasonably successful at
discriminating feigned and genuine ADHD. When considered as a group, the
criterion of failure of 2 or more of these SVTs had a sensitivity of. 475 and a
specificity of 1.00.
PMID- 22084860
TI - Nanotechnology is a major risk analysis issue. From the editors.
PMID- 22084859
TI - XRCC1 399 Arg-related genotype and allele, but not XRCC1 His107Arg, XRCC1
Trp194Arg, KCNQ2, AT1R, and hOGG1 polymorphisms, are associated with higher
susceptibility of endometriosis.
AB - X-ray repair cross-complementing group 1 (XRCC1) and human 8-oxoguanine
glycosylase 1 (hOGG1) play important roles in base excision repair. KCNQ genes
comprising voltage-gated ion-channels related with cell stability. Angiotensin II
type 1 receptor (AT1R) is related with angiogenesis, which influence
endometriosis growth, invasion and regression. We aimed to investigate whether
these polymorphisms were associated with endometriosis susceptibility. Women were
divided [ 1 ]: endometriosis (n = 136 [ 2 ]); non-endometriosis groups (n = 112).
XRCC1 (codon 107, 194, 399), hOGG1, KCNQ2, AT1R polymorphisms were amplified by
PCR and detected by electrophoresis after restriction enzyme (RsaI, HpaII, MspI,
Fnu4HI, Ava II, Dde I) digestions. Genotypes and allelic frequencies in both
groups were compared. Proportions of XRCC1 Arg399Gln*GG/GA/AA and G/A allele
between both groups were [ 1 ]: 41.9/53.7/4.4% and 68.8/31.2% [ 2 ];
30.4/54.5/15.1% and 57.6/42.4% (p < 0.05). Other 5 polymorphisms (XRCC1 codon 107
and 194, hOGG1, KCNQ2, and AT1R) between both groups were non-significantly
different. Proportions of XRCC1 107*AA/AG/GG and XRCC1 194*TT/TC/CC between both
groups were [ 1 ]: 3.7/27.2/69.1% and 5.8/34.6/59.6% [ 2 ]; 2.6/21.4/75.8% and
11.6/37.5/50.9%. HOGG1*CC/CG/GG, KCNQ2*AA/AC/CCC and AT1R*AA/AC/CC were [ 1 ]:
14.8/42.6/42.6, 14/41.9/44.1 and 92.6/7.4/0% [ 2 ]; 11.6/50/38.4, 17/50/33 and
100/0/0%. We concluded that XRCC1 399 Arg-related genotype and allele are
correlated with higher susceptibility to endometriosis, which suggested its
association with endometriosis pathogenesis. XRCC1 107 and 194, hOGG1, KCNQ2, and
AT1R are not associated with endometriosis susceptibility.
PMID- 22084861
TI - Nanotechnology risk perceptions and communication: emerging technologies,
emerging challenges.
AB - Nanotechnology involves the fabrication, manipulation, and control of materials
at the atomic level and may also bring novel uncertainties and risks. Potential
parallels with other controversial technologies mean there is a need to develop a
comprehensive understanding of processes of public perception of nanotechnology
uncertainties, risks, and benefits, alongside related communication issues. Study
of perceptions, at so early a stage in the development trajectory of a
technology, is probably unique in the risk perception and communication field. As
such it also brings new methodological and conceptual challenges. These include:
dealing with the inherent diversity of the nanotechnology field itself; the
unfamiliar and intangible nature of the concept, with few analogies to anchor
mental models or risk perceptions; and the ethical and value questions underlying
many nanotechnology debates. Utilizing the lens of social amplification of risk,
and drawing upon the various contributions to this special issue of Risk Analysis
on Nanotechnology Risk Perceptions and Communication, nanotechnology may at
present be an attenuated hazard. The generic idea of "upstream public engagement"
for emerging technologies such as nanotechnology is also discussed, alongside its
importance for future work with emerging technologies in the risk communication
field.
PMID- 22084862
TI - A longitudinal study of newspaper and wire service coverage of nanotechnology
risks.
AB - This study reviewed coverage of nanotechnology risks in 20 U.S. and 9 U.K.
newspapers and 2 wire services from 2000 to 2009. It focused on information that
citizens could come across in daily newspaper reading that could highlight the
salience of these issues and alert readers to potential risks. Few articles about
nanotechnology health, environmental, and societal risks were found in these
publications during this period, averaging only 36.7 per year for both countries.
The coverage emphasized three main narratives over time: runaway technology,
science-based studies, and regulation. Health risks were covered most frequently,
followed by environmental and societal risk issues. Regulation coverage was not
as frequent but increased over time. The majority of the coverage focused on news
events and 10 events drew modest media attention. Scientific uncertainty
discussions appeared in about half of the articles, and scientists and engineers
were the dominant information sources in both countries. Some significant
differences between U.S. and U.K. coverage were found: U.K. coverage emphasized
more societal concerns, while U.S. coverage paid more attention to environmental
risks. Because the volume of coverage was not extensive and was counterbalanced
by many more articles extolling nanotechnology's benefits, it is questionable
whether this coverage alerted readers about potential nanotechnology risks.
Coupled with citizens' minimal knowledge about nanotechnology, this type of
coverage could create public distrust of nanotechnology applications should a
dangerous risk event occur.
PMID- 22084863
TI - Labeling of nanotechnology consumer products can influence risk and benefit
perceptions.
AB - Currently, there is no mandatory labeling for products containing synthetic
nanoparticles. The public as well as other stakeholders have positive views about
mandatory labeling. However, little is known how such a label influences the risk
and benefit perception of a product. Consumers may infer that a label is a signal
that there are risks associated with this technology. Data were collected in a
survey experiment (N= 1,382). Participants were randomly assigned to one of six
conditions. The control group received a picture of a sunscreen container without
a label. One experimental group received a picture of a sunscreen container with
a label. The other groups received, in addition to the sunscreen container with a
label, some risk or benefit information. Results suggest that labeling of
products may reduce consumers' benefit perception and increase risk perception.
Labeling nanotechnology consumer products may change the public perception of the
products. Respondents may have relied on the affect heuristic for assessing the
risks and benefits of the sunscreen.
PMID- 22084864
TI - Evidence maps: communicating risk assessments in societal controversies: the case
of engineered nanoparticles.
AB - The transparent and fair characterization of scientific evidence for reporting
the results of a hazard assessment is a demanding task. In this article, we
present an approach for characterizing evidence--the evidence map approach. The
theoretical starting point is to view evidence characterization as a form of
argumentation. Thus, evidence maps are designed to depict the evidence base, the
pro and con arguments, and the remaining uncertainties, which together lead
experts to their conclusions when summarizing and evaluating the scientific
evidence about a potential hazard. To illustrate its use, the evidence maps
approach is applied to characterizing the health-relevant effects of engineered
nanoparticles. Empirical data from an online survey suggests that the use of
evidence maps improves the reporting of hazard assessments. Nonexperts prefer to
receive the information included in an evidence map in order to come to an
informed judgment. Furthermore, the benefits and limitations of evidence maps are
discussed in the light of recent literature on risk communication. Finally, the
article underlines the need for further research in order to increase quality of
evidence reporting.
PMID- 22084865
TI - The importance of stromal inflammation in squamous cell carcinoma of the tongue.
AB - BACKGROUND: Histological risk assessment evaluating worst pattern of tumour
invasion (WPOI), and lymphocytic response (LR), has previously been shown to be
of prognostic significance in squamous cell carcinomas of the head and neck
(SCCHN). SCCHN is a heterogeneous group of tumours including tumours located in
the oral cavity, of which the majority is located in the tongue. METHODS:
Haematoxylin/eosin-stained slides from diagnostic biopsies from 94 cases of SCC
on the tongue were evaluated for WPOI and LR. Within the inflammatory infiltrate,
the percentage of eosinophilic granulocytes was also estimated. Results were
correlated with clinical data such as response to treatment and recurrence.
RESULTS: For WPOI the majority of patients, 84%, showed small invasive tumours
islands with a size <15 cells (grade 4). No correlation with survival, response
to treatment or recurrence was seen for WPOI. More than half of the patients
showed a dense lymphocytic infiltrate, a factor that was significantly correlated
with complete response to radio therapy. Of the patients with dense lymphoid
infiltrate, the majority, 63%, did not either have a recurrence. No significant
correlation with recurrence, response to treatment or any other factor was seen
for presence of eosinophils. CONCLUSIONS: Data clearly showed that tongue tumours
have a split invasive growth pattern and an intense inflammatory response at the
tumour interface. Results also indicated that evaluation of the intensity of the
inflammatory infiltrate at the tumour interface in tongue SCC could provide
information of potential importance for choice of treatment and prognosis.
PMID- 22084866
TI - Histopathology of panniculitis--aspects of biopsy techniques and difficulties in
diagnosis.
AB - BACKGROUND: Clinical and histologic diagnosis of panniculitis may be difficult.
The patients usually present with erythematous subcutaneous nodules with or
without additional symptoms. If a skin biopsy does not include enough
subcutaneous fat, histopathologic assessment is limited and the correct diagnosis
may be delayed and require further sampling. PATIENTS AND METHODS: To illustrate
the difficulties in the diagnosis of panniculitis, we performed a retrospective
examination of four patients with different forms of panniculitis. RESULTS: In
two patients with subcutaneous panniculitis-like T cell lymphoma and lupus
panniculitis, the correct diagnosis could only be ascertained after a delay of
several months because repeated biopsies had to be obtained throughout the course
of disease. In two further patients with cold panniculitis and pancreatic
panniculitis, clinicians did not even suspect an inflammatory process in the
subcutaneous tissue. The correct diagnosis was made with a deep punch biopsy that
included subcutaneous fat. CONCLUSIONS: On the one hand, these examples
demonstrate the importance of sampling subcutaneous tissue when obtaining routine
punch biopsies. On the other hand, in cases where the diagnosis is uncertain, it
is necessary to perform large and deep incisional biopsies.
PMID- 22084868
TI - The erosion of the family: A study of the fate of the family in Ghana.
AB - Abstract In Ghana, as in other developing countries, it has been held that one
cultural element acting towards the maintenance of high fertility has been the
awareness that large families do more than small ones to ensure assistance to
parents during sickness and especially during old age. The only experience known
to the society of the fortunes of those in the latter condition is necessarily
that of those who are already old and need such assistance. During 1963-64 a
survey of 800 retired persons over 60 years of age was made in Ghana. Respondents
of each sex were randomly selected within the urban southern rural and northern
rural areas of the country. An examination was made of the effects of mortality
and other factors in reducing the amount of possible assistance given by their
children below the potential maximum determined by the original level of births.
Differentials in fertility by area of present residence were discovered. It was
shown that, although the average number of children supporting aged parents is
greater in the case of large families, the extent of the assistance is not
proportional to original family size, because of differentials in mortality, the
chances of survivors reaching adulthood by their parents' old age, and the
chances of adult survivors being able or willing to give such assistance. Only
families who had between one and four children presented their parents with any
considerable risk of receiving no help from their children. It was shown that
there are grounds for conflict between the need for maximising assistance by
having as many children as possible and by educating as many as possible. It was
also shown that there is little evidence that parents consciously make such
decisions in order to create an individual 'social welfare' system in that an
extension of the state social welfare system would not, according to respondents,
do much to change their views about desired family size.
PMID- 22084867
TI - The Philadelphia Brief Assessment of Cognition (PBAC): a validated screening
measure for dementia.
AB - The Philadelphia Brief Assessment of the Cognition (PBAC) is a brief dementia
screening instrument. The PBAC assesses five cognitive domains: working
memory/executive control; lexical retrieval/language;
visuospatial/visuoconstructional operations; verbal/visual episodic memory; and
behavior/social comportment. A revised version of the PBAC was administered to
198 participants including patients with Alzheimer's disease (AD) (n=46) and four
groups of patients with frontotemporal dementia (FTD) syndromes: behavioral
variant FTD (bvFTD; n=65), semantic-variant primary progressive aphasia (PPA)
(svPPA; n=22), non-fluent/agrammatic-variant PPA (nfaPPA; n=23), and corticobasal
syndrome (CBS; n=42), and a group of normal controls (n=15). The total PBAC score
was highly correlated with the MMSE. The criterion validity of the PBAC was
assessed relative to standard neuropsychological test performance. Using standard
neuropsychological test performance as a criterion, the total PBAC score
accurately identified the presence and severity of dementia. Intra-class
correlations between PBAC subscales and standard neuropsychological tests were
highly significant. PBAC subscales demonstrated good clinical utility in
distinguishing AD and FTD subtypes using receiver operating characteristic
analysis and standard diagnostic performance statistics to determine optimal
subscale cut scores. The PBAC is a valid tool and able to assesses differential
patterns neuropsychological/behavioral impairment in a broad range of
neurodegenerative conditions.
PMID- 22084869
TI - The Americanization of catholic reproductive ideals.
AB - Abstract This paper considers the attitude of Roman Catholics in the United
States of America towards family size and suggests that large family ideals are
still being put forward by the Church in publications and periodicals. The second
part of the paper considers the attitudes of American Roman Catholics towards
family size and shows that though there are indications that Roman Catholics
regard slightly larger families as ideal than do members of other religions, the
difference is not now very great.
PMID- 22084870
TI - A study of internal migration in England and Wales.
AB - Abstract The main trend in urban/rural migration is a continuous gain, in net
terms, of towns from villages and large cities. But this is a result of two
distinct migration streams associated with the process of family formation. While
before marriage there is positive net migration from villages to both large
cities and towns (and from large cities towards towns), after marriage there is a
tendency for couples to move towards villages. This is explained by the desire of
families, particularly those belonging to the middle class to move out of the
urban centres to better accommodation in smaller communities. Considerable
variations in migrations within and into regions are observed. These reflect the
continuation oflong-term trends in internal migration (as described in Part I of
this paper) in particular, population dispersal from Greater London and larger
distance migration into the Southern and Eastern regions. Some social
characteristics of migrants and non-migrants are compared. Associations between
the intensity of internal migration on the one hand, and occupational status,
education, social mobility and family size on the other are observed. An
attempt is made to assess the extent of migration associated with the marriage
process. Although this process increases mobility, its relative contribution to
total adult mobility appears to be only slight.
PMID- 22084871
TI - The AID computer programme, used to predict adoption of family planning in
Koyang.
AB - Abstract A highly useful computer programme has been developed for predicting
values of a dependent variable. Basically a sequential analysis of variance, it
creates a tree of two-way splits of the sample. Each split maximizes the
reduction of unexplained variance in the dependent variable. The programme is
remarkably sensitive to interactions, since it assumes neither linear
relationships, normal distributions, nor homoscedasticity. This programme is
put to work here on a prediction problem of administrative importance: which
women in Korean villages will adopt family planning under a mild programme and
under an intensive programme? Optimum predictors are identified and are arranged
in a hierarchy of combinations which give progressively higher predictive
accuracy. The best two or three predictors isolate large proportions of women
with extremely low adoption rates.
PMID- 22084872
TI - Fertility of the american negro in 1830 and 1850.
AB - Abstract A comparison of the proportionate age distributions for negroes
enumerated in the decennial censuses of the United States in the first half of
the rorh century indicates that by 1850, negro fertility apparently had been
declining for at least 20 years. This paper develops the relationship of the age
distribution of a declining fertility population, where the decline has persisted
for less than 25 years, to the stable population with the same current schedules
of fertility and mortality. This relationship is used to estimate the negro
birth rate and total fertility as of 1850. In turn, these estimates and the
relationship of the age distributions of two stable populations with different
fertility are used to estimate the negro birth rate and total fertility as of
1830.
PMID- 22084873
TI - Migration and fertility in Puerto Rico.
AB - Abstract In an investigation based on special tabulations of the 25 per cent
sample from the 1960 Census of Population for Puerto Rico, it is found that
migration experience tends to be associated with fertility for various marital
statuses, including consensual unions, and for rural, urban and metropolitan
residence. The findings cannot be attributed to variations in age composition
among the various categories as age standardization and age-specificcomparisons
yield similar results. However, it is also found that rural-urban and
consensually-legally mated differentials in fertility cannot be accounted for by
variations in the migration variables that are examined. Thus, consistently
higher fertility is found for non-migrants than for migrants; for consensually
mated than for legally married and for rural than for urban or metropolitan
residents. With a single exception, women in consensual unions, fertility is
lower for women in the San Juan metropolitan area than in the other urban areas.
PMID- 22084874
TI - The effect of altitude on fertility in Andean countries.
AB - Abstract In papers previously published in this journal J. M. Stycos and D. M.
Heer have shown that fertility is lower in the economically underdeveloped Indian
speaking parts of Peru, Ecuador and Bolivia than in the more prosperous Spanish
speaking parts. Stycos concluded that the reason for the fertility difference in
Peru is the greater marital instability of the Indian speakers which decreased
their total exposure to the risk of conception. Heer suggested instead that the
causes of the difference may be voluntary. The present paper questions Heer's
analysis, and offers the explanation that the difference may be attributed to the
physiological effects of altitude.
PMID- 22084875
TI - Demographic and economic changes in the Sinkiang Uighur autonomous region.
AB - Abstract The following characteristics of the population of Sinkiang are
discussed: growth, stressing the importance of the immigration of Han Chinese;
age-sex ratios; marital status; ethnic composition; education; health; and
urbanisation. Economic development as it affects the composition of population,
especiallyindustrial, agricultural and pastoral trends are also considered. A
final section deals with the geo-political and demographic implications of the
Sino-Soviet dispute.
PMID- 22084876
TI - Summary statistics on indenture and associated migration affecting the West
Indies, 1834-1918.
AB - Abstract This paper presents summary statistics of immigration into the West
Indies, under indenture or government sponsorship, during the period 1834-1918.
The sources reveal a few discrepancies, but are sufficiently consistent to permit
the construction of a series for each of the ethnic groups introduced. Most of
the problems involved in constructing these series centre around the fact that a
variety of sources have to be utilised. Immigration figures of East Indians,
Portuguese, Chinese, Europeans and others into the several West Indian colonies
have been prepared for each calendar year or season, but to conserve space are
presented here in summary form. In the case of African immigration it is possible
to give their country of origin. The only group of immigrants who were involved
in return movements of any consequence are the East Indians.
PMID- 22084887
TI - Peptide and protein quantitation by acid-catalyzed 18O-labeling of carboxyl
groups.
AB - We have developed a new method that applies acidic catalysis with hydrochloric
acid for (18)O-labeling of peptides at their carboxyl groups. With this method,
peptides get labeled at their C-terminus, at Asp and Glu residues, and at
carboxymethylated cysteine residues. Oxygen atoms at phosphate groups of
phosphopeptide are not exchanged. Our elaborated labeling protocol is easy to
perform, fast (5 h and 30 min), and results in 95-97 atom % incorporation of
(18)O at carboxyl groups. Undesired side reactions, such as deamidation or
peptide hydrolysis, occur only at a very low level under the conditions applied.
In addition, data analysis can be performed automatically using common software
tools, such as Mascot Distiller. We have demonstrated the capability of this
method for the quantitation of peptides as well as for phosphopeptides.
PMID- 22084889
TI - Changing behaviours and continuing silence: sex in the post-immigration lives of
mainland Chinese immigrants in Canada.
AB - In China, reluctance to discuss sex continues to be widely observed despite the
sexual revolution there. That silence generates questions about health risks in
the contexts of HIV/AIDS and international migration. Based on a qualitative
study of mainland Chinese immigrants in Canada, this paper explores the impacts
of immigration processes on sex and sexuality. The findings reveal a gap between
these individuals' changing sexual behaviours and the continuing silence on sex.
Although Canada has exposed them to a new living environment that has shaped the
dynamics and patterns of their sexual practices, their incomplete integration
into the host society and their close connections with China as the home country
mean that traditional Chinese norms continue to influence their understanding of
these changes. With the increasing openness of these immigrants' sexual
relationships, the obsolescence of their consciousness and knowledge of sexuality
should be addressed in order to reduce their vulnerability to sexual inequalities
and consequent health risks.
PMID- 22084890
TI - Molecular length, monolayer density, and charge transport: lessons from Al
AlOx/alkyl-phosphonate/Hg junctions.
AB - A combined electronic transport-structure characterization of self-assembled
monolayers (MLs) of alkyl-phosphonate (AP) chains on Al-AlOx substrates indicates
a strong molecular structural effect on charge transport. On the basis of X-ray
reflectivity, XPS, and FTIR data, we conclude that "long" APs (C14 and C16) form
much denser MLs than do "short" APs (C8, C10, C12). While current through all
junctions showed a tunneling-like exponential length-attenuation, junctions with
sparsely packed "short" AP MLs attenuate the current relatively more efficiently
than those with densely packed, "long" ones. Furthermore, "long" AP ML junctions
showed strong bias variation of the length decay coefficient, beta, while for
"short" AP ML junctions beta is nearly independent of bias. Therefore, even for
these simple molecular systems made up of what are considered to be inert
molecules, the tunneling distance cannot be varied independently of other
electrical properties, as is commonly assumed.
PMID- 22084891
TI - Relationship type, condom use and HIV/AIDS risks among men who have sex with men
in six Chinese cities.
AB - This study is the first to examine the role of partner type in sexual practices
of men who have sex with men (MSM) in China. Using cross-sectional self
administered questionnaires (N=692) with MSM in six Chinese cities (Shanghai,
Guangzhou, Shenzhen, Xi'an, Dalian and Beijing) in 2008, this paper examines
MSM's sexual practices, particularly condom use with different male and female
partner types. We categorise sexual partner relationships into five types:
partner/spouse, boyfriend/girlfriend, acquaintance, stranger and sex worker and
hypothesise that the greater the affective distance between the partners, the
greater the likelihood of engaging with intimate act and the lesser likelihood
condom use. Results show that respondents had more MSM than heterosexual
experiences. Relationships tended to be short-term, multiple (more than two) and
concurrent (simultaneously two or more) principally with other men and to a
lesser degree with women. Findings reveal that affective distance varied with
partner types. Respondents performed more intimate acts (e.g., kissing,
caressing) with intimate or stable partners (partner/spouse,
boyfriend/girlfriend) than casual or unknown partners (acquaintance, stranger,
sex worker). Condom use decreased when the affective distance with a partner
increased. We conclude that partner type is a key factor of HIV infection among
MSM in China; short-term, multiple and concurrent relationships are clear risk
factors. Future research should focus on the subjective varied meanings of
relationships, the idea of trust and the dynamics with different relationships to
understand HIV infection of MSM in China.
PMID- 22084892
TI - Physician-specific variation in medication adherence among diabetes patients.
AB - OBJECTIVES: To examine the relationship that the patient has with his/her
healthcare practitioner as a factor affecting medication adherence. STUDY DESIGN:
Aggregate, physician-level adherence rates for patients were compared in a
retrospective, non-case-controlled study of 3777 diabetes patients enrolled in a
commercial pharmacy benefits program in a 6-county area in northeast Ohio.
METHODS: Data for the top prescribing 200 physicians and their 3777 patients were
analyzed based on the adherence of their patients to medications for diabetes,
statins, and angiotensin-converting enzyme inhibitors/angiotensin receptor
blockers (ACEIs/ARBs). Physicians were then separated into adherence quartiles
based on the results. Statistical tests for assessing between-group differences
were performed. Results were reported for diabetes medication-specific adherence
as well as adherence to statins and ACEIs/ARBs. RESULTS: No appreciable
demographic differences were noted between patient or physician groups, including
age, sex, race, cost share, and chronic medication use. Statistically significant
differences in aggregate physician-specific medication adherence between the best
performing and worst performing physician quartiles were identified, with
medication adherence rates of 89.5% for the highest performing quartile compared
with 68.1% for the lowest performing quartile. Medication adherence for statins
and ACEIs/ARBs paralleled the results for diabetes medications: 88.4% versus
73.4% and 89.8% versus 76.9%, respectively. Importantly, significantly fewer
patients in the lowest performing physician group had filled prescriptions for
statins or ACEIs/ARBs. CONCLUSIONS: Physician-specific factors have an
underappreciated impact on medication adherence. A better understanding of these
factors may have substantial benefit in improving compliance with treatment and
clinical outcomes.
PMID- 22084893
TI - Ethnic differences in the development of albuminuria: the DISTANCE study.
AB - OBJECTIVES: To determine whether ethnic differences in the incidence of
albuminuria are present in patients with diabetes, and to identify social,
behavioral, and provider factors that explain ethnic differences. STUDY DESIGN:
Survey follow-up design with a race-stratified baseline survey (2005-2006) in
diabetic patients from a nonprofit, fully integrated healthcare system in
Northern California. We followed the 10,596 respondents (30% whites, 20% blacks,
23% Hispanics, 14% Asians, and 13% Filipinos) without evidence of prevalent
albuminuria at baseline. METHODS: Incident albuminuria was defined by positive
dipstick urinalysis (>1) or urine albumin to creatinine level (>30 mg/g), and
confirmed with repeat testing at least 3 months later. RESULTS: The 27,292 person
years of observation yielded 981 incident albuminuria events. Agestandardized
rates of albuminuria (per 1000 person-years) ranged from 13.6 (95% confidence
interval [CI] 10.5-17.0) in whites to 27.8 (CI 18.2- 38.3) in blacks. In fully
adjusted Cox models, the hazard ratio for blacks (1.22, 95% CI 1.09-1.38), Asians
(1.35, 95% CI 1.13-1.61), and Filipinos (1.93, 95% CI 1.61-2.32), but not
Hispanics, was significantly greater than it was for whites. In some cases, point
estimates changed markedly from the base model when fully adjusted for potential
confounders. Moreover, adjustment for an array of potentially mediating factors
explained only a small proportion of the observed ethnic disparities.
CONCLUSIONS: Despite uniform medical care coverage, Filipinos, blacks, and Asians
with diabetes developed albuminuria at higher rates than white and Hispanic
adults.
PMID- 22084894
TI - Compliance and persistence with concomitant statin and oral antihyperglycemic
therapy.
AB - OBJECTIVES: To compare compliance and persistence with statin and oral
antihyperglycemic therapies in patients with type 2 diabetes who received
concomitant therapy. STUDY DESIGN: Retrospective cohort study using a large US
commercial claims database. METHODS: Patients with type 2 diabetes and dispensed
prescriptions for both statin and oral antihyperglycemic therapies on the same
date in 2006 (index date = first date of such dispensing) were included in the
analysis (N = 52,414). Patients were required to have continuous enrollment in
the database for 1 year prior to (baseline) and 2 years after (follow-up) index
date. The 2-year medication possession ratio (MPR) was compared between statin
and oral antihyperglycemic therapy. For the persistence analysis, treatment
discontinuation was defined by a gap >30 days between the last date of supply
from previous dispensing and subsequent refill. The likelihood of discontinuation
of statin versus oral antihyperglycemic therapy was estimated by fitting a robust
Cox proportional hazards regression model, adjusted for baseline variables.
RESULTS: The 2-year MPR was 70% for statin and 78% for oral antihyperglycemic
therapy (P <.0001). The proportion of patients with a 2-year MPR >80% was 52% for
statin and 63% for oral antihyperglycemic therapy (P <.0001). The median time to
discontinuation of statin was significantly shorter compared with oral
antihyperglycemic therapy (284 vs 495 days, P <.001). There was a greater risk to
discontinue statin than oral antihyperglycemic therapy (adjusted hazard ratio:
1.47 [95% confidence interval 1.45-1.48]). CONCLUSIONS: Compliance and
persistence with statin therapy significantly lagged behind oral
antihyperglycemic therapy in patients with type 2 diabetes who were treated
concomitantly with both therapies.
PMID- 22084895
TI - Persistence with biologic therapies in the Medicare coverage gap.
AB - OBJECTIVES: To describe persistence with teriparatide and other biologic
therapies in Medicare Part D plans with and without a coverage gap. STUDY DESIGN:
Retrospective (2006) cohort study of Medicare Part D prescription drug plan
beneficiaries from a large benefits company. Two plans with a coverage gap
(defined as "basic") were combined and compared with a single plan with coverage
for generic and branded medications (defined as "complete"). METHODS: Patients
taking alendronate (nonbiologic comparator), teriparatide, etanercept,
adalimumab, interferon beta-1a, or glatiramer acetate were selected for the
study. For patients with complete coverage, equivalent financial thresholds were
used to define the "gap."The definition of discontinuation was failure to fill
the index prescription after reaching the gap. RESULTS: For alendronate, 27% of
133,260 patients had enrolled in the complete plan. Patients taking biologic
therapies had more commonly enrolled in complete plans: teriparatide (66% of
6221), etanercept (58% of 1469), adalimumab (52% of 824), interferon beta-1a (60%
of 438), and glatiramer acetate (53% of 393). For patients taking either
alendronate or teriparatide, discontinuation rates were higher in the basic,
versus complete, plan (adjusted odds ratios, 2.02 and 3.56, respectively).
Discontinuation did not significantly vary by plan type for etanercept,
adalimumab, interferon beta-1a, or glatiramer acetate. CONCLUSIONS: For patients
who reached the coverage gap, discontinuation was more likely for patients taking
osteoporosis (OP) medication. Not having a coverage gap was associated with
improved persistence with OP treatment.
PMID- 22084896
TI - Health information exchange among US hospitals.
AB - OBJECTIVES: To determine the proportion of US hospitals engaged in health
information exchange (HIE) with unaffiliated providers and to identify key
hospital-level and market-level factors associated with participating in
exchange. STUDY DESIGN: Using the 2009 American Hospital Association Information
Technology survey, supplemented by Dartmouth Atlas, Area Resource File, and other
national data, we examined which hospitals participated in regional efforts to
electronically exchange clinical data. METHODS: We used logistic regression
models to determine hospital-level characteristics and market-level
characteristics associated with hospitals' likelihood of participating in HIE.
RESULTS: We found that 10.7% of US hospitals engaged in HIE with unaffiliated
providers. In communities where exchange occurred, for-profit hospitals and those
with a small market share were far less likely to engage in HIE than nonprofit
hospitals or those with a larger market share. Hospitals in more concentrated
markets were more likely to exchange and hospitals in markets with higher
Medicare spending were less likely to exchange. CONCLUSIONS: At the start of
implementation of the Health Information Technology for Economic and Clinical
Health (HITECH) Act, only a small minority of US hospitals electronically
exchange clinical data with unaffiliated providers. Health information exchange
is a key part of reforming the healthcare system, and factors related to
competitiveness may be holding some providers back.
PMID- 22084897
TI - Discrimination of three Pegaga (Centella) varieties and determination of growth
lighting effects on metabolites content based on the chemometry of 1H nuclear
magnetic resonance spectroscopy.
AB - The metabolites of three species of Apiaceae, also known as Pegaga, were analyzed
utilizing (1)H NMR spectroscopy and multivariate data analysis. Principal
component analysis (PCA) and hierarchical cluster analysis (HCA) resolved the
species, Centella asiatica, Hydrocotyle bonariensis, and Hydrocotyle
sibthorpioides, into three clusters. The saponins, asiaticoside and
madecassoside, along with chlorogenic acids were the metabolites that contributed
most to the separation. Furthermore, the effects of growth-lighting condition to
metabolite contents were also investigated. The extracts of C. asiatica grown in
full-day light exposure exhibited a stronger radical scavenging activity and
contained more triterpenes (asiaticoside and madecassoside), flavonoids, and
chlorogenic acids as compared to plants grown in 50% shade. This study
established the potential of using a combination of (1)H NMR spectroscopy and
multivariate data analyses in differentiating three closely related species and
the effects of growth lighting, based on their metabolite contents and
identification of the markers contributing to their differences.
PMID- 22084898
TI - Site-specific incorporation of photo-cross-linker and bioorthogonal amino acids
into enteric bacterial pathogens.
AB - Enteric bacterial pathogens are known to effectively pass through the extremely
acidic mammalian stomachs and cause infections in the small and/or large
intestine of human hosts. However, their acid-survival strategy and pathogenesis
mechanisms remain elusive, largely due to the lack of tools to directly monitor
and manipulate essential components (e.g., defense proteins or invasive toxins)
participating in these processes. Herein, we have extended the pyrrolysine-based
genetic code expansion strategy for encoding unnatural amino acids in enteric
bacterial species, including enteropathogenic Escherichia coli , Shigella , and
Salmonella . Using this system, a photo-cross-linking amino acid was incorporated
into a Shigella acid chaperone HdeA (shHdeA), which allowed the identification of
a comprehensive list of in vivo client proteins that are protected by shHdeA upon
acid stress. To further demonstrate the application of our strategy, an azide
bearing amino acid was introduced into a Shigella type 3 secretion effector,
OspF, without interruption of its secretion efficiency. This site-specifically
installed azide handle allowed the facile detection of OspF's secretion in
bacterial extracellular space. Taken together, these bioorthogonal
functionalities we incorporated into enteric pathogens were shown to facilitate
the investigation of unique and important proteins involved in the pathogenesis
and stress-defense mechanisms of pathogenic bacteria that remain exceedingly
difficult to study using conventional methodologies.
PMID- 22084899
TI - Gram-scale synthesis of the A'B'-subunit of angelmicin B.
AB - A gram-scale enantiospecific synthesis of the A'B'-subunit of angelmicin B is
reported. The synthesis involves a Lewis acid catalyzed contrasteric Diels-Alder
reaction and a tandem silyl zincate 1,6-addition/enolate oxidation sequence.
PMID- 22084900
TI - Material nature versus structural nurture: the embodied carbon of fundamental
structural elements.
AB - The construction industry is under considerable legislative pressure to reduce
its CO(2) emissions. The current focus is on operational CO(2) emissions, but as
these are compulsorily reduced, the embodied CO(2) of structural components,
overwhelmingly attributable to the material from which they are manufactured,
will become of greater interest. Choice of structural materials for minimal
embodied CO(2) is currently based either on subjective narrative arguments, or
values of embodied CO(2) per unit volume or mass. Here we show that such
arguments are invalid. We found that structural design parameters (dimensions,
section choice, and load capacity) for fundamental structural components (simple
beams and columns) are at least as important as material choice with regard to
their effect on embodied CO(2) per unit load capacity per unit dimension, which
can vary over several decades within and between material choices. This result
demonstrates that relying on apparently objective analyses based on embodied
CO(2) per unit volume or mass will not lead to minimum carbon solutions; a formal
definition of the correct functional unit for embodied CO(2) must be used. In
short, there is no such thing as a green structural material.
PMID- 22084902
TI - N-heterocyclic carbene based ruthenium-catalyzed direct amide synthesis from
alcohols and secondary amines: involvement of esters.
AB - A well-defined N-heterocyclic carbene based ruthenium complex was developed as a
highly active precatalyst for the direct amide synthesis from alcohols and
secondary amines. Notably, reaction of 1-hexanol and dibenzylamine afforded 60%
of the corresponding amide using our catalytic system, while no amide formation
was observed for this reaction with the previously reported catalytic systems.
Unlike the previously reported amidation with less sterically hindered alcohols
and amines, involvement of ester intermediates was observed.
PMID- 22084901
TI - Predicting carcinogenicity and understanding the carcinogenic mechanism of N
nitroso compounds using a TOPS-MODE approach.
AB - A linear discriminant analysis (LDA) coupled with an enhanced replacement method
(ERM) was used as an alternative method to predict the carcinogenicity of N
nitroso compounds (NOCs) in rats. This presented LDA based on the topological
substructural molecular descriptors (TOPS-MODE) approach was developed to predict
the carcinogenic and noncarcinogenic activity on a data set of 111 NOCs with a
good classification value of 90.1%. The predictive power of the LDA model was
validated through an external validation set (37 compounds) with a prediction
accuracy of 94.6% and a leave-one-out cross-validation procedure (LOOCV) with a
good prediction of 86.5%. This methodology showed that the TOPS-MODE descriptors
weighted, respectively, by bond dipole moment and Abraham solute descriptor
dipolarity/polarizability affected the NOC carcinogenicity. The contributions of
certain bonds and fragments to carcinogenicity were used to assess
biotransformation and carcinogenic mechanisms. The positive contribution of the
carbon-nitrogen single bond (between the N-nitroso group and alpha-carbon to the
N-nitroso group) indicated that the alpha-hydroxylation reaction could occur at
the alpha-carbon or otherwise not occur. Similarly, the contributions from the
molecular fragment could be applied to indicate whether the fragments generated
an alkylating agent. These results suggested that this approach could
discriminate between carcinogenic and noncarcinogenic NOCs, thereby providing
insight into the structural features and chemical factors related to NOC
carcinogenicity.
PMID- 22084903
TI - Burning mouth syndrome: a therapeutic approach involving mechanical salivary
stimulation.
AB - OBJECTIVE: The study aimed to evaluate the effects of salivary stimulation
therapy on the salivary flow, quality of saliva, and symptoms in patients with
burning mouth syndrome (BMS). BACKGROUND: BMS is a chronic disorder characterized
by a burning sensation. Some reports have proposed a role for saliva in the
pathogenesis of BMS. METHODS: Twenty-six BMS patients underwent treatment with
salivary mechanical stimulation. Resting and stimulated saliva were collected
before and after therapy. Salivary levels of total protein, brain-derived
neurotrophic factor, interleukin-10, tumor necrosis factor-alpha, interleukin-6,
and nerve growth factor were assessed before and 90 days after therapy by enzyme
linked immunosorbent assay. RESULTS: A significant reduction in the burning
sensation and number of burning sites as well as an improvement of taste
disturbances and xerostomia were observed after therapy. The salivary flow was
not significantly modified. However, the therapy resulted in a significant
decrease in salivary levels of total protein and an increase of tumor necrosis
factor-alpha. CONCLUSION: Salivary mechanical stimulation therapy is effective in
reducing clinical symptoms of BMS.
PMID- 22084904
TI - Light microscopic hair shaft analysis in ectodermal dysplasia syndromes.
AB - The objective of the study was to catalog hair shaft abnormalities in individuals
with ectodermal dysplasia (ED) syndromes using light microscopy and to compare
findings with those in unaffected controls. Light microscopy was performed in a
nonblinded manner on hair shafts from 65 participants with seven types of ED
(hypohidrotic ED, ED-ectrodactyly-cleft lip or palate, ankyloblepharon-ectodermal
defects-cleft lip and palate, Clouston syndrome, Goltz syndrome, Schopf-Schulz
Passarge syndrome, and oculodentodigital dysplasia) and 41 unaffected controls.
Hair donations were collected at the 28th Annual National Family Conference held
by the National Foundation for Ectodermal Dysplasia. Control participants were
recruited from a private dermatology practice and an academic children's hospital
outpatient dermatology clinic. Sixty-five affected participants and 41 unaffected
controls were included in the analysis. We assessed the hair shafts of ED and
control participants for abnormalities visible using LM. Light microscopy
identified various pathologic hair shaft abnormalities in each type of ED,
although none of the findings were statistically significantly different from
those of the control group. Light microscopy is a poor adjuvant tool in the
diagnosis of ED syndromes. Most findings are nonspecific and not sufficiently
sensitive.
PMID- 22084905
TI - Care coordinators: a controlled evaluation of an inpatient mental health service
innovation.
AB - The study aimed to evaluate the impact of introducing designated care
coordinators into an acute mental health inpatient unit in terms of service
delivery, clinical outcomes, and service user and significant other perceptions.
A pre-post-controlled design was implemented with a consecutive sample of 292
service users admitted and staying more than 5 days in two wards, with care
coordinators introduced in one ward. Data were obtained from clinical records,
standard measures, and service user and significant other surveys. Care
coordinator input was associated with significant improvements in service
delivery and stronger involvement of significant others and community resources.
Care-coordinated clients showed significantly better clinical outcomes, including
the Health of Nations Outcome Scales behaviour subscale, less time in the
intensive care subunit, less community crisis team input in the week following
discharge, and lower rates of readmission in the month following discharge. Care
coordinated service users and their significant others gave higher ratings of
service delivery, outcome, and satisfaction. The results indicate that designated
care coordinators significantly improve care processes, outcomes, and service
user experience in acute inpatient mental health settings.
PMID- 22084906
TI - Matrix metalloproteinases in plants: a brief overview.
AB - Matrix metalloproteinases (MMPs) are a family of zinc-dependent endopeptidases
belonging to the metzincin clan. MMPs have been characterized in detail in
mammals, and they have been shown to play key roles in many physiological and
pathological processes. Plant MMP-like proteases exist, but relatively few have
been characterized. It has been speculated that plant MMPs are involved in
remodeling of the plant extracellular matrix during growth, development and
stress response. However, the precise functions and physiological substrates in
higher plants remain to be determined. In this brief overview, we summarize the
current knowledge of MMPs in higher plants and algae.
PMID- 22084907
TI - Differential activation of proapoptotic molecules between mouse and rat models of
distal motor trigeminal denervation.
AB - BACKGROUND: We previously developed a rat trigeminal motor neuron axotomy model
involving masseter and temporal muscle resection to study pathological changes of
the central nucleus after peripheral nerve injury caused by oral surgery. Because
motor neurons are reported to be more vulnerable to axotomy in mice than rats, we
compared the degeneration process of the trigeminal motor nucleus in the rat
model with a similar mouse model. METHODS: We removed masseter and temporal
muscles of adult mice or rats. Animals were sacrificed at 3, 7, 14, 28, 42, and
56 days post-operation, and the trigeminal motor nuclei were histologically
analyzed. RESULTS: Size reduction, but no neuronal loss, was seen in the
trigeminal motor nuclei in both mice and rats. Time-dependent Noxa expression,
starting at 1 week post-operation (wpo), was seen in the mouse model. By 8 wpo,
mice expressed a higher level of Noxa than rats. Additionally, we noted
persistent expression of cleaved caspase-3 in mice but not in rats. Conversely,
apoptosis-inducing factor (AIF), which executes DNA fragmentation in the nucleus,
was not translocated to the nucleus in either model. CONCLUSIONS: Our findings
indicate differential activation of motor neuron apoptosis pathways after axotomy
in mice and rats. Lack of activation of caspase-independent pathways and distal
end denervation in our model might be related to the survival of motor neurons
after axonal injury. These findings could be relevant to future neuroprotective
strategies for peripheral nerve injury caused by oral surgeries.
PMID- 22084908
TI - The decline of fertility: Innovation or adjustment process.
AB - Abstract In Western society the process of fertility decline is often regarded
as an innovation process. The assumptions behind this approach seem rather
questionable, and the diffusion lags or gradients of limited importance. Both
Swedish and other European data are used as illustrations. It is suggested that
the decline be treated within the wider sociological perspective of a time
consuming adjustment or change process, not necessarily starting from a position
of completely uncontrolled fertility within marriage. The situation in to-day's
high fertility populations is briefly discussed against this background.
PMID- 22084909
TI - Malthus on norway.
AB - Abstract Malthus visited Norway in 1799 and his impression of the country's
demographic experience was important in determining the character of the second
edition of his Essay on the Principle of Population. He relied for the most part
on non-statistical sources. This led him to exaggerate, e.g. the size of
households, the number of unmarried farm servants and to miss important features,
e.g. differences in marital age patterns, regional variations in fertility and
nuptiality. The bias of his itinerary and of his main informants is also
apparent. Statistical material not available to Malthus indicates that he was
right to stress the late age at marriage in Norway but wrong to ignore the
operation of the positive check. Mortality was frequently high in late eighteenth
and early nineteenth-century Norway, much higher than Malthus ever realized. This
destroys the symmetry, as well as the morality, of Malthus's exposition.
PMID- 22084910
TI - Economic considerations in family growth decisions.
AB - Abstract Examination of the fertility patterns of a sample of white Detroit
couples at selected stages of the family life cycle indicates that, in a large
American metropolis, family income is more closely related to the time when a
family is formed and has its children than to the number of children it expects
to have. In a longitudinal study, current income is strongly related to the
timing of demographic events-the age at marriage, whether pre-maritally pregnant,
the time interval from marriage to a given parity, and fertility during a two
year follow-up period. This paper also explores the hypothesis that a family's
evaluation of its economic position and the choices it makes about important
family expenditures has a relation to fertility apart from the family's objective
current income level. Couples who consider their income adequate for their needs
or relatively greater than that of their friends or peers, and those who expect
substantial increases in the future, tend to expect more children than those who
do not. Small but consistent differences obtain over the parities studied.
Variables indexing alternative family expenditure patterns, such as cars, or
savings for college education for children, are associated with lower family size
expectations and longer spacing patterns.
PMID- 22084911
TI - Parameters of the menstrual cycle: A reply.
AB - Abstract In a set of two papers, one of them published in this journal in July
1965, W. H. James defends the menstrual statistics of E. J. Farris and his co
workers and exploits these data to propose a new formula of calendar rhythm that
allegedly provides maximum protection for a given amount of abstinence. The
present paper argues that Farris's data are open to a suspicion of serious bias.
James's estimates ofvarious parameters of the menstrual cycle are questioned
mainly on grounds of deficient data. However, it is concluded that his methods of
estimation will prove useful in future analyses of menstrual variation.
PMID- 22084912
TI - Bridal pregnancy in rural England in earlier centuries.
AB - Abstract A sample of 3,786 marriages, recorded in the parish registers of 77
rural and semi-rural parishes in 24 English counties during the period 1540-1835,
is investigated. 49% of the marriages can be followed through to a maternity
recorded in a baptism-entry in the baptism register of the same parish, i.e. the
parish of marriage. Of these brides traced to a maternity, roughly one-third had
their maternity recorded within eight and a half months of marriage and were
therefore probably pregnant at marriage. When allowance is made for delayed
baptisms, and for brides whose pre-maritally conceived pregnancy terminated in an
abortion or stillbirth and hence went unrecorded, the proportion of 'traced'
brides pregnant may approach one-half. Turning to the 51% of marriages untraced
to maternities in this investigation, for a large number (perhaps two-thirds) the
most likely explanation is the removal ofthe married couple from the parish of
marriage before maternity occurred, for reasons unconnected with the bridal
condition. It is concluded that there is direct and detailed evidence in the
parish registers that more than one-sixth of all brides were pregnant at
marriage, and that it can be reasonably deduced from the direct evidence that in
fact about one-third were pregnant. The proportion of brides pregnant in the
earlier centuries (before 1700) appears to have been only about one-half of that
in the later centuries. Regionally, the highest rate in both periods appears to
have been in the northern four counties. The social interpretation of these
bridal pregnancy rates poses many problems for the social historian.
PMID- 22084913
TI - On the probable age structure of the Roman population.
AB - Abstract The average expectation of life has often been calculated from ages
given on the many thousands of surviving Roman tombstones. But the distribution
of these ages at death is demographically most improbable. However, this can be
easily explained once attention is paid to the patterns of commemoration between
relatives, for in some inscriptions the age at death is given, in others a
relationship (e.g. marriage) is commemorated, in yet others both are recorded.
But the distortions cannot be corrected; these ages at death must be discarded as
useful evidence for estimating life expectation.
PMID- 22084916
TI - Detection and identification of plasma bacterial and viral elements in HIV/AIDS
patients in comparison to healthy adults.
AB - A low level of CD4+ lymphocyte cells makes end-stage HIV/AIDS patients highly
susceptible to microbial infections. We have adopted the next generation
sequencing method to identify the spectrum of bacterial plasma and viral elements
that might be present in these patients. The HIV/AIDS plasma microbiome was
dominated by bacterial elements in the taxonomical order Pseudomonadales, while
healthy people carried fewer bacterial DNA in the plasma. We have found that many
of the bacterial elements in HIV/AIDS plasma are similar to those of the microbes
found in the human gut, suggesting potential acquisition of microbial elements
from the gut. The HIV/AIDS and normal plasma DNA virome shared some similarities
in the presence of common ubiquitous eukaryotic viruses. The normal DNA virome
was mainly composed of viruses from Anelloviridae. In contrast, the HIV/AIDS DNA
virome contained a large proportion of bacteriophages, endogenous retroviruses
and a non-human virus. In addition, several sequences, which might belong to
novel bacteria or endogenous retroviruses, were identified. Taken together, the
use of high-throughput sequencing technology in unveiling microbial metagenomics
may facilitate future research in combating HIV/AIDS and its associated microbial
complications.
PMID- 22084917
TI - Improving wound score classification with limited remission spectra.
AB - The classification of wounds into healing states depending on their absorption
spectrum of visible and near infrared light remains an important task in
dermatology. Moreover, a reduction of the spectrum that is used in the
classification task to fewer but important wavelengths is desirable, as each
measured wavelength increases the examination costs without necessarily providing
further information to the classification of wound healing states. This paper
addresses two aspects: First the improvement of the classification of wounds into
healing states and second, a cost reduction by choosing only important
wavelengths. Standard Data Mining methods are evaluated for their classification
accuracy (CA) and compared to their performance when applying feature selection
techniques that are used to reduce the amount of necessary wavelengths. The
results indicate that the 1-nearest-neighbor approach (IB1 algorithm) comes up
with the best CA, while only relying on a fraction (4%) of the standard
wavelength spectrum.
PMID- 22084918
TI - Shaking a hornets' nest: pitfalls of abortion counselling in a secular
constitutional order--a view from South Africa.
AB - There exists an enormous gulf between the aspirations of South Africa's abortion
legislation--among the most liberal in the world--and its implementation. One
weakness in the provision of abortion services in South Africa is the absence of
comprehensive abortion counselling services. On the face of it, the idea that
counselling ought, as a matter of course, to be a significant component of a
country's termination of pregnancy service provision, seems both
straightforwardly sensible and politically innocent. This paper describes how
abortion counselling has historically, in many different contexts, been saturated
with questionable assumptions about women and their bodies. Counselling has more
often than not been deployed, either as the formal policy of states or through
informal mechanisms, as a means of curbing the right to abortion rather than
deepening the meaning of that right. Differing approaches to counselling emerge
as a reflection of contestations over reproductive and gender politics.
Specifying an appropriate model for the provision of state-sponsored abortion
counselling in the public health sector of a secular constitutional state
provokes more of a hornet's nest of dilemmas than is sometimes supposed.
PMID- 22084919
TI - Assessing the impact of the duration and intensity of inhalation exposure on the
magnitude of the variability of internal dose metrics in children and adults.
AB - The objective of this study was to assess the impact of the exposure duration and
intensity on the human kinetic adjustment factor (HKAF). A physiologically based
pharmacokinetic model was used to compute target dose metrics (i.e. maximum blood
concentration (C(max)) and amount metabolized/L liver/24 h (Amet)) in adults,
neonates (0-30 days), toddlers (1-3 years), and pregnant women following
inhalation exposure to benzene, styrene, 1,1,1-trichloroethane and 1,4-dioxane.
Exposure scenarios simulated involved various concentrations based on the
chemical's reference concentration (low) and six of U.S. EPA's Acute Exposure
Guideline Levels (AEGLs) (high), for durations of 10 min, 60 min, 8 h, and 24
h, as well as at steady-state. Distributions for body weight (BW), height (H),
and hepatic CYP2E1 content were obtained from the literature or from P3M
software, whereas blood flows and tissue volumes were calculated from BW and H.
The HKAF was computed based on distributions of dose metrics obtained by Monte
Carlo simulations [95th percentile in each subpopulation/median in adults]. At
low levels of exposure, ranges of C(max)-based HKAF were 1-6.8 depending on the
chemical, with 1,4-dioxane exhibiting the greatest values. At high levels of
exposure, this range was 1.1-5.2, with styrene exhibiting the greatest value.
Neonates were always the most sensitive subpopulation based on C(max), and
pregnant women were most sensitive based on Amet in the majority of the cases
(1.3-2.1). These results have shown that the chemical-specific HKAF varies as a
function of exposure duration and intensity of inhalation exposures, and
sometimes exceeds the default value used in risk assessments.
PMID- 22084925
TI - Deceptive examinees who committed suicide: report of two cases.
AB - Deceptive behavior by neuropsychological examinees does not preclude the presence
of significant psychopathology. To illustrate this fact we present two cases.
Case 1 had a diagnosis of factitious disorder and clear evidence on neurological
and neuropsychological exams of exaggeration. Case 2 had a somatoform disorder
and provided a deceptive social history. Long after the neuropsychological
evaluations, both persons committed suicide. These cases provide anecdotal
evidence that deceptive behavior does not preclude the presence of serious
psychopathology, and that deceptive behavior and self-destructive behavior
sometimes coincide.
PMID- 22084926
TI - Population genetic segmentation of MHC-correlated perfume preferences.
AB - It has become difficult to find a matching perfume. An overwhelming number of 300
new perfumes launch each year, and marketing campaigns target pre-defined groups
based on gender, age or income rather than on individual preferences. Recent
evidence for a genetic basis of perfume preferences, however, could be the
starting point for a novel population genetic approach to better match perfumes
with people's preferences. With a total of 116 participants genotyped for alleles
of three loci of the major histocompatibility complex (MHC), the aim of this
study was to test whether common MHC alleles could be used as genetic markers to
segment a given population into preference types. Significant deviations from
random expectations for a set of 10 common perfume ingredients indicate how such
segmentation could be achieved. In addition, preference patterns of participants
confronted with images that contained a sexual communication context
significantly differed in their ratings for some of the scents compared with
participants confronted with images of perfume bottles. This strongly supports
the assumption that genetically correlated perfume preferences evolved in the
context of sexual communication. The results are discussed in the light of
perfume customization.
PMID- 22084927
TI - Mechanism for singlet fission in pentacene and tetracene: from single exciton to
two triplets.
AB - Singlet fission (SF) could dramatically increase the efficiency of organic solar
cells by producing two triplet excitons from each absorbed photon. While this
process has been known for decades, most descriptions have assumed the necessity
of a charge-transfer intermediate. This ab initio study characterizes the low
lying excited states in acene molecular crystals in order to describe how SF
occurs in a realistic crystal environment. Intermolecular interactions are shown
to localize the initially delocalized bright state onto a pair of monomers. From
this localized state, nonadiabatic coupling mediated by intermolecular motion
between the optically allowed exciton and a dark multi-exciton state facilitates
SF without the need for a nearby low-lying charge-transfer intermediate. An
estimate of the crossing rate shows that this direct quantum mechanical process
occurs in well under 1 ps in pentacene. In tetracene, the dark multi-exciton
state is uphill from the lowest singlet excited state, resulting in a dynamic
interplay between SF and triplet-triplet annihilation.
PMID- 22084928
TI - Functionalization of a self-assembled monolayer driven by low-energy electron
exposure.
AB - Self-assembled monolayers (SAMs) of 10-undecene-1-thiol on Au were functionalized
with nitrogen-containing groups using an approach in which multilayer ammonia
(NH(3)) films were deposited at low temperature onto the SAMs and subsequently
exposed to 15 eV electrons. The result of this process was investigated after
removal of the remaining NH(3) by annealing to room temperature using high
resolution electron energy loss spectroscopy (HREELS) and X-ray photoelectron
spectroscopy (XPS). HREELS shows that the CC double bonds disappear during
electron exposure, while XPS gives evidence that about 25% of the terminal double
bonds of the SAM were functionalized. Also, XPS shows that a sufficiently thick
NH(3) layer protects the underlying SAM from electron-induced damage. The process
suggested here thus represents a particularly gentle approach to the
functionalization of ultrathin molecular layers. Thermal desorption spectrometry
(TDS) and electron-stimulated desorption (ESD) experiments on condensed layers of
NH(3) reveal production of N(2) but show that significant amounts of the initial
NH(3) as well as N(2) produced during electron exposure desorb. Hydrogen released
upon formation of N(2) is held responsible for the reduction of double bonds and
protection of the SAMs from damage.
PMID- 22084929
TI - Solution NMR approaches for establishing specificity of weak heterodimerization
of membrane proteins.
AB - Solution NMR provides a powerful approach for detecting complex formation
involving weak to moderate intermolecular affinity. However, solution NMR has
only rarely been used to detect complex formation between two membrane proteins
in model membranes. The impact of specific binding on the NMR spectrum of a
membrane protein can be difficult to distinguish from spectral changes that are
induced by nonspecific binding and/or by changes that arise from forced
cohabitation of the two proteins in a single model membrane assembly. This is
particularly the case when solubility limits make it impossible to complete a
titration to the point of near saturation of complex formation. In this work
experiments are presented that provide the basis for establishing whether
specific complex formation occurs between two membrane proteins under conditions
where binding is not of high avidity. Application of these methods led to the
conclusion that the membrane protein CD147 (also known as EMMPRIN or basigin)
forms a specific heterodimeric complex in the membrane with the 99-residue
transmembrane C-terminal fragment of the amyloid precursor protein (C99 or APP
betaCTF), the latter being the immediate precursor of the amyloid-beta
polypeptides that are closely linked to the etiology of Alzheimer's disease.
PMID- 22084930
TI - Quantitative spectroscopic analysis of heterogeneous mixtures: the correction of
multiplicative effects caused by variations in physical properties of samples.
AB - Spectral measurements of complex heterogeneous types of mixture samples are often
affected by significant multiplicative effects resulting from light scattering,
due to physical variations (e.g., particle size and shape, sample packing, and
sample surface, etc.) inherent within the individual samples. Therefore, the
separation of the spectral contributions due to variations in chemical
compositions from those caused by physical variations is crucial to accurate
quantitative spectroscopic analysis of heterogeneous samples. In this work, an
improved strategy has been proposed to estimate the multiplicative parameters
accounting for multiplicative effects in each measured spectrum and, hence,
mitigate the detrimental influence of multiplicative effects on the quantitative
spectroscopic analysis of heterogeneous samples. The basic assumption of the
proposed method is that light scattering due to physical variations has the same
effects on the spectral contributions of each of the spectroscopically active
chemical components in the same sample mixture. On the basis of this underlying
assumption, the proposed method realizes the efficient estimation of the
multiplicative parameters by solving a simple quadratic programming problem. The
performance of the proposed method has been tested on two publicly available
benchmark data sets (i.e., near-infrared total diffuse transmittance spectra of
four-component suspension samples and near-infrared spectral data of meat
samples) and compared with some empirical approaches designed for the same
purpose. It was found that the proposed method provided appreciable improvement
in quantitative spectroscopic analysis of heterogeneous mixture samples. The
study indicates that accurate quantitative spectroscopic analysis of
heterogeneous mixture samples can be achieved through the combination of
spectroscopic techniques with smart modeling methodology.
PMID- 22084932
TI - Vacuum cleaner emissions as a source of indoor exposure to airborne particles and
bacteria.
AB - Vacuuming can be a source of indoor exposure to biological and nonbiological
aerosols, although there are few data that describe the magnitude of emissions
from the vacuum cleaner itself. We therefore sought to quantify emission rates of
particles and bacteria from a large group of vacuum cleaners and investigate
their potential determinants, including temperature, dust bags, exhaust filters,
price, and age. Emissions of particles between 0.009 and 20 MUm and bacteria were
measured from 21 vacuums. Ultrafine (<100 nm) particle emission rates ranged from
4.0 * 10(6) to 1.1 * 10(11) particles min(-1). Emission of 0.54-20 MUm particles
ranged from 4.0 * 10(4) to 1.2 * 10(9) particles min(-1). PM(2.5) emissions were
between 2.4 * 10(-1) and 5.4 * 10(3) MUg min(-1). Bacteria emissions ranged from
0 to 7.4 * 10(5) bacteria min(-1) and were poorly correlated with dust bag
bacteria content and particle emissions. Large variability in emission of all
parameters was observed across the 21 vacuums, which was largely not attributable
to the range of determinant factors we assessed. Vacuum cleaner emissions
contribute to indoor exposure to nonbiological and biological aerosols when
vacuuming, and this may vary markedly depending on the vacuum used.
PMID- 22084931
TI - Common variants in FTO are not significantly associated with obesity-related
phenotypes among Samoans of Polynesia.
AB - The association between obesity and the fat mass and obesity-associated (FTO)
gene has been widely replicated among Caucasian populations. The limited number
of studies assessing its significance in Asian populations has been somewhat
conflicting. We performed a genetic association study of 51 tagging, genome-wide
association studies, and imputed single nucleotide polymorphisms with 12 measures
of adiposity and skeletal robustness in two Samoan populations of Polynesia. We
included 465 and 624 unrelated American Samoan and Samoan individuals,
respectively; these populations derive from a single genetic background traced to
Southeast Asia and represent one sociocultural unit, although they are
economically disparate with distinct environmental exposures. American Samoans
were significantly larger than Samoans in all measures of obesity and most
measures of skeletal robustness. In separate analyses of American Samoa and
Samoa, we found a total of 36 nominal associations between FTO variants and
skeletal and obesity measures. The preponderance of these nominal associations
(32 of 36) was observed in the Samoan population, and predominantly with skeletal
rather than fat mass measures (28 of 36). All significance disappeared, however,
following corrections for multiple testing. Based on these findings, it could be
surmised that FTO is not likely a major obesity locus in Polynesian populations.
PMID- 22084933
TI - Quality of life among Brazilian women living with HIV/AIDS.
AB - The purpose of the present study was to assess quality of life (QoL) in Brazilian
women living with HIV/AIDS, according to the World Health Organization Quality of
Life HIV-BREF (WHOQoL-HIV-BREF) domains. A quantitative-based, cross-sectional,
analytical study was carried out in healthcare centers specialized in assisting
people living with HIV/AIDS, located in a municipality of the state of Sao Paulo,
Brazil. One hundred and six women of age 18 years or more, users of the public
healthcare system, participated in the study. Socio-demographic and clinical
variables were collected using a specific questionnaire. Quality of life related
variables were collected by means of the WHOQoL-HIV-BREF instrument. As per the
QoL domains, study results show that the Spirituality domain reached a
standardized mean score of 65.7, followed by the Physical (64.7), Psychological
(60.6), Social Relationships (59.5), Independence (58.6), and Environment (54.5)
domains. Results of the multiple regression analysis indicate that the women's
employment or retirement, income greater than the minimum wage, and higher
educational level were associated with a higher standardized mean score of QoL.
However, recent HIV/AIDS diagnosis and exposure to antiretroviral agents for a
period shorter than two years were negatively associated with QoL. It is critical
that public policies favor an all-embracing social inclusion of these women, thus
promoting better social conditions. Counseling, clinical follow-up immediately
after the infection diagnosis, and initiation of antiretroviral treatment are
crucial moments in the lives of these individuals.
PMID- 22084934
TI - Molecular mechanism of glyceraldehyde-3-phosphate dehydrogenase inactivation by
alpha,beta-unsaturated carbonyl derivatives.
AB - alpha,beta-Unsaturated carbonyls make up an important class of chemicals involved
in environmental toxicity and disease processes. Whereas adduction of cysteine
residues on proteins is a well-documented reaction of these chemicals, such a
generic effect cannot explain the molecular mechanism of cytotoxicity. Instead,
more detailed information is needed regarding the possible specificity and
kinetics of cysteine targeting and the quantitative relationship between adduct
burden and protein dysfunction. To address these data gaps, we incubated purified
human glyceraldehyde-3-phosphate dehydrogenase (GAPDH) with acrylamide (ACR),
acrolein, or methylvinyl ketone (MVK). Results show that these alpha,beta
unsaturated carbonyl toxicants inhibited GAPDH activity in a concentration- and
time-dependent manner. The rank order of enzyme inhibition (K(I)) (i.e., ACR ?
MVK < acrolein) was related to the calculated electrophilic reactivity of each
compound and to the corresponding kinetics of cysteine adduct formation. Tandem
mass spectrometry revealed that adduct formation was selective at lower
concentrations; i.e., ACR preferentially formed adducts with Cys152 (residues 146
162). At higher concentrations, ACR also formed adducts with Cys156 and Cys247
(residues 235-248). Adduct formation at Cys152 was correlated to enzyme
inhibition, which is consistent with the regulatory role of this residue in
enzyme function and its location within the GAPDH active site. Further analyses
indicated that Cys152 was present in a pK(a)-lowering microenvironment (pK(a) =
6.03), and at physiological pH, the corresponding sulfhydryl group exists in the
highly reactive nucleophilic thiolate state. These data suggest a general
cytotoxic mechanism in which electrophilic alpha,beta-unsaturated carbonyls
selectively form adducts with reactive nucleophilic cysteine residues
specifically associated with the active sites of proteins. These specialized
cysteine residues are toxicologically relevant molecular targets, because
chemical derivatization causes loss of protein function.
PMID- 22084935
TI - Abnormal actin binding of aberrant beta-tropomyosins is a molecular cause of
muscle weakness in TPM2-related nemaline and cap myopathy.
AB - NM (nemaline myopathy) is a rare genetic muscle disorder defined on the basis of
muscle weakness and the presence of structural abnormalities in the muscle
fibres, i.e. nemaline bodies. The related disorder cap myopathy is defined by cap
like structures located peripherally in the muscle fibres. Both disorders may be
caused by mutations in the TPM2 gene encoding beta-Tm (tropomyosin). Tm controls
muscle contraction by inhibiting actin-myosin interaction in a calcium-sensitive
manner. In the present study, we have investigated the pathogenetic mechanisms
underlying five disease-causing mutations in Tm. We show that four of the
mutations cause changes in affinity for actin, which may cause muscle weakness in
these patients, whereas two show defective Ca2+ activation of contractility. We
have also mapped the amino acids altered by the mutation to regions important for
actin binding and note that two of the mutations cause altered protein
conformation, which could account for impaired actin affinity.
PMID- 22084936
TI - Identification of splice variants, targeted microRNAs and functional single
nucleotide polymorphisms of the BOLA-DQA2 gene in dairy cattle.
AB - Major histocompatibility complex, class II, DQ alpha 2, also named BOLA-DQA2,
belongs to the Bovine Leukocyte Antigen (BOLA) class II genes which are involved
in the immune response. To explore the variability of the BOLA-DQA2 gene and
resistance to mastitis in cows, the splice variants (SV), targeted microRNAs
(miRNAs), and single nucleotide polymorphisms (SNPs) were identified in this
study. A new SV (BOLA-DQA2-SV1) lacking part of exon 3 (195 bp) and two 3'
untranslated regions (UTR) (52 bp+167 bp) of the BOLA-DQA2 gene was found in the
healthy and mastitis-infected mammary gland tissues. Four of 13 new SNPs and
multiple nucleotide polymorphisms resulted in amino acid changes in the protein
and SNP (c. +1283 C>T) may affect the binding to the seed sequence of bta-miR
2318. Further, we detected the relative expressions of two BOLA-DQA2 transcripts
and five candidated microRNAs binding to the 3'-UTR of two transcripts in the
mammary gland tissues in dairy cattle by using the quantitative real-time
polymerase chain reaction. The result showed that expression of the BOLA-DQA2-SV1
mRNA was significantly upregulated 2.67-fold (p<0.05) in mastitis-infected
mammary tissues (n = 5) compared with the healthy mammary gland mammary tissues
(n = 5). Except for bta-miR-1777a, miRNA expression (bta-miR-296, miR-2430, and
miR-671) was upregulated 1.75 to 2.59-fold (p<0.05), whereas miR-2318 was
downregulated in the mastitis cows. Our findings reveal that BOLA-DQA2-SV1 may
play an important role in the mastitis resistance in dairy cattle. Whether the
SNPs affect the structure of the BOLA-DQA2 gene or association with mastitis
resistance is unknown and warrants further investigation.
PMID- 22084937
TI - Modulator effects of the methylenetetrahydrofolate reductase C677T polymorphism
on response to vitamin B12 therapy and homocysteine metabolism.
AB - In this study, our aim was to investigate the association of
methylenetetrahydrofolate reductase (MTHFR) C677T polymorphism on the vitamin B12
therapy response in 95 patients with vitamin B12 deficiency and 92 healthy
control subjects using vitamin B12, plasma total homocysteine (tHcy), and folate
as the main measure of outcome. MTHFR C677T genotypes were determined by
polymerase chain reaction-restriction fragment length polymorphism techniques.
There were no differences in the distribution of MTHFR genotypes in the cases
versus the controls. Mean concentrations of plasma tHcy and B12 vitamin were
18.84 MUM and 142.47 pg/mL in patients with TT (10.5%) genotypes. Furthermore,
mean concentrations of B12 vitamin after cobalamin therapy were 697.62, 656.64,
and 488.76 pg/mL in patients with the CC, CT, and TT genotypes, respectively. The
MTHFR 677 TT genotype has decreasing effect in B12 vitamin and increasing effect
in tHcy. In comparison with the patients having CC and CT genotypes, patients
with the TT genotype had a lower response to vitamin B12 therapy.
PMID- 22084938
TI - Association between VHL single nucleotide polymorphism (rs779805) and the
susceptibility to prostate cancer in Chinese.
AB - The Von Hippel-Lindau (VHL) tumor suppressor gene is a crucial regulator of the
hypoxia response pathway and plays an important role in tumorigenesis,
particularly in tumor growth and vascularization. We hypothesize that
polymorphisms in the functional region of VHL may influence susceptibility to
prostate cancer (PCa). We genotyped a potentially functional polymorphism
(rs779805) in 5' UTR region of VHL in a case-control study of 665 PCa patients
and 715 cancer-free controls in a Chinese population using the Taqman assay. The
genetic associations between the incidence and progression of PCa were assessed
by logistic regression. We observed that the rs779805 A>G polymorphism was
significantly associated with risk for PCa. Compared with the AA genotype, the AG
and AG/GG genotypes were associated with decreased risk of PCa (adjusted odds
ratio [OR]=0.79, 95% confidence interval [CI]=0.62-0.99, and adjusted OR=0.76,
95% CI=0.61-0.95, respectively). Further, this decreased risk was more pronounced
in the subgroups of nonsmokers (OR=0.73, 95% CI=0.54-0.98), nondrinkers (OR=0.70,
95% CI=0.54-0.91) and patients without family history of cancer (OR=0.72, 95%
CI=0.57-0.92). In addition, the decreased risk associated with rs779805 variant
genotypes (AG/GG) was more pronounced among the prostate specific antigen
(PSA)>20 ng/mL subgroup (OR=0.68, 95% CI=0.49-0.95). Our findings suggest that
the rs779805 A>G polymorphism in VHL may confer susceptibility to PCa in the
Chinese population.
PMID- 22084939
TI - An organocascade kinetic resolution.
AB - Products of a novel iminium-catalyzed oxa-Michael addition undergo a kinetic
resolution by a subsequent enamine-catalyzed intermolecular reaction. This is a
rare example of kinetic resolution by enamine catalysis and the first
organocascade kinetic resolution. This resolution produces enantioenriched 2,6
cis-tetrahydropyrans and, notably, cascade products with absolute and relative
configurations normally not observed using this diphenyl prolinol silyl ether.
This resolution thus provides new insight into asymmetric induction in reactions
employing this catalyst.
PMID- 22084940
TI - Determining milk isolated and conjugated trans-unsaturated fatty acids using
fourier transform Raman spectroscopy.
AB - The feasibility of Raman spectroscopy in combination with partial least-squares
(PLS) regression for the determination of individual or grouped trans
monounsaturated fatty acids (trans-MUFA) and conjugated linoleic acids (CLA) in
milk fat is demonstrated using spectra obtained at two temperature conditions:
room temperature and after freezing at -80 degrees C. The PLS results displayed
capability for direct semiroutine quantification of several individual CLA (cis
9,trans-11 and trans-10,cis-12 C18:2) and trans-MUFA (trans-4-15 C18:1) in minor
concentrations (below 1.0 g/100 g of milk fat). Calibration models were based on
reference data cross-correlation or determined by specific scattering signals in
the Raman spectra. Distinct bands for trans-MUFA (1674 cm(-1)) and CLA (1653 cm(
1)) from the trans isolated and cis,trans conjugated C ? C bonds were identified,
as well as original evidence for the temperature effect (new bands, peak shifts,
and higher intensities) on the Raman spectra of fatty acid methyl ester and
triacylglyceride standards, are supplied.
PMID- 22084941
TI - SnO2 nanowire logic devices on deformable nonplanar substrates.
AB - Logic inverters consisting of n-type FETs and resistors with SnO(2) nanowire
channels were fabricated on films of the elastomer polydimethylsiloxane,
prestrained and flattened into planar sheets from initial, preformed
hemispherical shapes. Upon release, thin and narrow interconnects between
individual devices in the arrays absorb induced strain by buckling into nonplanar
sinusoidal shapes, to allow full recovery of the surfaces to their original
convex geometries. The same physics allows deformation of convex shapes into
concave ones, as well as more complex surfaces of coexisting convex and concave
areas, and small regions with extremely stretched, locally tapered forms, all
nondestructively achieved while maintaining electrical performance, enhanced by
use of air gap gate dielectrics. This work shows, more generally, that nanowire
devices with both conventional and unusual designs can be integrated into overall
systems with irregular, nonplanar layouts, easily deformed in reversible fashion
without any measurable alteration in electrical characteristics. The results
suggest potential applicability of nanowire technologies in systems of tissue
matched implantable electronics for mounting directly on human organs or of
sensor skins for integration with robotic manipulators.
PMID- 22084942
TI - Ulcerated lupus vulgaris at the site of Bacille Calmette-Guerin vaccination.
AB - We report a case of ulcerated lupus vulgaris occurring in 1.5-year-old boy at the
Bacille Calmette-Guerin vaccination site within 6 months, which was diagnosed
using histology and polymerase chain reaction. The lesion resolved with isoniazid
and rifampicin therapy.
PMID- 22084943
TI - Recovery, survival, and function of transfused platelets and detection of
platelet engraftment after allogeneic stem cell transplantation.
AB - BACKGROUND: Recovery and survival of transfused platelets (PLTs) are usually
assessed by radioisotope labeling methods for evaluation of transfusion efficacy
and new progress in the processing of PLT concentrates. Alternative,
nonradioactive methods are warranted. STUDY DESIGN AND METHODS: A multicolor flow
cytometry method was developed for simultaneous studies of recovery, survival,
and function of transfused PLTs. Eight consecutive patients undergoing allogeneic
stem cell transplantation (TX) were transfused with apheresis PLTs of nonself
human leukocyte antigen (HLA) Class I types, and HLA Class I discrepancy between
donor and recipient was used to identify transfused PLTs. Hematologic status and
HLA Class I surface expression were analyzed immediately before transfusion, 1
and 6 hours after transfusion, and daily during the subsequent week. PLT
activation was assessed by surface expression of CD63, CD62P, or CD42a, before
and after stimulation with thrombin receptor agonist peptide. RESULTS: PLT
recovery was 43, 41, and 31% for fresh (5-72 hr old) and 30, 27, and 17% for
stored (73-148 hr old) PLTs, after 1, 6, and 15 to 28 hours, respectively.
Survival of fresh versus stored PLTs were 160 and 105 hours, respectively.
Spontaneous PLT activation and residual activation potential were almost equal
for fresh and stored PLTs. PLT engraftment was detected between Day 7 and Day 9,
which was significantly earlier than first sign of neutrophil engraftment (Days
11-19; p=0.01). CONCLUSION: Flow cytometry is an attractive alternative to
radiolabeling of PLTs for simultaneous studies of survival, recovery, and
function of transfused PLTs and early detection of PLT engraftment after
allogeneic stem cell TX.
PMID- 22084945
TI - Law, marriage and illegitimacy in nineteenth-century Germany.
AB - Abstract Concern arose among legislators in several German States during the
first half of the nineteenth century about overpopulation and increasing numbers
of the impoverished classes. This led them to pass legislation restricting
marriage to those considered by the community authorities as morally and
financially capable of rearing a family. Census data at the time of the repeal of
these laws indicate the extent to which they succeeded in repressing marriage.
Declining illegitimacy which paralleled the repeal, however, suggests strongly
that the legislation was far less effectual in limiting reproduction than it was
in preventing marriage. Added confirmation of this interpretation is provided by
the contrasting nuptiality and illegitimacy patterns of German states with
liberal marriage regulations.
PMID- 22084946
TI - Abortion in amsterdam.
AB - Abstract Mortality from abortion is low in the Netherlands to-day, and
approximately equal to mortality at delivery. Calculations suggest that about
4,000 abortions occur in Amsterdam every year, of which about 2,100 are induced.
The abortion rate shows a very gradual decline after the Second World War. An
investigation of the social background of women with induced abortion showed no
relationship between occupational group and the incidence of abortion, but a
strong negative correlation between religion and abortion. Abortion was more
common among women with disturbed relationships in their own or parental
families. Induced abortion usually occurred in pregnancies resulting from failure
of contraception; these failures were caused not by lack of knowledge of good
contraceptives, but by ineffective practice of contraception. The inability to
use contraceptive methods in an effective way is related to a lack of
communication between the two partners, and to a negative attitude of the women
towards sex. An attempt has been made to formulate a theory of the causes of
induced abortion among the women interviewed in Amsterdam.
PMID- 22084947
TI - Family planning and fecundity.
AB - Abstract A computerized probability model of family-building, FERMOD, is
described and then utilized in an investigation of relations between family
planning and fecundity as applying to white couples of the contemporary United
States. Models of this type that formulate reproductive performance as a
stochastic process permit one to explore relations that are not directly
observable and in this manner to secure at least partial answers to questions not
subject to investigation by survey research alone. Two main questions are
addressed concerning the dependence of family planning success upon fecundity:
(1) How quickly does spacing control deteriorate when natural fecundability is
taken at progressively lower values or when the risk of pregnancy wastage is set
at progressively higher values? (2) What is the distribution of unsought births
among couples of average fecundity when they practise contraception with
specified effectiveness and have stipulated spacing and family size goals?
PMID- 22084948
TI - Local variations of fertility in taiwan.
AB - Abstract Taiwan has attracted a considerable amount of demographic interest in
recent years because of a marked decline of fertility since 1956. In this paper
the authors utilize data from the household registration system to analyse
variations of fertility among 292 local administrative areas in 1961. The study
reveals a strong negative correlation between total fertility and a series of
indicators of social development and communication. Most of the variation in
fertility is accounted for by differences in the fertility of married women aged
over 30 and in the age at marriage. The decline of total fertility is accounted
for primarily by a reduction of the marital fertility of women over 30. The
adoption of family limitation was by no means confined to urban centres, but
apparently originated there and spread rapidly to small towns and rural areas.
PMID- 22084949
TI - The malthusian theory in pre-civil War America.
AB - Abstract Malthusian pessimism was singled out as the most vulnerable expression
of the dominant, classical school of economics. Boston idealists, who saw the
Malthusian concept as 'a curb to all reform', searched for a rebuttal in the
study of institutional economics. The Pennsylvania protectionists, centred
about Henry C. Carey, attacked the Malthusian concept as a barrier to the
proposed 'American system' which was designed to increase population densities by
promoting industrial growth. The fusion of these two schools of thought with
the Free Soil elements in the Republican party brought about what many at the
time considered a decisive defeat of the Malthusian philosophy in America.
Clearly this was not so, for Malthus was never more popular than in post-Civil
War America. Why this was so is the subject of this paper. There are various
possibilities: The growing influence of Spencer, Mill and Darwin was certainly a
factor, and four years of civil bloodshed appear to have reconciled many to the
tragic view of life found in the Malthusian concept. Also, the wagefund doctrine
was widely accepted during this period of industrial growth. Whatever the
reasons, Malthus again proved his curious vitality after being buried by his
enemies.
PMID- 22084950
TI - Obituary: sir alexander carr-saunders.
PMID- 22084953
TI - Prognostic significance of matrix metalloproteinase-2, -8, -9, and -13 in oral
tongue cancer.
AB - BACKGROUND: Oral tongue squamous cell carcinoma (OTSCC) often metastasizes to
cervical lymph nodes. Mechanisms of this disease progression are not fully known.
We aimed at finding new predictive markers for diagnosis and disease monitoring.
METHODS: Seventy-three consecutive T1N0M0 and T2N0M0 OTSCC patients treated at
Helsinki University Central Hospital, Helsinki, Finland, in 1992-2002 were
included. Tissue array blocks were prepared from primary tumors and
immunostained. Immunoexpression of matrix metalloproteinase (MMP)-2, -8, -9, and
13 was compared with patient characteristics and outcome. RESULTS: Nuclear
expression of MMP-13, but not cytoplasmic expression of MMP-2, -8, and -9, was
associated with invasion depth (P = 0.017) and tumor size (P = 0.008).
Furthermore, high nuclear MMP-13 expression was predictive of poor outcome (P =
0.042). CONCLUSION: Our results suggest that especially MMP-13 may be regarded as
a prognostic biomarker in OTSCC.
PMID- 22084954
TI - Evaluation and use of NS1 IgM antibody detection for acute dengue virus
diagnosis: report from an outbreak investigation.
AB - The usefulness of detecting circulating non-structural protein 1 (NS1) IgM
antibodies for diagnosing acute dengue virus infection was evaluated during an
outbreak investigation along with other routinely used laboratory diagnostic
methods. For the first time, the samples were also tested for NS1 antigen
detection. NS1 IgM antibody detects all the serum samples that were positive for
NS1 antigen detection within first 5 days of infection. The sensitivity of the
NS1 IgM ELISA was higher when compared with RT-PCR and therefore, it could be
used for early diagnosis.
PMID- 22084955
TI - HIV risk among drug-using men who have sex with men, men selling sex, and
transgender individuals in Vietnam.
AB - Knowledge about drug use and its association with HIV risk among men who have sex
with men is limited. Although the HIV epidemic among this population in Vietnam
is increasingly acknowledged, understanding the impact of drug use on the spread
of HIV is largely lacking. Using qualitative data from in-depth interviews and
focus group discussions with 93 drug users, 15 non-drug users and 9 community
stakeholders, this analysis explores emerging patterns of drug use and risk
factors for engaging in risk behaviours among drug-using men having sex with men,
men selling sex and transgender individuals in Hanoi and Ho Chi Minh City.
Findings revealed that drug use is shifting from heroin to ecstasy and ice. Drug
users reported unsafe sex associated with drug use and men selling sex were
particularly at elevated risk because of using drugs as a tool for sex work and
trading sex for drugs. These findings are guiding development of programmes
addressing unmet HIV-prevention needs in Vietnam.
PMID- 22084956
TI - Economic burden of Pseudomonas aeruginosa infection in patients with cystic
fibrosis.
AB - OBJECTIVE: Chronic infection with Pseudomonas aeruginosa (PA) is the primary
cause of pulmonary deterioration in cystic fibrosis (CF). This study describes
healthcare costs and resource utilization among CF patients following PA
infection in the US. METHODS: This retrospective study utilized data from
MarketScan claims database. CF patients with an initial PA infection were
identified, and their healthcare utilization, medical and pharmacy costs were
extracted for 12 months, pre- and post-PA infection. Descriptive and pair-wise
non-parametric statistical analyses compared healthcare utilization and costs
before and after infection. RESULTS: Three hundred and fifty-eight CF patients
met study criteria (mean age 20.1 years; 48% female). Mean annual per-patient
costs following initial PA infection increased by an estimated $18,516
(outpatient: $3113; inpatient: $10,123; pharmacy: $4943). Overall healthcare
costs were significantly higher (p < 0.0001) following PA infection, as were
overall inpatient visits, outpatient visits, and unique prescriptions (p <
0.0001). CONCLUSIONS: PA infection in cystic fibrosis creates a significant
economic burden and the cost is not uniformly distributed across the healthcare
components. LIMITATIONS: Key limitations of this study include the absence of
clinical parameters to characterize PA infections and data on indirect costs such
as loss of productivity or caretaker-related burden.
PMID- 22084957
TI - Cost-effectiveness analysis of valsartan versus losartan and the effect of
switching.
AB - OBJECTIVES: Losartan will shortly become generic, and this may encourage
switching to the generic drug. However, valsartan was shown in a meta-analysis to
be statistically superior in lowering blood pressure (BP) to losartan. This paper
examines the costs of treatment with these two drugs and the potential
consequences of switching established valsartan patients to generic losartan.
METHODS: A US payer cost-effectiveness model was developed incorporating the risk
of cardiovascular disease (CVD) events related to systolic blood pressure (SBP)
control comparing valsartan to continual losartan and switching from valsartan to
generic losartan. The model, based upon a meta-analysis by Nixon et al. and
Framingham equations, included first CVD event costs calculated from US
administrative data sets and utility values from published sources. The modeled
outcomes were number of CVD events, costs and incremental cost per quality
adjusted life-year (QALY) and life-year (LY). RESULTS: Fewer patients had fatal
and non-fatal CVD events with valsartan therapy compared with continual losartan
and with patients switched from valsartan to generic losartan. The base-case
model results indicated that continued treatment with valsartan had an
incremental cost-effectiveness ratio of $27,268 and $25,460 per life year gained,
and $32,313 and $30,170 per QALY gained, relative to continual losartan and
switching treatments, respectively. Sensitivity analyses found that patient
discontinuation post-switching was a sensitive parameter. Including efficacy
offsets with lowered adherence or discontinuation resulted in more favorable
ratios for valsartan compared to switching therapy. LIMITATIONS: The model does
not evaluate post-primary CVD events and considers change in SBP from baseline
level as the sole predictor of CVD risk. CONCLUSIONS: Valsartan appears to be
cost-effective compared to switching to generic losartan and switching to the
generic drug does not support a cost offset argument over the longer term.
Physicians should continue to consider the needs of individual patient and not
cost offsets.
PMID- 22084958
TI - A framework for image-based classification of mitotic cells in asynchronous
populations.
AB - High content screening (HCS) has emerged an important tool for drug discovery
because it combines rich readouts of cellular responses in a single experiment.
Inclusion of cell cycle analysis into HCS is essential to identify clinically
suitable anticancer drugs that disrupt the aberrant mitotic activity of cells.
One challenge for integration of cell cycle analysis into HCS is that cells must
be chemically synchronized to specific phases, adding experimental complexity to
high content screens. To address this issue, we have developed a rules-based
method that utilizes mitotic phosphoprotein monoclonal 2 (MPM-2) marker and works
consistently in different experimental conditions and in asynchronous
populations. Further, the performance of the rules-based method is comparable to
established machine learning approaches for classifying cell cycle data,
indicating the robustness of the features we use in the framework. As such, we
suggest the use of MPM-2 analysis and its associated expressive features for
integration into HCS approaches.
PMID- 22084959
TI - Transitions experienced by older survivors of critical care.
AB - The transition from hospital to home is complicated for older adults who
experience a serious or life-threatening illness. The specific aims of this
prospective, observational cohort study were to determine the number of older
adults who experience a change in their functional ability and residence after an
intensive care unit (ICU) stay and to explore risk factors for functional decline
and new institutionalization at hospital discharge. We found high rates of
unrecognized preexisting cognitive impairment, delirium, complications,
functional decline, and new institutionalization in this sample (N = 43). A
number of variables were associated with functional decline or new
institutionalization, including narcotic agent use (p = 0.03), ICU complications
(p = 0.05), comorbidities (p = 0.01), depression (p = 0.05), and severity of
illness (p = 0.05). We identified device self-removal, admission type, and ICU
delirium as also potentially associated with these outcomes (p <= 0.25). There
are a number of important and potentially modifiable factors that influence an
older adult's ability to recover after a critical illness.
PMID- 22084960
TI - Initial efficacy of a cardiac rehabilitation transition program: Cardiac TRUST.
AB - The purpose of this pilot study was to test the initial efficacy, feasibility,
and safety of a specially designed postacute care transitional rehabilitation
intervention for cardiac patients. Cardiac Transitional Rehabilitation Using Self
Management Techniques (Cardiac TRUST) is a family-focused intervention that
includes progressive low-intensity walking and education in self-management
skills to facilitate recovery following a cardiac event. Using a randomized two
group design, exercise self-efficacy, steps walked, and participation in an
outpatient cardiac rehabilitation (CR) program were compared in a sample of 38
older adults (17 Cardiac TRUST, 21 usual care). At discharge from postacute care,
the intervention group trended toward higher levels of self-efficacy for exercise
outcomes than the usual care group. During the 6 weeks following discharge, the
intervention group had greater attendance in outpatient CR and a trend toward
more steps walked during the first week. The feasibility of the intervention was
better for the home health care participants than for those in the skilled
nursing facility. The provision of CR during postacute care has the potential to
bridge the gap in transitional services from hospitalization to outpatient CR for
these patients at high risk for future cardiac events. Further evidence of the
efficacy of Cardiac TRUST is warranted.
PMID- 22084961
TI - Medication regimens in older home care patients.
AB - Medication regimens in older patients have been strongly associated with adverse
events leading to hospitalization in ambulatory care settings. Despite a 29%
hospitalization rate, to date, no research regarding medication regimens and
readmission to the hospital has been completed in the home care setting. As part
of a larger study evaluating predictors of readmission to the hospital from home
care, descriptive analyses, chi-square tests, and t tests for independent samples
were used in this secondary analysis to evaluate the Outcome and Assessment
Information Set and medication records from 911 older patients admitted from the
hospital to 15 home care agencies. Patients readmitted back to the hospital were
older, sicker, and more cognitively impaired, and had complex medication regimens
that included significant polypharmacy and inappropriate medication use. Nurses
working with older adults need to be especially vigilant in monitoring medication
regimens of patients to reduce opportunities for adverse drug events and
subsequent hospitalization.
PMID- 22084962
TI - Chronic grief management for dementia caregivers in transition: intervention
development and implementation.
AB - Research reveals that Alzheimer's disease (AD) caregivers do not relinquish their
role after placing a family member in long-term care. Caregivers report increased
emotional upset around the time of placement, with sustained losses over time
leading to chronic grief. Chronic grief increases caregivers' risk for depression
and suicide. There are no documented interventions designed to decrease
caregivers' chronic grief post placement. The Chronic Grief Management
Intervention (CGMI) builds on existing evidence to target caregivers' chronic
grief in the transition of a family member into long-term care. The intervention
is structured into three major components: (a) knowledge, (b) communication and
conflict resolution skills, and (c) chronic grief management skills. The 12-week
intervention was pilot tested with 34 caregivers for feasibility and preliminary
effects on caregiver skill, knowledge, chronic grief, and depression. This
article presents a general study description while focusing on the development
and implementation of the CGMI.
PMID- 22084963
TI - Medication reconciliation in nursing homes: thematic differences between RN and
LPN staff.
AB - The purpose of this qualitative descriptive study was to describe medication
reconciliation practices in nursing homes with a specific focus on nursing staff
involvement in the process. The study was conducted in eight Midwestern nursing
homes and included 46 onsite observations of resident transfers to the nursing
home. Informal interviews of nursing staff performing medication reconciliation
were conducted during each observation. Findings suggest nursing home nursing
staff, including both RN and licensed practical nurse (LPN) staff, were primarily
responsible for performing medication reconciliation; however, these staff often
varied in how they processed resident transfer information to identify medication
order discrepancies. Patterns of differences were found related to their
perceptions about medication reconciliation, as well as their actions when
performing the process. RN staff were more often focused on resident safety and
putting the "big picture" together, whereas LPN staff were more often focused on
the administrative assignment and "completing the task."
PMID- 22084964
TI - Substance abuse in older adults: policy issues.
AB - By 2020, it is projected that the number of older adults needing treatment for
drug and alcohol addictions will increase dramatically. Although Medicare covers
treatment for mental health issues, copayments can be prohibitive for those with
lower incomes. The Positive Aging Act, an amendment to the Public Health Service
Act, is a policy alternative that could address substance abuse in the older
adult population by (a) demonstrating ways of integrating mental health services
for older adults into primary care settings, and (b) supporting the establishment
and maintenance of interdisciplinary geriatric mental health outreach teams in
community settings where older adults reside or receive social services.
Increasing funding to states to emphasize primary care provider education on the
detection, evaluation, and treatment of substance abuse problems of older adults
has the potential to better meet the substance abuse needs of this population.
Educating primary care providers, including gerontological nurses, to recognize
signs of substance abuse in this population and providing age-appropriate
treatment options is critically important but will require funding beyond what is
currently available.
PMID- 22084965
TI - Aging and living with HIV/AIDS.
AB - With individuals either living longer with the disease or contracting it at a
later stage in life, HIV/AIDS can no longer be regarded as just a young person's
disease. In fact, people older than 50 will represent 50% of HIV/AIDS cases by
2015. The intersection of aging and HIV/AIDS is explored in this article through
the use of an individual example highlighting typical age-related issues
encountered in living with a chronic HIV infection and two of the more common
comorbid conditions. Nursing implications for managing these conditions
depression and dyslipidemia-as well as other considerations for providing care to
older adults with HIV/AIDS are addressed.
PMID- 22084966
TI - Biochemical investigation of the formation of three-dimensional networks from DNA
grafted large silica particles.
AB - DNA is used to rationally build up networks of silica nanoparticles (SiNPs) based
on the molecular recognition properties of complementary sequences. Network self
assembly is controlled from DNA covalently grafted at the surface of chemically
modified SiNPs. Two strategies are compared, where grafted DNA sequences are
designed in a three-strand system using noncomplementary sequences and an extra
DNA linker, or in a two-strand approach for direct hybridization. In this paper,
both systems are compared in terms of DNA hybridization stability, network size,
and three-dimensional organization using a combination of dynamic light
scattering and electron microscopy. The observed differences are discussed in
terms of hybridization interactions between DNA sequences in particle-free
systems through fluorescence, circular dichroism, and UV spectroscopy techniques.
PMID- 22084967
TI - Would vaccination against nicotine be a cost-effective way to prevent smoking
uptake in adolescents?
AB - AIMS: We used epidemiological modelling to assess whether nicotine vaccines would
be a cost-effective way of preventing smoking uptake in adolescents. DESIGN,
SETTING, PARTICIPANTS AND MEASUREMENTS: We built an epidemiological model using
Australian data on age-specific smoking prevalence; smoking cessation and relapse
rates; life-time sex-specific disability-adjusted life years lived for cohorts of
100,000 smokers and non-smokers; government data on the costs of delivering a
vaccination programme by general practitioners; and a range of plausible and
optimistic estimates of vaccine cost, efficacy and immune response rates based on
clinical trial results. We first estimated the smoking uptake rates for
Australians aged 12-19 years. We then used these estimates to predict the
expected smoking prevalence in a birth cohort aged 12 in 2003 by age 20 under (i)
current policy and (ii) different vaccination scenarios that varied in cost,
initial vaccination uptake, yearly re-vaccination rates, efficacy and a
favourable vaccine immune response rate. FINDINGS: Under the most optimistic
assumptions, the cost to avert a smoker at age 20 was $44,431 [95% confidence
interval (CI) $40,023-49,250]. This increased to $296,019 (95% CI $252,307
$355,930) under more plausible scenarios. The vaccine programme was not cost
effective under any scenario. CONCLUSIONS: A preventive nicotine vaccination
programme is unlikely to be cost-effective. The total cost of a universal
vaccination programme would be high and its impact on population smoking
prevalence negligible. For these reasons, such a programme is unlikely to be
publicly funded in Australia or any other developed country.
PMID- 22084968
TI - Non-covalent monolayer-piercing anchoring of lipophilic nucleic acids:
preparation, characterization, and sensing applications.
AB - Functional interfaces of biomolecules and inorganic substrates like semiconductor
materials are of utmost importance for the development of highly sensitive
biosensors and microarray technology. However, there is still a lot of room for
improving the techniques for immobilization of biomolecules, in particular
nucleic acids and proteins. Conventional anchoring strategies rely on attaching
biomacromolecules via complementary functional groups, appropriate bifunctional
linker molecules, or non-covalent immobilization via electrostatic interactions.
In this work, we demonstrate a facile, new, and general method for the reversible
non-covalent attachment of amphiphilic DNA probes containing hydrophobic units
attached to the nucleobases (lipid-DNA) onto SAM-modified gold electrodes,
silicon semiconductor surfaces, and glass substrates. We show the anchoring of
well-defined amounts of lipid-DNA onto the surface by insertion of their lipid
tails into the hydrophobic monolayer structure. The surface coverage of DNA
molecules can be conveniently controlled by modulating the initial concentration
and incubation time. Further control over the DNA layer is afforded by the
additional external stimulus of temperature. Heating the DNA-modified surfaces at
temperatures >80 degrees C leads to the release of the lipid-DNA structures from
the surface without harming the integrity of the hydrophobic SAMs. These
supramolecular DNA layers can be further tuned by anchoring onto a mixed SAM
containing hydrophobic molecules of different lengths, rather than a homogeneous
SAM. Immobilization of lipid-DNA on such SAMs has revealed that the surface
density of DNA probes is highly dependent on the composition of the surface layer
and the structure of the lipid-DNA. The formation of the lipid-DNA sensing layers
was monitored and characterized by numerous techniques including X-ray
photoelectron spectroscopy, quartz crystal microbalance, ellipsometry, contact
angle measurements, atomic force microscopy, and confocal fluorescence imaging.
Finally, this new DNA modification strategy was applied for the sensing of target
DNAs using silicon-nanowire field-effect transistor device arrays, showing a high
degree of specificity toward the complementary DNA target, as well as single-base
mismatch selectivity.
PMID- 22084969
TI - New developments for the sensitivity estimation in four-way calibration with the
quadrilinear parallel factor model.
AB - Appropriate closed-form expressions are known for estimating analyte
sensitivities when calibrating with one-, two-, and three-way data (vectors,
matrices, and three-dimensional arrays, respectively, built with data for a group
of samples). In this report, sensitivities are estimated for calibration with
four-way data using the quadrilinear parallel factor (PARAFAC) model, making it
possible to assess important figures of merit for method comparison or
optimization. The strategy is based on the computation of the uncertainty in the
fitted PARAFAC parameters through the Jacobian matrix. Extensive Monte Carlo
noise addition simulations in four-way data systems having widely different
overlapping situations are helpful in supporting the present approach, which was
also applied to two experimental analytical systems. With this proposal, the
estimation of the PARAFAC sensitivity for calibration scenarios involving three-
and four-way data may be considered complete.
PMID- 22084970
TI - Analysis of very elderly (>=80 years) non-hodgkin lymphoma: impact of functional
status and co-morbidities on outcome.
AB - Data on outcome, prognostic factors, and treatment for very elderly non-Hodgkin
lymphomas (NHL) is sparse. We conducted a multicentre retrospective analysis of
NHL patients >=80 years (at diagnosis) treated between 1999 and 2009. Detailed
characteristics were obtained including geriatric syndromes, activities of daily
living (ADLs), and co-morbidities using the Cumulative Illness Rating Scale
Geriatrics (CIRS-G). We identified 303 patients: 170 aggressive NHL (84% B
cell/16% T cell) and 133 indolent NHL (82% B cell/18% T cell). Median age was 84
years (80-95). A geriatric syndrome was present in 26% of patients, 18% had >=1
grade 4 CIRS-G, and 14% had loss of ADLs. At 49-month median follow-up, 4-year
progression-free (PFS) and overall survival (OS) for aggressive NHLs were 31% and
44% respectively (stage I/II: PFS 53% and OS 66%; stage III/IV: PFS 20% and OS
32%; P < 0.0001 and 0.0002, respectively). Four-year PFS and OS for indolent NHL
were 44% and 66% respectively, regardless of stage. Multivariate regression
analysis identified two key factors that predicted inferior PFS and OS for both
NHL groups: lack of CR and loss of ADLs. Prospective studies for very elderly NHL
that incorporate geriatric tools, especially ADLs, are warranted.
PMID- 22084971
TI - Modeling spatial variations of black carbon particles in an urban highway
building environment.
AB - Highway-building environments are prevalent in metropolitan areas. This paper
presents our findings in investigating pollutant transport in a highway-building
environment by combing field measurement and numerical simulations. We employ and
improve the Comprehensive Turbulent Aerosol Dynamics and Gas Chemistry (CTAG)
model to simulate the spatial variations of black carbon (BC) concentrations near
highway I-87 and an urban school in the South Bronx, New York. The results of
CTAG simulations are evaluated against and agree adequately with the measurements
of wind speed, wind directions, and BC concentrations. Our analysis suggests that
the BC concentration at the measurement point of the urban school could decrease
by 43-54% if roadside buildings were absent. Furthermore, we characterize two
generalized conditions in a highway-building environment, i.e., highway-building
canyon and highway viaduct-building. The former refers to the canyon between
solid highway embankment and roadside buildings, where the spatial profiles of BC
depend on the equivalent canyon aspect ratio and flow recirculation. The latter
refers to the area between a highway viaduct (i.e., elevated highway with open
space underneath) and roadside buildings, where strong flow recirculation is
absent and the spatial profiles of BC are determined by the relative heights of
the highway and buildings. The two configurations may occur at different
locations or in the same location with different wind directions when highway
geometry is complex. Our study demonstrates the importance of incorporating
highway-building interaction into the assessment of human exposure to near-road
air pollution. It also calls for active roles of building and highway designs in
mitigating near-road exposure of urban population.
PMID- 22084972
TI - Perceived burden in adherence of antiretroviral treatment in rural China.
AB - To determine the level of antiretroviral treatment adherence and explore the
correlated factors of perceived burden of taking antiretroviral medications among
people living with HIV (PLH) in a rural area of China. Data were collected from
66 PLH who were currently receiving antiretroviral treatment. Face-to-face
interviews were conducted during August to October, 2009. Approximately 18.2% of
participants failed to adhere to antiretroviral treatment in the previous 30
days. A majority of PLH reported that taking antiretroviral medications posed a
burden to them. Those who perceived a higher level of burden were more likely to
be women, to have lower annual income, and to report a high level of depressive
symptoms, poor physical health, and strained family interactions. Findings
suggest that future programs in promoting adherence to antiretroviral treatment
should address issues related to psychosocial well-being and PLH's interactions
with their family members.
PMID- 22084973
TI - DNA strand cleavage by the phenazine di-N-oxide natural product myxin under both
aerobic and anaerobic conditions.
AB - Heterocyclic N-oxides are an interesting class of antitumor agents that
selectively kill the hypoxic cells found in solid tumors. The hypoxia-selective
activity of the lead compound in this class, tirapazamine, stems from its ability
to undergo intracellular one-electron reduction to an oxygen-sensitive drug
radical intermediate. In the presence of molecular oxygen, the radical
intermediate is back-oxidized to the parent molecule. Under hypoxic conditions,
the extended lifetime of the drug radical intermediate enables its conversion to
a highly cytotoxic DNA-damaging intermediate via a "deoxygenative" mechanism
involving the loss of oxygen from one of its N-oxide groups. The natural product
myxin is a phenazine di-N-oxide that displays potent antibiotic activity against
a variety of organisms under aerobic conditions. In light of the current view of
heterocyclic N-oxides as agents that selectively operate under hypoxic
conditions, it is striking that myxin was identified from Sorangium extracts
based upon its antibiotic properties under aerobic conditions. Therefore, we set
out to examine the molecular mechanisms underlying the biological activity of
myxin. We find that myxin causes bioreductively activated, radical-mediated DNA
strand cleavage under both aerobic and anaerobic conditions. Our evidence
indicates that strand cleavage occurs via a deoxygenative metabolism. We show
that myxin displays potent cytotoxicity against the human colorectal cancer cell
line HCT-116 under both aerobic and anaerobic conditions that is comparable to
the cell-killing properties of tirapazamine under anaerobic conditions. This work
sheds light on the processes by which the naturally occurring aromatic N-oxide
myxin gains its potent antibiotic properties under aerobic conditions.
Furthermore, these studies highlight the general potential for aromatic N-oxides
to undergo highly cytotoxic deoxygenative metabolism following enzymatic one
electron reduction under aerobic conditions.
PMID- 22084974
TI - Progressive strength training (10 RM) commenced immediately after fast-track
total knee arthroplasty: is it feasible?
AB - PURPOSE: To explore the feasibility of progressive strength training commenced
immediately after total knee arthroplasty (TKA). METHODS: A pilot study was
conducted at an outpatient training facility. Fourteen patients with unilateral
TKA were included from a fast-track orthopedic arthroplasty unit. They received
rehabilitation including progressive strength training of the operated leg (leg
press and knee-extension), using relative loads of 10 repetition maximum with
three training sessions per week for 2 weeks. Rehabilitation was commenced 1 or 2
days after TKA. At each training session, knee pain, knee joint effusion and
training load were recorded. Isometric knee-extension strength and maximal
walking speed were measured before the first and last session. RESULTS: The
training load increased progressively (p < 0.0001). Patients experienced only
moderate knee pain during the strength training exercises, but knee pain at rest
and knee joint effusion (p < 0.0001) were unchanged or decreased over the six
training sessions. Isometric knee-extension strength and maximal walking speed
increased by 147 and 112%, respectively. CONCLUSION: Progressive strength
training initiated immediately after TKA seems feasible, and increases knee
extension strength and functional performance without increasing knee joint
effusion or knee pain.
PMID- 22084975
TI - ZraP is a periplasmic molecular chaperone and a repressor of the zinc-responsive
two-component regulator ZraSR.
AB - The bacterial envelope is the interface with the surrounding environment and is
consequently subjected to a barrage of noxious agents including a range of
compounds with antimicrobial activity. The ESR (envelope stress response)
pathways of enteric bacteria are critical for maintenance of the envelope against
these antimicrobial agents. In the present study, we demonstrate that the
periplasmic protein ZraP contributes to envelope homoeostasis and assign both
chaperone and regulatory function to ZraP from Salmonella Typhimurium. The ZraP
chaperone mechanism is catalytic and independent of ATP; the chaperone activity
is dependent on the presence of zinc, which is shown to be responsible for the
stabilization of an oligomeric ZraP complex. Furthermore, ZraP can act to repress
the two-component regulatory system ZraSR, which itself is responsive to zinc
concentrations. Through structural homology, ZraP is a member of the bacterial
CpxP family of periplasmic proteins, which also consists of CpxP and Spy. We
demonstrate environmental co-expression of the CpxP family and identify an
important role for these proteins in Salmonella's defence against the cationic
antimicrobial peptide polymyxin B.
PMID- 22084978
TI - Total synthesis of (+/-)-maistemonine, (+/-)-stemonamide, and (+/-)
isomaistemonine.
AB - A full account of the total synthesis of (+/-)-maistemonine, (+/-)-stemonamide,
and (+/-)-isomaistemonine is presented. Two approaches have been developed to
construct the basic pyrrolo[1,2-a]azepine core of the Stemona alkaloids,
featuring a tandem semipinacol/Schmidt rearrangement of a secondary azide and a
highly stereoselectively desymmetrizing intramolecular Schmidt reaction,
respectively. To build the common spiro-gamma-butyrolactone, a new protocol was
carried out by utilizing an intramolecular ketone-ester condensation as the key
transformation. The vicinal butyrolactone moiety of (+/-)-maistemonine was
stereoselectively introduced via a one-pot procedure involving the epimerization
at C-3 and carbonyl allylation/lactonization. Moreover, (+/-)-stemonamide was
divergently synthesized from a common intermediate, and (+/-)-isomaistemonine was
obtained via the epimerization of (+/-)-maistemonine at C-12.
PMID- 22084976
TI - The hierarchical factor model of ADHD: invariant across age and national
groupings?
AB - OBJECTIVE: To examine the factor structure of attention-deficit/hyperactivity
disorder (ADHD) in a clinical sample of 1,373 children and adolescents with ADHD
and their 1,772 unselected siblings recruited from different countries across a
large age range. Hierarchical and correlated factor analytic models were compared
separately in the ADHD and sibling samples, across three different instruments
and across parent and teacher informants. Specific consideration was given to
factorial invariance analyses across different ages and different countries in
the ADHD sample. METHOD: A sample of children and adolescents between 5 and 17
years of age with ADHD and their unselected siblings was assessed. Participants
were recruited from seven European countries and Israel. ADHD symptom data came
from a clinical interview with parents Parental Account of Childhood Symptoms and
questionnaires from parents and teachers (Conners Parent and Teacher). RESULTS: A
hierarchical general factor model with two specific factors best represented the
structure of ADHD in both the ADHD and unselected sibling groups, and across
informants and instruments. The model was robust and invariant with regard to age
differences in the ADHD sample. The model was not strongly invariant across
different national groups in the ADHD sample, likely reflecting severity
differences across the different centers and not any substantial difference in
the clinical presentation of ADHD. CONCLUSIONS: The results replicate previous
studies of a model with a unitary ADHD component and separable specific traits of
inattention and hyperactivity/impulsivity. The unique contribution of this study
was finding support for this model across a large developmental and
multinational/multicultural sample and its invariance across ages.
PMID- 22084979
TI - Investigation of different apple cultivars by high resolution magic angle
spinning NMR. A feasibility study.
AB - (1)H HR-MAS NMR spectroscopy was applied to apple tissue samples deriving from 3
different cultivars. The NMR data were statistically evaluated by analysis of
variance (ANOVA), principal component analysis (PCA), and partial least-squares
discriminant analysis (PLS-DA). The intra-apple variability of the compounds was
found to be significantly lower than the inter-apple variability within one
cultivar. A clear separation of the three different apple cultivars could be
obtained by multivariate analysis. Direct comparison of the NMR spectra obtained
from apple tissue (with HR-MAS) and juice (with liquid-state HR NMR) showed
distinct differences in some metabolites, which are probably due to changes
induced by juice preparation. This preliminary study demonstrates the feasibility
of (1)H HR-MAS NMR in combination with multivariate analysis as a tool for future
chemometric studies applied to intact fruit tissues, e.g. for investigating
compositional changes due to physiological disorders, specific growth or storage
conditions.
PMID- 22084980
TI - Flexible, low-voltage, and low-hysteresis PbSe nanowire field-effect transistors.
AB - We report low-hysteresis, ambipolar bottom gold contact, colloidal PbSe nanowire
(NW) field-effect transistors (FETs) by chemically modifying the silicon dioxide
(SiO(2)) gate dielectric surface to overcome carrier trapping at the NW-gate
dielectric interface. While water bound to silanol groups at the SiO(2) surface
are believed to give rise to hysteresis in FETs of a wide range of nanoscale
materials, we show that dehydration and silanization are insufficient in reducing
PbSe NW FET hysteresis. Encapsulating PbSe NW FETs in cured poly(methyl)
methacrylate (PMMA), dehydrates and uniquely passivates the SiO(2) surface, to
form low-hysteresis FETs. Annealing predominantly p-type ambipolar PbSe NW FETs
switches the FET behavior to predominantly n-type ambipolar, both with and
without PMMA passivation. Heating the PbSe NW devices desorbs surface bound
oxygen, even present in the atmosphere of an inert glovebox. Upon cooling,
overtime oxygen readsorption switches the FET polarity to predominantly p-type
ambipolar behavior, but PMMA encapsulation maintains low hysteresis.
Unfortunately PMMA is sensitive to most solvents and heat treatments and
therefore its application for nanostructured material deposition and doping is
limited. Seeking a robust, general platform for low-hysteresis FETs we explored a
variety of hydroxyl-free substrate surfaces, including silicon nitride,
polyimide, and parylene, which show reduced electron trapping, but still large
hysteresis. We identified a robust dielectric stack by assembling
octadecylphosphonic acid (ODPA) on aluminum oxide (Al(2)O(3)) to form low
hysteresis FETs. We further integrated the ODPA/Al(2)O(3) gate dielectric stack
on flexible substrates to demonstrate low-hysteresis, low-voltage FETs, and the
promise of these nanostructured materials in flexible, electronic circuitry.
PMID- 22084981
TI - Febrile ulceronecrotic Mucha-Habermann disease (pityriasis lichenoides et
varioliformis acuta fulminans) presenting as Stevens-Johnson syndrome.
AB - We present two pediatric patients with pityriasis lichenoides et varioliformis
acuta fulminans whose admitting diagnosis was Stevens Johnson Syndrome. The
patients were successfully treated with methotrexate and prednisone. These cases
highlight the importance of early recognition and treatment of this disease to
prevent further morbidity and a potentially fatal prognosis.
PMID- 22084982
TI - Non-invasive translational Cynomolgus model for studying folliculogenesis and
ovulation using color Doppler ultrasonography.
AB - BACKGROUND: In women, different events of folliculogenesis can be measured and
evaluated using ultrasound (US) technology. The availability of a non-invasive
translational non-human primate model to study these processes would represent a
major contribution to further advance R&D efforts toward novel therapies in
assisted reproduction. METHODS: In our study, follicular growth and ovulation was
measured in six cyclic Cynomolgus monkeys using abdominal Doppler US. RESULTS:
The mean follicular diameter on cycle day -6 (cycle day 0=day of ovulation) was
3.7mm that increased to 6.8mm on cycle day -1. After ovulation, the mean diameter
decreased to 4.6mm, confirming ovulation. The mean percentage of follicular size
reduction after ovulation was 31%. CONCLUSION: Ultrasonography in combination
with color-flow Doppler imaging was shown to be a useful, non-invasive
translational method to measure ovarian follicular growth and occurrence and
timing of follicular rupture in Cynomolgus monkeys.
PMID- 22084983
TI - Fertility differentials as evidence of incipient fertility decline in a
developing country.
AB - Abstract Age data from the 1960 and earlier censuses of Ghana allow the
construction of child-woman ratios which appear to indicate the existence of a
substantial urban-rural fertility differential. Plausible assumptions of urban
rural mortality differentials increase the apparent fertility differential. In
this paper recently published data for Statistical Areas in the country's larger
towns are used to demonstrate that one explanation for the fertility differential
is almost certainly the enumeration of some females in the towns, while one or
more of their surviving children were enumerated outside. Nevertheless, in 1960
the four largest towns exhibited birth levels which are likely to have been about
11% below those of the population in the surrounding regions. Roughly half the
differential can be attributed to a general urban-rural differential and half to
socio-economic differentials within the towns. It is shown that most fertility
reduction within the towns may be explained by delayed female marriage, and that
such delay is associated with extended education. It is also shown that amongst
the higher socio-economic status groups a small part of the reduction can
probably be attributed to the prevention of pregnancy within marriage, and that
the making of such attempts is positively associated with extended education,
urban birth, participation in first and monogamous marriages, Protestantism, and
the holding of views about the harmful effect of high population growth rates on
attempts to raise living standards. It is argued that these fertility
differentials are evidence of some fertility decline among key groups in the
population and that such declines are likely to become more widespread.
PMID- 22084984
TI - Frequency and timing of intercourse: Its relation to the probability of
conception.
AB - Abstract This paper describes a simple study of the chance of conception
assuming a fixed-length menstrual cycle, a specific probability distribution for
the day of ovulation, and a broad and a narrow fertile period. Eight different
patterns of intercourse throughout the cycle are considered with frequency of
intercourse ranging between 5 and 12 times per cycle. Results are given in terms
of chance of conception and in terms of waiting times until conception.
PMID- 22084985
TI - Reconstruction of fertility trends for the female population of the U.S.S.R.
AB - Abstract The article describes fertility trends among Soviet women during the
past 40 years as compared to American women during the same period of time.
Period-specific fertility rates were arrived at by relating the annual total of
live births to a pattern of age-specific fertility rates estimated for each year
and also to the number of women for each single year of age. These rates were
then appropriately re-arranged and compressed into five-year age groups to form a
series of cohort-specific fertility rates. The findings rest on somewhat
conjectural estimates of live births and numbers of women in the U.S.S.R., some
of which were originally prepared before the 1959 Soviet census. The tentative
evidence shows that changes in the period-specific fertility of Soviet women were
far more irregular and dramatic in the past, than among American women. After
World War II these rates dropped in the U.S.S.R. below those of the United
States, except for women over 30 years of age. On the other hand, the cohort
specific rates of Soviet women, although declining from one cohort to the next,
at present show their magnitude in the cumulative series for older ages to be
marked by higher than among American women. This experimental investigation of
fertility in the Soviet Union makes no claim to high standards of accuracy and
validity. Its intended purpose is to stress the desirability of shifting emphasis
from the temporal and prognostic type of study, characteristic of present-day
demographic research about the U.S.S.R., to the generational and historical
approach.
PMID- 22084986
TI - Life and death in the sixteenth century in the City of York.
AB - Abstract Birth data obtained from the parish records of the City of York show a
markedly bi-modal seasonal distribution in the sixteenth century. It appeared
interesting to compare the expectation of life of individuals born during this
period, during the two minimal and two maximal seasons, to determine if there was
any adaptive significance in the annual variation of birth rate. No such effect
could be established by this study. However, certain conclusions of considerable
interest can be drawn. The survivorship functions for either sex, grouped by
birth season or on the whole group, are of a rectilinear diagonal type more
reminiscent of an avian population rather than man. However the York data were
treated, no statistically significant difference appeared between the sexes when
their expectation of life was compared, and the female death rate at all times
until the end of life was somewhat higher than the male. It appeared that
sixteenth-century York tended to care better for their sons than for their
daughters, which also appears to be the case in some modern societies of low to
moderate living standard.
PMID- 22084987
TI - A probability model applicable to the study of inter-live birth intervals and
random segments of the same.
AB - Abstract This paper deals with an analytical study of two types of birth
intervals, viz. 'closed intervals' and 'open intervals' through the application
of simple probability theory. The 'closed interval' stands for the time interval
between two successive live births of a woman, and the 'open interval' denotes
the interval between the date of last live birth and the date of survey for a
married woman in the reproductive age group surveyed at a point of time. The
study considers the 'closed interval' as the sum of independent random variables,
each representing a particular component like post-partum amenorrhea, waiting
time in the susceptible state, etc. Approximations to the patterns of
distributions of these component random variables are made from the available
data collected in fertility surveys at Gandhigram. The 'open interval' for any
parity is studied separately for two different (mutually exclusive) categories of
women, viz. those who have at least one more live birth at some time or other
during their reproductive period and those who cease childbearing. In the first
case the 'open interval' is considered as a random segment or partition of the
corresponding 'closed interval'; in the second as a random segment of the
interval between the date of birth of the last child and date at which the woman
attains 45 years of age. The mean and variance of the 'open interval' is obtained
separately in each case, and the moments of the 'open interval' distribution for
women chosen at random from the population are obtained as an appropriate mixture
of the two types.
PMID- 22084988
TI - Fertility differences in Andean countries: A reply to W. H. James.
AB - Abstract The lower fertility of the Indian-speaking parts of Bolivia, Ecuador
and Peru compared with the Spanish-speaking parts of these nations has been the
topic of several previous articles in this journal. In last year's journal
William H. James argued that higher altitude was the chief cause of this reduced
fertility level, whereas D. M. Heer has earlier argued that it might be accounted
for by higher proportions of women in the labour force. James's data and Heer's
further computations suggest that James's explanation has substantially more
merit than that originally advanced by Heer.
PMID- 22084991
TI - In vitro and in vivo reduced fitness and virulence in ciprofloxacin-resistant
Acinetobacter baumannii.
AB - Limited data on relative fitness and virulence of antimicrobial-resistant
Acinetobacter baumannii are known. We aimed to study the virulence and fitness
cost of ciprofloxacin-resistance in A. baumannii (CipR) compared with the
susceptible parental wild-type strain (CipS). Human lung epithelial cells were
infected with CipS and CipR for 24 h. Competition fitness was monitored in vitro
and in vivo in a murine peritoneal sepsis model. We showed that CipR induced less
cell death than CipS and CipR growth was slow when in competition with CipS.
Altogether, acquisition of ciprofloxacin resistance confers a biological fitness
cost and reduces virulence in A. baumannii.
PMID- 22084992
TI - Occupational stigma as a primary barrier to health care for street-based sex
workers in Canada.
AB - Individuals working in the sex industry continue to experience many negative
health outcomes. As such, disentangling the factors shaping poor health access
remains a critical public health priority. Within a quasi-criminalised
prostitution environment, this study aimed to evaluate the prevalence of
occupational stigma associated with sex work and its relationship to barriers to
accessing health services. Analyses draw on baseline questionnaire data from a
community-based cohort of women in street-based sex work in Vancouver, Canada
(2006-2008). Of a total of 252 women, 141 (55.9%) reported occupational sex work
stigma (defined as hiding occupational sex work status from family, friends
and/or home community), while 125 (49.6%) reported barriers to accessing health
services in the previous six months. In multivariable analysis, adjusting for
sociodemographic, interpersonal and work environment risks, occupational sex work
stigma remained independently associated with an elevated likelihood of
experiencing barriers to health access. Study findings indicate the critical need
for policy and societal shifts in views of sex work as a legitimate occupation,
combined with improved access to innovative, accessible and non-judgmental health
care delivery models for street-based sex workers that include the direct
involvement of sex workers in development and implementation.
PMID- 22084993
TI - The way forward for the clinical teacher.
PMID- 22084994
TI - Hospital simulated patient programme: a guide.
AB - CONTEXT: Many university courses employ simulated patients to work with students
in the development of communication skills. Our challenge was to build a
sustainable programme that could be adapted for medical, nursing and allied
health staff, and groups of students, on our hospital campus. INNOVATION: In
recognition of the need to provide practice opportunities for junior medical
staff to hone their capacity to communicate effectively with parents, we employed
professional actors who are also qualified teachers. Junior doctors have multiple
opportunities over their training time to work one-to-one with an actor-tutor in
the role of simulated parent. The simulated parents are skilled in helping the
trainees reflect on the conversation, and the trainees are given a recording of
their sessions for further reflection and feedback from a colleague. This model
has been adapted to meet the 'topic' needs and scheduling requirements of other
staff and hospital-based student groups. DISCUSSION: In adapting the original
medical staff programme, we came to appreciate not only the logistical but also
the ethical considerations inherent in a simulated parent/patient programme. Our
guide highlights the importance of safeguarding the educational integrity of the
design, maintaining the fidelity of the simulations and ensuring the safety of
all involved.
PMID- 22084995
TI - An introduction to macrosimulation and Hospex.
AB - BACKGROUND: The Hospital Exercise (Hospex) is the pre-deployment training
programme used by the UK Defence Medical Services. It is one of only a handful of
examples of macrosimulation existing worldwide. Hospex is innovative and
engaging, and with the ever-growing evidence base supporting the use of
simulation as a teaching tool, it is undoubtedly an invaluable resource from
which other organisations could learn. CONTEXT: This article aims to: introduce
macrosimulation as a learning technique; present Hospex, one example of the
ambitious ways in which simulation can be used; and present some of the evidence
in support of simulation. INNOVATION: Field hospital training has existed for
decades. However 'early variants lacked realistic clinical input and had little
relevance to the needs of the deploying field hospital' [Col. K Gill Late (L),
Royal Army Medical Corps Volunteer (V); personal communication]. With war in Iraq
and Afghanistan, planners recognised the need for a realistic and relevant
training model. This was the beginning of Hospex. Hospex in its current form
involves the complete and realistic simulation of an entire field hospital, in
which staff work through real-life scenarios in real time. IMPLICATIONS: Evidence
supporting the value of simulation as a teaching tool is ever growing. It is a
transferrable resource that could be adapted to fit many briefs, including
civilian health care training programmes.
PMID- 22084996
TI - An educational conference in a general hospital.
AB - BACKGROUND: Western Sussex Hospitals National Health Service (NHS) Trust
comprises the District General Hospitals of Worthing and Chichester. Both
hospitals have successful postgraduate medical education centres, providing
training for junior doctors and continuing professional development for senior
doctors. Until now, there have been limited multi-professional teaching and
learning activities available. CONTEXT: The two hospitals have recently merged.
The education executive felt that workplace learning had become undervalued since
the implementation of Modernising Medical Careers in the UK. The executive wanted
to provide a multi-professional conference on Workplace Learning, both to support
the merger and to promote the value of workplace and multi-professional learning.
INNOVATION: The conference topic covering the 'how' of workplace learning was
innovative. Many educational conferences concentrate on the organisation and
evaluation of classroom learning, rather than on how learning can be facilitated
in the workplace during ordinary working practice. It was also innovative to
ensure that the presenters were representative of the multi-professional
workforce. The presentations were limited to 8 minutes each to promote high
impact short presentations. The talks were recorded for publishing on the trust's
intranet and the internet. IMPLICATIONS: A committed team in a district general
hospital can provide a high-quality educational conference with wide appeal.
Local health care professionals can produce short high-impact presentations. The
use of modern information technology and audio-visual systems can make the
presentations available to both local and worldwide audiences.
PMID- 22084997
TI - Interactive lecturing.
AB - BACKGROUND: Lectures can vary from being entirely teacher-centred through to
those that value learner-teacher and learner-learner interaction. Advocates of
the exclusively didactic (teacher-centred) lecture aim to maximise the amount of
lecture time available to their delivery of content, and regard other activities
as 'lost' lecture time. Educational research has, however, identified the
potential benefit of interactivity that promotes mentally active learning and
improved learning outcomes. This article reviews the notion of 'active learning',
outlines how active learning is promoted by interactivity and concludes with
strategies for including interactivity within lectures. METHOD: Narrative review
and discussion. RESULTS: The article begins with a summary of the purposes of
lecturing, and the distinctions between mentally active and passive learning. The
associations between interactivity, cognitively active learning and improved
learning outcomes are considered, and strategies for promoting interactivity and
active learning are explored. Three student-student interaction strategies are
discussed, and an exemplar of each of these strategies in action is provided. The
exemplar addresses the 'lost time' concern of some advocates of the exclusively
didactic lecture. DISCUSSION: Interactivity can be readily introduced to lectures
without a significant reduction in the amount of time available for didactic
lecturing. This paper challenges the view that the inclusion of interactivity
equates to a loss of learning time, by showing that students' achievement of
learning outcomes is enhanced by planned and structured engagement with others.
The paper concludes with an example of how interactivity can be incorporated
within the traditional lecture format.
PMID- 22084998
TI - Determinants of learning ultrasound-guided axillary brachial plexus blockade.
AB - BACKGROUND AND OBJECTIVES: Training in medical procedural skills is currently
undergoing important change. We set out to identify those factors, perceived by
trainers and trainees, to be important determinants of learning ultrasound-guided
axillary brachial plexus blockade (USgABPB). METHODS: We performed a structured,
prospective, qualitative analysis of these determinants using a design-based
approach. We collected data using focus groups, semi-structured interviews and
questionnaires. RESULTS: Based on 113 responses to a detailed questionnaire, the
most important determinants of learning USgABPB were access to and frequency of
clinical learning opportunities in the presence of an appropriate trainer. Focus
groups determined that meaningful learning opportunities required the coexistence
of an appropriate patient, trainee, trainer and environment. Trainers and
trainees perceived that the consistent provision of such opportunities required a
formal structured training programme. CONCLUSIONS: Optimum training in USgABPB
requires a formal structured training programme. We propose that these findings
can be used to optimise the design of the curriculum, the training programme and
assessment on performing the procedure.
PMID- 22084999
TI - Death and dignity through fresh eyes.
AB - BACKGROUND: Trinity College Dublin remains one of the Medical Schools that uses
traditional dissection to teach anatomy, exposing students from the first week of
entry to cadavers. This early exposure makes it imperative that issues
surrounding death and donor remains are explored early on within the main
structure of the curriculum. CONTEXT: The School of Medicine began a programme of
Medical Humanities student-selected modules (SSMs) in 2010, and the opportunity
to offer a module on medical ethics regarding death and dignity was taken.
INNOVATION: A course was devised that touched only lightly on subjects such as
palliative care and the concept of a good death. The course focused much more
strongly on the reality of death as part of cultural and societal identity and
placement. This was facilitated by field trips to settings where discussions
regarding death, dying and dignity were commonplace and authentic experiences,
rather than classroom discussions based on theoretical circumstances that may not
yet have been experienced by the student. IMPLICATIONS: The module ran very well,
with students feeling that they had had a chance to think critically about the
role of death as an event with significance within society and culture, rather
than purely in a medico-legal framework. Options to extend the module to the
compulsory element of the course, to be built upon in later years looking at more
technical aspects surrounding death, are being explored.
PMID- 22085000
TI - Medical weblogs: advocacy for positive cyber role models.
AB - BACKGROUND: The development of empathy and of medical professionalism is
important in medical education. Research has shown a decline in empathy during
medical study. An important factor that may contribute to this decline is the
lack of positive role models. Students identify positive and negative role models
in the clinical ward and in classrooms. Positive clinical role models, showing
good professionalism, will foster the student's professional growth. CONTEXT:
Students base both knowledge and opinions on information they find on the
internet, such as 'medical weblogs'. These weblogs may be regarded as a new
aspect of the 'informal curriculum', even though they might be written by
'negative role models'. In our experience, students frequently require debriefing
of the undifferentiated, frequently disrespectful, grotesque or unprofessional
approaches they encounter in general, and in this aspect online in particular.
INNOVATION: When written by appropriate professionals, medical weblogs can
provide a unique opportunity for the medical student to follow the writings of
positive role models. Would it be a worthwhile investment if globally distributed
medical professionals from different specialties put time and effort into writing
weblogs on their daily practice and reflections? These weblogs could be assembled
on one international educational website, labelled as 'professionally approved'
and made easily accessible. Medical students internationally would have access to
the daily practice of medical professionals who are excellent positive role
models. They could also be encouraged to discuss issues with them. We hypothesise
that witnessing the encounters of these globally distributed doctors, and
following their reflections, may add to the growth of empathy and professionalism
in students.
PMID- 22085001
TI - Foundation Programme doctors as teachers.
AB - BACKGROUND: Teaching is a core competency outlined in the Foundation Programme
curriculum in the UK. This article reports data from a larger postal
questionnaire study asking general practitioner (GP) trainers and GP programme
directors in the Yorkshire & Humber Deanery whether Foundation Year 2 (FY2)
doctors were undertaking teaching roles during primary care posts. We also aimed
to explore their attitudes towards FY2s as teachers. METHODS: A questionnaire was
designed asking whether FY2s had been present in the practice, and if so what
teaching methods they had undertaken. The responder was then asked how
comfortable they would feel with an FY2 doctor undertaking certain teaching
roles. Responses were prompted using a nine-point Likert scale. RESULTS: The
response rate was 74 per cent (216/291). Of the respondents, 37 per cent (80/216)
stated that FY2s had been present in their surgery in the last 12 months; 16 per
cent (13/80) went on to say that those FY2 doctors had undertaken teaching roles.
Respondents felt more comfortable with FY2s undertaking the teaching of practical
skills (median score 6, interquartile range 5-7, on the Likert scale) and giving
one-to-one tutorials to medical students (median score 6, interquartile range
range 4-7), but were uncomfortable with them clinically supervising medical
students (median score 3, interquartile range 2-5) and giving one-to-one
tutorials to fellow FY2s (median 4, interquartile range 2-5). DISCUSSION: With
reduced hours resulting in a decrease in exposure to teaching experience during
hospital rotations, it is important that these doctors are given adequate
opportunities during primary care placements to improve skills in this area.
PMID- 22085002
TI - Teaching toolkit for medical students.
AB - BACKGROUND: From teaching juniors and peers to educating patients, it is
imperative for all doctors to have basic core teaching skills. The Junior
Association for the Study of Medical Education (JASME) felt that a short course
in the fundamentals of teaching would be well received by students. CONTEXT: This
article shares the lessons from a one-day teaching course aimed at senior medical
students. Qualitative feedback helped decide which aspects of the course were
most valued. INTERVENTION: The course was piloted in London. It combined
interactive plenary sessions on teaching theory with practical teaching sessions.
Each student taught a small group of others a basic clinical skill, and the
student teacher then received extensive feedback from their peers and an
experienced clinician with a special interest in medical education. There was an
opportunity to re-teach part of the skill after having taken the feedback on
board. IMPLICATIONS: Students completed questionnaires at the start and end of
the day to ascertain their expectations of the course and what they found most
useful. Expectations can be grouped into three main areas: students wanted to
improve their teaching skills; gain teaching experience; and receive feedback on
their teaching. The most valuable part of the course was being able to practise
teaching and receive feedback. Keywords used to describe the feedback included
'individual', 'valuable', 'constructive', 'instant' and 'in depth'. By continuing
to run similar workshops we hope that we can further encourage the teachers of
tomorrow.
PMID- 22085003
TI - Maximising responses to quality assurance surveys.
AB - BACKGROUND: In the UK all postgraduate trainees complete an annual online quality
assurance survey. If the response rate is poor, then this has significant
implications for the validity and usefulness of the data collected. In this
article we address the issue of survey response rates, with particular reference
to quality assurance surveys, although the principles can be applied generally.
METHOD: We have employed mostly evidence-based techniques (predominantly from
general survey literature rather than published medical education work). We list
the techniques that we have employed. These include a five-stage process of
repeated and incremental contact with those who do not complete the survey,
backed up by personal contact with an influential figure. Stressing the
importance of completing the survey, assuring responses are confidential and
keeping the invitation email brief are examples of the techniques used. RESULTS:
Over a 3-year period we have managed to improve the response rate from 57 per
cent to over 80 per cent. DISCUSSION: We note that role models remain the single
most important determinant of medical behaviour, and that if they embrace quality
assurance, trainees will too. It is important to employ and build upon the
existing literature within the survey methodology. By doing this we can enhance
the response rate and validity of future quality assurance surveys, thus
reinforcing their role as a key tool as we strive to maximise the effectiveness
of interventions in postgraduate medical education.
PMID- 22085004
TI - Gen Y learners: just how concerned should we be?
AB - BACKGROUND: This article is a response to expressions of concern from a range of
sources, including reports of curriculum redesign to accommodate the
characteristics of Gen Y, claims made in the press and concerns expressed by
educators in the health professions. Are these concerns grounded in research and
if so how can educators in the health professions respond? METHOD: Narrative
review and discussion. RESULTS: Generation Y is defined, and beliefs about its
defining characteristics are reviewed. The key role of marketing companies in the
creation and perpetuation of generalised views of Gen Y is addressed, and a
review of recent research related to members of this generation is presented. The
more extreme claims of Gen Y as a unique generation are critiqued and
implications for teaching members of this generation are reviewed. General advice
is provided in relation to teaching and supervising Gen Y. DISCUSSION: The
numerous claims regarding the uniqueness of Gen Y can be found to largely arise
from a limited number of poorly designed and implemented studies, often conducted
by marketing companies with a vested interest in promoting a belief in the
existence of another unique societal subgroup. The limited educational research
conducted to date has emphasised the importance of the relational dimension of
learner-teacher interaction as a highly valued attribute of quality teaching.
PMID- 22085005
TI - Student doctors taking responsibility.
AB - BACKGROUND: New guidelines require all undergraduate medical students to
undertake at least one period of assistantship where they assume most of the
responsibilities of a first-year graduate doctor (FY1 doctor in the UK) under
supervision. AIM: To investigate the feasibility of these assistantships. METHOD:
All UK schools were sent a questionnaire addressing the supervision required and
the main barriers around implementation. RESULTS: Competencies that students
already engage in as part of existing clinical placements and a number of 'tacit'
competencies (e.g. practice and promote infection control) were regarded by most
as suitable. Activities that present a clear clinical risk (e.g. prescribing and
writing clinical correspondence) were regarded by most as unsuitable or requiring
continuous supervision. Some lower risk but hard to measure activities (e.g.
responding in practice to audit) were also regarded as unsuitable by some. A
competency was usually considered inappropriate for one of three reasons: (1)
current clinical governance and patient safety protocols appeared to bar students
undertaking the competency; (2) a competency was not considered to be part of the
current FY1 doctors' role; or (3) brief assistantships were considered unlikely
to create sufficient opportunity for performing the competency. DISCUSSION: The
article presents a number of practical issues in relation to assigning
responsibility to student doctors. Respondents indicate that successful
assistantships will only be possible if the UK National Health Service trusts
review their attitude to balancing short- and long-term risks: assistantships
need to be long enough to create genuine responsibility opportunities, and will
require investment in supervision beyond the current capacity.
PMID- 22085006
TI - Whatever happened to apprenticeship learning?
AB - BACKGROUND: I have been a clinical tutor for 10 years in Worthing Hospital, UK.
During this time I have seen an increased emphasis on classroom teaching,
assessments in controlled situations and simulation, rather than on
apprenticeship learning during well-supervised clinical working. CONTEXT: At the
educational conference on 'Learning without Leaving the Workplace' hosted by my
hospital, I had an opportunity to present my reflections on apprenticeship or
situated learning. This article is a summary of that talk. IMPLICATIONS: The
relatively new model of 'situated learning' offers an opportunity for academics
and clinicians to revitalise the apprenticeship model of learning in, and being
stimulated by, the clinical workplace.
PMID- 22085007
TI - Reflections on a surgical elective in Tanzania.
PMID- 22085008
TI - Subclinical unprofessionalism.
PMID- 22085009
TI - Preparing for practice: what really counts?
PMID- 22085014
TI - Feedback fatigue.
PMID- 22085015
TI - Histopathological features that predict the recurrence of odontogenic
keratocysts.
AB - BACKGROUND: Odontogenic keratocysts (OKCs) constitute between 1.8% and 21.5% of
odontogenic cysts and are associated with higher propensity to recur than other
odontogenic cysts following surgical removal. Previous studies have been
performed to identify clinico-pathological predictive factors in relation to such
behaviour. The relationship between the presence of hyalinisation and recurrence
of the OKC has not been explored. METHODS: A total of 110 OKCs were selected,
comprising 53 non-recurrent cases, 50 cases known to subsequently recur and seven
syndromic cases. Cases were examined blind, and histological assessment of the
epithelial lining, connective tissue capsule and cyst lumen was made. Statistical
analysis was completed using Pearson Chi-square, Fisher's exact test and logistic
regression analysis. RESULTS: Subepithelial hyalinisation of the underlying
connective tissue capsule (P = 0.006) was significantly more common in OKC that
recurred. Recurring cysts demonstrating hyalinisation were seen in a younger age
group (mean = 40.8 years) than the non-recurrent cysts (mean = 56.4 years). In
addition, subepithelial splitting (P = 0.015) and the position of mitotic figures
(P = 0.033) were more common in recurring cysts. CONCLUSION: In this case series,
several differences were found in relation to histological features of recurring
and non-recurring OKCs. There are many factors responsible for the high
recurrence rate of OKCs, and the presence of subepithelial hyalinisation may be
used as an additional histopathological feature to predict a greater tendency for
recurrence.
PMID- 22085016
TI - NaV 1.8, but not NaV 1.9, is upregulated in the inflamed dental pulp tissue of
human primary teeth.
AB - AIM: To investigate alterations in Na(V) 1.8 and Na(V) 1.9 expression within
inflamed dental pulp tissue of human primary teeth. METHODOLOGY: Dental pulp
tissue obtained from both normal and inflamed pulps in primary teeth as well as
pulps from normal and inflamed permanent teeth was used. The quantity of Na(V)
1.8 and Na(V) 1.9 expression in the dental pulp tissue was investigated using
Western blot analysis. General neuron marker (PGP9.5) was used to quantify for
neural density, and an increase in metalloproteinase-9 was used to indicate
pulpal inflammation in inflamed teeth. Statistically significant differences for
each determined parameter between normal and inflamed teeth of both primary and
permanent teeth were tested using the Mann-Whitney rank sum test. RESULTS: There
was no significant difference in neural density of normal and inflamed dental
pulp tissue, although degrees of inflammation were increased in the inflamed
dental pulp of both permanent and primary teeth (P < 0.05). Na(V) 1.8 and Na(V)
1.9 expression in inflamed pulps of permanent teeth increased significantly
compared with normal permanent teeth (P < 0.05). However, only Na(V) 1.8
expression was increased significantly in the inflamed dental pulp of primary
teeth (P < 0.05). CONCLUSIONS: Na(V) 1.8 alone may be the therapeutic target for
treatment of painful pulpitis in primary teeth.
PMID- 22085017
TI - Assessment of the ex vivo biomechanical properties of porcine cornea with
inflation test for corneal xenotransplantation.
AB - OBJECTIVE: This study aims to obtain the biomechanical properties of porcine
cornea so as to provide necessary biomechanical experimental basis for pig-to
human corneal xenotransplantation. METHODS: Seventeen fresh porcine corneal
specimens obtained from pigs aged 4-6 months were examined under inflation
conditions to determine the constitutive relationships of the material through
dynamic loading conditions (pressure range: 1.47-42.66 mmHg). The forward
deflection of porcine anterior corneal apex was measured by the laser
displacement sensor. The pressure deformation results were analysed on the basis
of shell theory to estimate Young's modulus of the cornea and derive its
relationship with intraocular pressure (IOP). RESULTS: The porcine corneas showed
a nonlinear corneal forward displacement/IOP and stress/strain relationship with
an initial low stiffness stage and a later high stiffness stage. In spite of the
nonlinearity between the internal pressure and apex forward deflection, the
relationship between the Young's modulus and the IOP was almost linear.
CONCLUSIONS: Compared with human corneas, porcine corneas exhibited a similar
nonlinear behaviour but lower stiffness values. The biomechanical parameters of
porcine cornea obtained from this test could be applied to numerical simulations
of refractive surgery procedures and lay a foundation for pig-to-human corneal
xenotransplantation.
PMID- 22085018
TI - Evaluation and optimization of compound solubilization and delivery methods in a
two-tiered ion channel lead optimization triage.
AB - Low-volume dispensing of neat dimethyl sulfoxide (DMSO) into plate-based assays
conserves compound, assay reagents, and intermediate dilution plate cost and, as
we demonstrate here, significantly improves structure-activity relationship
resolution. Acoustic dispensing of DMSO solutions into standard volume 384W
plates yielded inconsistent results in studies with 2 cell lines because of
apparent effects on the integrity of the cell monolayer (increased intracellular
Ca++ levels as indicated by elevated basal dye fluorescence after acoustic
transfer). PocketTip-mediated transfer was successful at increasing apparent
potency on a more consistent basis. Notably, the correlation coefficient among
fluorescence imaging plate reader (FLIPR):electrophysiology (EP) across a
representative ~125 compound collection was increased ~5* via conversion to a
PocketTip direct dispensation, indicating a triage assay more predictive of
activity in the decisional patch-clamp assay. Very importantly, the EP
benchmarked false-negative rate as measured by compounds with FLIPR EC50 more
than the highest concentration tested fell from >11% to 5% assay-wide, and the
relative FLIPR:EP rank-order fidelity increased from 55% to 78%. Elimination of
the aqueous intermediate step provided additional benefits, including reduced
assay cost, decreased cycle time, and reduced wet compound consumption rate.
Direct DMSO dispensing has broad applicability to cell-based functional assays of
multiple varieties, especially in cases where limit solubility in assay buffer is
a recognized impediment to maximizing interassay connectivity.
PMID- 22085020
TI - Nanoparticle assemblies as probes for self-assembled monolayer characterization:
correlation between surface functionalization and agglomeration behavior.
AB - The ordering of dodecyl chains has been investigated in mixed monolayers of
phosphonic acid capping agents on the surface of hydrothermally prepared zirconia
nanocrystals. Methyl-, phenyl-, pyryl-, and tert-butylphosphonic acids have been
used to investigate series with different mixing ratios with dodecylphosphonic
acid as the cocapping agent for the mixed monolayer formation. Fourier transform
infrared (FTIR) studies revealed that an increasing amount (different for each
type) of coadsorbed capping agent reduces the ordering of the dodecyl chains
significantly. Small-angle X-ray scattering (SAXS) verified that with increasing
amount of cocapping agent the agglomeration of the particles decreases. The
strong correlation of the agglomeration behavior with the ordering of the surface
bound alkyl chains leads to the conclusion that interparticle bilayers, formed
via long alkyl chain packing, are responsible and can be controlled on a
molecular level by coadsorbing various molecules. On the basis of this
correlation, nanoparticles can be used as probes for self-assembled monolayer
investigation by an indirect structural method (SAXS) and correlated with the
routine spectroscopical method for the chemical analysis of surface groups
(FTIR).
PMID- 22085019
TI - A screening assay to identify agents that enhance T-cell recognition of human
melanomas.
AB - Although a series of melanoma differentiation antigens for immunotherapeutic
targeting has been described, heterogeneous expression of antigens such as Melan
A/MART-1 and gp100 results from a loss of antigenic expression in many late stage
tumors. Antigen loss can represent a means for tumor escape from immune
recognition, and a barrier to immunotherapy. However, since antigen-negative
tumor phenotypes frequently result from reversible gene regulatory events,
antigen enhancement represents a potential therapeutic opportunity. Accordingly,
we have developed a cell-based assay to screen for compounds with the ability to
enhance T-cell recognition of melanoma cells. This assay is dependent on
augmentation of MelanA/MART-1 antigen presentation by a melanoma cell line
(MU89). T-cell recognition is detected as interleukin-2 production by a Jurkat T
cell transduced to express a T-cell receptor specific for an HLA-A2 restricted
epitope of the Melan-A/MART-1 protein. This cellular assay was used to perform a
pilot screen by using 480 compounds of known biological activity. From the
initial proof-of-principle primary screen, eight compounds were identified as
positive hits. A panel of secondary screens, including orthogonal assays, was
used to validate the primary hits and eliminate false positives, and also to
measure the comparative efficacy of the identified compounds. This cell-based
assay, thus, yields consistent results applicable to the screening of larger
libraries of compounds that can potentially reveal novel molecules which allow
better recognition of treated tumors by T cells.
PMID- 22085021
TI - Bisexual behavior among Chinese young migrant men who have sex with men:
implications for HIV prevention and intervention.
AB - Data from 307 young migrant men who have sex with men (MSM) in Beijing were
analyzed to examine bisexual behavior and the associated sociodemographic and
behavioral factors among Chinese young migrant MSM. More than one-fourth (27%) of
the MSM were also concurrently engaged in sexual behavior with women (MSMW).
Among MSMW, 8.4% were infected with HIV, and 10.8% with Syphilis, compared to
4.9% and 23.7%, respectively, among men who have sex with men only (MSM-only).
Various HIV-related risk behaviors among MSMW were similar to those of MSM-only,
such as unprotected anal sex, multiple sexual partners, involvement in commercial
sex, and substance use. Compared with MSM-only, MSMW were less likely to have
tested for HIV, to participate in HIV prevention activities, and were less
knowledgeable about condom use and HIV/AIDS. MSMW also had a higher rate of
unprotected sex with female stable sexual partners than with male stable sexual
partners (79.5% vs. 59.5%). Results indicated that MSMW were at a very high risk
for both HIV infection and transmission. Intervention efforts are needed to
target this subgroup of MSM and promote AIDS knowledge and HIV/STD testing among
MSMW, and to reduce HIV transmission through MSM's bisexual behavior.
PMID- 22085022
TI - The high dielectric constant of staphylococcal nuclease is encoded in its
structural architecture.
AB - The pK(a) values of Lys-66, Glu-66, and Asp-66 buried in the interior of the
staphylococcal nuclease Delta+PHS variant were reported to be shifted by as much
as 5 pK(a) units from their normal values. Reproducing the pK(a) of these buried
ionizable residues using continuum electrostatic calculations required the use of
a high protein dielectric constant of 10 or higher. The apparent high dielectric
constant has been rationalized as a consequence of a local structural
reorganization or increased fluctuations in the microenvironment of the mutation
site (Chimenti, M. S., et al. J. Mol. Biol. 2011, 405, 361-377). We have
calculated the dielectric constant of Delta+PHS and the Lys-66, Asp-66, and Glu
66 mutants from first principles using the Kirkwood-Frohlich equation and
discovered that staphylococcal nuclease has a naturally high dielectric constant
ranging from 20 to 30. This high dielectric constant does not change
significantly with the mutation of residue 66 or with the ionization of the
mutated residues. Calculation of the spatial dependence of the dielectric
constant for the microenvironment of residue-66 produces a value of about 10,
which matches well with the apparent dielectric constant needed to reproduce
pK(a) values from continuum electrostatic calculations. Our results suggest an
alternative explanation that the high dielectric constant of staphylococcal
nuclease is a property resulting from the intrinsic backbone fluctuations
originating from its structural architecture.
PMID- 22085023
TI - Speculation on the origin of monochloro-nonabromodiphenyl ethers. Letter to the
editor regarding comment on "identification of monochloro-nonabromodiphenyl
ethers in the air and soil samples from South China".
PMID- 22085024
TI - Age and ethnic differences in the onset, persistence and recurrence of alcohol
use disorder.
AB - AIMS: To estimate ethnic differences in three components of alcohol use disorder
and alcohol dependence course (onset, persistence and recurrence) in a
developmental framework. DESIGN: Longitudinal data from The National
Epidemiologic Survey of Alcohol and Related Conditions (NESARC), collected using
face-to-face interviews. SETTING: Civilian non-institutionalized US population
aged 18 years and older, with oversampling of Hispanics, blacks and those aged 18
24 years. PARTICIPANTS: Individuals who completed both NESARC assessments, were
not life-long abstainers and were either white (n = 17,458), black (n = 4995), US
born Hispanic (n = 2810) or Hispanic-born outside the United States (n = 2389).
MEASUREMENTS: Alcohol dependence (AD) and alcohol use disorder (AUD; abuse or
dependence) onset, persistence and recurrence were examined using the Alcohol Use
Disorders and Associated Disabilities Interview Schedule, DSM-IV version.
FINDINGS: Among men: relative to whites aged 18-29, AUD onset and persistence
were elevated only in US-born Hispanics aged 40 years and older; odds were
reduced for all non-US-born Hispanics, older whites, most blacks and US-born
Hispanics aged 30-39. For AD, onset risk was elevated for all younger minority
men and only reduced among non-US-born aged Hispanics 40 or older. For women:
compared to young whites, non-US-born Hispanics were at decreased AUD and AD
onset risk; AUD and AD onset and persistence were increased for older blacks and
US-born Hispanics. CONCLUSIONS: In the United States, ethnic differences in
alcohol disorder transitions (onset, persistence, and recurrence) vary across
age, gender and whether a broad (alcohol use disorder) or narrow (alcohol
dependence) alcohol definition is used. Evidence of increased risk for some
transitions in minority groups suggests that attention should be paid to the
course of alcohol use disorders, and that differences in prevalence should not be
assumed to reflect differences in specific transitions.
PMID- 22085025
TI - Exploration of oxidative stress and inflammatory markers in relation to urinary
phthalate metabolites: NHANES 1999-2006.
AB - Phthalate exposure has been associated with a wide range of adverse health
outcomes in limited epidemiologic studies, and inflammation and oxidative stress
have been hypothesized as potential mechanisms involved. In the present study we
investigated associations between urinary concentrations of phthalate metabolites
and potential blood markers of oxidative stress (bilirubin) and inflammation
(alkaline phosphatase [ALP], absolute neutrophil count [ANC], ferritin [adjusted
for iron status], and fibrinogen), using data from 10,026 participants in the
National Health and Nutrition Examination Survey (NHANES) recruited between 1999
and 2006. After adjustment for covariates we found that bilirubin was inversely
associated with several phthalate metabolites (all p-values <0.0001), including
the metabolites of di-2-ethylhexyl phthalate (DEHP) and dibutyl phthalate (DBP),
in addition to monobenzyl phthalate (MBzP) and mono-(3-carboxypropyl) phthalate
(MCPP). Since bilirubin is a potent antioxidant these relationships suggest that
phthalates may be associated with increased oxidative stress. Many of the same
metabolites were also significantly and positively related with ANC, ALP, and
ferritin, suggesting phthalates may be associated with increased inflammation.
These markers may be useful in other studies of low-dose exposure to
environmental contaminants.
PMID- 22085026
TI - Catabolic pathway of gamma-caprolactone in the biocontrol agent Rhodococcus
erythropolis.
AB - Gamma-caprolactone (GCL) is well-known as a food flavor and has been recently
described as a biostimulant molecule promoting the growth of bacteria with
biocontrol activity against soft-rot pathogens. Among these biocontrol agents,
Rhodococcus erythropolis, characterized by a remarkable metabolic versatility,
assimilates various gamma-butyrolactone molecules with a branched-aliphatic
chain, such as GCL. The assimilative pathway of GCL in R. erythropolis was
investigated by two-dimensional gel electrophoresis coupled to matrix-assisted
laser desorption ionization (MALDI) mass spectrometry (MS) analysis. This
analysis suggests the involvement of the lactonase QsdA in ring-opening, a
feature confirmed by heterologous expression in Escherichia coli. According to
proteome analysis, the open-chain form of GCL was degraded by beta- and omega
oxidation coupled to the Krebs cycle and beta-ketoadipate pathway. Ubiquity of
qsdA gene among environmental R. erythropolis isolates was verified by PCR. In
addition to a previous N-acyl homoserine lactone catabolic function, QsdA may
therefore be involved in an intermediate degradative step of cyclic recalcitrant
molecules or in synthesis of flavoring lactones.
PMID- 22085027
TI - Three-component tandem reaction involving acid chlorides, terminal alkynes, and 2
aminoindole hydrochlorides: synthesis of alpha-carboline derivatives in aqueous
conditions via regioselective [3 + 3] cyclocondensation.
AB - An efficient synthesis toward highly diversified alpha-carboline derivatives via
a three-component tandem reaction using acid chlorides, terminal alkynes, and 2
aminoindole hydrochlorides has been described. The salient feature of the one-pot
strategy involves regioselective [3 + 3]-cyclocondensation and the presence of
water in the reaction medium to facilitate cyclization. Nonaqueous conditions
furnished products in poor yields.
PMID- 22085028
TI - Implementing a client-centred approach in rehabilitation: an autoethnography.
AB - PURPOSE: Client-centred practice is widely considered a key element of
rehabilitation. However, there is limited discussion of how it should be
implemented. This study explored how client-centred practice was operationalized
during a clinical trial of innovative goal-setting techniques. METHOD: This study
drew on principles of co-autoethnography. The personal experiences of three
clinical researchers were explored to identify insights into client-centred
practice, and seek understanding of this within the broader socio-cultural
context. Data were collected through group discussions and written reflections.
Thematic analysis and coding were used to identify the dominant themes from the
data. RESULTS: The primary way that client-centred practice was operationalized
was through listening in order to get to know, to uncover and to understand what
was meaningful. Four strategies were identified: utilizing mindful listening,
allowing time, supporting clients to prioritize what is meaningful and viewing
the therapists' role differently. CONCLUSION: While technical competence in
rehabilitation is important, our study suggested a starting point of 'being with'
rather than 'doing to' may be beneficial for engaging people in their
rehabilitation. We have highlighted a number of practical strategies that can be
used to facilitate more client-centred practice. These approaches are consistent
with what clients report they want and need from rehabilitation services.
PMID- 22085029
TI - Description of two new MICA alleles: MICA*058 and MICA*002:03.
AB - We describe two novel alleles, MICA*058 and MICA* 002:03.
PMID- 22085030
TI - Multiple stable isotope characterization as a forensic tool to distinguish acid
scavenger samples.
AB - Acid scavengers are frequently used as stabilizer compounds in a variety of
applications. When used to stabilize volatile compounds such as nerve agents, the
lower volatility and higher stability of acid scavengers make them more
persistent in a post-event forensic setting. Compound-specific isotope analysis
of carbon, nitrogen, and hydrogen in three acid-scavenging compounds (N,N
diethylaniline, tributylamine, and triethylamine) were used as a tool for
distinguishing between different samples. Combined analysis of multiple isotopes
improved sample resolution, for instance differentiation between triethylamine
samples improved from 80% based on carbon alone to 96% when combining with
additional isotope data. The compound-specific methods developed here can be
applied to instances where these compounds are not pure, such as when mixed with
an agent or when found as a residue. Effective sample matching can be crucial for
linking compounds at multiple event sites or linking a supply inventory to an
event.
PMID- 22085031
TI - NHC-catalyzed enantioselective [2 + 2] and [2 + 2 + 2] cycloadditions of ketenes
with isothiocyanates.
AB - The enantioselective N-heterocyclic carbene-catalyzed formal [2 + 2] and [2 + 2 +
2] cycloaddition of ketenes and isothiocyanates were developed. Reaction with N
aryl isothiocyanates at room temperature favors the [2 + 2] cycloaddition, while
reaction with N-benzoyl isothiocyanates at -40 degrees C favors the [2 + 2 + 2]
cycloaddition.
PMID- 22085032
TI - Efficacy of acitretin for porokeratosis in a child with chronic cutaneous graft
versus host disease.
AB - Porokeratosis is a rare disorder of epidermal keratinization that is regarded as
a precancerous. Recipients of hematopoietic stem cell transplantation (HSCT) have
a greater risk of skin cancer; chronic graft versus host disease (GVHD) is an
additional risk factor. A 16-year-old boy who had received HSCT for acute
myelogenous leukemia was referred to us for sclerodermoid chronic cutaneous GVHD.
Two years later, he developed disseminated porokeratosis with a few atypical
lesions. Despite cryotherapy, numerous lesions of porokeratosis recurred rapidly.
Acitretin resulted in good clinical response and reduced the rate of onset of new
lesions.
PMID- 22085033
TI - (-)-Epigallocatechin-3-gallate enhances the expression of an insulin-inducible
transcription factor gene via a phosphoinositide 3-kinase/atypical protein kinase
C lambda pathway.
AB - The rat enhancer of split- and hairy-related protein-1 (SHARP-1) is an insulin
inducible transcriptional repressor. In this study, we examined issues of whether
(-)-epigallocatechin-3-gallate (EGCG), a green tea polyphenol, regulates the
expression of the rat SHARP-1 gene and which signaling pathway mediates the
regulation. When H4IIE cells were treated with EGCG, SHARP-1 mRNA levels rapidly
increased. Pretreatments with inhibitors for either phosphoinositide 3-kinase (PI
3-K) or protein kinase C partially blocked EGCG induction. Atypical protein
kinase C lambda (aPKClambda) is known as a downstream target of PI 3-K in the
liver. When a dominant-negative form of aPKClambda was expressed, the EGCG
induced SHARP-1 mRNAs was inhibited. Finally, Western blot analysis revealed that
EGCG rapidly and temporarily stimulates aPKClambda phosphorylation. Thus, we
conclude that EGCG induces SHARP-1 gene expression via a PI 3-K/aPKClambda
signaling pathway.
PMID- 22085034
TI - p-Phenylenediamine and other hair dye sensitizers in Spain.
AB - BACKGROUND: Oxidative hair dyes are important skin sensitizers, causing contact
allergy and dermatitis in hairdressers and consumers. OBJECTIVES: To study the
prevalence of hair dye substances, categorized as potent skin sensitizers, in
consumer-available oxidative hair dye products on the market of a southern
European country and to compare it with that in Sweden. METHODS: Ingredient
labels of 105 oxidative hair dye products from 19 brands were studied, and the
prevalence and use pattern of hair dye substances, categorized as potent skin
sensitizers, was assessed. Network diagrams were used to display results.
RESULTS: According to the labelling, all 105 products contained hair dye
substances categorized as potent skin sensitizers. A total of 25 different hair
dye substances categorized as potent skin sensitizers were identified. Eighty
seven per cent of the products contained at least four such substances. Either p
phenylenediamine, toluene-2,5-diamine or toluene-2,5-diamine sulfate was present
in 103 products. CONCLUSIONS: Hair dye substances categorized as potent skin
sensitizers were used in all studied hair dye products on the Spanish market. The
prevalence of p-phenylenediamine was higher in the present study than in a
similar study in Sweden. More than 20 potent sensitizers were identified in each
country. Not only p-phenylenediamine should be used to diagnose allergy to hair
dyes.
PMID- 22085035
TI - Efficient exciton funneling in cascaded PbS quantum dot superstructures.
AB - Benzenedithiol (BDT) and ethanedithiol (EDT) ligand-exchange treatments can be
used to cross-link colloidal PbS quantum dots into nanocrystalline film
structures with distinct optoelectronic properties. Such structures can provide a
unique platform to study the energy transfer between layers of quantum dots with
different sizes. In this report, efficient exciton funneling and recycling of
surface state-bound excitons is observed in cascaded PbS quantum dot-based
multilayered superstructures, where the excitons transfer from the larger band
gap or donor layers to the smallest band gap or acceptor layers. In this system,
both the BDT- and EDT-treated cascaded structures exhibit dramatically enhanced
photoluminescence from the acceptor layers. As we show, the energy transfer
mechanisms involved and their efficiencies are significantly different depending
on the ligand-exchange treatment. In the future, we believe these efficient
exciton recycling and funneling mechanisms could be used to improve significantly
the photocurrent, charge-transport, and conversion efficiencies in low-cost
nanocrystalline and hybrid solar cells and the emission efficiencies in hybrid
light-emitting devices.
PMID- 22085036
TI - Post-War trends in Maori population growth.
AB - Abstract Recent levels and trends of mortality and fertility of the minority
Maori population of New Zealand are analysed. On this basis two projections for
the year 1976 are presented, the first assuming a further rise in life
expectation, which has already increased rapidly over the last two decades; and
the other that both mortality and the consistently high fertility levels will be
reduced. The conclusion is that, regardless of which projection one accepts,
growth will be rapid (an increase of 60% to 70% in the period 1961-76), although
the age distribution will be different at the youngest ages. Unless fertility is
reduced, as in Projection 2, the dependency burden of Maori family heads will be
extremely heavy.
PMID- 22085037
TI - Breast feeding, fertility and infant mortality: An analysis of some early German
data.
AB - Abstract Concern about high infant mortality and its suspected connection with
the lack of breast-feeding stimulated the collection of statistics about the
frequency of breast-feeding in several German states during the late roth and
early 20th centuries. Contrary to the assumption that universal and extended
breast-feeding is customary among rural agricultural populations, large regional
variations existed both in the proportion of children who were breast-fed and in
the average duration of the period for which they were breast-fed. An analysis of
these data in connection with statistics of infant mortality and marital
fertility confirms the association between high infant mortality and the absence
of breast-feeding. An hypothesis linking breast-feeding and fertility, however,
is not confirmed. Marital fertility appears to be much more closely associated
with infant mortality than with breast-feeding.
PMID- 22085038
TI - The decline and recovery of the Murut tribe of Sabah.
AB - Abstract The Murut tribe of Sabah (formerly North Borneo) numbered 30,300 in
1921, decreased to 18,700 in 1951, and increased again to 22,100 in 1960. In
1951, the tribe was a small diminishing section of a slowly growing population;
in 1960 it was increasing itself, and the growth rate of the whole population had
shot up. Marked variations in the age structures of the Murut and other
indigenous tribes accompanied these changes. Between 1920 and 1960 several
investigators attempted to explain the decline, but could not show why only one
tribe was failing to hold its own among many others which were increasing. Their
findings are summarized, and unpublished data from the 1960 census are given
which suggest that increasing contacts with the rest of the population, earlier
thought to be an important contributor to the decline, were probably the means of
saving the Murut from extinction.
PMID- 22085039
TI - Reproductive ideals and educational attainment among white Americans, 1943-1960.
AB - Abstract Would the persistent inverse relation between educational attainment
and family size in the United States be removed if actual fertility were equal to
ideal? Data on ideal family size from 10 national surveys among white Americans
of both sexes (from 1943 to 1960) show that gradeschool level respondents have
higher ideals than the more educated even when age, religious affiliation, and
farm residence are used as controls. Comparison of these ideals with the actual
family size or ever-fertile women in the United States indicates that, on the
average, the actual family size of all major educational groups falls below the
ideal, but the college-educated are furthest from their ideal. If this group
lessened the gap between actual and ideal family size, the educational
differential in fertility would decrease, but at the price of increasing the rate
of population growth.
PMID- 22085042
TI - Rapid and accurate identification of genomic species from the Acinetobacter
baumannii (Ab) group by MALDI-TOF MS.
AB - The closely related members of the Acinetobacter baumannii (Ab) group (A.
baumannii, A. pittii and A. nosocomialis) are difficult to identify with
phenotypic tests in diagnostic laboratories. Genotypic identification methods
require special skills and most do not provide rapid results. The aim of this
study was to investigate the ability of MALDI-TOF MS to identify members of the
Ab group. Sixty epidemiologically unrelated Acinetobacter spp. isolates were
investigated by MALDI-TOF MS: 18 A. baumannii, 17 A. pittii, 18 A. nosocomialis
and seven additional isolates representing other Acinetobacter spp. All strains
were verified by ARDRA, rRNA intergenic spacer (ITS), recA sequencing and bla(OXA
51) . MALDI-TOF MS correctly identified all the genomic strains but erroneously
identified A. nosocomialis as A. baumannii because there was no reference strain
within the Bruker database. Peak analysis of individual spectra from
representative strains of each member of A. baumannii, A. pittii and A.
nosocomialis suggested enough differences between their protein signatures to
allow accurate identification using MALDI-TOF MS. Inclusion of specific signature
profiles for A. nosocomialis within the Bruker database allowed the correct
identification of this genomic species. MALDI-TOF MS spectra can be used as a
fast, simple and reliable method to identify members of the Ab group. The rapid
and accurate identification of clinically significant Acinetobacter strains will
improve insight into their epidemiology and allow for targeted therapeutic and
infection control measures against clinically important strains.
PMID- 22085043
TI - 'The study has taught me to be supportive of her': empowering women and involving
men in microbicide research.
AB - Recognising that women often have little control over most HIV-prevention
methods, including condoms, researchers have made efforts to develop new bio
technological interventions, such as microbicides, that could allow women greater
autonomy in HIV-prevention decision making. In many situations, women are unable
to negotiate condom use with their partners, meaning that they could be better
protected through the use of an effective microbicide. This paper uses
qualitative data from the Microbicide Development Programme 301 (MDP301)
microbicide trial in Johannesburg, South Africa. Data is taken from in-depth
interviews with male partners of female trial participants (n = 28), six focus
group discussions involving male partners of trial participants (n = 4) and
female participants (n = 2). Data show that men's involvement in microbicide
research ranges from a disinterest in trials to the desire to actively take part
in and promote research that affects the health of themselves and their partners.
Results showed that some participants were reluctant to disclose trial
involvement and product use to their partners, making identifying men as
potential research participants problematic. This paper considers how to involve
men in microbicide research without undermining women's sense of empowerment and
ownership of the trial and the product that is being tested.
PMID- 22085046
TI - Clinicopathological evaluation of carcinoma cuniculatum: a variant of oral
squamous cell carcinoma.
AB - Carcinoma cuniculatum (CC) is a rare neoplasm of oral squamous cell carcinoma
(OSCC) and remains a histopathological challenge to pathologists because of its
low incidence and unique architecture. Therefore, the purpose of this study was
to illustrate the clinicopathological findings and biological behavior about this
distinctive lesion. Clinical and follow-up information were retrieved from
medical charts, and histopathological analyses were performed. Our series
included 15 cases of CC, and the study included seven men and eight women, age 44
92. The tongue and mandible were the most frequently affected sites. There were
three lymph node metastasis cases, and three local recurrences; one of the
patients died of lung metastasis from oral CC. Histopathologically, the point of
differential diagnosis with other subtypes of OSCC included unique, cuniculatum
architecture and branching crypts. Because of its well-differentiated status, the
prognosis of CC is usually optimistic. However, recurrent cases in our study
might have possessed invasive biological characters that were not well controlled
by conventional treatment; in one case, a portion of the CC transformed into
conventional squamous cell carcinoma (SCC). This might suggest that transformed
CC rather than primary CC should be further emphasized.
PMID- 22085045
TI - The legacy of minimum legal drinking age law changes: long-term effects on
suicide and homicide deaths among women.
AB - BACKGROUND: Prior to the establishment of the uniform drinking age of 21 in the
United States, many states permitted legal purchase of alcohol at younger ages.
Lower drinking ages were associated with several adverse outcomes, including
elevated rates of suicide and homicide among youth. The objective of this study
is to examine whether individuals who were legally permitted to drink prior to
age 21 remained at elevated risk in adulthood. METHODS: Analysis of data from the
U.S. Multiple Cause of Death files, 1990 to 2004, combined with data on the
living population from the U.S. Census and American Community Survey. The
assembled data contained records on over 200,000 suicides and 130,000 homicides
for individuals born between 1949 and 1972, the years during which the drinking
age was in flux. Logistic regression models were used to evaluate whether adults
who were legally permitted to drink prior to age 21 were at elevated risk for
death by these causes. A quasi-experimental analytical approach was employed,
which incorporated state and birth-year fixed effects to account for unobserved
covariates associated with policy exposure. RESULTS: In the population as a
whole, we found no association between minimum drinking age and homicide or
suicide. However, significant policy-by-sex interactions were observed for both
outcomes, such that women exposed to permissive drinking age laws were at higher
risk for both suicide (OR = 1.12, 95% CI: 1.05, 1.18, p = 0.0003) and homicide
(OR = 1.15, 95% CI: 1.04, 1.25, p = 0.0028). Effect sizes were stronger for the
portion of the cohort born after 1960, whereas no significant effects were
observed for women born prior to 1960. CONCLUSIONS: Lower drinking ages may
result in persistent elevated risk for suicide and homicide among women born
after 1960. The national drinking age of 21 may be preventing about 600 suicides
and 600 homicides annually.
PMID- 22085047
TI - Impedance-based detection of beating rhythm and proarrhythmic effects of
compounds on stem cell-derived cardiomyocytes.
AB - The xCELLigence real time cell analyzer Cardio system offers a new system for
real-time cell analysis that measures impedance-based signals in a label-free
noninvasive manner. The aim of this study was to test whether impedance readings
are a useful tool to detect compound effects on beating frequency (beats per
minute, bpm) and arrhythmias of human induced pluripotent stem cell- and a mouse
embryonic stem cell-derived cardiomyocyte line (hiPSC-CM and mESC-CM,
respectively). Baseline values for control wells were 45+/-3 and 179+/-6 bpm,
respectively (n=6). Correspondingly, isoproterenol increased beating frequency by
77% and 71%, whereas carbachol decreased frequency by 11% and 100% (stopped in
5/6 mESC-CM wells). E-4031 decreased beating rate and caused arrhythmias in both
cell types, however, more pronounced in the human iPSC-CMs. Amlodipine inhibited
contractions in both models, and T-type calcium channel block strongly reduced
beating rate and eventually stopped beating in mESC-CM but caused a smaller
effect in hiPSC-CM. The results of this initial study show that, under the right
conditions, the beating frequency of a monolayer of cells can be stably recorded
over several days. Additionally, the system detects changes in beating frequency
and amplitude caused by added reference compounds. This assay system has the
potential to enable medium-throughput screening, but for implementation into
routine daily work, extended validation, testing of additional batches of
cardiomyocytes, and further assay optimization (e.g., frequency of media
exchange, growth matrix, seeding density, age of cells after plating, and
temperature control) will be needed.
PMID- 22085048
TI - Mussel-inspired anchoring for patterning cells using polydopamine.
AB - This Article introduces a simple method of cell patterning, inspired by the
mussel anchoring protein. Polydopamine (PDA), artificial polymers made from self
polymerization of dopamine (a molecule that resembles mussel-adhesive proteins),
has recently been studied for its ability to make modifications on surfaces in
aqueous solutions. We explored the interfacial interaction between PDA and
poly(ethylene glycol) (PEG) using microcontact printing (MUCP). We patterned PDA
on several substrates such as glass, polystyrene, and poly(dimethylsiloxane) and
realized spatially defined anchoring of mammalian cells as well as bacteria. We
applied our system in investigating the relationship between areas of mammalian
nuclei and that of the cells. The combination of PDA and PEG enables us to make
cell patterns on common laboratorial materials in a mild and convenient fashion.
PMID- 22085049
TI - Human mitochondrial ATP-binding cassette transporter ABCB10 is required for
efficient red blood cell development.
PMID- 22085050
TI - Training junior doctors.
PMID- 22085051
TI - Denis Hawkins Memorial Award Recipient 2011.
PMID- 22085052
TI - SHARING-improving and documentation of handover: mind the gap.
AB - The dangers of incomplete or inadequate handover as trainee doctors change shifts
are all too apparent. This paper describes SHARING as a systematic method of
transferring information and responsibility.
PMID- 22085053
TI - Structured multidisciplinary intershift handover (SMITH): a tool for promoting
safer intrapartum care.
AB - On the labour ward, the key to achieving patient safety is the intershift
handover, which affords an opportunity for the team to undertake a baseline
assessment of the current situation, anticipate problems, plan contingencies and
subsequently maintain situational awareness. If optimised, the handover has
immense potential not only for enhancing patient safety but also for reducing
stress to staff. The handover should be regarded not as an end but as the
beginning of the process of maintaining individual and team situational awareness
throughout the shift. Each maternity unit should have a Structured
Multidisciplinary Intershift Handover (SMITH) protocol, which encompasses pre
handover, handover and post-handover guidance. This paper describes the
underlying principles, benefits and content of a SMITH protocol.
PMID- 22085054
TI - How good are obstetric and gynaecology trainees at reviewing and debriefing their
patients following operative deliveries?
AB - High levels of obstetric involvement are associated with psychological morbidity
in patients postnatally. Operative deliveries contribute to up to 40% of
deliveries. Given this, we decided to assess how well patients were being
reviewed and debriefed following operative deliveries within our unit. A total of
53 notes following operative deliveries were analysed. To assess the quality of
debriefing, the notes were reviewed for evidence of documentation on discussion
for reason, procedure and complications of mode of delivery, advised plan for
next delivery and contraception advice. Feedback questionnaires were given to
patients on discharge to complete, regarding their understanding on the events
surrounding their delivery. To assess how well doctors reviewed their own
deliveries, we searched the notes for documented evidence of primary operator
review. Our results identify significant deficiencies in doctors reviewing and
debriefing patients following operative deliveries.
PMID- 22085055
TI - Prioritisation of teaching topics in obstetrics and gynaecology: a Delphi survey
of postgraduate trainees.
AB - Topics for theoretical teaching during the obstetrics and gynaecology specialty
training programme are often chosen by tutors, with little input from the
trainees. However, it is important to actively involve adults in their learning
process to maintain their learning ownership and motivation. The Delphi
methodology is a generic social science technique used to aggregate views and
opinions of experts, the community and service users. In this study, we conducted
a two generational Delphi study to achieve consensus between a group of trainees
in obstetrics and gynaecology, regarding what they considered important topics
for inclusion in their protected teaching programme. A total of 25 trainees from
one deanery, participated in this study. We were able to produce a list of 26
topics in obstetrics and 30 in gynaecology, prioritised according to their
importance for inclusion in the specialty protected teaching programme, as viewed
by these trainees.
PMID- 22085056
TI - Dr Robert Lawson Tait: the forgotten gynaecologist.
PMID- 22085057
TI - Meta-analysis of sex difference in testosterone levels in umbilical cord blood.
AB - This meta-analysis reviewed published literature comparing human male and female
umbilical cord total testosterone (T) levels. A total of 18 studies using 1,229
samples from 602 male and 627 female newborns were analysed using the RevMan 5
statistical package. Analysis using the inverse variance method based on a random
effects model revealed significantly higher cord T in boys than girls at a
moderate effect size (Hedges' g = 0.57). There was significant heterogeneity
between the 18 studies, although the five studies using direct assays showed no
heterogeneity. For studies using extraction and chromatography, those that
combined T from arterial and venous cord blood found a larger sex difference than
those using only cord venous samples (Hedges' g = 0.94 vs 0.32); this suggests
umbilical cord venous T is of maternal/placental origin and arterial T is of
fetal origin. The wide range of T values between studies suggests high cross
reactivity in the assay methods reviewed.
PMID- 22085058
TI - The emergency contraceptive drug, levonorgestrel: a review of post-coital oral
and peri-coital vaginal administration for prevention of pregnancy.
AB - The objective of our study was the evaluation and elucidation of levonorgestrel
(LNG) as emergency contraception (EC) administered through oral and vaginal
routes. Data regarding post-coital oral and peri-coital vaginal application of
LNG were extracted from the literature through MEDLINE database service for years
2001-2010. It was found that a single dose of 1.5 mg LNG or two doses of 0.75 mg
LNG 12 h apart were used for EC. Currently, LNG is also on trial for vaginal
application as EC in Carraguard gel for 'dual protection'. The oral or vaginal
dose of 1.5 mg LNG resulted in peak plasma concentration, C(max) 19.2 or 3.21
ng/ml, with shorter time, T(max) 1.4 or 6.6 h, and greater AUC, 152.7 or 52.5
ng.h/ml, with shorter half-life, 25 or 32 h, respectively. LNG EC inhibited mid
cycle LH surge and delayed or prevented ovulation when administered before
ovulation. Mechanism of action of LNG EC appeared to inhibit or delay ovulation.
The risk of pregnancy was 4.12%. A single dose of 1.5 mg LNG could reduce the
pregnancy rate to 0.7%. Occurrence of ectopic pregnancy following failure of LNG
EC was reported. This EC caused no serious adverse effects but was associated
with menstrual disturbance. Although widely acceptable, the cost and short-supply
to rural areas pose a barrier to access EC for the poor and rural-dwellers,
respectively. It was concluded that unlike post-coital oral administration, peri
coital vaginal application of 1.5 mg LNG needs further study to be an alternative
option for women to use it for prevention of pregnancy.
PMID- 22085059
TI - Hyperemesis gravidarum: current aspect.
AB - An advanced state of nausea and vomiting, which are common symptoms of early
pregnancy, is known as hyperemesis gravidarum and may result in dehydration,
ketonuria, catabolism and require hospitalisation. Aetiological factors include
increased hCG and steroids, multiple pregnancy and vitamin deficiency.
Differential diagnosis of nausea and vomiting should be made and supportive
treatment as well as antiemetic therapy is recommended. This review discusses
aetiology and management modalities of hyperemesis gravidarum including fluid
therapy, antiemetics, vitamins, psychological support and non-pharmacological
measures.
PMID- 22085060
TI - Operative complications and fetal morbidity encountered in 300 prophylactic
transabdominal cervical cerclage procedures by one obstetric surgeon.
AB - This retrospective cohort study identifies complications associated with
transabdominal cerclage (TAC). In 300 procedures performed over a 24 year time
span, 11 (3.7%) surgical complications were encountered. Fetal loss (prior to 20
weeks) occurred in 4.1% of pregnancies. The median estimated blood loss among
patients was 100 ml, with blood loss sufficient to require transfusion only once.
Considering patients with classical indications, the gestational age at delivery
was greater (37 weeks) after TAC than in the latest pre-TAC pregnancy (24 weeks)
(p < 0.001). Lower uterine dehiscence in four patients and uterine rupture in
one, underscore the advisability of early term delivery after fetal lung maturity
is assured. A survival rate of 98.0% was calculated among infants that were
delivered at >24 weeks' gestation. Our results demonstrate that complications
encountered in placing a TAC were unusual and generally manageable. This
communication may assist the surgeon to balance risks in individual clinical
circumstances more adequately.
PMID- 22085061
TI - Demographic and clinical variables influencing gestational age at booking among
South African pregnant women.
AB - A retrospective record review was made of 758 women who delivered over a 2-month
period at the Charlottee Maxeke Johannesburg Academic Hospital. Women booking
early (up to 14 weeks) were compared with women booking late (from 15 weeks
onwards). A total of 45 (6%) and 713 (94%) women booked in the early and late
groups, respectively. Women of white ethnicity and women who experienced previous
fetal loss booked significantly early. Primigravidae booked significantly late.
PMID- 22085062
TI - Born before arrival births: impact of a changing obstetric population.
AB - This retrospective cohort study examined 143/39,895 (0.36%) consecutive born
before-arrival (BBA) births. The incidence of BBA births doubled from 0.26% in
2005 to 0.5% in 2009. This increase was mainly attributed to the increase of non
Irish nationals and patients from low socioeconomic groups attending for
antenatal care. Poor social background was often coupled with current or past
substance misuse and/or a diagnosis of an infectious disease. While there was no
excess in maternal morbidity, the perinatal mortality rate among BBA births was
three-fold increased (27.9/1,000) when compared with the overall rate for all
inborn babies in our hospital (8.5/1,000) but significantly less than previously
published (58.4/1,000). Results of our study call for continuing training of
paramedic staff involved in these deliveries and neonatal resuscitation. Given
the easy accessibility of antenatal services in Ireland, this study highlights
the urgent need for optimising parental education and care in this vulnerable
group of patients.
PMID- 22085063
TI - Effect of nalbuphine hydrochloride on the active phase during first stage of
labour: a pilot study.
AB - The aim of this pilot study was to determine whether nalbuphine shortens the
duration of the active phase during the first stage of labour in pregnant women.
Among 1,619 deliveries, we enrolled 302 pregnant women between March 2003 and
August 2005. The case group received a nalbuphine injection (n = 57), while the
remaining patients served as a control group (n = 245). The effects of nalbuphine
on the length of labour were analysed using a survival analysis (log-rank test).
The average duration of the initial active phase was 75 and 160 min for the case
and control groups, respectively. The reduced duration of the active phase in the
case group occurred regardless of oxytocin infusion (p < 0.01) and was without
significant risks.
PMID- 22085064
TI - Repeat caesarean delivery as a risk factor for abnormal blood loss, blood
transfusion and perinatal mortality.
AB - We reviewed 450 cases of caesarean delivery (January-December 2009) at the
University of Ilorin Teaching Hospital in Nigeria. We analysed the association
between caesarean delivery status (primary or previous) and the following
outcomes: abnormal blood-loss, blood transfusion and perinatal mortality.
Although significant differences were observed between primary and previous
caesarean delivery groups in regards to maternal age, urgency of the caesarean
delivery, booking status, and cadre of birth attendant staff, no association was
noted between caesarean delivery status and any of the three outcomes. Further
analyses identified parity as an important predictor for blood transfusion and
abnormal blood loss. In addition, we found a dose?response relationship between
parity and abnormal blood loss (< 0.05). Also, mothers with an emergency
caesarean delivery of the index pregnancy were more than twice as likely to have
a blood transfusion as compared with those with an elective caesarean delivery.
PMID- 22085065
TI - Does carbetocin for prevention of postpartum haemorrhage at caesarean section
provide clinical or financial benefit compared with oxytocin?
AB - Postpartum haemorrhage is a major cause of maternal morbidity and mortality
worldwide. A recent Cochrane review of carbetocin (long-acting oxytocin analogue)
concluded that its use decreased additional uterotonic requirements, however, no
included studies compared its use against intravenous bolus oxytocin. The
majority of studies of carbetocin have considered its use in vaginal delivery; no
studies have examined the economic implications of its use. This study describes
a clinical and financial evaluation undertaken at a United Kingdom District
General Hospital surrounding the introduction of carbetocin for prophylaxis
against postpartum haemorrhage at caesarean deliveries. A range of clinical
outcomes were observed including frequency of postpartum haemorrhage, estimated
blood loss, transfusion requirements, change in haemoglobin or haemodynamics, use
of additional uterotonics and perioperative recovery. Finally, a composite
financial analysis was performed. No clinically significant benefit was found,
however associated costs increased by L18.52/patient.
PMID- 22085066
TI - Is peripartum zidovudine absolutely necessary for patients with a viral load less
than 1,000 copies/ml?
AB - Late access to obstetrics service, viral load of >1,000 copies/ml and short
duration antenatal highly active antiretroviral treatment (HAART), are the
strongest predictors for mother-to-child transmission (MTCT). Neonatal triple
therapy did not seem to reduce MTCT if the above risk factors were present.
Intrapartum intravenous zidovudine (i.v. ZDV) of <4 h does not seem to increase
the risk of MTCT if the viral load is <1,000 in those receiving HAART.
Intrapartum i.v. ZDV >4 h did not seem to reduce the risk of MTCT with the viral
load >1,000 in patients having <4 weeks of HAART.
PMID- 22085067
TI - Cost-effectiveness of ultrasound use by on-call registrars in an acute
gynaecology setting.
AB - Ultrasound, and in particular transvaginal sonography (TVS), plays an important
role in the management of women with acute gynaecology conditions. This study
compared the cost-effectiveness of two models of out-of-hours care for women in
an acute gynaecology setting. In the ultrasound-based model, the on-call
registrar with ultrasound experience managed such patients after performing
pelvic ultrasound as a part of the initial assessment. On the other hand, in the
traditional model of care the on-call registrar managed the patients without the
use of ultrasound. The conclusion is that the use of ultrasound by the on-call
registrars has significant cost implications through reduced hospital admissions.
It leads to improved outcomes of such patients through timely diagnosis and
treatment.
PMID- 22085068
TI - Fluoroscopy-guided, transcervical, selective salpingography and fallopian tube
recanalisation.
AB - This study is a retrospective review of the transcervical fluoroscopy-guided
fallopian tube recanalisation (FTR) procedures done in a multi-ethnic south-east
Asian population, over 9 years. A total of 100 patients with infertility and
documented proximal tubal obstruction (PTO) were referred for FTR. On-table
hysterosalpingography under sedation demonstrated true PTO in 96 patients. At
selective-salpingography, the PTO cleared in 16 patients; 78 required FTR and two
had fimbrial blockage. The technical success rate of FTR was 86.8% and the post
FTR pregnancy rate was 36.84% at a mean follow-up interval of 12.2 months. There
were no major, immediate procedure-related complications. There was an ectopic
pregnancy in a single treated patient. Fluoroscopy-guided FTR is a safe treatment
option in patients with infertility from PTO, with high technical success rate,
low complication rate and increased chances of pregnancy; therefore it should be
preferred before attempting more expensive and resource-intensive procedures.
PMID- 22085069
TI - The social adaptation of children born after ICSI compared with IVF-conceived
children: a study from China.
AB - We compared the social adjustment among Chinese children born after
intracytoplasmic sperm injection (ICSI) vs those after in vitro fertilisation
(IVF) and identify factors related to the adjustment. The social adaptation of 86
ICSI and 165 IVF conceived children of 4-6 years of age was assessed using the
Infants-Junior Middle School Students' Social-Life Abilities Scale. There was no
significant difference between the ICSI and IVF-conceived groups on the item of
communication, self-dependence, locomotion, work skills, socialisation, self
management and total scores. Compared with routine IVF, ICSI does no harm to the
social adaptation of children conceived through this technology.
PMID- 22085070
TI - Impact of improving outcome guidance in gynaecological cancer on squamous cell
carcinoma of the vulva in the West Midlands, UK.
AB - This study aimed to assess the benefits and outcomes of squamous cell carcinoma
(SCC) of the vulva managed in a cancer centre post-centralisation of cancer care
in the UK. A retrospective study was performed to evaluate the demography and
management outcomes of SCC of the vulva in a regional gynaecological cancer
centre. The results were then compared with the Rhodes et al. (1998) population
based study. Over the years, disease demography remained largely unchanged.
However, centralisation of cancer care has resulted in significant changes in the
pattern of care. The number of cases managed has increased by 1.7 times and the
permutation of surgeries have reduced from 15 to 4. There is also a significant
increased in the number of lymphadenectomies performed (p = 0.003). These changes
were accompanied by improvement in 5-year cause-specific survival (p = 0.055).
PMID- 22085071
TI - Prevalence of cervical squamous intraepithelial lesions among HIV-positive women
in Enugu, South-eastern Nigeria.
AB - HIV infection is a risk factor for cervical cancer and both diseases are
prevalent in Nigeria. The study determined the prevalence of cervical squamous
intraepithelial lesion (SIL) among HIV-positive women in Enugu state, which has
the highest HIV burden in South-eastern Nigeria. Pap smear was carried out on 150
HIV-positive (HIV+ve) women and 150 HIV-negative (HIV?ve) controls at the
University of Nigeria Teaching Hospital, Enugu, Nigeria from December 2007 to
March 2008. The prevalence of SIL for the HIV+ve group and the control group were
12.6% and 4.6%, respectively (p = 0.014). Also, the prevalence of each category
of SIL identified in the study, was higher among the HIV+ve group. There is an
association between HIV infection and SIL in Enugu, South-eastern Nigeria.
Cervical cancer screening should be incorporated into the antiretroviral (ARV)
clinics, so as to prevent the impending surge in the burden of cervical cancer in
Nigeria.
PMID- 22085072
TI - Knowledge and perception of the Nigerian Abortion Law by abortion seekers in
south-eastern Nigeria.
AB - One in four pregnancies worldwide is voluntarily terminated. Approximately 20
million terminations are performed under unsafe conditions, mostly in developing
countries with restrictive abortion laws. A total of 100 consecutive abortion
seekers were interviewed, to ascertain their knowledge and perceptions on the
Nigerian Abortion Law. The majority (55.0%) of the respondents were students.
Most of them (97%) had at least secondary education and the majority (62.0%) were
within the 20-24 years age range. Only 31.0% of the women interviewed were aware
of the Nigerian Abortion Law. While 16% perceived the law as being restrictive,
2% opined that' it was alright'; 1% perceived it as very restrictive and 12% had
no opinion on the abortion law. Knowledge of the abortion law had no significant
relationship with either the educational level of the respondent or the number of
previous pregnancy terminations and overall demand for abortion services. It is
necessary to ensure a wide dissemination of the abortion law and its provisions
to the Nigerian public, in order to arm them with the necessary information to
participate actively in debates on abortion law reforms.
PMID- 22085073
TI - Twin pregnancy with complete hydatidiform mole and co-existent viable fetus.
PMID- 22085074
TI - Triplet pregnancy with complete hydatidiform mole co-existing with two viable
fetuses.
PMID- 22085075
TI - Giant lingual granuloma gravidarum.
PMID- 22085076
TI - Prenatal diagnosis of craniosynostosis: sonographic features of Muenke syndrome.
PMID- 22085077
TI - Spontaneous uterine rupture during the 1st trimester: a rare but life-threatening
emergency.
PMID- 22085078
TI - Florid cystic endosalpingiosis with extensive peritoneal involvement and
concurrent bilateral ovarian serous cystadenoma.
PMID- 22085079
TI - Successful Thermachoice endometrial ablation immediately following uterine
perforation at microwave endometrial ablation.
PMID- 22085080
TI - Endometrial ossification in postmenopausal women.
PMID- 22085083
TI - Acceptability of an "on-demand" pre-exposure HIV prophylaxis trial among men who
have sex with men living in France.
AB - Although predictors of willingness to take daily, self-administered pre-exposure
HIV prophylaxis (PrEP) for men who have sex with men (MSM) have been studied in
the context of several PrEP trials internationally, little is known about MSM
interested in participating in a trial on the use of PrEP on an "on -demand"
basis, i.e., taking a first dose of combined tenofovir/emtricitabine a few hours
before possible HIV sexual exposure and a second dose a few hours afterwards. A
double-blind placebo randomized PrEP trial will soon begin in France to evaluate
the effectiveness of PrEP in terms of reducing HIV infection rates, among MSM
self-administering "on-demand" PrEP. To assess potential participants'
characteristics associated with willingness to participate in the trial and
identify barriers and facilitators to implementation, MSM completed a self
administered questionnaire, distributed via gay venues and community websites.
Among the 443 respondents who reported being HIV-negative, 40% reported being
interested in participating. Factors independently associated with interest
included: reporting lower educational level, more than 20 male sexual partners in
the previous year, reporting unprotected anal sex with casual partners and
preferring PrEP follow-up visits in a devoted area within a hospital. There is
great interest in participating in a future "on-demand" PrEP trial among HIV
negative MSM and particularly in those at potentially high risk of HIV exposure.
Providing confidentiality and tailored counseling during PrEP follow-up are
important issues.
PMID- 22085084
TI - Templated synthesis of metal nanorods in silica nanotubes.
AB - We report a general method for the synthesis of noble metal nanorods, including
Au, Ag, Pt, and Pd, based on their seeded growth in silica nanotube templates.
The controlled growth of the metals occurs exclusively on the seeds inside the
silica nanotubes, which act as hard templates to confine the one-dimensional
growth of the metal nanorods and define their aspect ratios. This method affords
large quantities of noble metal nanorods with well-controlled aspect ratios and
high yield, which may find wide use in the fields of nanophotonics, catalysis,
sensing, imaging, and biomedicine.
PMID- 22085085
TI - Continuous photochemical generation of catalytically active [CpRu]+ complexes
from CpRu(eta6-C6H6)PF6.
AB - Inter- and intramolecular ene-yne coupling reactions catalyzed by a species
generated in situ via photolysis of CpRu(eta(6)-C(6)H(6))PF(6)--an inexpensive,
readily available, and shelf-stable complex--have been demonstrated under
conditions of continuous flow. Importantly, the catalyst can be recovered
quantitatively at the end of the reaction. Various functional groups are
tolerated by the reaction, which affords skipped diene products in high yields.
PMID- 22085086
TI - Detailed characterization of proanthocyanidins in skin, seeds, and wine of Shiraz
and Cabernet Sauvignon wine grapes (Vitis vinifera).
AB - The distribution of proanthocyanidin (PA) polymer lengths, proanthocyanidin
concentration at each polymer length, and polymer composition were determined in
the seed, skin, and wine of Shiraz and Cabernet Sauvignon grape berries grown in
southeast Australia. PA was fractionated by semipreparative high performance
liquid chromatography (HPLC) and analyzed by phloroglucinolysis and HPLC to
report the degree of polymerization (DP), concentration, and composition at 11 DP
values in seed and wine and 21 DP values in skin. In skin, the highest PA
concentration was observed at a DP of 31 in Shiraz and 29 in Cabernet Sauvignon
representing 15% of the total PA in both varieties. The distribution of seed PA
had the highest concentration at a DP of 7 in Shiraz and 6 in Cabernet Sauvignon
representing around 30% of the total PA. In the wine PA distribution, the highest
concentration was observed at a DP of 11 in Shiraz and 9 in Cabernet Sauvignon
representing around 26 and 32% of the distribution, respectively. A second peak
in wine PA concentration was observed at the largest DP of 18 in Shiraz and 15 in
Cabernet Sauvignon representing around 20% of the distribution. The composition
in wine did not vary at different DP, but the proportion of epicatechin gallate
varied in seed PA less than 4 DP. The proportion of epigallocatechin increased
with increasing DP in skin PA. Wine PA had a DP range and composition similar to
the distribution of skin PA between DP 4 and 18 suggesting that larger skin PAs
are not extracted into wine. This study provides information that could be used
to target the important PA fractions in grapes that need to be measured to
understand (or predict) PA extraction into wine and eventual mouthfeel.
PMID- 22085087
TI - A survey of community exercise programmes for stroke survivors in Scotland.
AB - Stroke is the most common cause of complex disability in the community. Physical
fitness is often reduced after stroke, but training can improve fitness and
function. UK and international stroke clinical guidelines recommend long-term
exercise participation for stroke survivors. However, there has been no previous
research into what services are available to support this. In 2009, we conducted
the first European survey of community Exercise after Stroke services. A link to
our web-based survey was emailed to health, leisure service and stroke charity
contacts in Scotland with email and telephone follow-up to non-respondents. The
overall response rate was 64% (230/361). A total of 14 Exercise after Stroke
services were identified, the majority of which were run by charity
collaborations (7/14), followed by leisure centre services (4/14) and health
services (3/14). We sought information on session content, referral and
assessment processes, and the qualifications of exercise instructors. This
information was cross-referenced with current clinical and exercise guidelines to
determine whether existing resources were sufficient to meet stroke survivors'
needs for safe, effective and sustainable access to exercise. The results
indicated a shortage of stroke-specific community exercise programmes. Further
service development is required to ensure appropriate instructor training and
referral pathways are in place to enable stroke survivors to access exercise
services in accordance with current guidelines.
PMID- 22085089
TI - A 90th anniversary of AOGS and onwards.
PMID- 22085088
TI - Modeling the relative GHG emissions of conventional and shale gas production.
AB - Recent reports show growing reserves of unconventional gas are available and that
there is an appetite from policy makers, industry, and others to better
understand the GHG impact of exploiting reserves such as shale gas. There is
little publicly available data comparing unconventional and conventional gas
production. Existing studies rely on national inventories, but it is not
generally possible to separate emissions from unconventional and conventional
sources within these totals. Even if unconventional and conventional sites had
been listed separately, it would not be possible to eliminate site-specific
factors to compare gas production methods on an equal footing. To address this
difficulty, the emissions of gas production have instead been modeled. In this
way, parameters common to both methods of production can be held constant, while
allowing those parameters which differentiate unconventional gas and conventional
gas production to vary. The results are placed into the context of power
generation, to give a "well-to-wire" (WtW) intensity. It was estimated that shale
gas typically has a WtW emissions intensity about 1.8-2.4% higher than
conventional gas, arising mainly from higher methane releases in well completion.
Even using extreme assumptions, it was found that WtW emissions from shale gas
need be no more than 15% higher than conventional gas if flaring or recovery
measures are used. In all cases considered, the WtW emissions of shale gas
powergen are significantly lower than those of coal.
PMID- 22085090
TI - Contribution of proteomics to the study of plant pathogenic fungi.
AB - Phytopathogenic fungi are one of the most damaging plant parasitic organisms, and
can cause serious diseases and important yield losses in crops. The study of the
biology of these microorganisms and the interaction with their hosts has
experienced great advances in recent years due to the development of moderm,
holistic and high-throughput -omic techniques, together with the increasing
number of genome sequencing projects and the development of mutants and reverse
genetics tools. We highlight among these -omic techniques the importance of
proteomics, which has become a relevant tool in plant-fungus pathosystem
research. Proteomics intends to identify gene products with a key role in
pathogenicity and virulence. These studies would help in the search of key
protein targets and in the development of agrochemicals, which may open new ways
for crop disease diagnosis and protection. In this review, we made an overview on
the contribution of proteomics to the knowledge of life cycle, infection
mechanisms, and virulence of the plant pathogenic fungi. Data from current,
innovative literature, according to both methodological and experimental systems,
were summarized and discussed. Specific sections were devoted to the most studied
fungal phytopathogens: Botrytis cinerea, Sclerotinia sclerotiorum, and Fusarium
graminearum.
PMID- 22085091
TI - An unusual presentation of subcutaneous granuloma annulare in association with
juvenile-onset diabetes: case report and literature review.
AB - Subcutaneous granuloma annulare (SGA) is a rarely reported subtype of granuloma
annulare that occurs almost exclusively in children. The etiology of these
lesions is unknown, although a possible relationship to insulin-dependent
diabetes mellitus (IDDM) has been proposed in the literature. Here we present an
unusual case of SGA on the right forearm in a 3-year-old girl. Unlike the typical
lesions noted on the extremities, on magnetic resonance imaging her lesions
involved the subcutaneous tissue and adjacent muscles in multiple locations.
Histopathologically, the case was consistent with SGA but was unusual in its
distribution involving multiple muscles, a finding that has not been previously
reported. Weeks after incisional biopsy, she was readmitted with diabetic
ketoacidosis (DKA) secondary to IDDM. After treatment of her DKA and control of
her glycemia, the forearm SGA vanished, which supported the pathologic diagnosis
and alleviated our concerns secondary to the unusual distribution.
PMID- 22085093
TI - A fatal elephant attack.
AB - A rare case of an elephant attack is presented. A 44-year-old man working as an
elephant keeper was attacked by a cow elephant when he tripped over a foot chain
while the animal was being medically treated. The man fell down and was
consequently repeatedly attacked with elephant tusks. The man sustained multiple
stab injuries to both groin regions, a penetrating injury to the abdominal wall
with traumatic prolapse of the loops of the small bowel, multiple defects of the
mesentery, and incomplete laceration of the abdominal aorta with massive bleeding
into the abdominal cavity. In addition to the penetrating injuries, the man
sustained multiple rib fractures with contusion of both lungs and laceration of
the right lobe of the liver, and comminuted fractures of the pelvic arch and left
femoral body. The man died shortly after he had been received at the hospital.
The cause of death was attributed to traumatic shock.
PMID- 22085092
TI - The incidence and risk factors of invasive fungal infection after haploidentical
haematopoietic stem cell transplantation without in vitro T-cell depletion.
AB - In recent years, we have successfully established a novel method of
haploidentical haematopoietic stem cell transplantation (HSCT) without in vitro T
cell depletion. This study was aimed at analysing the incidence and risk factors
of invasive fungal infection (IFI) with this transplantation method. The study
comprised 291 patients who had undergone haploidentical HSCT from 1 January 2007
to 31 December 2008. IFI was diagnosed according to the European Organization for
Research and Treatment of Cancer/Mycoses Study Group 2002 criteria, and only
proven or probable cases of IFI were regarded as true cases. A total of 39
patients were documented as having IFI, including four proven cases and 35
probable cases. The median time of diagnosis was 26 days (range: 6-405 days)
after transplantation. The cumulative incidence rates of IFI at 40 days, 1 year,
2 years and 3 years after transplantation were 8.25%, 13.1%, 13.4% and 13.4%,
respectively. Multivariate analysis identified platelet engraftment time (>17
days) (p 0.027; hazard ratio (HR) 2.432; 95% CI 1.105-5.355), a high risk of
underlying disease (p 0.001; HR 2.916; 95% CI 1.515-5.611) and grade III-IV acute
graft-versus-host disease (p 0.019; HR 2.407; 95% CI 1.154-5.022) as risk factors
for IFI. The incidence rates of IFI in patients with no, one, two or three risk
factors at 3 years after transplantation were 4.48%, 7.86%, 29.6% and 23.1%,
respectively. In conclusion, IFI is an important complication following
haploidentical HSCT without in vitro T-cell depletion.
PMID- 22085094
TI - Cigarette smoking and widowhood in the United States.
AB - Abstract Extract In the United States, for newly married couples with husband
aged 23 and wife 21 who never divorce or re-marry after death of spouse,
expectation of married life increased by 10.1 years between 1910 and 1965.
Expectation of widowed life decreased by 3.2 years for husbands, but, despite
large mortality declines for both sexes, increased by 1.8 years for wives. These
and related data are shown in Table 1.
PMID- 22085095
TI - On the incidence of illegal abortion.
AB - Abstract If the medical, social, and demographic effects of the Abortion Act
are to be properly assessed, it is essential to have reliable estimates of the
number of abortions illegally procured in Britain before the Act came into
operation on 27th April 1968. There is, at present, no agreement on what this
figure is likely to have been, and published estimates range from several
hundreds of thousands down to about 15,000 a year. For example, in 1950, Dr
Eustace Chesser published 'a most conservative estimate that the figure cannot be
less than a quarter of a million every year',l though 100,000 was the figure most
often quoted before the law was changed. And in a recent study of the first three
years of the Act Mrs. Madeleine Simms, who was much concerned as General
Secretary of the Abortion Law Reform Association at the time, has said that
'during parliamentary debates on the Abortion Act, the Home Secretary used this
as his base-line figure'.2 Since this was upgraded in press reports of her
article to 'the official figure used by the Home Secretary, for the number of
criminal abortions each year was 100,000',3 it may be useful to recall that what
Mr Roy Jenkins, who was then Home Secretary, actually said was 'perhaps as many
as 100,000 illegal operations a year take place'.4 This was in the course of a
speech supporting a Private Member's Bill upon which the government's collective
attitude was one of neutrality, and which Mr Jenkins therefore very properly
prefaced by saying 'I am speaking for myself, as I am entided to do, as is any
other hon. member, and on my own responsibility'. So whether or not this estimate
was correct, it plainly has no authority as 'the official figure' and, in fact,
no official estimate ever does appear to have been published, either by the Home
Office or by any other government agency.
PMID- 22085096
TI - High foetal mortality and birth intervals.
AB - Abstract Studies of birth intervals have generally separated the process into:
(1) the period of infertility following a pregnancy termination; (2) the length
ofthe interval from the end of the infertile period to the next conception (which
reflects the probability of conception), and (3) the average duration of
pregnancy for both live births and foetal deaths.(1) Empirically derived
intervals between successive live births average from 19 to 30 lunar months(2)
(Table 1), depending upon the population studied and the birth order.(3)
Estimates of conception rates using birth intervals data require some estimate of
the extent to which foetal wastage increases the birth interval.
PMID- 22085097
TI - Family structure and socio-economic differentials in fertility.
AB - Abstract The long standing research on the relation of socio-economic status
and fertility has recently given way to a focus on those factors which account
for class differentials. Although class differences in fertility seem to be
diminishing, the basic relationship remains inverse.(2) In an attempt to explain
class differentials in fertility, researchers have begun to look at such
variables as age at marriage(3), value orientations(4), and non-fiunilial
activity.(5) Bumpass demonstrated that age at marriage is an interaction variable
which greatly attenuates the relationship between social class and fertility. He
found that the relationship was inverse among women marrying before age 19, but
direct among women who were 23 years or older at first marriage. Clifford
examined value orientations as an intervening variable in the socio-economic
status-fertility relationship. Modern and traditional value orientations did aid
in interpreting the relationship, but other factors were also operative. Kupinsky
found that the non-familial activity of women decidedly influenced socio-economic
differentials infertility. Thelabour force participation of women had a greater
effect on reducing fertility among upper-status women than among those of lower
status. This relationship was also influenced by the rural-urban background of
the women.
PMID- 22085098
TI - The size and structure of residential families, Guatemala City, 1964.
AB - Abstract In a review of census data for the periods 1945-54 and 1955-63, Burch
discloses an increasing tendency for average household sizes to cluster at five
to six members for developing nations, compared to three to four for developed
nations.(1) Also, among developing nations he finds less than 50% of the
population living in households containing three to six persons. This apparently
contradicts Levy's general rule which prompted his study, that 'for well over 50%
of the members of ... all known societies in world history' actual family size
and composition have varied much less than would be expected, given ideal rules
of residence which can vary from the classical extended family of Asian renown
and European history to the small 'isolated' nuclear family of the modernized
West.(2).
PMID- 22085099
TI - Urbanization, non-familial work, education, and fertility in Taiwan.
AB - Abstract It is well known, that there is a relationship between the level of
development of a society and its level offertility.(1) However, it is not clear
which of the complex ofvariables associated with development are primarily
associated with the reduction of fertility. Urbanization, female labour force
participation and education are three of the variables most commonly cited as
bearing a causal relationship to fertility. Urbanization implies a change of
environment of a substantial portion of the population which may result in a
change in the value placed on large families. This is particularly true when
urban mortality is lower than rural, so that more children survive.(2) However,
it has also been argued that urbanization results in a change in family structure
from the extended to the nuclear family with a concomitant reduction in the value
placed on having many children.(3) Additional changes in family patterns which
are sometimes said to explain fertility reduction due to urbanization are
increases in the proportion of women never marrying and increases in the age at
marriage.
PMID- 22085100
TI - Individual mobility in a stationary population.
AB - Abstract An increasing population facilitates individual mobility. One of the
consequences of moving towards the inevitable stationary population is that
mobility will become more difficult.
PMID- 22085101
TI - A cost benefit analysis of the barbados family planning programme.
AB - Abstract Of the Caribbean islands, Barbados has the lowest fertility level. The
crude birth rate in 1970 was only 20.5 per 1,000, which is one of the lowest
found in any country of similar economic development anywhere in the world. In
1960 the crude birth rate was much higher, at about 33.6 and for almost 40 years
before that had fluctuated around a value of 33. Thus, a decline of about 40% has
been achieved within the comparatively short period of a decade. The reasons for
this rapid decline are of particular interest to all those concerned with
population growth and economic development in the less developed areas. In
Barbados, the importance of population control and the role of family planning
was recognised early by the government and other civic agencies, and the Barbados
Family Planning Association (BFPA), an autonomous national family planning
agency, was established. Since its modest beginning in 1955 the BFPA has grown to
be a major social institution, comprising 14 clinics situated in various
localities throughout the island. In 1970, a full-time staff of 28 were providing
services to an estimated 10,000 clients, about 20% of all women of reproductive
age. Funds for the BFPA have come from local government with considerable
assistance from international agencies.
PMID- 22085102
TI - Population growth rates in perfect contraceptive populations.
AB - Abstract Eventually, world population must cease to grow. In many countries
attempts are made to decrease population growth by providing family planning
services to all who want to prevent pregnancies. In this paper we use the concept
'perfect contraceptive population',(1) - a population in which no unwanted births
occur - to derive estimates of the maximum contribution that prevention of
unwanted births might make toward attaining a zero rate of natural increase in
population.
PMID- 22085103
TI - Family planning in Malta.
AB - Abstract The crude birth rate has dropped dramatically in Malta(1) over the
post-war period, from 36.0 per 1,000 population in 1948 to 17.1 in 1971. A drop
of this magnitude is not uncommon(2) although it rarely occurs so fast.
PMID- 22085106
TI - Errata.
PMID- 22085107
TI - Errata.
PMID- 22085108
TI - Allergic complications from orthopaedic joint implants: the role of delayed
hypersensitivity to benzoyl peroxide in bone cement.
AB - BACKGROUND: Orthopaedic implants and osteosynthesis materials are increasingly
being used. Complications include mainly physical-mechanical problems and
infections. Uncommonly, an allergic reaction towards an alloy metal or a bone
cement component has been implicated. Potential bone cement allergens include
acrylates, benzoyl peroxide, N,N-dimethyl-p-toluidine, and gentamicin. Typical
symptoms are pain, swelling, inflammatory skin reactions, implant loosening, and
fistula formation. OBJECTIVES: To report on 5 patients with complications from a
knee or a shoulder joint implant in whom a relevant sensitization to benzoyl
peroxide was shown. METHODS: Patch tests were performed with the European
baseline series, an extended metal series, and a bone cement series. Patch tests
with benzoyl peroxide were performed twice in all patients. A bone cement-free
replacement was chosen in sensitized patients. RESULTS: In 4 patients sensitized
to benzoyl peroxide, a bone cement-free replacement resulted in a considerable
decrease or disappearance of pain and swelling, and complete clearing of
cutaneous symptoms. CONCLUSIONS: Components of bone cement, such as benzoyl
peroxide, may rarely cause allergic complications. However, because of the
irritant potential of these substances, careful performance, reading and
interpretation of the patch tests is required.
PMID- 22085109
TI - Carbon consequences and agricultural implications of growing biofuel crops on
marginal agricultural lands in China.
AB - Using marginal agricultural lands to grow energy crops for biofuel feedstocks is
a promising option to meet the biofuel needs in populous China without causing
further food shortages or environmental problems. Here we quantify the effects of
growing switchgrass and Miscanthus on Chinese marginal agricultural lands on
biomass production and carbon emissions with a global-scale biogeochemical model.
We find that the national net primary production (NPP) of these two biofuel crops
are 622 and 1546 g C m(-2) yr(-1), respectively, whereas the NPP of food crops is
about 600 g C m(-2) yr(-1) in China. The net carbon sink over the 47 Mha of
marginal agricultural lands across China is 2.1 Tg C yr(-1) for switchgrass and
5.0 Tg C yr(-1) for Miscanthus. Soil organic carbon is estimated to be 10 kg C m(
2) in both biofuel ecosystems, which is equal to the soil carbon levels of
grasslands in China. In order to reach the goal of 12.5 billion liters of
bioethanol in 2020 using crop biomass as biofuel feedstocks, 7.9-8.0 Mha corn
grain, 4.3-6.1 Mha switchgrass, or 1.4-2.0 Mha Miscanthus will be needed.
Miscanthus has tremendous potential to meet future biofuel needs, and to benefit
CO(2) mitigation in China.
PMID- 22085110
TI - Tandem modular protein-based hydrogels constructed using a novel two-component
approach.
AB - Leucine zipper sequences have been widely used to engineer protein-based
hydrogels for biomedical applications. Previously, we have used this method to
engineer tandem modular protein-based hydrogels as a step toward developing
extracellular matrix-mimetic hydrogels. However, the spontaneous self-association
of leucine zipper sequences in solution has made it challenging to express and
purify tandem modular proteins carrying leucine zipper under native conditions.
To obviate this problem, here we report a novel two-component approach to
engineer tandem modular protein-based hydrogels. This methodology makes use of
two complementary leucine zipper sequences (CCE and CCK), which do not self
associate but self-assemble into heterodimeric coiled-coils at neutral pH, as
functional groups to drive the self-assembly of protein hydrogels. The two
protein components are bifunctional and trifunctional tandem modular proteins
carrying the leucine zipper functional groups. We found that the two proteins
carrying CCE or CCK can be expressed and purified under native conditions with
high yield. Upon mixing, the aqueous solution of the two proteins readily forms a
transparent hydrogel. The resultant hydrogel can undergo reversible sol-gel
transitions as a function of temperature, and shows much improved erosion
properties. This method provides a new approach to tune the topology and physical
properties of the protein hydrogels via genetic engineering, and opens the
possibility to systematically explore the use of large native extracellular
proteins to engineer extracellular matrix-mimetic hydrogels.
PMID- 22085111
TI - Treatment of primary intraocular lymphoma with rituximab, high dose methotrexate,
procarbazine, and vincristine chemotherapy, reduced whole-brain radiotherapy, and
local ocular therapy.
PMID- 22085112
TI - Tomentosones A and B, hexacyclic phloroglucinol derivatives from the Thai shrub
Rhodomyrtus tomentosa.
AB - Two phloroglucinols named tomentosones A and B (1 and 2) that each possess a
novel hexacyclic ring system were isolated from the CH(2)Cl(2) extract of
Rhodomyrtus tomentosa leaves. Their structures were elucidated from analyses of
2D NMR spectroscopic data. Tomentosone A inhibited the growth of chloroquine
resistant and -sensitive strains of the malaria parasite Plasmodium falciparum,
with IC(50) values of 1.49 MUM and 1.0 MUM, respectively, while tomentosone B was
significantly less active.
PMID- 22085113
TI - Cost analysis of store-and-forward telepsychiatry as a consultation model for
primary care.
AB - Store-and-forward telepsychiatry, or asynchronous telepsychiatry (ATP), which
allows clinical data, including video to be collected to be reviewed at a later
time by a specialist, has been described as a feasible alternative to real-time
telepsychiatry, or synchronous telepsychiatry (STP), as a consultation model for
primary care. In theory, ATP should be economically more cost-effective than STP
due to the increased flexibility of patient data collection and the substitution
of the time of specialists with that of lower-cost providers. The aim of this
study was to conduct a retrospective cost-analysis comparing ATP with STP and
traditional in-person psychiatric consultations in the primary care setting. One
hundred and twenty five ATP consultations were performed and fixed and marginal
costs were calculated for each model using inputs such as equipment costs, time
spent by providers and support staff, and hourly salaries. The fixed costs were
$7,000 and $20,000 for ATP and STP and marginal costs were $68.18, $107.50, and
$96.36, respectively, for the three groups. STP was the most expensive of the
three types of consultations. ATP became the most cost-effective of the three
models beyond 249 consultations. The marginal cost savings of ATP were due to
substitution of low-cost providers for specialists. ATP represents a potential
disruptive healthcare process that could allow more affordable care to be
delivered to a larger population of patients. A full accounting of ATP's
efficiency will require further studies, including prospective cost-benefit
analyses from the perspectives of the patient, provider, and society.
PMID- 22085114
TI - A systematic review of the evidence base for telehospice.
AB - Abstract The use of telehealth technologies to overcome the geographic
distances in the delivery of hospice care has been termed telehospice. Although
telehospice research has been conducted over the last 10 years, little is known
about the comprehensive findings within the field. The purpose of this systematic
article was to focus on available research and answer the question, What is the
state of the evidence related to telehospice services? The article was limited to
studies that had been published in the English language and indexed between
January 1, 2000 and March 23, 2010. Indexed databases included PubMed and
PsycINFO and contained specified key words. Only research published in peer
review journals and reporting empirical data, rather than opinion or editorials,
were included. A two-part scoring framework was modified and applied to assess
the methodological rigor and pertinence of each study. Scoring criteria allowed
the evaluation of both quantitative and qualitative methodologies. Twenty-six
studies were identified with the search strategy. Although limited in number and
in strength, studies have evaluated the use of a variety of technologies,
attitudes toward use by providers and consumers, clinical outcomes, barriers,
readiness, and cost. A small evidence base for telehospice has emerged over the
last 10 years. Although the evidence is of medium strength, its pertinence is
strong. The evidence base could be strengthened with randomized trials and
additional clinical-outcome-focused research in larger randomized samples and in
qualitative studies with better-described samples.
PMID- 22085115
TI - Thiol-based posttranslational modifications in parasites.
AB - SIGNIFICANCE: Cysteine residues of proteins participate in the catalysis of
biochemical reactions, are crucial for redox reactions, and influence protein
structure by the formation of disulfide bonds. Covalent posttranslational
modifications (PTMs) of cysteine residues are important mediators of redox
regulation and signaling by coupling protein activity to the cellular redox
state, and moreover influence stability, function, and localization of proteins.
A diverse group of protozoan and metazoan parasites are a major cause of diseases
in humans, such as malaria, African trypanosomiasis, leishmaniasis,
toxoplasmosis, filariasis, and schistosomiasis. RECENT ADVANCES: Human parasites
undergo dramatic morphological and metabolic changes while they pass complex life
cycles and adapt to changing environments in host and vector. These processes are
in part regulated by PTMs of parasitic proteins. In human parasites,
posttranslational cysteine modifications are involved in crucial cellular events
such as signal transduction (S-glutathionylation and S-nitrosylation), redox
regulation of proteins (S-glutathionylation and S-nitrosylation), protein
trafficking and subcellular localization (palmitoylation and prenylation), as
well as invasion into and egress from host cells (palmitoylation). This review
focuses on the occurrence and mechanisms of these cysteine modifications in
parasites. CRITICAL ISSUES: Studies on cysteine modifications in human parasites
are so far largely based on in vitro experiments. FUTURE DIRECTIONS: The in vivo
regulation of cysteine modifications and their role in parasite development will
be of great interest in order to understand redox signaling in parasites.
PMID- 22085118
TI - Early management and long-term outcomes in primary vesico-ureteric reflux.
AB - What's known on the subject? and What does the study add? Despite evolving
understanding of pathogenesis and natural history, controversy exists regarding
management of childhood vesicoureteric reflux. Surgical correction of the reflux
itself may reduce risk of upper tract complications in some but may not in itself
constitute appropriate management of lower tract morbidity in many. This review
examines the evidence for early management and long term outcomes in primary
vesicoureteric reflux. Primary vesico-ureteric reflux is a common condition in
childhood associated with bladder dysfunction and an increased risk of urinary
tract infection. Recent evidence indicates a lower tract functional abnormality
in its pathogenesis. Whilst spontaneous resolution will occur in many, some
patients will go on to develop complications in adulthood including reflux
nephropathy, hypertension, urinary tract infection, bladder dysfunction and
complications of pregnancy. An evolving understanding of the natural history has
seen radical changes in management. Evidence for management of the child with
primary vesico-ureteric reflux is reviewed with a focus on the implications on
long-term outcomes in adulthood.
PMID- 22085119
TI - Urolithiasis--medical therapies.
AB - This review paper provides a summary of medical therapies available for
urolithiasis. The summary includes general medical advice, prophylactic
medications, dissolution therapy and medical expulsion therapy. The paper is
designed to provide a management strategy for all physicians who treat
urolithiasis, from general practitioners, to emergency physicians, to urologists.
OBJECTIVE: * To provide an up to date review of the literature in relation to the
medical management of stone disease. This will encompass prophylaxis, dissolution
therapy and medical expulsion therapy. PATIENTS AND METHODS: * First-time stone
formers do not regularly have a full urine and electrolyte evaluation due to the
low incidence of a reversible metabolic cause. * However, stone disease is common
and over a lifetime urolithiasis can affect up to 10-15% of the population.
RESULTS: * Medical management of stone disease encompasses preventative measures,
medical dissolution and medical expulsion therapy. CONCLUSIONS: * Recurrent stone
formers should have dietary optimization to decrease the risk of further stones.
* Furthermore, the correct use of prophylactic and therapeutic medications can
decrease the morbidity associated with ureteric calculi.
PMID- 22085120
TI - Penile lichen sclerosus (balanitis xerotica obliterans).
AB - What's known on the subject? and What does the study add? The clinical
presentation and complications of lichen sclerosus are well known. What is less
well known is the true incidence of the condition. The published figures are all
based on attendance at general medical clinics or specialist clinics, but it is
likely that the true incidence is much higher than this reported incidence as
many men will not present to the doctor for treatment. The other uncertainty is
the relationship of lichen sclerosus to the subsequent development of cancer of
the penis. As pointed out in the paper, it is likely that between 4% and 8% of
men with this condition will develop squamous cell cancer of the penis. However,
it is unclear if lichen sclerosus itself causes the development of squamous cell
cancer or if it is due to coexistent infection with human papillomavirus. This
review provides a concise summary of the clinical and pathological features of
the disease and describes its current medical and surgical treatment. It brings
together a number of papers which have addressed the association of lichen
sclerosus with squamous cell carcinoma of the penis and shows that the likely
incidence of carcinoma is approximately 4-8% in men with this condition. SUMMARY:
Penile lichen sclerosus, also known as balanitis xerotica obliterans, is a
chronic inflammatory condition of the penis which can occur at all ages. The
inflammation leads to the formation of white plaques most commonly on the
foreskin or penis, and can lead to inability to retract the foreskin or blockage
to the flow of urine. Cancer may occur rarely. Penile lichen sclerosus is a
progressive, sclerosing, inflammatory dermatosis of the glans penis and foreskin
which is of uncertain aetiology. Recent studies have shown a link between lichen
sclerosus and squamous cell carcinoma of the penis. In this review, we discuss
the clinical presentation, pathology and current approach to treatment of this
condition.
PMID- 22085121
TI - Urethral diverticula in females.
AB - What's known on the subject? and What does the study add? This is a review of
urethral diverticula in females. In addition to modes of presentation,
differential diagnosis, complications and surgical management, the increasingly
recognised value of computerised axial imaging, especially with MRI, is
highlighted. Urethral diverticula are rare but under-diagnosed entities that may
cause a variety of urinary and pelvic symptoms in women. They are best
demonstrated by magnetic resonance imaging and micturating cysto-urethrography
prior to transvaginal surgical excision. Although unlikely, the possibility of
malignant transformation should not be forgotten.
PMID- 22085122
TI - Outlet obstruction after sling surgery.
AB - What's known on the subject? and What does the study add? This article provides a
current update on the risk factors, clinical features, and diagnosis on
obstruction after female stress incontinence surgery using the mid-urethral
synthetic sling. Particular attention has been paid to identify the patient at
risk for developing voiding difficulty post-sling surgery, as well as the utility
of urodynamics and various imaging modalities, especially translabial ultrasound,
in the diagnostic process. Urethral obstruction is not an uncommon complication
after sling surgery for female stress urinary incontinence (SUI). This paper
focuses on this complication in the context of the mid-urethral synthetic sling,
which is now the predominate surgical option used by many surgeons in the
treatment of female SUI. The epidemiology and risk factors predisposing to sling
obstruction is reviewed, followed by a description of clinical features used in
supporting the diagnosis. The use of urodynamics in conjunction with translabial
ultrasound as a novel approach to assess the position of the sling and its
relation to the urethra is explained. This is particularly important in the
understanding of why the sling obstructs from an anatomical standpoint. The paper
concludes with a brief overview on treatment options.
PMID- 22085123
TI - Evolution of stone management in Australia.
AB - What's known on the subject? and What does the study add? There is very little
contemporary data regarding stone management in Australia. This study assesses
the impact of technological advances on stone management practises, and raises
questions as to why there is an increasing rate of intervention for stone disease
in Australia. Knowledge of management trends as demonstrated in this paper give
individual surgeons a guideline for contemporary practise in this country.
OBJECTIVE: * To examine trends in the operative management of upper urinary tract
stone disease in Australia over the past 15 years. MATERIALS AND METHODS: * The
Medicare Australia and Australian Institute of Health and Welfare databases were
used to determine the annual number of renal colic presentations and procedural
interventions undertaken for stone disease. RESULTS: * In Australia over the past
15 years, the annual number of procedural interventions for upper urinary tract
stones has increased, primarily due to the rising number of endoscopic procedures
performed. * During this period, shock wave lithotripsy numbers have remained
steady whilst open and percutaneous procedures have been in decline. CONCLUSION:
* The introduction of and subsequent preference for less invasive techniques has
changed the management pathway of patients presenting with stone disease in
Australia. * Further studies are necessary to determine whether this escalation
in endoscopic procedures is due to an increase in the incidence of stone disease,
earlier detection, a lower intervention threshold or a higher retreatment rate.
PMID- 22085124
TI - Repeated radiological radiation exposure in patients undergoing surgery for
urinary tract stone disease in Victoria, Australia.
AB - What's known on the subject? and What does the study add? Radiation exposure is a
cause of cancer. Increasing use of CT scans has increased patient exposure to
ionizing radiation which may increase long-term risk of cancer. Not all scans
performed may be medically necessary. Up to 30% of patients presenting with
renal/ureteric colic have been estimated to receive too much radiation. At least
30% of patients attending for stone surgery have exceeded the recommended annual
radiation dosage. Many Australian radiology providers do not routinely record CT
radiation dose. Radiation dose varies widely between individual patients and
between radiology providers. Image intensifiers may be an additional significant
source of radiation exposure. Implementing guidelines and informing patients of
their cumulative radiation exposure should reduce exposure and risk. SUMMARY: At
least 44% of a group of patients undergoing stone operations have been exposed to
high levels of radiation, mostly from repeated CT scans, over a short period with
possible increased risk of developing cancer. We suggest ways in which that risk
can be reduced. OBJECTIVE: * To assess radiation exposure in patients attending
for surgery for urinary tract stones. PATIENTS AND METHODS: * Fifty-eight
consecutive patients attending for stone surgery were asked to provide their
radiological imaging over the preceding year. * Radiation dosage was recorded
where available. Individual radiology providers were contacted to provide
additional data. RESULTS: * The median number of radiological investigations was
six (range 2-15). * Patients had attended 12 different providers on 22 sites.
Only three providers routinely recorded computed tomography (CT) radiation dose.
* Up to 26 patients (44%) were subjected to at least 50 mGy radiation in the
course of their treatment with at least eight (13.8%) receiving over 100 mGy from
CT scans alone. * CT and image intensifier radiation dose varied considerably
between providers even for the same patients. CONCLUSIONS: * Many patients with
urinary tract stones are subjected to relatively high doses of radiation in the
course of their investigation and treatment. This may have later malignant
consequences. * Many providers in Australia are not recording radiation dose and
patients seem to have many unnecessary scans. * Suggestions on improved
management are made which could significantly reduce radiation exposure.
PMID- 22085125
TI - Complete resolution of urinary bladder condyloma acuminatum following definitive
chemoradiotherapy for anal cancer.
AB - What's known on the subject? and What does the study add? Condyloma acuminatum of
the bladder is a rare affliction and as such its ideal management is unknown.
This manuscript describes curative treatment of severe disease with external beam
radiotherapy concurrent with low dose chemotherapy, an approach which has not
previously been utilised. OBJECTIVES: * To report the first occurrence of
complete and sustained resolution of symptomatic condyloma acuminatum of the
bladder with chemoradiotherapy. * To describe the relevant patient details,
imaging findings and chemoradiation treatment. PATIENT AND METHOD: * A 54-year
old female with extensive condyloma acuminatum of the bladder and a long history
of human papilloma virus related anogenital disease was diagnosed with anal
squamous cell carcinoma. * Cystectomy for the bladder disease was delayed whilst
chemoradiotherapy was administered for the anal cancer. RESULTS: * There was
complete resolution of bladder disease at follow-up which has negated the need
for cystectomy with its associated morbidity. * Condyloma acuminatum has not
recurred in 4 years of follow-up. CONCLUSION: * This is the first published
report to our knowledge of the successful treatment of condyloma acuminatum of
the bladder with chemoradiotherapy. * The individual contributions of
radiotherapy vs chemotherapy cannot be discerned.
PMID- 22085126
TI - Male flexible cystoscopy: does waiting after insertion of topical anaesthetic
lubricant improve patient comfort?
AB - What's known on the subject? and What does the study add? Flexible cystoscopy is
commonly performed. Several studies show that topical anaesthetic lubricant
reduces patient discomfort, particularly with long lubricant retention times (15
25 min). No studies have specifically addressed whether a short, clinically
manageable retention time provides any benefit over immediate cystoscopy. Our
study demonstrates that delay by a 3-min interval provides no benefit to patients
and a more expedient approach can be justified without compromising patient
comfort. LAY-TERM SUMMARY: This prospective comparative trial randomizes 50 men
to undergo flexible cystoscopy with insertion of local anaesthetic lubricant
either immediately prior to cystoscope insertion or after a 3-min interval.
Patients then report discomfort on a visual analogue scale. We show that there is
no benefit to delay and therefore a more expedient approach can be justified.
OBJECTIVE: * To determine whether a short, clinically manageable time delay
between lubrication with topical local anaesthetic and insertion of the flexible
cystoscope, vs immediate insertion, reduces discomfort in male patients. PATIENTS
AND METHODS: * This was a prospective comparative trial. * Male patients
undergoing simple flexible cystoscopy were randomized to undergo cystoscope
insertion either immediately after lubrication with topical lignocaine gel or
after a 3-min delay. * Patient-reported pain of the procedure was recorded on a
visual analogue scale and data were statistically analysed. RESULTS: * Fifty male
patients were randomized to cystoscope insertion either immediately following
lubrication or after a 3-min delay. * Mean pain score in the immediate insertion
group was 11.94 mm (95% confidence interval [CI] 7.53-16.36) compared with 10.52
mm (95% CI 6.24-14.80) in the 3-min delay group. * The mean difference between
the two groups was 1.42 mm (95% CI -4.57 to 7.41, P= 0.64). CONCLUSION: *
Findings show that patient comfort is similar between the two groups and
therefore there is no benefit in delaying insertion by a 3-min interval. *
Flexible cystoscopy is a well tolerated outpatient procedure.
PMID- 22085127
TI - A retrospective study to assess the morbidity associated with transurethral
prostatectomy in patients on antiplatelet or anticoagulant drugs.
AB - What's known on the subject? and What does the study add? There is controversy
over the use of anti-platelet and anti-coagulant drugs in men undergoing TURP
with contradictory evidence on the effect of the drugs on bleeding following the
operation, particularly for aspirin. If anti-platelet or anti-coagulant drugs are
not stopped for TURP, there is an unacceptable burden of bleeding. If the drugs
are stopped there is an unacceptable rate of cardiovascular events. OBJECTIVE: *
To determine the morbidity associated with perioperative management of
antiplatelet (AP) or anticoagulant (AC) medication and transurethral
prostatectomy. PATIENTS AND METHODS: * A retrospective review was performed on
163 consecutive patients undergoing transurethural prostatectomy. * Patients were
grouped according to the perioperative management of AP and AC medications:
control patients not prescribed any AP/AC drugs (group 1), those on AP/AC who had
ceased them perioperatively (group 2) and those whose AP/AC were continued (group
3). * Warfarin was withheld perioperatively for all patients. * Morbidity
associated with increased blood loss and cardiovascular or cerebrovascular events
was recorded and differences were analysed with SPSS version 16 software.
RESULTS: * There was a statistically significant increase in bleeding-associated
morbidity in group 2 (13/65) and group 3 (6/7) compared with the controls (9/91)
(P < 0.01). * Cardiovascular and cerebrovascular events were only seen in group 2
(6/65), statistically significantly higher than the event rate in the other
groups (P <= 0.01). * All cardiovascular or cerebrovascular events occurred in
patients prescribed these medications for secondary prevention. CONCLUSION: *
Patients taking AP or AC medications have a higher rate of perioperative bleeding
compared with those who are not taking any. * However, for patients prescribed AP
or AC medication for secondary prevention, withholding these medications results
in an increased rate of cardiovascular and cerebrovascular complications. *
Careful consideration of the risks and other management options should be
undertaken before performing transurethural prostatectomy in this high risk group
of patients.
PMID- 22085128
TI - We should cease offering turp in favour of alternative surgery options for
anticoagulated patients.
PMID- 22085130
TI - Comparative analysis of three risk assessment tools in Australian patients with
prostate cancer.
PMID- 22085129
TI - Comparative analysis of three risk assessment tools in Australian patients with
prostate cancer.
AB - What's known on the subject? and What does the study add? Prognostic tools, such
as the Cancer of the Prostate Risk Assessment (CAPRA) score and the 1998 Kattan
and 2006 Stephenson nomograms, predicting biochemical recurrence after radical
prostatectomy are widely used for treatment decision making and counselling
patients. However, tools derived in certain cohorts tend to perform less well
when they are applied to populations that are dissimilar in terms of population
or disease characteristics, health systems or treatment practices. Some of the
loss in accuracy of a prognostic tool is a consequence of unknown factors and
hence the performance of a tool when applied to a different population is unknown
and largely unpredictable. This study validates these widely used tools in South
Australian patients treated at three public hospitals. All three tools
discriminated well according to risk of recurrence in these patients. However,
when compared against observed rates of recurrence, it was found that predictions
of recurrence varied widely between the three tools, suggesting that their use in
counselling patients on such risk may not be appropriate. Interestingly, the
oldest of the three tools (Kattan 1998) was the best predictor of absolute risk
of recurrence. In the paper, this is linked to later adoption of updated Gleason
grading, among other factors. SUMMARY: In many countries, prognostic tools, which
draw on the experience of thousands of patients with cancer, are used to predict
cancer outcomes, but accuracy varies. This paper compares the accuracy of three
widely used tools predicting prostate cancer recurrence after surgery in
Australian patients. The results show that all tools were good at predicting
which patients were most likely to experience recurrence and which were least.
However, prediction of absolute risk varied and the oldest tool was the most
accurate. OBJECTIVE: * To compare performance of the CAPRA score and two commonly
used risk assessment nomograms, the 1998 Kattan and the 2006 Stephenson, in an
untested Australian cohort. PATIENTS AND METHODS: * We present data on 635 men
from the South Australian Prostate Cancer Clinical Outcomes Database who
underwent radical prostatectomy between January 1996 and May 2009 and had all
required variables for predicting biochemical recurrence (BCR). * BCR was defined
as prostate-specific antigen >= 0.2 ng/mL or secondary treatment for a rising
prostate-specific antigen. * Accuracy was evaluated using Harrell's concordance
index, plotting calibration curves, and constructing decision analysis curves.
RESULTS: * Concordance indices were high for all three tools: 0.791, 0.787 and
0.744 for the 2006 Stephenson nomogram, CAPRA score and 1998 Kattan nomogram
respectively. * At 3 years, calibration of the tools (agreement between
predicted and observed BCR-free probability) was close to ideal for the 1998
Kattan nomogram, whereas the 2006 Stephenson model underestimated and the CAPRA
model overestimated BCR-free probability. * The 1998 Kattan and 2005 CAPRA tools
performed better than the 2006 Stephenson nomogram across a wide range of
threshold probabilities using decision curve analysis. CONCLUSION: * All three
tools discriminate between patients' risk effectively. * Absolute estimates of
risk are likely to vary widely between tools, however, suggesting that models
should be validated and, if necessary, recalibrated in the population to which
they will be applied. * Recent development does not mean a nomogram is more
accurate for use in a particular population.
PMID- 22085131
TI - Patients' perceptions of surgical registrars' training in the private hospital
setting.
AB - What's known on the subject? and What does the study add? The Australian private
health sector is increasingly being recognised as an opportunity for advanced
surgical training. Little is known about what the patients think of urology
training in the private sector. Patients perceptions on the place of urology
registrars in the Australian private sector are assessed for the first time. We
can confirm that there appears to be acceptance of urology training in the
private sector. OBJECTIVE: * To determine the acceptance of surgical trainees in
a private hospital setting. METHOD: * This was an ethics approved prospective
study using a written survey. * A total of 177 consecutive patients presenting
for private consultation were recruited. A self-administered written survey was
completed by each patient in the waiting room prior to consultation. RESULTS: *
Only 36.8% of subjects (confidence interval 29.6-44.1) initially understood the
term registrar which significantly increased to 75% (confidence interval 68.4
81.6, P < 0.05) after reading explanatory notes. * Perceived differences between
private and public hospitals were choice of surgeon (28%), waiting times for an
operation (28%), standard of care (17%), cost (16%) and waiting times for an
appointment (11%). * Patients' acceptance of registrar involvement with either a
small part of an operation, a large part of an operation, the whole operation and
assistance only were 34%, 16%, 13% and 37%. CONCLUSIONS: * Patients have a poor
understanding of the term registrar. * Acceptance of registrars in private
hospitals is increased if consultant involvement is emphasized. * Surgical
assistance and performance of minor parts of surgery by trainees is well
accepted.
PMID- 22085132
TI - 'One stop' haematuria clinic in Fremantle Hospital, Western Australia: a report
of the first 500 patients.
AB - What's known on the subject? and What does the study add? Haematuria is a symptom
of urologic cancer particularly bladder cancer and timely diagnosis can prevent
disease from progression to a more advanced or incurable stage. The 'One Stop'
Haematuria clinic is the first rapid assessment clinic for haematuria in a public
hospital in Western Australia. The results from this study have confirmed that it
is an efficient and effective model in the streamlined care of patients with
haematuria and provides evidence to support a more widespread adoption of this
model of care. OBJECTIVE: * To report the prospective outcomes and clinic process
for the first 500 patients at a new 'one stop' Haematuria Clinic (OSHC) in a
Western Australian public hospital. PATIENTS AND METHODS: * The first 500
patients who attended the weekly OSHC between May 2008 and February 2011 were
included in this paper. * Patients with haematuria were referred by various
specialties. Gender, age, outcomes following OSHC attendance, diagnoses and wait
times were recorded. RESULTS: * In all, 311 males and 189 females presented to
the clinic with visible haematuria (296 cases) and microscopic haematuria (204
cases). * Sixty-six new cancers (13.2%) were diagnosed, 63 urological and three
non-urological. * Fifty-one patients (10.2%) were diagnosed with transitional
cell carcinoma of the bladder. Further breakdown of staging for bladder
transitional cell carcinoma diagnoses were stage Ta (23 patients), stage T1 (21
patients) and stage 2-4 (seven patients). * Sixty-nine patients (13.8%) were
diagnosed with urological pathologies requiring surgery. Thirty-four patients
(6.8%) were followed up by the nurse practitioner or continence advisors. In all,
61.2% of patients were discharged after a single visit to the OSHC. * Excluding
those requiring surgery only 3.4% patients required further urologist follow-up.
CONCLUSION: * The results have demonstrated that the first OSHC in a public
Western Australian hospital is an efficient and effective model for the
streamlined care of patients with haematuria. * We encourage that similar models
are adopted in other public hospitals in the region.
PMID- 22085133
TI - Prostate cancer incidence in Australia correlates inversely with solar radiation.
AB - What's known on the subject? and What does the study add? Increased sun exposure
and blood levels of vitamin D have been postulated to be protective against
prostate cancer. This is controversial. We investigated the relationship between
prostate cancer incidence and solar radiation in non-urban Australia, and found a
lower incidence in regions receiving more sunlight. In landmark ecological
studies, prostate cancer mortality rates have been shown to be inversely related
to ultraviolet radiation exposure. Investigators have hypothesised that
ultraviolet radiation acts by increasing production of vitamin D, which inhibits
prostate cancer cells in vitro. However, analyses of serum levels of vitamin D in
men with prostate cancer have failed to support this hypothesis. This study has
found an inverse correlation between solar radiation and prostate cancer
incidence in Australia. Our population (previously unstudied) represents the
third group to exhibit this correlation. Significantly, the demographics and
climate of Australia differ markedly from those of previous studies conducted on
men in the United Kingdom and the United States. OBJECTIVE: * To ascertain if
prostate cancer incidence rates correlate with solar radiation among non-urban
populations of men in Australia. PATIENTS AND METHODS: * Local government areas
from each state and territory were selected using explicit criteria. Urban areas
were excluded from analysis. * For each local government area, prostate cancer
incidence rates and averaged long-term solar radiation were obtained. * The
strength of the association between prostate cancer incidence and solar radiation
was determined. RESULTS: * Among 70 local government areas of Australia, age
standardized prostate cancer incidence rates for the period 1998-2007 correlated
inversely with daily solar radiation averaged over the last two decades.
CONCLUSION: * There exists an association between less solar radiation and
higher prostate cancer incidence in Australia.
PMID- 22085134
TI - Reporting a unique example of electronic bistability observed in the form of
valence tautomerism with a copper(II) helicate of a redox-active nitrogenous
heterocyclic ligand.
AB - Valence tautomeric compounds involving nondixolene-type ligands are rare. The
triple-helicate copper(II) complex [Cu(II)(2)(L)(3)](ClO(4))(4).3CH(3)CN (1)
containing a redox-active N-heterocyclic ligand (L) has been prepared and
displays VT equilibrium in solution, as established by electronic spectroscopy,
electron paramagnetic resonance spectroscopy, and cyclic and differential pulse
voltammetry carried out at variable temperatures. The process involves
intramolecular transfer of an electron from one of the L ligands to a copper(II)
center, leading to the oxidation of L to an L(*+) radical with concomitant
reduction of the Cu(II) center to Cu(I), as shown by the equilibrium
[Cu(II)Cu(I)L(*+)L(2)](4+) ? [Cu(II)(2)L(3)](4+).
PMID- 22085136
TI - Mechanisms for the bone anabolic effect of parathyroid hormone treatment in
humans.
AB - Intermittent low-dose treatment with parathyroid hormone (PTH) analogues has
become widely used in the treatment of severe osteoporosis. During normal
physiological conditions, PTH stimulates both bone formation and resorption, and
in patients with primary hyperparathyroidism, bone loss is frequent. However,
development of the biochemical measurement of PTH in the 1980s led us to
understand the regulation of PTH secretion and calcium metabolism which
subsequently paved the way for the use of PTH as an anabolic treatment of
osteoporosis as, when given intermittently, it has strong anabolic effects in
bone. This could not have taken place without the basic understanding achieved by
the biochemical measurements of PTH. The stimulatory effects of PTH on bone
formation have been explained by the so-called 'anabolic window', which means
that during PTH treatment, bone formation is in excess over bone resorption
during the first 6-18 months. This is due to the following: (1) PTH up-regulates
c-fos expression in bone cells, (2) IGF is essential for PTH's anabolic effect,
(3) bone lining cells are driven to differentiate into osteoblasts, (4)
mesenchymal stem cells adhesion to bone surface is enhanced, (5) PTH has a direct
antiapoptotic effect on osteoblasts and (6) when PTH interferes with remodelling,
the osteoblasts over-compensate, and (7) PTH also decreases sclerostin levels,
thereby removing inhibition of Wnt signalling which is required for PTH's
anabolic actions. Thus, the net formative effect of PTH given in intermittent
treatment emerges through a complex network of pathways. In summary, the effects
of PTH on bone turnover are dependent on the mode and dose of administration and
studies investigating the mechanisms underlying this effect are reviewed in this
article.
PMID- 22085137
TI - Novel phenoxyalkylcarboxylic acid derivatives as hypolipidaemic agents.
AB - Novel phenoxyalkylcarboxylic acid derivatives based on the natural scaffolds,
flavonoids, or resveratrol were designed, synthesized, and evaluated for
hypolipidaemic activity. Among the compounds, 30b lowered the triglycerides by
48.5% (P < 0.05) and total cholesterol by 44.2% (P < 0.05), respectively, and was
more effective than the reference drug fenofibric acid in a Triton WR-1339
induced hyperlipidaemic mice model orally (300 mg/kg body weight). 30b also
showed 59.4% triglycerides lowering in an alloxan-induced diabetic mice model
orally (150 mg/kg body weight). Receptor docking studies revealed that compound
30b could interact with the amino acid residues in the ligand-binding domain
essential for the activation of the PPARalpha. The results indicate that
resveratrol should be a better scaffold to derive a new class of hypolipidaemic
agents in comparison with a flavonoid scaffold.
PMID- 22085135
TI - Reduced fronto-cerebellar functional connectivity in chronic alcoholic patients.
AB - BACKGROUND: Alcohol dependence is associated with neurocognitive deficits related
to neuropathological changes in structure, metabolism, and function of the brain.
Impairments of motor functioning in alcoholics have been attributed to well
characterized neuropathological brain abnormalities in cerebellum. METHODS: Using
functional magnetic resonance imaging (fMRI), we studied in vivo the functional
connectivity between cerebellar and cortical brain regions. Participants were 10
uncomplicated chronic alcoholic patients studied after 5 to 7 days of abstinence
when signs of withdrawal had abated and 10 matched healthy controls. We focused
on regions of prefrontal, frontal, temporal, and parietal cortex that exhibited
an fMRI response associated with nondominant hand finger tapping in the patients
but not in the controls. We predicted that fronto-cerebellar functional
connectivity would be diminished in alcoholics compared with controls. RESULTS:
Functional connectivity in a circuit involving premotor areas (Brodmann Area 6)
and Lobule VI of the superior cerebellum was reduced in the patients compared
with the controls. Functional connectivity was also reduced in a circuit
involving prefrontal cortex (Brodmann Area 9) and Lobule VIII of the inferior
cerebellum. Reductions in connectivity were specific to fronto-cerebellar
circuits and were not found in other regions examined. CONCLUSIONS: Our findings
show a pattern in recently abstinent alcoholic patients of specific deficits in
functional connectivity and recruitment of additional brain regions for the
performance of a simple finger-tapping task. A small sample, differences in
smoking, and a brief abstinence period preclude definitive conclusions, but this
pattern of diminished fronto-cerebellar functional connectivity is highly
compatible with the characteristic neuropathological lesions documented in
alcoholics and may reflect brain dysfunction associated with alcoholism.
PMID- 22085138
TI - Synthesis, antibacterial, antielastase, antiurease and antioxidant activities of
new methoxy substitued bis-1,2,4-triazole derivatives.
AB - The methoxy substitued two novel bis triazole-schiff bases (6 a-b) were
synthesized with 4-amino-3,5-diethyl-4H-1,2,4-triazole and various bis-aldehydes.
Their amine derivatives prepared by reduced with NaBH(4) (5 a-b). The obtained
products 6 a-b and 7 a-b were identified by FT-IR, (1)H-NMR, (13)C-NMR. The bis
triazole-schiff bases and amine derivatives were tested for antimicrobial
activity using the agar diffusion technique against 11 bacteria. The synthesized
compounds (6 a-b and 7 a-b) were screened for their antielastase, antiurease and
antioxidant activities. The resuts showed that the synthesized compounds (6 a-b
and 7 a-b) had effective antielastase and antiurease activities.
PMID- 22085139
TI - Synthesis and evaluation of substrate analogue inhibitors of trypanothione
reductase.
AB - Trypanothione reductase (TR) is found in the trypanosomatid parasites, where it
catalyses the NADPH-dependent reduction of the glutathione analogue,
trypanothione, and is a key player in the parasite's defenses against oxidative
stress. TR is a promising target for the development of antitrypanosomal drugs;
here, we report our synthesis and evaluation of compounds 3-5 as low micromolar
Trypanosoma cruzi TR inhibitors. Although 4 and 5 were designed as potential
irreversible inhibitors, these compounds, as well as 3, displayed reversible
competitive inhibition. Compound 3 proved to be the most potent inhibitor, with a
K(i) = 2 uM.
PMID- 22085140
TI - Selenium-catalyzed regioselective cyclization of unsaturated carboxylic acids
using hypervalent iodine oxidants.
AB - A new and convenient selenium-catalyzed regioselective cyclization of gamma,delta
unsaturated carboxylic acids to the corresponding 3,6-dihydro-2H-pyran-2-ones is
described. The cyclization products have been obtained in good to excellent
yields using diphenyl diselenide as a catalyst and
[bis(trifluoroacetoxy)iodo]benzene as a stoichiometric oxidant.
PMID- 22085141
TI - Intravenous immunoglobulin to treat severe atopic dermatitis in children: a case
series.
AB - Severe cases of atopic dermatitis (AD) may require systemic immunosuppression to
achieve disease control. Unfortunately, some cases continue to be refractory to
management or develop unacceptable adverse effects. There are limited reports of
the use of intravenous immunoglobulin (IVIg) in the treatment of severe AD, but
results are inconsistent. In a retrospective study, we report 10 children with
severe AD refractory to systemic immunosuppression and maximal topical therapy
who were treated using IVIg. The children received monthly IVIg for an average of
24 months. This resulted in a significant improvement in symptoms, with fewer
infection-related exacerbations and hospitalizations, allowing systemic
immunosuppression to be tapered. The effect was associated with a significant
decrease in serum immunoglobulin E and was sustained after cessation of IVIg in
50% of cases. No significant side effects attributable to the IVIg infusions were
noted. In this cohort of children with severe AD and recurrent cutaneous
infections, IVIg provided an effective treatment with minimal side effects and
significant benefits in school attendance and quality of life.
PMID- 22085142
TI - The timing of Spanish marriages a socio-statistical study.
AB - Abstract A sample survey of 2,012 married and single women aged 18-59 in Madrid
was in part focused on causes of late marriage, which has for a long time been
characteristic of Spain. It was found that age at marriage was not related to a
variety of socio-economic measures, to parental restrictions on courtship, nor to
birth order or number of siblings. Direct economic motivations appear to have
affected length of courtship but scarcely age at marriage. Ages at marriage
differ in different areas of Madrid, even when key demographic and social
variables are controlled; and evidence from a sub-sample of interviews suggests
that it may be a characteristic of different families. Along with clear evidence
of normative patterns supporting long courtships and late marriages, such data
point to the potential importance of sociological variables, and to the need for
similar research on determinants of men's ages at marriage.
PMID- 22085143
TI - Complementarity of work and fertility among young American mothers.
AB - Abstract In this paper information about cohorts of young women in the National
Longitudinal Survey of Work Experience is used to examine the extent to which
women maintain a continuity of work attachment during their early years of
childbearing, the years when traditionally they were most likely to withdraw from
the work force. The results indicate that women who maintain closer ties to the
work force immediately before and after their first birth are also more likely to
be employed in 1978 - between five and ten years after their first birth -
independently of intervening fertility events and other labour supply factors
considered to be important predictors of work. The notion that work and fertility
are increasingly becoming complementary activities for American women is
supported by these data.
PMID- 22085144
TI - The timing of entry into motherhood in Asia: A comparative perspective.
AB - Abstract This paper examines the determinants of age at first birth from an
explicitly comparative perspective in the following Asian societies: Hong Kong,
Indonesia, Malaysia, the Philippines, South Korea, Sri Lanka, Taiwan and
Thailand. The key structural variables have the same (or similar) effects in each
of the groups examined. Education through primary school and beyond has a strong
delaying effect on age at first birth in all eight populations. Difference of
rural-urban origin does not affect the timing of motherhood in any of these
societies. We also find a remarkably strong effect of shared cultural heritage.
All the Confucian groups tend to behave similarly, as do the Muslim and Hindu
groups.
PMID- 22085145
TI - An analysis of indirect mortality estimation.
AB - Abstract In this paper the robustness of Brass's child-survivorship indirect
mortality estimation technique is investigated. An analytical method is developed
for studying the error or bias caused in indirect mortality estimates by poor
data, badly chosen model functions, and specific demographic assumptions that are
often violated in practice. The resulting analytical expressions give insight
into the rationale of indirect methods, the conditions under which they are
robust, and the magnitude of errors that occur when specific assumptions are
violated.
PMID- 22085146
TI - On the estimation of the distribution of desired family size for a synthetic
cohort.
AB - Abstract Current procedures for the estimation of the desired family size
distribution from parity-specific data on proportions of women wanting another
child are shown to be biased. A new procedure is proposed. This procedure is
based on the underlying relationship between parity and desired family size as
measured by the extent to which members of the population implement their
fertility preferences. Numerical examples are given.
PMID- 22085150
TI - Correlates of the trend of cesarean section rates in twin pregnancies.
AB - OBJECTIVE: To examine the population-based trend for cesarean births in twin
pregnancies for the last 13 years in Slovenia, and to find correlates for this
trend. METHODS: We evaluated data from the Slovenian national perinatal
information system (NPIS) of all twin pairs born at >=24 weeks during the period
1997-2009 (n=3916 pairs). RESULTS: We noted a significant and steady increase of
about 1.1% cesarean births/year, concomitant with significant increased birth
rates at 34-36 weeks, but with a significant decrease over time in neonatal
mortality. These trends were neither associated with any particular maternal
characteristic nor with increased neonatal morbidity. CONCLUSIONS: An association
exists between an increased cesarean birth rate, increased preterm births at 33
36 weeks and concomitant significantly reduced neonatal mortality in twins.
PMID- 22085151
TI - Total serum bilirubin level in umbilical cord blood and respiratory distress
syndrome in very low birth weight infants.
AB - AIMS: To explore the association between total serum bilirubin (TSB) level in
umbilical cord blood (UCB) and the occurrence and/or severity of respiratory
distress syndrome (RDS) in very low birth weight (VLBW) infants. METHODS: We
performed a prospective study of 579 VLBW infants. The mean TSB level in UCB (1.8
mg/dL) was used to divide the cohort into two groups: neonates with levels <1.8
mg/dL and those with levels >1.8 mg/dL. Demographic and clinical characteristics
of the 2 groups, including diagnosis of RDS, need for ventilation, peak fraction
of inspired oxygen (FiO2), oxygenation index (OI), and duration of respiratory
support, were compared. RESULTS: Three hundred and twenty-four infants were
included in the low-TSB group and 255 infants were included in the high-TSB
group. RDS was less frequent in the high TSB group than in the low one (46.3% vs.
56.6%, P=0.01). A negative association between TSB level in UCB and the
occurrence of RDS [odds ratio (OR)=0.620; 95% confidence interval (CI) 0.440
0.873, P=0.006] was observed. However, lower TSB in UCB was not associated with
the maximum FiO2, OI, or duration of mechanical ventilation. CONCLUSIONS: VLBW
infants with low TSB levels in UCB were more likely to develop RDS. However, in
conjunction with surfactant replacement therapy, no correlation was found between
TSB levels in UCB and the severity of RDS. The negative association between high
TSB in UCB and RDS warrants further investigation.
PMID- 22085152
TI - Prediction of imminent preterm delivery in women with preterm premature rupture
of membranes.
AB - AIMS: To develop a model based on non-invasive clinical parameters to predict the
probability of imminent preterm delivery (delivery within 48 h) in women with
preterm premature rupture of membranes (PPROM), and to determine if additional
invasive test results improve the prediction of imminent delivery based on the
non-invasive model. METHODS: Transvaginal ultrasonographic assessment of cervical
length was performed and maternal serum C-reactive protein (CRP) and white blood
cell (WBC) count were determined immediately after amniocentesis in 102
consecutive women with PPROM at 23-33+6 weeks. Amniotic fluid (AF) obtained by
amniocentesis was cultured and interleukin-6 (IL-6) levels and WBC counts were
determined. RESULTS: Serum CRP, cervical length, and gestational age were chosen
for the non-invasive model (model 1), which has an area under the curve (AUC) of
0.804. When adding AF IL-6 as an invasive marker to the non-invasive model, serum
CRP was excluded from the final model (model 2) as not significant, whereas AF IL
6, cervical length, and gestational age remained in model 2. No significant
difference in AUC was found between models 1 and 2. CONCLUSIONS: The non-invasive
model based on cervical length, gestational age, and serum CRP is highly
predictive of imminent delivery in women with PPROM. However, invasive test
results did not add predictive information to the non-invasive model in this
setting.
PMID- 22085153
TI - Fetal and neonatal outcome in patients with anterior abdominal wall defects
(gastroschisis and omphalocele).
AB - Fetuses with gastroschisis and omphalocele frequently show intrauterine growth
restriction (IUGR). The aim of our study was to evaluate the intrauterine course
of IUGR and the neonatal outcome in a large patient collective. We
retrospectively included all euploid fetuses with gastroschisis and omphalocele
between 2001 and 2009 in a single tertiary center. Patients' characteristics,
serial ultrasound examinations and neonatal outcomes were evaluated. From 39
fetuses (28 gastroschisis, 11 omphalocele) 61.5% had IUGR <5th percentile and
15.4% had IUGR<10th percentile. The rate of IUGR did not differ significantly
between the two groups during pregnancy. Newborns with gastroschisis showed an
average weight of 2386 g, and those with omphalocele showed an average weight of
3148 g (P<0.001). Nevertheless, newborns with omphalocele were more frequently
eutrophic than those with gastroschisis (88.8% vs. 52.2%, P=0.079). On average,
only one surgical intervention was necessary for the definitive repair of the
defect (65.5% of the newborns). Children with gastroschisis remained hospitalized
nearly twice as long as children with an omphalocele (38 vs. 20 days). IUGR rates
during pregnancy did not differ significantly between fetuses with gastroschisis
and omphalocele although patients with defects of omphalocele were more
frequently eutrophic at birth. Most newborns needed only one operation for
definitive surgical treatment. The mean hospitalization time after this
intervention was 4 weeks.
PMID- 22085154
TI - Risk factors for preterm delivery with placenta previa.
AB - AIMS: To identify factors associated with preterm delivery in cases of
sonographically identified placenta previa. METHODS: Pregnancies with sonographic
evidence of placenta previa at >= 28 weeks were identified. Demographic
information, antepartum course, and delivery information were extracted from
electronic medical records. Statistical analysis was performed with Fisher's
exact test, Mann-Whitney U, Spearman's rho (correlation), and logistic
regression. Continuous data are presented as median (interquartile range).
RESULTS: Of 113 singleton pregnancies with placenta previa, 54 (48%) delivered at
term and 59 (52%) delivered preterm. Fifty-one (45%) experienced antepartum
bleeding at a median gestational age of 31 weeks (29-33 weeks) with a median
interval of 20 days (11-33 days) between first bleeding episode and delivery.
Women with antepartum bleeding were more likely to be delivered for hemorrhage
(36 of 51 vs. 8 of 62, P<0.001) and delivered emergently (40 of 51 vs. 14 of 62,
P<0.001). Antepartum bleeding before 34 weeks had a positive predictive value of
88% for preterm birth and 83% for emergent delivery. CONCLUSION: In pregnancies
with placenta previa, antepartum bleeding is a strong predictor of preterm
delivery.
PMID- 22085155
TI - Novel dinuclear platinum(II) complexes containing mixed nitrogen-sulfur donor
ligands.
AB - A series of novel dinuclear platinum(II) complexes were synthesized containing a
mixed nitrogen-sulfur donor bidentate chelate system in which the two platinum
centers are connected by an aliphatic chain of variable length. The bidentate
chelating ligands were selected to stabilize the complex toward decomposition.
The pK(a) values and reactivity of the four synthesized complexes, namely,
[Pt(2)(S(1),S(4)-bis(2-pyridylmethyl)-1,4-butanedithioether)(OH(2))(4)](4+)
(4NSpy), [Pt(2)(S(1),S(6)-bis(2-pyridylmethyl)-1,6
hexanedithioether)(OH(2))(4)](4+) (6NSpy), [Pt(2)(S(1),S(8)-bis(2-pyridylmethyl)
1,8-octanedithioether)(OH(2))(4)](4+) (8NSpy), and [Pt(2)(S(1),S(10)-bis(2
pyridylmethyl)-1,10-decanedithioether)(OH(2))(4)](4+) (10NSpy), were
investigated. This system is of special interest because only little is known
about the substitution behavior of dinuclear platinum complexes that contain a
bidentate chelate that forms part of the aliphatic bridging ligand. Moreover, the
ligands as well as the dinuclear complexes were examined in terms of their
cytotoxic activity, and the 10NSpy complex was found to be active.
Spectrophotometric acid-base titrations were performed to determine the pK(a)
values of all the coordinated water molecules. The substitution of coordinated
water by thiourea was studied under pseudo-first-order conditions as a function
of nucleophile concentration, temperature, and pressure, using stopped-flow
techniques and UV-vis spectroscopy. The results for the dinuclear complexes were
compared to those for the corresponding mononuclear reference complex
[Pt(methylthiomethylpyridine)(OH(2))(2)](2+) (Pt(mtp)), by which the effect of
the increasing aliphatic chain length of the bridged complexes could be
investigated. The results indicate that there is a clear interaction between the
two platinum centers, which becomes weaker as the chain length between the metal
centers increases. Furthermore, differences and similarities of the N,S-system
were compared to the corresponding dinuclear N,N-system studied previously in our
group. In addition, quantum chemical calculations were performed to support the
interpretation and discussion of the experimental data.
PMID- 22085157
TI - New updated GRAC Fifth Edition with searchable online version Launch of new
portal Guide to Pharmacology in association with NC-IUPHAR Transporter-Themed
Issue.
PMID- 22085158
TI - Transporters are an under-developed therapeutic target. Discuss.
PMID- 22085159
TI - Do hairdressers in Denmark have their hand eczema reported as an occupational
disease? Results from a register-based questionnaire study.
AB - BACKGROUND: Occupational hand eczema is common in hairdressers, owing to wet work
and chemicals. OBJECTIVES: To estimate whether hairdressers in Denmark have their
hand eczema reported as an occupational disease and to clarify the reasons for
not reporting. METHODS: A register-based study was performed, comprising trained
hairdressers (n = 7840), using a self-administered postal questionnaire including
questions on hand eczema and it being reported as an occupational disease. A
response rate of 67.9% (n = 5324) was obtained. RESULTS: Overall, 2186
respondents ever had hand eczema; 71.3% were apprentices at the time of hand
eczema onset. The majority (61.9%) had had hand eczema several times and 21.3%
(almost) all of the time, but only 20.7% had reported their hand eczema as being
occupational to the National Board of Industrial Injuries (Denmark). A positive
association between severity of hand eczema and filing a report was found (odds
ratio 19.2; 95% confidence interval 8.18-45.06). The main reasons for not
reporting were 'I thought it would eventually get better' (40.4%) and 'My doctor
didn't tell me it was possible to report it' (26.6%). CONCLUSIONS: Hand eczema is
considerably under-reported as an occupational disease; the perception of hand
eczema among hairdressers and the lack of reporting from doctors are the main
reasons for this.
PMID- 22085161
TI - Gender-specific clinicopathological features and survival in patients with renal
cell carcinoma (RCC).
AB - There has been some controversy about the gender differences in survival in
patients with RCC. Korean women with RCC had a lower proportion of clear cell
histology and a higher proportion of chromophobe histology. This histological
difference might have driven the better survival rates in Korean women.
OBJECTIVE: To assess whether there are gender-specific differences in the
clinicopathological features and prognosis in a large cohort of Korean patients
with renal cell carcinoma (RCC) compared with Western patients. PATIENTS AND
METHODS: Medical records of 1616 patients clinically diagnosed with RCC who
underwent partial or radical nephrectomy were analysed between January 1988 and
July 2009. In all, 1508 patients diagnosed with RCC based on pathology reports
were included for evaluation. The mean follow-up period was 73.1 months. The
gender-specific differences in the clinicopathological features and survival
rates were evaluated using the Kaplan-Meier method and Cox proportional hazards
models. RESULTS: Of the 1508 patients, 439 (29.1%) were women. Korean men had a
higher proportion of clear cell histology (84.3% vs 72.0%, P < 0.001) and a lower
percentage of chromophobe histology (5.2% vs 12.5%, P < 0.001) than Korean women.
There were no gender-specific differences in pathological T stage, positive lymph
nodes or distant metastases, or Fuhrman's nuclear grade (P > 0.05). For both
cancer-specific and overall survival, Kaplan-Meier curves showed that women had a
better survival rate than men (P = 0.039 and P = 0.015, respectively).
CONCLUSIONS: Korean women with RCC had significantly better survival rates than
Korean men. Additionally, Korean women with RCC had a lower proportion of clear
cell histology and a higher proportion of chromophobe histology. This
histological difference might have driven the better survival rates in Korean
women.
PMID- 22085162
TI - Hypothesis-based weight-of-evidence evaluation of the neurodevelopmental effects
of chlorpyrifos.
AB - We used a hypothesis-based weight-of-evidence (HBWoE) approach to analyze the
evidence regarding the hypothesis that chlorpyrifos can cause neurodevelopmental
effects below the threshold for inhibition of acetylcholinesterase activity in
the nervous system, which is an established mode of action for chlorpyrifos
neurotoxicity. The epidemiology data do not consistently demonstrate associations
between chlorpyrifos exposure and neurodevelopmental toxicity, and the animal
toxicity data do not provide clear evidence that neurodevelopmental effects occur
at doses below the threshold for acetylcholinesterase inhibition. The alternative
mechanisms proposed to underlie potential neurodevelopmental effects in humans
have been observed in the absence of acetylcholinesterase inhibition in a few in
vitro studies but not in the developing brain in vivo. We provide perspective on
the HBWoE approach compared with frameworks developed by the United States
Environmental Protection Agency and the European Center for Ecotoxicology and
Toxicology of Chemicals. We suggest that our HBWoE approach offers advantages
over these frameworks in providing a better perspective on how to integrate all
of the relevant data and how to use each line of evidence to inform the
integration of other kinds of data or compare alternative hypotheses. Based on an
HBWoE analysis, we conclude that a causal association between chlorpyrifos
exposure and neurodevelopmental effects in the absence of acetylcholinesterase
inhibition in the brain is not plausible in humans, and the few positive
associations observed in epidemiology studies are most likely attributable to
alternative explanations.
PMID- 22085160
TI - Acute varicella zoster encephalitis without evidence of primary vasculopathy in a
case-series of 20 patients.
AB - Varicella zoster virus (VZV) is a leading cause of acute viral encephalitis but
little is known about its clinical, biological and imaging features. Furthermore,
the most favourable treatment regimen has not been determined. We studied a
prospective cohort of 20 HIV-negative patients presenting with acute VZV
encephalitis caused by primary infection or reactivation. VZV was identified in
16 of 20 cases by PCR detection of the DNA in the cerebrospinal fluid. The four
remaining cases occurred during or soon after a VZV rash. The median age of the
17 adults was 76 (19-86) years; the three other patients were children (0.5-5
years). Three patients were immunocompromised. Nine adult patients presented with
a rash. Eighteen patients presented with fever and an acute encephalitic
syndrome: diffuse brain dysfunction, focal neurological signs, seizures and
cranial nerve palsies. Three patients presented with either ventricular or
subdural haemorrhage, one with myelitis, and one with asymptomatic stenosis of
the middle cerebral artery. The imaging was either normal or revealed non
specific abnormalities such as cortical atrophy but no evidence of stroke. All
patients were given acyclovir at various dosages and durations but the case
fatality rate remained high (15%) and sequelae were frequently observed either at
discharge or at follow-up 3 years later.
PMID- 22085164
TI - Eradicating polio: persisting challenges beyond endemic countries.
PMID- 22085165
TI - Current challenges for the creation of effective vaccines against drugs of abuse.
PMID- 22085167
TI - Rotarix(r): vaccine performance 6 years postlicensure.
AB - Rotarix((r)) was first licensed in 2004 and rapidly introduced into private and
public markets worldwide. In a previous 2009 article, we reviewed the impact of
rotavirus-associated disease, the rationale for different vaccines, prelicensure
efficacy studies and cost-effectiveness studies for Rotarix. As of September
2011, Rotarix had been licensed in 123 countries in the Americas, Europe,
Australia, Africa and Asia, of which 27 have incorporated the vaccine into
national or regional immunization programs. The current review intends to provide
the reader with further insight into this vaccine, focusing mainly on the new
information obtained after a 6-year postlicensure period. This review will
provide only a brief summary of prelicensure studies extensively discussed in the
previous publication and refer, in more depth, to the worldwide experience with
the vaccine, vaccine impact, and safety observed in effectiveness and
observational studies, including a particular analysis on protection against
rotavirus G2P[4].
PMID- 22085168
TI - BiovaxID(r): a customized idiotype vaccine for the treatment of B-cell lymphoma.
AB - Most patients with B-cell lymphoma face an often incurable disease, particularly
those diagnosed with an indolent subtype. The addition of passive immunotherapy
to old and new chemotherapy regimens has improved both response rates and disease
free survival, leading in many cases to an extended overall survival. However, a
cure remains elusive in most cases. For this reason, the patient- and tumor
specific idiotype, that is the collection of epitopes exclusively presented by
the tumor clone's surface immunoglobulin, has been extensively studied as a
privileged target for vaccine therapy, aiming at preventing disease re-occurrence
after standard treatment. BiovaxID((r)) (Biovest International, FL, USA), the
most clinically advanced among such therapeutic vaccines, finds itself at a
crucial turning point when it comes to further development. Both clinical trials
in which it has been formally employed have shown intriguing results. Independent
studies using slightly different versions of a conceptually identical vaccine
provided all proofs of principle required to ascertain the vaccine's value -
biological and clinical efficacy as well as clinical benefit. However, all these
data have failed to bring an idiotype vaccine to the market owing to reasons that
often have very little to do with the product itself. In fact, some successful
studies were not conceived with this goal in mind, while others simply did not
enroll enough patients to convincingly make their case for regulatory approval.
It is likely that one or more new clinical trials will have to be successfully
completed to reach the ultimate goal - that is, to make BiovaxID available to
most patients and to adequately position it in the very crowded therapeutic
algorithm of B-cell lymphoma.
PMID- 22085169
TI - Vaccine-preventable diseases and their impact on Latin American children.
AB - A joint meeting of the Latin American Society of Pediatric Infectious Diseases,
the Dominican Society of Pediatrics and the Dominican Society of Vaccinology was
held in the Dominican Republic. This report highlights the most relevant issues
that were presented and discussed about vaccine-preventable diseases, their
epidemiology and impact in Latin American children, the need to move forward and
expand national immunization programs and the economical and political obstacles
to introduce 'new' vaccines. These include those against Streptococcus
pneumoniae, rotavirus, hepatitis A, varicella, Neisseria meningitidis, Bordetella
pertussis, influenza and human papillomavirus, among others.
PMID- 22085170
TI - Do new TB vaccines have a place in the Expanded Program on Immunization?
AB - Several new TB vaccines are currently undergoing clinical trials. Among the most
promising is a vaccine based upon the modified vaccinia virus Ankara-expressing
mycobacterial antigen 85A (MVA85A). Given the widespread use of the current TB
vaccine, BCG, many of the new TB vaccines are being tested for their ability to
boost BCG-induced immunity. The introduction of a new TB vaccine into routine use
would be facilitated by its coadministration with other vaccines as a part of the
WHO's Expanded Program on Immunization (EPI). In the article under review the
authors tested the immunogenicity of MVA85A given alone or in combination with
EPI vaccines to infants in The Gambia. Antigen 85A-specific production of IFN
gamma by peripheral blood cells was reduced significantly in infants coimmunized
with EPI vaccines compared with infants who received the MVA85A vaccine alone.
This study highlights a potentially important issue, which should be addressed
prior to the introduction of new TB vaccines and, perhaps, other vaccines that
require the induction of a so-called Type 1 T-cell-mediated immune response.
PMID- 22085171
TI - Salmonella expressing detoxified lipopolysaccharide is immunogenic and protective
both as an attenuated vaccine and for delivery of foreign antigens.
AB - The construction of safe and protective vaccines, derived from human pathogens
that have been genetically modified to remove pathogenicity, is often easier to
accomplish on paper than it is in the laboratory. Kong and colleagues have
pursued a clever strategy to reduce the reactogenicity of attenuated Salmonella
oral vaccines by genetically modifying the surface lipopolysaccharide to lower
endotoxic activity. The resulting candidate vaccine strains were highly reduced
in virulence yet were able to confer protection in a mouse model against
challenge with virulent Salmonella. Remarkably, these strains could also be
further modified to present foreign antigens from unrelated human pathogens and
again confer protection against heterologous challenge. This work brings
important new tools to bear on solving the problem of creating efficacious
attenuated bacterial vaccines that maximize both safety and immunogenicity in
clinical trials.
PMID- 22085172
TI - Influenza viral neuraminidase: the forgotten antigen.
AB - Influenza is the most common cause of vaccine-preventable morbidity and mortality
despite the availability of the conventional trivalent inactivated vaccine and
the live-attenuated influenza vaccine. These vaccines induce an immunity
dominated by the response to hemagglutinin (HA) and are most effective when there
is sufficient antigenic relatedness between the vaccine strain and the HA of the
circulating wild-type virus. Vaccine strategies against influenza may benefit
from inclusion of other viral antigens in addition to HA. Epidemiologic evidence
and studies in animals and humans indicate that anti-neuraminidase (NA) immunity
will provide protection against severe illness or death in the event of a
significant antigenic change in the HA component of the vaccine. However, there
is little NA immunity induced by trivalent inactivated vaccine and live
attenuated influenza vaccine. The quantity of NA in influenza vaccines is not
standardized and varies significantly among manufacturers, production lots and
tested strains. The activity and stability of the NA enzyme is influenced by
concentration of divalent cations. If immunity against NA is desirable, a better
understanding of how the enzymatic properties affect the immunogenicity is
needed.
PMID- 22085173
TI - European Vaccine Initiative: lessons from developing malaria vaccines.
AB - For over 10 years, the European Vaccine Initiative (EVI; European Malaria Vaccine
Initiative until 2009) has contributed to the development of 24 malaria candidate
vaccine antigens with 13 vaccine candidates being advanced into Phase I clinical
trials, two of which have been transitioned for further clinical development in
sub-Saharan Africa. Since its inception the EVI organization has operated as a
funding agency, but with a clear service-oriented strategy. The scientific
successes and difficulties encountered during these years and how these efforts
have led to standardization and harmonization in vaccine development through
large-scale European consortia are discussed. In the future, the EVI will remain
instrumental in the pharmaceutical and clinical development of vaccines against
'diseases of poverty' with a continued focus on malaria. EVI will continue to
focus on funding and managing preclinical evaluation up to Phase I/II clinical
trials and strengthening the vaccine-development infrastructure in Europe, albeit
with a global orientation.
PMID- 22085174
TI - Hepatitis B vaccine effectiveness in the face of global HBV genotype diversity.
AB - Recombinant hepatitis B vaccines are of the A2 genotype; one of ten known
genotypes whose distribution varies globally. Reports of rare HBV infections in
blood donors with an imbalance of non-A2 genotype HBV in vaccinated subjects have
raised questions about the cross-protection afforded by HBV-A2 vaccines.
Infections in HBV vaccinees were asymptomatic and transient, indicating that
vaccination prevented clinical disease. Preclinical data demonstrate cross
reactivity and cross-protection by A2 vaccines against non-A2 HBV genotypes.
Substantial improvements in HBV control have been demonstrated in countries with
diverse genotype distribution that have introduced universal childhood HBV
vaccination programs. Available data show that current HBV-A2 vaccines are highly
effective in preventing infections and clinical disease caused by all known HBV
genotypes.
PMID- 22085175
TI - Epidemiology and prevention of meningococcal disease: a critical appraisal of
vaccine policies.
AB - Meningococcal disease is characterized by a marked variation in incidence and
serogroup distribution by region and over time. In several European countries,
Canada and Australia, immunization programs, including universal vaccination of
infants or toddlers with catch-up campaigns in children and adolescents, aimed at
controlling disease caused by meningococcal serogroup C have been successful in
reducing disease incidence through direct and indirect protection. More recently,
meningococcal conjugate vaccines targeting disease caused by serogroups A, C, W
135 and Y have been licensed and are being used in adolescent programs in the USA
and Canada while a mass immunization campaign against serogroup A disease has
been implemented in Africa. Positive results from clinical trials using vaccines
against serogroup B disease in various age groups suggest the possibility of
providing broader protection against serogroup B disease than is provided by the
currently used outer membrane vesicle vaccines. The purpose of our review of
meningococcal epidemiology and assessment of existing policies is to set the
stage for future policy decisions. Vaccination policies to prevent meningococcal
disease in different regions of the world should be based on quality information
from enhanced surveillance systems.
PMID- 22085178
TI - Regiospecific synthesis of 1,2-disubstituted (hetero)aryl fused imidazoles with
tunable fluorescent emission.
AB - A palladium-catalyzed two or fourfold amination was established that allows
regiospecific synthesis of a diversity-oriented library of 1,2-disubstituted
(hetero)aryl fused imidazoles, and provides an exceptional tool for the discovery
of fluorescent scaffolds with tunable fluorescence emission. These fluorophores
have been applied as fluorescent probes for live cell imaging.
PMID- 22085176
TI - The long-term potential of biodegradable poly(lactide-co-glycolide)
microparticles as the next-generation vaccine adjuvant.
AB - Biodegradable polymeric microparticles of poly(lactide-co-glycolide) (PLG) have
been extensively evaluated for drug delivery and vaccine applications over the
last three decades. Despite a wealth of studies on the use of PLG microparticles
in vaccines through controlled release of antigens, there is no commercial PLG
based vaccine as yet. The key challenge that prevented the development of PLG
microparticles as commercial vaccines was the instability of encapsulated
antigen. Over the years, advancements were made towards maintaining antigen
integrity during PLG microparticle preparation and sterilization. In parallel and
independently, development of PLG microparticles as therapeutic commercial
products established PLG with an excellent safety record in humans, and as a
suitable candidate for next-generation vaccines. Through the combination of Toll
like receptor agonist encapsulation and surface adsorption of antigen, PLG
microparticles can be used as a vaccine adjuvant to address unmet medical needs,
such as vaccines against HIV, malaria and TB. With strategic development of PLG
based vaccines, PLG microparticles can offer advantages over the conventional
vaccine adjuvants allowing commercial development of this adjuvant.
PMID- 22085179
TI - Glycogen storage and degradation during in vitro growth and differentiation of
Giardia intestinalis.
AB - Giardia intestinalis is the causative agent of human giardiasis, a common
diarrheal illness worldwide. Despite its global distribution and prevalence, many
questions regarding its basic biology and metabolism remain unanswered. In this
study, we examine the accumulation and degradation of glycogen, an important
source of stored carbon and energy, during the in vitro growth and
differentiation of G. intestinalis . We report that, as G. intestinalis
progresses through its growth cycle, cultures of trophozoites accumulate glycogen
during the lag and early logarithmic phases of growth and then utilize this
compound during their remaining logarithmic growth. As cultures enter the
stationary phase of growth, they re-accumulate glycogen stores. The activity of
glycogen phosphorylase, an enzyme involved in glycogen metabolism, also varied
throughout in vitro trophozoite growth. During the in vitro induction of
trophozoite differentiation into water-resistant cyst forms, the cultures
initially accumulated stores of glycogen which diminished throughout transition
to the cyst form. This observation is suggestive of a role for glycogen in the
differentiation process. These studies represent the first thorough analysis of
changes in glycogen content and glycogen phosphorylase activity during G.
intestinalis growth and differentiation.
PMID- 22085180
TI - Exenatide BID Observational Study (ExOS): results for primary and secondary
endpoints of a prospective research study to evaluate the clinical effectiveness
of exenatide BID use in patients with type 2 diabetes in a real-world setting.
AB - OBJECTIVE: The Exenatide BID Observational Study (ExOS) was designed to evaluate
the clinical effectiveness of exenatide BID use in patients with type 2 diabetes
(T2D) in a real-world clinical practice setting in the United States. METHODS:
Patients were enrolled from 74 practice sites from 9/2007 through 1/2009 and
followed for 12 months. The primary effectiveness endpoint was achieving or
maintaining hemoglobin A1C of <=7.0%, or an absolute drop of 0.5% from baseline.
Secondary measures included absolute and percentage change from baseline for a
variety of clinical measures (lipid markers, weight, BMI, etc.), and quality of
life (QOL) was assessed using the Impact of Weight on Quality of Life (IWQOL)
Lite. RESULTS: A total of 452 patients were included in the primary study
population. At baseline, patients (60% female) had mean (SD) age of 55 (11), T2D
duration of 9 (8) years, HbA1c of 8.0 (1.7) %, and body mass index (BMI) of 38.2
(7.4) kg/m(2). Family history of T2D was reported in 73.9% of patients.
Hypertension was reported in 61.5% of patients, and 47.1% had hyperlipidemia. The
HbA1c goal was achieved in 76.3% of the 118 patients with A1C measurements
available at 12 months (P < 0.0001). Patients with available clinical
measurements achieved significant improvements in HbA1c, weight, BMI, and QOL
measurements at 12 months. A mean improvement of 4.56 was seen in the total IWQOL
Lite score at 12 months (P = 0.001). The single-arm design of this study is a
limitation; however, the overall objective of the study was to observe patients
on exenatide BID therapy over time, comparing their status at endpoint to
baseline, rather than to make comparisons among different drug therapies.
CONCLUSIONS: The Exenatide BID Observational Study supports the clinical
effectiveness of exenatide BID observed in previous clinical trials and
retrospective database studies.
PMID- 22085181
TI - Crystal structure of the zinc-dependent MarR family transcriptional regulator
AdcR in the Zn(II)-bound state.
AB - Streptococcus pneumoniae adhesin competence regulator (AdcR), the first metal
dependent member of the multiple antibiotic resistance regulator (MarR) family of
proteins, represses the transcription of a high-affinity zinc-specific uptake
transporter, a group of surface antigen zinc-binding pneumococcal histidine triad
proteins (PhtA, PhtB, PhtD, and PhtE), and an AdcA homologue (AdcAII). The 2.0 A
resolution structure of Zn(II)-bound AdcR reveals a highly helical two-fold
symmetric dimer with two distinct metal-binding sites per protomer. Zn(II) is
tetrahedrally coordinated by E24, H42, H108, and H112 in what defines the primary
sensing site in AdcR. Site 2 is a tetracoordinate site whose function is
currently unknown. NMR methyl group perturbation experiments reveal that Zn(II)
drives a global change in the structure of apo-AdcR that stabilizes a
conformation that is compatible with DNA binding. This co-repression mechanism is
unprecedented in MarR transcriptional regulators.
PMID- 22085182
TI - The power of play.
PMID- 22085183
TI - Drawing attention to difference: dilemmas in discussing dysmorphism with parents.
AB - Dysmorphism is a sensitive topic to discuss with parents. Discussing dysmorphism
requires careful thought, awareness of parent reactions, practice and reflection.
Clinical practice suggests that barriers exist for both parents and health
professionals, which make these discussions challenging. Here, we explored the
challenges inherent in dialogue about dysmorphism and offer suggestions for
communication strategies that are grounded in both theory and practice.
PMID- 22085184
TI - Blisters on the scrotum of an infant.
PMID- 22085187
TI - An unusual complication of endoscopic intestinal biopsy.
PMID- 22085188
TI - An unusual cause of early respiratory distress in a neonate: infective
endocarditis.
PMID- 22085189
TI - Complete heart block complicating Acute Rheumatic Fever.
PMID- 22085190
TI - Child survival and child development in developing countries.
PMID- 22085191
TI - Reperfusion syndrome after an endovascular procedure in low birth weight newborn.
PMID- 22085192
TI - Cannabinoid receptor 1 gene is associated with alcohol dependence.
AB - BACKGROUND: Alcohol dependence (AD) vulnerability is determined by a complex
array of genetic factors. Given the potential role of endocannabinoid system in
AD, polymorphisms within cannabinoid receptor 1 gene (CNR1) have been potentially
associated with susceptibility to this disease. We thus aimed to examine the
relationship between 3 allelic variants of CNR1 (rs6454674, rs1049353, and
rs806368) and AD. METHODS: Genotyping of the aforementioned polymorphisms was
carried out by PCR in 298 male alcoholics (187 of them with AD) and 155 healthy
controls. Single-marker, haplotype, and interaction analysis were performed to
analyze the influence of CNR1 gene on AD susceptibility. RESULTS: We found an
association between CNR1 gene and AD after haplotype analysis. Alcoholic patients
with TGT haplotype (corresponding to rs6454674-rs1049353-rs806368 polymorphisms
in this order) were less prone to have AD (p = 0.017). Besides, alcoholics with a
G/T substitution of the first marker (GGT haplotype) or a C/T substitution of the
third marker (TGC haplotype) were more likely to develop AD (p = 0.006 and 0.004,
respectively) and an interaction was found between the G allele of rs6454674
single nucleotide polymorphism (SNP) and the C allele of rs806368 SNP (p =
0.009). CONCLUSIONS: Our findings support previously reported associations of
CNR1 with dependence to alcohol and other substances and emphasizes the relevance
of endocannabinoid system in AD.
PMID- 22085193
TI - Single nucleotide polymorphisms of toll-like receptor-4 protect against acne
conglobata.
AB - BACKGROUND: Former studies have shown that Propionibacterium acnes may stimulate
expression of toll-like receptor 4 (TLR4) in keratinocytes of patients with acne
vulgaris. OBJECTIVE: To investigate the impact of single nucleotide polumorphisms
(SNPs) of the TLR4 gene in acne vulgaris. METHODS: Genomic DNA was isolated from
191 patients with acne vulgaris and 75 healthy controls. Asp299Gly and Thr399Ile
SNPs were defined after cutting of the PCR products by restriction enzymes. Sebum
of lesions was cultured for P. acnes. RESULTS: No differences in SNP allele
frequencies were found between patients and healthy controls. 46.5% of carriers
of wild-type alleles were suffering from acne conglobata compared with 28.6% of
carriers of SNP alleles (P=0.040). After adjusting for gender, family history of
acnes, intake of any therapy and skin isolation of P. acnes, carriage of TLR4
gene SNPs was the only independent variable linked with a protective role against
acne conglobata (OR=0.269, P=0.014). No differences were found in the amount of
pro-inflammatory cytokines released by peripheral blood mononuclear cells
isolated from patients with acne conglobata carrying only wild-type alleles and
SNP alleles. CONCLUSIONS: Carriage of gene SNPs is protective against the
development of acne conglobata even in the presence of P. acnes.
PMID- 22085194
TI - Neurons from senescence-accelerated SAMP8 mice are protected against frailty by
the sirtuin 1 promoting agents melatonin and resveratrol.
AB - The senescence-accelerated prone 8 (SAMP8) mouse strain shows early cognitive
loss that mimics the deterioration of learning and memory in the elderly and is
widely used as an animal model of aging. SAMP8 mouse brain suffers oxidative
stress, as well as tau- and amyloid-related pathology. Mitochondrial dysfunction
and the subsequent increase in cellular oxidative stress are central to the aging
processes of the organism. Here, we examined the mitochondrial status of
neocortical neurons cultured from SAMP8 and senescence-accelerated-resistant
(SAMR1) mice. SAMP8 mouse mitochondria showed a reduced membrane potential and
higher vulnerability to inhibitors and uncouplers than SAMR1 mitochondria. DL
buthionine-[S,R]-sulfoximine (BSO) caused greater oxidative damage in neurons
from SAMP8 mice than in those from SAMR1 mice. This increased vulnerability,
indicative of frailty-associated senescence, was protected by the anti-aging
agents melatonin and resveratrol. The sirtuin 1 inhibitor, sirtinol, demonstrated
that the neuroprotection against BSO was partially mediated by increased sirtuin
1 expression. Melatonin, like resveratrol, enhanced sirtuin 1 expression in
neuron cultures of SAMR1 and SAMP8 mice. Therefore, a deficiency in the
neuroprotection and longevity of the sirtuin 1 pathway in SAMP8 neurons may
contribute to the early age-related brain damage in these mice. This supports the
therapeutic use of sirtuin 1-enhancing agents against age-related nerve cell
dysfunction and brain frailty.
PMID- 22085199
TI - Michael addition reactions for the modification of gold nanoparticles facilitated
by hyperbaric conditions.
AB - The chemical interfacial modification of organic solvent soluble 2.4 +/- 0.5 nm
maleimide-modified monolayer protected gold nanoparticles (2-C(12)AuNPs) with
primary or secondary amines via Michael addition reactions is demonstrated.
Michael addition reactions between 2-C(12)AuNPs and primary or secondary amines
at ambient temperature and pressure and under the conditions where the AuNP is
soluble and stable are possible albeit sluggish, often taking days to weeks to go
to completion. The rates and efficacies of the these same reactions are
drastically increased at hyperbaric pressure conditions (11 000 atm) with no
observed adverse effect to the gold nanoparticle stability. The resulting Michael
addition adducts (3-C(12)AuNPs) formed from 2-C(12)AuNPs and the corresponding
amines were characterized by TEM and by comparison of the (1)H NMR spectra of the
3-C(12)AuNPs with those of model reactions of the same amines with N
dodecylmaleimide, 2. The Michael addition reactions occur more readily with 2
rather than 2-C(12)AuNPs, consistent with the local environment of the latter
imposing additional steric or other barriers to the reaction. The use of
hyperbaric conditions makes the reaction of the organic solvent soluble 2
C(12)AuNP via Michael addition a viable interfacial modification process that is
otherwise impractical. The results also suggest that it is a useful protocol for
facilitating Michael addition reactions generally in solution at low
temperatures.
PMID- 22085200
TI - Electronic and molecular structures of the members of the electron transfer
series [Cr(tbpy)3]n (n = 3+, 2+, 1+, 0): an X-ray absorption spectroscopic and
density functional theoretical study.
AB - The electron transfer series of complexes [Cr((t)bpy)(3)](n)(PF(6))(n) (n = 3+,
2+, 1+, 0 (1-4)) has been synthesized and the molecular structures of 1, 2, and 3
have been determined by single-crystal X-ray crystallography; the structure of 4
has been investigated using extended X-ray absorption fine structure (EXAFS)
analysis. Magnetic susceptibility measurements (4-300 K) established an S = 3/2
ground state for 1, an S = 1 ground state for 2, an S = 1/2 ground state for 3,
and an S = 0 ground state for 4. The electrochemistry of this series in CH(3)CN
solution exhibits three reversible one-electron transfer waves. UV-vis/NIR
spectra and Cr K-edge X-ray absorption spectra (XAS) are reported. The same
experimental techniques have been applied for [Cr(III)(tacn)(2)]Br(3).5H(2)O (5)
and [Cr(II)(tacn)(2)]Cl(2) (6), which possess an S = 3/2 and an S = 2 ground
state, respectively (tacn = 1,4,7-triazacyclononane, a tridentate, pure sigma
donor ligand). The Cr K-edge XAS spectra of the corresponding complexes
K(4)[Cr(II)(CN)(6)].10H(2)O (S = 1) (7) and K(3)[Cr(III)(CN)(6)] (S = 3/2) (8)
have also been recorded. All complexes have been studied computationally with
density functional theory (DFT) using the B3LYP functional. The molecular and
electronic structures of the anionic members of the series [Cr(bpy)(3)](1-,2-,3-)
have also been calculated. It is unequivocally shown that all members of the
electron transfer series 1-4 and [Cr(bpy)(3)](n) (n = 3+, 2+, 1+, 0, 1-, 2, 3-)
possess a central Cr(III) ion ((t(2g))(3), S = 3/2). The three N,N'-coordinated
neutral (bpy(0)) ligands in the trication 1 and [Cr(III)(bpy)(3)](3+) are one
electron reduced in a stepwise fashion to localized one, two, and three pi
radical anions (bpy(*))(1-) in the dicationic, monocationic, and neutral species,
respectively. Complexes 2 and [Cr(bpy)(3)](2+) cannot be described as low-spin
Cr(II) species; they are in fact best described as
[Cr(III)((t)bpy(*))((t)bpy(0))(2)](2+) and [Cr(III)(bpy(*))(bpy(0))(2)](2+)
species. Further one-electron reductions yield one, two, and three diamagnetic
(bpy(2-))(2-) dianions in the mono-, di-, and trianion. Thus, [Cr(III)(bpy(2
))(3)](3-) is a normal Werner-type Cr(III) (!) species. In all complexes
containing (bpy(*))(1-) ligands, the ligand spins are strongly
antiferromagnetically coupled to the spins of the central Cr(III) ion (d(3),
S(Cr) = 3/2) affording the observed ground states given above. Thus, all redox
chemistry of [Cr(bpy)(3)](n) complexes is ligand-based and documents that the
ligand 2,2'-bipyridine is a redox noninnocent ligand; it exists in three
oxidation levels in these complexes: as N,N'-coordinated neutral (bpy(0)),
monoanionic pi-radical (bpy(*))(1-), and diamagnetic dianionic (bpy(2-))(2-).
PMID- 22085201
TI - A systematic review of early postpartum medroxyprogesterone receipt and early
breastfeeding cessation: evaluating the methodological rigor of the evidence.
AB - BACKGROUND: Breastfeeding has numerous maternal and infant benefits. Progesterone
contraception after birth is frequently recommended, but because a decrease in
progesterone is required to initiate lactation, early postpartum progesterone
contraception use could inhibit lactation. The purpose of this article is to
critically evaluate the scientific basis for conflicting clinical recommendations
related to postpartum medroxyprogesterone use among breastfeeding women. METHODS:
Relevant peer-reviewed literature was identified through a comprehensive search
of PubMed through December 2010. The search was restricted to clinical trials,
randomized clinical trials, or comparative studies written in English and
conducted among humans. The studies included in this review addressed the effect
of medroxyprogesterone administration at <6 weeks postpartum on breastfeeding
exclusivity and/or duration and measured breastfeeding outcomes at >= 6 weeks
postpartum. RESULTS: Of the 20 articles identified, only three studies satisfied
the inclusion criteria. However, all three studies were of low-quality
methodological rigor, and none accounted for potential confounders. CONCLUSION:
Current evidence is methodologically weak and provides an inadequate basis for
inference about a possible causal relationship between early postpartum
medroxyprogesterone use and poor breastfeeding outcomes. However, given the
presence of a strong biological model describing the potential deleterious effect
of postpartum medroxyprogesterone use on lactation, further research that
improves on current literature is warranted. Meanwhile, we recommend that
potential breastfeeding risks associated with early (<6 weeks) postpartum
medroxyprogesterone use be disclosed to allow for a fully informed consent and
decision-making process.
PMID- 22085203
TI - The ability of prostate-specific antigen (PSA) density to predict an upgrade in
Gleason score between initial prostate biopsy and prostatectomy diminishes with
increasing tumour grade due to reduced PSA secretion per unit tumour volume.
AB - Study Type - Diagnostic (exploratory cohort) Level of Evidence 2b What's known on
the subject? and What does the study add? Due to sampling error, the Gleason
score of clinically localized prostate cancer is frequently underestimated at the
time of initial biopsy. Given that this may lead to inappropriate surveillance of
patients with high-risk disease, there is considerable interest in identifying
predictors of significant undergrading. Recently PSAD has been proposed to be an
accurate predictor of subsequent upgrading in patients diagnosed with Gleason 6
disease on biopsy. We examined the predictive characteristics of PSAD in patients
with low- and intermediate-risk disease on biopsy subsequently treated with
radical prostatectomy. We found that although PSAD was a significant predictor of
upgrade of biopsy Gleason 6 and 3 + 4 = 7 tumours, it failed to predict upgrading
in patients with Gleason 7 tumours taken as a whole. When we explored reasons for
this discrepancy, we found that the amount of PSA produced per unit tumour volume
decreased with increasing Gleason score, thereby diminishing the predictive value
of PSAD. OBJECTIVES: To analyse the performance of PSA density (PSAD) as a
predictor of Gleason score upgrade in a large cohort stratified by Gleason score.
We and others have shown that an upgrade in Gleason score between initial
prostate biopsy and final radical prostatectomy (RP) pathology is a significant
risk factor for recurrence after local therapy. PATIENTS AND METHODS: Patients
undergoing RP with matching biopsy information were identified from two
prospective databases. Patients were analysed according to the concordance
between biopsy and final pathology Gleason score in three paired groups: 6/>6, 3
+ 4/>3 + 4, 7/>7. Receiver-operating characteristic (ROC) curves were generated
stratified by Gleason score, and the area under the curve (AUC) calculated.
Logistic regression models were fitted to identify significant predictors of
tumour upgrade. RESULTS: From 1516 patients, 435 (29%) had an upgrade in Gleason
score. ROC analysis showed a decline in AUC with increasing biopsy Gleason score,
from 0.64 for biopsy Gleason score 6, to 0.57 for Gleason score 7. In logistic
regression models containing pretreatment variables, e.g. clinical stage and
number of positive cores, for Gleason score 6 and 3 + 4, PSAD was the strongest
predictor of subsequent tumour upgrade (odds ratio [OR] 1.46, 95% confidence
interval [95% CI] 1.18-1.83, P= 0.001 and OR 1.37, 95% CI 1.14-1.67, P= 0.002,
respectively). Surprisingly, in tumours upgraded from Gleason score 7 to >7, PSAD
was not predictive even on univariable analysis, whereas clinical stage and
number of positive cores were significant independent predictors. To explore the
relationship between serum PSA and Gleason score, tumour volume was calculated in
669 patients. There was a strong association between Gleason score and tumour
volume, with the median volume of Gleason score 7 and Gleason score >7 tumours
being approximately twice and four-times that of Gleason score 6 tumours,
respectively (P < 0.001). In contrast, the median serum PSA level per millilitre
tumour volume decreased significantly with increasing grade, from 5.4 ng/mL for
Gleason score 6 to 2.1 ng/mL for >7 (P < 0.001). CONCLUSIONS: There is a strong
correlation between Gleason score and tumour volume in well/intermediate
differentiated tumours, and as they produce relatively high amounts of PSA per
unit volume of cancer, high PSAD is the strongest single predictor of tumour
undergrading. However, as higher grade tumours produce less PSA per unit volume,
PSAD loses its predictive ability, and other clinical markers of tumour volume
such as palpable disease and numbers of positive cores become more predictive.
PMID- 22085202
TI - The role of autophagy in unilateral ureteral obstruction rat model.
AB - AIM: Autophagy is a cellular process of degradation of damaged cytoplasmic
components and regulates cell death or proliferation. Unilateral ureteral
obstruction (UUO) is a model of progressive renal fibrosis in the obstructed
kidney. And UUO is followed by compensatory cellular proliferation in the
contralateral kidney. We investigate the role of autophagy in the obstructed
kidney and contralateral kidney after UUO. METHODS: To obtain the evidence and
the patterns of autophagy during UUO, the rats were sacrificed 3, 7 and 14 days
after UUO. To examine the efficacy of the autophagy inhibitors, 3-methyladenine
(3-MA), the rats were treated daily with intraperitoneal injection of 3-MA (30
mg/kg per day) for 7 days. RESULTS: After UUO, autophagy was induced in the
obstructed kidney in a time-dependent manner. Inhibition of autophagy by 3-MA
enhanced tubular cell apoptosis and tubulointerstitial fibrosis in the obstructed
kidney after UUO. In the contralateral kidney, autophagy was also induced and
prolonged during UUO. Inhibition of autophagy by 3-MA increased the protein
expression of proliferating cell nuclear antigen significantly in the
contralateral kidney after UUO. The Akt-mammalian target of rapamycin (mTOR)
signalling pathway was involved in the induction of autophagy after UUO in both
kidneys. CONCLUSION: Our present results support that autophagy induced by UUO
has a renoprotective role in the obstructed kidney and regulatory role of
compensatory cellular proliferation in the contralateral kidney through Akt-mTOR
signalling pathway.
PMID- 22085204
TI - Differentiation of induced pluripotent stem cells into dental mesenchymal cells.
AB - Similar to embryonic stem cells, induced pluripotent stem (iPS) cells can
differentiate into various cell types upon appropriate induction, and thus, may
be valuable cell sources for regenerative medicine. However, iPS cells have not
been reported to differentiate into odontogenic cells for tooth regeneration.
Here we demonstrated that neural crest-like cells (NCLC) derived from mouse iPS
cells have the potential to differentiate into odontogenic mesenchymal cells. We
developed an efficient culture protocol to induce the differentiation of mouse
iPS cells into NCLC. We confirmed that the cells exhibited neural crest (NC) cell
markers as evidenced by immunocytochemistry, flow cytometry, and real-time
reverse transcription-polymerase chain reaction. Further, in recombination
cultures of NCLC and mouse dental epithelium, NCLC exhibited a gene expression
pattern involving dental mesenchymal cells. Some NCLC also expressed dentin
sialoprotein. Conditioned medium of mouse dental epithelium cultures further
enhanced the differentiation of NCLC into odontoblasts. These results suggest
that iPS cells are useful cell sources for tooth regeneration and tooth
development studies.
PMID- 22085206
TI - Enhancing nursing knowledge using high-fidelity simulation.
AB - The use of high-fidelity simulation as an accepted substitute for traditional
clinical learning experiences in nursing education has gained acceptance over the
past decade, as evidenced by the California Board of Registered Nursing now
allowing up to 25% of student clinical learning to occur in simulation
laboratories. However, little research evidence has documented the efficacy of
these simulated learning experiences, particularly on objective outcomes such as
examination performance. Therefore, this study examined the effects of high
fidelity simulation participation on knowledge acquisition in 104 undergraduate
nursing students. Students who participated in high-fidelity simulation scenarios
scored significantly higher on examinations than students who did not. These
findings provide beginning evidence that high-fidelity simulation can be an
effective substitute for traditional clinical experience. More importantly, the
findings may help boards of nursing more effectively regulate the use of high
fidelity simulation in the future.
PMID- 22085207
TI - Effects of communication training on real practice performance: a role-play
module versus a standardized patient module.
AB - This study investigated the effectiveness of modules involving standardized
patients and role-plays on training communication skills. The first module
involved standardized patients and an Objective Structured Clinical Examination
(OSCE); the second module consisted of peer role-plays and a written examination.
A randomized posttest-only control group design with first-year nursing students
was used. The intervention group received one-to-one communication training with
direct oral feedback from the standardized patient. The control group had
training with peer role-playing and mutual feedback. The posttest involved
students' rating their self-efficacy, and real patients and clinical supervisors
evaluated their communication skills. No significant differences were found
between self-efficacy and patient ratings. However, the clinical supervisors
rated the intervention group's communication skills to be significantly (p <
0.0001) superior. Assessments by clinical supervisors indicate that communication
training modules including standardized patients and an OSCE are superior to
communication training modules with peer role-playing.
PMID- 22085208
TI - The critical difference assignment: an innovative instructional method.
AB - Nurse educators are faced with the challenge of developing and evaluating
learning methods that promote knowledge acquisition, problem solving, and the
development of clinical judgment to meet today's expectations of new graduates.
Clinical judgment is at the heart of decision making and drives nursing action.
It encompasses perceptions and intellectual processing of information through
mental operations of reasoning, resulting in appropriate actions. An
instructional method, entitled the Critical Difference Assignment, aimed at
developing clinical judgment has been developed and piloted at a southwestern
university. This instructional method requires students in small groups to engage
in intellectual processing of case study information using mental operations of
reasoning to discriminate between two case studies through a process of comparing
and contrasting the data.
PMID- 22085209
TI - Developing nontraditional community health placements.
AB - Building capacity for student clinical experiences has become a key concern for
nurse educators in the 21st century. For community health faculty, traditional
placements at public health departments or other health-affiliated organizations
often do not provide adequate numbers or types of placement opportunities.
Wishing to expand experiences for its undergraduate program, faculty at a
midwestern university have developed and nurtured long-standing collaborative
relationships with organizations not typically used by schools of nursing. Such
partnerships are often called "nontraditional," with the understanding that they
are not affiliated with the typical health sector organizations. This article
introduces two of these partnerships, describes the process used to establish and
maintain them, and identifies the outcomes achieved by students, clients, and
organizations.
PMID- 22085210
TI - Knowing nursing through inquiry: engaging students in knowledge creation.
AB - Two nurse educators share a nursing knowledge course, which was created as a
forum for questioning and discovery, thereby revealing a process of knowing
nursing through inquiry. The process of inquiry in nursing praxis is emphasized,
facilitating students' understanding that they are knowledge-users and creators.
With students, we explore the construction of praxis, which includes
being/becoming (ontology), knowing (epistemology), and doing (actions with
consequences). Nursing knowledge is understood to arise from philosophy, world
views, nursing theories, patterns of knowing, evidence-based research, and
standards of practice. Students are encouraged to critically reflect on and use
what is congruent with their praxis and to construct new knowledge. Exemplars
from nurse educators and students are presented as evidence of our claim for
furthering the science of nursing education.
PMID- 22085211
TI - A prebaccalaureate PhD option: shaping the future of research-focused doctoral
education.
AB - The future of PhD education in nursing is at a crossroads. Our current practice
of primarily enrolling post-master's students with years of clinical experience
is not producing an adequate number of graduates who are able to make significant
and sustained contributions to nursing research. Therefore, it is timely to
consider educational innovations that encourage a different population of
students to consider doctoral research training. A prebaccalaureate or early
entry option to the PhD in nursing is a means toward this end. At the University
of Wisconsin-Madison, a select group of prenursing students and students
beginning the nursing major are offered an early admission to PhD education. A
key component of the Early Entry PhD Option is immediate and intensive research
training with an established nurse faculty researcher. In this article, the
authors describe the curriculum of a prebaccalaureate, research-focused doctoral
option and its early results.
PMID- 22085212
TI - Insight into potential Cu(II)-binding motifs in the four pseudorepeats of tau
protein.
AB - Tau protein and Cu(II) are believed to be associated with the pathogenesis of
Alzheimer's disease. However, little is known about atomic-level interactions
between tau protein and Cu(II). Herein, we suggest, on the basis of electron spin
resonance (ESR) data, that the four pseudorepeats of tau protein in the
microtubule-binding region play an important role in Cu(II) binding. We use a
number of tau protein fragments in order to examine Cu(II)-binding site(s) and
binding affinities. Continuous-wave (CW) ESR experiments on the four highly
conserved octadecapeptides, each of which is a segment of one of the four
pseudorepeats, reveal that the equimolar Cu(II) complexes of the four
octadecapeptides are similar to one another in terms of the coordination
environment and binding affinity. The spectra obtained with pulsed ESR techniques
such as electron spin-echo envelope modulation and hyperfine sublevel correlation
provide direct evidence that a histidine residue and a backbone amide group
coordinate to Cu(II) in each Cu(II)-octadecapeptide complex. The results of CW
and pulsed ESR experiments on some chemically modified peptides indicate that the
cysteine residues in the second and third pseudorepeats are unlikely to be
involved in Cu(II) binding. On the other hand, similar experiments on tau
fragments of the second pseudorepeat with different lengths lead to the
conclusion that the affinity for Cu(II) decreases as the octadecapeptide is
either truncated or elongated. The high Cu(II)-binding affinity of the
octadecapeptide is presumably due to the N-terminal amino group stabilizing the
Cu(II)-octadecapeptide complex. Finally, the ESR data for a longer tau fragment
that contains two octadecapeptides suggest that the Cu(II) binding site(s) of
even longer fragments of tau protein is similar to that of a single
octadecapeptide.
PMID- 22085213
TI - Structural origin and laser performance of thulium-doped germanate glasses.
AB - The structural origin and laser performance of thulium-doped germanate glasses
have been studied. The investigation includes two main sections. The first part
discusses the Raman spectroscopic and thermal stability of the host glass
structure. The low value of the largest phonon energy (850 cm(-1)) reduces the
probability of nonradiative relaxation. The large emission cross section of the
Tm(3+) : (3)F(4) level (8.69 * 10(-21) cm(2)), the high quantum efficiency of the
(3)F(4) level (71%), and the low nonradiative relaxation rate of the (3)F(4) ->
(3)H(6) transition (0.09 ms(-1)) illustrate good optical properties of the
germanate glass. In the second part, the room-temperature laser action from the
thulium-doped germanate glass is demonstrated when pumped by a 790 nm laser
diode. The maximum output power of 346 mW and slope efficiency of 25.6% are
achieved.
PMID- 22085214
TI - Modular synthesis of functionalized bis-bispidine tetraazamacrocycles.
AB - An effective synthesis is reported for the construction of highly rigid and
preorganized bis-bispidine tetraazamacrocycles bearing either identical or
different functionalities. Using essential building blocks derived from N-Boc-N'
allylbispidinone, the modular approach facilitates independent incorporation of
the functional groups to the macrocyclic framework as well as selective
derivatization of one functionality in the presence of another.
PMID- 22085216
TI - Silylium-arene adducts: an experimental and theoretical study.
AB - The solvent-coordinated [Me(3)Si.arene][B(C(6)F(5))(4)] salts (arene = benzene,
toluene, ethylbenzene, n-propylbenzene, isopropylbenzene, o-xylene, m-xylene, p
xylene, 1,2,3-trimethylbenzene, 1,2,4-trimethylbenzene, 1,3,5-trimethylbenzene)
are prepared and fully characterized. As an interesting decomposition product the
formation of bissilylated fluoronium ion [Me(3)Si-F-SiMe(3)](+) was observed and
even cocrystallized with [Me(3)Si.arene][B(C(6)F(5))(4)] (arene = benzene and
toluene). Investigation of the degradation of [Me(3)Si.arene][B(C(6)F(5))(4)]
reveals the formation of fluoronium salt [Me(3)Si-F-SiMe(3)][B(C(6)F(5))(4)],
B(C(6)F(5))(3), and a reactive "C(6)F(4)" species which could be trapped with
CS(2). Upon addition of CS(2), the formation of a formal S-heterocyclic carbene
adduct, C(6)F(4)CS(2)-B(C(6)F(5))(3), was observed. The structure and bonding of
substituted [Me(3)Si.arene][B(C(6)F(5))(4)] with arene = R(n)C(6)H(6-n) (R = H,
Me, Et, Pr, and Bu; n = 0-6) is discussed on the basis of experimental and
theoretical data. X-ray data of [Me(3)Si.arene][B(C(6)F(5))(4)] salts reveal
nonplanar arene species with significant cation...anion interactions. As shown by
different theoretical approaches (charge transfer, partial charges,
trimethylsilyl affinity values) stabilizing inductive effects occur; however, the
magnitude of such effects differs depending on the degree of substitution and the
substitution pattern.
PMID- 22085215
TI - Social and psychological determinants of levels of engagement with an online
breast cancer support group: posters, lurkers, and nonusers.
AB - Despite the benefits and growing availability of online cancer support groups,
many breast cancer patients still do not actively participate in them. To better
understand cancer patients' online information- and support-seeking behaviors,
this study explores how various social and psychological characteristics predict
different levels of engagement with an online breast cancer support group:
posters, lurkers, and nonusers. The study sample included 231 recently diagnosed
breast cancer patients. Data included baseline survey scores of demographic,
disease-related, and psychosocial factors and automatically collected discussion
group use data over the 4-month intervention. Patterns of engagement with the
cancer support group differed according to the patients' characteristics,
suggesting that (a) cancer patients have very different orientations to and
engagement with an online support group, and (b) deficits in social and
psychological resources may not be barriers to participation in a cancer support
group, but rather motivators to interact with other patients. The authors discuss
the theoretical and practical implications of their findings.
PMID- 22085217
TI - Absolute interdialytic weight gain is more important than percent weight gain for
intradialytic hypotension in heavy patients.
AB - AIM: Few published reports have mentioned the difference between absolute
interdialytic weight gain (IDWG) and IDWG/DW (IDWG%), and subsequent effects on
daily dialysis. The aim of present study was to evaluate the difference between
absolute IDWG and IDWG% in new haemodialysis patients. METHOD: We retrospectively
reviewed the records of 255 patients who recently received conventional
haemodialysis for at least 1 year at the same centre from 1997 to 2008. The first
4 weeks after starting haemodialysis was defined as the pre-study period. Data
were collected for 5-56 weeks. RESULTS: IDWG% value remained relatively constant
in the first year of haemodialysis despite most patients having certain residual
renal function. For haemodialysis outcomes, both absolute IDWG and IDWG% were
significantly correlated with intradialytic hypotension (IDH) in men and heavy
women. After dividing patients into four strata, which according to the gender
and the median dry weight, stepwise multivariate linear regression analysis
showed that absolute IDWG, rather than IDWG%, was an independent risk factor for
IDH in heavy men (Beta = 0.585, P < 0.001) and heavy women (Beta= 0.458, P <
0.001). CONCLUSIONS: Absolute IDWG, rather than IDWG%, is an independent risk
factor for IDH in heavy haemodialysis patients. Therefore, higher absolute IDWG
needs to be strictly controlled despite the corresponding IDWG% possibly being
relatively small in heavy haemodialysis patients.
PMID- 22085218
TI - Prevalence of Bacillus cereus and associated risk factors in Chinese-style fried
rice available in the city of Colombo, Sri Lanka.
AB - The present study aimed to assess the prevalence of Bacillus cereus and its
associated risk factors in Chinese-style fried rice available in Colombo city. In
200 samples of fried rice the prevalence of B. cereus was 56%. The prevalence by
variety of fried rice was chicken (20.0%), vegetable (18.0%), seafood (10.0%),
egg (5.0%), mixed (2.0%), and beef (1.0%). Of analyzed samples, 28 (14%) had
colony counts >10(6) colony forming units per gram (cfu/g), the infectious dose
for B. cereus food borne outbreaks. Occurrence of >10(6) cfu/g of B. cereus were
associated with storage of boiled rice at room temperature (p=0.030), >4 hours of
storage at room temperature (p=0.042) and cooking frequency of more than once per
dining session (p=0.017). The type of rice and the quantity boiled per day were
not independent risk factors for high B. cereus counts. Majority of B. cereus
isolates (53.7%) in this study were not typable. The serotypes observed included
H15 (14.3%), H19 (14.3%), and H20 (10.7%). These serotypes are known to be
associated with both emetic and diarrheal syndromes. All isolates were sensitive
to ciprofloxacin (100%), erythromycin (100%), gentamicin (100%), chloramphenicol
(100%), and amikacin (100%) whereas 100% resistance was observed for penicillin
with minimal inhibitory concentration range of 32-256 MUg/mL.
PMID- 22085220
TI - Tournefortia sarmentosa extract attenuates acetaminophen-induced hepatotoxicity.
AB - CONTEXT: Tournefortia sarmentosa Lam. (Boraginaceae), a Chinese herbal medicine,
is commonly used as a detoxicant or anti-inflammatory agent. OBJECTIVE: As
acetaminophen (APAP) is a well-known hepatotoxin, we investigated the effect of
the aqueous extract of the T. sarmentosa on APAP-induced hepatotoxicity in vivo
and in vitro. MATERIALS AND METHODS: Levels of liver function markers serum
glutamate oxaloacetate transaminase (SGOT), glutamate pyruvate transaminase
(SGPT), and alkaline phosphatase (ALP), inflammatory markers tumor necrosis
factor (TNF)-alpha, interleukin (IL)-1b, and IL-6 in serum, and antioxidant
enzymes catalase (CAT), superoxide dismutase (SOD), and glutathione peroxidase
(GPx), as well as lipid peroxidation were determined. RESULTS: T. sarmentosa
significantly reduced the elevated liver function (SGOT, SGPT, and ALP, p < 0.01)
and inflammatory markers (TNF-alpha, IL-1beta, and IL-6, p < 0.01) in serum of
APAP-intoxicated rats. Malondialdehyde level (p < 0.05) and antioxidant enzyme
levels (CAT, SOD, and GPx, p < 0.05) were also reduced in APAP-intoxicated rats
treated with T. sarmentosa. Incubation of rat hepatocyte cell line clone-9 cells
with APAP reduced cell viability and increased the extent of lipid peroxidation.
APAP stimulation also reduced the level of glutathione (GSH) and caused reduction
in the activities of the antioxidant enzymes, CAT, SOD, and GPx. Pretreatment of
hepatocytes with T. sarmentosa aqueous extract before and during APAP stimulation
attenuated the extent of lipid peroxidation, increased cell viability and GSH
level, and enhanced the activities of antioxidant enzymes. DISCUSSION AND
CONCLUSION: These data suggest that the aqueous extract of T. sarmentosa can
prevent APAP-induced hepatotoxicity.
PMID- 22085219
TI - rROP2(186-533): a novel peptide antigen for detection of IgM antibodies against
Toxoplasma gondii.
AB - Toxoplasma gondii infections are prevalent in a wide range of mammalian hosts
including humans. Infection in pregnant women may cause the transmission of
parasite to the fetus that makes serious problems. IgM antibodies against
Toxoplasma (Toxo-IgM) have been believed to be significant indicators for both
recently acquired and congenital toxoplasmosis. So far, however, there has not
been any recognized protein of T. gondii that specifically reacts to IgM
antibodies. Here, an antigen exclusively for detection of IgM antibodies screened
by two-dimensional electrophoresis and mass spectrometry has been reported. The
study identified 13 Toxoplasma proteins probed by IgG antibodies and one (rhpotry
protein 2 [ROP2]) by IgM antibodies with human sera of Toxo-IgM(-)-IgG(+) and
IgM(+)-IgG(-), respectively, which had been prescreened by Toxo-IgM and -IgG
commercial kits from the suspected cases. Following cloning, expression, and
purification of the fragment of ROP2(186-533), an enzyme-linked immunosorbent
assay with rROP2(186-533) to measure IgM and IgG antibodies was developed. As a
result, 100%(48/48) of sera with Toxo-IgM(+)-IgG(-)showed positive Toxo-IgM but
none of them (0%) showed positive Toxo-IgG when rROP2(186-533) was used as
antigen. Neither Toxo-IgG nor Toxo-IgM antibodies were found when tested with 59
sera of Toxo-IgM(-)-IgG(+). These results indicate that rROP2(186-533) could be
used as an antigen that specifically capture Toxo-IgM antibodies and may have a
high potential in the serological diagnosis of both acute acquired and congenital
toxoplasmosis.
PMID- 22085221
TI - General and cancer mortality in a large cohort of Italian alcoholics.
AB - BACKGROUND: The consumption of alcohol is an underappreciated risk factor for a
wide range of conditions. Overall, it is associated with high mortality rates and
causes approximately 4% of all deaths worldwide. This study aimed to evaluate the
general and cancer mortality in a cohort of subjects with alcohol addiction
residing in Tuscany (Central Italy). METHODS: Overall, 2,272 alcoholics (1,467
men and 805 women; mean age at first examination 43.8 years +/- 13.0), treated at
the Alcohol Centre of Florence in the period April 1985 to September 2001, were
followed until the end of the study period (median follow-up: 9.6 years). A total
of 21,855 person-years were available for analyses. Expected deaths were
estimated by using age, sex, and calendar-specific regional mortality rates.
Standardized mortality ratios (SMR) and 95% confidence intervals (CI) were
calculated. RESULTS: Six hundred and thirty-six of the 2,272 patients (28.0%)
died, yielding an SMR of 5.0 (95% CI: 4.6 to 5.4). The alcoholics had
significantly elevated mortality risk from all malignant cancers (SMR = 3.8, 95%
CI: 3.3 to 4.4) and a series of specific diseases (infections: SMR = 10.1, 95%
CI: 4.8 to 21.1; diabetes: SMR = 3.6, 95% CI: 1.9 to 6.7; immunological system,
including AIDS: SMR = 8.1, 95% CI: 4.1 to 16.2; nervous system: SMR = 3.5, 95%
CI: 1.9 to 6.4; cardiovascular system: SMR = 2.4, 95% CI: 2.0 to 2.9; respiratory
system: SMR = 5.8, 95% CI: 4.2 to 8.0; digestive system: SMR = 26.4, 95% CI: 22.6
to 30.8, including liver cirrhosis (SMR = 40.0, 95% CI: 33.9 to 47.1); violent
causes: SMR = 6.6, 95% CI: 5.0 to 8.6). Among malignant cancers, the highest SMRs
were found for cancers of the pharynx (SMR = 22.8, 95% CI: 9.5 to 54.8), oral
cavity (SMR = 22.2, 95% CI: 13.2 to 37.6), liver (SMR = 13.5, 95% CI: 9.2 to
19.8), and larynx (SMR = 10.7, 95% CI: 5.8 to 19.9). Although women showed higher
SMR in comparison with the general population of the area, their overall survival
estimates during the follow-up were higher than those for male alcoholics.
CONCLUSIONS: This large series of Italian alcoholics showed a significant
increase in total and cancer mortality in comparison with the general population,
with female alcoholics reporting higher survival rates.
PMID- 22085222
TI - Impact of the 2009/2010 influenza A (H1N1) pandemic on trends in influenza
hospitalization, diagnostic testing, and treatment.
AB - Analysis of a US hospitalization database demonstrated that more influenza
patients were hospitalized and the age distribution of hospitalizations was
younger during the 2009 (H1N1) influenza A pandemic compared with the three
previous influenza seasons. The duration of hospital stay remained stable in all
four seasons. A higher proportion of patients was treated with antivirals (P <
0.0001), comprised almost entirely of neuraminidase inhibitors, and the
proportion was highest in those with influenza confirmed by diagnostic testing (P
< 0.0001). Approximately one-third remained untreated. Young children had the
lowest rate of neuraminidase-inhibitor treatment during the 2009 pandemic (P <
0.05).
PMID- 22085223
TI - The effect of an atomically deposited layer of alumina on NiO in P-type dye
sensitized solar cells.
AB - We present a systematic investigation of the fundamental effects of an atomically
deposited alumina (AlO(x)H(y)) onto the NiO films in p-type dye-sensitized solar
cells (p-DSCs). With P1 as the sensitizing dye and 0.1 M I(2) and 1.0 M LiI in 3
methoxypropionitrile as the electrolyte, one atomic layer deposition (ALD) cycle
of alumina was used to achieve a 74% increase in the overall conversion
efficiency of a NiO-based DSC. The open circuit voltage of the cells increased
from 0.11 to 0.15 V, and the short circuit current density increased from 0.83 to
0.95 mA/cm(2). Adsorption isotherm studies were performed to show that the amount
of dye adsorbed on the NiO-alumina film is slightly lower than the amount
adsorbed on the nontreated NiO film. The increased J(sc) was therefore assigned
to the increased efficiency of carrier collection at the semiconductor-FTO
interface. Our study of the photocurrent onset potentials of NiO and NiO-alumina
films with the chopped light measurement technique showed no definitive
difference in the onset potential values. However, the DSCs based on NiO-alumina
showed a higher recombination resistance value from the electrochemical impedance
studies and a higher diode ideality factor from the V(oc) versus ln(light
intensity) plots as compared to the DSCs based on untreated NiO. It has thus been
established that the increase in V(oc) upon alumina treatment arises due to a
higher resistance for electron-hole recombination across NiO surface locally.
PMID- 22085224
TI - Enhancement of the luminescent properties of a new red-emitting phosphor,
Mn2(HPO3)F2, by Zn substitution.
AB - The Mn(2)(HPO(3))F(2) phase has been synthesized as single crystals by using mild
hydrothermal conditions. The compound crystallizes in the orthorhombic Pnma space
group, with unit cell parameters of a = 7.5607(8), b = 10.2342(7), and c =
5.5156(4) A, with Z = 4. The crystal structure consists of a three-dimensional
framework formed by alternating (010) layers of [MnO(3)F(3)] octahedra linked up
by three connected [HPO(3)] tetrahedra. Luminescence measurements were performed
at different temperatures between 10 and 150 K. The 10 K emission spectrum of the
octahedrally coordinated Mn(II) cation exhibits a broad band centered at around
615 nm corresponding to the (4)T(1) -> (6)A(1) transition. In order to explore
the effect of the Mn(II) concentration and the possibility of enhancing the
luminescence properties of the Mn(II) cation in Mn(2)(HPO(3))F(2), different
intermediate composition members of the finite solid solution with the general
formula (Mn(x)Zn(1-x))(2)(HPO(3))F(2) were prepared and their luminescent
properties studied. The magnetic and specific heat behavior of M(2)(HPO(3))F(2)
(M = Mn, Fe) have also been investigated. The compounds exhibit a global
antiferromagnetic ordering with a spin canting phenomenon detected at
approximately 30 K. The specific heat measurements show sharp lambda-type peaks
at 29.7 and 33.5 K for manganese and iron compounds, respectively. The total
magnetic entropy is consistent with spin S = 5/2 and S = 2 of Mn(II) and Fe(II)
cations.
PMID- 22085226
TI - Stereoselective vinylation of aryl N-(2-pyridylsulfonyl) aldimines with 1-alkenyl
1,1-heterobimetallic reagents.
AB - Vinylation of aryl N-(2-pyridylsulfonyl) aldimines with versatile 1-alkenyl-1,1
borozinc heterobimetallic reagents is disclosed. In situ hydroboration of air
stable B(pin)-alkynes followed by chemoselective transmetalation with
dimethylzinc and addition to aldimines provides B(pin)-substituted allylic amines
in 53-93% yield in a one-pot procedure. The addition step can be followed by
either B-C bond oxidation to provide alpha-amino ketones (71-98% yield) or Suzuki
cross-coupling to furnish trisubstituted 2-arylated (E)-allylic amines (51-73%
yield).
PMID- 22085227
TI - Tadalafil once daily and extracorporeal shock wave therapy in the management of
patients with Peyronie's disease and erectile dysfunction: results from a
prospective randomized trial.
AB - Extracorporeal shock wave therapy improves erectile function in patients with
Peyronie's disease. However, erectile dysfunction still persists in many cases.
We aimed to investigate the effects of extracorporeal shock wave therapy plus
tadalafil 5 mg once daily in the management of patients with Peyronie's disease
and erectile dysfunction not previously treated. One hundred patients were
enrolled in a prospective, randomized, controlled study. Patients were randomly
allocated to receive either extracorporeal shock wave therapy alone for 4 weeks
(n = 50) or extracorporeal shock wave therapy plus tadalafil 5 mg once daily for
4 weeks (n = 50). Main outcome measures were: erectile function (evaluated
through the shortened version of the International Index of Erectile Function),
pain during erection (evaluated through a Visual Analog Scale), plaque size,
penile curvature and quality of life (evaluated through an internal
questionnaire). Follow-up evaluations were performed after 12 and 24 weeks. In
both groups, at 12 weeks follow-up, mean Visual Analog Scale score, mean
International Index of Erectile Function score and mean quality of life score
ameliorated significantly while mean plaque size and mean curvature degree were
unchanged. Intergroup analysis revealed a significantly higher mean International
Index of Erectile Function score and quality of life score in patients receiving
the combination. After 24 weeks, intergroup analysis revealed a significantly
higher mean International Index of Erectile Function score and mean quality of
life score in patients that received extracorporeal shock wave therapy plus
tadalafil. In conclusion extracorporeal shock wave therapy plus tadalafil 5 mg
once daily may represent a valid conservative strategy for the management of
patients with Peyronie's disease and erectile dysfunction.
PMID- 22085229
TI - Strong molecular traffic control effect in TNU-9 zeolite channel topology.
AB - Reactivity enhancement in a catalytic zeolite grain through molecular traffic
control (MTC) rests on the basic notion that the reactant and product molecules
prefer to diffuse along different channels inside the grain and therefore do not
mutually hinder their transport in and out of the grain. We investigate the
conditions of reactivity enhancement in the presence of MTC for a realistic
channel topology that describes the pore structure of a TNU-9 zeolite. We compare
the output current of an MTC system with a reference system, which does not show
any channel selectivity. For a wide range of reaction rates and for different
grain sizes, we find that there is a very significant enhancement of reactivity
for the MTC system. This effect remains strong as the grain size increases. The
mechanism behind reactivity enhancement is argued to be generic rather than being
confined to the particular structure of TNU-9.
PMID- 22085228
TI - Brief review of models of ectopic bone formation.
AB - Ectopic bone formation is a unique biologic entity--distinct from other areas of
skeletal biology. Animal research models of ectopic bone formation most often
employ rodent models and have unique advantages over orthotopic (bone)
environments, including a relative lack of bone cytokine stimulation and cell-to
cell interaction with endogenous (host) bone-forming cells. This allows for
relatively controlled in vivo experimental bone formation. A wide variety of
ectopic locations have been used for experimentation, including subcutaneous,
intramuscular, and kidney capsule transplantation. The method, benefits and
detractions of each method are summarized in the following review. Briefly,
subcutaneous implantation is the simplest method. However, the most pertinent
concern is the relative paucity of bone formation in comparison to other models.
Intramuscular implantation is also widely used and relatively simple, however
intramuscular implants are exposed to skeletal muscle satellite progenitor cells.
Thus, distinguishing host from donor osteogenesis becomes challenging without
cell-tracking studies. The kidney capsule (perirenal or renal capsule) method is
less widely used and more technically challenging. It allows for supraphysiologic
blood and nutrient resource, promoting robust bone growth. In summary, ectopic
bone models are extremely useful in the evaluation of bone-forming stem cells,
new osteoinductive biomaterials, and growth factors; an appropriate choice of
model, however, will greatly increase experimental success.
PMID- 22085232
TI - When movies matter: exposure to smoking in movies and changes in smoking
behavior.
AB - The authors investigated the association between exposure to smoking in movies
and the initiation and progression of adolescent smoking over time among 6,522
U.S. adolescents (between the ages of 10 and 14 years, at baseline) in a
nationally representative, 4-wave random-digit-dial telephone survey. They
conducted a hazard (survival) analysis testing whether exposure to movie smoking
and demographic, personality, social, and structural factors predict (a) earlier
smoking onset and (b) faster transition to experimental (1-99
cigarettes/lifetime) and established smoking (>100 cigarettes/lifetime). Results
suggest that higher exposure to movie smoking is associated with less time to
trying cigarettes for the first time (adjusted hazard ratio = 1.66; 95% CI [1.37,
2.01]) but not with faster escalation of smoking behavior following initiation
(adjusted hazard ratio = 1.53; 95% CI [0.84, 2.79]). In contrast, age, peer
smoking, parenting style, and availability of cigarettes in the home were
predictors of earlier onset and faster transition to established smoking. Thus,
the authors concluded that the effect of exposure to mass-mediated images of
smoking in movies may decline once adolescents have started to smoke, whereas
peers and access to tobacco remain influential.
PMID- 22085230
TI - Systemic responses of preterm newborns with presumed or documented bacteraemia.
AB - AIM: To compare the frequency of elevated concentrations of inflammation-related
proteins in the blood of infants born before the 28th week of gestation who had
documented bacteraemia and those who had presumed (antibiotic-treated but culture
negative) bacteraemia to those who had neither. METHODS: The subjects of this
study are the 868 infants born at 14 institutions for whom information about
protein measurements on at least two of the three protocol days (days 1, 7, and
14) was available and who did not have Bell stage 3 necrotizing enterocolitis or
isolated bowel perforation, which were strongly associated with bacteraemia in
this sample. RESULTS: Newborns with presumed early (week 1) bacteraemia had
elevated concentrations of only a few inflammation-related proteins, while those
who had presumed late (weeks 2-4) bacteraemia did not have any elevations. In
contrast, newborns who had documented early bacteraemia had a moderately strong
signal, while those who had documented late bacteraemia had a stronger signal
with more protein concentrations elevated on two separate occasions a week apart.
CONCLUSIONS: Culture-confirmed early and late bacteraemia are
accompanied/followed by systemic inflammatory responses not seen with presumed
early and late bacteraemia.
PMID- 22085233
TI - Cardiovascular comorbidity and treatment regret in men with recurrent prostate
cancer.
AB - Study Type - Therapy (case series). Level of Evidence 4. What's known on the
subject? and What does the study add? Treatment regret can have an adverse impact
on a patient's overall outlook and has been associated with a poorer global
quality of life. Understanding predictors of regret can help clinicians better
counsel patients about their treatments so that later regret can be avoided. In
previous studies, regret has been associated with lesser educational attainment,
non-White race, greater post-treatment declines in sexual function and systemic
symptoms. The present study found that, among men with recurrent prostate cancer,
those with cardiovascular comorbidity were >50% more likely to regret their
treatment choice than men without cardiovascular comorbidity. This study
highlights the growing importance of considering comorbidity when counselling
patients about prostate cancer treatment options, and provides a rationale for
men with cardiovascular comorbidity to give additional consideration to active
surveillance for their newly diagnosed prostate cancer. OBJECTIVE: * To determine
whether cardiovascular comorbidity is associated with increased treatment regret
among men with recurrent prostate cancer. METHODS: * The study cohort comprised
795 men in the Comprehensive, Observational, Multicenter, Prostate Adenocarcinoma
(COMPARE) registry who experienced biochemical recurrence at a median
(interquartile range) of 5.5 (2.8-9.1) years after prostatectomy (n= 410),
external beam radiation therapy (n= 237), brachytherapy (n= 124) or primary
androgen deprivation therapy (n= 24). * Multivariable logistic regression
analysis was used to determine whether cardiovascular comorbidity was associated
with treatment regret. * Cardiovascular comorbidity, which included myocardial
infarction, congestive heart failure, angina, diabetes, stroke or circulation
problems, was defined using a validated two-question screening process after
adjusting for sociodemographic and treatment factors and post-treatment bladder
and bowel toxicity. RESULTS: * Of 795 men, 14.8% reported regret. * Men with
cardiovascular comorbidity were more likely to experience post-therapy bowel
toxicity (P= 0.022). * In the adjusted multivariable model, the factors
associated with increased treatment regret were: cardiovascular comorbidity
(adjusted odds ratio [AOR]= 1.52 [95% CI:1.00-2.31], P= 0.048); younger age (AOR:
0.97 [95% CI 0.94-0.99] per year increase in age, P= 0.019); and bowel toxicity
after treatment (AOR 1.58 [95% CI 1.03-2.43], P= 0.038). CONCLUSIONS: * Among men
with recurrent prostate cancer, those with cardiovascular comorbidity were >50%
more likely to experience treatment regret than men without cardiovascular
comorbidity. * These data provide a rationale for men with cardiovascular
comorbidity to give additional consideration to active surveillance for their
newly diagnosed prostate cancer.
PMID- 22085235
TI - A highly C70 selective shape-persistent rectangular prism constructed through one
step alkyne metathesis.
AB - Dynamic covalent chemistry (DCC) provides an intriguing and highly efficient
approach for building molecules that are usually thermodynamically favored.
However, the DCC methods that are efficient enough to construct large, complex
molecules, particularly those with three-dimensional (3-D) architectures, are
still very limited. Here, for the first time, we have successfully utilized
alkyne metathesis, a highly efficient DCC approach, to construct the novel 3-D
rectangular prismatic molecular cage COP-5 in one step from a readily accessible
porphyrin-based precursor. COP-5 consists of rigid, aromatic porphyrin and
carbazole moieties as well as linear ethynylene linkers, rendering its shape
persistent nature. Interestingly, COP-5 serves as an excellent receptor for
fullerenes. It forms 1:1 complexes with C(60) and C(70) with association
constants of 1.4 * 10(5) M(-1) (C(60)) and 1.5 * 10(8) M(-1) (C(70)) in toluene.
This represents one of the highest binding affinities reported so far for purely
organic fullerene receptors. COP-5 shows an unprecedented high selectivity in
binding C(70) over C(60) (K(C70)/K(C60) > 1000). Moreover, the binding between
the cage and fullerene is fully reversible under the acid-base stimuli, thus
allowing successful separation of C(70) from a C(60)-enriched fullerene mixture
(C(60)/C(70), 10/1 mol/mol) through the "selective complexation-decomplexation"
strategy.
PMID- 22085236
TI - Alternative regimens for endometrial protection ? Where are we now?
PMID- 22085237
TI - Physical function in overweight postmenopausal women.
PMID- 22085241
TI - Computational haemodynamics in two idealised cerebral wide-necked aneurysms after
stent placement.
AB - Endovascular stents are being commonly used to treat cerebral wide-necked
aneurysms recently. The effect of a stent placed in the parent artery is not only
to protect the parent artery from occlusion, due to extension of coils and
thrombosis, but also to act as flow diverter to vary the haemodynamics in the
aneurysm. In this article, two idealised cerebral wide-necked aneurysms were
created, one was sidewall aneurysm with curved parent vessel and the other was
terminal aneurysm with the bifurcated parent vessel. The plexiglass models of the
two aneurysms were 'treated' with commercial porous intravascular stents. The
stented physical models were scanned by Micro-CT and the numerical models of the
two idealised cerebral wide-necked aneurysms after stent placement were
constructed from the scanned image files. The pulsatile flow of non-Newtonian
fluid inside the models was simulated by using computational fluid dynamics
package. From the simulated flow dynamics, various haemodynamic characteristics
such as velocity contours, wall shear stress and oscillatory shear index (OSI)
were computed. The velocity of the jet entering the sacs reduced after stent was
deployed across the necks of both sidewall and terminal aneurysms; the wall shear
stress on the distal neck of sidewall aneurysm reduced, the wall shear stress on
the dome of the terminal aneurysm increased and the OSI on the dome of the
terminal aneurysm reduced. Therefore, stent placement not only promotes thrombus
formation in both aneurysm models but also reduces the regrowth risk of the
sidewall aneurysm and the rupture risk of the terminal aneurysm.
PMID- 22085242
TI - Are respiratory complications common causes of death in inflammatory myopathies?
An autopsy study.
AB - BACKGROUND AND OBJECTIVE: Polymyositis (PM) and dermatomyositis (DM) are
idiopathic inflammatory myopathies that are associated with a variety of clinical
manifestations including pulmonary complications. The objective of the present
study was to determine the causes of deaths in this complex patient population.
METHODS: A computer-assisted search of medical and autopsy records identified a
total of 39 patients with either PM or DM who underwent an autopsy at the Mayo
Clinic (Rochester, MN, USA) over a 29-year period from 1 January 1981 to 31
December 2009. The immediate causes of death along with contributing causes were
determined by reviewing all available clinical data and autopsy findings. We also
analysed the discordance between ante-mortem clinical diagnoses provided by
clinicians and the final diagnosis by the post-mortem analysis. RESULTS:
Respiratory (33%), infectious (28%) and cardiovascular diseases (26%) accounted
for the majority of immediate causes of death. Acute exacerbation of chronic
interstitial lung disease (15%) and bronchopneumonia (15%) were the most common
specific causes. Immediate cause of death was not suspected in nearly one third
of cases and included bronchopneumonia, sepsis, acute myocardial infarction,
aspiration pneumonia, pulmonary embolism, aortic stenosis, mycotic aneurysm
rupture and acute haemoperitoneum. CONCLUSIONS: We conclude that pulmonary injury
is the immediate cause of death in one third of patients with PM/DM; acute
exacerbation of chronic interstitial lung disease and bronchopneumonia were the
most common specific causes. Immediate cause of death was not established ante
mortem in nearly one third of cases, and some of these causes were treatable.
PMID- 22085243
TI - Influenza neuraminidase.
AB - Influenza neuraminidase is the target of two licensed antivirals that have been
very successful, with several more in development. However, neuraminidase has
been largely ignored as a vaccine target despite evidence that inclusion of
neuraminidase in the subunit vaccine gives increased protection. This article
describes current knowledge on the structure, enzyme activity, and antigenic
significance of neuraminidase.
PMID- 22085244
TI - A source of ultrasensitivity in the glutamine response of the bicyclic cascade
system controlling glutamine synthetase adenylylation state and activity in
Escherichia coli.
AB - Glutamine synthetase (GS) activity in Escherichia coli is regulated by reversible
adenylylation, brought about by a bicyclic system comprised of
uridylyltransferase/uridylyl-removing enzyme (UTase/UR), its substrate, PII,
adenylyltransferase (ATase), and its substrate, GS. The modified and unmodified
forms of PII produced by the upstream UTase/UR-PII cycle regulate the downstream
ATase-GS cycle. A reconstituted UTase/UR-PII-ATase-GS bicyclic system has been
shown to produce a highly ultrasensitive response of GS adenylylation state to
the glutamine concentration, but its composite UTase/UR-PII and ATase-GS cycles
displayed moderate glutamine sensitivities when examined separately. Glutamine
sensitivity of the bicyclic system was significantly reduced when the trimeric
PII protein was replaced by a heterotrimeric form of PII that was functionally
monomeric, and coupling between the two cycles was different in systems
containing wild-type or heterotrimeric PII. Thus, the trimeric nature of PII
played a role in the glutamine response of the bicyclic system. We therefore
examined regulation of the individual AT (adenylylation) and AR (deadenylylation)
activities of ATase by PII preparations with various levels of uridylylation. AR
activity was affected in a linear fashion by PII uridylylation, but partially
modified wild-type PII activated the AT much less than expected based on the
extent of PII modification. Partially modified wild-type PII also bound to ATase
less than expected based upon the fraction of modified subunits. Our results
suggest that the AT activity is only bound and activated by completely unmodified
PII and that this design is largely responsible for ultrasensitivity of the
bicyclic system.
PMID- 22085245
TI - Biomass allocation to leaves, stems and roots: meta-analyses of interspecific
variation and environmental control.
AB - We quantified the biomass allocation patterns to leaves, stems and roots in
vegetative plants, and how this is influenced by the growth environment, plant
size, evolutionary history and competition. Dose-response curves of allocation
were constructed by means of a meta-analysis from a wide array of experimental
data. They show that the fraction of whole-plant mass represented by leaves (LMF)
increases most strongly with nutrients and decreases most strongly with light.
Correction for size-induced allocation patterns diminishes the LMF-response to
light, but makes the effect of temperature on LMF more apparent. There is a clear
phylogenetic effect on allocation, as eudicots invest relatively more than
monocots in leaves, as do gymnosperms compared with woody angiosperms. Plants
grown at high densities show a clear increase in the stem fraction. However, in
most comparisons across species groups or environmental factors, the variation in
LMF is smaller than the variation in one of the other components of the growth
analysis equation: the leaf area : leaf mass ratio (SLA). In competitive
situations, the stem mass fraction increases to a smaller extent than the
specific stem length (stem length : stem mass). Thus, we conclude that plants
generally are less able to adjust allocation than to alter organ morphology.
PMID- 22085246
TI - Hydrogen-bonded inclusion compounds with reversed polarity: anionic metal
complexes and cationic organic linkers.
AB - Synthesized and structurally characterized is a new series of soft-host
frameworks assembled by charge-assisted hydrogen bonds between an anionic metal
complex (MC) and cationic organic linkers (OL), specifically [Co(en)(ox)(2)](-)
and diprotonated 4,4'-bipyridinium (H(2)bpy) or 1,2-bis(4-pyridinium)ethylene
(H(2)bpye). While frameworks built of cationic complexes and anionic organic
linkers are already well-known, the seven new compounds described here represent
the first series of frameworks with reversed polarity, that is, made of anionic
complexes and cationic organic linkers. The compounds have a general formula
[OL][MC](2).n(guest), where the guest molecules 4,4'-biphenol (bp), 4
methoxyphenol (mp), 1,4-dimethoxybenzene (dmb), 1,6-dimethoxynaphtalene (dmn),
and 4-nitroanisole (na). Structurally the compounds can be described as pillared
layer frameworks with layers constructed of MC anions and linked together by
hydrogen-bonded cationic OL pillars. The guest molecules occupy the galleries
between the pillars while their steric, electronic, and pi-pi and hydrogen
bonding capabilities influence the overall structure of the soft frameworks.
PMID- 22085247
TI - Effect of cis and trans double bonds on conformational disordering of the
hydrocarbon chain of lipid, unsaturated monoacylglycerols, in the lamellar phase
of a binary system with water.
AB - To clarify the influence of cis and trans double bonds on conformational
disordering of unsaturated hydrocarbon-chain of lipids in bilayer formed in the
binary system with water, calorimetric study was conducted for systems of
unsaturated monoacylglycerols; monoolein (MO), monovaccenin (MV), and monoelaidin
(ME). Heat capacities of the binary systems were measured by adiabatic
calorimetry. The observed entropies of transition (Delta(trs)S) from lamellar
phase (L(alpha)) to fluid isotropic (FI) phase are very small and depend on
lipids: Delta(trs)S of MO/water, MV/water, and ME/water were ca. 0.8 J K(-1) (mol
of lipid) (-1), ca. 0.9 J K(-1) (mol of lipid) (-1), and ca. 0.4 J K(-1) (mol of
lipid) (-1), respectively. These show that the conformational disordering of the
hydrocarbon chain over gauche and trans conformations is suppresed in L(alpha)
phase. Through the comparison of Delta(trs)S among the binary systems, the
suppresed conformational disordering of hydrocarbon chain in the bilayer is
discussed.
PMID- 22085248
TI - Palladium-catalyzed synthesis of 4-aminophthalazin-1(2H)-ones by isocyanide
insertion.
AB - Palladium-catalyzed cross-coupling of a wide range of substituted o
(pseudo)halobenzoates and hydrazines with isocyanide insertion followed by
lactamization efficiently affords 4-aminophthalazin-1(2H)-ones that are difficult
to obtain regioselectively by classical methods.
PMID- 22085249
TI - Use of hyperbaric oxygen in traumatic brain injury: retrospective analysis of
data of 20 patients treated at a tertiary care centre.
AB - Traumatic brain injury (TBI) related impact results in a permanent need for help
in performing daily activities. Standard treatment consists of removing the
cause, restore perfusion, support metabolic requirement and limit inflammatory
and oxidative damage. Hyperbaric oxygen therapy (HBOT) is one such newer
promising treatment that enhances neurological recovery to some extent. HBOT is
intermittent inhalation of 100% oxygen at greater than normal atmospheric
pressure and is internationally accepted for its role in well-defined
indications. It is hypothesised that HBO has a role in reviving 'idling neurons',
also called the ischemic penumbra defined as area of reduced cerebral blood flow,
abolished synaptic activity but preserved structural integrity. We carried out a
retrospective analysis of medical records of 20 patients of TBI who had been
treated with HBOT in addition to standard management. These were placed in Group
A (test group) and received at least 30 sessions of HBO along with standard
treatment. The patients were assessed along the Disability Rating Scale (DRS),
Glasgow coma scale (GCS) and Rancho Los Amigos Scale (RLAS). Another 20 patients
of TBI, matched in age and severity of brain injury, who received standard
treatment but not HBOT, were selected as the control group (Group B). Assessment
on the DRS showed maximum improvement in patients with scores of 22-24
(vegetative state).The percentage of patients in the test group fell from 45% to
5% whereas only 20% patients in Group B had similar progress. After the
treatment, a significantly higher proportion of HBOT treated subjects showed a
good response in cognitive functions, as measured by RLA. In group A, 90%
patients had a score of <= 3 and in Group B 95% had a similar score, which
improved to >= 3 in 60% patients versus 30% patients respectively. In both groups
maximum patients are in 1-6 months post-injury category and within the groups
this category showed the greatest recovery, with a greater improvement in the
test group as compared to control group.
PMID- 22085250
TI - Post-operative spinal subdural extra-arachnoid hygroma causing cauda equina
compression: a report of two cases.
AB - We present two cases of symptomatic, post-lumbar surgery cauda equina compression
due to formation of a dissecting subdural extra-arachnoid cerebrospinal fluid
(CSF) collection (hygroma) under tension. In both cases, a small inadvertent
durotomy was sustained during the initial surgery. Surgical re-exploration
confirmed a tension subdural extra-arachnoid hygroma due to one-way flow of CSF
through a pinhole puncture in the arachnoid. The mechanism and clinico
radiological features of this rare post-operative complication are discussed.
PMID- 22085251
TI - The Goldilocks principle and antibiotic resistance in bacteria.
AB - We have designed and fabricated a microecology to mimic a naturally occurring
bacterial culture, which includes the stress gradient, metapopulation, and
cellular motility. In this microecology, we show that it is possible to fix the
resistance to the mutagenic antibiotic Ciprofloxacin in wild-type Escherichia
coli within 10 h. We found the evolution of resistance is further accelerated in
microecology if bacteria have already acquired the phenotype of growth advantage
at the stationary phase (GASP).
PMID- 22085252
TI - Antinociceptive and anti-inflammatory effects of olive oil (Olea europeae L.) in
mice.
AB - CONTEXT: Olive [Olea europaea L. (Oleaceae)] is a long-lived evergreen tree that
is widespread in different parts of the world. OBJECTIVE: Olive oil has been
reported to relieve pain; however, there is still insufficient data in the
literature on the subject. Thus, it is considered worthwhile investigating the
antinociceptive and anti-inflammatory effects of olive oil in adult male Balb/C
mice. MATERIALS AND METHODS: The antinociceptive effects were studied using
formalin, hot plate and writhing tests. The acute anti-inflammatory effects of
olive oil in mice were studied using xylene ear edema test. Olive oil (1, 5 and
10 ml/kg body wt.) was injected intraperitoneally. Intact animals served as
controls. RESULTS: Our results showed that the olive oil only decreased the
second phase of formalin-induced pain. In the hot plate test, olive oil did not
raise the pain threshold over the 60 min duration of the test. Olive oil
exhibited antinociceptive activity against writhing-induced pain by acetic acid.
In the xylene ear edema test, olive oil showed significant anti-inflammatory
activity in the mice. DISCUSSION AND CONCLUSION: The present data indicated that
olive oil has antinociceptive and anti-inflammatory effects in mice but further
investigation of these effects is required to elucidate the mechanism(s) involved
in analgesic and anti-inflammatory effects of Olea europaea oil.
PMID- 22085253
TI - Compaction and transport properties of newly replicated Caulobacter crescentus
DNA.
AB - Upon initiating replication of the Caulobacter chromosome, one copy of the parS
centromere remains at the stalked pole; the other moves to the distal pole. We
identified the segregation dynamics and compaction characteristics of newly
replicated Caulobacter DNA during transport (highly variable from cell to cell)
using time-lapse fluorescence microscopy. The parS centromere and a length (also
highly variable) of parS proximal DNA on each arm of the chromosome are
segregated with the same relatively slow transport pattern as the parS locus.
Newly replicated DNA further than about 100 kb from parS segregates with a
different and faster pattern, while loci at 48 kb from parS segregate with the
slow pattern in some cells and the fast pattern in others. The observed parS
proximal DNA compaction characteristics have scaling properties that suggest the
DNA is branched. HU2-deletion strains exhibited a reduced compaction phenotype
except near the parS site where only the DeltaHU1DeltaHU2 double mutant had a
compaction phenotype. The chromosome shows speed-dependent extension during
translocation suggesting the DNA polymer is under tension. While DNA segregation
is highly reliable and succeeds in virtually all wild-type cells, the high degree
of cell to cell variation in the segregation process is noteworthy.
PMID- 22085255
TI - Locally advanced prostate cancer: a population-based study of treatment patterns.
AB - Study Type--Therapy (practice patterns). Level of Evidence 2b. What's known on
the subject? And what does the study add? The treatment of locally advanced
prostate cancer varies widely even though there is level one evidence supporting
the use of multimodality therapy as compared with monotherapy. This study defines
treatment patterns of locally advanced prostate cancer within the United States
and identifies predicators of who receives multimodality therapy rather than
monotherapy. OBJECTIVE: * To identify treatment patterns and predictors of
receiving multimodality therapy in patients with locally advanced prostate cancer
(LAPC). PATIENTS AND METHODS: * The cohort comprised patients >=66 years with
clinical stage T3 or T4 non-metastatic prostate cancer diagnosed between 1998 and
2005 identified from the Surveillance, Epidemiology and End Results (SEER) cancer
registry records linked with Medicare claims. * Treatments were classified as
radical prostatectomy (RP), radiation therapy (RT) and androgen deprivation
therapy (ADT) received within 6 and 24 months of diagnosis. * We assessed trends
over time and used multivariable logistic regression to identify predictors of
multimodality treatment. RESULTS: * Within the first 6 months of diagnosis, 1060
of 3095 patients (34%) were treated with a combination of RT and ADT, 1486 (48%)
received monotherapy (RT alone, ADT alone or RP alone), and 461 (15%) received no
active treatment. * The proportion of patients who received RP increased,
exceeding 10% in 2005. * Use of combined RT and ADT and use of ADT alone
fluctuated throughout the study period. * In all 6% of patients received RT alone
in 2005. * Multimodality therapy was less common in patients who were older,
African American, unmarried, who lived in the south, and who had co-morbidities
or stage T4 disease. CONCLUSIONS: * Treatment of LAPC varies widely, and
treatment patterns shifted during the study period. * The slightly increased use
of multimodality therapy since 2003 is encouraging, but further work is needed to
increase combination therapy in appropriate patients and to define the role of
RP.
PMID- 22085254
TI - An in vivo characterization of trophic factor production following neural
precursor cell or bone marrow stromal cell transplantation for spinal cord
injury.
AB - Cellular transplantation strategies for repairing the injured spinal cord have
shown consistent benefit in preclinical models, and human clinical trials have
begun. Interactions between transplanted cells and host tissue remain poorly
understood. Trophic factor secretion is postulated a primary or supplementary
mechanism of action for many transplanted cells, however, there is little direct
evidence to support trophin production by transplanted cells in situ. In the
present study, trophic factor expression was characterized in uninjured, injured
untreated, injured-treated with transplanted cells, and corresponding control
tissue from the adult rat spinal cord. Candidate trophic factors were identified
in a literature search, and primers were designed for these genes. We examined in
vivo trophin expression in 3 paradigms involving transplantation of either brain
or spinal cord-derived neural precursor cells (NPCs) or bone marrow stromal cells
(BMSCs). Injury without further treatment led to a significant elevation of nerve
growth factor (NGF), leukemia inhibitory factor (LIF), insulin-like growth factor
1 (IGF-1), and transforming growth factor-beta1 (TGF-beta1), and lower expression
of vascular endothelial growth factor isoform A (VEGF-A) and platelet-derived
growth factor-A (PDGF-A). Transplantation of NPCs led to modest changes in
trophin expression, and the co-administration of intrathecal trophins resulted in
significant elevation of the neurotrophins, glial-derived neurotrophic factor
(GDNF), LIF, and basic fibroblast growth factor (bFGF). BMSCs transplantation
upregulated NGF, LIF, and IGF-1. NPCs isolated after transplantation into the
injured spinal cord expressed the neurotrophins, ciliary neurotrophic factor
(CNTF), epidermal growth factor (EGF), and bFGF at higher levels than host cord.
These data show that trophin expression in the spinal cord is influenced by
injury and cell transplantation, particularly when combined with intrathecal
trophin infusion. Trophins may contribute to the benefits associated with cell
based repair strategies for spinal cord injury.
PMID- 22085256
TI - Neurodevelopmental outcome in full-term newborns with refractory neonatal
seizures.
AB - AIM: This retrospective study describes the prognosis of full-term newborns with
refractory neonatal seizures, comparing the need for treatment with two versus
three or more antiepileptic drugs. METHODS: We reviewed our database (January
2002-December 2007) to include newborns with refractory neonatal seizures and
abnormal electroencephalogram. Group A consisted of 17 newborns with two
antiepileptic drugs. Group B consisted of 29 newborns with three or more
antiepileptic drugs. Outcome was determined at 2 years of age using the Dutch
Bayley Scales of Infant Development or a neurodevelopmental classification
scheme. RESULTS: Group A and group B were comparable regarding to a variety of
demographic and aetiologic factors. Thirteen newborns died before 2 years of age
and one was lost to follow-up. Normal development at 2 years of age was found in
50% and 5% for group A and B, respectively. Severe neurodevelopmental delay at 2
years of age was found in 30% and 68% for group A and B, respectively.
CONCLUSION: The number of antiepileptic drugs probably reflects increased seizure
burden and is--in that way--related to poor outcome. This may be useful
information for early prediction of adverse neurological outcome in the first
days of life.
PMID- 22085257
TI - Effects of long-term antiepileptic drug monotherapy on vascular risk factors and
atherosclerosis.
AB - PURPOSE: Long-term therapy with antiepileptic drugs (AEDs) has been associated
with metabolic consequences that lead to an increase in risk of atherosclerosis
in patients with epilepsy. We compared the long-term effects of monotherapy using
different categories of AEDs on markers of vascular risk and the atherosclerotic
process. METHODS: One hundred sixty adult patients who were receiving AED
monotherapy, including two enzyme-inducers (carbamazepine, CBZ; and phenytoin,
PHT), an enzyme-inhibitor (valproic acid, VPA), and a noninducer (lamotrigine,
LTG) for more than 2 years, and 60 controls were enrolled in this study. All
study participants received measurement of common carotid artery (CCA) intima
media thickness (IMT) by B-mode ultrasonography to assess the extent of
atherosclerosis. Other measurements included body mass index, and serum lipid
profile or levels of total homocysteine (tHcy), folate, uric acid, fasting blood
sugar, high sensitivity C-reactive protein (hs-CRP), or thiobarbituric acid
reactive substances (TBARS). KEY FINDINGS: Long-term monotherapy with older
generation AEDs, including CBZ, PHT, and VPA, caused significantly increased CCA
IMT in patients with epilepsy. After adjustment for the confounding effects of
age and gender, the CCA IMT was found to be positively correlated with the
duration of AED therapy. Patients with epilepsy who were taking enzyme-inducing
AED monotherapy (CBZ, PHT) manifested disturbances of cholesterol, tHcy or folate
metabolism, and elevation of the inflammation marker, hs-CRP. On the other hand,
patients on enzyme-inhibiting AED monotherapy (VPA) exhibited an increase in the
levels of uric acid and tHcy, and elevation of the oxidative marker, TBARS.
However, no significant alterations in the markers of vascular risk or CCA IMT
were observed in patients who received long-term LTG monotherapy. SIGNIFICANCE:
Patients with epilepsy who were receiving long-term monotherapy with CBZ, PHT, or
VPA exhibited altered circulatory markers of vascular risk that may contribute to
the acceleration of the atherosclerotic process, which is significantly
associated the duration of AED monotherapy. This information offers a guide for
the choice of drug in patients with epilepsy who require long-term AED therapy,
particularly in aged and high-risk individuals.
PMID- 22085258
TI - Hood entry coefficients of compound exhaust hoods.
AB - A traditional method for assessing the flow rate in ventilation systems is based
on multiple readings of velocity or velocity pressure (VP) (usually 10 or 20
points) taken in ductwork sections located away from fittings (> seven *
diameters of straight duct). This study seeks to eliminate the need for a
multiple-point evaluation and replace it with a simplified method that requires
only a single measurement of hood static pressure (SP(h)) taken at a more
accessible location (< three * diameters of straight duct from the hood entry).
The SP(h) method is widely used for the assessment of flow rate in simple hoods.
However, industrial applications quite often use compound hoods that are
regularly of the slot/plenum type. For these hoods, a "compound coefficient of
entry" has not been published, which makes the use of the hood static pressure
method unfeasible. This study proposes a model for the computation of a "compound
coefficient of entry" and validates the use of this model to assess flow rate in
two systems of well-defined geometry (multi-slotted/plenum and single
slotted/tapered or "fish-tail" types). When using a conservative value of the
slot loss factor (1.78), the proposed model yielded an estimate of the volumetric
flow rate within 10% of that provided by a more comprehensive method of
assessment. The simplicity of the hood static pressure method makes it very
desirable, even in the upper range of experimental error found in this study.
PMID- 22085259
TI - Neonatal respiratory consequences from water birth.
AB - AIM: Differentiating features were sought for respiratory distress after water
birth versus air birth in term low-risk babies. Clinical and X-ray features were
to be assessed to determine if the disease processes could be differentiated.
METHODS: Review of case records and X-rays over a 7-year period for all admitted
babies with respiratory distress after water birth and a similar group of babies
with respiratory distress after air birth. RESULTS: There were 14 water birth
babies and 24 air birth babies in the study. The water birth babies showed
greater acidosis, greater requirement for ventilation, greater requirement for
nitric oxide treatment and greater time to establish feeding. The X-rays could
not be reliably allocated to the correct group, but the water birth X-rays were
judged to have more severe changes than the air birth babies. CONCLUSION: In low
risk babies with respiratory distress, water birth is associated with a greater
level of respiratory morbidity than seen after air birth.
PMID- 22085260
TI - Total synthesis of the potent androgen receptor antagonist (-)-arabilin: a
strategic, biomimetic [1,7]-hydrogen shift.
AB - The first total synthesis of (-)-arabilin, a Streptomyces metabolite that
inhibits hormone activation of the androgen receptor, has been completed. The key
step, a [1,7]-hydrogen shift, establishes the enol ether-containing skipped
tetraene substructure. This nonenzymatic pericyclic reaction is considered to be
biomimetic.
PMID- 22085261
TI - The relationship of leukocyte anisocytosis to holotranscobalamin, a marker of
cobalamin deficiency.
AB - INTRODUCTION: After measurement of the mean volumes of leukocyte subpopulations
as well as the distribution widths (DW) of these volumes has become available, we
investigated whether such morphometric leukocyte parameters are associated with a
commonly used marker of cobalamin deficiency, i.e., holotranscobalamin (HoloTC).
Further, we determined reference intervals for these parameters in an elderly
population. METHODS: Consecutive subjectively healthy and volunteering
individuals >=60 years were included. Using the UniCel DxH 800 Coulter Cellular
Analysis System MoMV, mean neutrophil volume (NeMV), mean lymphocyte volume
(LyMV), monocyte anisocytosis (MoV-DW), neutrophil anisocytosis (NeV-DW), and
lymphocyte anisocytosis (LyV-DW) were assessed together with other parameters
including HoloTC. RESULTS: A total of 150 individuals were included in the study.
Reference intervals were not dependent on age and gender. MoV-DW (P = 0.002) and
NeV-DW (P = 0.02) were significantly lower, and LyMV was significantly higher (P
= 0.04) in participants with a HoloTC concentration <28 pm. In contrast, MCV,
MoMV, NeMV, and LyV-DW were not associated with HoloTC concentrations. The area
under the curve (AUC) in the receiver operating characteristic analysis for
detecting a HoloTC <28 pm was 0.81 [95% confidence interval (CI) (0.73, 0.87)]
for MoV-DW and 0.73 (0.66, 0.80) for NeV-DW. CONCLUSION: In this collective of
subjectively healthy elderly individuals, monocyte anisocytosis, neutrophil
anisocytosis and mean lymphocyte volume were associated with decreased HoloTC.
PMID- 22085263
TI - Observations of PDDTT subject to thermal treatment: correlation between
performance and order.
AB - We show that polybis(thienyl)thienodia-thiazolethiophene (PDDTT), a high
performance semiconducting polymer for photodetectors and field-effect
transistors, has strong performance dependence on annealing temperature. An
unprecedented increase of 3 orders of magnitude is observed in both transistor
and photoconductive properties. XRD and AFM evidence points to increased ordering
in PDDTT films with annealing. This correlation highlights the importance that
order has in determining performance in PDDTT and has possible implications in
the design of polymers.
PMID- 22085262
TI - Slow motions in the hydrophobic core of chicken villin headpiece subdomain and
their contributions to configurational entropy and heat capacity from solid-state
deuteron NMR measurements.
AB - We have investigated microsecond to millisecond time scale dynamics in several
key hydrophobic core methyl groups of chicken villin headpiece subdomain protein
(HP36) using a combination of single-site labeling, deuteron solid-state NMR line
shape analysis, and computational modeling. Deuteron line shapes of hydrated
powder samples are dominated by rotameric jumps and show a large variability of
rate constants, activation energies, and rotameric populations. Site-specific
activation energies vary from 6 to 38 kJ/mol. An additional mode of diffusion on
a restricted arc is significant for some sites. In dry samples, the dynamics is
quenched. Parameters of the motional models allow for calculations of
configurational entropy and heat capacity, which, together with the rate
constants, allow for observation of interplay between thermodynamic and kinetic
picture of the landscape. Mutations at key phenylalanine residues at both distal
(F47L&F51L) and proximal (F58L) locations to a relatively rigid side chain of L69
have a pronounced effect on alleviating the rigidity of this side chain at room
temperature and demonstrate the sensitivity of the hydrophobic core environment
to such perturbations.
PMID- 22085264
TI - Self-assembly of polydeoxyadenylic acid studied at the single-molecule level.
AB - The investigation on the self-assembly of polydeoxyadenylic acid (poly(dA)) is
highly important to fully understand its biological function and for its
application in the field of nanotechnology. Using the fluorescence resonance
energy transfer (FRET) technique, we report investigations for the self-assembly
of adenine oligomers induced by pH and coralyne binding at the single-molecule
level and in the bulk phase. Results presented here show that A-motif 1 (Alexa488
5'-(dA)(20)-3'-Cy5-5'-(dA)(20)-3'-Alexa488) forms the wire-type duplex at acidic
pH, whereas the same conformation of A-motif 2 (Alexa488-5'-(dA)(20)-3'-Cy5-3'
(dA)(20)-5'-Alexa488) is induced by coralyne binding at neutral pH. These results
indicate that poly(dA) at acidic pH forms a right-handed helical duplex with
parallel-mannered chains, whereas the coralyne-poly(dA) binding induces a stable
antiparallel duplex. Furthermore, we found that the antiparallel duplex of
poly(dA) formed by coralyne binding has a rather extended and less twisted
structure as compared to the parallel duplex of poly(dA) formed at acidic pH. On
the other hand, from dilution experiments, we found that the parallel duplex
formed at acidic pH is converted to "S-form", which has the single-stranded
structure with short intramolecular double-stranded regions formed by
intramolecular A:A base pairing, while the A-motif-coralyne assembly is
dissociated into single strands below a certain concentration. The formation of S
form with a short intramolecular double-stranded region formed at acidic pH and
very low concentration is confirmed by the quantitative analysis of FCS curve to
measure the hydrodynamic radius of a molecule.
PMID- 22085265
TI - Reactivities of superoxide and hydroperoxyl radicals with disubstituted cyclic
nitrones: a DFT study.
AB - The unique ability of nitrone spin traps to detect and characterize transient
free radicals by electron paramagnetic resonance (EPR) spectroscopy has fueled
the development of new spin traps with improved properties. Among a variety of
free radicals in chemical and biological systems, superoxide radical anion
(O(2)(*-)) plays a critical role as a precursor to other more oxidizing species
such as hydroxyl radical (HO(*)), peroxynitrite (ONOO(-)), and hypochlorous acid
(HOCl), and therefore the direct detection of O(2)(*-) is important. To overcome
the limitations of conventional cyclic nitrones, that is, poor reactivity with
O(2)(*-), instability of the O(2)(*-) adduct, and poor cellular target
specificity, synthesis of disubstituted nitrones has become attractive.
Disubstituted nitrones offer advantages over the monosubstituted ones because
they allow bifunctionalization of spin traps, therefore accommodating all the
desired spin trap properties in one molecular design. However, because of the
high number of possible disubstituted analogues as candidate, a systematic
computational study is needed to find leads for the optimal spin trap design for
biconjugation. In this paper, calculation of the energetics of O(2)(*-) and
HO(2)(*) adduct formation from various disubstituted nitrones at PCM/B3LYP/6
31+G(d,p)//B3LYP/6-31G(d) level of theory was performed to determine the most
favorable disubstituted nitrones for this reaction. In addition, our results
provided general trends of radical reactivity that is dependent upon but not
exclusive to the charge densities of nitronyl-C, the position of substituents
including stereoselectivities, and the presence of intramolecular H-bonding
interaction. Unusually high exoergic DeltaG(298K,aq)'s for O(2)(*-) and HO(2)(*)
adduct formation were predicted for (3S,5S)-5-methyl-3,5-bis(methylcarbamoyl)-1
pyrroline N-oxide (11-cis) and (4S,5S)-5-dimethoxyphosphoryl-5-methyl-4
ethoxycarbonyl-1-pyrroline N-oxide (29-trans) with DeltaG(298K,aq) = -3.3 and
9.4 kcal/mol, respectively, which are the most exoergic DeltaG(298K,aq) observed
thus far for any nitrone at the level of theory employed in this study.
PMID- 22085267
TI - Interactions of amines with silicon species in undersaturated solutions leads to
dissolution and/or precipitation of silica.
AB - The biogeochemical silicon cycle is the focus for many researchers studying the
dissolution of silicon species from quartz, amorphous, and biogenic silica.
Furthermore, the precipitation of biogenic silica by diatoms, radiolarian,
sponges, and plants is also a popular focus for research. The ornate silica
structures created by these species has attracted interest from biomaterial
scientists and biochemists who have studied mineral formation in an attempt to
understand how biogenic silica is formed, often in the presence of proteins and
long chain polyamines. This article is at the interface of these seemingly
distinct research areas. Here we investigate the effect of a range of amines in
globally undersaturated silicon environments. Results are presented on the effect
of amine-containing molecules on the formation of silica from undersaturated
solutions of orthosilicic acid and globally undersaturated silicon environments.
We sought to address two questions: can silica be precipitated/harvested from
undersaturated solutions, and can we identify the silicon species that are most
active in silica formation? We demonstrate that none of the bioinspired additives
investigated here (e.g., poly(allylamine hydrochloride), pentaethylenehexamine,
and propylamines) have any influence on orthosilicic acid at undersaturated
concentrations. However, under globally undersaturated silicon concentrations,
small molecules and polymers containing amine groups were able to interact with
oligomers of silicic acid to either generate aggregated materials that can be
isolated from solution or increase rates of oligomer dissolution back to
orthosilicic acid. Additional outcomes of this study include an extended
understanding of how polyelectrolytes and small molecules can promote and/or
inhibit silica dissolution and a new method to explore how (bio)organic molecules
interact with a forming mineral phase.
PMID- 22085266
TI - Beta-xylosidase activity of a GH3 glucosidase/xylosidase from yak rumen
metagenome promotes the enzymatic degradation of hemicellulosic xylans.
AB - AIMS: To characterize the duel activities of a glycosyl hydrolase family 3 beta
glucosidase/xylosidase from rumen bacterial metagenome and to investigate the
capabilities of its beta-d-xylosidase activities for saccharification of
hemicellulosic xylans. METHODS AND RESULTS: A beta-glucosidase/xylosidase gene
RuBGX1 was cloned from yak (Bos grunniens) rumen using the metagenomic
technology. Recombinant RuBGX1, expressed in Escherichia coli, demonstrated high
hydrolytic activities on both p-nitrophenyl-beta-d-glucopyranoside (pNP-Glc) and
p-nitrophenyl-beta-d-xylopyranoside (pNP-Xyl) substrates. Analysis of the kinetic
properties indicated that RuBGX1 had a lower affinity for pNP-Glc substrate as
the K(m) was 0.164 mmol l(-1) for pNP-Glc and 0.03 mmol l(-1) for pNP-Xyl at pH
6.0 and 50 degrees C, respectively. The capabilities of RuBGX1 beta-xylosidase
for hydrolysis of xylooligosaccharide substrates were further investigated using
an endoxylanase-coupled assay. Hydrolysis time courses illustrated that a
significant increase (about 50%) in the reducing sugars, including xylobiose,
xylotriose and xylotetraose, was achieved by supplementing endoxylanase with
RuBGX1. Enzymatic product analysis using high-performance anion-exchange
chromatography-pulsed amperometric detection showed that RuBGX1 could release
xyloses from intermediate xylooligosaccharides produced by endoxylanase.
CONCLUSIONS: The RuBGX1 shows beta-glucosidase activity in hydrolysis of cello
oligosaccharides; meanwhile, it has beta-xylosidase activity and functions
synergistically with endoxylanase to promote the degradation of hemicellulosic
xylans. SIGNIFICANCE AND IMPACT OF THE STUDY: This was the first to report the
beta-xylosidase activity of family 3 beta-glucosidase/xylosidase functioned in
the degradation of hemicellulosic xylans. The bifunctional beta
glucosidase/xylosidase property of RuBGX1 can be used in simultaneous
saccharification of cellulose and xylan into fermentable glucose and xylose.
PMID- 22085268
TI - Genetic polymorphisms of UGT1A7 and cancer risk: evidence from 21 case-control
studies.
AB - The aim of our meta-analysis was to assess the association between UGT1A7
polymorphisms and cancer risk. Case?control studies containing available
polymorphic alleles (UGT1A7*1,*2,*3, and*4) and genotypes categorized according
to enzymatic activity (High, Intermediate, and Low) were chosen to assess this
association. Twenty-one case?control studies were identified. Meta-analysis
indicated that UGT1A7 had a significant effect on cancer risk. In subgroup
analysis, a significantly increased risk was associated with East Asians,
hepatocellular cancer, and colorectal cancer. This meta-analysis suggested that
there is a cancer risk associated with UGT1A7*3, Intermediate, and Low activity
UGT1A7 genotypes, which is most evident in Asian individuals.
PMID- 22085269
TI - Systematic review: generating evidence-based guidelines on the concurrent use of
dietary antioxidants and chemotherapy or radiotherapy.
AB - The risk-benefit ratio for concurrent use of dietary antioxidants with
chemotherapy or radiation therapy is a controversial topic. In this review, the
medical literature on concurrent antioxidant use with chemotherapy or
radiotherapy was assessed and further steps for generating evidence-based
guidelines are suggested. The clinical cancer research community should cooperate
and focus new studies on the use of a specific combination of antioxidant and
chemotherapy or radiotherapy, and determine optimal doses for a specific cancer
setting. Mechanistic studies on the interaction between antioxidants and
conventional cancer therapy could lead to novel biomarkers for assessing dose
adequacy.
PMID- 22085270
TI - An analog of withaferin A activates the MAPK and glutathione "stress" pathways
and inhibits pancreatic cancer cell proliferation.
AB - Withaferin A (WA) (1) and two analogs [4-epi-withaferin A (2) and 4,27-diacetyl-4
epi-withaferin A (3)] were evaluated for antitumor activity in pancreatic cancer
cells. IC(50) for 1, 2, and 3 were 0.87, 0.45, and 0.29 ?M (BxPC-3); 1.28, 1.53,
and 0.52 ?M (MIAPaCa-2); and 0.59, 2.25, and 0.56 ?M (PANC-1), respectively. We
chose WA analog 3 for functional studies with confirmatory RT-PCR and Western
blotting. ANOVA identified 33 (MIAPaCa-2), 54 (PANC-1), and 48 (BxPC-3) gene
expression changes. Fisher exact test demonstrated MAPK and glutathione pathways
to be overexpressed with WA analog 3. WA analog 3 elicits a dose- and time
dependent apoptosis, activates MAPK and glutathione ?stress? pathways, and
inhibits proliferation.
PMID- 22085271
TI - Tumor endothelial marker 8 overexpression in breast cancer cells enhances tumor
growth and metastasis.
AB - Tumor vasculature is known to express high levels of the longest splice variant
of tumor endothelial marker 8 (TEM8). Little is known about its expression by
tumor cells. Five of eight cell breast cancer cell lines tested expressed
significant levels of the longest TEM8 splice variant (TEM8.1), and to a lesser
extent, the shortest splice variant (TEM8.3). Breast cancer cell lines expressing
high levels of TEM8 are known to be more invasive and typify a more aggressive
basal-like phenotype. In vivo studies in the 4T1 murine model showed enhanced
tumor growth associated with increased tumor vascularity and metastasis to lymph
nodes and lungs. These data suggest that TEM8.1 expression in breast cancer cells
confers a more aggressive, proangiogenic phenotype.
PMID- 22085273
TI - Carnitine administration reduces cytokine levels, improves food intake, and
ameliorates body composition in tumor-bearing rats.
AB - Increased cytokine expression contributes to the pathogenesis of cancer
anorexia?cachexia syndrome. Carnitine may reduce inflammation in chronic
diseases. We tested the effects of L-propionylcarnitine (PC group) or saline (C
group) on food intake (FI), body composition, and inflammatory status of MCA
sarcoma-bearing rats. On tumor appearance, rats were randomly assigned to daily
i.p. injection of L-propionylcarnitine (250 mg/kgBW/d; n = 8) or saline (equal
volume; n = 8). FI and fat-free mass wasting improved in PC rats only (p < .01
vs. controls). Cytokines? levels decreased in PC rats vs. controls (p < .02).
Results suggest that carnitine may ameliorate cancer anorexia?cachexia, via
reduction of the inflammatory status.
PMID- 22085272
TI - The effect of adenovirus-mediated gene expression of FHIT in small cell lung
cancer cells.
AB - The candidate tumor suppressor fragile histidine traid (FHIT) is frequently
inactivated in small cell lung cancer (SCLC). Mutations in the p53 gene also
occur in the majority of SCLC leading to the accumulation of the mutant protein.
Here we evaluated the effect of FHIT gene therapy alone or in combination with
the mutant p53-reactivating molecule, PRIMA-1(Met)/APR-246, in SCLC.
Overexpression of FHIT by recombinant adenoviral vector (Ad-FHIT)-mediated gene
transfer in SCLC cells inhibited their growth by inducing apoptosis and when
combined with PRIMA-1(Met)/APR-246, a synergistic cell growth inhibition was
achieved.
PMID- 22085274
TI - Suppression of epidermal growth factor receptor (EGFR) expression by small
hairpin RNA inhibits the growth of human nonsmall cell lung cancers bearing wild
type and mutant EGFR.
AB - In the present study, we have used plasmid-based RNA interference (RNAi) strategy
to downregulate the expression of epidermal growth factor receptor (EGFR) in EGFR
wild-type (H292) and mutant (H1975) lung tumor models. The targeted knockdown of
EGFR by small hairpin RNA not only inhibited growth of H292 xenograft but also
inhibited H1975 lung cancer cell and xenograft, which bore L858R/T790M EGFR and
was resistant to EGFR tyrosine kinase inhibitors. These data demonstrated that
small hairpin RNA was an effective therapy against mutant EGFR-expressing cancer
cells and thus considered to be a promising strategy in the treatment of lung
cancers.
PMID- 22085275
TI - Deslongchamps annulations with benzoquinone monoketals.
AB - The so-called Deslongchamps annulation of deprotonated gamma,delta-unsaturated
beta-ketoesters 15 to 2-(alkoxycarbonyl)cyclohex-2-en-1-ones or similarly
activated cyclohex-2-en-1-ones offers a versatile access to various kinds of
decalindiones. The scope of Deslongchamps annulations was extended by
establishing acceptor-substituted benzoquinone monoketals such as 13 as viable
substrates. They gave octalindiones such as 35 with diastereoselectivities >=
95:5.
PMID- 22085276
TI - Anticancer activity of Berberis aristata in Ehrlich ascites carcinoma-bearing
mice: a preliminary study.
AB - CONTEXT: Berberis aristata DC (Berberidaceae) is an important medicinal plant
with claims of widespread medicinal value in indigenous medicine. It is used by
herbal healers to treat oral cancers. OBJECTIVE: To evaluate the antineoplastic
activity of the extracts of Berberis aristata in Ehrlich ascites carcinoma (EAC)
bearing mice with cisplatin as positive control in the advanced stage of
tumorigenesis. MATERIALS AND METHODS: Brine shrimp lethality bioassay (BSL) of
extracts and effect on the tumor cell viability in vitro were carried out. EAC
was induced in Swiss albino mice by injecting 10(6) cell/mL of tumor cell
suspension i.p. Antineoplastic activity of the aqueous and ethanol extracts (100
and 6.5 mg/kg i.p., respectively) was compared with that of cisplatin (3.5 mg/kg
i.p.) on the parameters such as percentage increase in weight, median survival
time, and hematology. RESULTS: Ethanol extract attenuated percentage increase in
weight gain (-6.86 +/- 1.50) due to tumor cell proliferation and increased the
survival time (19.5 days) when compared to control group (19.10 +/- 2.31 and 16
days, respectively). However, the effect was less than that of cisplatin. In
vitro cytotoxicity assay as well as BSL test showed the cytotoxic effect of the
extracts. Cisplatin and the extracts reversed the tumor-induced alterations in
total white blood cell count, differential leukocyte counts, total red blood cell
count, and hemoglobin contents. DISCUSSION AND CONCLUSION: Of the two extracts,
the ethanol extract was observed to be more efficient and the presence of
alkaloids and flavonoids may be responsible for the observed anticancer effects.
PMID- 22085277
TI - Screening and identification of potential active components in crude Fructus
Corni using solid-phase extraction and LC-LTQ-linear ion trap mass spectrometry.
AB - CONTEXT: Fructus Corni is derived from the dry ripe sarcocarp of Cornus
officinalis Sieb. et Zucc. (Cornaceae). It has attracted increasingly much
attention as one of the most popular and valuable herbal medicine in clinic. This
paper applied a rapid and validated method to the intrinsic quality control of
Fructus Corni. MATERIALS AND METHODS: The components of crude Fructus Corni were
investigated by means of solid-phase extraction (SPE) and LTQ-linear ion trap
mass spectrometry (MS) technique in the negative ion mode. RESULTS: The 29
detected compounds were identified by comparing the retention time and mass
spectrometry data and retrieving the reference literatures. DISCUSSION AND
CONCLUSION: It was concluded that a rapid and validated method was successfully
applied based on SPE-LC-DAD-LTQ-linear-MS(n) which showed high sensitivity and
resolution that was more suitable for identifying main components in Traditional
Chinese medicines (TCMs) and their prescriptions, which would be helpful to their
quality control.
PMID- 22085278
TI - Effects of dietary fruits, vegetables and a herbal tea on the in vitro transport
of cimetidine: comparing the Caco-2 model with porcine jejunum tissue.
AB - CONTEXT: Dietary botanicals are often consumed together with allopathic
medicines, which may give rise to pharmacokinetic interactions. In vitro
intestinal models are useful to identify botanical-drug interactions, but they
may exhibit different expressions of transporters or enzymes. OBJECTIVE: To
compare the effects of selected dietary botanical extracts on cimetidine
transport across two in vitro intestinal models. MATERIALS AND METHODS: Bi
directional transport of cimetidine was measured across Caco-2 cell monolayers
and excised porcine jejunum tissue in the absence (control) as well as the
presence of verapamil (positive control) and selected plant extracts. RESULTS:
Sclerocarya birrea Hochst. (Anacardiaceae) (marula) and Psidium guajava L.
(Myrtaceae) (guava) crude extracts significantly decreased cimetidine efflux in
both in vitro models resulting in increased absorptive transport of the drug. On
the other hand, Dovyalis caffra Sim. (Flacourtiaceae) (Kei-apple), Prunus persica
(L.) Batsch (Rosaceae) (peach), Aspalathus linearis (Burm. f.) R. Dahlgren
(Fabaceae) (rooibos tea), Daucus carota L. (Apiaceae) (carrot), Prunus domestica
A. Sav. (Rosaceae) (plum), Beta vulgaris L. (Chenopodiaceae) (beetroot) and
Fragaria x ananassa (Weston) Duchesne ex Rozier. (Rosaceae) (strawberry) crude
extracts exhibited different effects on cimetidine transport between the two
models. DISCUSSION: Caco-2 cells were more sensitive to changes in cimetidine
transport by the plant extracts and therefore may overestimate the effects of co
administered plant extracts on drug transport compared to the excised pig tissue
model, which is congruent with findings from previous studies. CONCLUSIONS: The
excised porcine jejunum model seemed to provide a more realistic estimation of
botanical-drug pharmacokinetic interactions than the Caco-2 cell model.
PMID- 22085279
TI - Evaluation of cardiomyocyte hypoxia injury models for the pharmacological study
in vitro.
AB - CONTEXT: Traditional Herbal Medicine (THM) has many advantages that make it a
promising choice for the treatment of ischemic heart disease (IHD). To study the
mechanism of IHDs or pharmacological actions of THM, many hypoxia-induced
cardiomyocyte injury models have been established. Radix Salvia miltorrhiza
(Danshen) was used as a representative of THM. Danshen is a famous medicinal herb
widely applied in Asia to relieve ischemic cardiovascular diseases. OBJECTIVE: To
investigate the effects of various hypoxic conditions and discuss a suitable
hypoxia model, cell viability, apoptosis, release of myocardial injury markers,
and mRNA levels of target genes were tested for the first time. MATERIALS AND
METHODS: Radix Salvia miltorrhiza (Danshen) was purchased from a GMP-compliant
producer and both its preparation method and quality control were standardized.
Cellular status, such as cell viability, apoptosis, releases of myocardial injury
markers, and the mRNA level of target gene were tested by 3-[4,5-dimethylthiazol
2-yl]-2,5-diphenyltetrazolium bromide (MTT) method, biochemical analyzer, flow
cytometry, Hoechst 33258 staining, and real-time PCR, respectively. RESULTS:
Based on our data, we found a treppe response of cardiomyocyte in the hypoxic
condition and suggested that 8 h in 2% O2 might be a suitable condition for in
vitro pharmacological study of cardiomyocytes. DISCUSSION AND CONCLUSIONS: Our
findings outlined more extended and in-depth capability of cardiomyocyte
suffering from hypoxia, and might be of particular interest due to the high
prevalence of THM pharmacological study.
PMID- 22085281
TI - Molecular cytopathology and flow cytometry: pre-analytical procedures matter.
PMID- 22085283
TI - Dexamethasone facilitates lipid accumulation in chicken skeletal muscle.
AB - The effects of glucocorticoid on lipid metabolism of broiler chicken (Gallus
gallus domesticus) skeletal muscle were investigated. Male Arbor Acres chickens
(35 days old) were subjected to dexamethasone treatment for 3 days. We found that
dexamethasone retards body growth while facilitating lipid accumulation. In M.
pectoralis major (PM), dexamethasone increased the expression of glucocorticoid
receptor (GR), fatty acid transport protein 1 (FATP1), heart fatty acid-binding
protein (H-FABP) and long-chain acyl-CoA dehydrogenase (LCAD) mRNA and decreased
the expression of liver carnitine palmitoyltransferase 1 (L-CPT1), adenosine
monophosphate-activated protein kinase (AMPK) alpha2 and lipoprotein lipase (LPL)
mRNA. LPL activity was also decreased. In M. biceps femoris (BF), the levels of
GR, FATP1 and L-CPT1 mRNA were increased. AMPKalpha (Thr172) phosphorylation and
CTP1 activity of skeletal muscle were decreased by dexamethasone. In fed
chickens, dexamethasone enhanced very low-density lipoprotein receptor (VLDLR)
expression and AMPK activity in muscle, but it impaired the expression of LPL and
L-CPT1 mRNA and LPL activity in PM and augmented the expression of GR, LPL, H
FABP, L-CPT1, LCAD and AMPKalpha2 mRNA in BF. Adipose triglyceride lipase (ATGL)
protein expression was not affected by dexamethasone. In conclusion, in the
fasting state, dexamethasone-induced-retarded fatty acid utilisation may be
involved in the augmented intramyocellular lipid accumulation in both glycolytic
(PM) and oxidative (BF) muscle tissues. In the fed state, dexamethasone promoted
the transcriptional activity of genes related to lipid uptake and oxidation in
muscles. Unmatched lipid uptake and utilisation are suggested to be involved in
the augmented intramyocellular lipid accumulation.
PMID- 22085282
TI - Hybrid peptide dendrimers for imaging of chemokine receptor 4 (CXCR4) expression.
AB - The chemokine receptor 4 (CXCR4), which is overexpressed in many types of cancer,
is an emerging target in the field of molecular imaging and therapeutics. The
CXCR4 binding of several peptides, including the cyclic Ac-TZ14011, has already
been validated. In this study mono-, di- and tetrameric Ac-TZ14011-containing
dendrimers were prepared and functionalized with a multimodal (hybrid) label,
consisting of a Cy5.5-like fluorophore and a DTPA chelate. Confocal microscopy
revealed that all three dendrimers were membrane bound at 4 degrees C,
consistent with CXCR4 binding in vitro. The unlabeled dimer and tetramer had a
somewhat lower affinity for CXCR4 than the unlabeled monomer. However, when
labeled with the multimodal label the CXCR4 affinity of the dimer and tetramer
was considerably higher compared to that of the labeled monomer. On top of that,
biodistribution studies revealed that the additional peptides in the dimer and
tetramer reduced nonspecific muscle uptake. Thus, multimerization of the cyclic
Ac-TZ14011 peptide reduces the negative influence of the multimodal label on the
receptor affinity and the biodistribution.
PMID- 22085284
TI - Population-based study of erectile dysfunction and polypharmacy.
AB - Study Type - Symptom prevalence (population cohort). Level of Evidence 1b. What's
known on the subject? and What does the study add? It is known that medical
conditions such as diabetes, high blood pressure, high cholesterol, smoking and
prescribed medications cause erectile dysfunction (ED). This has been studied at
the molecular level and reported in population studies. The present study shows
that, after accounting for known medical problems, there is a dose-response
relationship, in which worsening degrees of ED are seen when a greater number of
medications are taken, regardless if they are prescribed or over the counter. The
study can help primary care doctors and urologists to make a differential
diagnosis of ED and it can also help improve patient's erectile function by
tailoring and curtailing current medication use to maximize therapeutic benefit
but minimize ED side effects in men, thus improving health-related quality of
life. OBJECTIVE: * To study the association between erectile dysfunction (ED) and
polypharmacy use in a large, ethnically and racially diverse cohort of men
enrolled in the California Men's Health Study (CMHS). PATIENTS AND METHODS: * Men
from the Kaiser Permanente Southern California (KPSC) health plan, enrolled in
the CMHS in 2002, had an age range of 45-69 years. ED and comorbidities of these
subjects were identified by questionnaire responses. * The number of drugs taken
was determined from the year before enrollment through electronic pharmacy
records and questionnaire responses. RESULTS: * Among the 37 712 (KPSC) subjects,
10 717 (29%) reported moderate or severe ED. * Across all age groups, ED was more
prevalent as the number of medications increased. * In men taking 0-2, 3-5,6-9
and >= 10 medications, the percentage of men reporting moderate ED was 15.9,
19.7, 25.5 and 30.9%, respectively (P < 0.001). * With adjustment for age, race,
smoking, diabetes, hypertension, hyperlipidaemia, peripheral vascular disease,
coronary artery disease and body mass index, men taking >10 drugs were more
likely to have ED (odds ratio = 2.32, 95% confidence interval 2.14-2.52) with
evidence of a dose-response relationship. CONCLUSION: * These data suggest that
the number of medications a man takes is associated with worse ED, even after
comorbidities have been taken into account.
PMID- 22085286
TI - Condom use within marriage: an assessment of changes in South Africa and Uganda.
AB - The aim of the study is to measure trends in condom use in marital and cohabiting
relationships in South Africa and Uganda. The data for the study come from two
cross sectional surveys conducted in 1998 and 2008 among adult men and women and
their partners in KwaZulu-Natal, South Africa and the Jinja district, Uganda. The
findings suggest that consistent condom use has risen substantially in both
countries. The percentage reporting consistent condom use in the South African
sample of husbands increased from 2.5% in 1998 to 12% in 2008 and from 5.5 to
12.5% among wives. In Uganda, the corresponding trends are 1.1-8.3% for husbands
and 4-8.6% for wives. In both countries, condom use was considerably higher among
the minority of couples where one or both partners were thought to be HIV
positive. Increasingly, in both countries condoms are also used for contraceptive
purposes. Condoms play a role in preventing HIV infection but the challenge is
for prevention programs to broaden their focus toward meeting the needs of
married and cohabiting couples.
PMID- 22085287
TI - Molecular phylogeny of diploid Hordeum species and incongruence between
chloroplast and nuclear datasets.
AB - The phylogeny of diploid Hordeum species has been studied using both chloroplast
and nuclear gene sequences. However, the studies of different nuclear datasets of
Hordeum species often arrived at similar conclusions, whereas the studies of
different chloroplast DNA data generally resulted in inconsistent conclusions.
Although the monophyly of the genus is well supported by both morphological and
molecular data, the intrageneric phylogeny is still a matter of controversy. To
better understand the evolutionary history of Hordeum species, two chloroplast
gene loci (trnD-trnT intergenic spacer and rps16 gene) and one nuclear marker
(thioreoxin-like gene (HTL)) were used to explore the phylogeny of Hordeum
species. Two obviously different types of trnD-trnT sequences were observed, with
an approximately 210 base pair difference between these two types: one for
American species, another for Eurasian species. The trnD-trnT data generally
separated the diploid Hordeum species into Eurasian and American clades, with the
exception of Hordeum marinum subsp. gussoneanum. The rps16 data also grouped most
American species together and suggested that Hordeum flexuosum has a different
plastid type from the remaining American species. The nuclear gene HTL data
clearly divided Hordeum species into two clades: the Xu+H genome clade and the
Xa+I genome clade. Within clades, H genome species were well separated from the
Xu species, and the I genome species were well separated from the Xa genome
species. The incongruence between chloroplast and nuclear datasets was found and
discussed.
PMID- 22085288
TI - Case mix in paediatric rheumatology: implications for training in Australia.
AB - BACKGROUND: Despite a move towards the provision of specialist training in
Australia in settings that extend beyond the public hospital system, formal
comparisons of case mix between public and private specialty clinics have rarely
been performed. It is therefore unclear for many specialties how well training in
one setting prepares trainees for practice in the other. AIMS: This study aims to
compare the case mix of paediatric rheumatology patients seen in public and
private settings and the referral sources of patients in each. METHODS: An audit
of all new patients seen in the public and private paediatric rheumatology
clinics on campus at Royal Children's Hospital between June 2009 and January
2011. Data related to demographics, primary diagnosis, referral source and
location seen were abstracted and compared. RESULTS: Eight hundred and seventy
six new patients were seen during the period of interest. Of these, 429 patients
(48.9%) were seen in private clinics. The commonest diagnostic categories for
both type of clinics were non-inflammatory musculoskeletal pain/orthopaedic
conditions (public 39.4%, private 33.6%) followed by juvenile idiopathic
arthritis (public 16.6%, %, private 18.6%), other skin/soft tissue disorders
(public 8.7%, private 9.6%) and pain syndromes (public 4.9%, private 11.4%).
Patients with haematological and vasculitic disorders were predominantly seen in
public clinics. The commonest source of referrals to both clinics was general
practitioners (public 40.6%, private 53.1%). CONCLUSION: The case mix in private
paediatric rheumatology clinics closely mirrors that of public clinics at our
centre. Training in either setting would provide sufficient case-mix exposure to
prepare trainees for practice in the other.
PMID- 22085290
TI - Characterization of supported lipid bilayer disruption by chrysophsin-3 using QCM
D.
AB - Antimicrobial peptides (AMPs) are naturally occurring polymers that can kill
bacteria by destabilizing their membranes. A quartz crystal microbalance with
dissipation monitoring (QCM-D) was used to better understand the action of the
AMP chrysophsin-3 on supported lipid bilayers (SLB) of phosphatidylcholine.
Interaction of the SLB with chrysophsin-3 at 0.05 MUM demonstrated changes in
frequency (Deltaf) and energy dissipation (DeltaD) that were near zero,
indicating little change in the membrane. At higher concentrations of chyrsophsin
3 (0.25-4 MUM), decreases in Deltaf of up to 7 Hz were measured. These negative
frequency changes suggest that mass was being added to the SLB, possibly due to
peptide insertion into the membrane. At a chrysophsin-3 concentration of 10 MUM,
there was a net mass loss, which was attributed to pore formation in the
membrane. QCM-D can be used to describe a mechanistic relationship between AMP
concentration and interaction with a model cell membrane.
PMID- 22085289
TI - Reconstitution of KCNE1 into lipid bilayers: comparing the structural, dynamic,
and activity differences in micelle and vesicle environments.
AB - KCNE1 (minK), found in the human heart and cochlea, is a transmembrane protein
that modulates the voltage-gated potassium KCNQ1 channel. While KCNE1 has
previously been the subject of extensive structural studies in lyso-phospholipid
detergent micelles, key observations have yet to be confirmed and refined in
lipid bilayers. In this study, a reliable method for reconstituting KCNE1 into
lipid bilayer vesicles composed of 1-palmitoyl-2-oleoyl-sn-glycero-3
phosphocholine (POPC) and 1-palmitoyl-2-oleoyl-sn-glycero-3-phospho(1'-rac
glycerol) (sodium salt) (POPG) was developed. Microinjection of the
proteoliposomes into Xenopus oocytes expressing the human KCNQ1 (K(V)7.1) voltage
gated potassium channel led to nativelike modulation of the channel. Circular
dichroism spectroscopy demonstrated that the percent helicity of KCNE1 is
significantly higher for the protein reconstituted in lipid vesicles than for the
previously described structure in 1.0% 1-myristoyl-2-hydroxy-sn-glycero-3
phospho(1'-rac-glycerol) (sodium salt) (LMPG) micelles. SDSL electron
paramagnetic resonance spectroscopic techniques were used to probe the local
structure and environment of Ser28, Phe54, Phe57, Leu59, and Ser64 of KCNE1 in
both POPC/POPG vesicles and LMPG micelles. Spin-labeled KCNE1 cysteine mutants at
Phe54, Phe57, Leu59, and Ser64 were found to be located inside POPC/POPG
vesicles, whereas Ser28 was found to be located outside the membrane. Ser64 was
shown to be water inaccessible in vesicles but found to be water accessible in
LMPG micelle solutions. These results suggest that key components of the micelle
derived structure of KCNE1 extend to the structure of this protein in lipid
bilayers but also demonstrate the need to refine this structure using data
derived from the bilayer-reconstituted protein to more accurately define its
native structure. This work establishes the basis for such future studies.
PMID- 22085291
TI - The 20-kDa chaperone-like protein of Bacillus thuringiensis ssp. israelensis
enhances yield, crystal size and solubility of Cry3A.
AB - AIMS: To determine whether the 20-kDa chaperone-like protein of Bacillus
thuringiensis ssp. israelensis enhances synthesis, crystallization and solubility
of the Cry3A coleopteran toxin and whether the crystalline inclusions produced
are toxic to neonates of the Colorado potato beetle, Leptinotarsa decemlineata.
METHODS AND RESULTS: The cry3A gene was expressed in the 4Q7 strain of B.
thuringiensis ssp. israelensis in the absence or presence of the 20-kDa gene. The
20-kDa protein enhanced Cry3A yield by 2.7-fold per unit of fermentation medium.
Crystal volumes averaged 2.123 and 0.964 MUm(3) when synthesized in,
respectively, the presence or absence of the 20-kDa protein. Both crystals were
soluble at pH 5 and pH 6; however, the larger crystal was 1.7* and 1.5* more
soluble at, respectively, pH 7 and pH 10. No significant difference in toxicity
against L. decemlineata neonates was observed. CONCLUSIONS: This report
demonstrated that the 20-kDa chaperone-like protein enhances yield, volume and
solubility of the coleopteran Cry3A crystalline inclusions per unit crystal/spore
mixture. SIGNIFICANCE AND IMPACT OF THE STUDY: This is the first report showing
that an accessory protein (20-kDa) could enhance synthesis and crystallization of
Cry3A, a finding that could be beneficial for commercial production of this
coleopteran-specific insecticidal protein for microbial insecticides and possibly
even for transgenic crops.
PMID- 22085292
TI - Hybrid aminoglycoside antibiotics via Tsuji palladium-catalyzed allylic
deoxygenation.
AB - Biosynthetically inspired manipulation of the antibiotic paromomycin led, in six
high-yielding steps, to a ring A harboring an alpha,beta-unsaturated 6'-aldehyde
and an allylic 3'-methylcarbonate group. Tsuji deoxygenation in the presence of 5
mol % Pd(2)(dba)(3) and Bu(3)P granted access to a novel series of 3',4'-dideoxy
4',5'-dehydro ring A hybrids. The neomycin-sisomicin hybrid exhibited superior in
vitro antibacterial activity to the parent compound neomycin.
PMID- 22085293
TI - Bonding in ammonia borane: an analysis based on the natural orbitals for chemical
valence and the extended transition state method (ETS-NOCV).
AB - In the present study the natural orbitals for chemical valence (NOCVs) combined
with the energy decomposition scheme (ETS) were used to characterize bonding in
various clusters of ammonia borane (borazane): dimer D, trimer TR, tetramer TE,
and the crystal based models: nonamer N and tetrakaidecamer TD. ETS-NOCV results
have shown that shortening of the B-N bond (by ~0.1 A) in ammonia borane crystal
(as compared to isolated borazane molecule) is related to the enhancement of
donation (by 6.5 kcal/mol) and electrostatic (by 11.3 kcal/mol) contributions.
This, in turn, is caused solely by the electrostatic dipole-dipole interaction
between ammonia borane units; dihydrogen bonding, BH...HN, formed between
borazane units exhibits no direct impact on B-N bond contraction. On the other
hand, formation of dihydrogen bonding appeared to be very important in the total
stabilization of single borazane unit, namely, ETS-based data indicated that it
leads to significant electronic stabilization DeltaE(orb) = -17.5 kcal/mol, which
is only slightly less important than the electrostatic term, DeltaE(elstat) =
19.4 kcal/mol. Thus, both factors contribute to relatively high melting point of
the borazane crystal. Deformation density contributions (Deltarho(i)) obtained
from NOCVs allowed to conclude that dihydrogen bonding is primarily based on
outflow of electron density from B-H bonding orbitals to the empty sigma*(N-H)
(charge transfer component). Equally important is the covalent contribution
resulting from the shift of the electron density from hydrogen atoms of both NH
and BH groups to the interatomic regions of NH...HB. Quantitatively, averaged
electronic strength of dihydrogen bond per one BH...HN link varies from 1.95
kcal/mol (for the crystal structure model, N), 2.47 kcal/mol (for trimer TR),
through 2.65 kcal/mol (for tetramer TE), up to 3.95 kcal/mol (for dimer D).
PMID- 22085294
TI - 120-W 2-um thulium:yttrium-aluminium-garnet vapoenucleation of the prostate: 12
month follow-up.
AB - Study Type - Therapy (case series) Level of Evidence 4 What's known on the
subject? and What does the study add? Thulium VapoEnucleation of the prostate
(ThuVEP) has been introduced as a minimally invasive treatment modality of benign
prostate obstruction (BPO). This study reports the largest series of patients
with symptomatic BPO undergoing ThuVEP. Efficacy of this procedure was confirmed
by prostate volume and PSA measurements at 12-month follow up, which have not
been reported after ThuVEP so far. OBJECTIVE: To evaluate the safety and efficacy
of 120-W 2-um thulium:yttrium-aluminium-garnet (YAG) vapoenucleation of the
prostate (ThuVEP) for patients with symptomatic benign prostatic obstruction.
METHOD: In total, 207 consecutive patients undergoing ThuVEP at our institution
were evaluated prospectively. ThuVEP was carried out using the 120-W 2-um
continuous-wave Tm:YAG laser. The enucleated tissue was then morcellated within
the bladder. Patient demographic, perioperative and 12-month follow-up data were
analysed. The complications were assessed. RESULTS: Mean preoperative prostate
volume was 57.8 +/- 31.5 mL. Total operation duration averaged 64.9 +/- 29.9 min,
and the enucleation time was 36.5 +/- 20.1 min. The mean catheter time was 2.2 +/
0.6 days. Thirteen (6.28%) patients required a second-look operation in the
immediate postoperative course (failed morcellation n= 1, clot retention n= 4,
residual tissue at the apex of the prostate n= 8). Four patients needed blood
transfusions (1.93%) postoperatively. In all, 147 (71%) patients were available
for review at the 12-month follow-up mark. Quality of life (4.4 +/- 1.3 vs 1.2 +/
1.1), international prostate symptom score (21.9 +/- 7.2 vs 5.1 +/- 4), maximum
urinary flow rate (9.4 +/- 3.8 vs 23.5 +/- 10.9 mL/s), postvoiding residual urine
(159.2 +/- 153.2 vs 26.7 +/- 38.3 mL), prostate-specific antigen (5.0 +/- 5.2 vs
0.6 +/- 0.5 ng/mL) and prostate volume (57.8 +/- 31.5 vs 10.7 +/- 4.4 mL) changed
significantly (P= 0.000). Median prostate-specific antigen reduction and prostate
volume reduction were 87% and 80% respectively at follow-up. Urethral stricture
and bladder neck contracture developed in 1.45% and 1.93% respectively of the
patients. CONCLUSION: 120-W ThuVEP is a safe and efficacious procedure for the
treatment of symptomatic benign prostatic obstruction. The incidence of
complications with ThuVEP was low.
PMID- 22085295
TI - Early maternal separation has mild effects on cardiac autonomic balance and heart
structure in adult male rats.
AB - Early life adverse experiences have long-term physiologic and behavioral effects
and enhance stress sensitivity. This study examined the effects of maternal
separation (MS) on cardiac stress responsivity and structure in adulthood. Male
Wistar rats were separated from the dams for 3 h per day from postnatal days 2
through 15. When exposed to 5-day intermittent restraint stress (IRS) as adults,
MS, and control rats showed similar acute modifications of cardiac sympathovagal
balance, quantified via heart rate variability analysis. In addition, MS had no
effect on cardiac pacemaker intrinsic activity (as revealed by autonomic blockade
with scopolamine and atenolol) and did not affect the circadian rhythmicity of
heart rate, neither before nor after IRS. However, MS differed from control rats
in cardiac parasympathetic drive following IRS, which was heightened in the
latter but remained unchanged in the former, both during the light and dark
phases of the daily rhythm. The evaluation of adult cardiac structure indicated
that stress experienced during a crucial developmental period induced only modest
changes, involving cardiomyocyte hypertrophy, increased density of vascular
structures, and myocardial fibrosis. The mildness of these functional-structural
effects questions the validity of MS as a model for early stress-induced cardiac
disease in humans.
PMID- 22085296
TI - Molecular characterization of pyraclostrobin resistance and structural diversity
of the cytochrome b gene in Botrytis cinerea from apple.
AB - Botrytis cinerea isolates obtained from apple orchards were screened for
resistance to the quinone outside inhibitor (QoI) pyraclostrobin. Of the 220
isolates tested, 43 (19.5%) were resistant to pyraclostrobin. Analysis of partial
sequences of the cytochrome b gene (cyt b) in five pyraclostrobin-resistant (PR)
and five pyraclostrobin-sensitive (PS) isolates showed that PR isolates harbored
the point mutation leading to the substitution of glycine by alanine at codon
position 143 in cyt b (G143A). Two pairs of allele-specific primers were designed
based on this point mutation, and allele-specific polymerase chain reaction
analysis with these primers showed that all 73 PR isolates (including 30
collected from decayed apple fruit) harbored the G143A mutation but PS isolates
did not. Six pairs of primers were designed to analyze the presence of various
introns in cyt b. There were six types (I to VI) of cyt b present in 247 isolates
of B. cinerea collected from various apple-production areas in Washington State.
Of the 247 isolates, 23 had type I cyt b containing all four introns (Bcbi-67/68,
Bcbi-131/132, Bcbi-143/144, and Bcbi-164), 176 had type II cyt b containing three
introns (Bcbi-67/68, Bcbi-131/132, and Bcbi-164), six had type III cyt b
containing two introns (Bcbi-67/68 and Bcbi-131/132), one had type IV cyt b
containing two introns (Bcbi-131/132 and Bcbi-164), one had type V cyt b
containing only the Bcbi-131/132 intron, and 40 had type VI cyt b containing no
introns. This is the first report of types III to VI cyt b present in B. cinerea.
All 73 PR isolates did not carry the Bcbi-143/144 intron in cyt b. Of the 247
isolates tested, >90% did not carry the Bcbi-143/144 intron in cyt b, suggesting
that B. cinerea populations from apple pose a high inherent risk for the
development of resistance to QoIs because the presence of this intron in cyt b
prevents the occurrence of G143A-mediated resistance. Analysis of genetic
background based on three microsatellite primers showed that PR isolates
originated from different lineages, and there was no correlation between cyt b
types (I, II, and III) and the genetic background of the isolates; however,
isolates carrying type VI cyt b might originate from the same lineage.
PMID- 22085297
TI - Characterization of CbCyp51 from field isolates of Cercospora beticola.
AB - The hemibiotrophic fungus Cercospora beticola causes leaf spot of sugar beet.
Leaf spot control measures include the application of sterol demethylation
inhibitor (DMI) fungicides. However, reduced sensitivity to DMIs has been
reported recently in the Red River Valley sugar beet-growing region of North
Dakota and Minnesota. Here, we report the cloning and molecular characterization
of CbCyp51, which encodes the DMI target enzyme sterol P450 14alpha-demethylase
in C. beticola. CbCyp51 is a 1,632-bp intron-free gene with obvious homology to
other fungal Cyp51 genes and is present as a single copy in the C. beticola
genome. Five nucleotide haplotypes were identified which encoded three amino acid
sequences. Protein variant 1 composed 79% of the sequenced isolates, followed by
protein variant 2 that composed 18% of the sequences and a single isolate
representative of protein variant 3. Because resistance to DMIs can be related to
polymorphism in promoter or coding sequences, sequence diversity was assessed by
sequencing >2,440 nucleotides encompassing CbCyp51 coding and flanking regions
from isolates with varying EC(50) values (effective concentration to reduce
growth by 50%) to DMI fungicides. However, no mutations or haplotypes were
associated with DMI resistance or sensitivity. No evidence for alternative
splicing or differential methylation of CbCyp51 was found that might explain
reduced sensitivity to DMIs. However, CbCyp51 was overexpressed in isolates with
high EC(50) values compared with isolates with low EC(50) values. After exposure
to tetraconazole, isolates with high EC(50) values responded with further
induction of CbCyp51, with a positive correlation of CbCyp51 expression and
tetraconazole concentration up to 2.5 MUg ml(-1).
PMID- 22085299
TI - Larval Bradysia impatiens (Diptera: Sciaridae) potential for vectoring Pythium
root rot pathogens.
AB - A series of laboratory experiments were conducted to investigate the capacity of
Bradysia impatiens (Johannsen) larvae to ingest propagules from two strains each
of Pythium aphanidermatum (Edson) Fitzp. and P. ultimum Trow and transmit the
pathogens to healthy geranium seedlings on a filter-paper substrate in petri
dishes. The capacity of fungus gnat larvae to transmit P. aphanidermatum to
seedlings rooted in a commercial peat-based potting mix and germination of
Pythium oospores and hyphal swellings before and after passage through the guts
of larval fungus gnats were also examined. Assays revealed that Pythium spp.
transmission by larval fungus gnats varied greatly with the assay substrate and
also with the number and nature of ingested propagules. Transmission was highest
(65%) in the petri dish assays testing larvae fed P. aphanidermatum K-13, a
strain that produced abundant oospores. Transmission of strain K-13 was much
lower (<6%) in plug cells with potting mix. Larvae were less efficient at
vectoring P. ultimum strain PSN-1, which produced few oospores, and no
transmission was observed with two non-oospore-producing strains: P.
aphanidermatum Pa58 and P. ultimum P4. Passage of P. aphanidermatum K-13 through
larval guts significantly increased oospore germination. However, decreased
germination of hyphal swellings was observed following larval gut passage for
strains of P. ultimum. These results expand previous studies suggesting that
larval fungus gnats may vector Pythium spp.
PMID- 22085298
TI - The filamentous phage phiRSS1 enhances virulence of phytopathogenic Ralstonia
solanacearum on tomato.
AB - Ralstonia solanacearum is the causative agent of bacterial wilt in many important
crops. phiRSS1 is a filamentous phage that infects R. solanacearum strains. Upon
infection, it alters the physiological state and the behavior of host cells.
Here, we show that R. solanacearum infected by phiRSS1 becomes more virulent on
host plants. Some virulence and pathogenicity factors, such as extracellular
polysaccharide (EPS) synthesis and twitching motility, increased in the bacterial
host cells infected with phiRSS1, resulting in early wilting. Tomato plants
inoculated with phiRSS1-infected bacteria wilted 2 to 3 days earlier than those
inoculated with wild-type bacteria. Infection with phiRSS1 induced early
expression of phcA, the global virulence regulator. phcA expression was detected
in phiRSS1-infected cells at cell density as low as 10(4) CFU/ml. Filamentous
phages are assembled on the host cell surface and many phage particles accumulate
on the cell surface. These surface-associated phage particles (phage proteins)
may change the cell surface nature (hydrophobicity) to give high local cell
densities. phiRSS1 infection also enhanced PilA and type IV pilin production,
resulting in increased twitching motility.
PMID- 22085300
TI - Phenotypic variation within a clonal lineage of Phytophthora infestans infecting
both tomato and potato in Nicaragua.
AB - Late blight caused by Phytophthora infestans (Mont.) de Bary is a constraint to
both potato and tomato crops in Nicaragua. The hypothesis that the Nicaraguan
population of P. infestans is genotypically and phenotypically diverse and
potentially subdivided based on host association was tested. A collection of
isolates was analyzed using genotypic markers (microsatellites and mitochondrial
DNA haplotype) and phenotypic markers (mating type, virulence, and fungicide
sensitivity). The genotypic analysis revealed no polymorphism in 121 of 132
isolates of P. infestans tested. Only the Ia haplotype and the A2 mating type
were detected. Most of the tested isolates were resistant to metalaxyl. The
virulence testing showed variation among isolates of P. infestans. No evidence
was found of population differentiation among potato and tomato isolates of P.
infestans based on the genotypic and phenotypic analysis. We conclude that the
Nicaraguan population of P. infestans consists of a single clonal lineage (NI-1)
which belongs to the A2 mating type and the Ia mitochondrial DNA haplotype.
Moreover, based on the markers used, this population of P. infestans does not
resemble the population in countries from which potato seed is imported to
Nicaragua or the population in neighboring countries. The data presented here
indicate that the NI-1 clonal lineage is the primary pathogen on both potato and
tomato, and its success on both host species is unique in a South American
context.
PMID- 22085301
TI - BIRC6/Apollon gene expression in childhood acute leukemia: impact on therapeutic
response and prognosis.
AB - OBJECTIVE: Although BIRC6/Apollon seems to play a critical role as an
antiapoptotic regulator, its clinical relevance in acute leukemia remains largely
elusive. Therefore, we aimed to investigate BIRC6 gene expression in childhood
acute leukemia in relation to clinicopathological characteristics at
presentation, therapeutic response, and prognosis. METHODS: BIRC6 expression
level was assessed in 75 children with acute leukemia; 30 patients with acute
myeloblastic leukemia (AML) and 45 patients with acute lymphoblastic leukemia
(ALL) using real-time quantitative reverse transcriptase-polymerase chain
reaction. RESULTS: The median level of BIRC6 expression did not differ
significantly between AML and ALL patients. BIRC6 expression level was higher in
patients with AML and ALL with extramedullary involvement, white blood cell (WBC)
count >= 10 * 10(9) /L, and unfavorable cytogenetics at diagnosis. BIRC6 gene
expression was higher in patients with unfavorable response to therapy at day 14,
those who developed relapse or died in both leukemic groups. The best cutoff
value of BIRC6 to predict therapeutic response and disease outcome was
determined. AML and ALL patients with BIRC6 overexpression had significantly
shorter overall and disease free survivals. CONCLUSIONS: This is the first report
to study BIRC6 gene in pediatric ALL. Our results suggested that BIRC6 gene
expression could be considered as an adverse risk factor in childhood acute
leukemia and, hence, could be used to guide therapeutic regimens.
PMID- 22085302
TI - Clinical significance of IEX-1 expression in ovarian carcinoma.
AB - BACKGROUND: The stress-inducible immediate early response gene X-1 (IEX-1)
regulates cell proliferation and apoptosis in a cell type and stimulus-dependent
manner. The aim of this study was to investigate IEX-1 expression and its role in
apoptosis of ovarian epithelial tumors for potential use in clinical diagnosis
and therapy. METHODS: IEX-1 expression was examined in paraffin-embedded
specimens from 77 patients with epithelial ovarian tumors using
immunohistochemistry. Correlation between IEX-1 expression and other
clinicopathological parameters was evaluated. Apoptosis of tumor cells was
detected by terminal deoxynucleotide transferase-mediated dUTP nick end labeling
(TUNEL). RESULTS: IEX-1 expression was significantly lower in ovarian cancers
compared to cystadenomas and borderline tumors (p < .05). The expression was
significantly associated with FIGO stage and histological grade (p < .05), but
not with age, histological type, or residual tumor (p > .05). A positive
correlation was also observed between IEX-1 expression and apoptotic index (p <
.01) or survival (p=.005). CONCLUSION: With the development of epithelial ovarian
tumors from benign to malignant, IEX-1 expression is decreased, concomitant with
a decreased rate of cell apoptosis. Thus, IEX-1 is pro-apoptotic in the
development of epithelial ovarian cancer. The pro-apoptotic activity may take
part in restraining tumor growth at the early stage of ovarian epithelial cancer,
whereas its decreased expression probably contributes to the abnormal survival
advantage for malignant cancer. Altered IEX-1 expression can potentially be a new
predictor of the malignant transformation and a prognostic indicator for cancer
therapy.
PMID- 22085303
TI - Metachromatic leukodystrophy and its effects on the gallbladder: a case report.
AB - Metachromatic leukodystrophy (MLD) is an autosomal recessive lysosomal storage
disorder caused by a deficiency of arylsulfatase A enzyme. This deficiency leads
to accumulation of sulfatides in the central nervous system and other organs,
such as the gallbladder. Here the authors discuss a 9-year-old Middle Eastern
patient with late-infantile-type MLD who presented with symptoms of
cholecystitis. Radiographic studies revealed an enlarged gallbladder with a
thickened wall and a pericholecystic fluid collection with peripheral
calcifications. Gross examination of the gallbladder showed multiple small to
medium-sized papillary projections involving the entire mucosal surface. Sections
through the gallbladder wall revealed multilocular dilated mucin-producing cystic
spaces. Microscopically, the mucosa showed numerous papillary projections with
complex folds lined by mucin-producing cuboidal to tall columnar cells. The
cystic spaces were composed of numerous markedly distended Rokitansky-Aschoff
sinuses filled with mucin. Ultrastructurally, the epithelial cells and
macrophages showed frequent secondary lysosomes containing closely packed
lamellar amorphous to prismatic material with alternating leaflets and tubules,
imparting a "herringbone" or "tuffstone" pattern. This case illustrates the
features of gallbladder involvement in MLD and the potential role of
ultrastructural examination in diagnosis of MLD.
PMID- 22085304
TI - Mechanism of visceral fat reduction in Tsumura Suzuki obese, diabetes (TSOD) mice
orally administered beta-cryptoxanthin from Satsuma mandarin oranges (Citrus
unshiu Marc).
AB - The carotenoid beta-cryptoxanthin (beta-CRX) is abundant in Satsuma mandarins
(Citrus unshiu Marc). Several studies have shown a relationship between Satsuma
mandarin consumption and a low risk of several diseases, for example, diabetes,
gout, and hypertension, suggesting beta-CRX involvement in disease prevention. We
investigated the effect of beta-CRX on mildly obese males. beta-CRX
administration reduced visceral adipose tissue, body weight, and abdominal
circumference. However, the detailed mechanism by which beta-CRX mediates these
changes remains unknown. To identify this mechanism, we used an obese model mouse
(TSOD). Oral beta-CRX administration repressed body weight, abdominal adipose
tissue weight, and serum lipid concentrations in TSOD; these results are
identical to previous human trial results. beta-CRX administration significantly
repressed adipocyte hypertrophy. Gene expression analysis strongly indicated that
beta-CRX can alter cytokine secretion and cell proliferation. These results
suggest that beta-CRX derived from Satsuma mandarins can help prevent obesity by
repressing hypertrophy of abdominal adipocytes.
PMID- 22085305
TI - Determination of antioxidant activity of extracts and fractions obtained from
Galinsoga parviflora and Galinsoga quadriradiata, and a qualitative study of the
most active fractions using TLC and HPLC methods.
AB - Taking into account the role of reactive oxygen species in the development of
inflammation, and the application of the plants of genus Galinsoga Ruiz & Pav. in
folk medicines for inflammatory states, we investigated and compared the
antioxidant activities of particular Galinsoga extracts and fractions. The
compositions of the most active fractions were studied using thin layer
chromatography (TLC) and high-performance liquid chromatography (HPLC) methods.
The extracts and fractions from Galinsoga parviflora Cav. and Galinsoga
quadriradiata Ruiz et Pav. possess dose-dependent free radical-scavenging ability
against DPPH* and superoxide radicals, as well as inhibitory effects on linoleic
acid peroxidation in a manner comparable to gallic acid. In the most active
fractions, flavonoids, patulitrin, quercimeritrin, quercitagetrin and caffeoyl
derivatives were detected. Our research demonstrates that the investigated herbs
are an interesting source of preparations with significant antioxidant effects.
Our results justify the use of both raw materials in inflammatory diseases, among
others, due to their ability to prevent free radical-induced deleterious effects.
PMID- 22085306
TI - A qualitative study of barriers to consistent condom use among HIV-1
serodiscordant couples in Kenya.
AB - This study explored barriers to consistent condom use among heterosexual HIV-1
serodiscordant couples who were aware of the HIV-1 serodiscordant status and had
been informed about condom use as a risk reduction strategy. We conducted 28 in
depth interviews and 9 focus group discussions among purposively selected
heterosexual HIV-1 serodiscordant couples from Thika and Nairobi districts in
Kenya. We analyzed the transcribed data with a grounded theory approach. The most
common barriers to consistent condom use included male partners' reluctance to
use condoms regardless of HIV-1 status coupled with female partners' inability to
negotiate condom use, misconceptions about HIV-1 serodiscordance, and desire for
children. Specific areas of focus should include development of skills for women
to effectively negotiate condom use, ongoing information on HIV-1 serodiscordance
and education on safer conception practices that minimize risk of HIV-1
transmission.
PMID- 22085307
TI - Joint estimation of contemporary seed and pollen dispersal rates among plant
populations.
AB - There are few statistical methods for estimating contemporary dispersal among
plant populations. A maximum-likelihood procedure is introduced here that uses
pre- and post-dispersal population samples of biparentally inherited genetic
markers to jointly estimate contemporary seed and pollen immigration rates from a
set of discrete external sources into a target population. Monte Carlo
simulations indicate that accurate estimates and reliable confidence intervals
can be obtained using this method for both pollen and seed migration rates at
modest sample sizes (100 parents/population and 100 offspring) when population
differentiation is moderate (F(ST) >= 0.1), or by increasing pre-dispersal
samples (to about 500 parents/population) when genetic divergence is weak (F(ST)
= 0.01). The method exhibited low sensitivity to the number of source populations
and achieved good accuracy at affordable genetic resolution (10 loci with 10
equifrequent alleles each). Unsampled source populations introduced positive
biases in migration rate estimates from sampled sources, although they were minor
when the proportion of immigration from the latter was comparatively low. A
practical application of the method to a metapopulation of the Australian
resprouter shrub Banksia attenuata revealed comparable levels of directional seed
and pollen migration among dune groups, and the estimate of seed dispersal was
higher than a previous estimate based on conservative assignment tests. The
method should be of interest to researchers and managers assessing broad-scale
nonequilibrium seed and pollen gene flow dynamics in plants.
PMID- 22085309
TI - Risk factors for community-acquired pneumonia in pre-school-aged children.
AB - AIM: To identify risk factors for children developing and being hospitalised with
community-acquired pneumonia. METHODS: Children <5 years old residing in urban
Auckland, New Zealand were enrolled from 2002 to 2004. To assess the risk of
developing pneumonia, children hospitalised with pneumonia (n= 289) plus children
with pneumonia discharged from the Emergency Department (n= 139) were compared
with a random community sample of children without pneumonia (n= 351). To assess
risk of hospitalisation, children hospitalised with pneumonia were compared with
the children discharged from the Emergency Department. Adjusted odds ratio (OR)
with 95% confidence intervals (CIs) were used to estimate the risk of pneumonia
and hospitalisation with pneumonia. RESULTS: After adjustment for season, age and
ethnicity there was an increased risk of pneumonia associated with lower weight
for height (OR 1.28, 95% CI 1.10-1.51), spending less time outside (1.96, 1.11
3.47), previous chest infections (2.31, 1.55-3.43) and mould in the child's
bedroom (1.93, 1.24-3.02). There was an increased risk of pneumonia
hospitalisation associated with maternal history of pneumonia (4.03, 1.25-16.18),
living in a more crowded household (2.87, 1.33-6.41) and one with cigarette
smokers (1.99, 1.05-3.81), and mould in the child's bedroom (2.39, 1.25-4.72).
CONCLUSIONS: Lower quality living environments increase the risk of pneumonia and
hospitalisation with pneumonia in New Zealand. Poorer nutritional status may also
increase the risk of pneumonia. Improving housing quality, decreased cigarette
smoke exposure and early childhood nutrition may reduce pneumonia disease burden
in New Zealand.
PMID- 22085308
TI - A unique non-catenane interlocked self-assembled supramolecular architecture and
its photophysical properties.
AB - A novel, interlocked, self-assembled (M(2)L(2))(2) molecular architecture was
constructed from an arene-Ru acceptor and a 1,4-di(pyridin-4-yl)buta-1,3-diyne
donor. Two M(2)L(2) units, with cavities of ~7.21 A, spontaneously interlock,
with one unit encapsulating a twin in a non-catenane fashion. The dimeric host
guest complex thus formed is unique among two-dimensional self-assemblies and is
stabilized by pi-pi interactions between the M(2)L(2) units.
PMID- 22085310
TI - Similarities and differences within members of the Ff family of filamentous
bacteriophage viruses.
AB - The filamentous bacteriophage viruses of the Ff family, fd and M13, slightly
differ in their genome, and their 50-residue-long major capsid proteins have a
single site difference: the uncharged asparagine-12 in M13 is replaced with a
negatively charged aspartate in fd. We have used magic-angle spinning solid-state
NMR spectroscopy to site-specifically assign the resonances belonging to the
capsid protein of M13. Assignment of several mobile residues was facilitated by
using J-based spectroscopy, which in addition provided sugar-base contacts in the
M13-DNA stemming from two-bond scalar couplings. A comparison between M13 and fd
bacteriophages reveals that the two virions have a very conserved and stable
structure, manifested in negligibly small chemical shift differences and similar
dynamic properties for nearly all resonances. The principal difference between
the two phages involves residues in the vicinity of residue 12. We suggest that
the elimination of the single charge at position 12 throughout the entire
assembly affects the electrostatic and hydrogen-bonding interaction network
governing inter- and intraresidue contacts, mainly by the rearrangement of the
positively charged lysine residue at position 8.
PMID- 22085311
TI - Hyperactive alpha-amylase production by Aspergillus oryzae IFO 30103 in a new
bioreactor.
AB - AIMS: To improve the alpha-amylase production in solid-state fermentation (SSF)
condition utilizing a new bioreactor (NB) system. METHODS AND RESULTS: In NB
system, 20 g of wheat bran moistened with liquid medium in 1:1 ratio (w/v) was
taken on the tray present inside the upper vessel and an additional 80 ml medium
was supplemented into the lower vessel. Oxygen uptake rate was improved by
supplying compressed air that lifted the liquid medium into the upper vessel and
touched the substrate bed. This condition probably facilitated the heat transfer
to liquid medium, reduce water loss and catabolite repression. With 1% glucose
supplementation, maximum alpha-amylase activity of 22 317 Ugds(-1) was produced
by Aspergillus oryzae IFO 30103 within a very short incubation period (48 h) at 2
cm bed height with air flow rate of 0.1 l min(-1) g(-1) wheat bran at 32 degrees
C and initial medium pH of 6. CONCLUSIONS: Within a short incubation period,
significantly high alpha-amylase activity was obtained and it is higher than
those reported to date at bioreactor scale operating with a fungal strain.
SIGNIFICANCE AND IMPACT OF THE STUDY: The reactor is novel and can overcome
some of the major problems associated with SSF process. A. oryzae IFO 30103 is
reported as the best fungal source for alpha-amylase production.
PMID- 22085313
TI - Radical-mediated anti-Markovnikov hydrophosphonation of olefins.
AB - The radical-mediated addition of triphenylphosphonium tetrafluoroborate to
olefins (hydrophosphonation) is reported. Both standard radical initiators and
photochemical conditions are effective, up to the gram scale. The phosphonium
salts are shown to serve as Z-selective Wittig olefination reagents, even without
purification.
PMID- 22085312
TI - Secondary nucleating sequences affect kinetics and thermodynamics of tau
aggregation.
AB - Tau protein was scanned for highly amyloidogenic sequences in amphiphilic motifs
(X)(n)Z, Z(X)(n)Z (n >= 2), or (XZ)(n) (n >= 2), where X is a hydrophobic residue
and Z is a charged or polar residue. N-Acetyl peptides homologous to these
sequences were used to study aggregation. Transmission electron microscopy (TEM)
showed seven peptides, in addition to well-known primary nucleating sequences
Ac(275)VQIINK (AcPHF6*) and Ac(306)VQIVYK (AcPHF6), formed fibers, tubes,
ribbons, or rolled sheets. Of the peptides shown by TEM to form amyloid,
Ac(10)VME, AcPHF6*, Ac(375)KLTFR, and Ac(393)VYK were found to enhance the
fraction of beta-structure of AcPHF6 formed at equilibrium, and Ac(375)KLTFR was
found to inhibit AcPHF6 and AcPHF6* aggregation kinetics in a dose-dependent
manner, consistent with its participation in a hybrid steric zipper model. Single
site mutants were generated which transformed predicted amyloidogenic sequences
in tau into non-amyloidogenic ones. A M11K mutant had fewer filaments and showed
a decrease in aggregation kinetics and an increased lag time compared to wild
type tau, while a F378K mutant showed significantly more filaments. Our results
infer that sequences throughout tau, in addition to PHF6 and PHF6*, can seed
amyloid formation or affect aggregation kinetics or thermodynamics.
PMID- 22085314
TI - 120-W 2-MUm thulium:yttrium-aluminium-garnet vapoenucleation of the prostate: 12
month follow-up.
PMID- 22085315
TI - Saving face, losing life: obeah pregnancy and reproductive impropriety in
Southern Belize.
AB - References to obeah pregnancy are widespread in southern Belize, where the belief
in supernatural forces combines with Catholic teaching to create a conservative
reproductive climate in which illegitimate pregnancy, reproductive misfortunes
and maternal death are located in a discourse of shame. Obeah pregnancy is said
to result when spiritual forces are unleashed through malicious human intent,
causing bodily changes that resemble pregnancy. Death of the woman, however,
usually occurs before prenatal confirmation; thus it is often unclear if an obeah
pregnancy is a viable pregnancy or some other biomedical - or metaphysical -
condition. This paper provides a case study of Petrona, whose story is unique in
that she does not die from her purported obeah pregnancy; rather, she lives to
bear the consequences of her reproductive behaviours that resulted in the
stillbirth of a full-term foetus. Petrona was a traditional birth attendant who
is trained to uphold biomedical antenatal protocols. Arguing that Petrona was not
adequately educated to fulfill her own prenatal obligations, health care
personnel sanctioned Petrona's midwifery practice and left her to process her
'shameful' situation. Ultimately, Petrona's story complicates the culturally
disengaged narratives of maternal health and highlights the schism between
medical knowledge and socioculturally influenced embodied experience.
PMID- 22085316
TI - Effect of two intensive statin regimens on progression of coronary disease.
AB - BACKGROUND: Statins reduce adverse cardiovascular outcomes and slow the
progression of coronary atherosclerosis in proportion to their ability to reduce
low-density lipoprotein (LDL) cholesterol. However, few studies have either
assessed the ability of intensive statin treatments to achieve disease regression
or compared alternative approaches to maximal statin administration. METHODS: We
performed serial intravascular ultrasonography in 1039 patients with coronary
disease, at baseline and after 104 weeks of treatment with either atorvastatin,
80 mg daily, or rosuvastatin, 40 mg daily, to compare the effect of these two
intensive statin regimens on the progression of coronary atherosclerosis, as well
as to assess their safety and side-effect profiles. RESULTS: After 104 weeks of
therapy, the rosuvastatin group had lower levels of LDL cholesterol than the
atorvastatin group (62.6 vs. 70.2 mg per deciliter [1.62 vs. 1.82 mmol per
liter], P<0.001), and higher levels of high-density lipoprotein (HDL) cholesterol
(50.4 vs. 48.6 mg per deciliter [1.30 vs. 1.26 mmol per liter], P=0.01). The
primary efficacy end point, percent atheroma volume (PAV), decreased by 0.99%
(95% confidence interval [CI], -1.19 to -0.63) with atorvastatin and by 1.22%
(95% CI, -1.52 to -0.90) with rosuvastatin (P=0.17). The effect on the secondary
efficacy end point, normalized total atheroma volume (TAV), was more favorable
with rosuvastatin than with atorvastatin: -6.39 mm(3) (95% CI, -7.52 to -5.12),
as compared with -4.42 mm(3) (95% CI, -5.98 to -3.26) (P=0.01). Both agents
induced regression in the majority of patients: 63.2% with atorvastatin and 68.5%
with rosuvastatin for PAV (P=0.07) and 64.7% and 71.3%, respectively, for TAV
(P=0.02). Both agents had acceptable side-effect profiles, with a low incidence
of laboratory abnormalities and cardiovascular events. CONCLUSIONS: Maximal doses
of rosuvastatin and atorvastatin resulted in significant regression of coronary
atherosclerosis. Despite the lower level of LDL cholesterol and the higher level
of HDL cholesterol achieved with rosuvastatin, a similar degree of regression of
PAV was observed in the two treatment groups. (Funded by AstraZeneca
Pharmaceuticals; ClinicalTrials.gov number, NCT000620542.).
PMID- 22085318
TI - Niacin at 56 years of age--time for an early retirement?
PMID- 22085317
TI - Comparative effectiveness of weight-loss interventions in clinical practice.
AB - BACKGROUND: Obesity and its cardiovascular complications are extremely common
medical problems, but evidence on how to accomplish weight loss in clinical
practice is sparse. METHODS: We conducted a randomized, controlled trial to
examine the effects of two behavioral weight-loss interventions in 415 obese
patients with at least one cardiovascular risk factor. Participants were
recruited from six primary care practices; 63.6% were women, 41.0% were black,
and the mean age was 54.0 years. One intervention provided patients with weight
loss support remotely--through the telephone, a study-specific Web site, and e
mail. The other intervention provided in-person support during group and
individual sessions, along with the three remote means of support. There was also
a control group in which weight loss was self-directed. Outcomes were compared
between each intervention group and the control group and between the two
intervention groups. For both interventions, primary care providers reinforced
participation at routinely scheduled visits. The trial duration was 24 months.
RESULTS: At baseline, the mean body-mass index (the weight in kilograms divided
by the square of the height in meters) for all participants was 36.6, and the
mean weight was 103.8 kg. At 24 months, the mean change in weight from baseline
was -0.8 kg in the control group, -4.6 kg in the group receiving remote support
only (P<0.001 for the comparison with the control group), and -5.1 kg in the
group receiving in-person support (P<0.001 for the comparison with the control
group). The percentage of participants who lost 5% or more of their initial
weight was 18.8% in the control group, 38.2% in the group receiving remote
support only, and 41.4% in the group receiving in-person support. The change in
weight from baseline did not differ significantly between the two intervention
groups. CONCLUSIONS: In two behavioral interventions, one delivered with in
person support and the other delivered remotely, without face-to-face contact
between participants and weight-loss coaches, obese patients achieved and
sustained clinically significant weight loss over a period of 24 months. (Funded
by the National Heart, Lung, and Blood Institute and others; ClinicalTrials.gov
number, NCT00783315.).
PMID- 22085319
TI - Obesity treatment in primary care--are we there yet?
PMID- 22085320
TI - Needed: pragmatic clinical trials for statin-intolerant patients.
PMID- 22085321
TI - Attention in children and adolescents with headache.
AB - OBJECTIVE: The previous studies reporting consistent visual reaction times
slowing in patients with migraine prompted us to verify if headache could be
associated to a broader impairment of attention. This study aims to undertake a
thorough investigation of attentional performance by extending the evaluation to
children with primary headache of different types. METHODS: We compared 62
children with headache (14 migraineurs with aura, 29 without aura and 19 with
tension type headache) and 52 controls without headache, matched for age, sex,
and intelligence using Conners' Continuous Performance Test. RESULTS: The 3
clinical groups did not differ in attentional measures. The headache patients,
collapsed in 1 single sample, had mean scores in Hit Reaction Time significantly
different from those of controls and also had a higher percentage of atypical
scores in 2 indices of the Conners' Continuous Performance Test (faster mean
reaction time and more commissions). CONCLUSIONS: Our results confirm the
presence of an association between attentional problems and headache that may
impact academic learning and daily activities on the long term. The finding that
the 3 clinical groups did not show significant differences in attentional
performance supports the hypothesis that migraine and tension headache form a
continuum that may share the same pathophysiological mechanisms. These results
are discussed considering that neurotransmitters and the cerebral circuits
subserving headache, personality profile, and attention could overlap, thus
predisposing these children to even mild attention malfunctioning.
PMID- 22085322
TI - Motor skill assessment of children: is there an association between performance
based, child-report, and parent-report measures of children's motor skills?
AB - Client-centered practice requires therapists to actively seek the perspectives of
children and families. Several assessment tools are available to facilitate this
process. However, when evaluating motor skill performance, therapists typically
concentrate on performance-based assessment. To improve understanding of the
information provided by the different approaches, the study investigated
correlations between performance-based, child-report, and parent-report measures
of children's motor skill performance. A sample of convenience of 38 children 8
12 years of age with no history of motor or intellectual impairments and their
parents was recruited from Victoria, Australia. Scores for the Bruininks
Oseretsky Test of Motor Proficiency (performance-based, administered by a
therapist), Physical Self-Description Questionnaire (child report), and Movement
Assessment Battery for Children Checklist (parent report) were analyzed using
Spearman's rho correlation. Several significant moderate-to-large correlations
were found between scores for parent-report and scores for performance-based
assessments, while few significant correlations were found between scores for
child report and scores for the other two measures. The results suggest that
children offer a unique perspective which should be integrated with other sources
of information to gain a more holistic perspective of their motor skill
performance.
PMID- 22085323
TI - Lenalidomide in multiple myeloma: current experimental and clinical data.
AB - Lenalidomide (LEN) is a structural analogue of Thalidomide and is currently
considered a promising compound among immunomodulatory drugs. Following the
demonstration of its potent anti-angiogenic, anti-inflammatory, and
antineoplastic effects in preclinical models, LEN has emerged as an interesting
option for the management of selective hematologic malignancies and may also have
a possible role in certain solid tumors as well. It is currently approved in the
second-line therapy of multiple myeloma (MM) as well as in myelodysplastic
syndrome characterized by 5q minus abnormalities. LEN has been found to be
effective in the treatment of both of these conditions and to possess a
manageable toxicity profile. In MM, a number of ongoing clinical trials are
defining its role in the treatment of newly diagnosed disease as well as in
maintenance therapy. Combination approaches pretransplant have shown great
promise. Its role in the management of relapsed and refractory disease is now
well established. Its long-term tolerability profile appears favorable although
an increased risk in new malignancies in patients receiving LEN as maintenance
post-stem cell transplant warrants some caution, with follow-up studies being
important in determining the long-term implications of this observation.
PMID- 22085324
TI - Comparison of polyphenol extractions from olive pomace and solid fraction of
olive mill waste water.
AB - The solid fraction of olive mill waste water (OMWW) was separated from OMWW and
then the solutes in the solid fraction of OMWW were extracted with ethanol. The
detection of polyphenols in the ethanol extract showed the presence of
polyphenols in the solid fraction of OMWW. Effects of solvent-to-solid ratio,
extraction and agitation time on the extraction of polyphenols from the solid
fraction of OMWW were examined and the maximum amount of polyphenol was extracted
from the solid fraction of OMWW with a solvent-to-solid ratio of 15 at 70 min of
extraction and 10 min of agitation time. Percent yields and purities of the
polyphenols extracted from solid fraction of OMWW were higher than those of the
polyphenols extracted from olive pomace with ethanol at 70 min of extraction and
10 min of agitation time with solvent-to-solid ratio of 15.
PMID- 22085325
TI - Social assistance needs of children with chronic health conditions: a comparative
study of international and South african eligibility assessment instruments.
AB - The efficacy of the current instrument to assess the social assistance needs of
children with chronic health conditions in South Africa is questioned. To develop
an improved assessment instrument for South African use, this instrument was
pitted against two international social assistance assessment instruments, the
United Kingdom (UK) Disability Living Allowance (DLA) and the Australian Child
Disability Assessment Tool (CDAT). A purposive sample of 18 children representing
six types of disability and chronic illnesses was selected, and all three
assessment instruments were used to assess the needs of these children. A
juxtaposition of the outcomes of the assessment instruments revealed significant
differences. The South African instrument deemed the majority (56%) of the sample
ineligible for assistance. On the contrary, significant majorities were deemed
eligible for assistance in terms of the U.K. (94%) and Australian (89%)
instruments. The study recommended that a holistic approach to need assessment be
adopted in the design of a more appropriate assessment instrument for South
African use.
PMID- 22085326
TI - Actualizing a provider alliance to expand health services access to a low-income
urban community.
AB - Social change to facilitate health care access for vulnerable populations
sometimes involves model-driven innovative structures and innovative planning and
implementation approaches. This paper described and analyzed the rationale,
conceptual framework, program components, and implementation of the South Central
Health Care Alliance (SCHCA) implemented in South Los Angeles from January 2002
to December 2004. The program development and implementation was guided by an
integrated framework linking the Open Systems Theory, the Social Cognitive
Theory, the Health Belief Model, and the Preventive Health Education and Medical
Home Project. The performance of the SCHCA as a social system, partnership, and
participatory implementation program is also presented. While the SCHCA was found
to be a dynamic social system that responded well to contingencies, its
performance as a partnership and participatory implementation program was wanting
in many respects.
PMID- 22085327
TI - Health system factors affecting communication with pediatricians: gendered work
culture in primary care.
AB - This qualitative study examined the roles that practice setting, education level,
and gender may play in social workers' communication satisfaction with
pediatricians. Taking an ethnographic approach, the researcher interviewed social
workers and pediatricians who worked together to provide mental health services
in primary care. The results suggested that gender at the health system level may
be an issue and that gendered work culture in primary care was a factor in
communication. In particular, reimbursement, an aspect of the gendered work
culture, was a substantial communication barrier, and the implications for
Medicaid billing are discussed.
PMID- 22085328
TI - Factors associated with toothache among African American adolescents living in
rural South Carolina.
AB - The aim of this study is to explore behavioral factors associated with toothache
among African American adolescents living in rural South Carolina. Using a self
administered questionnaire, data were collected on toothache experience in the
past 12 months, oral hygiene behavior, dental care utilization, and cariogenic
snack and nondiet soft drink consumption in a convenience sample of 156 African
American adolescents age 10 to 18 years living in rural South Carolina.
Univariable and multivariable logistic regression analyses were used to assess
the associations between reported toothache experience and sociodemographic
variables, oral health behavior, and snack consumption. Thirty-four percent of
adolescents reported having toothache in the past 12 months. In univariable
modeling, age, dental visit in the last 2 years, quantity and frequency of
cariogenic snack consumption, and quantity of nondiet soft drink consumption were
each significantly associated with experiencing toothache in the past 12 months
(all p values < 0.05). Multivariable logistic regression analysis indicated that
younger age, frequent consumption of cariogenic snacks, and number of cans of
nondiet soft drink consumed during the weekend significantly increased the odds
of experiencing toothache in the past 12 months (all p values <= 0.01). Findings
indicate age, frequent consumption of cariogenic snacks, and number of cans of
nondiet soft drinks are related to toothache in this group. Public policy
implications related to selling cariogenic snacks and soft drink that targeting
children and adolescents especially those from low income families are discussed.
PMID- 22085330
TI - HIV risk among female sex workers in Miami: the impact of violent victimization
and untreated mental illness.
AB - Street-based female sex workers constitute a vulnerable population for HIV, as
they are often enmeshed in chronic patterns of substance use, sexual risk,
homelessness, and violent victimization. This study examined the specific
contributions of victimization history and abuse-related traumagenic factors to
mental health functioning and sexual risk behaviors, while considering the impact
of environmental risk factors as well. Using targeted sampling strategies, we
enrolled 562 Miami-based female sex workers into an intervention trial testing
the relative effectiveness of two alternative case management conditions in
establishing linkages with health services and reducing risk for HIV. Lifetime
prevalence of abuse was extremely elevated at 88%. Nearly half reported abuse
before the age of 18, while 34% reported violent encounters with "dates" or
clients in the past 90 days. Serious mental illness (SMI) was quite common, with
74% reporting severe symptoms of depression, anxiety, or traumatic stress. For
those with histories of abuse, SMI appeared to mediate the association between
abuse-related trauma and unprotected sex behaviors. Mental health treatment would
appear to be an important component of effective HIV prevention among this
vulnerable group, and should form part of a compendium of services offered to
female sex workers.
PMID- 22085331
TI - Foldamer structuring by covalently bound macromolecules.
AB - We used fluorescence and electronic absorption spectroscopy to study the
molecular weight dependence of macromolecule-induced folding in a chain-centered
meta-phenylene ethynylene (mPE) oligomer. Analogous to the ability of
intrinsically unstructured proteins (IUPs) to induce folding of globular proteins
in cellular environments, we show that macromolecules attached to both ends of an
mPE dodecamer induce the foldamer to collapse into a presumed helical
conformation. The collapse is especially prominent once the macromolecule
segments become larger than ca. 50 kDa. For sufficiently large macromolecules,
the conformational structuring occurs even in solvents that normally denature the
foldamer. Based on these findings, chain-centered foldamers might find use as
models to investigate the fundamental macromolecular physics of IUPs.
PMID- 22085332
TI - 'Let's have it tested first': choice and circumstances in decision-making
following positive antenatal screening in Hong Kong.
AB - There now exists a considerable body of sociological work examining antenatal
screening for fetal abnormalities. A common theme emerging from this literature
is that pregnant women report not feeling able to exercise choice freely,
experiencing constraints both from medical professionals and their perceived
expectations of the sociocultures in which they live. This study adds to existing
literature in three ways. Firstly, in contrast to the existing body of interview
based research, the study uses video recordings of actual consultations, in order
to capture the interactional processes through which choice and constraints are
established, negotiated and contested. Secondly, it explores the next stage in
the process of antenatal screening, by focusing on women who are offered invasive
diagnostic testing as a result of 'high risk' screening results, and who have
been the subject of little research. Thirdly, the study site in Hong Kong
provides a particularly interesting location, given limited research on antenatal
screening in that part of the world, and Hong Kong's cosmopolitan environment
that is reflected in the diversity of client population undergoing antenatal
screening. Using conversation analysis we examine how aspects of the clients'
diverse socioeconomic backgrounds and circumstances are interactionally managed
in this setting, and how this might impact on decision-making.
PMID- 22085333
TI - Effects of bulk colloidal stability on adsorption layers of
poly(diallyldimethylammonium chloride)/sodium dodecyl sulfate at the air-water
interface studied by neutron reflectometry.
AB - We show for the oppositely charged system poly(diallyldimethylammonium
chloride)/sodium dodecyl sulfate that the cliff edge peak in its surface tension
isotherm results from the comprehensive precipitation of bulk complexes into
sediment, leaving a supernatant that is virtually transparent and a depleted
adsorption layer at the air/water interface. The aggregation and settling
processes take about 3 days to reach completion and occur at bulk compositions
around charge neutrality of the complexes which lack long-term colloidal
stability. We demonstrate excellent quantitative agreement between the measured
surface tension values and a peak calculated from the surface excess of
surfactant in the precipitation region measured by neutron reflectometry, using
the approximation that there is no polymer left in the liquid phase. The
nonequilibrium nature of the system is emphasized by the production of very
different interfacial properties from equivalent aged samples that are handled
differently. We go on to outline our perspective on the "true equilibrium" state
of this intriguing system and conclude with a comment on its practical relevance
given that the interfacial properties can be so readily influenced by the
handling of kinetically trapped bulk aggregates.
PMID- 22085334
TI - Serpin protease inhibitors in plant biology.
AB - Protease inhibitors of the serpin family are ubiquitous in the plant kingdom but
relatively little is known about their biological functions in comparison with
their counterparts in animals. X-ray crystal structures have provided crucial
insights into animal serpin functions. The recently solved structure of AtSerpin1
from Arabidopsis thaliana, which has the highly conserved reactive center P2-P1'
Leu-Arg-Xaa (Xaa = small residue), displays both conserved and plant-specific
serpin features. Sequence homology suggests that AtSerpin1 belongs to serpin
Clade B, composed of intracellular mammalian serpins, which is consistent with
the lack of strong evidence for secretion of serpins from plant cells. The major
in vivo target protease for AtSerpin1 is the papain-like cysteine RD21 protease,
a match reminiscent of the inhibition of cathepsins K, L and S by the Clade-B
mammalian serpin, SCCA-1 (SERPINB3). The function of AtSerpin1 and other serpins
that contain P2-P1' Leu-Arg-Xaa (the 'LR' serpins) in plants remains unknown.
However, based on its homology and interactive partners, AtSerpin1 and perhaps
other serpins are likely to be involved in regulating programmed cell death or
associated processes such as senescence. Abundant accumulation of serpins in
seeds and their presence in phloem sap suggest additional functions in plant
defense by irreversible inhibition of digestive proteases from pests or
pathogens. Here we review the most recent findings in plant serpin biology,
focusing on advances in describing the structure and inhibitory specificity of
the LR serpins.
PMID- 22085335
TI - Impact of insulin pumps on glycaemic control in a pump-naive paediatric regional
population.
AB - AIM: To examine the clinical impact of insulin-pump therapy for children with
type 1 diabetes mellitus (T1DM) in a regional paediatric service, Auckland, New
Zealand. METHODS: Retrospective analysis of children with T1DM from the Starship
paediatric diabetes database who started on insulin-pump therapy from 2002 to
2008 compared with the whole T1DM population and with an equal number of non-pump
patients matched by age, sex, ethnicity and duration of diabetes. RESULTS: From
621 subjects with 6680 clinic visits, 75 children were treated with insulin-pump
therapy for more than 12 months. Transitioning to insulin-pump treatment was
associated with an improvement in HbA1c compared with baseline (-0.3%/year, P <
0.001) for up to 3 years. In contrast, despite similar deprivation scores, non
pump controls showed a continuing trend to higher HbA1C values (+0.2%/year, P <
0.01). The risk of severe hypoglycaemia fell after pump start (from 27 (0-223) to
5 (0-0.91) events/100 patient years) with no change in non-pump controls; the
rate of diabetic ketoacidosis remained low in both groups. CONCLUSIONS: In a pump
naive regional paediatric population, insulin-pump therapy for T1DM was safe and
effective, and associated with sustained improvements in HbA1c and lower risk of
hypoglycaemia.
PMID- 22085336
TI - The possibility of using cyanobacterial bloom materials as a medium for white rot
fungi.
AB - AIMS: The present study was conducted to evaluate the possibility of using
cyanobacterial bloom materials as a medium for white rot fungi and the capability
of white rot fungi, Trichaptum abietinum 1302BG and Lopharia spadicea to
biodegrade dried cyanobacterial bloom material taken from Taihu Lake. METHODS AND
RESULTS: The results showed T. abietinum 1302BG and L. spadicea could use the
cyanobacterial bloom materials taken from Taihu Lake for growth to measure the
mycelial plaque and dry-weight mycelial pellicles of fungi. The removal rate of
dried cyanobacterial bloom materials incubated with white rot fungi is
approximately 100%. CONCLUSIONS: The cyanobacterial bloom material can be used
as a glucose substitute in white rot fungi medium. The white rot fungi, T.
abietinum 1302BG and L. spadicea, can also directly decrease the biomass of
cyanobacterial bloom material taken from Taihu Lake. SIGNIFICANCE AND IMPACT OF
THE STUDY: Cyanobacterial bloom thrives in eutrophic fresh waters all over the
world. Micro-organisms, particularly fungi, have attracted attention as possible
agents for the degradation of phytoplankton species. Dealing with cyanobacterial
bloom material as a medium for fungi instead of directly discharging them as
organic fertilizers is a new, safe and environmentally friendly approach.
PMID- 22085337
TI - Escherichia coli SlyD, more than a Ni(II) reservoir.
AB - SlyD interacts with HypB and contributes to nickel insertion during [NiFe]
hydrogenase biogenesis. Herein, we provide evidence of SlyD acting as a nickel
storage determinant in Escherichia coli and show that this Ni(II) can be
mobilized to HypB in vitro even under competitive conditions. Furthermore, SlyD
enhances the GTPase activity of HypB, and acceleration of release of Ni(II) from
HypB is more pronounced when HypB is GDP-bound. The data support a model in which
a HypB-SlyD complex establishes communication between GTP hydrolysis and nickel
delivery and provide insight into the role of the HypB-SlyD complex during [NiFe]
hydrogenase biosynthesis.
PMID- 22085338
TI - Risk profile of bevacizumab in patients with non-small cell lung cancer: a meta
analysis of randomized controlled trials.
AB - BACKGROUND: Severe adverse events (AEs) have been reported in cancer patients
treated with bevacizumab. Currently, safety of bevacizumab in patients with non
small cell lung cancer (NSCLC) is not clear. We conducted a meta-analysis to
evaluate the risk profile of bevacizumab in NSCLC patients. METHODS: Relevant
trials were identified by searching databases and conference proceedings. Data on
treatment-related deaths and grade 3 or 4 AEs were extracted and pooled to
calculate relative risks (RRs) with 95% confidence interval (CI) for bevacizumab
compared with chemotherapy alone. RESULTS: A total of 2210 patients were included
in the analysis. Compared with chemotherapy alone, high-dosage (15 mg/kg)
bevacizumab was associated with an increased risk of treatment-related deaths (RR
= 2.04, 95% CI = 1.18-3.52), but not for low-dosage (7.5 mg/kg) group (RR = 1.20,
95% CI = 0.60-2.41). In addition, treatment with bevacizumab was associated with
several grade 3 or 4 AEs in patients with NSCLC, especially in high-dosage
bevacizumab group. CONCLUSION: The use of the bevacizumab increases the risk of
treatment-related deaths and several grade 3 or 4 AEs in patient with NSCLC. The
risk may be dose-dependent. Close monitoring and adequate management are
recommended to decrease severe AEs.
PMID- 22085339
TI - Mechanistic analysis of muraymycin analogues: a guide to the design of MraY
inhibitors.
AB - The systematic structure-activity relationship (SAR) of the muraymycins (MRYs)
using an Ugi four-component reaction (U4CR) was investigated. The impact of the
lipophilic substituent on antibacterial activity was significant, and the
analogues 8 and 9 having a lipophilic side chain exhibited good activity against
a range of Gram-positive bacterial pathogens, including MRSA and VRE. Further
investigation of compounds 8 and 9 revealed these analogues to be selective
inhibitors of the MraY transferase and nontoxic to HepG2 cells. The SAR of the
accessory urea-peptide moiety indicated that it could be simplified. Our SAR
study of the MRYs suggests a probable mechanism for inhibition of the MraY, where
the inner moiety of the urea-dipeptide motif interacts with the carbohydrate
recognition domain in the cytoplasmic loop 5. The predicted binding model would
provide further direction toward the design of potent MraY inhibitors. This study
has set the stage for the generation of novel antibacterial "lead" compounds
based on MRYs.
PMID- 22085340
TI - Au-catalyzed piperidine synthesis via tandem acyloxy migration/intramolecular [3
+ 2] cycloaddition of enynyl esters.
AB - An Au-catalyzed tandem protocol involving enynyl ester isomerization and
subsequent intramolecular [3 + 2] cyclization has been developed. This strategy
provides an efficient approach for the synthesis of polyfunctional piperidines,
which are subunits of many bioactive molecules.
PMID- 22085341
TI - Atrophy of corrugator supercilii muscle in a patient induced by the onabotulinum
toxin injection.
AB - Onabotulinum toxin has been used to treat a variety of headaches. We report a
case of a 29-year-old woman who developed temporary and reversible atrophy of
corrugator supercilii muscle after onabotulinum toxin (Botox, Allergan, Irvine,
CA, USA) injection. To our best knowledge this has not been described in the
literature before.
PMID- 22085342
TI - Gender differences in mobility disability during young, middle and older age in
West African adults.
AB - The objective of this study was to assess the prevalence and the contribution of
socio-demographic factors and chronic diseases to mobility disability in West
African countries. Data were obtained from the World Health Survey (2002-2003) in
which adults>=18 years participated, from Burkina Faso (n=4822), Mali (n=4230)
and Senegal (n=3197). Participants reporting mild, moderate, severe, extreme
difficulty or inability to move around were defined as having mobility
disability. All estimates were corrected for sampling design. Association
measures were estimated using logistic regression methods. Mobility disability
was frequent at young ages (35-44 years old) in men and women, respectively: 17%
and 23% in Burkina Faso, 12% and 23% in Mali and 22% and 34% in Senegal. Women
had higher odds of mobility difficulty than men at every age group in the three
countries: 1.34 (95%CI 1.06; 1.70) in Burkina Faso; 2.33 (95% CI 1.84; 2.71) in
Mali and 1.82 (95%CI 1.41; 2.36) in Senegal. Controlling for socio-economic
factors and chronic disease, these odds changed respectively to 0.94 (95%CI 0.70;
1.25), 2.19 (95%CI 1.61; 2.96) and 1.90 (95%CI 1.27; 2.84). These results
constitute a benchmark for the study of trends of mobility disability in West
Africa and could be used by policy planners.
PMID- 22085344
TI - The patient, the physician and the technician.
PMID- 22085345
TI - Effectiveness and safety of posterior titanium instrumentation in children with
adolescent idiopathic scoliosis: a prospective study.
AB - OBJECTIVES: This study aims to determine the effectiveness and the relative
safety of posterior spinal fusion with titanium instrumentation in children with
adolescent idiopathic scoliosis (AIS). PATIENTS AND METHODS: A prospective cohort
(case-only) study was conducted to determine this effectiveness and safety. There
were 24 patients (21 girls, 3 boys; mean age 14.1+/-1.8 years; range 11 to 17
years) who underwent surgery between January 2002 and December 2003 in our
clinic, with a minimum of two years follow-up. Upper thoracic, thoracic, and
thoracolumbar coronal curves as well as kyphosis from T5-T12 were measured as
main outcome variables and repeated measure ANOVA was used to assess the data.
RESULTS: The main thoracic curve (coronal plane) correction achieved at the first
erect period (the first 4 weeks postoperative) (mean 12.21+/-9.78 degrees), this
correction was maintained at 24 months follow-up (mean 15.71+/-7.15 degrees) and
both were significantly lower than the preoperative values (mean 57.0+/-12.75
degrees), (p<0.001). Sagittal plane (kyphosis angle between T5-T12) curve was not
worsened by posterior titanium instrumentation and it was 19.50+/-10.53 degrees
preoperatively, 16.45+/-6.68 degrees at the first erect period and 17.73+/-8.40
degrees at the 24 months follow-up (p=0.74). There were no evidence of
pseudoarthrosis, no loss of correction and no infections. CONCLUSION: Posterior
titanium instrumentation in the treatment of AIS is effective due to its ability
to achieve and maintain curve correction. Further, this instrumentation does not
worsen hypokyphosis and is safe since there were no adverse events.
PMID- 22085346
TI - [The relationship between chronic hip pain and femoroacetabular impingement: an
evaluation with clinical signs and radiography].
AB - OBJECTIVES: In this study, we investigated the rate of the clinical and
radiographic findings of femoroacetabular impingement (FAI) in patients with
chronic hip pain and compared the findings with those of a control group.
PATIENTS AND METHODS: The clinical and radiographic findings of FAI in 38
patients (group 1) having hip pain for more than three months were analyzed and
compared with 42 controls (group 2). Internal rotation degrees were measured
while the hips were at 90 degrees flexion and impingement test was performed by
rotating the hips internally at 90 degrees flexion and adduction. The FAI
findings were investigated on anteroposterior pelvis radiographs and cross-table
lateral radiographs of the hip joint in both groups. The collum-diaphyseal angle,
alpha angle and anterior offset ratio on the femoral side and the center-edge
angle, acetabular index, extrusion index and crossover sign on the acetabular
side were evaluated. RESULTS: The internal rotation degree of the painful hips
were less than 20 degree in 18 (47.4%) patients in group 1 and in one (2.4%)
patient in group 2 (p<0.001). The impingement sign was positive in 15 (39.5%)
patients in group 1 and in one (2.4%) patient in group 2 (p<0.001). While the
rate of radiographic findings that can cause pincer type FAI were same in both
groups, the rate of patients with radiographic findings that can cause cam type
FAI was 76.3% (n=29) in group 1 and 42.9% (n=18) in group 2 (p=0.002).
CONCLUSION: Femoroacetabular impingement is one of the causes of chronic hip pain
and if evaluated with suitable clinical and radiographic parameters, the rates of
diagnosis may increase.
PMID- 22085347
TI - [Comparison of the results of supervised physiotherapy program and home-based
exercise program in patients treated with arthroscopic-assisted mini-open rotator
cuff repair].
AB - OBJECTIVES: This study aims to compare the results of supervised exercise program
versus standardized home based exercise program after rotator cuff repair with
respect to severity of pain, functional status, quality of life, and depression.
PATIENTS AND METHODS: Twenty-eight patients (5 males, 23 females; mean age 59.8+/
9.1 years; range 40 to 83 years) who had rotator cuff repair were evaluated.
Patients were divided into two groups and the first group was treated with
supervised physiotherapy (n=15) and the second group was treated with home-based
exercise program (n=13). Pendulum exercises and passive exercises within pain
limits were performed by all patients in the six-week immobilization period with
shoulder sling with abduction pillow after rotator cuff repair. After this
period, the patients in home-based exercise program were taught to perform active
exercises and the patients in supervised physiotherapy group were treated with
active shoulder range of motion exercises under the supervision of the
physiotherapist. When patients reached to active full range of motion,
strengthening exercises were added to exercise program. Patients were assessed
preoperatively and at the end of the postoperative third month. Pain was
evaluated with visual analog scale (VAS), functional status with Constant
shoulder score, quality of life with short form-36 (SF-36), and depressive
symptoms with Beck depression inventory (BDI). RESULTS: There were significant
improvements in all evaluation parameters in both groups after rotator cuff
repair. CONCLUSION: There were no statistical differences between the patients
who received exercise program under the supervision of the physiotherapist and
the patients who were treated with standardized home-based exercise program for
the efficacy of treatment in the evaluation of pain, functional status, quality
of life, and depression status. When the rehabilitation programs were analyzed
for cost effectiveness, the supervised physiotherapy group was found to have
higher costs.
PMID- 22085348
TI - Relationship between clinical and electrophysiological results in surgically
treated carpal tunnel syndrome.
AB - OBJECTIVES: In this study, relationship between clinical and electrophysiological
results of decompression via mini incision technique was investigated. PATIENTS
AND METHODS: Thirty-nine hands of 38 patients (35 females, 3 males; mean age 54.8
years; range 33 to 81 years) with carpal tunnel syndrome who were treated
surgically in our clinic between April 2004 and February 2009 were included into
the study. Patients were evaluated clinically and electrophysiologically both in
pre- and postoperative period. RESULTS: There was a difference between pre- and
postoperative clinical results (p=0.00). Clinically, the mean symptom severity
and functional status scores were decreased in 36 hands (92.3%). Postoperative
electrophysiological grades were significantly improved compared to the
preoperative ones. There was a significant difference between the pre- and
postoperative clinical scores in all of the preoperative electromyography grades,
except for the moderate grades. However, no relation was found between the
electrophysiological grades and the clinical results in both pre- and
postoperative period. CONCLUSION: This study shows that in spite of clinical
improvement after carpal tunnel syndrome surgery through mini incision technique,
electrophysiological findings were still suggesting the presence of varying
degrees of carpal tunnel syndrome in postoperative period.
PMID- 22085349
TI - Correlation between selective pedobarographic and radiographic measures in the
assessment of surgically treated CTEV patients.
AB - OBJECTIVES: This study aims to assess the correlation between selective
pedobarographic and radiographic measures in patients with surgically treated
congenital talipes equinovarus (CTEV). PATIENTS AND METHODS: We examined 50
patients [70 feet; 34 girls (68%) and 16 boys (32%); mean age 11.2 months; range
3-30.6 months] surgically treated for CTEV with a mean follow-up of 8.7 years
(range 4.3 to 15 years). Patients had radiographic and pedobarographic
measurements simultaneously. The right and left feet were assessed separately.
The relationship between selective pedobarographs and standing weight-bearing
radiographs of the foot was assessed by the Pearson's correlation coefficient and
the Spearman's rank correlation coefficient. RESULTS: There were moderate
correlations between selective pedobarographic and radiographic measures in
surgically treated CTEV patients. The strongest positive correlations were
between the right heel rise and right anteroposterior calcaneal-5(th) metatarsal
angle (r=0.54, p=0.001) and, the right anteroposterior talo-1(st) metatarsal
angle (r=0.48, p=0.003). A similar strong positive correlation was observed
between lateral tibiocalcaneal angle and left heel rise parameters (r=0.42,
p=0.01). CONCLUSION: There were moderate correlations between selective
pedobarographic and radiographic measures of surgically treated CTEV. However,
the pedobarographic measure is a direct measure of the contact force of the foot
with the ground and therefore has a more direct functional implication than
radiographic measures.
PMID- 22085350
TI - [Effect of bone cement application on the incidence of deep vein thrombosis in
major joint arthroplasties].
AB - OBJECTIVES: In this study, we have investigated whether the application of bone
cement has an effect on the frequency of deep vein thrombosis (DVT) in major
joint arthroplasties (MJA). PATIENTS AND METHODS: A total of 95 MJA cases meeting
the inclusion criteria of this study between January 2004 and January 2005 were
divided into cemented and cementless groups. For prophylaxis, all patients were
given low molecular weight heparin (LMWH). The patients were scanned for DVT
preoperatively and on the postoperative 12(th) day by color Doppler
ultrasonography. RESULTS: In hip arthroplasties, in knee arthroplasties and in
general, DVT was seen more frequently in cemented group, however, there was no
statistically significant difference between groups in the frequency of DVT
(p=0.549, p=0.749 and p=0.462, respectively). Also, there was no significant
difference between the results of the different LMWH groups (p=0.616).
CONCLUSION: The results of this study shows that bone cement application in MJAs
such as hip or knee arthroplasties has no significant effect on the frequency of
DVT.
PMID- 22085351
TI - Football injuries on synthetic turf fields.
AB - OBJECTIVES: Football injuries that occur during football matches played on
synthetic fields and the features of these injuries were investigated and the
data was pursued for the prevention and reduction of these injuries. PATIENTS AND
METHODS: All adolescent and adult trauma cases who admitted to the Emergency
Department with football injuries on synthetic fields were retrospectively
investigated. Nine hundred and eighty-five male cases were detected with a mean
age of 30.1+/-4.2 years (range 15-57 years). Age, gender, times of admittance to
the Emergency Department, site of injury, type of injury, and clinical result
data of the cases were examined. RESULTS: 19:00 to 24:00 hours (n=852, 86.5%) and
weekdays were the most frequent admittance times. It was detected that lower
extremities (n=583, 59.2%) were the most commonly affected body parts and the
upper-extremity injuries (n=281, 28.6%) and the head and neck injuries (n=75,
7.6%) were the second and third most commonly seen injuries, respectively.The
most frequently observed injury types were contusions, abrasions and hematomas in
all cases (n=364, 37.0%). Sprains/strains were the second most common types of
injuries (n=343, 34.8%). When the final diagnoses of all cases were examined, it
was determined that the anterior talofibular ligament injuries were the second
most frequent after soft-tissue injuries (n=217, 22%). CONCLUSION: Football
matches on synthetic fields can lead to serious orthopedic injuries.
Investigation of the mechanisms of these injuries, which cause workday and
economic losses, will be a guide for the future studies on the prevention of
these injuries.
PMID- 22085343
TI - Niacin in patients with low HDL cholesterol levels receiving intensive statin
therapy.
AB - BACKGROUND: In patients with established cardiovascular disease, residual
cardiovascular risk persists despite the achievement of target low-density
lipoprotein (LDL) cholesterol levels with statin therapy. It is unclear whether
extended-release niacin added to simvastatin to raise low levels of high-density
lipoprotein (HDL) cholesterol is superior to simvastatin alone in reducing such
residual risk. METHODS: We randomly assigned eligible patients to receive
extended-release niacin, 1500 to 2000 mg per day, or matching placebo. All
patients received simvastatin, 40 to 80 mg per day, plus ezetimibe, 10 mg per
day, if needed, to maintain an LDL cholesterol level of 40 to 80 mg per deciliter
(1.03 to 2.07 mmol per liter). The primary end point was the first event of the
composite of death from coronary heart disease, nonfatal myocardial infarction,
ischemic stroke, hospitalization for an acute coronary syndrome, or symptom
driven coronary or cerebral revascularization. RESULTS: A total of 3414 patients
were randomly assigned to receive niacin (1718) or placebo (1696). The trial was
stopped after a mean follow-up period of 3 years owing to a lack of efficacy. At
2 years, niacin therapy had significantly increased the median HDL cholesterol
level from 35 mg per deciliter (0.91 mmol per liter) to 42 mg per deciliter (1.08
mmol per liter), lowered the triglyceride level from 164 mg per deciliter (1.85
mmol per liter) to 122 mg per deciliter (1.38 mmol per liter), and lowered the
LDL cholesterol level from 74 mg per deciliter (1.91 mmol per liter) to 62 mg per
deciliter (1.60 mmol per liter). The primary end point occurred in 282 patients
in the niacin group (16.4%) and in 274 patients in the placebo group (16.2%)
(hazard ratio, 1.02; 95% confidence interval, 0.87 to 1.21; P=0.79 by the log
rank test). CONCLUSIONS: Among patients with atherosclerotic cardiovascular
disease and LDL cholesterol levels of less than 70 mg per deciliter (1.81 mmol
per liter), there was no incremental clinical benefit from the addition of niacin
to statin therapy during a 36-month follow-up period, despite significant
improvements in HDL cholesterol and triglyceride levels. (Funded by the National
Heart, Lung, and Blood Institute and Abbott Laboratories; AIM-HIGH
ClinicalTrials.gov number, NCT00120289.).
PMID- 22085352
TI - Effect of pentoxifylline on fracture healing: an experimental study.
AB - OBJECTIVES: This study aims to investigate the effect of pentoxifylline on
fracture healing in an experimental animal model. MATERIALS AND METHODS: Sixty
one male, Wistar-Albino rats were divided randomly into two groups as the
pentoxifylline and control groups. Standard, closed femoral shaft fractures were
established in all rats using a three-point bending device under general
anesthesia. The rats were administered either pentoxifylline or isotonic NaCl
injections everyday, beginning after production of fracture until they were
sacrificed. Ten rats (11 rats in the pentoxifylline group on the 14(th) day) in
each group were sacrificed on the 7(th), 14(th) and the 21(st) days and clinical,
radiological, and histological examinations were performed to evaluate bony
union. RESULTS: Radiological evaluation of callus did not reveal any significant
difference between the control and the pentoxifylline groups in the first, second
and the third weeks. However histological callus formation was significantly
superior in pentoxifylline group compared to the control group at the end of the
first week and callus formation was better in the control group in the third
week. CONCLUSION: Pentoxifylline can be used to accelerate fracture union in
early phases. Because of its hematological effects pentoxifylline accelerates the
hematoma stage of fracture healing. But it inhibits fracture union in the later
stages, presumably due to its anti-inflammatory effect. This should be taken into
consideration during the clinical use of this drug.
PMID- 22085353
TI - [Effects of human amniotic fluid on posterolateral spinal fusion: an experimental
preliminary study].
AB - OBJECTIVES: In this study, the effects of human amniotic fluid on posterolateral
spinal fusion were investigated in a rat model. MATERIALS AND METHODS: Twenty
eight 20-week-old male Sprague Dawley rats were included in the study. The
subjects were divided into two main groups as the control group and the amniotic
fluid group. Posterolateral spinal fusion was performed by using autografts in
all groups whereas 0.3 ml of centrifuged human amniotic fluid was added to the
fusion area in amniotic fluid groups. Groups were further divided into subgroups
each including seven rats and evaluated radiologically and histologically at the
third and sixth weeks. RESULTS: The radiological fusion observed in the amniotic
fluid group was significant at the sixth week when compared to that in the
control group. The histological fusion quality was significant in the amniotic
fluid groups both at the third and sixth weeks when compared to the control
groups. CONCLUSION: We conclude that human amniotic fluid enhances posterolateral
spinal fusion. We believe that the growth factors and hyaluronic acid present in
the amniotic fluid played a role in this result.
PMID- 22085354
TI - [Diffuse pigmented villonodular synovitis in the shoulder joint and the biceps
tendon: a case report].
AB - Pigmented villonodular synovitis (PVNS) is a benign proliferative disease of
synovium frequently most frequently seen in the knee joint and hand tendon
synovial tissues; shoulder involvement is extremely rare. It can be seen in
joints in two forms as nodular or diffuse involvement. The clinical and
radiological symptoms are not specific to the disease and usually mimic other
joint diseases. The general complaint of the patients is long-lasting painful
swelling that could not be diagnosed. In magnetic resonance imaging it is
observed as soft tissue mass. Pigment deposition and histiocytic cell
infiltration in the villous synovial projections are the major histological
findings. Synovectomy is performed for treatment and the incidence of recurrence
is high. In this article, we report a 23-years-old male patient with diffuse PVNS
in the shoulder joint and biceps tendon which are rarely involved in PVNS.
PMID- 22085355
TI - Traumatic asymmetrical bilateral hip dislocation: a case report and literature
review.
AB - Traumatic asymmetrical bilateral dislocation of the hip joint is a rare
occurrence. Herein we report a case of traumatic asymmetrical bilateral
dislocation of the hip caused by a falling object. A 31-year-old healthy male was
injured by a falling wall of weighing approximately 100 kg from behind in the
lumbosacral area. The patient sustained a pelvic fracture, posterior dislocation
of the right hip joint associated with a comminuted fracture of the right
acetabulum, and anterior dislocation of the left hip joint. Manual reduction of
both hip dislocations was performed in the Emergency Department. The posterior
wall fracture of the right acetabulum was treated with open reduction and
internal fixation by plate and screw. Reduction of both hips was successful and
no femur necrosis or arthrosis was found during the four-year follow-up.
Heterotopic ossification was found but it did not affect joint function. Prompt
reduction of hip dislocations in the Emergency Department can reduce the
incidence of avascular necrosis of the femoral head.
PMID- 22085356
TI - Unusual tennis injuries: boxer's fracture and medial subtalar dislocation: report
of two cases.
AB - In this article, we describe two cases of unusual tennis injuries. One of them
was a 48-year-old elite tennis player. He had boxer's fracture due to fall during
a tennis match. The other one was a 22-year-old beginner tennis player. He had
talonavicular and subtalar dislocations due to fall during tennis match caused by
shoes inappropriate for tennis. Patients were treated conservatively without any
complications.
PMID- 22085357
TI - One new diphenylmethane glycoside from the leaves of Psidium guajava L.
AB - To investigate the chemical constituents of Psidium guajava L, the EtOH/H(2)O
extract of the fresh leaves was subjected to various chromatography. One
diphenylmethane, one benzophenone, and eight flavonoids were isolated and
elucidated as 2,6-dihydroxy-3-formaldehyde-5-methyl-4-O-(6"-O-galloyl-beta-D
glucopyranosyl)-diphenylmethane (1), 2,6-dihydroxy-3,5-dimethyl-4-O-(6"-O-galloyl
beta-D-glucopyranosyl)-benzophenone (2), kaempferol (3), quercetin (4),
quercitrin (5), isoquercitrin (6), guaijaverin (7), avicularin (8), hyperoside
(9), reynoutrin (10) by spectroscopic methods, including 1D and 2D NMR and HR-ESI
MS spectrometry as well as by comparison with published data. Compounds 5 and 10
are obtained from P. guajava for the first time, and compound 1 is a new
diphenylmethane compound.
PMID- 22085358
TI - Experimental autoimmune encephalomyelitis--achievements and prospective advances.
AB - Multiple sclerosis (MS) is an autoimmune disorder of the CNS. Different subtypes
of the disease have been noted, and characterized by distinct clinical courses
and histopathologic manifestations. The most intensively studied animal model of
MS, experimental autoimmune encephalomyelitis (EAE), classically leads to
deficits in motor functions, and is mediated by T helper cells. Recently, T(H)17
cells were ascribed an even greater pathogenic impact than T(H)1 cells, but new
findings render this view controversial. Although classic EAE has been an
invaluable tool, it does not cover the entire pathogenic entity of MS. Especially
B-cell contribution and autoantibody-dependence are not mirrored adequately:
therefore, new B-cell-dependent models, such as MP4-induced EAE, have been
introduced. Furthermore, certain symptoms and the spontaneous onset of MS are not
featured in classic EAE. Herein, atypical and spontaneous EAE models can be used
for investigation of common symptoms, such as tremor and ataxia, as well as
spontaneous disease development. MS displays a marked inter-individual
heterogeneity, and no single model will be able to cover all features. Thus,
depending on the objective of one's study, the appropriate EAE model has to be
carefully chosen. In addition, refined models should be designed to gain a more
complete understanding of MS.
PMID- 22085359
TI - Vascular endothelial growth factor A protein level and gene expression in
intracranial meningiomas with brain edema.
AB - Meningiomas are the second most common primary intracranial tumors in adults.
Although meningiomas are mostly benign, more than 50% of patients with meningioma
develop peritumoral brain edema (PTBE), which may be fatal because of increased
intracranial pressure. Vascular endothelial growth factor (VEGF) is an
endothelial cell-specific mitogen and angiogen. VEGF-A protein, which is
identical to vascular permeability factor, is a regulator of angiogenesis. In
this study, 101 patients with meningiomas, and possible co-factors to PTBE, such
as meningioma subtypes and tumor location, were examined. Forty-three patients
had primary, solitary, supratentorial meningiomas with PTBE. In these,
correlations in PTBE, edema index, VEGF-A protein, VEGF gene expression,
capillary length, and tumor water content were investigated. DNA-branched
hybridization was used for measuring VEGF gene expression in tissue homogenates
prepared from frozen tissue samples. The method for VEGF-A analysis resembled an
ELISA assay, but was based on chemiluminescence. The edema index was positively
correlated to VEGF-A protein (p = 0.014) and VEGF gene expression (p < 0.05). The
capillary length in the meningiomas was positively correlated to the PTBE (p =
0.038). If VEGF is responsible for the formation of PTBE, the edema may be
treated with the anti-VEGF drug Bevacizumab (Avastin), which has been shown to
reduce PTBE in patients with glioblastoma multiforme.
PMID- 22085360
TI - Evaluating TIMP-1, Ki67, and HER2 as markers for non-sentinel node metastases in
breast cancer patients with micrometastases to the sentinel node.
AB - The aim was to investigate whether the biochemical prognostic markers TIMP-1,
Ki67, and HER2 could predict metastatic spread to non-sentinel nodes (NSN) in
breast cancer patients with micrometastases to sentinel node (SN). We included
all breast cancer patients with micrometastases to SN operated between 2001 and
2007 at the Department of Breast Surgery, Herlev Hospital. The study was designed
as a matched case-control study with 25 cases with micrometastases to SN and, in
addition, metastatic spread to NSN and 50 matched controls with micrometastases
to SN, but without NSN metastases. Patient and tumor characteristics were
retrieved from the Danish Breast Cancer Cooperative Group database.
Immunohistochemical analyses of TIMP-1 and Ki67 and measurements of HER2 on
formalin-fixed paraffin-embedded tumor tissue were performed. No significant
differences in the immunoreactivity of TIMP-1 and Ki67 were found between
patients with and without NSN metastases. Six of seven HER2 positive patients did
not have NSN metastases, but the results did not reach statistical significance.
Despite being prognostic markers in breast cancer, TIMP-1 and Ki67 could not
predict NSN metastases in women with micrometastatic disease to SN. Larger
studies are needed to further validate HER2 as a marker for NSN metastases in
these patients.
PMID- 22085361
TI - Antimicrobial susceptibility to parenteral and oral agents in a largely
polyclonal collection of CTX-M-14 and CTX-M-15-producing Escherichia coli and
Klebsiella pneumoniae.
AB - Activity of oral and parenteral antimicrobials against consecutively isolated
extended-spectrum beta-lactamase (ESBL)-producing Escherichia coli (n = 149) and
Klebsiella pneumoniae (n = 20) was determined, and susceptibility test methods
were compared for parenteral beta-lactams. Polymerase chain reaction (PCR)
targeting bla(CTX-M), bla(SHV) and bla(TEM), and DNA sequencing and
epidemiological typing with pulsed-field gel electrophoresis were performed. PCR
targeting pabB was screened for E. coli O25b-ST131. Minimum inhibitory
concentrations (MICs) were determined using Etest and broth microdilution. Disc
diffusion was performed according to European Committee on Antimicrobial
Susceptibility Testing (EUCAST). Dominating genotypes were bla(CTX-M-15) (75%)
and bla(CTX-M-14) (23%). Four E. coli clusters (7-18 isolates) were found. Forty
two per cent of E. coli belonged to O25b-ST131. Ciprofloxacin resistance was 72%,
trimethoprim resistance was 70%. Among E. coli, resistance to mecillinam (13%),
nitrofurantoin (7%) and fosfomycin (3%) was low, although resistance was high in
K. pneumoniae (25%, 60%, 85%). Susceptibility to ertapenem was 99%, piperacillin
tazobactam 91%, tigecycline 96% and temocillin 76%. Susceptibility rates obtained
with broth microdilution and Etest were in agreement for cefotaxime (2 vs 1%) and
ceftazidime (9 vs 11%), but not for piperacillin-tazobactam (59 vs 91%). With
disc diffusion major errors occurred with piperacillin-tazobactam (18/169).
Several therapeutic alternatives exist for ESBL-producing E. coli, but few exist
for K. pneumoniae. Disc diffusion and Etest can accurately predict susceptibility
to cefotaxime and ceftazidime, but not to piperacillin-tazobactam with the
present breakpoints.
PMID- 22085362
TI - Gonococcal infection in symptomatic and asymptomatic persons seeking medical
clinics in Sofia--a 3-year study 2008-2010.
AB - The aim was to determine the prevalence of gonococcal infection and to compare
the results with those received by other researchers, because in Bulgaria a good
medical practice for the laboratory confirmation, report and therapy is lacking.
A total of 617 specimens from symptomatic and asymptomatic persons attending
clinics in Sofia from January 2008 to December 2010 were tested by culture and in
house PCR. Using PCR Neisseria gonorrhoeae was identified in six urethral (6.25%)
and eight (1.54%) cervical specimens. By applying culture method, N. gonorrhoeae
positive result was found in 12 swabs--one cervical and one urethral swab less.
The positive results correspond predominantly to persons with genital complains
and suspicions for gonococcal or other sexually transmitted infection. This is
the first study in Bulgaria since 1989 and determines the prevalence of N.
gonorrhoeae to 2.3% over a 3-year period. Detection by culture was slightly less
sensitive than by nucleic acid amplification test (NAAT). Continuous monitoring
of gonorrhea by culture and NAAT is important for public health in Bulgaria.
PMID- 22085363
TI - A mathematical approach to the optimal examination of lymph nodes.
AB - There is no scientific evidence to support the idea that serial sectioning along
the short axis of the lymph node is superior to a single bisection along the long
axis. We mathematically evaluated methods of lymph node dissection and applied
the result to six lymph nodes that had produced false negative results at the
time of frozen examination. We simplified the geometry of a lymph node to that of
a three-dimensional ellipse and compared two different cutting methods. Let A be
the cross-sectional area obtained through a single bisection along the long axis,
and let B be the sum of the cross-sectional areas of n fragments obtained via
serial cutting along the short axis. The smallest n (n*) that makes a B larger
than A can be calculated. n* = [3L + ?9L2 + 16S2)/4S]. ([alpha], the smallest
integer greater than or equal to alpha; L, long axis; S, short axis). The
probabilities of tumor detection when the node is bisected along the long axis
(P(D(A)|E)) and when serially cut along the short axis (P(D(B)|E)) are as
follows. P(D(A)|E = {(3/2)S2 - 3ST + T2}T/(S - T)3. and P(D(B)|E) = (n - 1){(1 +
1/n)L2 - 3LT + T2}T/(L - T)3. (T, size of the tumor cell cluster). According to
these formulas, three out of six lymph nodes were not examined in the most
appropriate manner.
PMID- 22085364
TI - The use of a porcine intestinal cell model system for evaluating the food safety
risk of Bacillus cereus probiotics and the implications for assessing
enterotoxigenicity.
AB - The use of porcine intestinal cell lines in assessing toxicity of Bacillus cereus
probiotics in conjunction with animal challenge trials with toxigenic B. cereus
was investigated. Toxigenic and toxin deletion mutants of B. cereus and two
probiotic strains (Paciflor and Toyocerin) were examined for bacterial
attachment, cytotoxicity and ability to induce nitric oxide as markers of
toxicity. Both cytotoxicity and production of nitric oxide were detected in wild
type toxigenic strains and the Paciflor probiotic strain but not Toyocerin.
Attachment of B. cereus was low (less than 1%) in all strains. Discrimination
between toxigenic B. cereus and the probiotic strains was possible semi
quantitatively via dilution. Despite cytotoxicity in vitro, challenge experiments
using 10(8)-10(9) spores of the toxigenic B. cereus NVH75/95 in weaned piglets
did not induce diarrhoea or intestinal lesions. Thus, the pig small intestinal
epithelial intestinal cell line PSI is appropriate for identification of
potential toxicity in B. cereus strains and sets a low threshold for risk of
enterotoxicity to humans.
PMID- 22085365
TI - Characterization of SCCmec elements in methicillin-resistant Staphylococcus
epidermidis isolated from blood cultures from neonates during three decades.
AB - Staphylococcus epidermidis is a major cause of nosocomial infections in
immunocompromised patients and the predominant pathogen in catheter-related
infections and bloodstream infections. Approximately 70-80% of S. epidermidis
carry the mecA gene encoding methicillin resistance. The mecA gene is located on
a mobile genetic element, the staphylococcal cassette chromosome mec (SCCmec).
The aim of this study was to characterize the SCCmec elements as well as the
adjacent arginine catabolic mobile element (ACME) in 30 clinical blood isolates
of mecA positive S. epidermidis obtained from neonates and collected over a
period of three decades. The ccr and mec gene complexes were identified using
PCR. The SCCmec elements were found among 29/30 isolates and 13 different
combinations of ccr gene complexes and mec gene complexes were identified.
Staphylococcus epidermidis regularly carried multiple copies of ccr gene
complexes, but only one class of mec gene complex. Three isolates could be
assigned the SCCmec type III (3A). The combinations of ccr gene complexes and the
mec gene complexes differed among the three decades. The most frequent
combination was class B mec in combination with ccr1 and ccr2. Staphylococcus
epidermidis may constitute a large reservoir for SCCmec elements, and frequent
exchange of mobile genetic elements between staphylococcal species may explain
the emergence of new MRSA strains.
PMID- 22085366
TI - Immunoglobulin G antibodies against deamidated-gliadin-peptides outperform anti
endomysium and tissue transglutaminase antibodies in children <2 years age.
AB - To investigate the usefulness of deamidated-gliadin-peptides-antibodies in the
diagnosis of celiac disease, serology was tested in 212 children suspected with
celiac disease who had undergone a small-intestinal-biopsy. For deamidated
gliadin-peptides-antibodies, two kits were tested. Positive and negative
predictive values for IgA deamidated-gliadin-peptides-antibodies using the
Bindazyme-kit were 89% and 74%, while the Quanta-Lite-kit had values of 89% and
85%, respectively. For the IgG subtype using the Bindazyme-kit, these values were
85% and 89%, while they were 85% and 91% for the Quanta-Lite-kit. The positive
predictive values for endomysium and tissue-transglutaminase antibodies were
disappointing (77% and 87%), although the negative predictive values were better
(97% and 96%). When the analysis was restricted to the 41 children aged <2 years,
no misclassifications occurred with IgG deamidated-gliadin-peptides-antibodies
giving 100% accuracy in both kits. The positive predictive value reached 100% for
tissue-transglutaminase antibodies and both kits for IgA deamidated-gliadin
peptides-antibodies, while the negative predictive value was 94% in these assays.
Positive and negative predictive values for endomysium antibodies were 96% and
93%, respectively. In conclusion, although deamidated-gliadin-peptides-antibodies
do not outperform anti-endomysium antibodies in the total study population, the
IgG subtype seems to be the best test in children aged <2 years, reaching 100%
accuracy.
PMID- 22085367
TI - Isolation of Brevibacillus brevis from tracheal aspirates of a hospitalized
patient.
PMID- 22085369
TI - Reflections on sex research among young Bedouin in Jordan: risks and limitations.
AB - Research about sexuality is characterised by silences and invisibilities. This is
particularly evident in some Islamic Arab societies where discussion of sexuality
in general is not encouraged and practices such as homosexuality or pre-marital
sex are not acknowledged. This creates a barrier to carrying out sex research and
also means that much of the research-based knowledge and methodologies developed
in a Western setting may have limited applicability. This paper uses research
recently carried out among Bedouin young women in Jordan to examine these
limitations and the extent to which research approaches and findings from
relatively liberal Western cultures are appropriate and relevant. Following a
description of the cultural context in which the study took place, the paper
identifies potential risks of conducting sex research in this setting and the
research limitations related to this risk. Finally, it addresses the question of
whether doing sex research has any value given these restrictions.
PMID- 22085368
TI - General strategy to analyse coat colour phenotypes in mice.
PMID- 22085370
TI - The impact of late diagnosis on the survival of patients following their first
AIDS-related hospitalization in Belo Horizonte, Brazil.
AB - The purpose of this study is to estimate the survival probability of patients
following their first admission for the treatment of AIDS to an infectious
disease reference hospital in Belo Horizonte, Brazil, during 2005. Study subjects
were monitored during a 12-month period to identify factors associated with
survival probability. Late diagnosis was recorded among many of the 250 study
subjects: almost half (44.8%) were diagnosed less than 30 days prior to or during
their hospitalization. A high mortality rate was also detected: 39.6% of the
subjects died during the 12 months of monitoring. The cumulative survival
probability of the cohort group was estimated at 68.0% after 3 months and at
61.2% after 12 months. However, certain patient subgroups analyzed had even lower
cumulative survival probabilities after 12 months of monitoring: if diagnosed
during hospitalization, it was estimated at only 48.0% and those with no record
of antiretroviral treatment had a 48.5% cumulative survival probability. Patients
with severe anemia had the lowest survival probability, similar among the two
lymphocyte count groups (<1000 mm(3) and >=1000 mm(3)), the former with a 45.5%
survival probability and the latter with a 46.7% one. The proportional death risk
was 2.5-fold higher for men residing in other area than the capital city of the
State of Minas Gerais and greater metropolitan region when compared with women
residing there. The findings of this study highlight the importance of early
diagnosis for predicting patient survival and reinforce the necessity of
facilitating HIV diagnosis.
PMID- 22085371
TI - A combined approach in characterization of an effective w/o hand cream: the
influence of emollient on textural, sensorial and in vivo skin performance.
AB - To formulate a consumer-acceptable cosmetic product, numerous demands have to be
fulfilled, and as the most important, efficacy (both real and perceived),
adequate aesthetic (visual perception) and all sensorial characteristics have to
be achieved. In this study, four model water-in-oil creams intended for hand
care, varying in one emollient component, were submitted to rheological, sensory
and textural characterization, and their efficacy was evaluated in in vivo study
on human volunteers. Our results indicate that certain alteration restricted to
the oil phase induced a change in all investigated characteristics, showing that
each instrumental measurement can be used as a sensitive tool in the
characterization of cream samples. Regarding the correlation between physical
measurements and certain sensory attributes, it is possible to formulate a
product with specific sensory characteristics by using pre-defined rheological or
textural parameters. To obtain a complete sensory profile of a cosmetic product,
a detailed sensory evaluation should be carried out according to the existing
standard practices, which are both time- and money-consuming. However, a modified
sensory study could be useful for fast in-line screening along with instrumental
characterization of a novel cosmetic emulsion product and could be particularly
helpful in the process of distinguishing a single formulation from several
differing in one component.
PMID- 22085372
TI - The chloroplast ATP-dependent Clp protease in vascular plants - new dimensions
and future challenges.
AB - The ATP-dependent Clp protease is by far the most intricate protease in
chloroplasts of vascular plants. Structurally, it is particularly complex with a
proteolytic core complex containing 11 distinct subunits along with three
potential chaperone partners. The Clp protease is also essential for chloroplast
development and overall plant viability. Over the past decade, many of the
important characteristics of this crucial protease have been revealed in the
model plant species Arabidopsis thaliana. Despite this, challenges still remain
in fully resolving certain key features, in particular, how the assembly of this
multisubunit protease is regulated, the full range of native protein substrates
and how they are targeted for degradation and how this complicated enzyme might
have developed from simpler bacterial forms. This article focuses upon the recent
advances in revealing the details underlying these important features. It also
take the opportunity to speculate upon many of these findings in the hope of
stimulating further investigation.
PMID- 22085373
TI - Diagnosis and treatment of low-back pain because of paraspinous muscle spasm: a
physician roundtable.
AB - BACKGROUND: Despite the availability of evidence-based guidelines to diagnose and
treat acute low-back pain, practical application is nonuniform and physician
uncertainty regarding best practices is widespread. OBJECTIVE: The objective of
this study was to further optimal treatment choices for screening, diagnosing,
and treating acute low-back pain caused by paraspinous muscle spasm. METHODS:
Four experts in pain medicine (three family physicians and one physiatrist)
participated in a roundtable conference call on October 18, 2010, to examine
current common practices and guidelines for diagnosing and treating acute low
back pain and to offer commentary and examples from their clinical experience.
RESULTS: Participants discussed the preferred choices and timing of diagnostic
and imaging tests, nonpharmacologic therapies, nonopioid and opioid medication
use, biopsychosocial evaluation, complementary therapies, and other issues
related to treatment of acute low-back pain. Principal clinical recommendations
to emerge included thorough physical exam and medical history, early patient
mobilization, conservative use of imaging tests, early administration of muscle
relaxants combined with nonsteroidal anti-inflammatory medications to reduce pain
and spasm, and a strong emphasis on patient education and physician-patient
communication. CONCLUSIONS: Early, active management of acute low-back symptoms
during the initial onset may lead to better patient outcomes, reducing related
pain and disability and, possibly, preventing progression to chronicity.
PMID- 22085374
TI - Cardiovascular support in preterm infants: a survey of practices in Australia and
New Zealand.
AB - AIM: Management of haemodynamic instability in premature neonates and selection
of inotropic therapy are protocol driven, and therapeutic choices lack scientific
validation. The aim of this study was to characterise practices related to the
management of haemodynamic instability in premature infants. METHODS: An
electronic web-based questionnaire was emailed to all neonatologists and advanced
trainees in Australia and New Zealand. Respondents were presented with a series
of questions related to the management of hypotension in a 1-day-old, extremely
low birthweight infant, and opinions were collected. RESULTS: Survey response
rate was 65% (114/176). Haemodynamically significant ductus arteriosus, systemic
blood flow and left ventricular afterload were considered the most important
physiologic concepts by 81, 68 and 50%, respectively. After initial crystalloid
replacement, the next step in management reported included a second bolus (35%),
dobutamine (28%), dopamine (17%) or clinician-performed cardiac ultrasound (CPCU)
(20%). In the setting of hypotension resistant to dobutamine and dopamine, the
most common strategies were to perform CPCU (57%), or administer hydrocortisone
(39%), epinephrine (3.5%) or milrinone (<1%). The majority (66%) of respondents
felt that premature infants are over-treated on the basis of presumed
hypotension, while 83% felt that performing a CPCU would enhance clinical
decision-making. CONCLUSIONS: Wide variation in the approach to management of
haemodynamic instability in extremely low birthweight infants was identified.
Haemodynamic information provided by a CPCU was considered highly desirable by
the majority of the respondents.
PMID- 22085376
TI - Hepatocellular carcinoma treated with sorafenib.
PMID- 22085375
TI - Long-term follow-up of phase II trial of docetaxel and cisplatin with concurrent
thoracic radiation therapy for locally advanced non-small cell lung cancer.
AB - BACKGROUND: Chemoradiation improves survival for patients with locally advanced
non-small cell lung cancer (NSCLC), but clinical outcomes beyond five years are
rarely reported. The aim of the present study was to identify the long-term
results of a phase II study of docetaxel and cisplatin with concurrent thoracic
radiation. METHODS: We previously reported short-term outcomes from the phase II
study, which enrolled 42 patients (aged <= 75 years) with unresectable stage III
NSCLC. We continued to follow these patients for long-term clinical outcomes.
RESULTS: At a median follow-up for all patients of 6.3 years (range: 5.2-7.1
years), the median survival time was 2.1 years and the actual five-year survival
rate was 31%. Among 14 patients who were progression-free longer than two years,
three patients died due to bacterial or fungal pneumonia and one died due to gall
bladder cancer. CONCLUSIONS: Thirty-one percent of locally advanced patients
having NSCLC treated with docetaxel and cisplatin and concurrent thoracic
radiation survived beyond five years. Progression-free patients might be
cautiously followed up taking precautions against emerging pneumonia.
PMID- 22085378
TI - Aspirin use and development of inflammatory bowel disease: confounding or
causation?
PMID- 22085379
TI - Aspirin use and development of inflammatory bowel disease: confounding or
causation? authors' reply.
PMID- 22085381
TI - Intracervical procedures and the risk of subsequent very preterm birth: a case
control study.
AB - OBJECTIVE: To investigate the relation of prior intracervical procedures with
very preterm birth. DESIGN: A population-based case-control study. SETTING: The
study was conducted in Australia between 2002 and 2004. SAMPLE: Three hundred and
forty-five women having a medically indicated and 236 having a spontaneous
singleton birth between 20 and 31 weeks of gestation and 796 women selected
randomly from all those giving birth at >=37 weeks of gestation. METHODS:
Interview data were analysed using logistic regression. MAIN OUTCOME MEASURE:
Very preterm birth. RESULTS: Very preterm birth was significantly associated with
having any intracervical procedure [adjusted odds ratio (AOR) 2.07; 95%
confidence interval (CI) 1.6-2.7], in particular curettage associated with
abortion (AOR 1.80; 95% CI 1.2-2.6). Assisted reproductive technology procedures
were significantly associated with medically indicated very preterm birth (AOR
3.07; 95% CI 1.8-5.3) and treatments for precancerous cervical changes were
significantly associated with spontaneous very preterm birth, as follows:
conization/cone biopsy (AOR 3.33; 95% CI 1.8-6.2) and cauterization/ablation (AOR
2.27; 95% CI 1.4-3.8). Suction aspiration for abortion, abnormal Pap smear
without treatment and abortion without instrumentation were not associated with
very preterm birth. CONCLUSIONS: Intracervical procedures are associated with
very preterm birth. Notably, curettage rather than any other procedure associated
with abortion appears to be implicated in the risk. The introduction of infection
during cervical procedures may be the common link with risks found. Changing
clinical practice in the management of abortion and human papillomavirus
vaccination may lead to lowering the risks of very preterm birth.
PMID- 22085380
TI - Drug discovery research targeting the CXC chemokine receptor 4 (CXCR4).
PMID- 22085382
TI - Role of genotyping in non-small cell lung cancer treatment: current status.
AB - Non-small cell lung cancer (NSCLC) is a common malignant disease with an
extremely poor prognosis. Chemotherapeutic treatment for advanced disease is
currently based on histological subtyping, but recent discoveries of genetic
alterations in subsets of NSCLC have already changed clinical practice with
regard to Egfr mutations as predictive markers of response to gefitinib and
erlotinib. This has also paved the way for the integration of molecular analyses
into early phase clinical trials, as demonstrated by the clinical development of
crizotinib, effective in lung cancer harbouring Alk rearrangements. Similarly,
other subgroups of NSCLC carry potentially targetable molecular alterations and
their study has the potential to change the diagnostic and therapeutic approach
to lung cancer in the near future. In contrast to a wealth of knowledge
surrounding genomic alterations in lung adenocarcinomas, fewer data are available
concerning squamous cell lung cancer (SCC), although recent data demonstrate that
genotyping can provide new therapeutic perspectives in SCC treatment. Moreover,
the study of molecular predictive markers of response to chemotherapy aims to
improve chemotherapeutic treatment, increasing efficacy and limiting toxicity.
PMID- 22085383
TI - Serotonergic anti-obesity agents: past experience and future prospects.
AB - The role of serotonin (5-hydroxytryptamine) in appetite control is long
established. Serotonergic manipulations reduce food intake in rodents in a manner
consistent with satiety. In humans, drugs such as fenfluramine, dexfenfluramine
and sibutramine all reduce energy intake, suppress hunger and enhance satiety.
Effects on eating behaviour and subjective sensations of appetite are associated
with the weight loss-inducing effects of these treatments. Currently, no appetite
suppressing drugs are approved specifically for the treatment of obesity.
However, a new generation of serotonergic drugs have progressed through clinical
development. The serotonin 5-HT(2C)-receptor selective agonist lorcaserin, a drug
specifically developed to target satiety without producing the side effect
profiles of its predecessors, has been shown to significantly reduce energy
intake and body weight. The weight loss produced by lorcaserin appears modest,
and behavioural effects, particularly its supposed satiety-enhancing effects,
have yet to be characterized. The monoaminergic re-uptake inhibitor tesofensine
has also been shown to produce impressive weight loss in smaller-scale clinical
studies. It remains unclear if this drug produces any effects on appetite
mediated by serotonin, or whether weight loss is produced largely through
enhanced energy expenditure. Evidence indicates that tesofensine strengthens
satiety, but behavioural specificity and psychological side effects remain an
issue. The serotonergic system remains a viable target for anti-obesity
treatment. In this review, we examine the limited behavioural data available on
these two new CNS-acting appetite suppressants.
PMID- 22085384
TI - Adjuvant therapy for early colon cancer: current status.
AB - Adjuvant chemotherapy after resection of the primary tumour reduces the risk of
death by an absolute 5% in UICC (Union Internationale Contre le Cancer) stage II
colon cancer and about 15-20% in stage III. Adjuvant treatment has to be
evaluated separately for each stage due to the different clinical situations: in
stage II about 80% of patients are cured by surgery alone, whereas only about
half of patients with stage III are cured by surgery. Decisions on adjuvant
treatment need to be discussed with the patient on an individual basis, and take
into account patient characteristics (performance status, age, co-morbidity and
patient preference) as well as cancer features (pathological stage, grading and
overall risk of relapse). Recently, capecitabine in combination with oxaliplatin
has been approved for treatment of stage III colon cancer, providing the benefits
of an oral fluoropyrimidine. Predictive markers for guidance of treatment have
gained importance, particularly in stage II disease. Microsatellite instability,
a well known prognostic factor, might be predictive for a lack of activity of
fluorouracil treatment in some stage II patients. Furthermore, patients aged >=70
years do not seem to obtain the same benefit from combination therapy compared
with those aged <70 years. The impact of these current developments on daily
clinical practice is discussed in this review.
PMID- 22085386
TI - Single-pill telmisartan and amlodipine: a rational combination for the treatment
of hypertension.
AB - Despite the well documented benefits conferred by adequate control of
hypertension, the majority of hypertensive patients display suboptimal control
and few patients achieve blood pressure (BP) levels <140/90 mmHg. As a
consequence, combination therapy will be required in the majority of patients to
achieve target BP. Fixed-dose combinations of antihypertensives not only simplify
treatment regimens, contributing to enhanced patient adherence, they provide
superior BP-lowering efficacy and an improved tolerability profile. Fixed-dose
combinations have become the strategy of choice in high-risk patients or those
with stage 2-3 hypertension. The combination of a renin-angiotensin system
inhibitor (RASI) with a calcium channel blocker (CCB) is a first-line combination
that, in addition to its antihypertensive efficacy, reduces oedema, the main
adverse effect of the dihydropyridine CCB and the main factor limiting their use.
In morbidity/mortality studies, this fixed-dose combination has also demonstrated
superiority over a RASI combined with a diuretic. The single-pill combination of
telmisartan and amlodipine has been shown to produce a dose-dependent BP-lowering
effect significantly greater than that of either agent administered as
monotherapy. These findings have been confirmed by ambulatory BP monitoring in
patients with stage 1 and 2 hypertension, which demonstrated that single-pill
telmisartan/amlodipine provides substantial 24-hour BP-lowering efficacy. A
higher proportion of patients achieved 24-hour BP goals of <130/80 mm Hg on
combination therapy. The superior efficacy of combination therapy has been
demonstrated across a broad range of patients, including those with moderate-to
severe hypertension, diabetes mellitus and obesity. Moreover, combined use of
telmisartan and amlodipine reduces the incidence of amlodipine-induced oedema,
making it a preferred combination for the treatment of hypertension.
PMID- 22085385
TI - Pharmacological considerations for the proper clinical use of aminoglycosides.
AB - Aminoglycosides constitute one of the oldest classes of antimicrobials. Despite
their toxicity, mainly nephrotoxicity and ototoxicity, aminoglycosides are
valuable in current clinical practice, since they retain good activity against
multidrug-resistant Gram-negative pathogens, such as Pseudomonas aeruginosa and
Acinetobacter spp. Time-kill studies have shown a concentration-dependent and
partially concentration-dependent bacterial killing against Gram-negative and
Gram-positive bacteria, respectively. Pharmacodynamic data gathered over recent
decades show that the administration of aminoglycosides by an extended-interval
dosing scheme takes advantage of the maximum potential of these agents, with the
goal of achieving an area under the concentration-time curve (AUC) of 100 mg .
h/L over 24 hours and a peak plasma drug concentration (C(max)) to minimum
inhibitory concentration (MIC) ratio of 8-10. Several clinical conditions that
are common in seriously ill patients result in expansion of the extracellular
space and can lead to a lower than desirable C(max) with the usual loading dose.
Extended-interval dosing schemes allow adequate time to decrease bacterial
adaptive resistance, a phenomenon characterized by slow concentration-independent
killing. Adaptive resistance is minimized by the complete clearance of the drug
before the subsequent dose, thus favouring the extended-interval dosing schemes.
The efficacy of these schemes is also safeguarded by the observed post-antibiotic
sub-MIC effect and post-antibiotic leukocyte enhancement, which inhibit bacterial
regrowth when the serum aminoglycoside levels fall below the MIC of the pathogen.
In everyday clinical practice, aminoglycosides are usually used empirically to
treat severe sepsis and septic shock while awaiting the results of antimicrobial
susceptibility testing. The European Committee on Antimicrobial Susceptibility
Testing acknowledges the regimen-dependent nature of clinical breakpoints for
aminoglycosides, i.e. of MIC values that classify bacterial isolates into
sensitive or resistant, and bases its recommendations on extended-interval
dosing. To a large extent, the lack of correlation between in vitro antimicrobial
susceptibility testing and clinical outcome is derived from the fact that the
available clinical breakpoints for aminoglycosides are set based on mean
pharmacokinetic parameters obtained in healthy volunteers and not sick patients.
The nephrotoxicity associated with once- versus multiple-daily administration of
aminoglycosides has been assessed in numerous prospective randomized trials and
by several meta-analyses. The once-daily dosing schedule provides a longer time
of administration until the threshold for nephrotoxicity is met. Regarding
ototoxicity, no dosing regimen appears to be less ototoxic than another.
Inactivation of aminoglycosides inside the bacterial pathogens occurs by diverse
modifying enzymes and by operation of multidrug efflux systems, making both of
these potential targets for inhibition. In summary, despite their use for several
decades, the ideal method of administration and the preferred dosing schemes of
aminoglycosides for most of their therapeutic indications need further
refinement. Individualized pharmacodynamic monitoring has the potential of
minimizing the toxicity and the clinical failures of these agents in critically
ill patients.
PMID- 22085387
TI - Current status of targeted therapies for mantle cell lymphoma.
AB - Mantle cell lymphoma (MCL) is a type of non-Hodgkin's lymphoma (NHL) with
treatment outcomes that have historically been poorer than those observed with
other NHL subtypes. Patients typically present with advanced-stage disease and
frequent extranodal involvement; the median age at diagnosis is >60 years. Recent
improvements in progression-free and overall survival have been observed with
more dose-intensive strategies, although at least half of patients diagnosed with
MCL are not eligible for such treatment approaches based on age and co
morbidities. In addition, therapy options for relapsed MCL are limited. Only
bortezomib is approved for treatment of relapsed MCL in the US. Development of
targeted therapy approaches to minimize toxicities while preserving anti
neoplastic properties is of particular importance in MCL. Multiple ongoing
studies are attempting to build on the known efficacy of bortezomib by evaluating
combination regimens with other targeted agents or cytotoxic chemotherapy. The
mammalian target of rapamycin (mTOR) inhibitor temsirolimus has known activity in
MCL, making this an attractive class of agents for further investigation in
combination regimens. Rituximab and other monoclonal antibodies are being
evaluated for novel roles in MCL treatment, including as maintenance therapy.
Other classes of drugs being investigated in MCL are immunomodulatory agents,
inhibitors of the phosphoinositide 3-kinase/Akt and B-cell receptor signalling
pathways, and inhibitors of bcl-2 and histone deacetylase. Although many of the
agents appear to have modest single-agent activity, the favourable toxicity
profile of many agents will make them best suited for incorporation into
combination regimens.
PMID- 22085388
TI - Eculizumab: a review of its use in paroxysmal nocturnal haemoglobinuria.
AB - Eculizumab is a humanized monoclonal antibody indicated for the treatment of
paroxysmal nocturnal haemoglobinuria (PNH). It binds specifically and with high
affinity to the complement protein C5, thereby preventing the formation of the
terminal complement complex C5b-9, which mediates cell lysis. In patients with
PNH, eculizumab inhibits terminal complement mediated intravascular haemolysis.
In clinical trials of PNH patients, eculizumab reduced intravascular haemolysis
compared with baseline and placebo, as determined by significantly decreased
lactate dehydrogenase (LDH) levels. Significant reductions in LDH levels were
achieved within the first week of treatment, with near normal levels achieved at
week 2 and maintained throughout longer term treatment, including periods of up
to 36 months. Eculizumab achieved rapid and sustained efficacy, regardless of
baseline LDH levels or platelet counts. In adults with PNH, eculizumab treatment
for 26 weeks achieved stabilization of haemoglobin levels in significantly more
patients than placebo treatment, and reduced the requirement for packed red cell
transfusions to a significantly greater extent than placebo. Half of all patients
in the eculizumab group became transfusion independent compared with no patients
in the placebo group. Eculizumab was also associated with significant
improvements in fatigue and health-related quality-of-life scores in several
trials. Over the long term, the survival of PNH patients treated with eculizumab
was normalized. Eculizumab was generally well tolerated in clinical trials of PNH
patients, including treatment periods of up to 5.5 years. The risk of Neisseria
meningitidis is increased with eculizumab and patients must be vaccinated prior
to treatment and monitored throughout. Thus, eculizumab, the first targeted
terminal complement inhibitor, provides an effective and generally well tolerated
treatment for PNH patients, who have previously been without adequate treatment
options.
PMID- 22085390
TI - Low-dose topiramate plus sodium divalproate for positive responders intolerant to
full-dose monotherapy.
AB - BACKGROUND: Neuromodulators such as topiramate (TPM) and divalproex sodium (DVS)
are effective in the preventive treatment of migraine. Nonetheless, patients
often discontinue their use due to side effects. OBJECTIVES: The study aims to
determine whether the combination of lower doses of TPM and DVS may be useful for
patients responsive to higher doses of the individual drugs but experiencing
intolerable side effects. METHODS: This clinic-based study was conducted to
evaluate a series of patients who experienced at least a 50% reduction in
headache frequency after 6 weeks of treatment with either TPM 100 mg/day or DVS
750 mg/day, but suffered intolerable drug-related side effects. At that point,
patients were switched to TPM (50 mg in the morning and 25 mg at night) plus DVS
500 mg/day (single dose) and reevaluated after 6 further weeks. RESULTS: Thirty
eight patients were evaluated. Mean age was 37 years, and 84% were female. Of the
38, 17 (77.3%) initially were using TPM only, and 10 (62.5%) initially were using
DVS only. After 6 weeks on combination therapy, 27 (62.9%) reported improved
tolerability without any decrease in efficacy. Five patients who initially were
using TPM only and six using DVS only failed to return for follow-up or were
noncompliant with treatment due to persistent or worsening side effects.
CONCLUSIONS: This small, open-label study suggests that the combination of TPM
and DVS in doses lower than those typically used for migraine prophylaxis may be
an effective option for patients who benefited from higher doses of these same
medications used as monotherapy but were unable to tolerate such treatment due to
side effects.
PMID- 22085389
TI - Liraglutide: a review of its use in the management of type 2 diabetes mellitus.
AB - Liraglutide (Victoza(r)) is a subcutaneously administered glucagon-like peptide-1
(GLP-1) receptor agonist produced by recombinant DNA technology and used as an
adjunct to diet and exercise in the treatment of adults with type 2 diabetes
mellitus. This article reviews the clinical efficacy and tolerability of
liraglutide in adults with type 2 diabetes, and provides a summary of its
pharmacological properties. Recently published pharmacoeconomic studies of
liraglutide are also reviewed. Administered subcutaneously, liraglutide (usually
1.2 or 1.8 mg once daily) generally produced greater improvements in glycaemic
control than active comparators or placebo when administered as monotherapy or in
combination with one or two oral antidiabetic drugs (OADs) to adults with type 2
diabetes in numerous randomized, controlled phase III trials. These included six
trials in the LEAD trial programme that was designed to evaluate the efficacy and
safety of liraglutide across a continuum of antihyperglycaemic management for
patients with type 2 diabetes. Liraglutide was generally well tolerated, with a
low risk of hypoglycaemia evident, in the phase III trials. The most common
adverse events were gastrointestinal and included nausea and diarrhoea; most
events were mild to moderate in severity and decreased in incidence over time. In
conclusion, liraglutide has an important place in the management of adults with
type 2 diabetes across a continuum of care. As well as providing effective
glycaemic control, liraglutide improves pancreatic beta-cell function and leads
to bodyweight loss, thereby addressing some of the unmet needs of patients
treated with traditional OADs.
PMID- 22085391
TI - Topical pimecrolimus effect on Fas inducing apoptosis in oral lichen planus: a
clinical immunohistochemical study.
AB - OBJECTIVE: To investigate the effectiveness of pimecrolimus treatment in patients
not responding to corticosteroid treatment and to investigate its effect on Fas
expression on keratinocytes in oral lichen planus (OLP). SUBJECTS AND METHODS:
Twenty patients with OLP were recruited from the Oral Medicine Clinic at the
School of Dentistry, Ain Shams University, Egypt. Pimecrolimus 1% cream with a
hydrophilic adhesive gel base was applied to the oral lesions, four times daily,
for a total of 2 months. A marker lesion was identified and assessed by clinical
scoring (CS). The symptomatology score was obtained using a visual analog scale
(VAS). Pre-treatment and post-treatment specimens were immunohistochemically
stained for detecting Fas. RESULTS: The results of clinical scores showed
statistically high significant improvement (P = 0.0001). The mean VAS decreased
significantly over time as well as the mean of Fas expression (P < 0.05). The
overall percentage of reduction from baseline to week 8 was 87%, 93%, and 67% for
clinical scores, visual analog score, and Fas expression, respectively.
CONCLUSIONS: Topical pimecrolimus reduced Fas expression, and it appears to be a
promising alternative treatment for OLP.
PMID- 22085393
TI - The role of distress in uptake and response to predisposition genetic testing:
the BMPR2 experience.
AB - This study examines psychological determinants and effects of participating in
genetic testing among persons diagnosed with or at risk for developing primary
pulmonary arterial hypertension. Longitudinal data were drawn from orally
administered surveys with 70 affected or at-risk individuals concerning their
thoughts, feelings, and decision making about testing for mutations in BMPR2.
Distress was measured by use of the Impact of Events Scale. Variations in
tolerance for ambiguity were also examined. Although uptake of testing was low,
as is common for incompletely penetrant mutations that lack clear therapeutic
interventions, we found that those who participated in testing evidenced greater
reduction in distress compared to those who had not participated in testing,
irrespective of test result. No differences in tolerance for ambiguity by testing
status were found. Participation in genetic testing, irrespective of test
results, may be particularly beneficial to individuals who may have genetic
mutations and who are experiencing high levels of distress.
PMID- 22085394
TI - Parenting through genetic uncertainty: themes in the disclosure of breast cancer
risk information to children.
AB - AIM: Among mothers undergoing BRCA1/2 testing and their spouses/partners, this
study sought to examine decision support needs and motivations for family
communication of genetic risk information to asymptomatic children. METHODS: This
study gathered data from 213 tested mothers and 104 of their untested parenting
partners 1 month after maternal receipt of genetic test results and upon making a
decision about communicating genetic information to their child (ages 8-21
years). Data include parents' perceived needs for family communication decision
support, decision motivations, and parent-child communication. RESULTS: Parents
reported high decision support needs (e.g., educational materials, professional
counseling, peer assistance). Motivations for disclosure to children among
mothers and partners focused on promoting the parent-child bond and maintaining
family health (55.3% and 75%, respectively) and promoting positive child affect
(44.7% and 25.5%, respectively). Motivations for nondisclosure to children among
mothers and partners focused on the lack of appropriateness (69.6% and 51.3%,
respectively) and relative importance of genetic test results (30.4% and 48.7%,
respectively). Significant discrepancies in parental motivation for family
communication were observed. Decision support needs were highest among disclosing
mothers with affect-related motivations [t (129)=2.47; p=0.01]. Parent-child
communication was poorest among nondisclosing mothers concerned about the
appropriateness of genetic information for their child [t (77)=-3.29; p=.002].
CONCLUSIONS: Parents receiving information about hereditary cancer predisposition
have unmet needs when making decisions about disclosing genetic risk information
to their asymptomatic children. These data can guide the development of cancer
risk communication decision support interventions for parents undergoing such
testing.
PMID- 22085395
TI - Serum neural cell adhesion molecule is hyposialylated in hereditary inclusion
body myopathy.
AB - Hereditary inclusion body myopathy (HIBM) is a young-adult onset autosomal
recessive disorder caused by a hypomorphic rate limiting enzyme of sialic acid
biosynthesis. The enzyme is UDP-N-acetylglucosamine 2-epimerase/N
acetylmannosamine kinase, and is encoded by the GNE gene. HIBM causes slowly
progressive muscle weakness and atrophy. Patients are typically diagnosed at 20
30 years of age, and most patients are incapacitated and wheelchair-confined by
30-50 years of age. Some sialic acid containing glycoproteins, including neural
cell adhesion molecule (NCAM), are hyposialylated in HIBM muscle biopsy samples.
We developed a method to allow detection of serum NCAM sialylation using Western
blot, and tested serum samples from several patients and a HIBM mouse model.
Preliminary results showed a clear difference in polysialylated and
hyposialylated forms of NCAM extracted from serum, and showed NCAM is
hyposialylated in HIBM serum samples. This initial finding may prove useful in
reducing the need for serial muscle biopsies in HIBM treatment trials. Additional
studies are underway to further validate this finding and to evaluate the
specificity, reliability, and robustness of this potential serum biomarker for
HIBM.
PMID- 22085396
TI - Machismo, public health and sexuality-related stigma in Cartagena.
AB - This paper reports on an ethnographic study in Cartagena, Colombia. Over a seven
month fieldwork period, 35 men and 35 women between 15 and 60 years of age
discussed the social context of HIV/AIDS through in-depth interviews, life
histories and drawing. Participants considered the transgression of traditional
gender roles as prescribed by machismo a major risk factor for HIV infection. In
addition, they integrated public-health concepts of risk groups with these long
standing constructions of gender roles and sexuality-related stigma to create the
notion of 'AIDS carriers'. The bricolage between machismo, public health and
sexuality-related stigma that participants created and consequent preventive
measures (based on an avoidance of sex with people identified as 'AIDS carriers')
was a dynamic process in which participants were aware that changes in this
particular interpretation of risk were necessary to confront the local epidemic.
PMID- 22085397
TI - Haemodialysis nurses knowledge about methicillin-resistant Staphylococcus aureus.
AB - Healthcare workers may lack knowledge about antibiotic-resistant bacteria and
thereby increase the spread of such organisms. The aim of the present study was
to describe the relationship between self-rated knowledge and actual knowledge
about methicillin-resistant Staphylococcus aureus (MRSA) among 326 Swedish
haemodialysis nurses. Data were collected through a postal questionnaire. The
findings suggest that ongoing education about MRSA should be provided to
haemodialysis nurses, but also that standardised evaluation of adequate
knowledge, skills and competencies' regarding safe practices is warranted. Future
research should focus on effective mechanisms to ensure that haemodialysis nurses
provide safe MRSA care.
PMID- 22085398
TI - Anti-melanoma, tyrosinase inhibitory and anti-microbial activities of gold
nanoparticles synthesized from aqueous leaf extracts of Teraxacum officinale.
AB - There has been a tenacious search for pharmaceuticals of natural origin, as they
are cost-effective and are noted for having little or no side effects. The rate
at which diseases are developing resistance to synthetic drugs is quite alarming,
and the side effects of these drugs remain an excruciating agony to the
pharmaceutical industry. Gold nanoparticles (AuNPs) have wide applications in
current technology. However, their use in medicine has not been adequately
explored. Chemical methods for the synthesis are associated with environmental
benignity and tissue toxicity on in vivo administration. For the first time, we
have synthesized AuNPs from leaf extracts of Teraxacum officinale that were found
to have significant anti-melanoma, tyrosinase inhibitory and anti-microbial
effects, and hence stand as promising candidates for use in cosmetics medical and
food industries.
PMID- 22085399
TI - Proteolytic system of plant mitochondria.
AB - The existence of a proteolytic system which can specifically recognize and cleave
proteins in mitochondria is now well established. The components of this system
comprise processing peptidases, ATP-dependent peptidases and oligopeptidases. A
short overview of experimentally confirmed proteases mainly from Arabidopsis
thaliana is provided. The role of the mitochondrial peptidases in plant growth
and development is emphasized. We also discuss the possibility of existence of as
yet unidentified plant homologs of yeast mitochondrial ATP-independent proteases.
PMID- 22085400
TI - Synthesis and metal-catalyzed reactions of gem-dihalovinyl systems.
PMID- 22085401
TI - Orbital Rosai-Dorfman disease with subperiosteal bone involvement mimicking
eosinophilic granuloma.
AB - The presentation of RDD as an anterior subperiosteal orbital mass with bone
involvement has, to the authors' knowledge, not been previously reported. We
describe a case of Rosai-Dorfman disease (RDD) presenting as an anterior
superolateral subperiosteal orbital mass with erosion of overlying bone mimicking
eosinophilic granuloma. It was debulked using endoscopic-guided curettage and the
patient was given both local and systemic corticosteroids. Careful histological
analysis revealed the diagnosis of RDD and the patient remains asymptomatic and
recurrence free at 16 months follow-up. Involvement of the pituitary gland, a
recognized yet unusual finding in this condition was also noted. RDD should be
considered in the differential diagnosis of a soft tissue mass of the
superolateral orbit.
PMID- 22085402
TI - Generation of dyspeptic symptoms by direct acid and water infusion into the
stomachs of functional dyspepsia patients and healthy subjects.
AB - BACKGROUND: The mechanisms of the development of symptoms in functional dyspepsia
(FD) patients have not been fully elucidated. We previously reported that acid
directly infused into the stomach causes dyspeptic symptoms in asymptomatic
healthy controls (HCs); however, the response to acid infusion of FD patients was
not determined. AIM: To investigate the severity of dyspeptic symptoms induced by
direct acid infusion in FD subjects and HCs. METHODS: This was a multi-centre,
cross-over, randomised, double-blind study in 23 FD subjects and 32 HCs. FD was
defined using the Rome III criteria. All subjects were Helicobacter pylori
negative. Each subject received two tests; 0.1 mol/L hydrochloric acid and water
infused into the stomach. The presence and severity of 12 dyspeptic symptoms were
assessed using a visual analogue scale. RESULTS: The proportion of subjects
developing symptoms by acid or water infusion was significantly greater in FD
subjects than HCs. All of the FD subjects experienced at least one symptom by
water or acid infusion. In the FD subjects, the severity of symptoms was
significantly greater with acid infusion than water infusion. The severity of
symptoms in total and the scores for eight of the 12 symptoms induced by acid
infusion was significantly greater in FD subjects than in HCs. CONCLUSIONS: The
severity of dyspeptic symptom generation induced by direct acid infusion into the
stomach was significantly greater in functional dyspepsia subjects than in
healthy controls, suggesting that hypersensitivity to acid is one of the
important mechanisms of the development of symptoms in functional dyspepsia
patients.
PMID- 22085403
TI - Fear of childbirth; the relation to anxiety and depression.
AB - OBJECTIVE: To study the associations of anxiety and depression with fear of
childbirth. DESIGN: A cross-sectional questionnaire study. SETTING: Prenatal
public healthcare in Norway. SAMPLE: Pregnant women (n=1642) recruited during
November 2008 until April 2010. METHODS: Data were collected by a postal
questionnaire at pregnancy week 32. Fear of childbirth was measured by the Wijma
Delivery Expectancy Questionnaire (W-DEQ) and by a numeric rating scale. Symptoms
of anxiety were measured by the Hopkins Symptom Check List (SCL-25) and symptoms
of depression by the Edinburgh Postnatal Depression Scale (EPDS). MAIN OUTCOME
MEASURE: Fear of childbirth. RESULTS: Eight per cent (137 of 1642) of the women
had fear of childbirth (W-DEQ>=85), 8.8% (145 of 1642) had anxiety (SCL
anxiety>=18) and 8.9% (146 of 1642) had depression (EPDS>=12). More than half
(56.2%) of the women with fear of childbirth did not have anxiety or depression;
however, presence of anxiety or depression increased the prevalence of fear of
childbirth (odds ratio 2.4, 95% confidence interval 1.1-5.2 and odds ratio 8.4,
95% confidence interval 4.8-14.7, respectively). Women with both anxiety and
depression had the highest prevalence of fear of childbirth (odds ratio 11.0, 95%
confidence interval 6.6-18.3). Similar associations of anxiety and depression
were estimated by using the numerical rating scale for measuring fear of
childbirth. CONCLUSIONS: Presence of anxiety and depression increased the
prevalence of fear of childbirth; however, the majority of women with fear of
childbirth had neither anxiety nor depression.
PMID- 22085404
TI - Cytokine expression during early and late phase of acute Puumala hantavirus
infection.
AB - BACKGROUND: Hantaviruses of the family Bunyaviridae are emerging zoonotic
pathogens which cause hemorrhagic fever with renal syndrome (HFRS) in the Old
World and hantavirus pulmonary syndrome (HPS) in the New World. An immune
mediated pathogenesis is discussed for both syndromes. The aim of our study was
to investigate cytokine expression during the course of acute Puumala hantavirus
infection. RESULTS: We retrospectively studied 64 patients hospitalised with
acute Puumala hantavirus infection in 2010 during a hantavirus epidemic in
Germany. Hantavirus infection was confirmed by positive anti-hantavirus IgG/IgM.
Cytokine expression of IL-2, IL-5, IL-6, IL-8, IL-10, IFN-gamma, TNF-alpha and
TGF-beta1 was analysed by ELISA during the early and late phase of acute
hantavirus infection (average 6 and 12 days after onset of symptoms,
respectively). A detailed description of the demographic and clinical
presentation of severe hantavirus infection requiring hospitalization during the
2010 hantavirus epidemic in Germany is given. Acute hantavirus infection was
characterized by significantly elevated levels of IL-2, IL-6, IL-8, TGF-beta1 and
TNF-alpha in both early and late phase compared to healthy controls. From early
to late phase of disease, IL-6, IL-10 and TNF-alpha significantly decreased
whereas TGF-beta1 levels increased. Disease severity characterized by elevated
creatinine and low platelet counts was correlated with high pro-inflammatory IL-6
and TNF-alpha but low immunosuppressive TGF-beta1 levels and vice versa .
CONCLUSION: High expression of cytokines activating T-lymphocytes, monocytes and
macrophages in the early phase of disease supports the hypothesis of an immune
mediated pathogenesis. In the late phase of disease, immunosuppressive TGF-beta1
level increase significantly. We suggest that delayed induction of a protective
immune mechanism to downregulate a massive early pro-inflammatory immune response
might contribute to the pathologies characteristic of human hantavirus infection.
PMID- 22085405
TI - Inhibition of glutathione S-transferase M1 by new gabosine analogues is essential
for overcoming cisplatin resistance in lung cancer cells.
AB - A new class of human GST inhibitors has been identified via rational design
approach; we report their discovery, synthesis, inhibitory activity, and
synergetic effect in combination with cisplatin against A549 lung cancer cell
line. The results of this effort show that the lead 4-O-decyl-gabosine D (24) has
optimum synergetic effect in A549 human lung adenocarcinoma epithelial cell and
that this activity involves inhibition of glutathione S-transferase M1,
apparently consistent with siRNA-mediated knockdown of GSTM1 gene.
PMID- 22085406
TI - A proteomic approach to analyzing responses of Arabidopsis thaliana root cells to
different gravitational conditions using an agravitropic mutant, pin2 and its
wild type.
AB - BACKGROUND: Root gravitropsim has been proposed to require the coordinated,
redistribution of the plant signaling molecule auxin within the root meristem,
but the underlying molecular mechanisms are still unknown. PIN proteins are
membrane transporters that mediate the efflux of auxin from cells. The PIN2 is
important for the basipetal transport of auxin in roots and plays a critical role
in the transmission of gravity signals perceived in the root cap to the root
elongation zone. The loss of function pin2 mutant exhibits a gravity-insensitive
root growth phenotype. By comparing the proteomes of wild type and the pin2
mutant root tips under different gravitational conditions, we hope to identify
proteins involved in the gravity-related signal transduction. RESULTS: To
identify novel proteins involved in the gravity signal transduction pathway we
have carried out a comparative proteomic analysis of Arabidopsis pin2 mutant and
wild type (WT) roots subjected to different gravitational conditions. These
conditions included horizontal (H) and vertical (V) clinorotation, hypergravity
(G) and the stationary control (S). Analysis of silver-stained two-dimensional
SDS-PAGE gels revealed 28 protein spots that showed significant expression
changes in altered gravity (H or G) compared to control roots (V and S). Whereas
the majority of these proteins exhibited similar expression patterns in WT and
pin2 roots, a significant number displayed different patterns of response between
WT and pin2 roots. The latter group included 11 protein spots in the H samples
and two protein spots in the G samples that exhibited an altered expression
exclusively in WT but not in pin2 roots. One of these proteins was identified as
annexin2, which was induced in the root cap columella cells under altered
gravitational conditions. CONCLUSIONS: The most interesting observation in this
study is that distinctly different patterns of protein expression were found in
WT and pin2 mutant roots subjected to altered gravity conditions. The data also
demonstrate that PIN2 mutation not only affects the basipetal transport of auxin
to the elongation zone, but also results in an altered expression of proteins in
the root columella.
PMID- 22085407
TI - Validation of metabolic syndrome using medical records in the SUN cohort.
AB - BACKGROUND: The objective of this study was to evaluate the validity of self
reported criteria of Metabolic Syndrome (MS) in the SUN (Seguimiento Universidad
de Navarra) cohort using their medical records as the gold standard. METHODS: We
selected 336 participants and we obtained MS related data according to Adult
Treatment Panel III (ATP III) and International Diabetes Federation (IDF). Then
we compared information on the self reported diagnosis of MS and MS diagnosed in
their medical records. We calculated the proportion of confirmed MS, the
proportion of confirmed non-MS and the intraclass correlation coefficients for
each component of the MS. RESULTS: From those 336 selected participants, we
obtained sufficient data in 172 participants to confirm or reject MS using ATP
III criteria. The proportion of confirmed MS was 91.2% (95% CI: 80.7- 97.1) and
the proportion of confirmed non-MS was 92.2% (95% CI: 85.7-96.4) using ATP III
criteria. The proportion of confirmed MS using IDF criteria was 100% (95% CI:
87.2-100) and the proportion of confirmed non-MS was 97.1% (95% CI: 85.1-99.9).
Kappa Index was 0.82 in the group diagnosed by ATP III criteria and 0.97 in the
group diagnosed by IDF criteria. Intraclass correlation coefficients for the
different component of MS were: 0.93 (IC 95%:0.91- 0.95) for BMI; 0.96 (IC 95%:
0.93-0.98) for waist circumference; 0.75 (IC 95%: 0.66-0.82) for fasting glucose;
0.50 (IC 95%:0.35-0.639) for HDL cholesterol; 0.78 (IC 95%: 0.70-0.84) for
triglycerides; 0.49 (IC 95%:0.34-0.61) for systolic blood pressure and 0.55 (IC
95%: 0.41-0.65) for diastolic blood pressure. CONCLUSIONS: Self-reported MS based
on self reported components of the SM in a Spanish cohort of university graduates
was sufficiently valid as to be used in epidemiological studies.
PMID- 22085409
TI - Management of sulfur mustard-induced chronic pruritus: a review of clinical
trials.
AB - Skin is among the most heavily damaged organs upon sulfur mustard (SM) exposure.
Chronic complications due to SM-induced dermatotoxicity are quite frequent among
intoxicated patients. Nevertheless, the exact pathophysiology of SM-induced
chronic cutaneous complications has not been well clarified yet. The present
review highlights clinically important findings on the management of SM-induced
chronic skin complications with a particular focus on pruritus as the most
prevalent symptom that has a significant impact on patients' quality of life.
Some methodological pitfalls that implicate the validity of the trials have also
been identified.
PMID- 22085408
TI - Soluble urokinase-type plasminogen activator receptor levels in patients with
burn injuries and inhalation trauma requiring mechanical ventilation: an
observational cohort study.
AB - INTRODUCTION: Soluble urokinase-type plasminogen activator receptor (suPAR) has
been proposed as a biologic marker of fibrinolysis and inflammation. The aim of
this study was to investigate the diagnostic and prognostic value of systemic and
pulmonary levels of suPAR in burn patients with inhalation trauma who need
mechanical ventilation. METHODS: suPAR was measured in plasma and nondirected
lung-lavage fluid of mechanically ventilated burn patients with inhalation
trauma. The samples were obtained on the day of inhalation trauma and on
alternate days thereafter until patients were completely weaned from the
mechanical ventilator. Mechanically ventilated patients without burns and without
pulmonary disease served as controls. RESULTS: Systemic levels of suPAR in burn
patients with inhalation trauma were not different from those in control
patients. On admission and follow up, pulmonary levels of suPAR in patients with
inhalation trauma were significantly higher compared with controls. Pulmonary
levels of suPAR highly correlated with pulmonary levels of interleukin 6, a
marker of inflammation, and thrombin-antithrombin complexes, markers of
coagulation, but not plasminogen activator activity, a marker of fibrinolysis.
Systemic levels of suPAR were predictive of the duration of mechanical
ventilation and length of intensive care unit (ICU) stay. Duration of mechanical
ventilation and length of ICU stay were significantly longer in burn-injury
patients with systemic suPAR levels > 9.5 ng/ml. CONCLUSIONS: Pulmonary levels of
suPAR are elevated in burn patients with inhalation trauma, and they correlate
with pulmonary inflammation and coagulation. Although pulmonary levels of suPAR
may have diagnostic value in burn-injury patients, systemic levels of suPAR have
prognostic value.
PMID- 22085410
TI - Novel pathway of centrosome amplification that does not require DNA lesions.
AB - Centrosome amplification (also known as centrosome overduplication) is common in
cancer cells and can be induced by DNA damaging agents. However, the mechanism
and significance of centrosome amplification during carcinogenesis or after DNA
damage are not clear. Previously, we showed that centrosome amplification could
be induced by 3-aminobenzamide (3-AB), an inhibitor of poly(ADP-ribose)
polymerases (PARPs) in mouse embryonic fibroblasts. In this paper, we determined
if the effect of 3-AB on centrosome amplification was dependent on DNA damage in
CHO-K1 cells. We used the well-known mutagen/carcinogen N-methyl-N'-nitro-N
nitrosoguanidine (MNNG). Ten micromolar MNNG and 10 mM 3-AB induced significant
centrosome amplification in 18.1 +/- 1.1% and 19.4 +/- 1.8% of CHO-K1 cells,
respectively, compared to 7.0 +/- 0.5% of untreated CHO-K1 cells. AG14361,
another potent inhibitor of PARPs, also induced centrosome amplification. We then
used gamma-H2AX analysis and alkaline comet assays to show that 10 MUM MNNG
induced a significant number of DNA lesions and cell cycle arrest at the G(2) /M
phase. However, 10 mM 3-AB neither induced DNA lesions nor altered cell cycle
progression. In the umu test, 10 MUM MNNG was mutagenic, but 10 mM 3-AB was not.
In addition, 10 MUM MNNG induced significant accumulation of ataxia
telangiectasia mutated protein in the nuclei, but 10 mM 3-AB did not. Thus, we
found no association between apparent DNA lesions and centrosome amplification
after 3-AB treatment. Therefore, we propose the presence of a novel pathway for
centrosome amplification that does not necessarily require DNA lesions but
involves regulation of epigenetic changes or post-translational modifications
including polyADP-ribosylation.
PMID- 22085411
TI - Photoregulation of cytochrome P450 activity by using caged compound.
AB - Cytochrome P450 (P450) species play an important role in the metabolism of
xenobiotics, and assaying the activities of P450 is important for evaluating the
toxicity of chemicals in drugs and food. However, the lag time caused by the
introduction and mixing of sample solutions can become sources of error as the
throughput is heightened by increasing the sample number and decreasing the
sample volume. To amend this technological obstacle, we developed a methodology
to photoregulate the activity of P450 by using photoprotected (caged) compounds.
We synthesized caged molecules of nicotinamide adenine dinucleotide phosphate
(NADP(+)) and glucose 6-phosphate (G6P), which are involved in the generation of
NADPH (cofactor of P450). The use of caged-G6P completely blocked the P450
catalysis before the UV illumination, whereas caged-NADP(+) resulted in a little
background reaction. Upon UV illumination, more than 90% of the enzymatic
activity could be restored. The use of caged-G6P enabled assays in isolated
microchambers (width, 50 MUm; height, 50 MUm) by encapsulating necessary
ingredients in advance and initiating the reaction by UV illumination. The
initiation of enzymatic reaction could be observed in a single microchamber.
Minimizing uncertainties caused by the introduction and mixing of solutions led
to significantly reduced errors of obtained kinetic constants.
PMID- 22085412
TI - Oral neurovascular hamartoma: a lesion searching for a name.
AB - BACKGROUND: Neurovascular hamartoma (NVH), in particular in the oral cavity, is
rarely described in the literature. The low number of cases may reflect a genuine
rarity of the lesion, or it may be due to its being unrecognized and/or under
reported. OBJECTIVES: To investigate clinical and microscopic features of oral
NVH and to define microscopic diagnostic criteria with emphasis on the
differential diagnosis. METHODS: Archival cases diagnosed as oral NVH between
1999 and 2011 were retrieved; clinical and demographic data were collected, and a
paired morphometric analysis was conducted, with each case of NVH a case of
fibrous hyperplasia (FH) from the same oral location. The nerve bundle and blood
vessel density were quantified in five microscopic fields at *100 magnification.
RESULTS: The study group included 25 oral NVH, 11 men and 14 women, aged 6-76
years, (mean 44). The majority occurred in the tongue (54%), followed by the
buccal mucosa and lower lip (17% each), clinically presenting as asymptomatic
0.25-2.5 cm exophytic masses. Microscopic characteristics included poorly
circumscribed masses of closely packed nerve bundles and blood vessels in a loose
matrix, containing minimal or no inflammation. The mean nerve bundle density was
significantly higher in NVH (4.28 +/- 1.26) in comparison with FH (0.27 +/-
0.27), (P < 0.00001), and mean vessel density was significantly lower (5.98 +/-
1.4 vs. 7.8 +/- 1.9, respectively), (P < 0.0003). CONCLUSION: Oral NVH may not be
as rare as previously considered. Morphometric analysis demonstrated that NVH
presents a separate distinct entity.
PMID- 22085413
TI - FOCUSED CLINICAL CAMPAIGN IMPROVES MINERAL AND BONE DISORDER OUTCOMES.
AB - As with other disease states, mineral and bone disorder (MBD) management is
challenging and may benefit from more systematic management. To evaluate the
effectiveness of a focused campaign to improve MBD outcomes, we compared the
percentage of patients meeting 2003 Kidney Dialysis Outcomes Quality Initiative
(KDOQI)-recommended MBD targets between baseline and 18 months after programme
initiation. The four components of the clinical campaign were: (1) a set of grids
allowing simultaneous evaluation of MBD test results, (2) a weighted, facility
level cumulative scoring system representing percent of patients within KDOQI
recommended MBD targets, (3) team involvement and (4) patient education. Eighteen
months after programme initiation, the percent of patients simultaneously meeting
all 2003 KDOQI targets increased 7% and the percent meeting calcium and
phosphorus targets increased 24% and 8%, respectively. These findings suggest
that a coordinated clinical campaign with effective tools, outcome tracking and
sharing and team involvement is an effective strategy to improve MBD outcomes.
PMID- 22085414
TI - Association of claw disorders with claw horn colour in Norwegian red cattle--a
cross-sectional study of 2607 cows from 112 herds.
AB - Claw disorders cause problems in dairy cattle all over the world. Nutrition,
feeding, environment, claw trimming routines, hormonal changes related to calving
and genetics are among the factors which influence the pathogenesis. The colour
of the claw horn (pigmentation) has been suggested to play a role. The aim of
this study was to investigate if there were any associations between the colour
of the sole horn and claw disorders detected at claw trimming. Altogether, 2607
cows on 112 farms were claw trimmed once and the colour (dark, mixed or light) of
the right lateral hind claw and hind claw disorders were recorded by 13 trained
claw trimmers. The data were analysed using logistic regression models with logit
link function, binomial distribution and herd and claw trimmer as repeated
effects, with herd nested within claw trimmer. Haemorrhages of the sole (HS) and
white line (HWL) were more frequently found in light than in dark claws (OR =
2.61 and 2.34, respectively). Both HS (OR = 1.43) and corkscrewed claws (OR =
1.84) were slightly more prevalent among cows which had claws with mixed colour
versus dark claws. There were no significant associations of other claw disorders
with claw horn colour.
PMID- 22085415
TI - Comparison of body mass index and triceps skinfold at 5 years and young adult
body mass index, waist circumference and blood pressure.
AB - AIM: To examine which measure of obesity at 5 years, body mass index (BMI) or
triceps skinfold thickness, is most strongly associated with 21-year risk factors
for cardiovascular disease (CVD), including BMI, waist circumference (WC),
systolic blood pressure (SBP) and diastolic blood pressure (DBP). METHODS:
Longitudinal birth cohort study with BMI and triceps skinfold measurements at age
5, and BMI, WC and blood pressure at 21 years. Overweight and obesity at 5 years
were determined according to Cole-International Obesity Task Force standards, at
21, by World Health Organization definitions. Triceps skinfold thickness
measurements were converted to a z-score, and cut-offs for overweight and obesity
were chosen to reflect similar proportions to the BMI subgroups. BMI, WC, SBP and
DBP were also measured at 21 years. RESULTS: Five-year BMI and triceps skinfold
thickness were both significantly associated with the CVD risk measures at 21
years. For overweight/obesity at 5 years, the adjusted odds ratio (95% confidence
interval) for 21-year overweight/obesity was 5.6 (4.2, 7.4), for 21-year WC was
1.5 (1.2, 2.0). Mean difference (95% CI) in BMI was 4.4 (3.9, 5.0), in WC 8.3 cm
(6.8, 9.8), in SBP 2.4 mm Hg (0.5, 4.3), in DBP 1.1 mm Hg (0.1, 2.2). For
skinfold, the similar findings were odds ratio 2.6 (2.0, 3.4) and 1.2 (0.9, 1.6)
for 21-year BMI and WC, and mean differences of 2.6 (2.0, 3.2), WC 4.8 cm (3.3,
6.3), SBP 2.3 mm Hg (0.5, 4.2) and DBP 0.7 mm Hg (-0.4, 1.8). CONCLUSIONS: In
children with overweight/obesity, BMI rather than triceps skinfold is the
preferred epidemiological measure for identifying young adult CVD risk markers of
BMI, WC and blood pressure.
PMID- 22085417
TI - Timing of screening for gestational diabetes mellitus in women with moderate and
severe obesity.
AB - OBJECTIVE: We evaluated screening with a diagnostic oral glucose tolerance test
earlier than 20 weeks gestation in women with moderate to severe obesity. DESIGN:
Prospective observational study. SETTING: Large university teaching hospital.
POPULATION: We enrolled 100 women booking for antenatal care in the first
trimester at their convenience. METHODS: Height and weight were measured and body
mass index calculated. Only women with a body mass index > 34.9 kg/m(2) were
included. Women were booked for a 100 g oral glucose tolerance test before 20
weeks and, if normal, another test at 28 weeks gestation. MAIN OUTCOME MEASURES:
Impaired glucose tolerance and gestational diabetes mellitus. RESULTS: Of the 100
women given an appointment for an oral glucose tolerance test before 20 weeks
gestation, 92 attended. Of these, 10 (10.8%) women had an abnormal result, with
impaired glucose tolerance in five (5.4%) cases and gestational diabetes mellitus
in five (5.4%) cases. Of those with a normal result at 20 weeks, 81 attended for
a repeat test at 28 weeks gestation. A further four (4.9%) had impaired glucose
tolerance and four (4.9%) had gestational diabetes mellitus. A total of 18
(20.5%) of the 88 women who complied with screening had an abnormal test.
CONCLUSIONS: Women who have moderate/severe obesity have a one in five chance of
having an abnormal diagnostic oral glucose tolerance test when screened for
gestational diabetes mellitus. To optimize maternal glycemic control in
pregnancy, we suggest that women with a body mass index > 34.9 kg/m(2) may need
to be screened early in pregnancy and, if the test is normal, again at 28 weeks
gestation.
PMID- 22085416
TI - End-of-life decision-making in Canada: the report by the Royal Society of Canada
expert panel on end-of-life decision-making.
AB - This report on end-of-life decision-making in Canada was produced by an
international expert panel and commissioned by the Royal Society of Canada. It
consists of five chapters. Chapter 1 reviews what is known about end-of-life care
and opinions about assisted dying in Canada. Chapter 2 reviews the legal status
quo in Canada with regard to various forms of assisted death. Chapter 3 reviews
ethical issues pertaining to assisted death. The analysis is grounded in core
values central to Canada's constitutional order. Chapter 4 reviews the
experiences had in a number of jurisdictions that have decriminalized or recently
reviewed assisted dying in some shape or form. Chapter 5 provides recommendations
with regard to the provision of palliative care in Canada, as well as
recommendations for reform with respect to the various forms of assisted death
covered in this document.
PMID- 22085418
TI - ent-Kaurane diterpenoids from Croton tonkinensis stimulate osteoblast
differentiation.
AB - Four new ent-kaurane diterpenoids (1-4) were isolated from the leaves of Croton
tonkinensis by bioactivity-guided fractionation using an in vitro osteoblast
differentiation assay. Their structures were identified as ent-11beta-acetoxykaur
16-en-18-ol (1), ent-11alpha-hydroxy-18-acetoxykaur-16-ene (2), ent-14beta
hydroxy-18-acetoxykaur-16-ene (3), and ent-7alpha-hydroxy-18-acetoxykaur-16-ene
(4). Compounds 1-4 significantly increased alkaline phosphatase activity and
osteoblastic gene promoter activity. Compounds 1-3 also increased the levels of
ALP and collagen type I alpha mRNA in C2C12 cells in a dose-dependent manner.
These results suggest that ent-kaurane diterpenoids from C. tonkinensis have a
direct stimulatory effect on osteoblast differentiation and may be potential
therapeutic molecules against bone diseases such as osteoporosis.
PMID- 22085419
TI - The bacteriophage WORiC is the active phage element in wRi of Drosophila simulans
and represents a conserved class of WO phages.
AB - BACKGROUND: The alphaproteobacterium Wolbachia pipientis, the most common
endosymbiont in eukaryotes, is found predominantly in insects including many
Drosophila species. Although Wolbachia is primarily vertically transmitted,
analysis of its genome provides evidence for frequent horizontal transfer,
extensive recombination and numerous mobile genetic elements. The genome sequence
of Wolbachia in Drosophila simulans Riverside (wRi) is available along with the
integrated bacteriophages, enabling a detailed examination of phage genes and the
role of these genes in the biology of Wolbachia and its host organisms. Wolbachia
is widely known for its ability to modify the reproductive patterns of insects.
One particular modification, cytoplasmic incompatibility, has previously been
shown to be dependent on Wolbachia density and inversely related to the titer of
lytic phage. The wRi genome has four phage regions, two WORiBs, one WORiA and one
WORiC. RESULTS: In this study specific primers were designed to distinguish
between these four prophage types in wRi, and quantitative PCR was used to
measure the titer of bacteriophages in testes, ovaries, embryos and adult flies.
In all tissues tested, WORiA and WORiB were not found to be present in excess of
their integrated prophages; WORiC, however, was found to be present
extrachromosomally. WORiC is undergoing extrachromosomal replication in wRi. The
density of phage particles was found to be consistent in individual larvae in a
laboratory population. The WORiC genome is organized in conserved blocks of genes
and aligns most closely with other known lytic WO phages, WOVitA and WOCauB.
CONCLUSIONS: The results presented here suggest that WORiC is the lytic form of
WO in D. simulans, is undergoing extrachromosomal replication in wRi, and belongs
to a conserved family of phages in Wolbachia.
PMID- 22085420
TI - Parathyroid autotransplantation in extensive head and neck resections: case
series report.
AB - Permanent or temporary hypoparathyroidism may be a debilitating result of radical
cervical surgery, as noted most commonly following thyroid or parathyroid
surgery. However, it can also be the outcome of any surgical procedure involving
bilateral extensive manipulation of the anterior neck triangle, especially in
order to ensure oncologically adequate surgical margins. We report our experience
of three patients that underwent parathyroid immediate autotransplanation
following extensive surgical manipulations of the neck region for oncological
reasons. PTH levels were restored to normal by the fourth postoperative week,
allowing us to wean the patients off calcium and vitamin D3 supplementation,
which was attributed to full autograft function. Parathyroid autotransplantation,
immediate or delayed, is a simple and safe technique which should be considered
by the surgeon whenever there is a high risk for postoperative hypoparathyroidism
following radical operations of the neck for oncological reasons.
PMID- 22085421
TI - Healthy babies through infant-centered feeding protocol: an intervention
targeting early childhood obesity in vulnerable populations.
AB - BACKGROUND: Poor feeding practices during infancy contribute to obesity risk. As
infants transition from human milk and/or formula-based diets to solid foods,
these practices interfere with infant feeding self-regulation and healthy growth
patterns. Compared with other socioeconomic groups, lower-income mothers are more
likely to experience difficulty feeding their infants. This may include
misinterpreting feeding cues and using less-than-optimal feeding styles and
practices, such as pressuring infants during mealtimes and prematurely
introducing solid food and sweetened beverages. The Healthy Babies trial aims to
determine the efficacy of a community-based randomized controlled trial of an in
home intervention with economically and educationally disadvantaged mother-infant
dyads. The educational intervention is being conducted during the infant's first
6 months of life to promote healthy transition to solids during their first year
and is based on the theory of planned behavior. METHODS/DESIGN: We will describe
our study protocol for a multisite randomized control trial being conducted in
Colorado and Michigan with an anticipated sample of 372 economically and
educationally disadvantaged African American, Hispanic, and Caucasian mothers
with infants. Participants are being recruited by county community agency staff.
Participants are randomly assigned to the intervention or the control group. The
intervention consists of six in-home visits by a trained paraprofessional
instructor followed by three reinforcement telephone contacts when the baby is 6,
8, and 10 months old. Main maternal outcomes include a) maternal responsiveness,
b) feeding style, and c) feeding practices. Main infant outcome is infant growth
pattern. All measures occur at baseline and when the infant is 6 and 12 months
old. DISCUSSION: If this project is successful, the expected outcomes will
address whether the home-based early nutrition education intervention is
effective in helping mothers develop healthy infant feeding practices that
contribute to improving infant health and development and reducing the risk of
early-onset childhood obesity. TRIAL REGISTRATION: Current Controlled Trials
ACTRN126100000415000.
PMID- 22085422
TI - Association between public views of mental illness and self-stigma among
individuals with mental illness in 14 European countries.
AB - BACKGROUND: Little is known about how the views of the public are related to self
stigma among people with mental health problems. Despite increasing activity
aimed at reducing mental illness stigma, there is little evidence to guide and
inform specific anti-stigma campaign development and messages to be used in mass
campaigns. A better understanding of the association between public knowledge,
attitudes and behaviours and the internalization of stigma among people with
mental health problems is needed. METHOD: This study links two large,
international datasets to explore the association between public stigma in 14
European countries (Eurobarometer survey) and individual reports of self-stigma,
perceived discrimination and empowerment among persons with mental illness
(n=1835) residing in those countries [the Global Alliance of Mental Illness
Advocacy Networks (GAMIAN) study]. RESULTS: Individuals with mental illness
living in countries with less stigmatizing attitudes, higher rates of help
seeking and treatment utilization and better perceived access to information had
lower rates of self-stigma and perceived discrimination and those living in
countries where the public felt more comfortable talking to people with mental
illness had less self-stigma and felt more empowered. CONCLUSIONS: Targeting the
general public through mass anti-stigma interventions may lead to a virtuous
cycle by disrupting the negative feedback engendered by public stigma, thereby
reducing self-stigma among people with mental health problems. A combined
approach involving knowledge, attitudes and behaviour is needed; mass
interventions that facilitate disclosure and positive social contact may be the
most effective. Improving availability of information about mental health issues
and facilitating access to care and help-seeking also show promise with regard to
stigma.
PMID- 22085423
TI - Epigenomic insights into common disease.
AB - A report on the Wellcome Trust Scientific Conference 'Epigenomics of Common
Diseases', Hinxton, Cambridge, UK, September 13-16, 2011.
PMID- 22085424
TI - Comparative reactivity of remnant-like lipoprotein particles (RLP) and low
density lipoprotein (LDL) to LDL receptor and VLDL receptor: effect of a high
dose statin on VLDL receptor expression.
AB - BACKGROUND: Comparison of the reactivity of remnant-like lipoprotein particles
(RLP) and LDL particles to LDL receptor and VLDL receptor has not been
investigated. METHODS: LDL receptor- or VLDL receptor-transfected ldlA-7, HepG2
and L6 cells were used. Human LDL and rabbit beta-VLDL were isolated by
ultracentrifugation. Human RLP was isolated using an immunoaffinity mixed gel.
The effect of statin on lipoprotein receptors was examined. RESULTS: Both LDL
receptor and VLDL receptor recognized RLP. In LDL receptor transfectants, RLP,
beta-VLDL and LDL all bound to LDL receptor. Cold RLP competed efficiently with
DiI-beta-VLDL; however, cold LDL competed weakly. In VLDL receptor transfectants,
RLP and beta-VLDL bound to VLDL receptor, but not LDL. RLP bound to VLDL receptor
with higher affinity than beta-VLDL because of higher apolipoprotein E in RLP.
LDL receptor expression was induced in HepG2 by the low concentration of statin
while VLDL receptor expression was induced in L6 myoblasts at higher
concentration. CONCLUSIONS: RLP are bound to hepatic LDL receptor more
efficiently than LDL, which may explain the mechanism by which statins prevent
cardiovascular risk by primarily reducing plasma RLP rather than by reducing LDL.
Additionally, a high-dose of statins also may reduce plasma RLP through muscular
VLDL receptor.
PMID- 22085425
TI - Ethylene glycol poisoning: quintessential clinical toxicology; analytical
conundrum.
AB - Ethylene glycol poisoning is a medical emergency that presents challenges both
for clinicians and clinical laboratories. Untreated, it may cause morbidly or
death, but effective therapy is available, if administered timely. However, the
diagnosis of ethylene glycol poisoning is not always straightforward. Thus,
measurement of serum ethylene glycol, and ideally glycolic acid, its major toxic
metabolite in serum, is definitive. Yet measurement of these structurally rather
simple compounds is but simple. This review encompasses an assessment of
analytical methods for the analytes relevant for the diagnosis and prognosis of
ethylene glycol poisoning and of the role of the ethylene glycol metabolites,
glycolic and oxalic acids, in its toxicity.
PMID- 22085427
TI - Phase II multicenter, randomized, double-blind study of recombinant mutated human
tumor necrosis factor-alpha in combination with chemotherapies in cancer
patients.
AB - We previously prepared a tumor necrosis factor (TNF)-alpha mutant (rmhTNF-alpha)
that showed higher antitumor activity and lower systemic toxicity compared with
native TNF-alpha. The safety profile and the pharmacokinetic characteristics of
rmhTNF-alpha were suited for clinical use according to biological Investigational
New Drug application, a standard guideline for new drug investigation in China.
Here, we evaluate the activity and safety of rmhTNF-alpha combined with
chemotherapies in head/neck, lung, colorectal, stomach, and renal cancer
patients. Ninety-five eligible patients received i.m. rmhTNF-alpha treatment
combined with standard chemotherapies. Another 95 patients were treated with
standard chemotherapies. After two treatment cycles, one patient achieved a
complete response and 24 patients had partial response, yielding an overall
response rate (complete response + partial response) of 27.47% in the rmhTNF
alpha plus chemotherapy cohort. The chemotherapy alone group acquired only a
11.39% response rate (P < 0.05). When compared between different cancers, a
48.89% response rate was detected in the 45 lung cancer patients of the
combination cohort. The most common grade 1-2 adverse events of rmhTNF-alpha were
drug-related fever, allergy, flu-like symptoms, and myalgia. No significant
difference was found in grade 3-4 toxicities between the two cohorts. Based on
the results of this research, rmhTNF-alpha can significantly enhance the
effectiveness of chemotherapy. An extended phase III trial of rmhTNF-alpha
combined with standard chemotherapy is warranted for evaluating its antitumor
activity and toxicity in a larger cohort of tumor patients. The studies in this
paper were registered with the State Food and Drug Administration of China (No.
2003S00692).
PMID- 22085428
TI - Contacting versus insulated gate electrode for Si nanoribbon field-effect sensors
operating in electrolyte.
AB - Electric response to pH variations is employed to investigate Si nanoribbon field
effect transistors (SiNRFETs) operating in electrolyte with different gate
configurations. For devices with a conducting gate electrode for direct metal
electrolyte contact, a well-defined electrode reaction leading to a stable
electrode potential is essential for retaining a stable electrical potential of
the electrolyte. However, noble metals such as Pt do not meet the stability
requirement and consequently bring severe distortions to the electronic response.
For devices with an insulated gate electrode relying on the principle of
capacitive gate coupling, the capacitance between the gate electrode and the
electrolyte should be made much larger than the gate capacitance established
between the SiNR and the electrolyte. In this case, an efficient gate control as
well as a high stability against external disturbances can be ensured. Further
studies show that surface charging of the gate insulator is the main cause
responsible for the pH response of the SiNRFETs. Hence, devices with different
gate configurations give rise to a comparable pH sensitivity.
PMID- 22085429
TI - Increasing the amphiphilicity of an estradiol based steroid structure by Barbier
allylation--ring-closing metathesis--dihydroxylation sequence.
AB - Polyhydroxylated steroids, such as brassinosteroids, phytoecdysteroids and
steroid saponins, are structurally attractive compounds possessing a number of
interesting biological properties. Accordingly, development of synthetic
procedures to build steroid based structures mimicking the naturally occurring
hydrophilic steroids is of topical interest. In the present work, a D-secoestrone
derivative was modified further by Barbier-allylation - ring-closing metathesis -
dihydroxylation sequence with the aim to prepare steroid based structures with
limited hydrophilicity. A straightforward synthesis route was developed with the
isolated yield for each step ranging from good to excellent. All compounds
prepared were fully characterized by NMR spectroscopic techniques and completely
assigned (1)H and (13)C spectra are reported herein. Finally, the effects of the
synthesized amphiphilic steroid derivatives on the proliferation of cancer cells
are reported and discussed.
PMID- 22085430
TI - Identification of the needs of haemodialysis patients using the concept of
Maslow's hierarchy of needs.
AB - Along with basic survival and other clinical outcomes, patients' quality of life
is an important indicator to reflect the needs of these patients. Human needs are
classified in Maslow's hierarchy, where the most essential basic physiological
need provides the base, and self actualisation is at the top of pyramid. AIM: The
aim of this study is to identify the patients' needs who are on maintenance
haemodialysis using concept of Maslow's hierarchy. METHOD: The descriptive study
was conducted in the dialysis unit of Suez Canal University Hospitals. The study
included 50 patients attending the dialysis unit. RESULTS: The findings showed
that the patients' highest need was for self-esteem (92.0%), whereas the lowest
was for love and belonging (38.0%). Statistically significant relationships were
revealed between the identified love and belonging needs and patients' age and
the duration of dialysis (p = 0.008). The total needs score was lower with the
longer duration of dialysis (59.6 +/- 7.3), compared to those with a duration
less than 24 months (65.7 +/- 8.1), p = 0.02. CONCLUSION: Based on the main study
findings it is concluded that haemodialysis patients' highest need was for self
esteem, and the lowest was for love and belonging. These needs increased with
longer duration of dialysis. Nurses need to be aware of these findings in order
to be able to supply the necessary support to help the patient regain his/her
self-concept.
PMID- 22085431
TI - Topiramate attenuates cerebral ischemia/reperfusion injury in gerbils via
activating GABAergic signaling and inhibiting astrogliosis.
AB - Impaired GABAergic inhibitory synaptic transmission plays an essential role in
the pathogenesis of selective neuronal cell death following transient global
ischemia. GABA(A) receptor (GABA(A)R), K+-Cl- co-transporter 2 (KCC2), Na+-K+-Cl-
co-transporter 1 (NKCC1) and astrocytes are of particular importance to GABAergic
transmission. The present study was designed to explore whether the
neuroprotective effect of topiramate (TPM) was linked with the alterations of
GABAergic signaling and astrocytes. The bilateral carotid arteries were occluded,
and TPM (80 mg/kg/day (divided twice daily), i.p.) was injected into gerbils. At
day 1, 3 and 7 post-ischemia, neurological deficit was scored and changes in
hippocampal neuronal cell death were evaluated by Nissl staining. The apoptosis
related regulatory proteins (procaspase-3, caspase-3, Bax and Bcl-2) and
GABAergic signal molecules (GABA(A)R alpha1, GABA(A)R gamma2, KCC2 and NKCC1)
were also detected using western blot assay. In addition, the fluorescent
intensity and protein level of glial fibrillary acidic protein (GFAP), a major
component of astrocyte, were examined by confocal and immunoblot analysis. Our
results showed that TPM treatment significantly decreased neurological deficit
scores, attenuated the ischemia-induced neuronal loss and remarkably decreased
the expression levels of procaspase-3, caspase-3 as well as the ratio of Bax/Bcl
2. Besides, treatment with TPM also resulted in the increased protein expressions
of GABA(A)R alpha1, GABA(A)R gamma2 and KCC2 together with the decreased protein
level of NKCC1 in gerbils hippocampus. Furthermore, fluorescent intensity and
protein level of GFAP were evidently reduced in TPM-treated gerbils. These
findings suggest that the therapeutic effect of TPM on global
ischemia/reperfusion injury appears to be associated with the enhancement of
GABAergic signaling and the inhibition of astrogliosis in gerbils.
PMID- 22085432
TI - Surface immobilization of bio-functionalized cubosomes: sensing of proteins by
quartz crystal microbalance.
AB - A strategy for tethering lipid liquid crystalline submicrometer particles
(cubosomes) to a gold surface for the detection of proteins is reported. Time
resolved quartz crystal microbalance (QCM-D) was used to monitor the cubosome
protein interaction in real time. To achieve specific binding, cubosomes were
prepared from the nonionic surfactant phytantriol, block-copolymer, Pluronic F
127, and a secondary biotinylated lipid, 1,2-distearoyl-sn-glycero-3
phosphoethanolamine-N-[biotinyl(polyethyleneglycol)-2000], which enabled
attachment of the particles to a neutravidin (NAv)-alkanethiol monolayer at the
gold surface of the QCM sensor chip. A second set of cubosomes was further
functionalized with addition of the glycolipid (G(M1)) to facilitate a specific
binding uptake of the protein, cholera toxin B subunit (CT(B)), from solution.
QCM-D confirmed the specificity of the cubosome-NAv binding. The analysis of
titration experiments, also performed with QCM, suggests that an optimal
concentration of cubosomes is required for the efficient packing of the particles
at the surface: high cubosome concentrations lead to chaotic cubosome binding
onto the surface, sterically inhibiting surface attachment, or require
significant reorganization to permit uniform cubosome coverage. The methodology
enabled the straightforward preparation of a complex nanostructured edifice,
which was then used to specifically capture analyte proteins (cholera toxin B
subunit or free NAv) from solution, supporting the potential for development of
this approach as a biosensing platform.
PMID- 22085433
TI - Complications arising from a misdiagnosed giant lipoma of the hand and palm: a
case report.
AB - INTRODUCTION: Lipomas are benign tumors which may appear in almost any human
organ. Their diagnosis rate in the hand region is not known. CASE PRESENTATION:
We present the case of a 63-year-old Greek Caucasian woman with a giant lipoma of
the hand and palm which was not initially diagnosed. After repeated surgical
decompression of the carpal tunnel the patient was referred with persisting
symptoms of median and ulnar nerve compression and a prominent mass of her left
palm and thenar eminence. Clinical examination, magnetic resonance imaging, nerve
conduction study and biopsy, revealed a giant lipoma in the deep palmar space
(8.0 * 4.0 * 3.75 cm), which was also infiltrating the carpal tunnel. She had
already undergone two operations for carpal tunnel syndrome with no relief of her
symptoms and she also ended up with a severed flexor pollicis longus tendon.
Definitive treatment was performed by marginal resection of the lipoma and
restoration of the flexor pollicis longus with an intercalated graft harvested
from the palmaris longus. Thirty months after surgery the patient had a fully
functional hand without any neurological deficit. CONCLUSION: Not all lipomas of
the wrist and hand are diagnosed. Our report tries to emphasize the hidden danger
of lipomas in cases with carpal tunnel symptoms. The need for a high index of
suspicion in conjunction with good clinical evaluation and the use of appropriate
investigative studies is mandatory in order to avoid unnecessary operations and
complications. Marginal excision of these tumors is restorative.
PMID- 22085434
TI - Pseudomonas aeruginosa infections due to electronic faucets in a neonatal
intensive care unit.
AB - AIM: To evaluate the role of electronic faucets in a newborn intensive care unit
during a Pseudomonas aeruginosa outbreak. METHODS: After three patients had P.
aeruginosa bacteremia, environmental cultures including those from patient rooms,
incubator, ventilators, total parenteral nutrition solutions, disinfection
solutions, electronic and hand-operated faucet filters/water samples after
removing filters and staff hands were taken. RESULTS: Only filters of electronic
faucets and water samples after removing filters and one liquid hand soap showed
P. aeruginosa (3-7 * 106 cfu/mL). We have removed the electronic faucets and new
elbow-operated faucets were installed. Pulsed-field gel electrophoresis analysis
of outbreak-blood culture isolates from two patients and isolates from electronic
water faucets/one liquid hand soap indicated the presence of 90.7% genetically
related subtype, probably from the same clone. Water cultures from new faucets
were all clean after installation and after 7 months. CONCLUSION: We suggest that
electronic faucets may be considered a potential risk for P. aeruginosa in
hospitals, especially in high-risk units.
PMID- 22085435
TI - Novel valsartan-loaded solid dispersion with enhanced bioavailability and no
crystalline changes.
AB - With the aim of developing a novel valsartan-loaded solid dispersion with
enhanced bioavailability and no crystalline changes, various valsartan-loaded
solid dispersions were prepared with water, hydroxypropyl methylcellulose (HPMC)
and sodium lauryl sulphate (SLS). Effects of the weight ratios of SLS/HPMC and
carrier/drug on both the aqueous solubility of valsartan and the drug-release
profiles of solid dispersions were investigated. The physicochemical properties
of solid dispersions were characterized using scanning electron microscope (SEM),
differential scanning calorimetry (DSC) and X-ray diffraction (XRD). The
bioavailability of the solid dispersions in rats was evaluated compared to
valsartan powder and a commercial product (Diovan). Unlike the conventional solid
dispersion system, the valsartan-loaded solid dispersion had a relatively rough
surface and did not change the crystalline form of the drug. It was suggested
that the solid dispersions were formed by attaching hydrophilic carriers to the
surface of the drug, thus changing from a hydrophobic to a hydrophilic form
without changing the crystalline form. The drug-loaded solid dispersion composed
of valsartan/HPMC/SLS at a weight ratio of 3/1.5/0.75 improved the drug
solubility by about 43-fold. It gave a higher AUC, C(max) and shorter T(max)
compared to valsartan powder and the commercial product. The solid dispersion
improved the bioavailability of the drug in rats by about 2.2 and 1.7-fold in
comparison with valsartan powder and the commercial product, respectively. Thus,
the valsartan-loaded solid dispersion would be useful for delivering poorly water
soluble valsartan with enhanced bioavailability and no crystalline changes.
PMID- 22085436
TI - Pre-, peri- and neonatal risk factors for autism.
AB - OBJECTIVE: To identify pre-, peri- and neonatal risk factors for pervasive
developmental disorders (PDD). METHODS: We searched the Medline database through
March 2011 for relevant case-control and population-based studies on pre-, peri-
and neonatal hazards related to PDD, including autism. We identified 85 studies
for this review. Data were extracted systematically and organized according to
risk factors related to family history, pregnancy, gestational age, delivery,
birth milestones and the neonate's condition at birth. RESULTS: During the
prenatal period, risk factors for PDD were advanced maternal or paternal ages,
being firstborn vs. third or later, maternal prenatal medication use and mother's
status as foreign born. During the perinatal and neonatal periods, the risk
factors for PDD were preterm birth, breech presentation, planned cesarean
section, low Apgar scores, hyperbilirubinemia, birth defect and a birthweight
small for gestational age. The influence of maternal pre-eclampsia, diabetes,
vomiting, infections and stress during pregnancy requires further study in order
to determine risk for PDD. DISCUSSION: Despite evidence for the association of
some pre-, peri- and neonatal risk factors associated with PDD, it remains
unclear whether these risks are causal or play a secondary role in shaping
clinical expression in individuals with genetic vulnerability. A plausible
hypothsesis is that improvements in obstetric and neonatal management have led to
an increased rate of survivors with pre-existing brain damage. Given the variety
of risk factors, we propose that future studies should investigate combinations
of multiple factors, rather than focusing on a single factor.
PMID- 22085437
TI - Isolation and determination of the primary structure of a lectin protein from the
serum of the American alligator (Alligator mississippiensis).
AB - Mass spectrometry in conjunction with de novo sequencing was used to determine
the amino acid sequence of a 35kDa lectin protein isolated from the serum of the
American alligator that exhibits binding to mannose. The protein N-terminal
sequence was determined using Edman degradation and enzymatic digestion with
different proteases was used to generate peptide fragments for analysis by liquid
chromatography tandem mass spectrometry (LC MS/MS). Separate analysis of the
protein digests with multiple enzymes enhanced the protein sequence coverage. De
novo sequencing was accomplished using MASCOT Distiller and PEAKS software and
the sequences were searched against the NCBI database using MASCOT and BLAST to
identify homologous peptides. MS analysis of the intact protein indicated that it
is present primarily as monomer and dimer in vitro. The isolated 35kDa protein
was ~98% sequenced and found to have 313 amino acids and nine cysteine residues
and was identified as an alligator lectin. The alligator lectin sequence was
aligned with other lectin sequences using DIALIGN and ClustalW software and was
found to exhibit 58% and 59% similarity to both human and mouse intelectin-1. The
alligator lectin exhibited strong binding affinities toward mannan and mannose as
compared to other tested carbohydrates.
PMID- 22085438
TI - An alternative physiological role for the EmhABC efflux pump in Pseudomonas
fluorescens cLP6a.
AB - BACKGROUND: Efflux pumps belonging to the resistance-nodulation-division (RND)
superfamily in bacteria are involved in antibiotic resistance and solvent
tolerance but have an unknown physiological role. EmhABC, a RND-type efflux pump
in Pseudomonas fluorescens strain cLP6a, extrudes hydrophobic antibiotics, dyes
and polycyclic aromatic hydrocarbons including phenanthrene. The effects of
physico-chemical factors such as temperature or antibiotics on the activity and
expression of EmhABC were determined in order to deduce its physiological role(s)
in strain cLP6a in comparison to the emhB disruptant strain, cLP6a-1. RESULTS:
Efflux assays conducted with (14)C-phenanthrene showed that EmhABC activity is
affected by incubation temperature. Increased phenanthrene efflux was measured in
cLP6a cells grown at 10 degrees C and decreased efflux was observed at 35 degrees
C compared with cells grown at the optimum temperature of 28 degrees C. Membrane
fatty acids in cLP6a cells were substantially altered by changes in growth
temperature and in the presence of tetracycline. Changed membrane fatty acids and
increased membrane permeability were associated with ~30-fold increased
expression of emhABC in cLP6a cells grown at 35 degrees C, and with increased
extracellular free fatty acids. Growth of P. fluorescens cLP6a at supra-optimal
temperature was enhanced by the presence of EmhABC compared to strain cLP6a-1.
CONCLUSIONS: Combined, these observations suggest that the EmhABC efflux pump may
be involved in the management of membrane stress effects such as those due to
unfavourable incubation temperatures. Efflux of fatty acids replaced as a result
of membrane damage or phospholipid turnover may be the primary physiological role
of the EmhABC efflux pump in P. fluorescens cLP6a.
PMID- 22085439
TI - Efficacy and safety of inhaled formoterol 4.5 and 9 MUg twice daily in Japanese
and European COPD patients: phase III study results.
AB - BACKGROUND: This study evaluated the efficacy and safety of the long-acting beta2
agonist formoterol in patients with moderate-to-severe COPD. METHODS: This double
blind, placebo-controlled, parallel-group, multinational phase III study
randomized patients >= 40 years of age with moderate-to-severe COPD to inhaled
formoterol 4.5 or 9 MUg twice daily (bid) via Turbuhaler or placebo for 12 weeks.
Salbutamol 100 MUg/actuation via pMDI was permitted as reliever medication. The
primary outcome variable was change (ratio) from baseline to treatment period in
FEV1 60-min post-dose. RESULTS: 613 patients received treatment (formoterol 4.5
MUg n = 206; 9 MUg n = 199; placebo n = 208); 539 (87.9%) male; 324 (52.9%)
Japanese and 289 (47.1%) European. End of study increases in FEV1 60-min post
dose were significantly greater (p < 0.001 for both) with formoterol 4.5 and 9
MUg bid (113% of baseline for both) than with placebo, as were all secondary
outcome measures. The proportion of patients with an improvement in St George's
Respiratory Questionnaire score of >= 4 was 50.2% for formoterol 4.5 MUg (p =
0.0682 vs. placebo), 59.2% (p = 0.0004) for 9 MUg, and 41.3% for placebo.
Reduction in reliever medication use was significantly greater with formoterol
vs. placebo (9 MUg: -0.548, p < 0.001; 4.5 MUg: -0.274, p = 0.027), with 9 MUg
being significantly superior to 4.5 MUg (-0.274, p = 0.029). Formoterol was well
tolerated with the incidence and type of adverse events not being different for
the three groups. CONCLUSIONS: Formoterol 4.5 MUg and 9 MUg bid was effective and
well tolerated in patients with COPD; there was no difference between formoterol
doses for the primary endpoint; however, an added value of formoterol 9 MUg over
4.5 MUg bid was observed for some secondary endpoints. TRIAL REGISTRATION:
NCT00628862 (ClinicalTrials.gov); D5122C00001 (AstraZeneca Study code).
PMID- 22085440
TI - Efficacy of a compulsory homework programme for increasing physical activity and
healthy eating in children: the healthy homework pilot study.
AB - BACKGROUND: Most physical activity and nutrition interventions in children focus
on the school setting; however, evidence suggests that children are less active
and have greater access to unhealthy food at home. The aim of this pilot study
was to examine the efficacy of a compulsory homework programme for increasing
physical activity and healthy eating in children. METHODS: The six-week 'Healthy
Homework' programme and complementary teaching resource was developed under the
guidance of an intersectoral steering group. Eight senior classes (year levels 5
6) from two diverse Auckland primary schools were randomly assigned into
intervention and control groups. A total of 97 children (57 intervention, 40
control) aged 9-11 years participated in the evaluation of the intervention.
Daily step counts were monitored immediately before and after the intervention
using sealed multiday memory pedometers. Screen time, sports participation,
active transport to and from school, and the consumption of fruits, vegetables,
unhealthy foods and drinks were recorded concurrently in a 4-day food and
activity diary. RESULTS: Healthy Homework resulted in a significant intervention
effect of 2,830 steps.day-1 (95% CI: 560, 5,300, P = 0.013). This effect was
consistent between sexes, schools, and day types (weekdays and weekend days). In
addition, significant intervention effects were observed for vegetable
consumption (0.83 servings.day-1, 95% CI: 0.24, 1.43, P = 0.007) and unhealthy
food consumption (-0.56 servings.day-1, 95% CI: -1.05, -0.07, P = 0.027) on
weekends but not weekdays, with no interactions with sex or school. Effects for
all other variables were not statistically significant regardless of day type.
CONCLUSIONS: Compulsory health-related homework appears to be an effective
approach for increasing physical activity and improving vegetable and unhealthy
food consumption in children. Further research in a larger study is required to
confirm these initial results.
PMID- 22085441
TI - Nuclease colicins and their immunity proteins.
AB - It is more than 80 years since Gratia first described 'a remarkable antagonism
between two strains of Escherichia coli'. Shown subsequently to be due to the
action of proteins (or peptides) produced by one bacterium to kill closely
related species with which it might be cohabiting, such bacteriocins have since
been shown to be commonplace in the internecine warfare between bacteria.
Bacteriocins have been studied primarily from the twin perspectives of how they
shape microbial communities and how they penetrate bacteria to kill them. Here,
we review the modes of action of a family of bacteriocins that cleave nucleic
acid substrates in E. coli, known collectively as nuclease colicins, and the
specific immunity (inhibitor) proteins that colicin-producing organisms make in
order to avoid committing suicide. In a process akin to targeting in
mitochondria, nuclease colicins engage in a variety of cellular associations in
order to translocate their cytotoxic domains through the cell envelope to the
cytoplasm. As well as informing on the process itself, the study of nuclease
colicin import has also illuminated functional aspects of the host proteins they
parasitize. We also review recent studies where nuclease colicins and their
immunity proteins have been used as model systems for addressing fundamental
problems in protein folding and protein-protein interactions, areas of biophysics
that are intimately linked to the role of colicins in bacterial competition and
to the import process itself.
PMID- 22085442
TI - Effects of granulocyte colony-stimulating factor on electrocardiogram changes
after carbon monoxide poisoning in rats.
AB - Carbon monoxide (CO), which is produced by the incomplete combustion of
hydrocarbons, has many toxic effects on different organs, especially the brain
and heart. CO-induced cardiotoxicity leads to several deleterious effects,
including electrocardiogram (ECG) abnormalities. The present study aimed to
evaluate the protective effect of recombinant human granulocyte colony
stimulation factor (G-CSF) on ECG after CO poisoning in rats. Single and multiple
doses of G-CSF (10, 50, and 100 ug/kg) were administered to groups, each
containing 5 male Wistar rats (16 groups for ECG analysis and 16 groups for
pathological analysis). Rats were already exposed to CO at either 1,500 or 3,000
ppm concentrations for 60 minutes. ECG findings (e.g., ST-segment and T-wave
changes), cardiac arrhythmias (e.g., heart blocks and ventricular and
supraventricular arrhythmias), and histological changes were determined after G
CSF administration. At 3,000 ppm, frequencies of ST elevation, depression, and T
inversion in ECG were significantly reduced after G-CSF treatment. Also, some of
the cardiac arrhythmias (e.g., atrioventricular block type 1 and 2) after CO
poisoning were suppressed after G-CSF treatment. However, G-CSF did not show
protective effects on cardiomyocyte pathological consequences in CO-poisoned
rats. Therefore, G-CSF could protect against ECG changes after CO-induced cardiac
ischemia, but did not affect pathological changes.
PMID- 22085443
TI - An enzyme-coupled continuous fluorescence assay for farnesyl diphosphate
synthases.
AB - Farnesyl diphosphate synthase (FDPS) catalyzes the conversion of isopentenyl
diphosphate and dimethylallyl diphosphate to farnesyl diphosphate, a crucial
metabolic intermediate in the synthesis of cholesterol, ubiquinone, and
prenylated proteins; consequently, much effort has gone into developing
inhibitors that target FDPS. Currently most FDPS assays either use radiolabeled
substrates and are discontinuous or monitor pyrophosphate release and not
farnesyl diphosphate (FPP) creation. Here we report the development of a
continuous coupled enzyme assay for FDPS activity that involves the subsequent
incorporation of the FPP product of that reaction into a peptide via the action
of protein farnesyltransferase (PFTase). By using a dansylated peptide whose
fluorescence quantum yield increases upon farnesylation, the rate of FDPS
catalyzed FPP production can be measured. We show that this assay is more
sensitive than existing coupled assays, that it can be used to conveniently
monitor FDPS activity in a 96-well plate format, and that it can reproduce IC(50)
values for several previously reported FDPS inhibitors. This new method offers a
simple, safe, and continuous method to assay FDPS activity that should greatly
facilitate the screening of inhibitors of this important target.
PMID- 22085444
TI - In-depth biophysical analysis of interactions between therapeutic antibodies and
the extracellular domain of the epidermal growth factor receptor.
AB - Targeting of the epidermal growth factor receptor (EGFR) with monoclonal
antibodies has become an established antitumor strategy in clinical use or in
late stages of drug development. The mAbs effector mechanisms have been widely
analyzed based on in vivo or cell studies. Hereby we intend to complement these
functional studies by investigating the mAb-EGFR interactions on a molecular
level. Surface plasmon resonance, isothermal titration calorimetry, and static
light scattering were employed to characterize the interactions of matuzumab,
cetuximab, and panitumumab with the extracellular soluble form ecEGFR. The
kinetic and thermodynamic determinants dissected the differences in mAbs binding
mechanism toward ecEGFR. The quantitative stoichiometric data clearly
demonstrated the bivalent binding of the mAbs to two ecEGFR molecules. Our
results complement earlier studies on simultaneous binding of cetuximab and
matuzumab. The antibodies retain their bivalent binding mode achieving a 1:2:1
complex formation. Interestingly the binding parameters remain nearly constant
for the individual antibodies in this ternary assembly. In contrast the binding
of panitumumab is almost exclusive either by directly blocking the accessibility
for the second antibody or by negative allosteric modulation. Overall we provide
a comprehensive biophysical dataset on binding parameters, the complex assembly,
and relative epitope accessibility for therapeutic anti-EGFR antibodies.
PMID- 22085445
TI - Homing and reparative effect of intra-articular injection of autologus
mesenchymal stem cells in osteoarthritic animal model.
AB - BACKGROUND: This work aimed to study the homing evidence and the reparative
effect of mesenchymal stem cells (MSCs) in the healing process of induced
osteoarthritis in experimental animal model (donkeys). METHODS: Twenty-seven
donkeys were equally divided into 3 groups based on the observation period after
induction of arthritis (3, 6 and 9 weeks) to achieve different degrees of
osteoarthritis. Each group was subdivided into three subgroups of three animals
each based on the follow-up period (1, 2 and 6 months) after treatment. The
induction was done through intra-articular (IA) injection of 2 ml of Amphotericin
B in both carpal joints. MSCs were harvested in a separate procedure, labeled
with green fluorescent protein (GFP) using monster GFP vector and suspended in
hyaluronic acid for IA injection. Treatment approaches consisted of cell
treatment using MSCs suspended in 3 ml of hyaluronic acid (HA) for the right
carpal joint; and using the same amount of (HA) but without MSCs for the left
contralateral carpal joint to serve as a control. Animals were assessed
clinically and radiologically before and after treatment. Synovial fluid was also
evaluated. Histopathologically; articular cartilage structural changes, reduction
of articular cartilage matrix staining, osteophyte formation, and subchondral
bone plate thickening were graded. Data was summarized using median and
percentile for scores of histopathologic grading. Comparison between groups was
done using non-parametric Mann Whitney test. RESULTS: The reparative effect of
MSCs was significant both clinically and radiologically in all treated groups (P
< 0.05) compared to the control groups. Fluorescence microscopy of sections of
the cell-treated joints of all animals indicated that the GFP-transduced injected
cells have participated effectively in the reparative process of the damaged
articular surface and have integrated within the existing articular cartilage.
The cells were associated with the surface of the cartilage and, were also
detected in the interior. CONCLUSIONS: Homing was confirmed by the incorporation
of injected GFP-labeled MSCs within the repaired newly formed cartilage.
Significant recovery proves that the use of IA injection of autologous MSCs is a
viable and a practical option for treating different degrees of osteoarthritis.
PMID- 22085446
TI - Molecular characteristics of bovine virus diarrhoea virus 1 isolates from Turkey:
approaches for an eradication programme.
AB - Forty pestivirus isolates sampled from cattle in Turkey between 2002 and 2007
were characterized according to 5' untranslated region (5'UTR) sequences and
autoprotease (N(pro) ) gene sequences. The sampling of Bovine virus diarrhoea
viruses (BVDVs) from 15 farms in five different regions indicated that BVDV 1-l
(18/40, 45%) was the predominant genotype in Turkey; the samples also contained
the genotypes 1-f (10/40, 25%), 1-b (7/40, 17.5%), 1-d (3/40, 7.5%), and 1-a
(2/40, 5%), respectively.
PMID- 22085447
TI - Grafted poly(1->4-beta-glucan) strands on silica: a comparative study of surface
reactivity as a function of grafting density.
AB - Grafted poly(beta-glucan) (beta-glu) strands on the surface of silica are
synthesized with varying degrees of grafting density, and display an amorphous
like environment via (13)C CP/MAS NMR spectroscopy. Thermal gravimetric analysis
of these materials under oxidative conditions shows increased beta-glu thermal
stability with higher degrees of grafting density. The range of temperature
stability between the most and least hydrogen-bound grafted beta-glu strands
spans 321 to 260 degrees C. This range is bound by the combustion temperature
previously measured for crystalline and amorphous cellulose, with the former
having greater oxidative stability, and is likely controlled by the extent of
hydrogen bonding of a grafted beta-glu strand with the underlying silica surface.
When using these materials as reactants for glycosidic bond hydrolysis, the total
number of reducing ends formed during reaction is quantified using a BCA
colorimetric assay. Results demonstrate that the material with greatest
interaction with silica surface silanols undergoes hydrolysis at an initial rate
that is 6-fold higher than the material with the lowest degree of such
interaction. The role of the surface as a reactive interface that can endow
oxidative stability and promote hydrolysis activity has broad implications for
surface-catalyzed processes dealing with biomass-derived polymers.
PMID- 22085448
TI - CB1 receptor activation inhibits neuronal and astrocytic intermediary metabolism
in the rat hippocampus.
AB - Cannabinoid CB1 receptor (CB1R) activation decreases synaptic GABAergic and
glutamatergic transmission and it also controls peripheral metabolism. Here we
aimed at testing with 13C NMR isotopomer analysis whether CB1Rs could have a
local metabolic role in brain areas having high CB1R density, such as the
hippocampus. We labelled hippocampal slices with the tracers [2-13C]acetate,
which is oxidized in glial cells, and [U-13C]glucose, which is metabolized both
in glia and neurons, to evaluate metabolic compartmentation between glia and
neurons. The synthetic CB1R agonist WIN55212-2 (1 MUM) significantly decreased
the metabolism of both [2-13C]acetate (-11.6+/-2.0%) and [U-13C]glucose (-11.2+/
3.4%) in the tricarboxylic acid cycle that contributes to the glutamate pool.
WIN55212-2 also significantly decreased the metabolism of [U-13C]glucose (-11.7+/
4.0%) but not that of [2-13C]acetate contributing to the pool of GABA. These
effects of WIN55212-2 were prevented by the CB1R antagonist AM251 (500 nM). These
results thus suggest that CB1Rs might be present also in hippocampal astrocytes
besides their well-known neuronal localization. Indeed, confocal microscopy
analysis revealed the presence of specific CB1R immunoreactivity in astrocytes
and pericytes throughout the hippocampus. In conclusion, CB1Rs are able to
control hippocampal intermediary metabolism in both neuronal and glial
compartments, which suggests new alternative mechanisms by which CB1Rs control
cell physiology and afford neuroprotection.
PMID- 22085450
TI - How scientists use social media to communicate their research.
AB - Millions of people all over the world are constantly sharing an extremely wide
range of fascinating, quirky, funny, irrelevant and important content all at
once. Even scientists are no strangers to this trend. Social media has enabled
them to communicate their research quickly and efficiently throughout each corner
of the world. But which social media platforms are they using to communicate this
research and how are they using them? One thing is clear: the range of social
media platforms that scientists are using is relatively vast and dependent on
discipline and sentiment. While the future of social media is unknown, a
combination of educated speculation and persuasive fact points to the industry's
continual growth and influence. Thus, is that not only are scientists utilizing
social media to communicate their research, they must. The ability to communicate
to the masses via social media is critical to the distribution of scientific
information amongst professionals in the field and to the general population.
PMID- 22085449
TI - Amygdala-prefrontal pathways and the dopamine system affect nociceptive responses
in the prefrontal cortex.
AB - BACKGROUND: We previously demonstrated nociceptive discharges to be evoked by
mechanical noxious stimulation in the prefrontal cortex (PFC). The nociceptive
responses recorded in the PFC are conceivably involved in the affective rather
than the sensory-discriminative dimension of pain. The PFC receives dense
projection from the limbic system. Monosynaptic projections from the basolateral
nucleus of the amygdala (BLA) to the PFC are known to produce long-lasting
synaptic plasticity. We examined effects of high frequency stimulation (HFS)
delivered to the BLA on nociceptive responses in the rat PFC. RESULTS: HFS
induced long lasting suppression (LLS) of the specific high threshold responses
of nociceptive neurons in the PFC. Microinjection of N-methyl-D-aspartic acid
(NMDA) receptor antagonists (2-amino-5-phosphonovaleric acid (APV), dizocilpine
(MK-801)) and also metabotropic glutamate receptor (mGluR) group antagonists
(alpha-methyl-4-carboxyphenylglycine (MCPG), and 2-[(1S,2S)-2-carboxycyclopropyl]
3-(9H-xanthen-9-yl)-D-alanine (LY341495)), prevented the induction of LLS of
nociceptive responses. We also examined modulatory effects of dopamine (DA) on
the LLS of nociceptive responses. With depletion of DA in response to 6
hydroxydopamine (6-OHDA) injection into the ipsilateral forebrain bundle, LLS of
nociceptive responses was decreased, while nociceptive responses were normally
evoked. Antagonists of DA receptor subtypes D2 (sulpiride) and D4 (3-{[4-(4
chlorophenyl) piperazin-1-yl] methyl}-1H-pyrrolo [2, 3-b] pyridine (L-745,870)),
microinjected into the PFC, inhibited LLS of nociceptive responses. CONCLUSIONS:
Our results indicate that BLA-PFC pathways inhibited PFC nociceptive cell
activities and that the DA system modifies the BLA-PFC regulatory function.
PMID- 22085451
TI - Composition and hydrothermal pretreatment and enzymatic saccharification
performance of grasses and legumes from a mixed-species prairie.
AB - BACKGROUND: Mixtures of prairie species (mixed prairie species; MPS) have been
proposed to offer important advantages as a feedstock for sustainable production
of fuels and chemicals. Therefore, understanding the performance in hydrothermal
pretreatment and enzymatic hydrolysis of select species harvested from a mixed
prairie is valuable in selecting these components for such applications. This
study examined composition and sugar release from the most abundant components of
a plot of MPS: a C3 grass (Poa pratensis), a C4 grass (Schizachyrium scoparium),
and a legume (Lupinus perennis). Results from this study provide a platform to
evaluate differences between grass and leguminous species, and the factors
controlling their recalcitrance to pretreatment and enzymatic hydrolysis.
RESULTS: Significant differences were found between the grass and leguminous
species, and between the individual anatomical components that influence the
recalcitrance of MPS. We found that both grasses contained higher levels of
sugars than did the legume, and also exhibited higher sugar yields as a
percentage of the maximum possible from combined pretreatment and enzymatic
hydrolysis. Furthermore, particle size, acid-insoluble residue (AcIR), and xylose
removal were not found to have a direct significant effect on glucan
digestibility for any of the species tested, whereas anatomical composition was a
key factor in both grass and legume recalcitrance, with the stems consistently
exhibiting higher recalcitrance than the other anatomical fractions. CONCLUSIONS:
The prairie species tested in this study responded well to hydrothermal
pretreatment and enzymatic saccharification. Information from this study supports
recommendations as to which plant types and species are more desirable for
biological conversion in a mixture of prairie species, in addition to identifying
fractions of the plants that would most benefit from genetic modification or
targeted growth.
PMID- 22085452
TI - On the symmetry of siblings: automated single-cell tracking to quantify the
behavior of hematopoietic stem cells in a biomimetic setup.
AB - The interplay between hematopoietic stem and progenitor cells (HSPC) and their
local microenvironment is a key mechanism for the organization of hematopoiesis.
To quantitatively study this process, a time-resolved analysis of cellular
dynamics at the single-cell level is an essential prerequisite. One way to
generate sufficient amounts of appropriate data is automatic single-cell tracking
using time-lapse video microscopy. We describe and apply newly developed
computational algorithms that allow for an automated generation of high-content
data of single-cell characteristics at high temporal and spatial resolution,
together with the reconstruction and statistical evaluation of complete
genealogical histories. This methodology has been applied to the particular
example of purified primary human HSPCs in bioengineered culture conditions. The
combination of genealogical information and dynamic profiles of cellular
properties identified a marked symmetry between sibling HSPCs regarding cell
cycle time, but also migration speed and growth kinetics. Furthermore, we
demonstrate that this symmetry of HSPC siblings can be altered by exogenous cues
of the local biomimetic microenvironment. Using the example of HSPC growth in
biomimetic culture systems, we show that our approach provides a valuable tool
for the quantitative analysis of dynamic single-cell features under defined in
vitro conditions, allowing for integration of functional and genealogical data.
The efficiency and accuracy of our approach pave the way for new and intriguing
insights into the organizational principles of developmental patterns and the
respective influence of exogenous cues not limited to the study of primary HSPCs.
PMID- 22085455
TI - An exploration of search patterns and credibility issues among older adults
seeking online health information.
AB - The Internet is an important resource for health information, among younger and
older people alike. Unfortunately, there are limitations associated with online
health information. Research is needed on the quality of information found online
and on whether users are being critical consumers of the information they find.
Also, there is a need for research investigating online use among adults aged 65
and over - a rapidly growing demographic of Internet users. The current study
presents important descriptive data about the search patterns of older adults
seeking online health information, the types of health topics they research, and
whether they consider credibility issues when retrieving online health
information. A comparison is also made between search strategies used in printed
text and hypertext environments. The results, which have implications with
respect to credibility issues, highlight the need to increase awareness about
critical searching skills among older adult Internet users.
PMID- 22085453
TI - Dipeptidyl peptidase IV (DPPIV/CD26) inhibition does not improve engraftment of
unfractionated syngeneic or allogeneic bone marrow after nonmyeloablative
conditioning.
AB - In order to develop minimally toxic bone marrow transplantation (BMT) protocols
suitable for use in a wider range of indications, it is important to identify
ways to enhance BM engraftment at a given level of recipient conditioning.
CXCL12/stromal cell-derived factor-1alpha plays a crucial physiological role in
homing of hematopoietic stem cells to BM. It is regulated by the ectopeptidase
dipeptidyl peptidase IV (DPPIV; DPP4) known as CD26, which cleaves dipeptides
from the N-terminus of polypeptide chains. Blocking DPPIV enzymatic activity had
a beneficial effect on hematopoietic stem cell engraftment in various but very
specific experimental settings. Here we investigated whether inhibition of DPPIV
enzymatic activity through Diprotin A or sitagliptin (Januvia) improves BM
engraftment in nonmyeloablative murine models of syngeneic (i.e., CD45-congenic)
and allogeneic (i.e., Balb/c to B6) BMT (1 Gy total body irradiation, 10-15 *
10(6) unseparated BM cells/mouse). Neither Diprotin A administered in vivo at the
time of BMT and/or used for in vitro pretreatment of BM nor sitagliptin
administered in vivo had a detectable effect on the level of multilineage
chimerism (follow-up >20 weeks). Similarly, sitagliptin did not enhance chimerism
after allogeneic BMT, even though DPPIV enzymatic activity measured in serum was
profoundly inhibited (>98% inhibition at peak exposure). Our results provide
evidence that DPPIV inhibition via Diprotin A or sitagliptin does not improve
engraftment of unseparated BM in a nonmyeloablative BMT setting.
PMID- 22085456
TI - Long-term follow-up in patients with retinopathy of prematurity.
PMID- 22085457
TI - 15-day-old neonate with cystic swelling. Congenital bilateral dacryocystoceles.
PMID- 22085458
TI - Physician etiquette in pediatric ophthalmology.
PMID- 22085460
TI - Screening for amblyopia.
PMID- 22085461
TI - Combined hamartoma of the retina and retinal pigment epithelium.
PMID- 22085462
TI - Foam granulation: new developments in pharmaceutical solid oral dosage forms
using twin screw extrusion machinery.
AB - This paper investigates foam granulation in a twin screw extruder as a new
continuous wet granulation technique for pharmaceutical powder drug formulations.
Foamed aqueous binder has a reportedly lower soak-to-spread ratio than drop or
spray liquid addition in batch granulation. This work demonstrates a twin screw
extruder configuration for foam granulation and subsequently compares the new
approach against liquid injection in the granulation of alpha-lactose monohydrate
with a methylcellulose binder. Trials were conducted at high powder output rates
(20-40 kg/h) and high screw speeds (220-320 RPM) with two screw configurations.
Process stability improved with the new technique allowing granulation with less
binder. The extruded mass maintained a low exit temperature, being insensitive to
operating conditions unlike the liquid injection approach, where temperatures
rose significantly as flow rate increased. The particle size distribution by foam
granulation reflected a more uniformly wetted mass with larger granule growth
noted even for conditions where dry powder exited by liquid injection. Other
factors were found similar between the two binder delivery methods such as
consumed mechanical energy, as well as fracture strength and compressibility of
produced granules.
PMID- 22085463
TI - Efficient production of chimeric human papillomavirus 16 L1 protein bearing the
M2e influenza epitope in Nicotiana benthamiana plants.
AB - BACKGROUND: Human papillomavirus 16 (HPV-16) L1 protein has the capacity to self
assemble into capsomers or virus-like particles (VLPs) that are highly
immunogenic, allowing their use in vaccine production. Successful expression of
HPV-16 L1 protein has been reported in plants, and plant-produced VLPs have been
shown to be immunogenic after administration to animals. RESULTS: We investigated
the potential of HPV-16 L1 to act as a carrier of two foreign epitopes from
Influenza A virus: (i) M2e2-24, ectodomain of the M2 protein (M2e), that is
highly conserved among all influenza A isolates, or (ii) M2e2-9, a shorter
version of M2e containing the N-terminal highly conserved epitope, that is common
for both M1 and M2 influenza proteins. A synthetic HPV-16 L1 gene optimized with
human codon usage was used as a backbone gene to design four chimeric sequences
containing either the M2e2-24 or the M2e2-9 epitope in two predicted surface
exposed L1 positions. All chimeric constructs were transiently expressed in
plants using the Cowpea mosaic virus-derived expression vector, pEAQ-HT. Chimeras
were recognized by a panel of linear and conformation-specific anti HPV-16 L1
MAbs, and two of them also reacted with the anti-influenza MAb. Electron
microscopy showed that chimeric proteins made in plants spontaneously assembled
in higher order structures, such as VLPs of T = 1 or T = 7 symmetry, or
capsomers. CONCLUSIONS: In this study, we report for the first time the transient
expression and the self-assembly of a chimeric HPV-16 L1 bearing the M2e
influenza epitope in plants, representing also the first record of a successful
expression of chimeric HPV-16 L1 carrying an epitope of a heterologous virus in
plants. This study further confirms the usefulness of human papillomavirus
particles as carriers of exogenous epitopes and their potential relevance for the
production in plants of monovalent or multivalent vaccines.
PMID- 22085464
TI - Neuromelanin is an immune stimulator for dendritic cells in vitro.
AB - BACKGROUND: Parkinson's disease (PD) is characterized at the cellular level by a
destruction of neuromelanin (NM)-containing dopaminergic cells and a profound
reduction in striatal dopamine. It has been shown recently that anti-melanin
antibodies are increased in sera of Parkinson patients, suggesting that NM may
act as an autoantigen. In this study we tested whether NM is being recognized by
dendritic cells (DCs), the major cell type for inducing T- and B-cell responses
in vivo. This recognition of NM by DCs is a prerequisite to trigger an adaptive
autoimmune response directed against NM-associated structures. RESULTS: Murine
DCs were treated with NM of substantia nigra (SN) from human subjects or with
synthetic dopamine melanin (DAM). DCs effectively phagocytized NM and
subsequently developed a mature phenotype (CD86(high)/MHCII(high)). NM-activated
DCs secreted the proinflammatory cytokines IL-6 and TNF-alpha. In addition, they
potently triggered T cell proliferation in a mixed lymphocyte reaction, showing
that DC activation was functional to induce a primary T cell response. In
contrast, DAM, which lacks the protein and lipid components of NM but mimics the
dopamine-melanin backbone of NM, had only very little effect on DC phenotype and
function. CONCLUSIONS: NM is recognized by DCs in vitro and triggers their
maturation. If operative in vivo, this would allow the DC-mediated transport and
presentation of SN antigens to the adaptive immune system, leading to
autoimmmunity in susceptible individuals. Our data provide a rationale for an
autoimmune-based pathomechanism of PD with NM as the initial trigger.
PMID- 22085465
TI - Interactions of a hydrophobically modified polycation with zwitterionic lipid
membranes.
AB - The interactions between synthetic polycations and phospholipid bilayers play an
important role in some biophysical applications such as gene delivery or
antibacterial usage. Despite extensive investigation into the nature of these
interactions, their physical and molecular bases remain poorly understood. In
this Article, we present the results of our studies on the impact of a
hydrophobically modified strong polycation on the properties of a zwitterionic
bilayer used as a model of the mammalian cellular membrane. The study was carried
out using a set of complementary experimental methods and molecular dynamic (MD)
simulations. A new polycation, poly(allyl-N,N-dimethyl-N-hexylammonium chloride)
(polymer 3), was synthesized, and its interactions with liposomes composed of 2
oleoyl-1-palmitoyl-sn-glycero-3-phosphocholine (POPC) were examined using dynamic
light scattering (DLS), zeta potential measurements, and cryo-transmission
electron microscopy (cryo-TEM). Our results have shown that polymer 3 can
efficiently associate with and insert into the POPC membrane. However, it does
not change its lamellar structure, as was demonstrated by cryo-TEM. The influence
of polymer 3 on the membrane functionality was studied by leakage experiments
applying a fluorescence dye (calcein) encapsulated in the phospholipid vesicles.
The MD simulations of model systems reveal that polymer 3 promotes formation of
hydrophilic pores in the membrane, thus increasing considerably its permeability.
PMID- 22085466
TI - Extraction and inhibition of enzymatic activity of botulinum neurotoxins /B1,
/B2, /B3, /B4, and /B5 by a panel of monoclonal anti-BoNT/B antibodies.
AB - BACKGROUND: Botulism is caused by botulinum neurotoxins (BoNTs), extremely toxic
proteins which can induce respiratory failure leading to long-term intensive care
or death. Treatment for botulism includes administration of antitoxins, which
must be administered early in the course of the intoxication; therefore, rapid
determination of human exposure to BoNT is an important public health goal. In
previous work, our laboratory reported on Endopep-MS, a mass spectrometry-based
activity method for detecting and differentiating BoNT/A, /B, /E, and /F in
clinical samples. We also demonstrated that antibody-capture is effective for
purification and concentration of BoNTs from complex matrices such as clinical
samples. However, some antibodies inhibit or neutralize the enzymatic activity of
BoNT, so the choice of antibody for toxin extraction is critical. RESULTS: In
this work, we evaluated 24 anti-BoNT/B monoclonal antibodies (mAbs) for their
ability to inhibit the in vitro activity of BoNT/B1, /B2, /B3, /B4, and /B5 and
to extract those toxins. Among the mAbs, there were significant differences in
ability to extract BoNT/B subtypes and inhibitory effect on BoNT catalytic
activity. Some of the mAbs tested enhanced the in vitro light chain activity of
BoNT/B, suggesting that BoNT/B may undergo conformational change upon binding
some mAbs. CONCLUSIONS: In addition to determining in vitro inhibition abilities
of a panel of mAbs against BoNT/B1-/B5, this work has determined B12.2 and 2B18.2
to be the best mAbs for sample preparation before Endopep-MS. These mAb
characterizations also have the potential to assist with mechanistic studies of
BoNT/B protection and treatment, which is important for studying alternative
therapeutics for botulism.
PMID- 22085468
TI - The 2011 International Psychogeriatric Association Junior Research Awards in
Psychogeriatrics.
PMID- 22085467
TI - Assessment of the kidneys: magnetic resonance angiography, perfusion and
diffusion.
AB - Renal magnetic resonance (MR) imaging has undergone major improvements in the
past several years. This review focuses on the technical basics and clinical
applications of MR angiography (MRA) with the goal of enabling readers to acquire
high-resolution, high quality renal artery MRA. The current role of contrast
agents and their safe use in patients with renal impairment is discussed. In
addition, an overview of promising techniques on the horizon for renal MR is
provided. The clinical value and specific applications of renal MR are critically
discussed.
PMID- 22085469
TI - Ten rules to assess and manage the acutely deteriorating patient: a practical
mnemonic.
AB - The acutely deteriorating patient is a challenge to even the most seasoned
provider. The ability to diagnosis the underlying condition quickly and
accurately is vital to a successful outcome. We present a review of 10 critical
aspects in the management of the crashing patient, based on up-to-date guidelines
and organized as an easily remembered mnemonic. The A-A-B-B-C-C-D-D-E-E's of the
deteriorating patient address many key pearls and current recommendations to give
physicians an added advantage in the moment of crisis.
PMID- 22085470
TI - To evaluate the effect of addition of an anionic surfactant on solid dispersion
using model drug indomethacin.
AB - Formation of solid dispersion also known as high energy solids is one of the most
successful concepts to improve dissolution profile of poorly water-soluble drugs.
Use of surfactants in formulation is one of the methods to increase solubility
profile. In this research, we have used model drug, a weak acid (indomethacin)
together with polymer (PVP) and anionic surfactant (sodium lauryl sulfate (SLS))
in different concentrations to study the effect of incorporation of SLS in solid
dispersion. Three ratios and control were prepared. Physical characterization was
performed using modulated differential scanning calorimetry (MDSC), X-ray
diffraction (XRD) and Fourier transform infrared (FTIR) spectroscopy. Critical
micelle concentration (CMC) measurements were conducted to see the effect of SLS
on dissolution media. Dissolution studies were performed in hydrochloric acid
buffer (pH 1.2 buffer), purified water and phosphate buffer (pH 7.4),
respectively. Interestingly, depending upon addition of SLS into the system,
release profiles were changed. SLS incorporated internally in a solid dispersion
gave the highest release.
PMID- 22085471
TI - Atypical mood stabilizers: a new role for neuroleptics?
PMID- 22085472
TI - Subthreshold bipolarity: diagnostic issues and challenges.
AB - BACKGROUND: Research suggests that current diagnostic criteria for bipolar
disorders may fail to include milder, but clinically significant, bipolar
syndromes and that a substantial percentage of these conditions are diagnosed, by
default, as unipolar major depression. Accordingly, a number of researchers have
argued for the upcoming 5th edition of the Diagnostic and Statistical Manual of
Mental Disorders (DSM-5) to better account for subsyndromal hypomanic
presentations. METHODS: The present paper is a critical review of research on
subthreshold bipolarity, and an assessment of some of the challenges that
researchers and clinicians might face if the DSM-5 were designed to
systematically document subsyndromal hypomanic presentations. RESULTS:
Individuals with major depressive disorder (MDD) who display subsyndromal
hypomanic features, not concurrent with a major depressive episode, have a more
severe course compared to individuals with MDD and no hypomanic features, and
more closely resemble individuals with bipolar disorder on a number of clinical
validators. CONCLUSION: There are clinical and scientific reasons for
systematically documenting subsyndromal hypomanic presentations in the assessment
and diagnosis of mood disorders. However, these benefits are balanced with
important challenges, including (i) the difficulty in reliably identifying
subsyndromal hypomanic presentations, (ii) operationalizing subthreshold
bipolarity, (iii) differentiating subthreshold bipolarity from borderline
personality disorder, (iv) the risk of over-diagnosing bipolar spectrum
disorders, and (v) uncertainties about optimal interventions for subthreshold
bipolarity.
PMID- 22085473
TI - White matter microstructure in untreated first episode bipolar disorder with
psychosis: comparison with schizophrenia.
AB - OBJECTIVES: White matter abnormalities have been reported in bipolar disorder.
The present study aimed to investigate white matter integrity in untreated first
episode patients with psychotic bipolar disorder using diffusion tensor imaging,
and to compare observations with those from untreated first episode schizophrenia
patients. METHODS: Fractional anisotropy and mean diffusivity were measured in
first episode psychotic patients with bipolar disorder (n = 13) or schizophrenia
(n = 21) and healthy individuals (n = 18). Group differences were evaluated using
voxel-based morphometry. Axial and radial diffusivity were examined in regions
with altered fractional anisotropy in post-hoc analyses. RESULTS: Patients with
bipolar disorder showed lower fractional anisotropy than healthy controls in
several white matter tracts. Compared with schizophrenia patients, bipolar
disorder patients showed lower fractional anisotropy in the cingulum, internal
capsule, posterior corpus callosum, tapetum, and occipital white matter including
posterior thalamic radiation and inferior longitudinal fasciculus/inferior fronto
occipital fasciculus. Lower fractional anisotropy in bipolar disorder was
characterized by increased radial diffusion rather than axial diffusion along the
orientation of fiber tracts. Across several white matter tracts, both patient
groups showed greater mean diffusivity than healthy individuals. CONCLUSIONS:
Selectively increased radial diffusivity in bipolar disorder patients suggests
structural disorganization in fiber tract coherence of neurodevelopmental origin
or alterations in myelin sheaths along fiber tracts. In contrast, increased
isotropic diffusion along white matter tracts in schizophrenia patients with
alterations in both radial and axial diffusivity suggests increased water content
outside the axonal space. Thus, the present results suggest that different
pathophysiological mechanisms may underlie white matter microstructural
abnormalities in bipolar disorder and schizophrenia.
PMID- 22085474
TI - Evidence for the involvement of the glucocorticoid receptor gene in bipolar
disorder in an isolated northern Swedish population.
AB - OBJECTIVES: Dysfunction of the hypothalamus-pituitary-adrenal (HPA) axis is one
of the most consistent findings in the pathophysiology of mood disorders. The
potential role of genes related to HPA axis function has been investigated
extensively in major depression. However, in bipolar disorder (BPD) such studies
are scarce. We performed a systematic HapMap-based association study of six genes
crucial for HPA axis function in relation to BPD. METHODS: Haplotype tagging
single nucleotide polymorphisms (htSNPs) were selected in order to identify all
haplotypes with a frequency of more than 1% in the genes encoding the
glucocorticoid receptor (GR), mineralocorticoid receptor (MR), corticotrophin
releasing hormone receptor 1 (CRH-R1) and 2 (CRH-R2), CRH binding protein (CRH
BP), and FK binding protein 5 (FKBP5). This resulted in a total selection of 225
SNPs that were genotyped and analyzed in 309 BPD patients and 364 matched control
individuals all originating from an isolated northern Swedish population.
RESULTS: Consistent evidence for an association with BPD was found for NR3C1, the
gene encoding GR. Almost all SNPs in two adjacent haplotype blocks contributed to
the positive signal, comprised of significant single marker, sliding window, and
haplotype-specific p-values. All these results point to a moderately frequent (10
15%) susceptibility haplotype covering the entire coding region and 3'
untranslated region (UTR) of NR3C1. CONCLUSIONS: This study contributes to the
growing evidence for a role of the glucocorticoid receptor gene (NR3C1) in
vulnerability to mood disorders, and BPD in particular, and warrants further in
vitro investigation of the at-risk haplotypes with respect to disease etiology.
However, this association might be restricted to this specific population, as it
is observed in a rather small sample from an isolated population without
replication, and data from large meta-analyses for genome-wide association
studies in BPD do not show the GR as a very strong candidate.
PMID- 22085475
TI - Neonatal antibodies to infectious agents and risk of bipolar disorder: a
population-based case-control study.
AB - OBJECTIVE: There is a substantial evidence base linking prenatal exposure to
infectious agents and an increased risk of schizophrenia. However, there has been
less research examining the potential for these exposures to also contribute to
risk for bipolar disorder. The aim of this study was to examine the association
between neonatal markers of selected prenatal infections and risk for bipolar
disorder. METHODS: Using population-based Danish registers, we examined 127
individuals with a diagnosis of bipolar disorder, and 127 sex and day-of-birth
individually matched controls. Based on neonatal dried blood spots, we measured
antibodies to herpes simplex virus type 1 (HSV-1) and 2 (HSV-2), cytomegalovirus
(CMV), and Toxoplasma gondii. Relative risks were calculated for the matched
pairs when examined for optical density units for antibodies to each of the
infectious agents. RESULTS: There was no association between any of the neonatal
markers of prenatal infection and risk of bipolar disorder. CONCLUSIONS: In
contrast with studies of schizophrenia, our analysis does not support maternal
infection with HSV-1, HSV-2, CMV, or Toxoplasma gondii as risk factors for
bipolar disorder. However, larger study samples are needed, and data on, for
example, specific serotypes of Toxoplasma and indicators of the timing of
maternal infection are still warranted.
PMID- 22085476
TI - Evidence of associations between bipolar disorder and the brain-derived
neurotrophic factor (BDNF) gene.
AB - OBJECTIVE: Brain-derived neurotrophic factor (BDNF) has important roles in neural
cell growth and differentiation. Despite multiple lines of evidence suggesting
BDNF as a possible contributor to the pathogenesis of bipolar disorder (BD), the
results of genetic association studies have been mixed. We hypothesize that BDNF
gene polymorphisms may confer increased susceptibility to BD. METHODS: Using a
cohort of multiplex bipolar families, we performed family-based association
testing to look for associations between BD and eight single nucleotide
polymorphisms (SNPs) from BDNF. RESULTS: We found associations (p < 0.05) between
BD and six of the eight SNPs analysed, including two SNPs not previously
investigated in association studies. We were able to replicate associations
previously found between BD and the Val66Met polymorphism of BDNF (rs6265) and
the SNPs rs1519480 and rs12273363. We also found evidence of an association
between rs11030107 and BD that was not found in a previous study. CONCLUSIONS:
Our results support the hypothesis that some BDNF gene polymorphisms may be
contributing factors in the pathogenesis of BD. Our study also adds to the body
of evidence associating the functional Val66Met polymorphism of BDNF with BD.
PMID- 22085477
TI - Sensitivity to positive and negative feedback in euthymic patients with bipolar I
disorder: the last episode makes the difference.
AB - OBJECTIVES: It is unclear whether abnormalities in cognition and motivation, such
as altered feedback processing, observed during euthymia represent trait markers
of bipolar I disorder (BD-I) or scars from previous episodes that also occur in
major depression (MD). The present research examines how previous episodes
influence sensitivity to positive and negative feedback, applying multiple
hierarchical regression analysis with number of past depressive and manic
episodes, residual mood symptoms, affective quality of the last episode, time in
remission, medication, illness severity, and age as predictors. METHODS: The
study included 23 euthymic patients with BD-I, 19 remitted patients with MD, and
19 healthy persons who underwent a task which discriminates whether persons learn
better from negative or positive feedback. RESULTS: For both models, predicting
sensitivity to positive [F((5,60)) = 6.50, p = 0.001, adjusted R(2) = 0.22] and
negative feedback [F((5,60)) = 5.12, p = 0.001, adjusted R(2) = 0.22], the
quality of the last affective episode was the only significant predictor. BD-I
patients who last experienced a manic episode learned well from positive but not
negative feedback, whereas BD-I patients who last experienced a depressive
episode showed the opposite pattern. CONCLUSIONS: Our data identify differences
in response to positive and negative consequences carrying over into the euthymic
state that are qualitatively related to the polarity of the preceding episode,
whereas other disease-related variables had no significant influence. This sheds
new light on previous inconsistent data in euthymic BD-I patients and could also
guide tailored treatment.
PMID- 22085478
TI - Cognitions in bipolar affective disorder and unipolar depression: imagining
suicide.
AB - OBJECTIVE: Bipolar disorder has the highest rate of suicide of all the
psychiatric disorders. In unipolar depression, individuals report vivid, affect
laden images of suicide or the aftermath of death (flashforwards to suicide)
during suicidal ideation but this phenomenon has not been explored in bipolar
disorder. Therefore the authors investigated and compared imagery and verbal
thoughts related to past suicidality in individuals with bipolar disorder (n =
20) and unipolar depression (n = 20). METHODS: The study used a quasi
experimental comparative design. The Structured Clinical Interview for DSM-IV was
used to confirm diagnoses. Quantitative and qualitative data were gathered
through questionnaire measures (e.g., mood and trait imagery use). Individual
interviews assessed suicidal cognitions in the form of (i) mental images and (ii)
verbal thoughts. RESULTS: All participants reported imagining flashforwards to
suicide. Both groups reported greater preoccupation with these suicide-related
images than with verbal thoughts about suicide. However, compared to the unipolar
group, the bipolar group were significantly more preoccupied with flashforward
imagery, rated this imagery as more compelling, and were more than twice as
likely to report that the images made them want to take action to complete
suicide. In addition, the bipolar group reported a greater trait propensity to
use mental imagery in general. CONCLUSIONS: Suicidal ideation needs to be better
characterized, and mental imagery of suicide has been a neglected but potentially
critical feature of suicidal ideation, particularly in bipolar disorder. Our
findings suggest that flashforward imagery warrants further investigation for
formal universal clinical assessment procedures.
PMID- 22085479
TI - Personality traits in bipolar disorder type I: a sib-pair analysis.
AB - OBJECTIVE: The aim of this study was to compare temperament and character traits
among patients with bipolar disorder (BD), their siblings, and healthy controls
(HCs) in order to examine whether personality traits are related to the genetic
vulnerability to develop BD. METHODS: Using the Temperament and Character
Inventory, we assessed 204 subjects: 67 euthymic outpatients with bipolar
disorder type I, 67 siblings without BD, and 70 HCs. RESULTS: Scores on harm
avoidance, novelty seeking, and self-transcendence were significantly higher
among patients with BD than among HCs, whereas those on self-directedness and
cooperativeness were significantly lower. Siblings showed higher scores on harm
avoidance and lower scores on self-directedness than did HCs. As some of the
siblings presented at least one lifetime psychiatric disorder other than BD (n =
35), we examined the subset of siblings who had no lifetime psychiatric disorder
(n = 32). This group showed statistically higher harm avoidance scores than HCs.
CONCLUSIONS: Our results suggest that the harm avoidance temperament trait and,
to a lesser extent, the self-directedness character trait may represent
vulnerability factors for BD.
PMID- 22085480
TI - Dimensional psychopathology in offspring of parents with bipolar disorder.
AB - OBJECTIVES: To compare the dimensional psychopathology in offspring of parents
with bipolar disorder (BP) with offspring of community control parents as
assessed by the Child Behavior Checklist (CBCL). METHODS: Offspring of parents
with BP, who were healthy or had non-BP disorders (any psychiatric disorder other
than BP; n = 319) or who had bipolar spectrum disorders (n = 35), and offspring
of community controls (n = 235) ages 6-18 years were compared using the CBCL, the
CBCL-Dysregulation Profile (CBCL-DP), and a sum of the CBCL items associated with
mood lability. The results were adjusted for multiple comparisons and for any
significant between-group demographic and clinical differences in both biological
parents and offspring. RESULTS: With few exceptions, several CBCL (e.g., Total,
Internalizing, and Aggression Problems), CBCL-DP, and mood lability scores in non
BP offspring of parents with BP were significantly higher than in offspring of
control parents. In addition, both groups of offspring showed significantly lower
scores in most scales when compared with offspring of parents with BP who had
already developed BP. Similar results were obtained when analyzing the rates of
subjects with CBCL T-scores that were two standard deviations or higher above the
mean. CONCLUSIONS: Even before developing BP, offspring of parents with BP had
more severe and higher rates of dimensional psychopathology than offspring of
control parents. Prospective follow-up studies in non-BP offspring of parents
with BP are warranted to evaluate whether these dimensional profiles are
prodromal manifestations of mood or other disorders, and can predict those who
are at higher risk to develop BP.
PMID- 22085481
TI - Six-month functional outcome of a bipolar disorder cohort in the context of a
specialized-care program.
AB - OBJECTIVES: A marked disparity between functional recovery and symptomatic
improvement has been demonstrated in bipolar disorder. However, most of the
previous studies have been conducted in the United States, and there is little
prospective research from Europe on this topic. The main objective of the present
six-month follow-up study was to assess functioning in a sample of Spanish
bipolar disorder patients following an acute episode or subsyndromal state.
Additionally, we also evaluated the sensitivity to change of the Functioning
Assessment Short Test (FAST). METHODS: A total of 97 bipolar disorder patients
with syndromal (n = 59) or subsyndromal (n = 38) symptoms were evaluated using
the 17-item Hamilton Depression Rating Scale and Young Mania Rating Scale. The
FAST was the primary measure to assess multiple areas of psychosocial
functioning. Functioning was evaluated at four different time periods: baseline,
21 days, three months, and six months. RESULTS: A significant improvement in
global functioning was found in the whole sample over the six-month period, as
indicated by a reduction of FAST total score (mean +/- standard deviation) from
39.97 +/- 15.10 to 30.65 +/- 16.93 (F = 36.104, p = 0.0001). This was also
evident in all areas of functioning studied. However, only 26.4% of remitted
patients (n = 42) achieved functional recovery, while 79.6% of the total sample
(N = 97) experienced clinical remission of acute symptoms. CONCLUSIONS: Although
many patients presented syndromal recovery, only a minority of them achieved
favorable functioning in multiple areas, even after specialized mental health
care. Furthermore, the FAST scale was sensitive to detect minimal changes in
functioning in both short (21 days) and long (6 months) periods, which may be
relevant to the use of this scale in clinical trials.
PMID- 22085482
TI - Do symptoms of bipolar disorder exhibit seasonal variation? A multisite
prospective investigation.
AB - OBJECTIVES: Evidence that symptoms of bipolar disorder (BD) vary seasonally is
inconclusive. Here, a multisite prospective investigation of patients with BD was
used to test the hypothesis that, on average, depressive symptoms peak in
autumn/winter and hypo/manic symptoms peak in spring/summer. Secondary analyses
explored gender and diagnosis [bipolar I disorder (BD-I) versus bipolar II
disorder (BD-II)] effects on seasonality. METHODS: A sample of 429 patients with
BD (61.6% female; 56.2% BD-I) were recruited from 12 sites across Canada.
Clinician-rated measures of manic [Young Mania Rating Scale (YMRS), n = 4,753
total observations] and depression symptoms [Montgomery-Asberg Depression Rating
Scale (MADRS), n = 4,691 observations] were taken at scheduled three-month visits
as well as any unscheduled visits. At scheduled visits only, Hamilton Depression
Rating Scale (Ham-D) assessments (n = 3,153 observations) were also made. Multi
level modeling (MLM) analyses were conducted separately for the three dependent
variables and three definitions of Time: calendar month, nominal season, and
harmonic analysis. RESULTS: Primary analyses of the whole sample found that for
manic symptoms (YMRS), neither calendar month nor nominal season were
significant, and harmonic analyses found an unpredicted frequency two sinusoid,
with peaks at 4th December and 4th June (p < 0.018). Secondary analyses found
that this sinusoid approximately fit the YMRS data for females and those
diagnosed with BD-II. For depression symptoms measured on the MADRS and Ham-D, no
significant seasonal patterns were found in primary analyses of the whole sample.
Secondary analyses found a significant increase in MADRS scores in
November/December among females, but this pattern was not corroborated in nominal
season or harmonic analyses. CONCLUSIONS: No evidence of systematic seasonal
variation in symptoms was found in the sample as a whole. Primary analyses found
no evidence that hypo/manic symptoms peaked in the lighter months and depressive
symptoms peaked in the darker months. The present findings align with broadly
negative conclusions from three earlier prospective investigations, and provide
the strongest evidence to date that seasonal changes do not in fact cause
coordinated variation in BD symptoms.
PMID- 22085484
TI - Hippocampal alpha7 nicotinic acetylcholine receptor levels in patients with
schizophrenia, bipolar disorder, or major depressive disorder.
AB - BACKGROUND: The alpha7 nicotinic acetylcholine receptor (nAChR) is involved in
cognitive function and synaptic plasticity. Consequently, changes in alpha7 nAChR
function have been implicated in a variety of mental disorders, especially
schizophrenia. However, there is little knowledge regarding the levels of the
alpha7 nAChR in patients with bipolar disorder. METHODS: We performed [(125)I]
bungarotoxin autoradiography to selectively visualize and measure alpha7 nAChRs
on postmortem sections of the temporal lobe from patients with schizophrenia,
bipolar disorder, or major depressive disorder, as well as control subjects.
Radioligand binding was determined in the dentate gyrus, CA3, and CA1 subfields
of the hippocampus and the perirhinal cortex. RESULTS: Bungarotoxin binding was
significantly increased in the CA1 and perirhinal cortex of patients with bipolar
disorder compared to control subjects, whereas in patients with schizophrenia or
major depressive disorder the level of binding did not significantly differ from
control subjects in any region measured. CONCLUSIONS: These data are consistent
with the reported genetic associations linking the alpha7 nAChR to the pathology
of bipolar disorder, and may suggest a dysfunction of alpha7 nAChR-dependent
signalling in bipolar disorder. We could not reproduce the previously reported
decrease in hippocampal bungarotoxin binding in schizophrenia.
PMID- 22085483
TI - The association of genetic variation in CACNA1C with structure and function of a
frontotemporal system.
AB - OBJECTIVES: A single nucleotide polymorphism at the CACNA1C gene (rs1006737) has
been reported in genome-wide association studies to be associated with bipolar
disorder (BD) with genome-wide significance. However, the neural system effects
of CACNA1C that mediate the association are not known. In this study, we assessed
associations between rs1006737 variation and both morphology and functional
connectivity within a corticolimbic frontotemporal neural system implicated in
BD. METHODS: A total of 55 European Americans were divided into two groups: a GG
group homozygous for the 'G' allele (n = 30) and carriers of the high risk A
allele ('A-carrier' group, AA/AG genotypes; n = 25). The subjects participated in
both high-resolution structural magnetic resonance imaging (MRI) scans and
functional MRI scans during emotional face-processing. Voxel-based morphometry
and functional connectivity analyses were performed. RESULTS: Compared to the GG
group, the A-carrier group showed significantly increased gray matter volume and
reduced functional connectivity within a corticolimbic frontotemporal neural
system (p < 0.05, corrected). CONCLUSION: The findings support effects of the
rs1006737 variation on the frontotemporal neural system implicated in BD, both in
gray matter morphology and in functional connectivity. This suggests that
influence of CACNA1C variation on corticolimbic structure and function may be a
mechanism contributing to the neural circuitry of BD.
PMID- 22085486
TI - Dysregulated CC receptor/ligand in monocytes/macrophages from tongue squamous
cell carcinoma patients is partially rectified by interferon alpha-2b.
AB - In an aim to rectify dysregulated CC chemokine receptor (CCR5)/ligand (RANTES,
MIP-1alpha, MIP-1beta) status of monocytes/macrophages in tongue squamous cell
carcinoma (TSCC; n = 12) patients, we have tested interferon alpha2b
(IFNalpha2b), a novel immunomodulator with wide use in the management of several
forms of cancer. IFNalpha2b can upregulate reduced CCR5 expression and increases
the suppressed secretory status of its ligands, as evidenced from in vitro
studies on monocytes/macrophages from the peripheral blood of TSCC patients as
well as healthy individuals. Isolated monocytes of TSCC patients (n = 5)
undergoing chemotherapeutic treatment along with IFNalpha2b immunotherapy
demonstrated significant upregulation in CCR5 expression and secretion of
corresponding ligands. These rectifications in receptor/ligand levels are
reflected in improved CCR5-dependent migration of monocytes/macrophages after
IFNalpha2b treatment. The rectified chemokine profile and cellular migration
translate into better tumoricidal and antigen-presenting functions of these
cells. Accordingly, enhanced T-cell-mediated tumor cell killing is demonstrated
upon IFNalpha2b treatment. Translating dual benefits on monocyte/macrophage
functions, IFNalpha2b may emerge as a potential form of immunotherapy for TSCC
patients that may be combined with standard chemotherapy for better clinical
outcome.
PMID- 22085487
TI - Acoustic over-exposure triggers burst firing in dorsal cochlear nucleus fusiform
cells.
AB - Acoustic over-exposure (AOE) triggers deafness in animals and humans and provokes
auditory nerve degeneration. Weeks after exposure there is an increase in the
cellular excitability within the dorsal cochlear nucleus (DCN) and this is
considered as a possible neural correlate of tinnitus. The origin of this DCN
hyperactivity phenomenon is still unknown but it is associated with neurons lying
within the fusiform cell layer. Here we investigated changes of excitability
within identified fusiform cells following AOE. Wistar rats were exposed to a
loud (110 dB SPL) single tone (14.8 kHz) for 4 h. Auditory brainstem response
recordings performed 3-4 days after AOE showed that the hearing thresholds were
significantly elevated by about 20-30 dB SPL for frequencies above 15 kHz.
Control fusiform cells fired with a regular firing pattern as assessed by the
coefficient of variation of the inter-spike interval distribution of 0.19 +/-
0.11 (n = 5). Three to four days after AOE, 40% of fusiform cells exhibited
irregular bursting discharge patterns (coefficient of variation of the inter
spike interval distribution of 1.8 +/- 0.6, n = 5; p < 0.05). Additionally the
maximal firing following step current injections was reduced in these cells (from
83 +/- 11 Hz, n = 5 in unexposed condition to 43 +/- 6 Hz, n = 5 after AOE) and
this was accompanied by an increased firing gain (from 0.09 +/- 0.01 Hz/pA, n = 5
in unexposed condition to 0.56 +/- 0.25 Hz/pA, n = 5 after AOE). Current and
voltage clamp recordings suggest that the presence of bursts in fusiform cells is
related to a down regulation of high voltage activated potassium currents. In
conclusion we showed that AOE triggers deafness at early stages and this is
correlated with profound changes in the firing pattern and frequency of the DCN
major output fusiform cells. The changes here described could represent the
initial network imbalance prior to the emergence of tinnitus.
PMID- 22085488
TI - Modulation of T cell proliferation and cytokine response by Plumbagin, extracted
from Plumbago zeylanica in collagen induced arthritis.
AB - BACKGROUND: The extracts of Plumbago zeylanica have been used in China and other
Asian countries as folk medicine for the treatment of cancer, rheumatoid
arthritis and dysmenorrhoea. Effect of Plumbagin (5-hydroxy-2-methyl-1,4
naphthoquinone) purified from Plumbago zeylanica on Con A induced T cell
proliferation was studied in spleen cells from collagen induced arthritic DBA/1
mice. METHODS: The DBA/1 mice (five per each group) were immunized with 0.1 mL of
collagen (emulsified in CFA) by intradermal injection at the base of the tail. On
day 20, mice were given a booster dose of collagen (emulsified in IFA) through
the same route. Plumbagin was given at different concentrations (3.3, 6.6, 13.3
mg/kg body weight) intraperitoneally. Control mice received olive oil alone. The
Con A induced T cell proliferative responses of arthritic and Plumbagin treated
mice were studied by cell culture experiments using tritiated Thymidine. In
addition the cytokine levels were estimated from the in vitro spleen culture
supernatants of arthritic mice primed with different concentrations of Plumbagin
by ELISA. RESULTS: Plumbagin enhanced the decreased Con A induced T cell
proliferation and Interleukin-2 production in arthritic mice. Moreover elevated
levels of IFN- gamma were found to be decreased in Plumbagin treated spleen cell
culture supernatants. Subclasses of IgG were found to be decreased by Plumbagin
treatment, IgG2a reduction seems to be more prominent. CONCLUSION: The results
obtained in the current study indicate that Plumbagin is very effective in the
mechanism based treatment of Rheumatoid arthritis.
PMID- 22085489
TI - Transplacental carcinogenesis with dibenzo[def,p]chrysene (DBC): timing of
maternal exposures determines target tissue response in offspring.
AB - Dibenzo[def,p]chrysene (DBC) is a transplacental carcinogen in mice (15mg/kg;
gestation day (GD) 17). To mimic residual exposure throughout pregnancy, dams
received four smaller doses of DBC (3.75mg/kg) on GD 5, 9, 13 and 17. This
regimen alleviated the previously established carcinogenic responses in the
thymus, lung, and liver. However, there was a marked increase in ovarian tumors
(females) and hyperplastic testes (males). [(14)C]-DBC (GD 17) dosing revealed
transplacental distribution to fetal tissues at 10-fold lower concentrations than
in paired maternal tissue and residual [(14)C] 3weeks post-dose. This study
highlights the importance of developmental stage in susceptibility to
environmental carcinogens.
PMID- 22085490
TI - Insulin-like growth factor binding protein-3 inhibits migration of endometrial
cancer cells.
AB - Cell migration and invasion leading to metastasis is a major cause of death from
endometrial cancer (EC). We have shown that the rate of EC cell migration is
inversely related to the level of insulin-like growth factor protein-3 (IGFBP-3).
Down-regulation of IGFBP-3 by siRNA in EC cells accelerated migration without
affecting proliferation and cells displayed a more migratory phenotype, with co
localization of migration-associated markers at the leading edge of cell
membranes. Opposite effects were seen with either the addition of recombinant
IGFBP-3 or overexpression of IGFBP-3. Cells with mutated PTEN had the highest
IGFBP-3 expression and the slowest migration rates. This study demonstrates that
endogenous IGFBP-3 modulates adhesion-migration dynamics in EC cells, implying
that it may be important in regulating metastasis in this disease.
PMID- 22085491
TI - Identification of heat shock protein 90 inhibitors to sensitize drug resistant
side population tumor cells using a cell based assay platform.
AB - Current cancer therapeutics are identified based on initial tumor regression
screens that mostly kill differentiated tumor cells, sparing the rare cancer stem
cells (CSCs). Being rare and difficult to characterize, it remains a challenge to
identify compounds active against them. Side population (SP) cells identified in
multiple cancer cell line panels expressing mitochondrial Cytochrome C-EGFP were
evaluated for identifying possible drug candidates utilizing high-throughput
imaging. We identified heat shock protein 90 inhibitors as potential agents to
sensitize SP cells to anticancer drugs. Hsp90 inhibitors induced down regulation
of Akt leading to proteasomal degradation of survivin and consequent
mitochondrial apoptosis. A successful screening platform for identifying
compounds targeting drug resistant side population cells was developed.
PMID- 22085492
TI - LCH-7749944, a novel and potent p21-activated kinase 4 inhibitor, suppresses
proliferation and invasion in human gastric cancer cells.
AB - P21-activated kinase 4 (PAK4), a serine/threonine protein kinase, has involved in
the regulation of cytoskeletal reorganization, cell proliferation, gene
transcription, oncogenic transformation and cell invasion. Moreover, PAK4
overexpression, genetic amplification and mutations were detected in a variety of
human tumors, which make it potential therapeutic target. In this paper we found
that LCH-7749944, a novel and potent PAK4 inhibitor, effectively suppressed the
proliferation of human gastric cancer cells through downregulation of PAK4/c
Src/EGFR/cyclin D1 pathway. In addition, LCH-7749944 significantly inhibited the
migration and invasion of human gastric cancer cells in conjunction with
concomitant blockage of PAK4/LIMK1/cofilin and PAK4/MEK-1/ERK1/2/MMP2 pathways.
Interestingly, LCH-7749944 also inhibited the formation of filopodia and induced
cell elongation in SGC7901 cells. Importantly, LCH-7749944 caused successful
inhibition of EGFR activity due to its inhibitory effect on PAK4. Taken together,
these results provided novel insights into the development of PAK4 inhibitor and
potential therapeutic strategies for gastric cancer.
PMID- 22085494
TI - Copy number status and mutation analyses of anaplastic lymphoma kinase (ALK) gene
in 90 sporadic neuroblastoma tumors.
AB - Somatic and germline mutations of the anaplastic lymphoma kinase (ALK) gene were
recently described in neuroblastoma (NB). In this study, we investigated the
association of ALK copy number alterations with copy number status 2p24.1
amplicon harboring DEAD box polypeptide 1 (DDX1), MYCN and neuroblastoma
amplified (NAG) genes in 90 primary tumors of sporadic NB cases by multiplex
ligation-dependent probe amplification (MLPA). We also performed mutation
analysis of ALK gene by directly sequencing the exons 20-28 which cover the
region that encodes juxtamembrane and kinase domains. A total of 39 (43.3%) NB
cases revealed copy numbers alterations of ALK gene. There was highly significant
association of ALK copy number gains with gains of one or more of the genes at
2p24.1 (DDX1, MYCN or NAG) in MYCN unamplified tumors (P<0.000). In addition, 15
of 17 MYCN amplified cases (88.2%) had aberrant ALK status. Solitary gain of ALK
with normal copy number status of all other genes was observed only in one case.
DNA sequencing of exons 20-28 of ALK revealed two different nucleotide changes in
three cases leading to amino acid substitutions of F1245V and R1275Q in tyrosine
kinase domain. In conclusion, the frequency of ALK mutations in NB is low and
solitary copy number change of it is rarely observed.
PMID- 22085493
TI - Bortezomib enhances radiation-induced apoptosis in solid tumors by inhibiting
CIP2A.
AB - Previously, we demonstrated that cancerous inhibitor of protein phosphatase 2A
(CIP2A) mediates bortezomib-induced apoptosis in hepatocellular carcinoma cells.
Herein, we report that bortezomib sensitizes solid tumor cells to radiation
induced apoptosis. Treatment with a combination of bortezomib and radiation
downregulated CIP2A in a dose-dependent manner in solid tumor cells. Knockdown of
CIP2A enhanced radiation-induced apoptosis in cancer cells, and ectopic
expression of CIP2A in cancer cells abolished radiation-induced apoptosis.
Finally, our in vivo data showed that bortezomib and radiation combination
treatment decreased tumor growth significantly. Thus, bortezomib sensitized solid
tumor cells to radiation through the inhibition of CIP2A.
PMID- 22085495
TI - Species-specific toxicity of troglitazone on rats and human by gel entrapped
hepatocytes.
AB - Troglitazone, despite passing preclinical trials on animals, was shortly
withdrawn from market due to its severe hepatotoxicity in clinic. As rat
hepatocyte monolayer consistently showed sensitive troglitazone toxicity as human
hepatocyte monolayer in contrast to the species-specific toxicity in vivo, this
paper utilized both hepatocytes in three-dimensional culture of gel entrapment to
reflect the species difference on hepatotoxicity. Rat hepatocytes in gel
entrapment did not show obvious cellular damage even under a long-term exposure
for 21 days while gel entrapped human hepatocytes significantly displayed
oxidative stress, steatosis, mitochondrial damage and cell death at a short
exposure for 4 days. As a result, the detected species-specific toxicity of
troglitazone between gel entrapped rat and human hepatocytes consisted well with
the situation in vivo but was in a sharp contrast to the performance of two
hepatocytes by monolayer culture. Such contradictory toxicity of rat hepatocytes
between monolayer and gel entrapment culture could be explained by the fact that
troglitazone was cleared more rapidly in gel entrapment than in monolayer
culture. Similarly, the differential clearance of troglitazone in rat and human
might also explain its species-specific toxicity. Therefore, gel entrapment of
hepatocytes might serve as a platform for evaluation of drug toxicity at early
stage of drug development by reducing costs, increasing the likelihood of
clinical success and limiting human exposure to unsafe drugs.
PMID- 22085496
TI - Strain-induced yielding in bubble clusters.
AB - We study how shearing clusters of two or four bubbles induces bubble separation
or topological rearrangement. The critical deformation at which this yielding
occurs is measured as a function of shear rate, liquid composition, and liquid
content in the cluster. We establish a geometrical yield criterion in the
quasistatic case on the basis of these experimental data as well as simulations.
In the dynamic regime, the deformation where the cluster yields increases with
the strain rate, and we derive a scaling law describing this phenomenon based on
the dynamical inertial rupture of the liquid meniscus linking the two bubbles.
Our experiments show that the same scaling law applies to two- and four-bubble
clusters.
PMID- 22085497
TI - A pair of orthologs of a leucine-rich repeat receptor kinase-like disease
resistance gene family regulates rice response to raised temperature.
AB - BACKGROUND: Rice Xa3/Xa26 disease-resistance gene encodes a leucine-rich repeat
(LRR) receptor kinase-type protein against Xanthomonas oryzae pv. oryzae (Xoo)
and belongs to a multigene family. However, the functions of most genes in this
family are unknown. RESULTS: Here we report that two orthologs of this family,
the NRKe from rice variety Nipponbare and 9RKe from variety 93-11 at the RKe
locus, have similar functions although they encode different proteins. This pair
of orthologs could not mediate resistance to Xoo, but they were transcriptionally
induced by raised temperature. Transcriptional activation of NRKe or 9RKe
resulted in the formation of temperature-sensitive lesion mimics, which were
spots of dead cells associated with accumulation of superoxides, in different
organs of the transgenic plants. These plants were more sensitive to high
temperature shock than wild-type controls. Transgenic plants carrying a chimeric
protein consisting of the LRR domain of NRKe and the kinase domain of Xa3/Xa26
developed the same lesion mimics as the NRKe-transgenic plants, whereas
transgenic plants carrying another chimeric protein consisting of the LRR domain
of Xa3/Xa26 and the kinase domain of NRKe were free of lesion mimic. All the
transgenic plants carrying a chimeric protein were susceptible to Xoo.
CONCLUSION: These results suggest that the RKe locus is involved in rice response
to raised temperature. The LRR domain of RKe protein appears to be important to
sense increased temperature. The RKe-involved temperature-related pathway and
Xa3/Xa26-mediated disease-resistance pathway may partially overlap.
PMID- 22085498
TI - E-cadherin cell-cell communication in melanogenesis and during development of
malignant melanoma.
AB - Cell-cell communication is necessary for the crosstalk between cells that
constitute multicellular organisms and is essential for cells to coordinate their
physiological behavior to create cohesive tissues. Cellular crosstalk is not only
controlled by molecules, like growth factors, hormones, ions and G-proteins, etc.
but also by cell-cell contacts. These contacts are essential for intercellular
communication and are involved in survival, apoptosis, proliferation,
differentiation and homeostasis of entire tissues. In polarized epithelia of
vertebrates, the adherent junction is part of the tripartite junctional complex
that is localized at the juxtaluminal region, which includes tight junctions
(including claudins, occludins, and zonula occludens proteins), desmosomal
junctions (including desmogleins), and adherent junctions. In focus of the
manuscript are adherent molecules of the cadherin superfamily of the skin. In the
normal epidermis, melanocytes and keratinocytes are mostly connected via E
cadherin, P-cadherin and H-cadherin [1-3]. Melanocytes that reside in the basal
layer of the epidermis predominantly contain E-cadherin and H-cadherin, whereas
those that reside in the hair follicles are rich in P-cadherin [2]. The
regulation and role of E-cadherin during melanoma development will be the focus
of this review.
PMID- 22085499
TI - Cellular and molecular effects of vitamin D on carcinogenesis.
AB - Epidemiologic data suggest that the incidence and severity of many types of
cancer inversely correlates with indices of vitamin D status. The vitamin D
receptor (VDR) is highly expressed in epithelial cells at risk for carcinogenesis
including those resident in skin, breast, prostate and colon, providing a direct
molecular link by which vitamin D status impacts on carcinogenesis. Consistent
with this concept, activation of VDR by its ligand 1,25-dihydroxyvitamin D
(1,25D) triggers comprehensive genomic changes in epithelial cells that
contribute to maintenance of the differentiated phenotype, resistance to cellular
stresses and protection of the genome. Many epithelial cells also express the
vitamin D metabolizing enzyme CYP27B1 which enables autocrine generation of 1,25D
from the circulating vitamin D metabolite 25-hydroxyvitamin D (25D), critically
linking overall vitamin D status with cellular anti-tumor actions. Furthermore,
pre-clinical studies in animal models has demonstrated that dietary
supplementation with vitamin D or chronic treatment with VDR agonists decreases
tumor development in skin, colon, prostate and breast. Conversely, deletion of
the VDR gene in mice alters the balance between proliferation and apoptosis,
increases oxidative DNA damage, and enhances susceptibility to carcinogenesis in
these tissues. Because VDR expression is retained in many human tumors, vitamin D
status may be an important modulator of cancer progression in persons living with
cancer. Collectively, these observations have reinforced the need to further
define the molecular actions of the VDR and the human requirement for vitamin D
in relation to cancer development and progression.
PMID- 22085500
TI - Vitamin D, multiple sclerosis and inflammatory bowel disease.
AB - It has now been more than 20years since the vitamin D receptor was identified in
cells of the immune system. The immune system has now been established as an
important target of vitamin D. Vitamin D receptor knockout and vitamin D
deficient mice have a surplus of effector T cells that have been implicated in
the pathology of multiple sclerosis (MS) and inflammatory bowel disease (IBD).
The active form of vitamin D directly and indirectly suppresses the function of
these pathogenic T cells while inducing several regulatory T cells that suppress
MS and IBD development. There is reason to believe that vitamin D could be an
environmental factor that may play a role in the development of these immune
mediated diseases in the clinic but at present there has not been a causal
relationship established. Nonetheless, current evidence suggests that improving
vitamin D status and/or using vitamin D receptor agonists may be useful in MS and
IBD.
PMID- 22085501
TI - S1 pocket fingerprints of human and bacterial methionine aminopeptidases
determined using fluorogenic libraries of substrates and phosphorus based
inhibitors.
AB - Methionyl aminopeptidases (MetAPs) are metallo-dependent proteases responsible
for removing of N-terminal methionine residue of peptides and proteins during
protein maturation and activation. In this report we use a comprehensive strategy
to screen the substrate specificity of three methionyl aminopeptidases: Homo
sapiens MetAP-1, Homo sapiens MetAP-2 and Escherichia coli MetAP-1. By utilizing
a 65-membered fluorogenic substrate library consisting of natural and unnatural
amino acids we established detailed substrate preferences of each enzyme in the
S1 pocket. Our results show that this pocket is highly conserved for all
investigated MetAPs, very stringent for methionine, and that several unnatural
amino acids with methionine-like characteristics were also well hydrolyzed by
MetAPs. The substrate-derived results were verified using several phosphonate and
phosphinate-based inhibitors.
PMID- 22085502
TI - [A man with pain and weakness in his legs].
AB - A 75-year-old man came to the clinic because of pain and weakness in his legs. He
had fallen a year earlier. The pain and weakness were caused by a bilateral
rupture of the tendon of the M. rectus femoris.
PMID- 22085504
TI - Psoriasiform disorders with joint symptoms.
AB - We present a 49-year-old man seen at the dermatology outpatient department with a
3-year history of painful swollen digits of hands and feet. On enquiry he
reported dysuria. On examination we saw extensive swelling of the digits,
keratosis of the nails, and some psoriasiform skin lesions on the soles of the
feet. The differential diagnosis included acrodermatitis continua suppurativa,
reactive arthritis and psoriatic arthritis. Radiographic imaging revealed the
presence of arthritis. Testing proved negative for rheumatoid factor and positive
for HLA-B27 making spondyloarthropathy the most likely diagnosis, either in the
form of reactive arthritis or psoriatic arthritis. The patient was treated with
combination therapy of doxycycline, methotrexate and folic acid. Because of
insufficient response to therapy, the methotrexate dose was raised and eventually
etanercept was added. During the last visit to the outpatient clinic, the patient
still showed insufficient response to therapy.
PMID- 22085505
TI - [Unexpected back pain in the elderly ankylosing spondylitis patient].
AB - A 63-year-old man with ankylosing spondylitis (AS) presented with persistent pain
in his back after minor trauma. Radiographs revealed no acute pathology. Six
weeks later an MRI scan was performed which showed a vertebral fracture at level
Tiii with kyphotic deformity. Another 63-year-old male AS patient presented with
back pain after minor trauma 10 days before presentation. At plain radiology a
vertebral fracture of Tx was discovered. A third patient, an 83-year-old male AS
patient complained about back pain after minor trauma; CT imaging revealed a Tx
vertebral fracture. All three patients underwent surgical fixation and were
discharged without major complications. In principle, an older patient with an
established AS complaining of back pain must be regarded as having a fracture of
the vertebral column until the contrary is proven, even in the absence of any
recent history of trauma. This strategy can prevent major complication in these
patients.
PMID- 22085506
TI - [Early recognition of rheumatoid arthritis].
AB - Target to treat within the first 12 weeks. The rheumatoid arthritis (RA) disease
process may be modulated best in the very early phase of the disease, therefore
the period of the first 12 weeks of the disease is called the "window of
opportunity". Patients in whom treatment is started within 12 weeks of onset of
symptoms develop less severe joint damage and have a better chance of remission.
At present only 31% of Dutch new RA patients are assessed by a rheumatologist
within 12 weeks of symptom onset. Arthritis is identified by joint palpation; in
order to detect subtle arthritis of minor joints, experience in carrying out this
joint examination is required. In order to distinguish patients with early RA
from other patients with recent onset arthritis, several prediction models have
been developed. Early recognition of arthritis and RA is mandatory for early
treatment of RA and improvement of the prospects of RA patients.
PMID- 22085508
TI - [The multidisciplinary practice guideline "The responsible use of biologicals"].
AB - A multidisciplinary working group has developed a practice guideline containing
various recommendations on the responsible and efficient use of biologicals.
These biologicals include both soluble immune-receptor proteins and monoclonal
antibodies that are aimed at immune mediators, receptors or cells. They are
produced by biotechnology. Biologicals are used to treat patients with immune
mediated inflammatory disorders (IMIDs) such as rheumatoid arthritis (RA),
ankylosing spondylitis (AS), psoriatic arthritis, juvenile idiopathic arthritis
(JIA), psoriasis, ulcerative colitis, Crohn's disease, uveitis and sarcoidosis.
This article summarises the most important recommendations contained in the
practice guideline. The practice guideline is intended for members of the medical
profession in addition to patients, who are considering, or are already, using
biologicals.
PMID- 22085509
TI - [Wenckebach and his rhythm].
AB - Karel Frederik Wenckebach (1864-1940) showed an aptitude for research even as a
medical student in Utrecht. After graduation and a thesis on the bursa of
Fabricius he worked as an assistant in the physiological laboratory. Following a
stint as general practitioner in a mining community (1891-1896) he returned to
Utrecht, where he could combine his practice with physiological studies,
especially disturbances of the heart rhythm. In 1899, with no other recording
instruments than a sphygmomanometer for tracing the radial pulse and a tuning
fork for chronometry, he described the 'rhythmic arrhythmia' phenomenon: a missed
beat after a given number of regular beats (mostly between three and six),
followed by an intermission shorter than the interval between two regular beats.
The Wenckebach rhythm is now also known as type I second-degree atrioventricular
block. Wenckebach subsequently became a professor of medicine in Groningen
(1901), Strasbourg (1911) and Vienna (1914-1929).
PMID- 22085510
TI - [Exercise therapy in hip or knee osteoarthritis].
AB - "Exercise is medicine": exercise therapy reduces pain and activity limitations in
osteoarthritis of the knee and is likely to have the same effects in
osteoarthritis of the hip. Further research into exercise therapy is needed,
since disease-modifying drugs are not available, pain medication can cause side
effects, and surgical interventions are preferably applied in an advanced stage
of disease. Classical exercise therapy is aimed at improving muscle strength,
aerobic capacity, range of joint motion, and training of walking and activities
of daily living. New modalities of targeted exercise therapy are currently being
developed, aimed at the correction of low levels of activity and to correct
instability of the knee. Weight loss, preferably combined with exercise therapy,
reduces pain and activity limitations in osteoarthritis patients who are
overweight. Modalities of exercise therapy adapted to comorbid conditions are
currently being developed.
PMID- 22085511
TI - [From splint to smart syringe: the changing perspective of rheumatology].
AB - Over the course of the past 30 years the outlook for patients with rheumatic
arthritis (RA) has changed substantially. The careful "wait-and-see" attitude of
rheumatologists of the past has been replaced by early and intensive intervention
in patients with early RA. These days it is possible to bring about remission in
half of all patients with, among others, the new medicines-- the "biologicals".
Auto-antibodies are now detectable in the pre-clinical phase of RA; this means
that in the future it will be possible to trace people with a raised risk of
developing RA.
PMID- 22085512
TI - [Determining cardiovascular risk in patients with rheumatoid arthritis: waiting
for trial outcomes is not recommended].
AB - Cardiovascular risk management is clearly indicated in patients with rheumatoid
arthritis (RA) today because this risk is comparable to patients with diabetes.
Although formal evidence of cardiovascular endpoint trials with statins and/or
antihypertensives is lacking in patients with RA, there are no indications that
these drugs will have limited effect. In contrast, there is accumulating evidence
as to the efficacy of the use of these drugs in RA that is at least comparable to
their effects in the general population. All patients with RA should therefore
receive cardiovascular risk-management therapy aimed at powerful suppression of
the chronic inflammatory process as well as treatment with statins and/or
antihypertensives, if indicated. Obviously, monitoring in the clinical setting is
necessary to document if such therapy does indeed reduce cardiovascular disease
in patients with RA.
PMID- 22085514
TI - [Employee participation by patients with rheumatoid arthritis and
spondylarthritis. Biologicals may have an effect on the loss of productivity].
AB - Rheumatoid arthritis (RA) and the various types of spondylarthritis (SpA)
contribute significantly to employee disability. Each of these inflammatory
diseases has a great impact on physical and mental health, thereby restricting
one's ability to participate in one's social roles. This may result in decreased
productivity during working hours (sickness presenteeism), absenteeism and
eventually, disablement, with financial consequences for the patient as well as
society. By incorporating biologicals into treatment strategies, the health of
patients with RA and SpA can be better regulated; however, the cost of such
treatment has risen considerably. There are indications that part of these high
treatment expenditures can be regained by improved employee productivity of these
patients.
PMID- 22085517
TI - [The patient with arthritis: care by both general practitioners and
rheumatologists].
AB - Early aggressive treatment of rheumatoid arthritis (RA) with disease-modifying
antirheumatic drugs such as TNF inhibitors prevents joint damage and improves the
quality of life. There is increasing insight regarding the cardiovascular risks
of patients suffering from RA or gout. These aspects and others are considered in
this paper with respect to early diagnosis, treatment and prognosis of patients
with arthritis, in particular RA or gout. In the Netherlands approximately 8900
general practitioners (GPs) and 230 rheumatologists, working in different
settings (primary care and hospitals), are together responsible for the care of
patients with arthritis. They must communicate and co-operate at a national,
regional and local level to organize this care--the aim being to reach mutual
agreement regarding the responsibility for each part of the diagnosis and
management at each stage of the disease.
PMID- 22085518
TI - [Determining cardiovascular risk in patients with rheumatoid arthritis: prove the
efficacy of interventions first].
AB - In the multidisciplinary Dutch guideline on Cardiovascular Risk Management
(revision 2011) the recommendation for preventive treatment of elevated risks of
cardiovascular disease in patients with arthritis lacks a trial-based
justification. This section should therefore be removed. Introduction of clinical
guidelines with recommendations justified by evidence of an unacceptably low
grade is a growing international tendency that should be stopped.
PMID- 22085519
TI - [Tailored therapy for rheumatic disease within reach].
AB - Personalised medicine has the potential to increase therapeutic effectiveness,
reduce side effects and lower cost. This approach has recently taken off in
oncology where different malignancies may be treated with specific drugs based on
genetic biomarkers or other tumour characteristics. This type of tailored therapy
could also be developed for immune-mediated inflammatory disease, for which
rheumatoid arthritis (RA) may serve as a prototype. While novel treatments are
able to halt or even prevent disease progression, not all RA patients respond,
and stratification of patient groups is needed. The identification of biomarkers
predictive of the clinical response to specific treatments in subsets of patients
may soon become reality in a variety of diseases.
PMID- 22085520
TI - [The recognition of patients with spondyloarthritis. New classification
criteria].
AB - Spondyloarthritis (SpA) is an umbrella term for a group of rheumatic diseases
characterised by inflammation of the sacroiliac (SI) joints and vertebral column;
today, differentiation is made between axial SpA and peripheral SpA. Ankylosing
spondylitis (Bechterew's disease) is the most typical form of axial SpA whereby
sacroiliitis can be found on X-rays of the SI joints. Axial SpA can, however,
also be present without radiographic evidence of sacroiliitis. A range of SpA
related symptoms can also manifest themselves outside the musculoskeletal system,
for example, uveitis, psoriasis and inflammatory intestinal diseases. Tumour
necrosis factor (TNF)-alpha inhibitors play an important role in the treatment of
SpA. New classification criteria have recently been established in which MRI of
the SI joints and the presence of the HLA-B27 tissue antigen are key. Axial and
peripheral SpA should be recognized early in order to be able to successfully
treat these conditions.
PMID- 22085521
TI - [Self-management in anticoagulant therapy--implications for the future?].
AB - Recent meta-analysis has demonstrated that self-management in oral anticoagulant
therapy results in fewer thromboembolic complications and a decrease in mortality
in comparison to conventional treatment, while the number of bleeding
complications remains unchanged. We discuss the importance of these findings for
the situation in the Netherlands with its specialized anticoagulation clinics and
the possible consequences for the introduction of new anticoagulants like
dabigatran and rivaroxaban.
PMID- 22085522
TI - [Bicycle spoke-related injuries in children: emphasise prevention].
AB - Three children, a 6-year-old boy and two girls aged 5 and 4 years, were seen at
an emergency department due to distal lower-leg injuries sustained from the
spokes of bicycle wheels. All three patients had been passengers on rear carrying
seats of moving bicycles. Only the third bicyclist had used a special child
safety seat. The second girl had drawn her foot up from underneath a strap and
suffered a tibial fracture later treated with an osteosynthetic plate. The other
two patients recovered after conservative casting treatment. Bicycle spoke
related injuries are sustained when the foot or lower limb makes contact with the
spokes of a bicycle wheel and usually by children who are bicycle passengers. In
the Netherlands, approximately 4600 children are seen at emergency departments
with such injuries each year. Bicycle spoke-related accidents can cause severe
damage that can result in lengthy recovery periods. Not only physical
complications but also psychological ones can occur. The latter are often
overlooked but do deserve proper treatment. The physician treating a spoke
related injury is in a good position to advice parents as to preventive measures,
particularly on the use of special child safety seats.
PMID- 22085523
TI - Cook It Up! A community-based cooking program for at-risk youth: overview of a
food literacy intervention.
AB - BACKGROUND: In Canada, there are limited occasions for youth, and especially at
risk youth, to participate in cooking programs. The paucity of these programs
creates an opportunity for youth-focused cooking programs to be developed,
implemented, and evaluated with the goal of providing invaluable life skills and
food literacy to this potentially vulnerable group. Thus, an 18-month community
based cooking program for at-risk youth was planned and implemented to improve
the development and progression of cooking skills and food literacy. FINDINGS:
This paper provides an overview of the rationale for and implementation of a
cooking skills intervention for at-risk youth. The manuscript provides
information about the process of planning and implementing the intervention as
well as the evaluation plan. Results of the intervention will be presented
elsewhere. Objectives of the intervention included the provision of applied food
literacy and cooking skills education taught by local chefs and a Registered
Dietitian, and augmented with fieldtrips to community farms to foster an
appreciation and understanding of food, from 'gate to plate'. Eight at-risk youth
(five girls and three boys, mean age = 14.6) completed the intervention as of
November 2010. Pre-test cooking skills assessments were completed for all
participants and post-test cooking skills assessments were completed for five of
eight participants. Post intervention, five of eight participants completed in
depth interviews about their experience. DISCUSSION: The Cook It Up! program can
provide an effective template for other agencies and researchers to utilize for
enhancing existing programs or to create new applied cooking programs for
relevant vulnerable populations. There is also a continued need for applied
research in this area to reverse the erosion of cooking skills in Canadian
society.
PMID- 22085524
TI - POPISK: T-cell reactivity prediction using support vector machines and string
kernels.
AB - BACKGROUND: Accurate prediction of peptide immunogenicity and characterization of
relation between peptide sequences and peptide immunogenicity will be greatly
helpful for vaccine designs and understanding of the immune system. In contrast
to the prediction of antigen processing and presentation pathway, the prediction
of subsequent T-cell reactivity is a much harder topic. Previous studies of
identifying T-cell receptor (TCR) recognition positions were based on small-scale
analyses using only a few peptides and concluded different recognition positions
such as positions 4, 6 and 8 of peptides with length 9. Large-scale analyses are
necessary to better characterize the effect of peptide sequence variations on T
cell reactivity and design predictors of a peptide's T-cell reactivity (and thus
immunogenicity). The identification and characterization of important positions
influencing T-cell reactivity will provide insights into the underlying mechanism
of immunogenicity. RESULTS: This work establishes a large dataset by collecting
immunogenicity data from three major immunology databases. In order to consider
the effect of MHC restriction, peptides are classified by their associated MHC
alleles. Subsequently, a computational method (named POPISK) using support vector
machine with a weighted degree string kernel is proposed to predict T-cell
reactivity and identify important recognition positions. POPISK yields a mean 10
fold cross-validation accuracy of 68% in predicting T-cell reactivity of HLA-A2
binding peptides. POPISK is capable of predicting immunogenicity with scores that
can also correctly predict the change in T-cell reactivity related to point
mutations in epitopes reported in previous studies using crystal structures.
Thorough analyses of the prediction results identify the important positions 4,
6, 8 and 9, and yield insights into the molecular basis for TCR recognition.
Finally, we relate this finding to physicochemical properties and structural
features of the MHC-peptide-TCR interaction. CONCLUSIONS: A computational method
POPISK is proposed to predict immunogenicity with scores which are useful for
predicting immunogenicity changes made by single-residue modifications. The web
server of POPISK is freely available at http://iclab.life.nctu.edu.tw/POPISK.
PMID- 22085525
TI - A brief report on perceptions of alcohol and society among Scottish medical
students.
AB - AIMS: To assess perceptions on alcohol misuse and addiction among medical
students prior to in-depth training in order to determine areas of the curriculum
that need to be reshaped or focused on. METHODS: A questionnaire assessment of
first- and second-year medical students' perceptions of alcohol misuse. RESULTS:
Students had some misconceptions about current alcohol misuse rates, including a
perception that addiction is common among health professionals, that the under
25s had the fastest increasing rate of alcohol addiction and that British women
had a more rapidly increasing rate of alcohol addiction than British men.
CONCLUSION: Encouragingly, students overwhelmingly felt that alcohol addiction
was something to which they could make a difference. It highlights that early
education about alcohol misuse is important in terms of teaching students how to
recognize hazardous and harmful drinkers and how to manage them.
PMID- 22085526
TI - East meets West: ethnic differences in prostate cancer epidemiology between East
Asians and Caucasians.
AB - Prostate cancer is the most prevalent cancer in males in Western countries. The
reported incidence in Asia is much lower than that in African Americans and
European Caucasians. Although the lack of systematic prostate cancer screening
system in Asian countries explains part of the difference, this alone cannot
fully explain the lower incidence in Asian immigrants in the United States and
west-European countries compared to the black and non-Hispanic white in those
countries, nor the somewhat better prognosis in Asian immigrants with prostate
cancer in the United States. Soy food consumption, more popular in Asian
populations, is associated with a 25% to 30% reduced risk of prostate cancer.
Prostate-specific antigen(PSA) is the only established and routinely implemented
clinical biomarker for prostate cancer detection and disease status. Other
biomarkers, such as urinary prostate cancer antigen 3 RNA, may increase accuracy
of prostate cancer screening compared to PSA alone. Several susceptible loci have
been identified in genetic linkage analyses in populations of countries in the
West, and approximately 30 genetic polymorphisms have been reported to modestly
increase the prostate cancer risk in genome-wide association studies. Most of the
identified polymorphisms are reproducible regardless of ethnicity. Somatic
mutations in the genomes of prostate tumors have been repeatedly reported to
include deletion and gain of the 8p and 8q chromosomal regions, respectively;
epigenetic gene silencing of glutathione S-transferase Pi(GSTP1); as well as
mutations in androgen receptor gene. However, the molecular mechanisms underlying
carcinogenesis, aggressiveness, and prognosis of prostate cancer remain largely
unknown. Gene-gene and/or gene-environment interactions still need to be learned.
In this review, the differences in PSA screening practice, reported incidence and
prognosis of prostate cancer, and genetic factors between the populations in East
and West factors are discussed.
PMID- 22085527
TI - Schwannoma of the conus medullaris: a rare case.
AB - Intradural schwannoma of the conus medullaris is a rare form of spinal neoplasm,
which commonly occurs in the lumbar region. Conus medullaris level is unusual for
schwannomas. A 49-year-old woman presented with chronic sciatica, mild bladder
dysfunction, and paresthesia in the buttocks. Magnetic resonance imaging of the
spine showed a mass lesion in the conus medullaris region with nerve compression.
The tumor was completely resected and diagnosed histologically as schwannoma. The
patient recovered after surgery. Clinical and radiologic features of this rare
tumor are reviewed and are accompanied by literature findings.
PMID- 22085528
TI - miR-124 suppresses multiple steps of breast cancer metastasis by targeting a
cohort of pro-metastatic genes in vitro.
AB - Metastasis is a multistep process involving modification of morphology to suit
migration, reduction of tumor cell adhesion to the extracellular matrix, increase
of cell mobility, tumor cell resistance to anoikis, and other steps. MicroRNAs
are well-suited to regulate tumor metastasis due to their capacity to repress
numerous target genes in a coordinated manner, thereby enabling their
intervention at multiple steps of the invasion-metastasis cascade. In this study,
we identified a microRNA exemplifying these attributes, miR-124, whose expression
was reduced in aggressive MDA-MB-231 and SK-3rd breast cancer cells. Down
regulation of miR-124 expression in highly aggressive breast cancer cells
contributed in part to DNA hypermethylation around the promoters of the three
genes encoding miR-124. Ectopic expression of miR-124 in MDA-MB-231 cells
suppressed metastasis-related traits including formation of spindle-like
morphology, migratory capacity, adhesion to fibronectin, and anoikis. These
findings indicate that miR-124 suppresses multiple steps of metastasis by diverse
mechanisms in breast cancer cells and suggest a potential application of miR-124
in breast cancer treatment.
PMID- 22085529
TI - Both mitogen-activated protein kinase (MAPK)/extracellular-signal-regulated
kinases (ERK) 1/2 and phosphatidylinositide-3-OH kinase (PI3K)/Akt pathways
regulate activation of E-twenty-six (ETS)-like transcription factor 1 (Elk-1) in
U138 glioblastoma cells.
AB - Epidermal growth factor (EGF) and its receptor (EGFR) have been shown to play a
significant role in the pathogenesis of glioblastoma. In our study, the EGFR was
stimulated with EGF in human U138 glioblastoma cells. We show that the activated
mitogen-activated protein kinase (MAPK)/extracellular-signal-regulated kinases
(ERK) 1/2 pathway phosphorylated the E twenty-six (ETS)-like transcription factor
1 (Elk-1) mainly at serine 383 residue. Mitogen-activated protein kinase kinase
(MEK) 1/2 inhibitor, UO126 and ERK inhibitor II, FR180204 blocked the Elk-1
phosphorylation and activation. The phosphatidylinositide-3-OH kinase (PI3K)/Akt
pathway was also involved in the Elk-1 activation. Activation of the Elk-1 led to
an increased survival and a proliferative response with the EGF stimulation in
the U138 glioblastoma cells. Knocking-down the Elk-1 using an RNA interference
technique caused a decrease in survival of the unstimulated U138 glioblastoma
cells and also decreased the proliferative response to the EGF stimulation. The
Elk-1 transcription factor was important for the survival and proliferation of
U138 glioblastoma cells upon the stimulation of EGFR with EGF. The MAPK/ERK1/2
and PI3K/Akt pathways regulated this response via activation of the Elk-1
transcription factor. The Elk-1 may be one of the convergence points for pathways
located downstream of EGFR in glioblastoma cells. Utilization of the Elk-1 as a
therapeutic target may lead to a novel strategy in treatment of glioblastoma.
PMID- 22085530
TI - Oxaloacetate: a novel neuroprotective for acute ischemic stroke.
AB - It is well established that glutamate acts as an important mediator of neuronal
degeneration during cerebral ischemia. Different kind of glutamate antagonists
have been used to reduce the deleterious effects of glutamate. However, their
preclinical success failed to translate into practical treatments. Far from the
classical use of glutamate antagonists employed so far, the systemic
administration of oxaloacetate represents a novel neuroprotective strategy to
minimize the deleterious effect of glutamate in the brain tissue after ischemic
stroke. The neuroprotective effect of oxaloacetate is based on the capacity of
this molecule to reduce the brain and blood glutamate levels as a result of the
activation of the blood-resident enzyme glutamate-oxaloacetate transaminase. Here
we review the recent experimental and clinical results where it is demonstrated
the potential applicability of oxaloacetate as a novel and powerful
neuroprotective treatment against ischemic stroke.
PMID- 22085531
TI - A butyrolactone derivative suppressed lipopolysaccharide-induced autophagic
injury through inhibiting the autoregulatory loop of p8 and p53 in vascular
endothelial cells.
AB - Lipopolysaccharide (LPS)-induced vascular endothelial cell (VEC) dysfunction is
an important contributing factor in vascular diseases. Recently, we found that
LPS impaired VEC by inducing autophagy. Our previous researches showed that a
butyrolactone derivative, 3-benzyl-5-((2-nitrophenoxy) methyl)-dihydrofuran-2(3H)
one (3BDO) selectively protected VEC function. The objective of the present study
is to investigate whether and how 3BDO inhibits LPS-induced VEC autophagic
injury. Our results showed that LPS induced autophagy and led to increase of
reactive oxygen species (ROS) and decrease of mitochondrial membrane potential
(MMP) in Human umbilical vein vascular endothelial cells (HUVECs). Furthermore,
LPS significantly increased p8 and p53 protein levels and the nuclear
translocation of p53. All of these effects of LPS on HUVECs were strongly
inhibited by 3BDO. Importantly, the ROS scavenger N-acetylcysteine (NAC) could
inhibited LPS-induced autophagy and knockdown of p8 by RNA interference inhibited
the autophagy, p53 protein level increase, the translocation of p53 into nuclei
and the ROS level increase induced by LPS in HUVECs. The data suggested that 3BDO
inhibited LPS-induced autophagy in HUVECs through inhibiting the ROS
overproduction, the increase of p8 and p53 expression and the nuclear
translocation of p53. Our findings provide a potential tool for understanding the
mechanism underlying LPS-induced autophagy in HUVECs and open the door to a novel
therapeutic drug for LPS-induced vascular diseases.
PMID- 22085532
TI - Nanoscale chemical and structural study of Co-based FEBID structures by STEM-EELS
and HRTEM.
AB - Nanolithography techniques in a scanning electron microscope/focused ion beam
are very attractive tools for a number of synthetic processes, including the
fabrication of ferromagnetic nano-objects, with potential applications in
magnetic storage or magnetic sensing. One of the most versatile techniques is the
focused electron beam induced deposition, an efficient method for the production
of magnetic structures highly resolved at the nanometric scale. In this work,
this method has been applied to the controlled growth of magnetic nanostructures
using Co2(CO)8. The chemical and structural properties of these deposits have
been studied by electron energy loss spectroscopy and high-resolution
transmission electron microscopy at the nanometric scale. The obtained results
allow us to correlate the chemical and structural properties with the
functionality of these magnetic nanostructures.
PMID- 22085533
TI - Hyaluronan serum concentrations are elevated in critically ill patients and
associated with disease severity.
AB - OBJECTIVES: The matrix protein hyaluronic acid (HA, hyaluronan) has possibly
additional immune-regulatory functions in inflammation. We aimed at evaluating
serum HA concentrations in critically ill patients. DESIGN AND METHODS: We
analyzed serum HA levels in 164 critically ill patients at a medical ICU and 61
healthy controls, with respect to organ dysfunction, systemic inflammation and
mortality. RESULTS: Hyaluronan serum concentrations upon admission to ICU were
significantly elevated in critically ill patients compared to healthy controls,
with the highest levels in patients with pre-existing liver cirrhosis or sepsis.
HA levels were closely correlated with biomarkers of hepatic and renal function,
systemic inflammation, demand of treatment measures and clinical scores of
disease severity, but could not predict risk of mortality. CONCLUSIONS:
Measurement of serum HA may supplement the assessment of disease severity in ICU
patients. Our data suggest that HA might have implications in the pathogenesis of
critical illness and sepsis.
PMID- 22085534
TI - Spinal muscular atrophy: clinical validation of a single-tube multiplex real time
PCR assay for determination of SMN1 and SMN2 copy numbers.
AB - OBJECTIVES: To describe and validate a new protocol for molecular diagnosis of
spinal muscular atrophy (SMA), a frequent neuromuscular disease of childhood.
DESIGN AND METHODS: SMA is caused in most cases by homozygous deletion of the
SMN1 gene. We describe a triplex quantitative real-time PCR method in which
fragments of SMN1, SMN2 (a nearly-identical neighboring gene with markedly
reduced function) and of a control gene, CFTR, are amplified in the same tube.
RESULTS: We validated this method in three ways. First, testing the same samples
ten times yielded CV values <4.6%. Second, in 104 previously-genotyped
individuals, SMN copy numbers identical to those of the previously-determined
genotype was unambiguously obtained in all cases. Finally, results using the
technique in practice are described and analyzed for reproducibility of
amplification efficiency and for inter-run variability. CONCLUSIONS: In over 1200
samples, this technique has proven accurate, fast, economical and reproducible.
PMID- 22085535
TI - Enhancer-driven chromatin interactions during development promote escape from
silencing by a long non-coding RNA.
AB - BACKGROUND: Gene regulation in eukaryotes is a complex process entailing the
establishment of transcriptionally silent chromatin domains interspersed with
regions of active transcription. Imprinted domains consist of clusters of genes,
some of which exhibit parent-of-origin dependent monoallelic expression, while
others are biallelic. The Kcnq1 imprinted domain illustrates the complexities of
long-range regulation that coexists with local exceptions. A paternally expressed
repressive non-coding RNA, Kcnq1ot1, regulates a domain of up to 750 kb,
encompassing 14 genes. We study how the Kcnq1 gene, initially silenced by
Kcnq1ot1, undergoes tissue-specific escape from imprinting during development.
Specifically, we uncover the role of chromosome conformation during these events.
RESULTS: We show that Kcnq1 transitions from monoallelic to biallelic expression
during mid gestation in the developing heart. This transition is not associated
with the loss of methylation on the Kcnq1 promoter. However, by exploiting
chromosome conformation capture (3C) technology, we find tissue-specific and
stage-specific chromatin loops between the Kcnq1 promoter and newly identified
DNA regulatory elements. These regulatory elements showed in vitro activity in a
luciferase assay and in vivo activity in transgenic embryos. CONCLUSIONS: By
exploring the spatial organization of the Kcnq1 locus, our results reveal a novel
mechanism by which local activation of genes can override the regional silencing
effects of non-coding RNAs.
PMID- 22085536
TI - Knowledge, attitudes and practice survey about antimicrobial resistance and
prescribing among physicians in a hospital setting in Lima, Peru.
AB - BACKGROUND: Misuse of antimicrobials (AMs) and antimicrobial resistance (AMR) are
global concerns. The present study evaluated knowledge, attitudes and practices
about AMR and AM prescribing among medical doctors in two large public hospitals
in Lima, Peru, a middle-income country. METHODS: Cross-sectional study using a
self-administered questionnaire RESULTS: A total of 256 participants completed
the questionnaire (response rate 82%). Theoretical knowledge was good (mean score
of 6 +/- 1.3 on 7 questions) in contrast to poor awareness (< 33%) of local AMR
rates of key-pathogens. Participants strongly agreed that AMR is a problem
worldwide (70%) and in Peru (65%), but less in their own practice (22%). AM
overuse was perceived both for the community (96%) and the hospital settings
(90%). Patients' pressure to prescribing AMs was considered as contributing to AM
overuse in the community (72%) more than in the hospital setting (50%).
Confidence among AM prescribing was higher among attending physicians (82%)
compared to residents (30%, p < 0.001%). Sources of information considered as
very useful/useful included pocket-based AM prescribing guidelines (69%) and
internet sources (62%). Fifty seven percent of participants regarded AMs in their
hospitals to be of poor quality. Participants requested more AM prescribing
educational programs (96%) and local AM guidelines (92%). CONCLUSIONS: This
survey revealed topics to address during future AM prescribing interventions such
as dissemination of information about local AMR rates, promoting confidence in
the quality of locally available AMs, redaction and dissemination of local AM
guidelines and addressing the general public, and exploring the possibilities of
internet-based training.
PMID- 22085537
TI - Analytical determination of apparent stability constants using a copper ion
selective electrode.
AB - Copper(II) complexes of di-, tri- and tetra peptides with previously published
protonation constants were re-investigated using pH and copper ion selective
electrode (ISE) potentiometry in conjunction with a modified version of HYPERQUAD
computer program. The purpose was to demonstrate the suitability of the ISE
approach for the determination of apparent stability constants for copper(II)
complexes with ligands for which proton stability constants were not available.
The interactions of Cu(2+) with oligopeptides were also analysed using surface
enhanced laser desorption/ionisation time-of-flight mass spectrometry (SELDI-ToF
MS). The results provide an insight into the metal complex species formed, their
apparent stabilities under selected conditions and the effect of the relative
positions of certain amino acids within the peptide sequence.
PMID- 22085538
TI - Biogenic amines in the nervous system of the cockroach, Periplaneta americana
following envenomation by the jewel wasp, Ampulex compressa.
AB - The emerald jewel wasp, Ampulex compressa, exploits the American cockroach,
Periplaneta americana, as a host for its progeny. The wasp subdues the host by
stinging directly into the brain and subesophageal ganglion, inducing long-term
hypokinesia. The hypokinesic host lacks normal escape behavior and motivation to
walk, making it easy for subjugation by the wasp. The mechanism underlying
hypokinesia induction is not known, but depletion of monoamines induces behavior
resembling venom-induced hypokinesia. To test whether amine depletion occurs in
stung animals, we used high-performance liquid chromatography with
electrochemical detection (HPLC-ED) to measure quantitatively amine levels in the
central nervous system. Our data show clearly that levels of dopamine, serotonin,
octopamine and tyramine remain unchanged in stung animals, whereas animals
treated with reserpine exhibited marked depletion of all amines sampled.
Furthermore, stung animals treated with reserpine show depletion of amines,
demonstrating that envenomation also does not interfere with amine release. These
results show that hypokinesia induced by Ampulex venom does not result from amine
depletion or inability to release monoamines in the central nervous system.
PMID- 22085539
TI - Determination of fatty acids in broiler breast meat by near-infrared reflectance
spectroscopy.
AB - The aim of this study was to develop near-infrared reflectance spectroscopy
(NIRS) calibrations for determination of the fatty acids (FA) in broiler breast
meat. A total of 144 breast meat samples were freeze-dried and divided into
calibration set and validation set. Calibration models were developed for FA
including C14:0, C16:0, C16:1n-7, C18:0, C18:1n-7, C18:1n-9, C18:2n-6, C18:3n-3,
C18:3n-6, C20:0, C20:1n-9, C20:2n-6, C20:4n-6, C20:5n-3, C22:4n-6, C22:6n-3,
C24:0 and C24:1n-9. Calibration models for FA groups were also developed.
Calibrations based on the absolute FA content were more accurate than those based
on the relative composition (%). The coefficients of determination of FA and FA
groups (based on the absolute content) except C18:3n-6, C20:0, C20:2n-6 and
C24:1n-9, were between 0.86 and 0.98 for calibration, and 0.83 and 0.97 for
validation. The results indicate NIRS can be a feasible and rapid method for
determination of FA with a mean concentration over 0.10g/kg.
PMID- 22085540
TI - OmniMapFree: a unified tool to visualise and explore sequenced genomes.
AB - BACKGROUND: Acquiring and exploring whole genome sequence information for a
species under investigation is now a routine experimental approach. On most
genome browsers, typically, only the DNA sequence, EST support, motif search
results, and GO annotations are displayed. However, for many species, a growing
volume of additional experimental information is available but this is rarely
searchable within the landscape of the entire genome. * RESULTS: We have
developed a generic software which permits users to view a single genome in
entirety either within its chromosome or supercontig context within a single
window. This software permits the genome to be displayed at any scales and with
any features. Different data types and data sets are displayed onto the genome,
which have been acquired from other types of studies including classical
genetics, forward and reverse genetics, transcriptomics, proteomics and improved
annotation from alternative sources. In each display, different types of
information can be overlapped, then retrieved in the desired combinations and
scales and used in follow up analyses. The displays generated are of publication
quality. * CONCLUSIONS: OmniMapFree provides a unified, versatile and easy-to-use
software tool for studying a single genome in association with all the other
datasets and data types available for the organism.
PMID- 22085541
TI - Heterologous production and characterisation of two distinct dihaem-containing
membrane integral cytochrome b(561) enzymes from Arabidopsis thaliana in Pichia
pastoris and Escherichia coli cells.
AB - Cytochrome (cyt) b(561) proteins are dihaem-containing membrane proteins,
belonging to the CYBASC (cytochrome-b(561)-ascorbate-reducible) family, and are
proposed to be involved in ascorbate recycling and/or the facilitation of iron
absorption. Here, we present the heterologous production of two cyt b(561)
paralogs from Arabidopsis thaliana (Acytb(561)-A, Acytb(561)-B) in Escherichia
coli and Pichia pastoris, their purification, and initial characterisation.
Spectra indicated that Acytb(561)-A resembles the best characterised member of
the CYBASC family, the cytochrome b(561) from adrenomedullary chromaffin
vesicles, and that Acytb(561)-B is atypical compared to other CYBASC proteins.
Haem oxidation-reduction midpoint potential (E(M)) values were found to be fully
consistent with ascorbate oxidation activities and Fe(3+)-chelates reductase
activities. The ascorbate dependent reduction and protein stability of both
paralogs were found to be sensitive to alkaline pH values as reported for the
cytochrome b(561) from chromaffin vesicles. For both paralogs, ascorbate
dependent reduction was inhibited and the low-potential haem E(M) values were
affected significantly by incubation with diethyl pyrocarbonate (DEPC) in the
absence of ascorbate. Modification with DEPC in the presence of ascorbate left
the haem E(M) values unaltered compared to the unmodified proteins. However,
ascorbate reduction was inhibited. We concluded that the ascorbate-binding site
is located near the low-potential haem with the Fe(3+)-chelates reduction-site
close to the high-potential haem. Furthermore, inhibition of ascorbate oxidation
by DEPC treatment occurs not only by lowering the haem E(M) values but also by an
additional modification affecting ascorbate binding and/or electron transfer.
Analytical gel filtration experiments suggest that both cyt b(561) paralogs exist
as homodimers.
PMID- 22085542
TI - CNK3 and IPCEF1 produce a single protein that is required for HGF dependent Arf6
activation and migration.
AB - Epithelial cells are largely immotile under normal circumstances, but become
motile during development, repair of tissue damage and during cancer metastasis.
Numerous growth factors act to initiate epithelial cell movements. Hepatocyte
growth factor (HGF) induces many epithelial cell lines to begin crawling. A
number of small GTPases act downstream of HGF to alter cell shape and promote
movement. Arf6 is one of these GTPases that can alter the cortical actin
cytoskeleton and promote epithelial movement. Activation of Arf6 in MDCK cells by
its guanine nucleotide exchange factor cytohesin 2/ARNO produces a scattering
response strikingly reminiscent of the action of HGF. We have previously shown
that IPCEF1, a scaffold that binds to cytohesin 2, is required for cytohesin
induced scattering. We report here that IPCEF1 is actually the C-terminal half of
CNK3. CNKs are scaffolds involved in signal transduction downstream of Ras. We
have found that both MDCK and CaCo-2 cells express a fused CNK3/IPCEF1 protein.
Knockdown of this protein impairs HGF-induced Arf6 activation and migration in
response to HGF treatment.
PMID- 22085544
TI - Optical properties of exfoliated MoS2 coaxial nanotubes - analogues of graphene.
AB - We report on the first exfoliation of MoS2 coaxial nanotubes. The single-layer
flakes, as the result of exfoliation, represent the transition metal
dichalcogenides' analogue of graphene. They show a very low degree of restacking
in comparison with exfoliation of MoS2 plate-like crystals. MoS2 monolayers were
investigated by means of electron and atomic force microscopies, showing their
structure, and ultraviolet-visible spectrometry, revealing quantum confinement as
the consequence of the nanoscale size in the z-direction.
PMID- 22085543
TI - Long-term perturbation of muscle iron homeostasis following hindlimb suspension
in old rats is associated with high levels of oxidative stress and impaired
recovery from atrophy.
AB - In the present study, we investigated the effects of 7 and 14 days of re-loading
following 14-day muscle unweighting (hindlimb suspension, HS) on iron transport,
non-heme iron levels and oxidative damage in the gastrocnemius muscle of young (6
months) and old (32 months) male Fischer 344*Brown Norway rats. Our results
demonstrated that old rats had lower muscle mass, higher levels of total non-heme
iron and oxidative damage in skeletal muscle in comparison with young rats. Non
heme iron concentrations and total non-heme iron amounts were 3.4- and 2.3-fold
higher in aged rats as compared with their young counterparts, respectively.
Seven and 14 days of re-loading was associated with higher muscle weights in
young animals as compared with age-matched HS rats, but there was no difference
in muscle weights among aged HS, 7 and 14 days of re-loading rats, indicating
that aged rats may have a lower adaptability to muscle disuse and a lower
capacity to recover from muscle atrophy. Protein levels of cellular iron
transporters, such as divalent metal transport-1 (DMT1), transferrin receptor-1
(TfR1), Zip14, and ferroportin (FPN), and their mRNA abundance were determined.
TfR1 protein and mRNA levels were significantly lower in aged muscle. Seven and
14 days of re-loading were associated with higher TfR1 mRNA and protein levels in
young animals in comparison with their age-matched HS counterparts, but there was
no difference between cohorts in aged animals, suggesting adaptive responses in
the old to cope with iron deregulation. The extremely low expression of FPN in
skeletal muscle might lead to inefficient iron export in the presence of iron
overload and play a critical role in age-related iron accumulation in skeletal
muscle. Moreover, oxidative stress was much greater in the muscles of the older
animals measured as 4-hydroxy-2-nonhenal (HNE)-modified proteins and 8-oxo-7,8
dihydroguanosine levels. These markers remained fairly constant with either HS or
re-loading in young rats. In old rats, HNE-modified proteins and 8-oxo-7,8
dihydroguanosine levels were markedly higher in HS and were lower after 7 days of
recovery. However, no difference was observed following 14 days of recovery
between control and re-loading animals. In conclusion, advanced age is associated
with disruption of muscle iron metabolism which is further perturbed by disuse
and persists over a longer time period.
PMID- 22085545
TI - Cationic liposome-DNA complexes (CLDC) adjuvant enhances the immunogenicity and
cross-protective efficacy of a pre-pandemic influenza A H5N1 vaccine in mice.
AB - The development of pre-pandemic influenza A H5N1 vaccines that confer both
antigen-sparing and cross-clade protection are a high priority given the limited
worldwide capacity for influenza vaccine production, and the antigenic and
genetic heterogeneity of circulating H5N1 viruses. The inclusion of potent
adjuvants in vaccine formulations may achieve both of these aims. Here we show
that the addition of JVRS-100, an adjuvant consisting of cationic liposome-DNA
complexes (CLDC) to a clade 1-derived H5N1 split vaccine induced significantly
higher virus-specific antibody than unadjuvanted formulations, with a >30-fold
dose-sparing effect and induction of increased antigen-specific CD4(+) T-cell
responses in mice. All mice that received one dose of adjuvanted vaccine and
subsequent H5N1 viral challenges exhibited mild illness, lower lung viral titers,
undetectable spleen and brain viral titers, and 100% survival after either
homologous clade 1 or heterologous clade 2 H5N1 viral challenges, whereas
unadjuvanted vaccine recipients showed significantly increased weight loss, viral
titers, and mortality. The protective immunity induced by JVRS-100 adjuvanted
H5N1 vaccine was shown to last for over one year without significant waning.
Thus, JVRS-100 adjuvanted H5N1 vaccine elicited enhanced humoral and T-cell
responses, dose-sparing, and cross-clade protection in mice. CLDC holds promise
as an adjuvant for human pre-pandemic inactivated H5N1 vaccines.
PMID- 22085546
TI - Differential effects of monophosphoryl lipid A and cytokine cocktail as
maturation stimuli of immunogenic and tolerogenic dendritic cells for
immunotherapy.
AB - Immunotherapy using monocyte-derived dendritic cells (MDDC) is increasingly being
considered as alternative therapeutic approach in cancer, infectious diseases and
also in autoimmunity when patients are not responsive to conventional treatments.
In general, generation of MDDC from monocytes is induced in the presence of GM
CSF and IL-4, and a maturation stimulus is added to the culture to obtain mature
DCs suitable for therapy. For DC maturation, different combinations of pro
inflammatory mediators and Toll-like receptor ligands have been tested, obtaining
DCs that differ in their properties and the type of immune response they promote.
Therefore, it is necessary to find an optimal cytokine environment for DC
maturation to obtain a cellular product suitable for DC-based immunotherapeutic
protocols. In this study, we have evaluated in vitro the effects of different
maturation stimuli on the viability, phenotype, cytokine profile, stability and
functionality of immunogenic and tolerogenic (1alpha,25-dihydroxyvitamin D(3)
treated) MDDC. Maturation was induced using the clinical grade TLR4-agonist:
monophosphoryl lipid A (LA), compared to the traditional cytokine cocktail (CC;
clinical grade TNF-alpha, IL-1beta, PGE2) and a combination of both. Our results
showed the combination of CC+LA rendered a potent immunogenic DC population that
induced the production of IFN-gamma and IL-17 in allogeneic co-cultures,
suggesting a Th17 polarization. Moreover, these immunogenic DCs showed a high
surface expression of CD83, CD86, HLA-DR and secretion of IL-12p70. When aiming
to induce tolerance, using LA to generate mature TolDC did not represent a clear
advantage, and the stability and the suppressive capability exhibited by CC
matured TolDC may represent the best option. Altogether, these findings
demonstrate the relevance of an appropriate maturation stimulus to rationally
modulate the therapeutic potential of DCs in immunotherapy.
PMID- 22085547
TI - Direct and indirect impact of influenza vaccination of young children on school
absenteeism.
AB - Special mass influenza vaccination programs of elementary school-aged children
(ESAC) in some or all Maryland Counties were conducted during the falls of 2005
2007. From 3% to 46% of ESAC received live attenuated influenza vaccine during
these county programs, which were in addition to routine influenza vaccination
efforts conducted in county medical offices. Anonymous, all cause public school
absentee data for all grades was available from 11 of Maryland's 24 counties.
Binomial regression was used to estimate associations between the percentage of
children vaccinated in each county and the degree of increase in absenteeism
rates during influenza outbreaks. We estimated that, for every 20% increase in
vaccination rates for ESAC during these special programs, a 4% decrease in the
rise in absentee rates occurred during influenza outbreak periods in both
elementary and upper schools (P<0.05). These results suggest both direct and
indirect benefits of influenza vaccination of young children.
PMID- 22085548
TI - Immunogenicity and protective efficacy of a vaxfectin-adjuvanted tetravalent
dengue DNA vaccine.
AB - A prototype dengue-1 DNA vaccine was shown to be safe and immunogenic in a
previous Phase 1 clinical trial. Anti-dengue-1 neutralizing antibody responses
were detectable only in the group of volunteers receiving the high dose of
nonadjuvanted vaccine and the antibody titers were low. Vaxfectin((r)), a lipid
based adjuvant, enhances the immunogenicity of DNA vaccines. We conducted a
nonhuman primate study to evaluate the effect of Vaxfectin((r)) on the
immunogenicity of a tetravalent dengue DNA vaccine. Animals were immunized on
days 0, 28 and 84, with each immunization consisting of 3mg of Vaxfectin((r))
adjuvanted tetravalent dengue DNA vaccine. The use of Vaxfectin((r)) resulted in
a significant increase in anti-dengue neutralizing antibody responses against
dengue-1, -3 and -4. There was little to no effect on T cell responses as
measured by interferon gamma ELISPOT assay. Animals immunized with the
Vaxfectin((r))-formulated tetravalent DNA vaccine showed significant protection
against live dengue-2 virus challenge compared to control animals (0.75 mean days
of viremia vs 3.3 days). Animals vaccinated with nonadjuvanted DNA had a mean 2.0
days of viremia. These results support further evaluation of the Vaxfectin((r))
adjuvanted tetravalent dengue DNA vaccine in a Phase 1 clinical trial.
PMID- 22085549
TI - Control of tick infestations in cattle vaccinated with bacterial membranes
containing surface-exposed tick protective antigens.
AB - Vaccines containing the Rhipicephalus (Boophilus) microplus BM86 and BM95
antigens protect cattle against tick infestations. Tick subolesin (SUB),
elongation factor 1a (EF1a) and ubiquitin (UBQ) are new candidate protective
antigens for the control of cattle tick infestations. Previous studies showed
that R. microplus BM95 immunogenic peptides fused to the Anaplasma marginale
major surface protein (MSP) 1a N-terminal region (BM95-MSP1a) for presentation on
the Escherichia coli membrane were protective against R. microplus infestations
in rabbits. In this study, we extended these results by expressing SUB-MSP1a,
EF1a-MSP1a and UBQ-MSP1a fusion proteins on the E. coli membrane using this
system and demonstrating that bacterial membranes containing the chimeric
proteins BM95-MSP1a and SUB-MSP1a were protective (>60% vaccine efficacy) against
experimental R. microplus and Rhipicephalus annulatus infestations in cattle.
This system provides a novel, simple and cost-effective approach for the
production of tick protective antigens by surface display of antigenic protein
chimera on the E. coli membrane and demonstrates the possibility of using
recombinant bacterial membrane fractions in vaccine preparations to protect
cattle against tick infestations.
PMID- 22085550
TI - Impact of vaccination against Haemophilus influenzae type b with and without a
booster dose on meningitis in four South American countries.
AB - To inform World Health Organization recommendations regarding use of Haemophilus
influenzae type b (Hib) vaccines in national immunization programs, a multi
country evaluation of trends in Hib meningitis incidence and prevalence of
nasopharyngeal Hib carriage was conducted in four South American countries using
either a primary, three-dose immunization schedule without a booster dose or with
a booster dose in the second year of life. Surveillance data suggest that high
coverage of Hib conjugate vaccine sustained low incidence of Hib meningitis and
low prevalence of Hib carriage whether or not a booster dose was used.
PMID- 22085551
TI - Development of orf virus as a bifunctional recombinant vaccine: surface display
of Echinococcus granulosus antigen EG95 by fusion to membrane structural
proteins.
AB - The parapoxvirus, orf virus (ORFV) causes superficial skin lesions in infected
sheep. Unattenuated ORFV is used globally to vaccinate against orf. Recombinant
poxviruses are proven delivery systems and we investigated strategies to express
the immunogenic Echinococcus granulosus peptide EG95 from ORFV with the aim of
developing a recombinant bivalent vaccine. EG95 is an oncosphere protein of the
cestode E. granulosus, a parasite responsible for causing cystic hydatid disease
in a wide range of hosts including humans and grazing animals such as sheep.
Recombinant viruses were produced in which EG95 was expressed by itself or fused
to ORFV envelope-associated structural proteins 10 kDa and F1L. Infection studies
in sheep showed that specific antibodies were produced against ORFV and EG95 and
that the antibody levels against EG95 were comparable to that of animals
immunized with purified EG95 in Quil A adjuvant, an immunization regime that is
known to afford protection. A single exposure to the dual vaccine has potential
for protecting lambs against orf and for priming against EG95 so as to respond
strongly to a later injection of EG95 protein.
PMID- 22085552
TI - Replication and pathogenicity of attenuated human metapneumovirus F mutants in
severe combined immunodeficiency mice.
AB - This study was to evaluate the replication and pathogenicity of attenuated human
metapneumovirus (HMPV) mutants in severe combined immunodeficiency (SCID) mice.
SCID mice were intranasally infected with either wild type GFP-rHMPV (WT), or
mutant viruses (M1, M2 and M4) with the N-linked glycosylation(s) of the F
protein removed. The organs were collected for viral isolation, titration,
pulmonary histopathology and mRNA detection by PCR at different time points. WT
or mutant viruses were successfully isolated from the lungs of infected mice
after inoculation. The titers of WT and M1 peaked on 5th day and remained
detectable until 14th day post-inoculation. M2 reached approximately 4 logs lower
titer on 5th and 9th day post-inoculation as compared to WT and M1. M4 showed
similar growth kinetics to M2. Viral signal was never detected from the heart,
liver, spleen, kidney and brain on 5th day post-inoculation. The pulmonary
pathology score in the M1 infected mice was similar to WT infected mice but
higher than in M2 or M4 infected mice. WT and HMPV mutants can thus only
replicate in the lungs of SCID mice. Attenuated M2 and M4 may be considered as
candidates for the preparation of vaccine against HMPV.
PMID- 22085554
TI - HLA class II restriction of HIV-1 clade-specific neutralizing antibody responses
in ethnic Thai recipients of the RV144 prime-boost vaccine combination of ALVAC
HIV and AIDSVAX((r)) B/E.
AB - Immune responses to vaccines may be influenced or associated with allelic
variants of host genes such as those encoding human leucocyte antigens (HLA). We
have molecularly determined the HLA class II DR and DQ gene, allele and haploype
profiles in HIV-1 negative ethnic Thai recipients of an HIV-1 prime boost vaccine
regimen, designed to induce neutralizing antibody (NAb) responses to HIV-1
CRF01_AE. Non-response to vaccine associated with DRB1*11 (3/32 responders vs.
7/13 non-responders, p(c)=0.027) and DRB1*16:02 (0/32 responders vs. 4/13 non
responders, p(c)=0.078) alleles. Furthermore, vaccine recipients with HLA-DQ
heterodimers encoded by DQA1*05:01 and DQB1*03:01 alleles, were much less likely
to produce NAb (p=0.009). These data suggest that the lack of response to a
vaccine designed to induce clade-specific NAb to HIV-1 is associated with the
presence of certain HLA class II alleles and heterodimers in some Southeast
Asians.
PMID- 22085553
TI - The development and clinical evaluation of second-generation leishmaniasis
vaccines.
AB - Infection with Leishmania parasites results in a range of clinical manifestations
and outcomes. Control of Leishmania parasite transmission is extremely difficult
due to the large number of vectors and potential reservoirs, and none of the
current treatments are ideal. Vaccination could be an effective strategy to
provide sustained control. In this review, the current global situation with
regard to leishmaniasis, the immunology of Leishmania infection and various
efforts to identify second generation vaccine candidates are briefly discussed.
The variety of clinical trials conducted using the only current second generation
vaccine approved for clinical use, LEISH-F1+MPL-SE, are described. Given that
epidemiological evidence suggests that reducing the canine reservoir also
positively impacts human incidence, efforts at providing a vaccine for
leishmaniasis in dogs are highlighted. Finally, potential refinements and
surrogate markers that could expedite the introduction of a vaccine that can
limit the severity and incidence of leishmaniasis are discussed.
PMID- 22085555
TI - Direct costs of a single case of refugee-imported measles in Kentucky.
AB - BACKGROUND: Refugees are highly vulnerable populations with limited access to
health care services. The United States accepts 50,000-75,000 refugees for
resettlement annually. Despite residing in camps and other locations where
vaccine-preventable disease outbreaks, such as measles, occur frequently,
refugees are not required to have any vaccinations before they arrive in the
United States. PURPOSE: We estimated the medical and public-health response costs
of a case of measles imported into Kentucky by a refugee. METHODS: The Kentucky
Refugee Health Coordinator recorded the time and labor of local, state, and some
federal personnel involved in caring for the refugee and implementing the public
health response activities. Secondary sources were used to estimate the labor and
medical care costs of the event. RESULTS: The total costs to conduct the response
to the disease event were approximately $25,000. All costs were incurred by
government, either public health department or federal, because refugee health
costs are paid by the federal government and the event response costs are covered
by the public health department. CONCLUSION: A potentially preventable case of
measles that was imported into the United States cost approximately $25,000 for
the public health response. RECOMMENDATION: To maintain the elimination of
measles transmission in the United States, U.S.-bound refugees should be
vaccinated overseas. A refugee vaccination program administered during the
overseas health assessment has the potential to reduce the risk of importation of
measles and other vaccine-preventable disease and would eliminate costs
associated with public health response to imported cases and outbreaks.
PMID- 22085556
TI - New insights in mucosal vaccine development.
AB - Mucosal surfaces are the major entrance for infectious pathogens and therefore
mucosal immune responses serve as a first line of defence. Most current
immunization procedures are obtained by parenteral injection and only few
vaccines are administered by mucosal route, because of its low efficiency.
However, targeting of mucosal compartments to induce protective immunity at both
mucosal sites and systemic level represents a great challenge. Major efforts are
made to develop new mucosal candidate vaccines by selecting appropriate antigens
with high immunogenicity, designing new mucosal routes of administration and
selecting immune-stimulatory adjuvant molecules. The aim of mucosal vaccines is
to induce broad potent protective immunity by specific neutralizing antibodies at
mucosal surfaces and by induction of cellular immunity. Moreover, an efficient
mucosal vaccine would make immunization procedures easier and be better suited
for mass administration. This review focuses on contemporary developments of
mucosal vaccination approaches using different routes of administration.
PMID- 22085557
TI - Rabies vaccination for international travelers.
AB - Rabies prevention in travelers is a controversial issue. According to experts,
the decision to vaccinate results from an individual risk assessment based on the
duration of stay, the likelihood of engagement in at-risk activities, the age of
the traveler, the rabies endemicity and access to appropriate medical care in the
country of destination. However, no detailed information is available regarding
the last two determinants in many regions. Twenty-two cases of rabies were
reported in tourists, expatriates and migrant travelers over the last decade,
including three cases following short-term travel of no more than two weeks.
Studies on rabies post-exposure prophylaxis (PEP) in travelers show that overall,
0.4% (range 0.01-2.3%) of travelers have experienced an at-risk bite per month of
stay in a rabies-endemic country, while 31% of expatriates and 12% of tourists
were vaccinated against rabies before traveling. The main reason cited by
travelers for not being vaccinated is the cost of the vaccine. The majority of
patients who sustained a high risk injury was not vaccinated against rabies
before traveling and were not properly treated abroad. From available studies,
the following risk factors for injuries sustained from potentially rabid animals
may be identified: traveling to South-East Asia, India or North Africa, young
age, and traveling for tourism. The duration of travel does not appear to be a
risk factor. It should be noted that "at-risk activities" have not been addressed
in these studies. Detailed rabies distribution maps and information on the
availability of rabies biologics are urgently needed in order to identify those
travelers who need pre-travel vaccination. Meanwhile, cost-minimization of rabies
pre-exposure vaccination may be achieved in several ways, notably by using the
intra-dermal method of vaccination.
PMID- 22085558
TI - Mechanisms of endometrial progesterone resistance.
AB - Throughout the reproductive years, the rise and fall in ovarian hormones elicit
in the endometrium waves of cell proliferation, differentiation, recruitment of
inflammatory cells, apoptosis, tissue breakdown and regeneration. The activated
progesterone receptor, a member of the superfamily of ligand-dependent
transcription factors, is the master regulator of this intense tissue remodelling
process in the uterus. Its activity is tightly regulated by interaction with cell
specific transcription factors and coregulators as well as by specific
posttranslational modifications that respond dynamically to a variety of
environmental and inflammatory signals. Endometriosis, a chronic inflammatory
disorder, disrupts coordinated progesterone responses throughout the reproductive
tract, including in the endometrium. This phenomenon is increasingly referred to
as 'progesterone resistance'. Emerging evidence suggests that progesterone
resistance in endometriosis is not just a consequence of perturbed progesterone
signal transduction caused by chronic inflammation but associated with epigenetic
chromatin changes that determine the intrinsic responsiveness of endometrial
cells to differentiation cues.
PMID- 22085559
TI - New paradigms in cAMP signalling.
AB - Signalling through adenosine 3'5' monophosphate (cAMP) is known to be important
in virtually every cell. The mapping of the human genome over the past two
decades has revealed an unexpected complexity of cAMP signalling, which is shared
from insects to mammals. A more recent technical advance is the ability to
monitor intracellular cAMP levels at subcellular spatial resolution within the
time-domains of fast biochemical reactions. Thus, new light has been shed on old
paradigms, some of which turn out to be multiple new ones. The novel aspects of
cAMP signalling are highlighted here: (1) agonist induced plasticity - showing
how the repertory of cAMP signalling genes supports homeostatic adaptation; (2)
sustained cAMP signalling after endocytosis; (3) pre-assembled receptor-Gs
adenylyl cyclase complexes. Finally, a hypothetical model of propagating neuronal
cAMP signals travelling form dendrites to the cell body is presented.
PMID- 22085560
TI - 4-Hydroxynonenal differentially regulates adiponectin gene expression and
secretion via activating PPARgamma and accelerating ubiquitin-proteasome
degradation.
AB - Although well-established, the underlying mechanisms involved in obesity-related
plasma adiponectin decline remain elusive. Oxidative stress is associated with
obesity and insulin resistance and considered to contribute to the progression
toward obesity-related metabolic disorders. In this study, we investigated the
effects of 4-hydroxynonenal (4-HNE), the most abundant lipid peroxidation end
product, on adiponectin production and its potential implication in obesity
related adiponectin decrease. Long-term high-fat diet feeding led to obesity in
mouse, accompanied by decreased plasma adiponectin and increased adipose tissue 4
HNE content. Exposure of adipocytes to exogenous 4-HNE resulted in decreased
adiponectin secretion in a dose-dependent manner, which was consistent with
significantly decreased intracellular adiponectin protein abundance. In contrast,
adiponectin gene expression was significantly elevated by 4-HNE treatment, which
was concomitant with increased peroxisome proliferator-activated receptor gamma
(PPAR-gamma) gene expression and transactivity. The effect was abolished by
T0070907, a PPAR-gamma antagonist, suggesting that PPAR-gamma activation plays a
critical role in this process. To gain insight into mechanisms involved in
adiponectin protein decrease, we examined the effects of 4-HNE on adiponectin
protein degradation. Cycloheximide (CHX)-chase assay revealed that 4-HNE exposure
accelerated adiponectin protein degradation, which was prevented by MG132, a
potent proteasome inhibitor. Immunoprecipitation assay showed that 4-HNE exposure
increased ubiquitinated adiponectin protein levels. These data altogether
indicated that 4-HNE enhanced adiponectin protein degradation via ubiquitin
proteasome system. Finally, we demonstrated that supplementation of HF diet with
betaine, an antioxidant and methyl donor, alleviated high-fat-induced adipose
tissue 4-HNE increase and attenuated plasma adiponectin decline. Taken together,
our findings suggest that the lipid peroxidation product 4-HNE can differentially
regulates adiponectin gene expression and protein abundance and may play a
mechanistic role in obesity-related plasma adiponectin decline.
PMID- 22085562
TI - [A woman with 'year rings' on her nails].
AB - A 50-year-old woman developed Mees' lines on her nails after chemotherapy for
infiltrating lobulair carcinoma of the breast.
PMID- 22085561
TI - Characterization of highly efficient heavy-ion mutagenesis in Arabidopsis
thaliana.
AB - BACKGROUND: Heavy-ion mutagenesis is recognised as a powerful technology to
generate new mutants, especially in higher plants. Heavy-ion beams show high
linear energy transfer (LET) and thus more effectively induce DNA double-strand
breaks than other mutagenic techniques. Previously, we determined the most
effective heavy-ion LET (LETmax: 30.0 keV MUm(-1)) for Arabidopsis mutagenesis by
analysing the effect of LET on mutation induction. However, the molecular
structure of mutated DNA induced by heavy ions with LETmax remains unclear.
Knowledge of the structure of mutated DNA will contribute to the effective
exploitation of heavy-ion beam mutagenesis. RESULTS: Dry Arabidopsis thaliana
seeds were irradiated with carbon (C) ions with LETmax at a dose of 400 Gy and
with LET of 22.5 keV MUm(-1) at doses of 250 Gy or 450 Gy. The effects on
mutation frequency and alteration of DNA structure were compared. To characterise
the structure of mutated DNA, we screened the well-characterised mutants
elongated hypocotyls (hy) and glabrous (gl) and identified mutated DNA among the
resulting mutants by high-resolution melting curve, PCR and sequencing analyses.
The mutation frequency induced by C ions with LETmax was two-fold higher than
that with 22.5 keV MUm(-1) and similar to the mutation frequency previously
induced by ethyl methane sulfonate. We identified the structure of 22 mutated
DNAs. Over 80% of the mutations caused by C ions with both LETs were base
substitutions or deletions/insertions of less than 100 bp. The other mutations
involved large rearrangements. CONCLUSIONS: The C ions with LETmax showed high
mutation efficiency and predominantly induced base substitutions or small
deletions/insertions, most of which were null mutations. These small alterations
can be determined by single-nucleotide polymorphism (SNP) detection systems.
Therefore, C ions with LETmax might be useful as a highly efficient reverse
genetic system in conjunction with SNP detection systems, and will be beneficial
for forward genetics and plant breeding.
PMID- 22085564
TI - [Measuring quality of life in every oncological patient].
AB - There are indications that in cancer patients quality of life is a better
predictor of survival than clinical measures such as tumour response and stage of
disease. In addition, health care professionals' expectations about the effect of
a particular treatment on quality of life often do not correspond with the
experience of the patient. These are all reasons for every oncological patient to
complete a short questionnaire on quality of life. Using this questionnaire can
improve communication between care provider and patient, and also give the care
provider insight into the problems that are important to the patient at that
time. This insight could subsequently lead to counseling tailored to the patient,
and, if necessary, modification of treatment or referral for supportive care. A
second aim is to link information on quality of life to clinical pathways and
treatment guidelines.
PMID- 22085565
TI - [Scalp cooling for chemotherapy-induced alopecia].
AB - Alopecia is a very common side effect of cytostatic therapy and is considered one
of the most emotionally distressing effects. To prevent alopecia scalp cooling is
currently used in some indications in medical oncology in 59 hospitals in the
Netherlands. The success of scalp cooling depends on various factors such as type
of chemotherapy, dose, infusion time, number of treatment cycles and combinations
of drugs. In general, scalp cooling is well tolerated. The reported side-effects
are headache, coldness, dizziness and sometimes claustrophobia. An increase in
the risk of scalp metastases has not been demonstrated. Proceeding from the South
Netherlands Comprehensive Cancer Centre a national working group is put together
in order to draw up a national guideline for chemotherapy-induced alopecia.
PMID- 22085566
TI - [Issues around diffuse glioma].
AB - Progress in treating diffuse gliomas remains limited and is principally concerned
with the confirmation that cystostatics as nitrosureum derivatives and
temozolomide play a role in the treatment of these tumours. At molecular level,
diffuse gliomas are a heterogeneous group of tumours. This seems to be an
important explanation of why so far no important breakthrough in the treatment of
these tumours has been achieved. It is thought that abnormal activation of
various molecular signal systems working in parallel in the tumour cell are an
important cause of the absence of efficacy of the targeted agents; the inhibition
of only one target appears inadequate.
PMID- 22085567
TI - [Centralising cancer treatment: a good idea].
AB - The complexity of diagnosis and treatment for common cancers is rapidly
increasing due to multimodality treatment options, advanced imaging, molecular
pathology and 'personalized medicine'. To achieve the best chances for cure,
treatment centres need to invest in highly trained personnel, including all the
necessary diagnostic and therapeutic subspecialists, and in high-tech facilities.
In the Netherlands, many patients receive care in community hospitals that lack
key members of a treatment team (e.g. the radiotherapist). Such teams may depend
on weekly or biweekly cancer conferences with external experts to arrive at
patient-management decisions. It is recommended that such hospitals either
upgrade their teams and facilities or refer their patients to a hospital that has
an established cancer centre.
PMID- 22085568
TI - [Issues around melanoma].
AB - Over the past 30 years little progress has been made in the treatment of patients
with a metastatic melanoma. Recently there have been two new developments. One of
these is ipilimumab, a monoclonal antibody that blocks the function of the
protein cytotoxic T lymphocyte-associated antigen 4 (CTLA4) which inhibits
activated T lymphocytes. This gives the immune system a chance to build up an
immune response to the melanoma. The other development is vemurafenib, a small
molecule that inhibits a mutated protein (BRAF) that occurs in many melanomas.
The BRAF mutation leads to uninhibited proliferation.
PMID- 22085569
TI - [Centralising cancer treatment: there are better ways].
AB - The Netherlands is strongly leaning towards treating cancer patients at a limited
number of hospitals. This approach has been poorly investigated and there is
little evidence that the quality of care and the outcome of treatment in the
Dutch system are related to the size of the institute. Oncological care is
getting more and more complicated and requires a certain scale, but the formation
of networks offers more possibilities than centralisation. Technical developments
may offer alternatives to centralisation. In addition, care given closer to home
to an increasingly older patient population is very valuable. Comorbidity is
another reason to provide care at a general hospital in close cooperation with
general practitioners. Strong ties with a university clinic is an important
requirement for such a network to work well.
PMID- 22085570
TI - [Moritz Kaposi and his sarcoma].
AB - Nowadays, Kaposi sarcoma is a multidisciplinary condition, not only observed by
dermatologists. Since the HIV epidemic in the 80s and 90s of the last century,
more insight into the aetiology of Kaposi sarcoma has been acquired. However,
this sarcoma had already been described in 1872 by a Hungarian dermatologist
named Moritz Kaposi (1832-1902). Kaposi described the entity as 'idiopathic
multiple pigmented sarcoma of the skin'. This entity was an extraordinary
diagnosis at that time, mostly observed in Jewish or Mediterranean men. In 1912,
10 years after the death of Moritz Kaposi, the entity name was changed to Kaposi
sarcoma.
PMID- 22085571
TI - [Adjuvant cancer treatment: what benefit does the patient consider worthwhile?].
AB - Adjuvant treatments can be added to primary curative cancer treatments to
increase the probability of survival. However, these treatments have side
effects. Research into what additional probability of survival cancer patients
require from an adjuvant treatment before they consider it worthwhile, has been
carried out in hypothetical situations. The published literature on this subject
shows that the additional benefit which patients expect is small. Yet, variation
in patient preferences within studies is large. Preferences regarding additional
benefit are not consistently associated with patient socio-demographic or disease
characteristics. It is very likely that new patients who face the choice of
adjuvant treatment will greatly differ from each other in the benefit that they
require from it. In order to give individualized recommendations, specialists
need to ask the patient which benefits and disadvantages he or she considers to
be important.
PMID- 22085572
TI - [A spontaneously resolving T-cell lymphoma].
AB - BACKGROUND: Primary cutaneous CD30+ anaplastic large-cell lymphoma is a rare form
of non-Hodgkin's lymphoma, which is restricted to the skin. CASE DESCRIPTION: A
50-year-old man presented with an asymptomatic tumorous area in the groin that
had appeared within the previous week, and a longer history of a transient red,
flaking area in the popliteal space. Histopathological findings were consistent
with CD30+ anaplastic large-cell lymphoma. Staging demonstrated no extracutanous
spread. The skin lesions disappeared without treatment within 3 months.
CONCLUSION: Primary cutaneous CD30+ anaplastic large-cell lymphoma is a rare
condition. It has a histopathological picture of a diffuse proliferation of large
atypical anaplastic cells, suggesting an unfavourable disease course. However,
this condition is characterised by a indolent course and favourable prognosis
since spontaneous complete regression can occur.
PMID- 22085573
TI - [Responsible cancer screening].
AB - In the Netherlands, national screening programs for breast and cervical cancer
are operating, whilst that for colorectal cancer is in preparation. In the
meantime, experimental studies have been conducted into the effectiveness of
prostate and lung cancer screening. Death from these five types of cancer is
reduced by these screening investigations. However, these screening programmes
also have disadvantages, such as unnecessary referral for definitive diagnosis in
the hospital. The average hospital would receive on a yearly basis via screening
156 referrals of women with breast cancer, 79 for cervical cancer and nearly 1100
persons for colorectal cancer. n average general practice encounters annually 3
positive screening results for breast cancer, almost 1 referral for cervical
carcinoma or an early stage thereof, and every two years a patient with CIN III.
For colorectal cancer around 22 referrals can be expected yearly, of which 8 will
have adenoma or cancer.
PMID- 22085574
TI - [A man with blisters on hand and feet].
AB - A 41-year-old man with metastases of a gastrointestinal stroma tumour was treated
with an angiogenesis inhibitor. He presented with a distinct painful erythematous
hyperkeratotic bullous hand-foot skin reaction. This was thought to be caused by
the oral angiogenesis inhibitor and resolved after discontinuation of the
therapy. This is a known adverse effect of angiogenesis inhibitors and is dose
dependent.
PMID- 22085575
TI - [New 'targeted therapy' for lung cancer].
AB - Patients with non-small cell lung cancer with specific mutations for which so
called 'targeted therapy' is available are likely to have a favourable tumour
response. The first patient, a man aged 64 with an activating epidermal growth
factor receptor (EGFR) mutation, had a longstanding tumour response on erlotinib,
an EGFR tyrosine kinase inhibitor (TKI). After 16 months on treatment, there was
still no progression of the disease. The next patient, a woman aged 78, also
responded favourably to erlotinib After 2.5 years she discontinued the medication
and the disease recurred. Remission was induced again with the use of erlotinib,
but the recovery was of short duration and she died a few months later. A third
patient, a 66-year-old man, developed resistance to erlotinib due to a T790M
mutation in the EGFR protein. He responded well to a combination of the
irreversible EGFR-TKI afatinib and the antibody to EGFR, cetuximab. The final
patient, a 47-year-old woman, had an EML4-ALK translocation and responded
remarkably well to an ALK inhibitor, crozotinib. Mutation analysis should be
carried out in all patients with metastatic adenocarcinoma or large cell lung
cancer, specifically for genes for which a targeted therapy is already available.
PMID- 22085576
TI - [Targeted therapy: the benefit of new oncological tests].
AB - An increasing number of targeted drug treatments are becoming available for many
types of cancer. There is a great need for adequate biomarkers that can predict
the effect of targeted therapy in individual cancer patients, in order to
determine the correct oncological treatment per patient. This way, non-effective
treatments can be spared, side-effects avoided, and costs reduced. Oestrogen
receptor (ER) and the human epidermal growth factor receptor 2 (HER2) are
examples of standardized tests for breast cancer that have been validated in
randomised studies. Data from randomised studies is also expected for gene
expression profiles that correlate with tumour growth. Quantifying the predictive
value of tests for anticipated treatment effects is costly and time-consuming.
Given the increasing availability of targeted agents and diagnostic and
prognostic techniques, alternative clinical study designs that can lead to
quicker and more efficient verification are being sought in many different
domains.
PMID- 22085577
TI - [Issues around testicular carcinoma].
AB - Testicular carcinoma is a rare tumour but the most frequently occurring form of
cancer in men aged 18-35 years. In metastatic disease, following orchidectomy
three or four courses of combination chemotherapy with cisplatin are given. With
a general chance of cure of 80-90%, testicular cancer is still regarded as a
model for a form of cancer that is curable. Due to this favourable prognosis--and
to its rising incidence--the group of people who survive testicular cancer is
growing and more attention is being paid to the risk of adverse consequences of
treatment: secondary tumours and cardiovascular morbidity. Shared care follow-up
for testicular cancer survivors with participation of both primary and secondary
care is currently developed.
PMID- 22085578
TI - [A woman with palmar and plantar hyperpigmentation].
AB - A 60-year-old Ghanese woman was treated with radiotherapy and capecitabine for
metastatic breast cancer. 6 weeks after starting capecitabine she developed
palmar and plantar hyperpigmentation, which preceded symptoms of hand-foot
syndrome, a known adverse effect of capecitabine. After a dose reduction, the
hand-foot syndrome diminished but the hyperpigmentation remained. 8 months later
the patient was well and stable.
PMID- 22085579
TI - [Follow-up in oncology: focused on the patient and the disease].
AB - Follow-up in oncology primarily encompasses medical technical examinations of
patients following treatment for cancer. The term "aftercare" more accurately
represents which approach should be taken after completion of cancer treatment:
not only medical technical care, but fulfilment of care needs that result from
the disease and its treatment. For each patient an individual aftercare plan
should be put in place, which fulfils the 3 goals of aftercare: psycho-social and
medical care, early diagnosis of recurrent disease or new primary disease
activity if such early diagnosis bears clinical relevance, and medical audit.
Involving patients in this decision-making process is generally limited in daily
practice. The way in which the individual patient's aftercare is carried out, is
still a scientific challenge. It is clear, however, that nothing about this is
"standard".
PMID- 22085580
TI - ['Clinical auditing', a novel tool for quality assessment in surgical oncology].
AB - OBJECTIVE: To determine whether systematic audit and feedback of information
about the process and outcomes improve the quality of surgical care. DESIGN:
Systematic literature review. METHOD: Embase, PubMed, and Web of Science
databases were searched for publications on 'quality assessment' and 'surgery'.
The references of the publications found were examined as well. Publications were
included in the review if the effect of auditing on the quality of surgical care
had been investigated. RESULTS: In the databases 2415 publications were found.
After selection, 28 publications describing the effect of auditing, whether or
not combined with a quality improvement project, on guideline adherence or
indications of outcomes of care were included. In 21 studies, a statistically
significant positive effect of auditing was reported. In 5 studies a positive
effect was found, but this was either not significant or statistical significance
was not determined. In 2 studies no effect was observed. 5 studies compared the
combination of auditing with a quality improvement project with auditing alone; 4
of these reported an additional effect of the quality improvement project.
CONCLUSION: Audit and feedback of quality information seem to have a positive
effect on the quality of surgical care. The use of quality information from
audits for the purpose of a quality improvement project can enhance the positive
effect of the audit.
PMID- 22085581
TI - [Aftercare in oncology--greater role for the general practitioner].
AB - Patients who undergo curative treatment for cancer continue to be medically
monitored for a number of years. Scheduled aftercare, mainly aimed at early
detection of locoregional cancer recurrences, distant metastases and secondary
primary tumours, takes place chiefly in the second line, but is expected to shift
to the first line. Figures from the Nijmegen Continuous Morbidity Registration
show that an average (Dutch) general practitioner, caring for about 2500
patients, has in his practice 90 patients who have or have had cancer. This
number will increase due to the increasing prevalence of cancer. In addition,
extra consultations for each cancer patient will increase the demand for GP care.
PMID- 22085582
TI - [Images of cancer: metaphors in the literature].
AB - Metaphors of cancer were once rejected by Susan Sontag, because their existence
slandered the patient. There are a number of very common metaphors about cancer
and the question raised is as to whether forbidding metaphors is solving the
problem Susan Sontag put to the fore. We conclude that getting rid of metaphors
also makes it impossible for patients to use metaphors to feel better.
PMID- 22085583
TI - The effect of the diterpene 5-epi-icetexone on the cell cycle of Trypanosoma
cruzi.
AB - Numerous natural compounds have been used against Trypanosoma cruzi, the
causative agent of Chagas' disease. Here, we studied the effect of the diterpene
5-epi-icetexone on growth and morphology of parasites synchronized with
hydroxyurea, at different periods of time after removal of the nucleotide. We
observed that the diterpene does not affect the growth of the parasites when
added within 10 h after removal of hydroxyurea, but the compound was effective on
growth when added to the cultures after 12 h. Thymidine incorporation was
somewhat inhibited when the diterpene was added at 12 h after removal of
hydroxyurea, possibly on the transition S/G2. Using transmission electron
microscopy we observed that the diterpene induced a delay in the progression of
cell division. We conclude that the compound, at cytostatic dose, affects the
cell cycle of T. cruzi, possibly in the transition S/G2 phase and cell division.
Further studies will focus to identify the molecular targets for the action of 5
epi-icetexone.
PMID- 22085584
TI - Phylogenetic relationships amongst Chloromyxum Mingazzini, 1890 (Myxozoa:
Myxosporea), and the description of six novel species from Australian
elasmobranchs.
AB - Six novel species of Chloromyxum Mingazzini, 1890 are described using a whole
evidence approach combining morphometric and molecular data, together with
features of their biology. Elasmobranchs were collected in Australian waters,
from the Great Barrier Reef, Queensland, off Lizard and Heron Islands; from
Moreton Bay, southeast Queensland; off Hobart, Tasmania; and from the Tamar
River, Launceston, Tasmania. The novel species proposed here are: Chloromyxum
hemiscyllii n.sp. from Hemiscyllium ocellatum; Chloromyxum kuhlii n.sp. from
Neotrygon kuhlii; Chloromyxum lesteri n.sp. from Cephaloscyllium laticeps;
Chloromyxum mingazzinii n.sp. from Pristiophorus nudipinnis; Chloromyxum
myliobati n.sp. from Myliobatis australis; and Chloromyxum squali n.sp. from
Squalus acanthias. A seventh species from Squalus acanthias is also reported but
due to limited material is not formally described. Molecular phylogenetic
analyses revealed that the genus Chloromyxum is polyphyletic, and species from
elasmobranchs form a well-supported sister clade, with the type species
Chloromyxum leydigi, to all other congeneric species clustering within the
freshwater myxosporean clade. Morphological analysis showed that elasmobranch
infecting species are predominantly pyriform shaped, have clearly thickened spore
apex and possess caudal filaments, compared to other Chloromyxum species which
are generally spherical or subspherical, and lack caudal filaments. These
morphological and phylogenetic data provide further support for the erection of
new genera, but we conservatively consider the species described in this study
and other elasmobranch-infecting Chloromyxum species as Chloromyxum sensu
strictu, whilst the freshwater teleost infecting and amphibian infecting species
we will assign as Chloromyxum sensu lato, until more comprehensive data are
available.
PMID- 22085585
TI - Team behaviors in emergency care: a qualitative study using behavior analysis of
what makes team work.
AB - OBJECTIVE: Teamwork has been suggested as a promising approach to improving care
processes in emergency departments (ED). However, for teamwork to yield expected
results, implementation must involve behavior changes. The aim of this study is
to use behavior analysis to qualitatively examine how teamwork plays out in
practice and to understand eventual discrepancies between planned and actual
behaviors. METHODS: The study was set in a Swedish university hospital ED during
the initial phase of implementation of teamwork. The intervention focused on
changing the environment and redesigning the work process to enable teamwork.
Each team was responsible for entire care episodes, i.e. from patient arrival to
discharge from the ED. Data was collected through 3 days of observations
structured around an observation scheme. Behavior analysis was used to pinpoint
key teamwork behaviors for consistent implementation of teamwork and to analyze
the contingencies that decreased or increased the likelihood of these behaviors.
RESULTS: We found a great discrepancy between the planned and the observed
teamwork processes. 60% of the 44 team patients observed were handled solely by
the appointed team members. Only 36% of the observed patient care processes
started according to the description in the planned teamwork process, that is,
with taking patient history together. Beside this behavior, meeting in a defined
team room and communicating with team members were shown to be essential for the
consistent implementation of teamwork. Factors that decreased the likelihood of
these key behaviors included waiting for other team members or having trouble
locating each other. Getting work done without delay and having an overview of
the patient care process increased team behaviors. Moreover, explicit
instructions on when team members should interact and communicate increased
adherence to the planned process. CONCLUSIONS: This study illustrates how
behavior analysis can be used to understand discrepancies between planned and
observed behaviors. By examining the contextual conditions that may influence
behaviors, improvements in implementation strategies can be suggested. Thereby,
the adherence to a planned intervention can be improved, and/or revisions of the
intervention be suggested.
PMID- 22085588
TI - The systemic response to brain injury and disease.
AB - The idea that the brain is immunologically privileged and displays an atypical
leukocyte recruitment profile following injury has influenced our ideas about how
signals might be carried between brain and the periphery. For many, this has
encouraged a cerebrocentric view of immunological responses to CNS injury, with
little reference to the potential contribution from other organs. However, it is
clear that bidirectional pathways between the brain and the peripheral immune
system are important in the pathogenesis of CNS disease. In recent years, we have
begun to understand the signals that are carried to the periphery and discovered
new functions for known chemokines, made by the liver in response to brain
injury, as important regulators of the CNS inflammatory response.
PMID- 22085587
TI - Long term adequate n-3 polyunsaturated fatty acid diet protects from depressive
like behavior but not from working memory disruption and brain cytokine
expression in aged mice.
AB - Converging epidemiological studies suggest that dietary essential n-3
polyunsaturated fatty acid (PUFA) are likely to be involved in the pathogenesis
of mood and cognitive disorders linked to aging. The question arises as to
whether the decreased prevalence of these symptoms in the elderly with high n-3
PUFA consumption is also associated with improved central inflammation, i.e.
cytokine activation, in the brain. To answer this, we measured memory performance
and emotional behavior as well as cytokine synthesis and PUFA level in the spleen
and the cortex of adult and aged mice submitted to a diet with an adequate supply
of n-3 PUFA in form of alpha-linolenic acid (alpha-LNA) or a n-3 deficient diet.
Our results show that docosahexaenoic acid (DHA), the main n-3 PUFA in the brain,
was higher in the spleen and cortex of n-3 adequate mice relative to n-3
deficient mice and this difference was maintained throughout life. Interestingly,
high level of brain DHA was associated with a decrease in depressive-like
symptoms throughout aging. On the opposite, spatial memory was maintained in
adult but not in aged n-3 adequate mice relative to n-3 deficient mice.
Furthermore, increased interleukin-6 (IL-6) and decreased IL-10 expression were
found in the cortex of aged mice independently of the diets. All together, our
results suggest that n-3 PUFA dietary supply in the form of alpha-LNA is
sufficient to protect from deficits in emotional behavior but not from memory
disruption and brain proinflammatory cytokine expression linked to age.
PMID- 22085590
TI - A probabilistic risk assessment approach used to prioritize chemical constituents
in mainstream smoke of cigarettes sold in China.
AB - The chemical and physical complexity of cigarette mainstream smoke (MSS) presents
a challenge in the understanding of risk for smoking-related diseases.
Quantitative risk assessment is a useful tool for assessing the toxicological
risks that may be presented by smoking currently available commercial cigarettes.
In this study, yields of a selected group of chemical constituents were
quantified in machine-generated MSS from 30 brands of cigarettes sold in China.
Using constituent yields, exposure estimates specific to and representative of
the Chinese population, and available dose-response data, a Monte Carlo method
was applied to simulate probability distributions for incremental lifetime cancer
risk (ILCR), hazard quotient (HQ), and margin of exposure (MOE) values for each
constituent as appropriate. Measures of central tendency were extracted from the
outcome distributions and constituents were ranked according to these three risk
assessment indices. The constituents for which ILCR >10(-4), HQ >1, and MOE
<10,000 included acetaldehyde, acrylonitrile, benzene, cadmium, formaldehyde, and
pyridine. While limitations exist to this methodology in estimating the absolute
magnitude of health risk contributed by each MSS constituent, this approach
provides a plausible and objective framework for the prioritization of toxicants
in cigarette smoke and is valuable in guiding tobacco risk management.
PMID- 22085589
TI - Regulatory assessment of in vitro skin corrosion and irritation data within the
European framework: Workshop recommendations.
AB - Validated in vitro methods for skin corrosion and irritation were adopted by the
OECD and by the European Union during the last decade. In the EU, Switzerland and
countries adopting the EU legislation, these assays may allow the full
replacement of animal testing for identifying and classifying compounds as skin
corrosives, skin irritants, and non irritants. In order to develop harmonised
recommendations on the use of in vitro data for regulatory assessment purposes
within the European framework, a workshop was organized by the Swiss Federal
Office of Public Health together with ECVAM and the BfR. It comprised
stakeholders from various European countries involved in the process from in
vitro testing to the regulatory assessment of in vitro data. Discussions
addressed the following questions: (1) the information requirements considered
useful for regulatory assessment; (2) the applicability of in vitro skin
corrosion data to assign the corrosive subcategories as implemented by the EU
Classification, Labelling and Packaging Regulation; (3) the applicability of
testing strategies for determining skin corrosion and irritation hazards; and (4)
the applicability of the adopted in vitro assays to test mixtures, preparations
and dilutions. Overall, a number of agreements and recommendations were achieved
in order to clarify and facilitate the assessment and use of in vitro data from
regulatory accepted methods, and ultimately help regulators and scientists facing
with the new in vitro approaches to evaluate skin irritation and corrosion
hazards and risks without animal data.
PMID- 22085591
TI - Safety assessment of the commensal strain Bacteroides xylanisolvens DSM 23964.
AB - We recently isolated and characterized the new strain Bacteroides xylanisolvens
DSM 23964 and presented it as potential candidate for the first natural probiotic
strain of the genus Bacteroides. In order to evaluate the safety of this strain
for use in food, the following standard toxicity assays were conducted with this
strain in both viable and pasteurized form: in vitro bacterial reverse mutation
assay, in vitro chromosomal aberration assay, and 90day subchronic repeated oral
toxicity studies in mice. No mutagenic, clastogenic, or toxic effects were
detected even at extremely high doses. In addition, no clinical, hematological,
ophthalmological, or histopathological abnormality could be observed after
necropsy at any of the doses tested. Hence, the NOAEL could be estimated to be
greater than 2.3*10(11) CFUs, and 2.3*10(14) for pasteurized bacteria calculated
as equivalent for an average 70kg human being. In addition, the absence of any in
vivo pathogenic properties of viable B. xylanisolvens DSM 23964 cells was
confirmed by means of an intraperitoneal abscess formation model in mice which
also demonstrated that the bacteria are easily eradicated by the host's immune
system. The obtained results support the assumed safety of B. xylanisolvens DSM
23964 for use in food.
PMID- 22085592
TI - Targeting microRNAs in neurons: tools and perspectives.
AB - In the past few years, the understanding of microRNA (miRNA) biogenesis, the
molecular mechanisms by which miRNAs regulate gene expression, and the functional
roles of miRNAs has been expanded. Interestingly, numerous miRNAs are expressed
in a spatially and temporally controlled manner in the nervous system, suggesting
that their post-transcriptional regulation may be particularly relevant in neural
development and function. miRNA studies in neurobiology have shown their
involvement in synaptic plasticity and brain diseases. Approaches for
manipulating miRNA levels in neuronal cells in vitro and in vivo are described
here. Recent applications of miRNA antisense oligonucleotides, miRNA gene
knockout and miRNA sponges in neuronal cells are reviewed. Finally, miRNA-based
therapies for neurological pathologies related to alterations in miRNA functions
are discussed.
PMID- 22085593
TI - Development of yeast cell factories for consolidated bioprocessing of
lignocellulose to bioethanol through cell surface engineering.
AB - To build an energy and material secure future, a next generation of renewable
fuels produced from lignocellulosic biomass is required. Although lignocellulosic
biomass, which represents an abundant, inexpensive and renewable source for
bioethanol production, is of great interest as a feedstock, the complicated
ethanol production processes involved make the cost of producing bioethanol from
it higher compared to corn starch and cane juice. Therefore, consolidated
bioprocessing (CBP), which combines enzyme production, saccharification and
fermentation in a single step, has gained increased recognition as a potential
bioethanol production system. CBP requires a highly engineered microorganism
developed for several different process-specific characteristics. The dominant
strategy for engineering a CBP biocatalyst is to express multiple components of a
cellulolytic system from either fungi or bacteria in the yeast Saccharomyces
cerevisiae. The development of recombinant yeast strains displaying cellulases
and hemicellulases on the cell surface represents significant progress toward
realization of CBP. Regardless of the process used for biomass hydrolysis, CBP
enabling microorganisms encounter a variety of toxic compounds produced during
biomass pretreatment that inhibit microbial growth and ethanol yield. Systems
biology approaches including disruptome screening, transcriptomics, and
metabolomics have been recently exploited to gain insight into the molecular and
genetic traits involved in tolerance and adaptation to the fermentation
inhibitors. In this review, we focus on recent advances in development of yeast
strains with both the ability to directly convert lignocellulosic material to
ethanol and tolerance in the harsh environments containing toxic compounds in the
presence of ethanol.
PMID- 22085594
TI - Fabrication of superhydrophobic and antibacterial surface on cotton fabric by
doped silica-based sols with nanoparticles of copper.
AB - The study discussed the synthesis of silica sol using the sol-gel method, doped
with two different amounts of Cu nanoparticles. Cotton fabric samples were
impregnated by the prepared sols and then dried and cured. To block hydroxyl
groups, some samples were also treated with hexadecyltrimethoxysilane. The
average particle size of colloidal silica nanoparticles were measured by the
particle size analyzer. The morphology, roughness, and hydrophobic properties of
the surface fabricated on cotton samples were analyzed and compared via the
scanning electron microscopy, the transmission electron microscopy, the scanning
probe microscopy, with static water contact angle (SWC), and water shedding angle
measurements. Furthermore, the antibacterial efficiency of samples was
quantitatively evaluated using AATCC 100 method. The addition of 0.5% (wt/wt) Cu
into silica sol caused the silica nanoparticles to agglomerate in more grape-like
clusters on cotton fabrics. Such fabricated surface revealed the highest value of
SWC (155 degrees for a 10-MUl droplet) due to air trapping capability of its
inclined structure. However, the presence of higher amounts of Cu nanoparticles
(2% wt/wt) in silica sol resulted in the most slippery smooth surface on cotton
fabrics. All fabricated surfaces containing Cu nanoparticles showed the perfect
antibacterial activity against both of gram-negative and gram-positive bacteria.
PMID- 22085595
TI - Expression of proinflammatory, proatherogenic genes is reduced by the
Mediterranean diet in elderly people.
AB - Ageing is an important determinant of atherosclerosis development rate, mainly by
the creation of a chronic low-grade inflammation. Diet, and particularly its fat
content, modulates the inflammatory response in the fasting and postprandial
states. Our aim was to study the effects of dietary fat on the expression of
genes related to inflammation (NF-kappaB, monocyte chemoattractant protein 1 (MCP
1), TNF-alpha and IL-6) and plaque stability (matrix metalloproteinase 9, MMP-9)
during the postprandial state of twenty healthy, elderly people who followed
three diets for 3 weeks each: (1) Mediterranean diet (Med Diet) enriched in MUFA
with virgin olive oil; (2) SFA-rich diet; and (3) low-fat, high-carbohydrate diet
enriched in n-3 PUFA (CHO-PUFA diet) by a randomised crossover design. At the end
of each period, after a 12-h fast, the subjects received a breakfast with a
composition similar to the one when the dietary period ended. In the fasting
state, the Med Diet consumption induced a lower gene expression of the p65
subunit of NF-kappaB compared with the SFA-rich diet (P = 0.019). The ingestion
of the Med Diet induced a lower gene postprandial expression of p65 (P = 0.033),
MCP-1 (P = 0.0229) and MMP-9 (P = 0.041) compared with the SFA-rich diet, and a
lower gene postprandial expression of p65 (P = 0.027) and TNF-alpha (P = 0.047)
compared with the CHO-PUFA diet. Direct plasma quantification mostly reproduced
the findings. Our data suggest that consumption of a Med Diet reduces the
postprandial inflammatory response in mononuclear cells compared with the SFA
rich and CHO-PUFA diets in elderly people. These findings may be partly
responsible for the lower CVD risk found in populations with a high adherence to
the Med Diet.
PMID- 22085597
TI - Detection of changes in luminance distributions.
AB - How well can observers detect the presence of a change in luminance
distributions? Performance was measured in three experiments. Observers viewed
pairs of grayscale images on a calibrated CRT display. Each image was a
checkerboard. All luminances in one image of each pair consisted of random draws
from a single probability distribution. For the other image, some patch
luminances consisted of random draws from that same distribution, while the rest
of the patch luminances (test patches) consisted of random draws from a second
distribution. The observers' task was to pick the image with luminances drawn
from two distributions. The parameters of the second distribution that led to 75%
correct performance were determined across manipulations of (1) the number of
test patches, (2) the observers' certainty about test patch location, and (3) the
geometric structure of the images. Performance improved with number of test
patches and location certainty. The geometric manipulations did not affect
performance. An ideal observer model with high efficiency fit the data well and a
classification image analysis showed a similar use of information by the ideal
and human observers, indicating that observers can make effective use of
photometric information in our distribution discrimination task.
PMID- 22085598
TI - It is time to consider third-line options in antiretroviral-experienced
paediatric patients?
AB - BACKGROUND: The historic use of full-dose ritonavir as part of an unboosted
protease inhibitor (PI)-based antiretroviral therapy regimen in some South
African children contributes to the frequent accumulation of major PI resistance
mutations. METHODS: In order to describe the prevalence of major PI resistance in
children failing antiretroviral therapy and to investigate the clinical,
immunological and virological outcomes in children with PI resistance, we
conducted a cross-sectional study, with a nested case series, following up those
children with major PI resistance. The setting was public health sector
antiretroviral clinics in the Western Cape province of South Africa, and the
subjects were children failing antiretroviral therapy. The following outcome
measures were investigated: CD4 count, viral load and resistance mutations.
RESULTS: Fourteen (17%) of 82 patients, referred from tertiary hospitals, had
major PI resistance. All these patients were exposed to regimens that included
ritonavir as a single PI. Immune reconstitution and clinical benefit were
achieved when using a lopinavir/ritonavir-based treatment regimen in these
children with prior PI resistance. At first HIV-1 viral load follow up after
initial resistance testing (n = 11), only one patient had a viral load of less
than 400 copies/ml; at a subsequent follow up (n = 9), the viral loads of five
patients were less than 400 copies/ml. Patients retained on LPV/r had lower viral
loads than those switched to a non-nucleoside reverse transcriptase inhibitor
(NNRTI). However, two of three patients with follow-up resistance tests
accumulated additional PI resistance. CONCLUSIONS: In children with pre-existing
PI resistance, although initially effective, the long-term durability of a
lopinavir/ritonavir-based treatment regimen can be compromised by the
accumulation of resistance mutations. Furthermore, a second-line NNRTI regimen is
often not durable in these patients. As genotypic resistance testing and third
line treatment regimens are costly and limited in availability, we propose
eligibility criteria to identify patients with high risk for resistance and
guidance on drug selection for children who would benefit from third-line
therapy.
PMID- 22085599
TI - Measles genotypes D4 and G3 reintroduced by multiple foci after 15 years without
measles virus circulation, Gipuzkoa, the Basque Country, Spain, March to June
2011.
AB - During a three-month period in spring 2011, 23 cases of measles occurred in seven
independent outbreaks in a region in Spain with around 700,000 inhabitants, where
the disease had been eliminated since 1997. High vaccination coverage and rapid
diagnosis allowed implementation of containment measures and this prevented
spread of the disease. Except for the first outbreak which affected 10 cases,
each of the other six outbreaks caused a maximum of three secondary cases.
PMID- 22085600
TI - Human case of autochthonous West Nile virus lineage 2 infection in Italy,
September 2011.
AB - On 10 September 2011, a patient in his 50s was admitted to hospital in Ancona,
Italy, after six days of high fever and no response to antibiotics. West Nile
virus (WNV) infection was suspected after tests to determine the aetiology of the
fever were inconclusive. On 20 September, WNV-specific IgM and IgG antibodies
were detected in the patient's serum. Genomic sequencing of the viral isolate
showed that the virus belonged to WNV lineage 2.
PMID- 22085601
TI - First Neisseria gonorrhoeae strain with resistance to cefixime causing gonorrhoea
treatment failure in Austria, 2011.
AB - We describe the first cefixime-resistant Neisseria gonorrhoeae strain in Austria
that caused treatment failure.It follows the first five cases in Europe of
cefixime treatment failure, reported in Norway in 2010 and the United Kingdom in
2011. Effective treatment of gonorrhoea is crucial for public health control and,
at present, requires substantially enhanced awareness, more frequent test-of
cure, interaction with experts after therapeutic failure, tracing and therapy of
contacts, and surveillance of gonococcal antimicrobial resistance and treatment
failures worldwide.
PMID- 22085602
TI - Highly heterogeneous temperature sensitivity of 2009 pandemic influenza A(H1N1)
viral isolates, northern France.
AB - We assayed the temperature sensitivity of 2009 pandemic influenza A(H1N1) viral
isolates (n=23) and seasonal influenza A(H1N1) viruses (n=18) isolated in
northern France in 2007/08 and 2008/09. All isolates replicated with a similar
efficiency at 34 degrees C and 37 degrees C, and with a lower efficiency at 40
degrees C. The pandemic viral isolates showed a stronger heterogeneity in their
ability to grow at the highest temperature, as compared with the seasonal
isolates. No statistically significant difference in temperature sensitivity was
observed between the pandemic viral isolates from severe and mild cases of
influenza. Our data point to the impact of temperature sensitivity on the genetic
evolution and diversification of the pandemic influenza A(H1N1) virus since its
introduction into the human population in April 2009, and call for close
surveillance of this phenotypic marker related to host and tissue tropism.
PMID- 22085603
TI - Nosocomial and non-nosocomial Clostridium difficile infections in hospitalised
patients in Belgium: compulsory surveillance data from 2008 to 2010.
AB - Surveillance of Clostridium difficile infection (CDI) is compulsory in Belgian
hospitals. Our objectives were to compare incidence and case characteristics of
nosocomial infections (Nc-CDI) with onset of diarrhoea more than two days after
hospital admission, with non-nosocomial cases (Nnc-CDI). The database included
inpatients from 2008 to 2010. Of 8,351 cases reported by 150 hospitals, 3,102
(37%) were classified as Nnc-CDI and 5,249 (63%) as Nc-CDI. In 2010, the mean
incidence per 1,000 admissions was 0.95 for Nc-CDI and 0.56 for Nnc-CDI. Both
incidences were relatively stable over the three years, with a slight decrease in
2010 (p<0.01). Onset of symptoms in Nnc- CDI cases took place in the community
(57.1%), nursing homes (14.2%) or hospitals (17.5%); data for 11.2%were missing.
Nnc-CDI cases were younger than Nc-CDI (median age 75 vs. 79 years, p<0.001), and
more likely to be women (62% vs. 57%, p<0.001) and to have pseudomembranous
colitis (5.3% vs. 1.6%, p<0.001). In 2009, C. difficile ribotype 027 was found in
32 of 70 reporting hospitals compared with 19 of 69 in 2010 (p<0.03). Although
our study population only included hospitalised patients, the results do not
support the hypothesis of an increase in the incidence of severe community
associated CDI.
PMID- 22085605
TI - Globotriaosylceramide is correlated with oxidative stress and inflammation in
Fabry patients treated with enzyme replacement therapy.
AB - Fabry disease is an X-linked inborn error of glycosphingolipid catabolism due to
deficient activity of alpha-galactosidase A that leads to accumulation of the
enzyme substrates, mainly globotriaosylceramide (Gb3), in body fluids and
lysosomes of many cell types. Some pathophysiology hypotheses are intimately
linked to reactive species production and inflammation, but until this moment
there is no in vivo study about it. Hence, the aim of this study was to
investigate oxidative stress parameters, pro-inflammatory cytokines and Gb3
levels in Fabry patients under treatment with enzyme replacement therapy (ERT)
and finally to establish a possible relation between them. We analyzed urine and
blood samples of patients under ERT (n=14) and healthy age-matched controls
(n=14). Patients presented decreased levels of antioxidant defenses, assessed by
reduced glutathione (GSH), glutathione peroxidase (GPx) activity and increased
superoxide dismutase/catalase (SOD/CAT) ratio in erythrocytes. Concerning to the
damage to biomolecules (lipids and proteins), we found that plasma levels of
malondialdehyde (MDA) and protein carbonyl groups and di-tyrosine (di-Tyr) in
urine were increased in patients. The pro-inflammatory cytokines IL-6 and TNF
alpha were also increased in patients. Urinary Gb3 levels were positively
correlated with the plasma levels of IL-6, carbonyl groups and MDA. IL-6 levels
were directly correlated with di-Tyr and inversely correlated with GPx activity.
This data suggest that pro-inflammatory and pro-oxidant states occur, are
correlated and seem to be induced by Gb3 in Fabry patients.
PMID- 22085606
TI - Cytotoxic and genotoxic effects of silver nanoparticles in testicular cells.
AB - Serious concerns have been expressed about potential risks of engineered
nanoparticles. Regulatory health risk assessment of such particles has become
mandatory for the safe use of nanomaterials in consumer products and medicines;
including the potential effects on reproduction and fertility, are relevant for
this risk evaluation. In this study, we examined effects of silver particles of
nano- (20nm) and submicron- (200nm) size, and titanium dioxide nanoparticles
(TiO(2)-NPs; 21nm), with emphasis on reproductive cellular- and genotoxicity.
Ntera2 (NT2, human testicular embryonic carcinoma cell line), and primary
testicular cells from C57BL6 mice of wild type (WT) and 8-oxoguanine DNA
glycosylase knock-out (KO, mOgg1(-/-)) genotype were exposed to the particles.
The latter mimics the repair status of human testicular cells vs oxidative damage
and is thus a suitable model for human male reproductive toxicity studies. The
results suggest that silver nano- and submicron-particles (AgNPs) are more
cytotoxic and cytostatic compared to TiO(2)-NPs, causing apoptosis, necrosis and
decreased proliferation in a concentration- and time-dependent manner. The 200nm
AgNPs in particular appeared to cause a concentration-dependent increase in DNA
strand breaks in NT2 cells, whereas the latter response did not seem to occur
with respect to oxidative purine base damage analysed with any of the particles
tested.
PMID- 22085607
TI - Manganese accumulation in nail clippings as a biomarker of welding fume exposure
and neurotoxicity.
AB - Occupational exposure to welding fumes (WF) is thought to cause Parkinson's
disease (PD)-like neurological dysfunction. An apprehension that WF may
accelerate the onset of PD also exists. Identifying reliable biomarkers of
exposure and neurotoxicity are therefore critical for biomonitoring and
neurological risk characterization of WF exposure. Manganese (Mn) in welding
consumables is considered the causative factor for the neurological deficits seen
in welders. Hence, we sought to determine if Mn accumulation in blood or nail
clippings can be a marker for adverse exposure and neurotoxicity. To model this,
rats were exposed by intratracheal instillation to dissolved or suspended fume
components collected from gas metal arc-mild steel (GMA-MS) or manual metal arc
hard surfacing (MMA-HS) welding. Trace element analysis revealed selective Mn
accumulation in dopaminergic brain areas, striatum (STR) and midbrain (MB),
following exposure to the two fumes. This caused dopaminergic abnormality as
evidenced by loss of striatal tyrosine hydroxylase (Th; 25-32% decrease) and
Parkinson disease (autosomal recessive, early onset) 7 (Park7; 25-46% decrease)
proteins. While blood Mn was not detectable, Mn levels in nails strongly
correlated with the pattern of Mn accumulation in the striatum (R(2)=0.9386) and
midbrain (R(2)=0.9332). Exposure to manganese chloride (MnCl(2)) caused similar
Mn accumulation in STR, MB and nail. Our findings suggest that nail Mn has the
potential to be a sensitive and reliable biomarker for long-term Mn exposure and
associated neurotoxicity. The non-invasive means by which nail clippings can be
collected, stored, and transported with relative ease, make it an attractive
surrogate for biomonitoring WF exposures in occupational settings.
PMID- 22085608
TI - iTRAQ-based proteomic profiling of human serum reveals down-regulation of
platelet basic protein and apolipoprotein B100 in patients with hematotoxicity
induced by chronic occupational benzene exposure.
AB - Benzene is an important industrial chemical and an environmental contaminant, but
the pathogenesis of hematotoxicity induced by chronic occupational benzene
exposure (HCOBE) remains to be elucidated. To gain an insight into the molecular
mechanisms and developmental biomarkers for HCOBE, isobaric tags for relative and
absolute quantitation (iTRAQ) combined with two-dimensional liquid chromatography
tandem mass spectrometry (2D-LC-MS/MS) were utilized. Identification and
quantitation of differentially expressed proteins between HCOBE cases and healthy
control were thus made. Expressions of selected proteins were confirmed by
western blot and further validated by ELISA. A total of 159 unique proteins were
identified (>=95% confidence), and relative expression data were obtained for 141
of these in 3 iTRAQ experiments, with fifty proteins found to be in common among
3 iTRAQ experiments. Plasminogen (PLG) was found to be significantly up
regulated, whereas platelet basic protein (PBP) and apolipoprotein B100 (APOB100)
were significantly down-regulated in the serum of HCOBE cases. Additionally, the
altered proteins were associated with the molecular functions of binding,
catalytic activity, enzyme regulator activity and transporter activity, and
involved in biological processes of apoptosis, developmental and immune system
process, as well as response to stimulus. Furthermore, differential expressions
of PLG, PBP and APOB100 were confirmed by western blot, and the clinical
relevance of PBP and APOB100 with HCOBE was validated by ELISA. Overall, our
results showed that lowered expression of PBP and APOB100 proteins served as
potential biomarkers of HCOBE, and may play roles in the benzene-induced
immunosuppressive effects and disorders in lipid metabolism.
PMID- 22085611
TI - Stepping to stability and fall prevention in adult psychiatric patients.
AB - Fall prevention is a major area of concern in inpatient settings. This article
reports on the feasibility of implementing a daily exercise program that features
line dancing to promote stability, balance, and flexibility in adult psychiatric
patients and describes the impact of that program. Six hundred sixty-five patient
charts drawn from before and after the practice change were reviewed. The fall
rate after the introduction of line dancing was 2.8% compared with 3.2% before
implementation. In a setting that treats both men and women of many ages and with
varying levels of mobility, line dancing offers a viable approach to exercise in
a secure setting.
PMID- 22085609
TI - Temperature-dependent structural and functional properties of a mutant (F71L)
alphaA-crystallin: molecular basis for early onset of age-related cataract.
AB - Previously we identified a novel mutation (F71L) in the alphaA-crystallin gene
associated with early onset of age-related cataract. However, it is not known how
the missense substitution translates into reduced chaperone-like activity (CLA),
and how the structural and functional changes lead to early onset of the disease.
Herein, we show that under native conditions the F71L-mutant is not significantly
different from wild-type with regard to secondary and tertiary structural
organization, hydrophobicity and the apparent molecular mass of oligomer but has
substantial differences in structural and functional properties following a heat
treatment. Wild-type alphaA-crystallin demonstrated increased CLA, whereas the
F71L-mutant substantially lost its CLA upon heat treatment. Further, unlike the
wild-type alphaA-subunit, F71L-subunit did not protect the alphaB-subunit in
hetero-oligomeric complex from heat-induced aggregation. Moreover, hetero
oligomer containing F71L and alphaB in 3:1 ratio had significantly lower CLA upon
thermal treatment compared to its unheated control. These results indicate that
alpha-crystallin complexes containing F71L-alphaA subunits are less stable and
have reduced CLA. Therefore, F71L may lead to earlier onset of cataract due to
interaction with several environmental factors (e.g., temperature in this case)
along with the aging process.
PMID- 22085612
TI - The role of psychiatric nurse faculty in establishing a campus suicide prevention
program.
AB - Suicide among college students has received increased national attention over the
past few decades, partly due to the publicity regarding high-profile suicide
events on college campuses throughout the United States and its territories.
Currently, suicide is identified as the second-leading cause of death in college
students. Due to federal legislation such as the Garrett Lee Smith Memorial Act
of 2004, many college campuses have been able to establish suicide prevention
programs. This article describes how a psychiatric nurse faculty member
successfully established a comprehensive suicide prevention program that was
initially supported by grant funds from the Garrett Lee Smith Memorial Act.
PMID- 22085613
TI - Update on energy drinks and youth.
AB - Energy drinks are attractive and readily available in every grocery store and gas
station. While most youth verbalize an understanding that too much caffeine is
bad for one's health, at an age of multiple demands, an over-the-counter offer of
increased energy and alertness is hard to ignore. What makes energy drinks
different from regular coffee? Although the heavily caffeinated drinks promise
increased energy and stamina and are loaded with healthy natural ingredients,
excessive consumption is of concern on many levels. This article will discuss
some of the effects of excessive caffeine, as well as risks associated with
energy drinks mixed with alcohol.
PMID- 22085614
TI - A critical evaluation of the cardiac toxicity of citalopram: part 2.
AB - In August 2011, the U.S. Food and Drug Administration issued a drug safety
communication that the antidepressant drug citalopram (Celexa(r)) should not be
used at dosages greater than 40 mg per day (or greater than 20 mg per day for
patients 60 and older) because higher doses have been associated with abnormal
heart rhythms. Clinical studies using citalopram in patients with cardiac disease
and in older patients do not confirm such a risk. The major metabolite of
citalopram is demethylcitalopram, which is subsequently metabolized to the minor
metabolite didemethylcitalopram (DDCT). High DDCT concentrations have been
associated with QT interval prolongation in beagle dogs. Therapeutic drug
monitoring study data suggest that routine or even high oral doses of citalopram
are unlikely to result in cardiotoxic concentrations of the DDCT metabolite.
Based on evidence taken from a wide variety of studies, the citalopram dose
limitations described in the safety announcement do not have strong clinical
justification.
PMID- 22085615
TI - Debunking misconceptions about weight loss surgery.
AB - The recent and steady rise in the U.S. obesity index has resulted in a consumer
driven market for more effective treatment interventions for the morbidly obese
population. Given the relatively poor outcomes associated with traditional
approaches for treating obesity, such as diet programs, behavioral modification,
and pharmacotherapy, weight loss surgical procedures represent a safe and
effective option for those who meet selection criteria. To provide optimal
treatment and consumer education, psychiatric nurses need to be well informed
about the psychological and physiological aspects of these surgical procedures.
This article clarifies six common misconceptions related to weight loss surgery.
PMID- 22085616
TI - Influence of broccoli extract and various essential oils on performance and
expression of xenobiotic- and antioxidant enzymes in broiler chickens.
AB - The aim of our present study was to examine the regulation of xenobiotic- and
antioxidant enzymes by phytogenic feed additives in the intestine and the liver
of broilers. A total of 240 male Ross-308 broiler chickens (1 d old) were fed a
commercial starter diet for 2 weeks. On day 15, the birds were assigned to six
treatment groups of forty birds each. The control (Con) group was fed a diet
without any additive for 3 weeks. The diet of group sulforaphane (SFN) contained
broccoli extract providing 0.075 g/kg SFN, whereas the diets of the other four
groups contained 0.15 g/kg essential oils from turmeric (Cuo), oregano (Oo),
thyme and rosemary (Ro). Weight gain and feed conversion were slightly impaired
by Cuo and Oo. In the jejunum SFN, Cuo and Ro increased the expression of
xenobiotic enzymes (epoxide hydrolases 1 and 2 and aflatoxin B1 aldehyde
reductase) and of the antioxidant enzyme haeme oxygenase regulated by an
'antioxidant response element' (ARE) compared to group Con. In contrast to our
expectations in the liver, the expression of these enzymes was decreased by all
the additives. Nevertheless, all the additives increased the Trolox equivalent
antioxidant capacity of the jejunum and the liver and reduced Fe-induced lipid
peroxidation in the liver. We conclude that the up-regulation of ARE genes in the
small intestine reduces oxidative stress in the organism and represents a novel
mechanism by which phytogenic feed additives improve the health of farm animals.
PMID- 22085617
TI - Concurrent radiotherapy and chemotherapy for locally advanced squamous cell
carcinoma of the head and neck.
AB - BACKGROUND: Concurrent chemoradiation is the standard treatment for patients with
advanced head and neck squamous cell carcinoma (HNSCC).The present study was
carried out to assess the feasibility and efficacy of low-dose gemcitabine as a
radiosensitizer when used during radical therapeutic management of patients with
locally advanced HNSCC. PATIENTS AND METHODS: Fifty-two patients with locally
advanced HNSCC (stage III, 50%; stage IVa, 50%) were enrolled during the period
from July 2008 to December 2010. All received a course of radiotherapy (70 Gy
over 7 weeks) concurrent with weekly infusions of gemcitabine at 50 mg/m(2).
RESULTS: All patients were available for toxicity and response. Severe mucositis
(grade 3-4) was observed in 76% of patients. Severe hematological toxicity was
uncommon. Xerostomia was the most common late toxicity in 34 patients (65.4%).
The rate of complete and partial response rate was 67.3% and 21.1%, respectively,
with an overall response rate of 88.45%. Two years progression-free survival and
disease-free survival were 46% and 38.46%, respectively. CONCLUSION: Using low
dose gemcitabine concurrent with radiotherapy maintains high response rate with
low systemic toxicity, in spite of severe mucositis in a high percentage of
patients.
PMID- 22085618
TI - Outbreak of Shigella sonnei infection in Norway linked to consumption of fresh
basil, October 2011.
AB - We report a Shigella sonnei outbreak of 46 cases that occurred in Norway during
October 2011. Two municipalities were involved. A large cluster (42 cases)was
concentrated in north Norway, while a smallcluster (4 cases) occurred in the
south-east region.Epidemiological evidence and trace back investigations have
linked the outbreak to the consumption of imported fresh basil. The product has
been withdrawn from the market. No further cases have been reported since 25
October.
PMID- 22085619
TI - Case report: tick-borne encephalitis in two Dutch travellers returning from
Austria, Netherlands, July and August 2011.
AB - Tick-borne encephalitis (TBE) is not endemic in the Netherlands and diagnostics
are seldom requested. Here, we report about the rare event of TBE in two Dutch
travellers returning from Austria in July and August 2011. This report serves to
create awareness among physicians to consider travel-related TBE in their
differential diagnosis of patients with neurological disease returning from TBE
virus endemic regions and to promote awareness among professionals advising
travellers.
PMID- 22085620
TI - Seroprevalence of herpes simplex virus type 1 and type 2 in Thuringia, Germany,
1999 to 2006.
AB - The prevalence of herpes simplex virus (HSV) type-specific IgG was determined in
sera taken in 1999 to 2006 from 1,100 children aged 0-18 years, 800 blood donors
and 200 pregnant women in Thuringia, Germany, using tests based on the HSV
glycoproteins (g) gG. By the age of 10-12 years, HSV-1 IgG prevalence reached
57.3%, rising to 69.3% by the age of 16-18 years and to 78.0% by the age of 28-30
years. Between 2.7% and 4.7% of the children aged up to 15 years had HSV-2
antibodies, increasing to 7.3% at the age of 16-18 years and to 13.6% among
adults. The prevalence of HSV-1 antibodies among girls was significantly lower
than among boys and a significantly higher prevalence of HSV-2 IgG in women than
in men was detected. The reduced incidence of HSV-1 infections during childhood,
especially in girls, has to be followed up since a higher number of primary HSV-2
infections may result. Between 2.7% and 4.7% of all children tested seemed to
acquire HSV-2 by intrauterine or neonatal infection. We also compared the use of
gG-1 with gC-1: the agreement of 97.2% between the two ELISAs suggests that gG-1
and gC-1 can be considered equivalent antigenic targets.
PMID- 22085621
TI - Influenza surveillance during the post-pandemic influenza 2010/11 season in
Greece, 04 October 2010 to 22 May 2011.
AB - In this manuscript, we summarise the experience of Greece during the post
pandemic influenza season 2010/11 from 04 October 2010 to 22 May 2011. The spread
of the disease and its impact were monitored using multiple surveillance systems,
such as sentinel surveillance, virological surveillance and all-cause mortality
surveillance. We also focus on the characteristics of laboratory-confirmed severe
influenza cases who required admission to an intensive care unit (ICU) (n=368),
and/or with a fatal outcome (n=180). The influenza-like illness rate reported
from sentinel surveillance started rising in early January 2011 and peaked
between 31 January and 6 February 2011. The total number of ICU admissions was
higher in the post-pandemic influenza season than during the pandemic period
causing a lot of pressure on ICUs. The overall population mortality rate due to
influenza A(H1N1)2009 was higher than during the pandemic period (15.9 vs 13.2
fatal cases per million, p=0.087). Our data suggest that the severity of clinical
illness in the first post-pandemic influenza season was comparable or even higher
than during the pandemic.
PMID- 22085623
TI - The implications of the new paradigm of dental caries.
AB - The caries process is the ubiquitous, natural metabolism in the biofilm that
causes numerous fluctuations in pH. The interaction of this biofilm with the
dental tissues may result in a caries lesion. However, lesion formation and
progression can be controlled, particularly by disturbing plaque regularly with a
fluoride containing toothpaste. This paradigm implies that everyone with teeth is
at risk to lesion development. Treatment of caries is principally non-operative,
involving plaque control, fluoride and a sensible diet. Operative dentistry
repairs un-cleansable cavities and is part of plaque control. A diagnosis is a
mental resting place on the way to a treatment decision. The relevant diagnostic
features with respect to caries are lesion activity (active lesions require
active management) and un-cleansable cavities. When teaching undergraduates, it
is important that they are credited for the non-operative treatment of caries as
well as for operative dentistry. This is equally important in dental practice
where an appropriate skills mix of the dental team is required to deliver dental
health cost-effectively. Training more dentists may be an expensive mistake as
far as disease control is concerned. It is ironic that dentists make most money
from operative care and specialist treatment when disease control could be
delivered relatively cheaply. The key to dental health is regular and effective
plaque control with a fluoride containing toothpaste, from cradle to grave.
PMID- 22085624
TI - Mitochondrial energetics in liver and skeletal muscle after energy restriction in
young rats.
AB - The present study investigated the effect of 2 weeks of energy restriction on
whole body, liver and skeletal muscle energy handling. We measured whole-body
oxygen consumption, as well as mitochondrial protein mass, respiratory capacity
and energetic coupling in liver and skeletal muscle from food-restricted (FR)
rats, age- and weight-matched controls. We also assessed markers of oxidative
damage and antioxidant defences. The present results show that, in response to
energy restriction, an adaptive decrease in whole-body energy expenditure is
coupled with structural and functional changes in mitochondrial compartment, both
in liver and skeletal muscle. In fact, liver mitochondrial mass per g of liver
significantly increased, whereas total hepatic mitochondrial oxidative capacity
was lower in FR than in control rats, because of a significant decrease in liver
contribution to total body weight. In skeletal muscle, sub-sarcolemmal (SS)
mitochondrial respiratory capacity, as well as SS and inter-myofibrillar (IMF)
mitochondrial protein mass per g of tissue, was significantly lower in FR rats,
compared to controls. Finally, a decrease in oxidative damage was found in liver
but not in skeletal muscle mitochondria from FR rats, whereas an increase in
antioxidant defence was found in both tissues. From the present results, it
appears that skeletal muscle is involved in the decrease in energy expenditure
induced by energy restriction. Energy sparing is achieved through changes in the
activity (SS), mass (SS and IMF) and efficiency (IMF) of mitochondrial
compartment.
PMID- 22085625
TI - A replication study examining novel common single nucleotide polymorphisms
identified through a prostate cancer genome-wide association study in a Japanese
population.
AB - Five novel prostate cancer risk loci were identified in a recent genome-wide
association study (GWAS) of Japanese persons (Takata et al., Nat Genet.
2010;42(9):751-754). Those authors proposed that apart from population-specific
linkage disequilibrium patterns, limitations of GWAS single nucleotide
polymorphism (SNP) prioritization and/or study design could explain the lack of
identification of these loci in GWAS previously conducted among Caucasians. Thus,
the authors undertook a replication study in 1,357 prostate cancer patients and
1,403 healthy Australian males of European descent (2004-2008). The rs12653946
SNP at 5p15 was found to be significantly associated with prostate cancer risk
(odds ratio = 1.20, 95% confidence interval: 1.07, 1.34; P = 0.002). On the basis
of linkage disequilibrium calculations, the rs12653946 SNP represents an
independent locus, distinct from the previously identified TERT-CLPTM1L cancer
nexus region. Further, analysis from AceView (Thierry-Mieg and Thierry-Mieg,
Genome Biol. 2006;7(suppl 1):S12) indicated that rs12653946 falls within the
intron of a testis-expressed gene strongly predicted to translate a conceptual
8.1-kilodalton protein named tojy.aApr07. The authors' findings suggest that
follow-up of apparently ethnicity-specific risk associations are warranted in
order to highlight risk-associated loci for experimental studies and for
incorporation into future risk prediction models for prostate cancer.
PMID- 22085626
TI - Apples and oranges? Interpretations of risk adjustment and instrumental variable
estimates of intended treatment effects using observational data.
AB - Instrumental variable (IV) and risk adjustment (RA) estimators, including
propensity score adjustments, are both used to alleviate confounding problems in
nonexperimental studies on treatment effects, but it is not clear how estimates
based on these 2 approaches compare. Methodological considerations have shown
that IV and RA estimators yield estimates of distinct types of causal treatment
effects regardless of confounding problems. Many investigators have neglected
these distinctions. In this paper, the authors use 3 schematic models to explain
visually the relations between IV and RA estimates of intended treatment effects
as demonstrated in the methodological studies. When treatment effects are
homogeneous across a study population or when treatment effects are heterogeneous
across the study population but treatment decisions are unrelated to the
treatment effects, RA and IV estimates should be equivalent when the respective
assumptions are met. In contrast, when treatment effects are heterogeneous and
treatment decisions are related to the treatment effects, RA estimates of
treatment effect can asymptotically differ from IV estimates, but both are
correct even when the respective assumptions are met. Appropriate interpretations
of IV or RA estimates can be facilitated by developing conceptual models related
to treatment choice and treatment effect heterogeneity prior to analyses.
PMID- 22085627
TI - Re: "Sex-steroid hormones and electrocardiographic QT-interval duration: findings
from the Third National Health and Nutrition Examination Survey and the Multi
Ethnic Study of Atherosclerosis".
PMID- 22085628
TI - Secular trends in Helicobacter pylori seroprevalence in adults in the United
States: evidence for sustained race/ethnic disparities.
AB - Helicobacter pylori seroprevalence levels in US adults participating in the
continuous National Health and Nutrition Examination Survey (1999-2000) increased
with age in all racial/ethnic groups, with significantly higher age-standardized
levels in Mexican Americans (64.0%, 95% confidence interval (CI): 58.8, 69.2) and
non-Hispanic blacks (52.0%, 95% CI: 48.3, 55.7) compared with non-Hispanic whites
(21.2%, 95% CI: 19.1, 23.2). Although seroprevalence levels remained similar to
those found in National Health and Nutrition Examination Surveys from 1988 to
1991 among non-Hispanic blacks and Mexican Americans, they were significantly
lower in non-Hispanic whites, especially at older ages. The factors driving the
decline in H. pylori seroprevalence appear to be acting preferentially on the non
Hispanic white population.
PMID- 22085629
TI - Prevalence of BRCA1 and BRCA2 mutations in unselected breast cancer patients from
Greece.
AB - BACKGROUND: Inheritance of a mutation in either BRCA1 or BRCA2 accounts for
approximately 5% of all breast cancer cases, but varies by country.
Investigations into the contribution of BRCA mutations to breast cancer incidence
in Greece have been, for the most part, limited by small sample sizes and by the
use of cases selected for their family history of cancer. The aim of the current
study was to estimate BRCA mutation frequencies in breast cancer patients
unselected for family history. METHODS: To do so, we enrolled 127 unselected
women with breast cancer from the Alexandra Hospital in Athens, Greece, a large
public hospital in the city. Mutations in BRCA1 and BRCA2 were detected using a
combination of techniques and were confirmed by direct sequencing. Two large
genomic deletions were sought using mutation-specific assays. A detailed family
history of cancer was obtained from each patient. RESULTS: We were able to
successfully complete testing on samples from 127 women. Among these, six
mutations were identified (four in BRCA1 and two in BRCA2) representing 4.7% of
the total or 9.5% of cases diagnosed before age forty. None of the mutation
carriers had a family history of breast or ovarian cancer. Three of the four
BRCA1 mutations were in exon 20: two were a G5331A mutation and the third was a
3.2 kb deletion. The fourth BRCA1 mutation was the 3819delGTAAA in exon 11. The
two BRCA2 mutations were in exon 11 (3782del10 and 4512insT). CONCLUSIONS: The
G5331A mutation in BRCA1 appears to be a founder mutation in the Greek
population.
PMID- 22085630
TI - Features of cadmium and calcium uptake and toxicity in rainbow trout
(Oncorhynchus mykiss) mitochondria.
AB - Molecular features of cadmium (Cd) and calcium (Ca) uptake and toxicity in
rainbow trout liver mitochondria were studied using modulators of mitochondrial
permeability transition pore (MPTP), mitochondrial calcium uniporter (MCU) and
rapid uptake mode (RaM). Malate-glutamate energized mitochondria were exposed to
20MUM Cd and 50MUM Ca, singly and in combination, with and without addition of
ruthenium red (RR), cyclosporin A (CsA), bongkrekic acid (BKA) or dithiothreitol
(DTT). State 3 mitochondrial respiration was inhibited by 50% by either Cd or Ca,
and by 70% when the two cations were added simultaneously. All the modulators
tested reduced the inhibition of state 3 respiration with DTT completely
reversing the Cd effect. While state 4 respiration was unaffected by Ca and/or
Cd, 1.5-3 fold stimulation was observed on addition of the modulators. Uncoupler
stimulated respiration was inhibited by Cd, Ca and Cd+Ca with complete (DTT) and
partial (RR, CsA, BKA) protection of the Cd and Cd+Ca effects. All the modulators
completely reversed the Ca-induced inhibition. Swelling, the hallmark of MPTP,
measured following incubation of mitochondria with 0-100MUM of the two cations,
singly and in combination, was abolished by all the modulators. Overall these
data show the existence of membrane channels in rainbow trout liver mitochondria
with some characteristics similar to mammalian MPTP, MCU and RaM. Moreover, entry
of Ca and Cd into mitochondria is important in the toxicity of these cations.
PMID- 22085631
TI - Presenting features and long-term effects of growth hormone treatment of children
with optic nerve hypoplasia/septo-optic dysplasia.
AB - BACKGROUND: Optic nerve hypoplasia (ONH) with/or without septo-optic dysplasia
(SOD) is a known concomitant of congenital growth hormone deficiency (CGHD).
METHODS: Demographic and longitudinal data from KIGS, the Pfizer International
Growth Database, were compared between 395 subjects with ONH/SOD and CGHD and 158
controls with CGHD without midline pathology. RESULTS: ONH/SOD subjects had
higher birth length/weight, and mid-parental height SDS. At GH start, height,
weight, and BMI SDS were higher in the ONH/SOD group. After 1 year of GH, both
groups showed similar changes in height SDS, while weight and BMI SDS remained
higher in the ONH/SOD group. The initial height responses of the two groups were
similar to those predicted using the KIGS-derived prediction model for children
with idiopathic GHD. At near-adult height, ONH/SOD and controls had similar
height, weight, and BMI SDS. CONCLUSIONS: Compared to children with CGHD without
midline defects, those with ONH/SOD presented with greater height, weight, and
BMI SDS. These differences persisted at 1 year of GH therapy, but appeared to be
overcome by long-term GH treatment.
PMID- 22085632
TI - Chitosan-based therapeutic nanoparticles for combination gene therapy and gene
silencing of in vitro cell lines relevant to type 2 diabetes.
AB - Glucagon like peptide 1 (GLP-1), a blood glucose homeostasis modulating incretin,
has been proposed for the treatment of type 2 diabetes mellitus (T2DM). However,
native GLP-1 pharmacokinetics reveals low bioavailability due to degradation by
the ubiquitous dipeptydil peptidase IV (DPP-IV) endoprotease. In this study, the
glucosamine-based polymer chitosan was used as a cationic polymer-based in vitro
delivery system for GLP-1, DPP-IV resistant GLP-1 analogues and siRNA targeting
DPP-IV mRNA. We found chitosans to form spherical nanocomplexes with these
nucleic acids, generating two distinct non-overlapping size ranges of 141-283 nm
and 68-129 nm for plasmid and siRNA, respectively. The low molecular weight high
DDA chitosan 92-10-5 (degree of deacetylation, molecular weight and N:P ratio
(DDA-Mn-N:P)) showed the highest plasmid DNA transfection efficiency in HepG2 and
Caco-2 cell lines when compared to 80-10-10 and 80-80-5 chitosans. Recombinant
native GLP-1 protein levels in media of transfected cells reached 23 ng/L while
our DPP-IV resistant analogues resulted in a fivefold increase of GLP-1 protein
levels (115 ng/L) relative to native GLP-1, and equivalent to the Lipofectamine
positive control. We also found that all chitosan-DPP-IV siRNA nanocomplexes were
capable of DPP-IV silencing, with 92-10-5 being significantly more effective in
abrogating enzymatic activity of DPP-IV in media of silenced cells, and with no
apparent cytotoxicity. These results indicate that specific chitosan formulations
may be effectively used for the delivery of plasmid DNA and siRNA in a
combination therapy of type 2 diabetes.
PMID- 22085634
TI - Nonlinear pharmacokinetics of visnagin in rats after intravenous bolus
administration.
AB - Ammi visnaga L. (syn. Khella, Apiaceae) preparations have traditionally been used
in the Middle East for the treatment of kidney stone disease. Visnagin, a
furanocoumarin derivative, is one of the main compounds of Ammi visnaga with
potential effects on kidney stone prevention. To date, no information is
available about the pharmacokinetic (PK) properties of visnagin. It was the aim
of the study to characterize the PK properties of visnagin after intravenous
(i.v.) bolus administration in rats and to develop an adequate model for the
description of the observed data, including model parameter estimates. Therefore,
three doses of visnagin (1.25, 2.5, and 5mg/kg) solubilized in 25% Captisol(r)
were administered by i.v. bolus injection to male Sprague-Dawley rats. Plasma
samples were extracted and subsequently analyzed using a validated LC-MS/MS
method. Both non-compartmental and compartmental PK analyses were performed. A
stepwise model building approach was applied including nonlinear mixed effect
modeling for final model selection and to obtain final model estimates in NONMEM
VI. The average areas under the curve (AUC(0-last)) after doses of 1.25, 2.5, and
5mg/kg were 1.03, 3.61, and 12.6 mg *h/l, respectively. The shape of the plasma
concentration-time profiles and the observed disproportionate increase in AUC(0
last) with increasing dose suggested nonlinearity in the elimination of visnagin.
A two-compartment Michaelis-Menten model provided the best fit with following
typical values of the parameter estimates: 2.09 mg/(l*h) (V(max)), 0.08 mg/l
(K(M)), 0.175 l (V(C)), 1.0 h-1 (k12), and 1.22 h-1 (k21). Associated inter
subject variability estimates (% CV) for V(max), K(M) and V(C) were 21.8, 70.9,
and 9.2, respectively. Intra-subject variability (constant CV error model) was
estimated to be 7.0%. The results suggest the involvement of a saturable process
in the elimination of visnagin, possibly an enzyme or transporter system.
PMID- 22085635
TI - Synthesis, stability and pharmacological evaluation of a novel codrug consisting
of lamivudine and ursolic acid.
AB - A novel codrug (LMX) was obtained from lamivudine (LMV) and ursolic acid (UA)
coupled with ethyl chloroacetate through an amide and ester linkage. The
structure of LMX was confirmed by 1H NMR, 13C NMR, IR and HRMS. Herein, the in
vitro non-enzymatic and enzymatic hydrolysis and in vivo pharmacological
activities of LMX were studied. The kinetics of hydrolysis of LMX was studied in
aqueous solution of pH 1-10, 80% buffered human plasma and in the presence of
lipase from Porcine pancreas (EC 3.1.1.3) at 37 degrees C. It is found that LMX
hydrolysis rate was significantly faster in lipase with half-life of 1.4h
compared to pH 7.4 phosphate buffer (t(1/2) 11.2h) and buffered human plasma
(t(1/2) 5.4h). The decomposition rates in aqueous solution (pH 1-10) showed a U
shaped curve. LMX was comparatively stable between pH 3 and 6 (half-life >40 h).
Pharmacological studies indicated that LMX had the dual action of anti-hepatitis
B virus activity and hepatoprotective effects against acute liver injury. These
findings suggest that LMX could be a promising candidate agent for the treatment
of hepatitis.
PMID- 22085637
TI - Inaccuracies in reporting the accuracy of flap creating devices.
PMID- 22085636
TI - Model-based global sensitivity analysis as applied to identification of anti
cancer drug targets and biomarkers of drug resistance in the ErbB2/3 network.
AB - High levels of variability in cancer-related cellular signalling networks and a
lack of parameter identifiability in large-scale network models hamper
translation of the results of modelling studies into the process of anti-cancer
drug development. Recently global sensitivity analysis (GSA) has been recognised
as a useful technique, capable of addressing the uncertainty of the model
parameters and generating valid predictions on parametric sensitivities. Here we
propose a novel implementation of model-based GSA specially designed to explore
how multi-parametric network perturbations affect signal propagation through
cancer-related networks. We use area-under-the-curve for time course of changes
in phosphorylation of proteins as a characteristic for sensitivity analysis and
rank network parameters with regard to their impact on the level of key cancer
related outputs, separating strong inhibitory from stimulatory effects. This
allows interpretation of the results in terms which can incorporate the effects
of potential anti-cancer drugs on targets and the associated biological markers
of cancer. To illustrate the method we applied it to an ErbB signalling network
model and explored the sensitivity profile of its key model readout,
phosphorylated Akt, in the absence and presence of the ErbB2 inhibitor
pertuzumab. The method successfully identified the parameters associated with
elevation or suppression of Akt phosphorylation in the ErbB2/3 network. From
analysis and comparison of the sensitivity profiles of pAkt in the absence and
presence of targeted drugs we derived predictions of drug targets, cancer-related
biomarkers and generated hypotheses for combinatorial therapy. Several key
predictions have been confirmed in experiments using human ovarian carcinoma cell
lines. We also compared GSA-derived predictions with the results of local
sensitivity analysis and discuss the applicability of both methods. We propose
that the developed GSA procedure can serve as a refining tool in combinatorial
anti-cancer drug discovery.
PMID- 22085638
TI - Biophysical characterization of glycosaminoglycan-IL-7 interactions using SPR.
AB - Glycosaminoglycans (GAGs) interact with a number of cytokines and growth factors
thereby playing an essential role in the regulation of many physiological
processes. These interactions are important for both normal signal transduction
and the regulation of the tissue distribution of cytokines/growth factors. In the
present study, we employed surface plasmon resonance (SPR) spectroscopy to
dissect the binding interactions between GAGs and murine and human forms of
interleukin-7 (IL-7). SPR results revealed that heparin binds with higher
affinity to human IL-7 than murine IL-7 through a different kinetic mechanism.
The optimal oligosaccharide length of heparin for the interactions to human and
murine IL-7 involves a sequence larger than a tetrasaccharide. These results
further demonstrate that while IL-7 is principally a heparin/heparan sulfate
binding protein, it also interacts with dermatan sulfate, chondroitin sulfates C,
D, and E, indicating that this cytokine preferentially interacts with GAGs having
a higher degree of sulfation.
PMID- 22085639
TI - Foot and mouth disease leader protease (Lbpro): Investigation of prime side
specificity allows the synthesis of a potent inhibitor.
AB - Foot and mouth disease virus expresses its genetic information as a single
polyprotein that is translated from the single-stranded RNA genome. Proteinases
contained within the polyprotein then generate the mature viral proteins. The
leader protease (Lb(pro)) performs the initial cleavage by freeing itself from
the growing polypeptide chain; subsequently, Lb(pro) cleaves the two homologues
of the host cell protein eukaryotic initiation factor 4G (eIF4G). We showed that
Lb(pro) possesses specific binding sites at the non prime side from S(1) down to
S(7) [Santos et al. (2009) Biochemistry, 48, 7948-7958]. Here, we demonstrate
that Lb(pro) has high prime side specificity at least down to the S'(5) site.
Lb(pro) is thus not only one of the smallest papain-like cysteine peptidases but
also one of the most specific. It can still however cleave between both K?G and
G?R pairs. We further determined the two-step irreversible inhibition (E + I <->
EI-> E - I) kinetic parameters of two known irreversible epoxide-based inhibitors
of cysteine proteinases, E64 and CA074 on Lb(pro) that show for the reversible
step (E + I <-> EI) K(i) = 3.4 MUM and 11.6 MUM, and for the irreversible step
(EI->E-I) k(4) = 0.16 and 0.06 min(-1), respectively. Knowledge of the Lb(pro)
specificity led us to extend E64 by addition of the dipeptide R-P. This compound,
termed E64-R-P-NH(2), irreversibly inhibited Lb(pro) with a K(i) = 30 nM and k(4)
= 0.01 min(-1) and can serve as the basis for design of specific inhibitors of
FMDV replication.
PMID- 22085640
TI - Selection of RNA aptamers against mouse embryonic stem cells.
AB - Embryonic stem cells (ESCs) are capable of unlimited self-renewal and
differentiation into multiple cell types. Recent large-scale analyses have
identified various cell surface molecules on ESCs. Some of them are considered to
be beneficial markers for characterization of cellular phenotypes and/or play an
essential role for regulating the differentiation state. Thus, it is desired to
efficiently produce affinity reagents specific to these molecules. In this study,
to develop such reagents for mouse ESCs (mESCs), we selected RNA aptamers against
intact, live mESCs using several selection strategies. The initial selection
provided us with several anti-mESC aptamers of distinct sequences, which
unexpectedly react with the same molecule on mESCs. Then, to isolate aptamers
against different surface markers on mESCs, one of the selected aptamers was used
as a competitor in the subsequent selections. In addition, one of the selections
further employed negative selection against differentiated mouse cells.
Consequently, we successfully isolated three classes of anti-mESC aptamers that
do not compete with one another. The isolated aptamers were shown to distinguish
mESCs from differentiated mouse cell lines and trace the differentiation process
of mESCs. These aptamers could prove useful for developing molecular probes and
manipulation tools for mESCs.
PMID- 22085641
TI - Aberrant T-lymphocyte development and function in mice overexpressing human
soluble amyloid precursor protein-alpha: implications for autism.
AB - Abnormalities in T-lymphocyte populations and function are observed in autism.
Soluble amyloid precursor protein alpha (sAPP-alpha) is elevated in some patients
with autism and is known to be produced by immune cells. In light of the well
established role of sAPP-alpha in proliferation, growth, and survival of neurons,
we hypothesized an analogous role in the immune system. Thus, we explored whether
sAPP-alpha could modulate immune development and function, especially aspects of
the pinnacle cell of the adaptive arm of the immune system: the T cell. To do
this, we generated mice overexpressing human sAPP-alpha and characterized
elements of T-cell development, signal transduction, cytokine production, and
innate/adaptive immune functions. Here, we report that transgenic sAPP-alpha
overexpressing (TgsAPP-alpha) mice displayed increased proportions of CD8(+) T
cells, while effector memory T cells were decreased in the thymus. Overall
apoptotic signal transduction was decreased in the thymus, an effect that
correlated with dramatic elevations in Notch1 activation; while active-caspase
3/total-caspase-3 and Bax/Bcl-2 ratios were decreased. Greater levels of IFN
gamma, IL-2, and IL-4 were observed after ex vivo challenge of TgsAPP-alpha mouse
splenocytes with T-cell mitogen. Finally, after immunization, splenocytes from
TgsAPP-alpha mice displayed decreased levels IFN-gamma, IL-2, and IL-4, as well
as suppressed ZAP70 activation, after recall antigen stimulation. Given elevated
levels of circulating sAPP-alpha in some patients with autism, sAPP-alpha could
potentially drive aspects of immune dysfunction observed in these patients,
including dysregulated T-cell apoptosis, aberrant PI3K/AKT signaling, cytokine
alterations, and impaired T-cell recall stimulation.
PMID- 22085642
TI - Oxidation of HRas cysteine thiols by metabolic stress prevents palmitoylation in
vivo and contributes to endothelial cell apoptosis.
AB - Here we demonstrate a new paradigm in redox signaling, whereby oxidants resulting
from metabolic stress directly alter protein palmitoylation by oxidizing reactive
cysteine thiolates. In mice fed a high-fat, high-sucrose diet and in cultured
endothelial cells (ECs) treated with high palmitate and high glucose (HPHG),
there was decreased HRas palmitoylation on Cys181/184 (61+/-24% decrease for
cardiac tissue and 38+/-7.0% in ECs). This was due to oxidation of Cys181/184,
detected using matrix-assisted laser desorption/ionization time of flight (MALDI
TOF)-TOF. Decrease in HRas palmitoylation affected its compartmentalization and
Ras binding domain binding activity, with a shift from plasma membrane tethering
to Golgi localization. Loss of plasma membrane-bound HRas decreased growth factor
stimulated ERK phosphorylation (84+/-8.6% decrease) and increased apoptotic
signaling (24+/-6.5-fold increase) after HPHG treatment that was prevented by
overexpressing wild-type but not C181/184S HRas. The essential role of HRas in
metabolic stress was made evident by the similar effects of expressing an
inactive dominant negative N17-HRas or a MEK inhibitor. Furthermore, the
relevance of thiol oxidation was demonstrated by overexpressing manganese
superoxide dismutase, which improved HRas palmitoylation and ERK phosphorylation,
while lessening apoptosis in HPHG treated ECs.
PMID- 22085643
TI - The cysteines of the extracellular loop are crucial for trafficking of human
organic cation transporter 2 to the plasma membrane and are involved in
oligomerization.
AB - Human organic cation transporter 2 (hOCT2) is involved in transport of many
endogenous and exogenous organic cations, mainly in kidney and brain cells.
Because the quaternary structure of transmembrane proteins plays an essential
role for their cellular trafficking and function, we investigated whether hOCT2
forms oligomeric complexes, and if so, which part of the transporter is involved
in the oligomerization. A yeast 2-hybrid mating-based split-ubiquitin system
(mbSUS), fluorescence resonance energy transfer, Western blot analysis, cross
linking experiments, immunofluorescence, and uptake measurements of the
fluorescent organic cation 4-(4-(dimethylamino)styryl)-N-methylpyridinium were
applied to human embryonic kidney 293 (HEK293) cells transfected with hOCT2 and
partly also to freshly isolated human proximal tubules. The role of cysteines for
oligomerization and trafficking of the transporter to the plasma membranes was
investigated in cysteine mutants of hOCT2. hOCT2 formed oligomers both in the
HEK293 expression system and in native human kidneys. The cysteines of the large
extracellular loop are important to enable correct folding, oligomeric assembly,
and plasma membrane insertion of hOCT2. Mutation of the first and the last
cysteines of the loop at positions 51 and 143 abolished oligomer formation. Thus,
the cysteines of the extracellular loop are important for correct trafficking of
the transporter to the plasma membrane and for its oligomerization.
PMID- 22085644
TI - Loss of desmin triggers mechanosensitivity and up-regulation of Ankrd1 expression
through Akt-NF-kappaB signaling pathway in smooth muscle cells.
AB - Muscle cells, including human airway smooth muscle cells (HASMCs) express ankyrin
repeat protein 1 (Ankrd1), a member of ankyrin repeat protein family. Ankrd1
efficiently interacts with the type III intermediate filament desmin. Our earlier
study showed that desmin is an intracellular load-bearing protein that influences
airway compliance, lung recoil, and airway contractile responsiveness. These
results suggest that Ankrd1 and desmin may play important roles on ASMC
homeostasis. Here we show that small interfering (si)RNA-mediated knockdown of
the desmin gene in HASMCs, recombinant HASMCs (reHASMCs), up-regulates Ankrd1
expression. Moreover, loss of desmin in HASMCs increases the phosphorylation of
Akt, inhibitor of kappaB kinase (IKK)-alpha, and inhibitor of kappaB (IkappaB)
alpha proteins, leading to NF-kappaB activation. Treatment of reHASMCs with Akt,
IKKalpha, IkappaBalpha, or NF-kappaB inhibitor inhibits the loss of desmin
induced Ankrd1 up-regulation, suggesting Akt/NF-kappaB-mediated Ankrd1
regulation. Transfection of reHASMCs with siRNA specific for p50 or p65
corroborates the NF-kappaB-mediated Ankrd1 regulation. Luciferase reporter assays
show that NF-kappaB directly binds on Ankrd1 promoter and up-regulates Ankrd1
levels. Overall, our data provide a new link between desmin and Ankrd1
regulation, which may be important for ASMC homeostasis.
PMID- 22085645
TI - Interactions between different generation HIV-1 fusion inhibitors and the
putative mechanism underlying the synergistic anti-HIV-1 effect resulting from
their combination.
AB - We previously reported that the combinatorial use of T20 and T1144, the first and
next generations of HIV fusion inhibitors, containing different functional
domains resulted in synergistic anti-HIV-1 effect, but this effect diminished
when T20 and T1144 were covalently linked together. To elucidate the mechanism
underlying this synergistic anti-HIV-1 effect, we studied the interactions
between T20 and T1144 either in a mixture state or in a covalently linked state.
T20 alone in solution was largely featureless, while T1144 alone was in alpha
helical trimeric conformation. When mixed in solution, T20 and T1144 showed a
loose and transient interaction, with a moderate 10% alpha-helical content
increase, but this interaction was greatly enhanced in the linked state, and T20
and T1144 showed ~100% alpha-helical content. These results suggested that the
loose and transient interaction between T20 and T1144 may destabilize the T1144
trimer, which makes its otherwise shielded binding sites more accessible to N
terminal heptad repeat (NHR) and increases its associating rate, thus increasing
its anti-HIV-1 potency against the temporarily exposed target in NHR and causing
the synergistic anti-HIV-1 effect. However, the strong interaction between T20
and T1144 in the covalently linked state may shield their NHR-binding sites,
resulting in reduction of the synergistic effect.
PMID- 22085646
TI - Structural features of the KPI domain control APP dimerization, trafficking, and
processing.
AB - The two major isoforms of human APP, APP695 and APP751, differ by the presence of
a Kunitz-type protease inhibitor (KPI) domain in the extracellular region. APP
processing and function is thought to be regulated by homodimerization. We used
bimolecular fluorescence complementation (BiFC) to study dimerization of
different APP isoforms and mutants. APP751 was found to form significantly more
homodimers than APP695. Mutation of dimerization motifs in the TM domain did not
affect fluorescence complementation, but native folding of KPI is critical for
APP751 homodimerization. APP751 and APP695 dimers were mostly localized at steady
state in the Golgi region, suggesting that most of the APP751 and 695 dimers are
in the secretory pathway. Mutation of the KPI led to the retention of the APP
homodimers in the endoplasmic reticulum. We finally showed that APP751 is more
efficiently processed through the nonamyloidogenic pathway than APP695. These
findings provide new insight on the particular role of KPI domain in APP
dimerization. The correlation observed between dimerization, subcellular
localization, and processing suggests that dimerization acts as an efficient
regulator of APP trafficking in the secretory compartments that has major
consequences on its processing.
PMID- 22085647
TI - Localisation to lipid rafts correlates with increased function of the Gal/GalNAc
lectin in the human protozoan parasite, Entamoeba histolytica.
AB - Entamoeba histolytica is the causative agent of dysentery and liver abscess and
is prevalent in developing countries. Adhesion to the host is critical to
infection and is mediated by amoebic surface receptors. One such receptor, the
Gal/GalNAc lectin, binds to galactose or N-acetylgalactosamine residues on host
components and consists of heavy (Hgl), light (Lgl) and intermediate (Igl)
subunits. The mechanism by which the lectin assembles into a functional complex
is not known. The parasite also relies on cholesterol-rich domains (lipid rafts)
for adhesion. Therefore, it is conceivable that rafts regulate the assembly or
function of the lectin. To test this, amoebae were loaded with cholesterol and
lipid rafts were purified and characterised. Western blotting showed that
cholesterol loading resulted in co-compartmentalisation of all three subunits in
rafts. This co-compartmentalisation was accompanied by an increase in the ability
of the amoebae to bind to host cells in a galactose-specific manner, suggesting
that there is a correlation between location and function of the Gal/GalNAc
lectin. Cholesterol loading did not increase the surface levels of the lectin
subunits. Therefore, the cholesterol-induced increase in adhesion was not the
result of externalisation of an internal pool of subunits. A mutant cell line
that modestly responded to cholesterol with a slight increase in adhesion
exhibited only a slight enrichment of Hgl and Lgl in rafts. This supports the
connection between location and function of the Gal/GalNAc lectin. Actin can also
influence the interaction of proteins with rafts. Therefore, the sub-membrane
distribution of the lectin subunits was also assessed after treatment with an
actin depolymerising agent, cytochalasin D. Cytochalasin D-treatment had no
effect on the submembrane distribution of the subunits, suggesting that actin
does not prevent the association of lectin subunits with rafts in this system.
Together, these data provide insight into the molecular mechanisms regulating the
location and function of this adhesin.
PMID- 22085648
TI - Carboxylesterases 1 and 2 hydrolyze phospho-nonsteroidal anti-inflammatory drugs:
relevance to their pharmacological activity.
AB - Phospho-nonsteroidal anti-inflammatory drugs (phospho-NSAIDs) are novel NSAID
derivatives with improved anticancer activity and reduced side effects in
preclinical models. Here, we studied the metabolism of phospho-NSAIDs by
carboxylesterases and assessed the impact of carboxylesterases on the anticancer
activity of phospho-NSAIDs in vitro and in vivo. The expression of human liver
carboxylesterase (CES1) and intestinal carboxylesterase (CES2) in human embryonic
kidney 293 cells resulted in the rapid intracellular hydrolysis of phospho
NSAIDs. Kinetic analysis revealed that CES1 is more active in the hydrolysis of
phospho-sulindac, phospho-ibuprofen, phospho-naproxen, phospho-indomethacin, and
phospho-tyrosol-indomethacin that possessed a bulky acyl moiety, whereas the
phospho-aspirins are preferentially hydrolyzed by CES2. Carboxylesterase
expression leads to a significant attenuation of the in vitro cytotoxicity of
phospho-NSAIDs, suggesting that the integrity of the drug is critical for
anticancer activity. Benzil and bis-p-nitrophenyl phosphate (BNPP), two
carboxylesterase inhibitors, abrogated the effect of carboxylesterases and
resensitized carboxylesterase-expressing cells to the potent cytotoxic effects of
phospho-NSAIDs. In mice, coadministration of phospho-sulindac and BNPP partially
protected the former from esterase-mediated hydrolysis, and this combination more
effectively inhibited the growth of AGS human gastric xenografts in nude mice
(57%) compared with phospho-sulindac alone (28%) (p = 0.037). Our results show
that carboxylesterase mediates that metabolic inactivation of phospho-NSAIDs, and
the inhibition of carboxylesterases improves the efficacy of phospho-NSAIDs in
vitro and in vivo.
PMID- 22085649
TI - 3-Substituted pyrazole analogs of the cannabinoid type 1 (CB1) receptor
antagonist rimonabant: cannabinoid agonist-like effects in mice via non-CB1, non
CB2 mechanism.
AB - The prototypic cannabinoid type 1 (CB1) receptor antagonist/inverse agonist,
rimonabant, is comprised of a pyrazole core surrounded by a carboxyamide with
terminal piperidine group (3-substituent), a 2,4-dichlorophenyl group (1
substituent), a 4-chlorophenyl group (5-substituent), and a methyl group (4
substituent). Previous structure-activity relationship (SAR) analysis has
suggested that the 3-position may be involved in receptor recognition and agonist
activity. The goal of the present study was to develop CB1-selective compounds
and explore further the SAR of 3-substitution on the rimonabant template. 3
Substituted analogs with benzyl and alkyl amino, dihydrooxazole, and oxazole
moieties were synthesized and evaluated in vitro and in vivo. Several notable
patterns emerged. First, most of the analogs exhibited CB1 selectivity, with many
lacking affinity for the CB2 receptor. Affinity tended to be better when [3H]5-(4
chlorophenyl)-1-(2,4-dichloro-phenyl)-4-methyl-N-(piperidin-1-yl)-1H-pyrazole-3
carboxamide (SR141716), rather than [3H](-)-cis-3-[2-hydroxy-4(1,1-dimethyl
heptyl)phenyl]-trans-4-(3-hydroxy-propyl)cyclohexanol (CP55,940), was used as the
binding radioligand. Second, many of the analogs produced an agonist-like profile
of effects in mice (i.e., suppression of activity, antinociception, hypothermia,
and immobility); however, their potencies were not well correlated with their CB1
binding affinities. Further assessment of selected analogs showed that none were
effective antagonists of the effects of Delta9-tetrahydrocannabinol in mice,
their agonist-like effects were not blocked by rimonabant, they were active in
vivo in CB1-/- mice, and they failed to stimulate guanosine-5'-O-(3-[35S]thio)
triphosphate binding. Several analogs were inverse agonists in the latter assay.
Together, these results suggest that this series of 3-substituted pyrazole
analogs represent a novel class of CB1-selective cannabinoids that produce
agonist-like effects in mice through a non-CB1, non-CB2 mechanism.
PMID- 22085650
TI - Effects of feeding polydextrose on faecal characteristics, microbiota and
fermentative end products in healthy adult dogs.
AB - Polydextrose is a potential prebiotic, but has not been well tested in dogs.
Thus, the objective of the present study was to determine the effects of
polydextrose on faecal characteristics, microbial populations and fermentative
end products in healthy adult dogs. A total of eight adult hound dogs (3.5 (sem
0.5) years; 20 (sem 0.5) kg) were randomly allotted to one of four test diets
containing the following concentrations of polydextrose: (1) 0 % (control); (2)
0.5 %; (3) 1.0 %; or (4) 1.5 %. A Latin square design was used, with each
treatment period lasting 14 d (days 0-10 adaptation; days 11-14 fresh and total
faecal collection). All dogs were fed to maintain body weight. Data were
evaluated for linear and quadratic effects using SAS software. Although apparent
total tract DM digestibility was unaffected, total tract crude protein
digestibility tended to decrease (P < 0.10) linearly with increasing dietary
polydextrose concentrations. Fresh faecal DM percentage tended to decrease (P <
0.10) linearly, while faecal scores increased (P < 0.05; looser stools) with
increasing dietary concentrations of polydextrose. Faecal acetate, propionate and
total SCFA concentrations increased (P < 0.05) linearly with increased dietary
polydextrose. Faecal pH decreased (P < 0.05) linearly with increasing
polydextrose. Faecal indole tended to decrease (P < 0.10) linearly with
increasing polydextrose, but other faecal protein catabolites were not changed.
Faecal Clostridium perfringens linearly decreased (P < 0.05) with increasing
dietary polydextrose concentrations, but Escherichia coli, Lactobacillus spp. and
Bifidobacterium spp. were not affected. Based on the present results,
polydextrose appears to act as a highly fermentable fibre, but requires further
research to test its potential as a prebiotic in dogs.
PMID- 22085651
TI - Interference of kallikrein 1b26 (klk1b26) translation by microRNA specifically
expressed in female mouse submandibular glands: an additional mechanism for
sexual dimorphism of klk1b26 protein in the glands.
AB - BACKGROUND: Mouse kallikrein 1b26 (klk1b26) protein is more abundant in male
submandibular glands (SMGs) than in female ones. This sexual dimorphism has been
thought to be due to increased mRNA synthesis stimulated by androgen. However,
the klk1b26 protein level in female SMG is far less than that expected from the
mRNA level, suggesting an additional mechanism for down-regulation of klk1b26
expression in female SMGs. METHODS: We examined the effects of small non-coding
RNAs in mouse SMGs on in vitro translation of klk1b26 using a reticulocyte lysate
system and reverse transcription (RT)-PCR for klk1b26 mRNA. Statistical analyses
were performed with a computer package (Microsoft Excel). RESULTS: The microRNA
(miRNA) preparation from female SMGs, but not male SMGs, interfered with the in
vitro translation of the klk1b26 protein and inhibited the RT-PCR for klk1b26
mRNA with forward primers targeting its 5'-terminal region (between the 15th and
40th nucleotide from the 5'-terminal). The miRNA preparation from castrated mouse
SMGs showed the inhibitory effect on the klk1b26 translation, but that from a
5alpha-dihydrotestosterone-treated female mouse SMGs did not. Synthetic miRNAs
(miR-325 and miR-1497a), which have partial complementarity with klk1b26 mRNA at
its 5'-terminal region (15th to 40th nucleotide position from the 5'-terminal),
also interfered with the in vitro klk1b26 translation. When the female miRNA
preparation was incubated with a 30-nucleotide-long single-strand oligoDNA (named
[15th-44th]ssDNA, whose sequence corresponded to the 15th to 44th position from
the 5'-terminal of klk1b26 mRNA) prior to the addition into the in vitro
translation system, the inhibitory effect of the miRNA preparation on klk1b26
translation disappeared, while [15th-44th]ssDNA itself had no effect on the
translation. Preincubation of the miRNA preparation with another single-strand
DNA ([169th-198th]ssDNA, whose sequence corresponded with 169th to 198th position
of klk1b26 mRNA) did not show the inhibitory effect. CONCLUSIONS: The small non
coding RNA, most probably miRNA, specifically expressed in female mouse SMGs
interfered with klk1b26 protein synthesis in the in vitro translation system.
Therefore sexual dimorphism observed in klk1b26 expression in mouse SMGs is due
at least in part to the female-specific small non-coding RNA in SMGs.
PMID- 22085652
TI - Genes, animal models and the current understanding of psychiatric disease.
PMID- 22085653
TI - Cellular uptake mechanism and knockdown activity of siRNA-loaded biodegradable
DEAPA-PVA-g-PLGA nanoparticles.
AB - Efficient downregulation of gene expression depends on the uptake, intracellular
distribution and efficient release of siRNA from their carrier. Therefore, the
cellular uptake behavior and mechanism and intracellular localization of siRNA
loaded biodegradable nanoparticles were investigated. A biodegradable polymer,
composed of poly(vinyl alcohol) (PVA) modified with diamine moieties and grafted
with PLGA, abbreviated as DEAPA-PVA-g-PLGA, was used for the preparation of siRNA
loaded nanoparticles by solvent displacement. Particle sizes and morphology were
determined by dynamic light scattering (DLS) and scanning electron microscopy
(SEM). The dependence of particle uptake into H1299-EGFP cells (lung cancer cells
expressing green fluorescent protein) on both incubation time and temperature was
studied by flow cytometry. Inhibition experiments focusing on clathrin- or
caveolae-mediated uptake or uptake by macropinocytosis were performed. The
intracellular localization was investigated by confocal laser scanning
microscopy. The GFP knockdown efficiency was determined in vitro to establish the
potential of the nanoparticles for the downregulation of gene expression.
Nanoparticles with diameters of 120-180nm were successfully generated. In
contrast to the uptake of standard PEI-polyplexes, which increased continuously
over a period of 4h, nanoparticle uptake was complete within 2h. A decrease in
particle uptake at 4 degrees C (in comparison with 37 degrees C) suggests an
active uptake process. Inhibition experiments revealed the predominance of
clathrin-mediated uptake for siRNA-loaded nanoparticles. The siRNA-loaded
nanoparticles could be clearly located within cells, mainly in intracellular
vesicles. Particle uptake could be increased by the addition of lung surfactant
to the formulation. Bioactivity in terms of successful GFP knockdown in vitro was
demonstrated and could be further optimized by the use of surfactant-modified
particles. In conclusion, a high and rapid cellular uptake was shown for siRNA
loaded nanoparticles. Cell internalization is based on an energy-dependent and
predominantly clathrin-mediated process. Particle localization in endosomes and
lysosomes was demonstrated. Evidence for the efficient delivery of bioactive
siRNA and specific GFP knockdown provides a solid basis for the application of
DEAPA-PVA-g-PLGA-based particles for gene silencing in vivo.
PMID- 22085654
TI - beta-Casein nanoparticle-based oral drug delivery system for potential treatment
of gastric carcinoma: stability, target-activated release and cytotoxicity.
AB - We studied a potential drug delivery system comprising the hydrophobic anticancer
drug paclitaxel entrapped within beta-casein (beta-CN) nanoparticles and its
cytotoxicity to human gastric carcinoma cells. Paclitaxel was entrapped by
stirring its dimethyl sulfoxide (DMSO) solution into PBS containing beta-CN. Cryo
TEM analysis revealed drug nanocrystals, the growth of which was blocked by beta
CN. Entrapment efficiency was nearly 100%, and the nanovehicles formed were
colloidally stable. Following encapsulation and simulated digestion with pepsin
(2 hours at pH=2, 37 degrees C), paclitaxel retained its cytotoxic activity to
human N-87 gastric cancer cells; the IC(50) value (32.5 +/- 6.2 nM) was similar
to that of non-encapsulated paclitaxel (25.4 +/- 2.6 nM). Without prior simulated
gastric digestion, beta-CN-paclitaxel nanoparticles were non-cytotoxic,
suggesting the lack of untoward toxicity to bucal and esophageal epithelia. We
conclude that beta-CN shows promise to be useful for target-activated oral
delivery of hydrophobic chemotherapeutics in the treatment of gastric carcinoma,
one of the leading causes of cancer mortality worldwide.
PMID- 22085656
TI - The anthocyanin cyanidin-3-O-beta-glucoside, a flavonoid, increases hepatic
glutathione synthesis and protects hepatocytes against reactive oxygen species
during hyperglycemia: Involvement of a cAMP-PKA-dependent signaling pathway.
AB - Enhanced oxidative stress due to high glucose contributes to pathological changes
in diabetes-related liver complications. Reducing oxidative stress may alleviate
these pathogenic processes. Anthocyanin, a natural antioxidant, has been reported
to reduce intracellular reactive oxygen species (ROS) levels but the mechanism of
this reduction is not fully understood. The glutathione (GSH) antioxidant system
is critical for counteracting oxidative stress-induced intracellular injury. In
this study, we evaluated the mechanism of the anthocyanin-mediated regulation of
GSH synthesis and reduction in intracellular ROS levels. We observed that
treatment of human HepG2 cells with the anthocyanin C3G significantly reduced ROS
levels induced by high glucose. C3G incubation increased glutamate-cysteine
ligase expression, which in turn mediated the reduction in ROS levels. However,
the upregulation of glutamate-cysteine ligase catalytic subunit (Gclc) expression
by C3G occurred independent of the Nrf1/2 transcription factors. Notably, the
cAMP-response element binding protein (CREB) was identified as the target
transcription factor involved in the C3G-mediated upregulation of Gclc
expression. C3G increased phosphorylation of CREB through protein kinase A (PKA)
activation, which induced a CREB-mediated upregulation of Gclc transcription. In
vivo, treatment with C3G increased the GSH synthesis in the liver of diabetic
db/db mice through PKA-CREB-dependent induction of Gclc expression. Finally,
oxidative stress determined by lipid peroxidation, neutrophil infiltration, and
hepatic steatosis was attenuated in C3G-treated db/db mice. Our results
demonstrate that the anthocyanin C3G has an effect of activating GSH synthesis
through a novel antioxidant defense mechanism against excessive ROS production,
contributing to the prevention of hyperglycemia-induced hepatic oxidative damage.
PMID- 22085655
TI - The role of sirtuins in modulating redox stressors.
AB - For much of the time since their discovery, the sirtuin family of deacetylase
enzymes has been associated with extension of life span. This longevity-promoting
capacity in numerous model systems has enabled the sirtuins to gain "celebrity
status" in the field of aging research. However, the mechanisms underpinning
these changes remain incompletely defined. A general phenotype long associated
with aging is the dysregulation of biological systems, which partly occurs via
the accumulation of damage over time. One of the major sources of this damage is
oxidative stress, which can harm both biological structures and the mechanisms
with which they are repaired. It is now becoming clear that the beneficial life
span effects of sirtuins, along with many of their other functions, are closely
linked to their ability to regulate systems that control the redox environment.
Here we investigate the links between sirtuins and their oxidative/redox
environment and review the control mechanisms that are regulated by the activity
of sirtuin deacetylase proteins.
PMID- 22085657
TI - Gestatational trophoblastic disease: multimodality imaging assessment with
special emphasis on spectrum of abnormalities and value of imaging in staging and
management of disease.
AB - Gestational trophoblastic disease is a spectrum of disorders of varying malignant
potential arising from trophoblastic cells and encompassing hydatidiform moles
and persistent trophoblastic neoplasia. Ultrasound is the initial imaging
investigation of choice when gestational trophoblastic disease is suspected.
Complete hydatiform mole, the most common form of molar pregnancy, usually has a
characteristic "cluster of grapes" appearance, especially on second-trimester
ultrasounds. Persistent trophobastic neoplasia usually appears as a focal,
hypervascular myometrial mass on pelvic ultrasound. Pelvic magnetic resonance
imaging is often used as a problem-solving tool in equivocal or complicated cases
of gestational trophoblastic disease to assess the degree of invasion into the
myometrium and surrounding tissues. Chest x-ray, brain magnetic resonance
imaging, and body computed tomography scans are primarily used for the evaluation
of metastatic disease, which usually occurs in the context of choriocarcinomas.
Choriocarcinoma metastases are usually hypervascular and have a tendency to
bleed, features that are reflected in their imaging appearance.
PMID- 22085658
TI - Neuroimaging of migrational disorders in pediatric epilepsy.
AB - Seizures in children are common and represent a final pathway for a variety of
brain insults. Although most children with seizures do not require imaging, when
indicated, imaging plays an important role in the clinical workup. Imaging in the
pediatric seizure population is reserved for a particular subset of patients
depending on factors, such as age of onset, symptomatology, physical examination
findings, and specific electroencephalography changes to name a few. The
etiologies of seizures are extensive and include disorders of cortical migration
and organization. Cortical migration and organization disorders are
multifactorial and complex and a major cause of seizure disorders. Although
magnetic resonance imaging is the most common imaging modality used to identify
the seizure focus, positron emission tomographic and/or diffusion tensor imaging
are beginning to provide complementary information about the involved areas.
Early and accurate detection is key to better treatment and overall improved
patient prognosis.
PMID- 22085659
TI - Imaging of duodenal diverticula and their complications.
AB - Duodenal diverticula are common and are often incidentally found during routine
imaging. Complications can occur but few require surgical intervention. We
present a review of duodenal diverticula and their complications.
PMID- 22085660
TI - Spectrum of synovial pathologies: a pictorial assay.
AB - The synovium, a specialized vascular tissue, lines the diarthrodial joints,
bursae, and tendon sheaths of the body. It helps in nourishment of articular
structures. The synovium is affected by a variety of disorders that can be either
localized or systemic. Although normal synovium is barely perceptible on magnetic
resonance imaging, it provides an excellent imaging modality for the evaluation
of pathologic processes involving the synovium. The pathologic processes
affecting the synovium include 1 of the following etiologies: inflammatory,
infectious, degenerative, traumatic, or neoplastic and tumor-like conditions. In
this article, we discuss the magnetic resonance imaging technique and the
sequences used in the evaluation of synovial pathologies and review the
characteristic imaging findings of specific conditions thus narrowing the
differential diagnoses.
PMID- 22085661
TI - Twenty years after: do animal trials inform clinical resuscitation research?
PMID- 22085662
TI - Do long-acting beta2 agonists impair the effect of short-acting beta2 agonists in
some patients?
PMID- 22085664
TI - Antibiotics for acute otitis media in young children.
PMID- 22085665
TI - Anaphylaxis: recognition and management.
AB - Anaphylaxis is a severe, life-threatening, systemic allergic reaction that is
almost always unanticipated and may lead to death by airway obstruction or
vascular collapse. Anaphylaxis occurs as the result of an allergen response,
usually immunoglobulin E-mediated, which leads to mast cell and basophil
activation and a combination of dermatologic, respiratory, cardiovascular,
gastrointestinal, and neurologic symptoms. Dermatologic and respiratory symptoms
are most common, occurring in 90 and 70 percent of episodes, respectively. The
three most common triggers are food, insect stings, and medications. The
diagnosis of anaphylaxis is typically made when symptoms occur within one hour of
exposure to a specific antigen. Confirmatory testing using serum histamine and
tryptase levels is difficult, because blood samples must be drawn with strict
time considerations. Allergen skin testing and in vitro assay for serum
immunoglobulin E of specific allergens do not reliably predict who will develop
anaphylaxis. Administration of intramuscular epinephrine at the onset of
anaphylaxis, before respiratory failure or cardiovascular compromise, is
essential. Histamine H(1) receptor antagonists and corticosteroids may be useful
adjuncts. All patients at risk of recurrent anaphylaxis should be educated about
the appropriate use of prescription epinephrine autoinjectors.
PMID- 22085666
TI - Evaluation of chronic diarrhea.
AB - Chronic diarrhea, defined as a decrease in stool consistency for more than four
weeks, is a common but challenging clinical scenario. It can be divided into
three basic categories: watery, fatty (malabsorption), and inflammatory. Watery
diarrhea may be subdivided into osmotic, secretory, and functional types. Watery
diarrhea includes irritable bowel syndrome, which is the most common cause of
functional diarrhea. Another example of watery diarrhea is microscopic colitis,
which is a secretory diarrhea affecting older persons. Laxative-induced diarrhea
is often osmotic. Malabsorptive diarrhea is characterized by excess gas,
steatorrhea, or weight loss; giardiasis is a classic infectious example. Celiac
disease (gluten-sensitive enteropathy) is also malabsorptive, and typically
results in weight loss and iron deficiency anemia. Inflammatory diarrhea, such as
ulcerative colitis or Crohn disease, is characterized by blood and pus in the
stool and an elevated fecal calprotectin level. Invasive bacteria and parasites
also produce inflammation. Infections caused by Clostridium difficile subsequent
to antibiotic use have become increasingly common and virulent. Not all chronic
diarrhea is strictly watery, malabsorptive, or inflammatory, because some
categories overlap. Still, the most practical diagnostic approach is to attempt
to categorize the diarrhea by type before testing and treating. This narrows the
list of diagnostic possibilities and reduces unnecessary testing. Empiric therapy
is justified when a specific diagnosis is strongly suspected and follow-up is
available.
PMID- 22085667
TI - Chronic diarrhea.
PMID- 22085668
TI - Chronic kidney disease: detection and evaluation.
AB - Chronic kidney disease affects an estimated 27 million adults in the United
States, and is associated with significantly increased risk of cardiovascular
disease and stroke. Patients should be assessed annually to determine whether
they are at increased risk of developing chronic kidney disease based on clinical
and sociodemographic factors. Diabetes mellitus, hypertension, and older age are
the primary risk factors that warrant screening. Other risk factors include
cardiovascular disease, family history of chronic kidney disease, and ethnic and
racial minority status. Serum creatinine levels can be used to estimate the
glomerular filtration rate, and spot urine testing can detect proteinuria. After
the diagnosis of chronic kidney disease is made, staging based on estimated
glomerular filtration rate determines prognosis, evaluation, and management.
Further evaluation should focus on the specific type of kidney disease and on
identifying complications related to the disease stage. Patients should be
assessed for risk factors leading to the further loss of kidney function and
cardiovascular disease. Patients with estimated glomerular filtration rates less
than 30 mL per minute per 1.73 m(2), significant proteinuria, or rapid loss of
kidney function should be referred to a nephrologist for further evaluation and
management.
PMID- 22085669
TI - Clinical vignettes in geriatric depression.
AB - The diagnosis of depression in older patients is often complicated by comorbid
conditions, such as cerebrovascular disease or dementia. Tools specific for this
age group, such as the Geriatric Depression Scale or the Cornell Scale for
Depression in Dementia, may assist in making the diagnosis. Treatment decisions
should consider risks associated with medications, such as serotonin syndrome,
hyponatremia, falls, fractures, and gastrointestinal bleeding. Older white men
with depression are at high risk of suicide. Depression is common after stroke or
myocardial infarction, and response to antidepressant treatment has been linked
to vascular outcomes. Depression care management is an important adjunct to the
use of antidepressant medications. Structured psychotherapy and exercise programs
are useful treatments for select patients.
PMID- 22085670
TI - Caring for older family members with depression.
PMID- 22085671
TI - Patient with plaques on lower extremities and systemic symptoms.
PMID- 22085672
TI - Colonic diverticular disease.
PMID- 22085674
TI - Transcriptional regulation of the PTTH receptor in prothoracic glands of the
silkworm, Bombyx mori.
AB - The present study investigated transcriptional regulation of the
prothoracicotropic hormone (PTTH) receptor (Torso) gene in prothoracic glands
(PGs) of the silkworm, Bombyx mori. The results showed that PTTH treatment in
vitro time-dependently affected Torso mRNA levels, with an inhibitory effect
being detected after 1- and 2-h periods of incubation. When methoprene, a
juvenile hormone analogue (JHA), was applied to newly ecdysed last instar larvae,
a decline in Torso mRNA levels during the early last larval instar was delayed
compared to those treated with acetone. Injection of 20-hydroxyecdysone appeared
to have a stimulatory effect on Torso mRNA levels. Torso mRNA levels were also
shown to be nutrition-sensitive. From these results, it was suggested that Torso
mRNA levels of the PGs appear to be hormonally regulated and nutrition-sensitive,
and the endogenous precisely coordinated regulation of Torso mRNA levels may play
a role in regulating ecdysteroidogenesis by PGs during development.
PMID- 22085675
TI - Cellulolytic environment in the midgut of the wood-feeding higher termite
Nasutitermes takasagoensis.
AB - Unlike lower termites, xylophagous higher termites thrive on wood without the aid
of symbiotic protists. In the higher termite Nasutitermes takasagoensis, both
endogenous endo-beta-1,4-glucanase and beta-glucosidase genes are expressed in
the midgut, which is believed to be the main site of cellulose digestion. To
further explore the detailed cellulolytic system in the midgut of N.
takasagoensis, we performed immunohistochemistry and digital light microscopy to
determine distributions of cellulolytic enzymes in the salivary glands and the
midgut as well as the total cellulolytic activity in the midgut. Although
cellulolytic enzymes were uniformly produced in the midgut epithelium, the
concentration of endo-beta-1,4-glucanase activity and luminal volume in the
midgut were comparable to those of the wood-feeding lower termite Coptotermes
formosanus, which digests cellulose with the aid of hindgut protists. However,
the size of ingested wood particles was considerably larger in N. takasagoensis
than that in C. formosanus. Nevertheless, it is possible that the cellulolytic
system in the midgut of N. takasagoensis hydrolyzes highly crystalline cellulose
to a certain extent. The glucose produced did not accumulate in the midgut lumen.
Therefore, the present study suggests that the midgut of the higher termite
provides the necessary conditions for cellulolysis.
PMID- 22085676
TI - Effect of bis(maltolato)oxovanadium (IV) (BMOV) on selenium nutritional status in
diabetic streptozotocin rats.
AB - The role of V as a micronutrient, and its hypoglycaemic and toxicological
activity, have yet to be completely established. The present study focuses on
changes in the bioavailability and tissue distribution of Se in diabetic
streptozotocin rats following treatment with V. The following four study groups
were examined: control; diabetic (DM); diabetic treated with 1 mg V/d (DMV);
diabetic treated with 3 mg V/d (DMVH). V was supplied in the drinking water as
bis(maltolato)oxovanadium (IV). The experiment had a duration of 5 weeks. Se was
measured in food, faeces, urine, serum, muscle, kidney, liver and spleen. Glucose
and insulin serum were studied, together with glutathione peroxidase (GSH-Px),
glutathione reductase (GR), glutathione transferase (GST) activity and
malondialdehyde (MDA) levels in the liver. In the DM group, we recorded higher
levels of food intake, Se absorbed, Se retained, Se content in the kidney, liver
and spleen, GSH-Px and GST activity, in comparison with the control rats. In the
DMV group, there was a significant decrease in food intake, Se absorbed, Se
retained and Se content in the liver and spleen, and in GSH-Px and GST activity,
while fasting glycaemia and MDA remained unchanged, in comparison with the DM
group. In the DMVH group, there was a significant decrease in food intake,
glycaemia, Se absorbed, Se retained, Se content in the kidney, liver and spleen,
and in GSH-Px and GST activity, and increased MDA, in comparison with the DM and
DMV groups. We conclude that under the experimental conditions described, the
treatment with 3 mg V/d caused a tissue depletion of Se that compromised Se
nutritional status and antioxidant defences in the tissues.
PMID- 22085677
TI - Complex formation and kinetics of filament assembly exhibited by the simple
epithelial keratins K8 and K18.
AB - We have generated human recombinant keratins K8 and K18 and describe conditions
to quantitatively follow their assembly into filaments. When renatured
individually from 8M urea into a low ionic strength/high pH-buffer, K8 was
present in a dimeric to tetrameric form as revealed by analytical
ultracentrifugation. In contrast, K18 sedimented as a monomer. When mixed in 8 M
urea and renatured together, K8 and K18 exhibited s-value profiles compatible
with homogeneous tetrameric complexes. This finding was confirmed by
sedimentation equilibrium centrifugation. Subsequently, these tetrameric starter
units were subjected to assembly experiments at various protein concentrations.
At low values such as 0.0025 g/l, unit-length filaments were abundantly present
after 2s of assembly. During the following 5 min, filaments grew rapidly and by
measuring the length of individual filaments we were able to generate time
dependent length profiles. These data revealed that keratins K8/K18 assemble
several times faster than vimentin and desmin. In addition, we determined the
persistence length l(p) of K8/K18 filaments to be in the range of 300 nm.
Addition of 1 mM MgCl(2) increases l(p) to 480 nm indicating that magnesium ions
affect the interaction of keratin subunits within the filament during assembly to
some extent.
PMID- 22085678
TI - Intentional forgetting might be more effortful than remembering: an ERP study of
item-method directed forgetting.
AB - This study recorded ERPs while participants engaged in a procedure that combined
semantic priming and item-method directed forgetting, aiming to investigate the
issues of whether intentional forgetting demands cognitive efforts and modulates
the semantic processing of to-be-remembered (TBR) and to-be-forgotten (TBF)
items. Participants made lexical decisions to semantically related or unrelated
prime and target words. A Remember/Forget cue, presented between the prime and
target, designated the prime as TBR or TBF. When the cues were shown for 500 ms,
targets preceded by Forget cues yielded a smaller P200 wave than those preceded
by Remember cues. Furthermore, the topography of the N400 effect was different
for targets preceded by Remember and Forget cues. The cues did not modulate the
ERPs of the targets when they were shown for 1500 ms. Because P200 is sensitive
to attention influence and the N400 effect reflects semantic processing, we
conclude that forgetting is more effortful than remembering and that the semantic
processing is different for TBR and TBF items. Nevertheless, there is a temporal
limitation for the Remember/Forget cues to modulate the semantic processing and
attentional resources in item-method directed forgetting.
PMID- 22085679
TI - Protein-loaded PLGA-PEG-PLGA microspheres: a tool for cell therapy.
AB - A promising strategy to repair injured organs is possible by delivering a growth
factor via poly-(d,l lactide-co-glycolide) (PLGA) microspheres; the latter are
coated with adhesion molecules that serve as a support for cell delivery. At
present, PLGA is not the optimal choice of polymer because of poor or incomplete
protein release. The use of a more hydrophilic PLGA-PEG-PLGA (A-B-A) copolymer
increases the degree of protein release. In this work, the impact of different
combinations of (B) and (A) segments on the protein-release profile has been
investigated. Continuous-release profiles, with no lag phases, were observed. The
triblock ABA with a low molecular weight of PEG and a high molecular weight of
PLGA showed an interesting release pattern with a small burst (<10% in 48 h)
followed by sustained, protein release over 36 days. Incomplete protein release
was found to be due to various causes: protein adsorption, protein aggregation
and protein denaturation under acidic conditions. Interestingly, cell viability
and cell adhesion on microspheres coated with fibronectin highlight the interest
of these polymers for tissue engineering applications.
PMID- 22085680
TI - Carboxylated poly(glycerol methacrylate)s for doxorubicin delivery.
AB - Poly(glycerol methacrylate)s (PGOHMAs) were successfully synthesized via the
hydrolysis of the epoxy groups on linear and/or star-shaped poly(glycidyl
methacrylate)s (PGMAs). Further modification of the hydroxyl groups on PGOHMAs
with succinic anhydride (SA) or 1,2-cyclohexanedicarboxylic anhydride (CDA)
resulted in a new type of polyacid polymer, namely, PGOHMACOOH for short, which
was then employed to prepare pH-sensitive assemblies using dialysis method. The
carboxylated polymers were quite effective in the encapsulation of doxorubicin
hydrochloride (DOX) by electrostatic interaction. Compared with poly(acrylic
acid) (PAA), the star-shaped PGOHMA modified with CDA exhibited higher
encapsulation efficiency and loading capacity, as well as better pH-responsive
release profile. Scanning electron microscope images showed that the polymeric
nanoparticles before and after encapsulation of DOX were spherical in shape. The
encapsulation efficiency, loading capacity and release properties of these
polymers were found to rely on their backbone architectures and the type of
carboxylated functionalities. By fine-tuning these factors to achieve optimal
properties, such type of polymeric materials holds promise as an attractive and
effective drug delivery vehicle.
PMID- 22085681
TI - The concomitant use of an osmotic laxative, magnesium sulphate, and a stimulant
laxative, bisacodyl, does not enhance the laxative effect.
AB - Patients with severe constipation are treated with combinations of several
different laxatives. The purpose of this study is to examine whether the
concomitant use of different laxatives enhances the laxative effect, using an
osmotic laxative, magnesium sulphate (MgSO4), and a stimulant laxative,
bisacodyl. The faecal water content of rats, to which MgSO4 and bisacodyl were
coadministered, was lower than that in the MgSO4 group, while the change in the
faecal water content over time was very similar to that in the bisacodyl group.
The mRNA expression of the osmotic pressure marker, sodium/myo-inositol
transporter, in the coadministration group 5h after the administration was
significantly higher than that in the control group and almost equal to that in
the MgSO4 group. The protein expression level of aquaporin-3 (AQP3), which plays
an important role in water transfer, in the coadministration group decreased
compared to the control group, as was the case in the bisacodyl group. The
results of this study indicates that the coadministration of MgSO4 and bisacodyl
does not enhance the laxative effect because the expression level of AQP3 in the
colon in the coadministration group was almost equal to that in the bisacodyl
group.
PMID- 22085682
TI - Tricin 7-glucoside protects against experimental cerebral ischemia by reduction
of NF-kappaB and HMGB1 expression.
AB - There have been several studies of nuclear factor-kappaB (NF-kappaB) and high
mobility group box1 (HMGB1) associated with the pathophysiology of cerebral
ischemia. Tricin 7-glucoside, a major bioactive compound extracted from Sedum
sarmentosum Bunge. The objectives of this study were to determine the effects of
Tricin 7-glucoside on a cultured neuronal cell line, SH-SY5Y in vitro and
experimental ischemic stroke in vivo. For oxygen-glucose deprivation (OGD) and
tumor necrosis factor-alpha (TNF-alpha) stimulated SH-SY5Y cell line in vitro, SH
SY5Y cells were incubated with Tricin 7-glucoside. For in vivo experiment, rats
were subjected to middle cerebral artery occlusion (MACO) for 1h, then followed
by reperfusion for 23 h. Treatment of SH-SY5Y cells with Tricin 7-glucoside
reduced the OGD-induced apoptosis and cytotoxicity, blocked TNF-alpha-induced NF
kappaB and IkappaB-alpha phosphorylation, and decreased HMGB1 expression. At
doses higher than 50mg/kg, Tricin 7-glucoside produced a significant
neuroprotective potential in rats with ischemia and reperfusion (I/R). Tricin 7
glucoside (100mg/kg) demonstrated significant neuroprotective activity even after
delayed administration at 2h and 4h after I/R. Tricin 7-glucoside 100mg/kg
attenuated histopathological damage, decreased brain edema, inhibited NF-kappaB
activation and reduced HMGB1 expression. These data show that Tricin 7-glucoside
protects brain against I/R injury with a favorable therapeutic time-window by
alleviating cerebral I/R injury and attenuating blood-brain barrier (BBB)
breakdown, and its protective effects may involve HMGB1 and NF-kappaB signaling
pathway.
PMID- 22085683
TI - Acupuncture as analgesia for low back pain, ankle sprain and migraine in
emergency departments: study protocol for a randomized controlled trial.
AB - BACKGROUND: Pain is the most common reason that patients present to an emergency
department (ED) and is often inadequately managed. Evidence suggests that
acupuncture is effective for pain relief, yet it is rarely practiced in the ED.
The current study aims to assess the efficacy of acupuncture for providing
effective analgesia to patients presenting with acute low back pain, migraine and
ankle sprain at the EDs of four hospitals in Melbourne, Australia. METHOD: The
study is a multi-site, randomized, assessor-blinded, controlled trial of
acupuncture analgesia in patients who present to an ED with low back pain,
migraine or ankle sprain. Patients will be block randomized to receive either
acupuncture alone, acupuncture as an adjunct to pharmacotherapy or
pharmacotherapy alone. Acupuncture will be applied according to Standards for
Reporting Interventions in Clinical Trials of Acupuncture (STRICTA). Pain after
one hour, measured using a visual analogue scale (VAS), is the primary outcome.
Secondary outcomes measures include the following instruments; the Oswestry low
back pain disability questionnaire, 24-hour Migraine Quality of Life
questionnaire and Patient's Global Assessment of Ankle Injury Scale. These
measures will be recorded at baseline, 1 hour after intervention, each hour until
discharge and 48+/-12 hours of ED discharge. Data will also be collected on the
safety and acceptability of acupuncture and health resource utilization.
DISCUSSION: The results of this study will determine if acupuncture, alone or as
an adjunct to pharmacotherapy provides effective, safe and acceptable pain relief
for patients presenting to EDs with acute back pain, migraine or ankle sprain.
The results will also identify the impact that acupuncture treatment may have
upon health resource utilisation in the ED setting. TRIAL REGISTRATION: Australia
and New Zealand Clinical Trials Register (ANZCTR): ACTRN12609000989246.
PMID- 22085684
TI - Imaging in gout--what can we learn from MRI, CT, DECT and US?
AB - There are many exciting new applications for advanced imaging in gout. These
modalities employ multiplanar imaging and allow computerized three-dimensional
rendering of bone and joints (including tophi) and have the advantage of
electronic data storage for later retrieval. High-resolution computed tomography
has been particularly helpful in exploring the pathology of gout by investigating
the relationship between bone erosions and tophi. Magnetic resonance imaging and
ultrasonography can image the inflammatory nature of gouty arthropathy, revealing
synovial and soft tissue inflammation, and can provide information about the
composition and vascularity of tophi. Dual-energy computerized tomography is a
new modality that is able to identify tophi by their chemical composition and
reveal even small occult tophaceous deposits. All modalities are being
investigated for their potential roles in diagnosis and could have important
clinical applications in the patient for whom aspiration of monosodium urate
crystals from the joint is not possible. Imaging can also provide outcome
measures, such as change in tophus volume, for monitoring the response to urate
lowering therapy and this is an important application in the clinical trial
setting.
PMID- 22085685
TI - Impact of the growing use of narrative verdicts by coroners on geographic
variations in suicide: analysis of coroners' inquest data.
AB - BACKGROUND: Coroners' death certificates form the basis of suicide statistics in
England and Wales. Recent increases in coroners' use of narrative verdicts may
affect the reliability of local and national suicide rates. METHOD: We used
Ministry of Justice data on inquests held between 2008 and 2009 and Local
Authority suicide data (2001-02 and 2008-09) to investigate variations between
coroners in their use of narrative verdicts and the impact of these on suicide
rates, using 'other' verdicts (79% of which are narratives) as a proxy for
narrative verdicts. RESULTS: There was wide geographic variation in Coroners' use
of 'other' (mainly narrative) verdicts--they comprised between 0 and 50% (median
= 9%) of verdicts given by individual coroners in 2008-09. Coroners who gave more
'other' verdicts gave fewer suicide verdicts (r = - 0.41; P < 0.001). In the 10
English Coroners' jurisdictions where the highest proportion of 'other' verdicts
were given, the incidence of suicide decreased by 16% between 2001-02 and 2008
09, whereas it did not change in areas served by the 10 coroners who used
narratives the least. CONCLUSIONS: Variation in Coroners' use of narrative
verdicts influences the validity of reported regional suicide rates. Small-area
suicide rates, and changes in these rates over time in the last decade, should be
interpreted with caution.
PMID- 22085686
TI - Phenotype and transmission efficiency of artificial and natural male-killing
Spiroplasma infections in Drosophila melanogaster.
AB - Many insect species carry inherited Spiroplasma bacteria which act as important
partners and antagonists. The nature of symbioses between Spiroplasma and insects
has been most extensively studied in the interaction between male-killing
Spiroplasma infection and Drosophila melanogaster. For historical reasons, these
studies have largely focussed on the Spiroplasma strain known as NSRO, derived
from Drosophila nebulosa and transinfected into D. melanogaster. More recently,
D. melanogaster naturally infected with Spiroplasma were discovered. Whilst the
well studied strain NSRO is closely related to that found natively in D.
melanogaster, it is unclear whether strains from D. nebulosa reflect a natural
interaction when placed in D. melanogaster. In this paper, we determine if NSRO
has similar or different properties from strains of Spiroplasma naturally
infecting D. melanogaster in terms of transmission efficiency and the strength
and timing of male-killing. Native infections were observed to have higher
transmission efficiency than introduced NSRO infections during the early phases
of host reproduction, but not during late reproduction. The timing and intensity
of male-killing did not differ between infection classes. As a precautionary
measure, it is proposed that future work seeking to reveal the nature of
coevolved Spiroplasma-Drosophila interactions use the native strain.
PMID- 22085687
TI - Five-year outcome of major depressive disorder in primary health care.
AB - BACKGROUND: Primary health care provides treatment for most patients with
depression. Despite their importance for organizing services, long-term course of
depression and risk factors for poor outcome in primary care are not well known.
METHOD: In the Vantaa Primary Care Depression Study, a stratified random sample
of 1119 patients representing primary care patients in a Finnish city was
screened for depression with the Primary Care Evaluation of Mental Disorders.
SCID-I/P and SCID-II interviews were used to diagnose Axis I and II disorders.
The 137 patients with DSM-IV depressive disorder were prospectively followed up
at 3, 6, 18 and 60 months. Altogether, 82% of patients completed the 5-year
follow-up, including 102 patients with a research diagnosis of major depressive
disorder (MDD) at baseline. Duration of the index episode, recurrences, time
spent in major depressive episodes (MDEs) and partial or full remission were
examined with a life-chart. RESULTS: Of the MDD patients, 70% reached full
remission, in a median time of 20 months. One-third had at least one recurrence.
The patients spent 34% of the follow-up time in MDEs, 24% in partial remission
and 42% in full remission. Baseline severity of depression and substance use co
morbidity predicted time spent in MDEs. CONCLUSIONS: This prospective,
naturalistic, long-term study of a representative cohort of primary care patients
with depression indicated slow or incomplete recovery and a commonly recurrent
course, which need to be taken into account when developing primary care
services. Severity of depressive symptoms and substance use co-morbidity should
be systematically evaluated in planning treatment.
PMID- 22085688
TI - Mizoribine--an inosine monophosphate dehydrogenase inhibitor--acts
synergistically with cyclosporine A in prolonging survival of murine islet cell
and heart transplants across major histocompatibility barrier.
AB - INTRODUCTION: Mizoribine (MZR) is an inosine monophosphate dehydrogenase
inhibitor. It has been widely used in Japan in the treatment of autoimmune
diseases and is known to inhibit T and B cell proliferation. The aim of this
study was to evaluate the efficacy of MZR as an immunosuppressive agent and
determine its ability to synergize with a commonly used calcineurin inhibitor
Cyclosporine A (CsA) in prolonging survival of murine islet cells and heart
transplanted across major histocompatibility barrier. METHODS: Murine allogeneic
islet cell transplantation between Balb/c donor mice and C57BL/6 recipient mice
and heterotopic heart transplantation was done between C3H/He donor mice and
Balb/c recipient mice. Recipients were divided into groups based on
immunosuppression: Group 1-No immunosuppression, Group 2-MZR alone (20
mg/kg/day), Group 3-CsA alone (20 mg/kg/day), Group 4-MZR+CsA (20 mg/kg/day).
Donor specific IFN-gamma, IL-10, IL-2, IL-4 secreting cells were enumerated by
ELISpot. Serum cytokine and chemokine concentration was measured by Luminex.
RESULTS: Islet cell allograft recipients treated with CsA and MZR had prolonged
islet function compared to other groups [normoglycemia (blood glucose <200 mg/dL)
up to 32+/-4 days, p<0.05]. Similarly, heart allograft survival was significantly
improved in mice treated with CsA and MZR compared to other groups (50% 30-day
survival, p=0.04). Donor specific IFN-gamma, IL-4, IL-2 secreting cells were
significantly decreased in recipients treated with CsA and MZR with marked
increase in IL-10 secreting cells (p<0.05). There was also an increase in serum
IL-10 with decrease in IFN-gamma, IL-4, IL-2, MCP-1, and IL-6 in mice treated
with CsA and MZR CONCLUSION: MZR and CsA when used in combination are potent
immunosuppressive agents in murine islet cell and heart transplantation models.
These agents lead to a decrease in donor specific IFN-gamma with increase in IL
10 secreting cells leading to improved allograft survival and function.
PMID- 22085689
TI - Inductive expression of toll-like receptor 5 (TLR5) and associated downstream
signaling molecules following ligand exposure and bacterial infection in the
Indian major carp, mrigal (Cirrhinus mrigala).
AB - Toll-like receptors (TLRs) are one of the key components of innate immunity.
Among various types of TLRs, TLR5 is involved in recognizing bacterial flagellin
and after binding, it triggers myeloid differentiation primary response gene 88
(MyD88)-dependent signaling pathway to induce pro-inflammatory cytokines. In this
report, we analyzed the expression profile of TLR5 and its associated downstream
signaling molecules like MyD88 and tumor necrosis factor (TNF) receptor
associated factor (TRAF) 6 in the Indian major carp (IMC), mrigal (Cirrhinus
mrigala) which is highly commercially important fish species in the Indian
subcontinent. Ontogeny analysis of TLR5, MyD88 and TRAF6 revealed constitutive
expression of these genes in all embryonic developmental stages, and highlighted
the importance of embryonic innate immune defense system in fish. Tissue specific
expression analysis of these genes by quantitative real-time PCR (qRT-PCR)
revealed their wide distribution in various organs and tissues; highest
expression of TLR5 and MyD88 was in liver and TRAF6 was in kidney. Modulation of
TLR5, MyD88 and TRAF6 gene expression, and the induction of interleukin (IL)-8
and TNF-alpha were analyzed in various organs by qRT-PCR following flagellin
stimulation, and Aeromonas hydrophila and Edwardsiella tarda infection. In the
treated fish, majority of the tested tissues exhibited significant induction of
these genes, although with varied intensity among the tissues and with the types
of treatments. Among the examined tissues, a significant relationship of TLR5
induction, MyD88 and TRAF6 up-regulation, and enhanced expression of IL-8 and TNF
alpha gene transcripts was observed in the blood and intestine of both flagellin
stimulated and bacteria infected fish. These findings may indicate the
involvement of TLR5 in inducing IL-8 and TNF-alpha, and suggest the important
role of TLR5 in augmenting innate immunity in fish in response to pathogenic
invasion. This study will enrich the information in understanding the innate
immune mechanism in fish and may be helpful in developing preventive measures
against infectious diseases in fish.
PMID- 22085690
TI - Macrophages-mediated neurotoxic effects of intra-nigral manganese administration
are attenuated by minocycline.
AB - The present study was designed to address the role of macrophages in Mn-induced
neurotoxicity and to test the hypothesis that minocycline, a tetracycline
derivative, attenuates the biochemical and morphological sequelae of Mn. Mn was
unilaterally microinjected into rat nigra followed by systemic minocycline or
saline administration 24h later, daily for 3 days. At 72h after the intranigral
Mn microinjection, tyrosine hydroxylase immunostaining (TH-IS) was evaluated in
the striatum, along with the number of macrophages (as indicated by CD11b
immunostaining) in the substantia nigra. Mn significantly reduced striatal TH-IS,
and causes an increased macrophage number at the lesion site when compared with
the control group. The effects of Mn on striatal TH-IS and the number of
macrophages at the lesion site were concentration dependent. Consistent with the
stated hypothesis, minocycline significantly reduced the macrophage number in the
lesion site and minimized the TH-IS striatal loss induced by Mn. These results
indicate that an inflammatory response mediated by macrophages is induced by
intranigral Mn microinjection, which is fully attenuated by minocycline
treatment, suggesting that suppression of macrophage infiltration provides
neuroprotection to dopaminergic neurons.
PMID- 22085691
TI - Modeling the gait of normal and Parkinsonian persons for improving the diagnosis.
AB - In this study, we present a model for the gait of normal and Parkinson's disease
(PD) persons. Gait is semi-periodic and has fractal properties. Sine circle map
(SCM) relation has a sinusoidal term and can show chaotic behaviour. Therefore,
we used SCM as a basis for our model structure. Moreover, some similarities exist
between the parameters of this relation and basal ganglia (BG) structure. This
relation can explain the complex behaviours and the complex structure of BG. The
presented model can simulate the BG behaviour globally. A model parameter, Omega,
has a key role in the model response. We showed that when Omega is between 0.6
and 0.8, the model simulates the behaviour of normal persons; the amounts greater
or less than this range correspond to PD persons. Our statistical tests show that
there is a significant difference between the Omega of normal and PD patients. We
conclude that Omega can be introduced as a parameter to distinguish normal and PD
persons. Additionally, our results showed that Spearman correlation between the
Omega and the severity of PD is 0.586. This parameter may be a good index of PD
severity.
PMID- 22085692
TI - Sensory feedback prosthesis reduces phantom limb pain: proof of a principle.
AB - BACKGROUND: Constrained functionality and phantom limb pain (PLP) are major
concerns for forearm amputees. Neuroscientific investigations of PLP suggest that
behaviorally relevant stimulation of the stump can decrease PLP. Furthermore the
prosthesis user could use feedback information of the prosthesis hand for
optimizing prosthesis motor control when handling soft and fragile objects.
Somatosensory feedback information from a prosthetic hand may therefore help to
improve prosthesis functionality and reduce phantom limb pain. OBJECTIVES: We
wanted to find out whether a two weeks training on a hand prosthesis that
provides somatosensory feedback may help to improve prosthesis functionality and
reduce phantom limb pain. METHODS: Eight forearm amputees with phantom limb pain
were trained for two weeks to use a hand prosthesis with somatosensory feedback
on grip strength. RESULTS: The current study demonstrates a significant increase
of functionality of the prosthesis in everyday tasks. Furthermore, the study
shows that usage of a prosthesis that provides somatosensory feedback on the grip
strength is effective to reduce phantom limb pain. CONCLUSIONS: A prosthesis with
a feedback function appears to be a promising therapeutic tool to reduce phantom
limb pain and to increase functionality in everyday tasks. Future studies should
further investigate the scope of application of that principle.
PMID- 22085693
TI - Optineurin in Huntington's disease intranuclear inclusions.
AB - Optineurin mutations cause adult-onset primary open-angle glaucoma and have been
associated with some familial forms of amyotrophic lateral sclerosis (ALS).
Optineurin is involved in many cellular processes and interacts with a variety of
proteins, among them huntingtin (htt). Here we report that in Huntington's
disease (HD) cortex, optineurin frequently occurs in neuronal intranuclear
inclusions, and to a lesser extent, in inclusions in the neuropil and in
perikarya. Most intranuclear optineurin-positive inclusions were co-labeled for
ubiquitin, but they were only occasionally and more weakly co-labeled for htt.
Optineurin-labeled neuropil and perikaryal inclusions were commonly co-labeled
for ubiquitin and htt. Although these inclusions were common in cortex, they were
rare in striatum. Our results show that in HD optineurin is present in
intranuclear, neuropil and perikaryal inclusions. It is not clear whether this
indicates a primary involvement in the disease process. In HD, the known
interaction of htt and optineurin may suggest that a different process takes
place as compared to other neurodegenerative disorders.
PMID- 22085694
TI - Impaired long-trace eyeblink conditioning in a Tg2576 mouse model of Alzheimer's
disease.
AB - Eyeblink conditioning has been used for assessing cognitive performance in cases
of human neurodegenerative diseases including Alzheimer's disease (AD). Here, we
tested and compared the delay and long-trace interval (TI=500ms) eyeblink
conditionings in a Tg2576 mouse model of AD, at the age of 3, 6, and 12 months.
Tg2576 mice exhibited significant impairment in trace conditioning at 6 months of
age. In contrast, delay conditioning was not impaired in Tg2576 mice even at 12
months. These findings indicate that the long-TI eyeblink conditioning is more
susceptible to age-related cognitive deterioration than delay conditioning in
Tg2576 mice. The long-trace eyeblink conditioning could be a potential tool for
detecting early cognitive deficits in AD mouse model.
PMID- 22085695
TI - Membrane resonance and its ionic mechanisms in rat subthalamic nucleus neurons.
AB - The oscillatory activity in the basal ganglia is believed to have an important
function, but little is known about its actual mechanisms. We studied the
resonance characteristics of subthalamic nucleus (STN) neurons and their ionic
mechanisms using whole-cell patch-clamp recordings in rat brain slices. A swept
sine-wave current with constant amplitude and linearly increasing frequency was
applied to measure the resonance frequency (f(res)) of STN neurons. We also used
single-frequency sine wave current to evoke firing. We found that the resonance
of STN neurons was temperature- and voltage-dependent. The f(res) of STN neurons
was about 4Hz when the temperature was maintained at 38 degrees C and holding
potential was at -70mV. The f(res) increased with more negative holding
potentials and decreased with lower temperature. Action potentials fired most
readily when the input frequency was near f(res). After application of drug
ZD7288 (20MUM), the resonance of STN neurons was blocked and the spikes evoked by
both impedance amplitude profile (ZAP) current and single-frequency sine wave
current arose readily at the lowest frequencies, indicating that
hyperpolarization-activated cation current (I(h)) generated the resonance and
mediated a preferential coupling at frequencies near f(res) between inputs and
firing. In conclusion, there is a theta-frequency resonance mediated by I(h) in
STN neurons. The resonance characteristics are temperature- and voltage
dependent. The resonance mediates a frequency-selective coupling between inputs
and firing.
PMID- 22085696
TI - The anatomical characteristics of superior longitudinal fasciculus I in human
brain: Diffusion tensor tractography study.
AB - The superior longitudinal fasciculus (SLF) I is known to be involved in
regulation of higher aspects of motor function. Using diffusion tensor imaging
(DTI), we attempted to identify the SLF I and to investigate the anatomical
characteristics of the SLF I in the human brain. We recruited 30 healthy subjects
for this study. The SLF I was obtained using the FMRIB Software Library. The seed
region of interest (ROI) was given at the superior parietal lobule (SPL) and the
target ROI was the supplementary motor area (SMA) along with the dorsal part of
the premotor area (PMA). Values of fractional anisotropy (FA), mean diffusivity
(MD), and tract volume were measured. The SLF I originated from the SPL and
medial parietal cortex, passed through the white matter of the SPL and superior
frontal gyrus, and then terminated in the SMA and dorsal PMA. There were no
significant differences between hemispheres in terms of the FA, MD, and tract
volume. We present with the anatomical characteristics of the SLF I in the human
brain using DTI. We think that the methodology and results of this study would be
helpful to researchers in this field.
PMID- 22085697
TI - Subjective, psychomotor, and physiological effects of pregabalin alone and in
combination with oxycodone in healthy volunteers.
AB - Pregabalin is an anticonvulsant drug indicated for neuropathic disorders and
fibromyalgia. Some chronic pain patients suffering from these disorders take both
this drug and an opioid for pain relief. Pregabalin is a scheduled drug under the
Controlled Substances Act. The subjective effects of this drug have not been well
characterized, and the extent to which it alters the subjective effects of
opioids has not been studied to the best of our knowledge. Using a double-blind,
randomized, crossover design, 16 healthy volunteers were administered (in
separate sessions) capsules containing placebo, 75 mg pregabalin, 150 mg
pregabalin, 10 mg oxycodone, and 75 mg pregabalin combined with 10 mg oxycodone.
Subjective, psychomotor, and physiological measures were assessed during each of
the five sessions. Pregabalin produced dose-related increases in some subjective
effects and decreased respiration rate, but did not impact on psychomotor
performance. Abuse liability-related subjective effects such as drug liking and
desire to take the drug again were not increased by either pregabalin dose.
Oxycodone produced increases in several subjective effects, including ratings of
drug liking. When 75 mg pregabalin was combined with oxycodone some subjective
effects were altered relative to placebo, in contrast to when each drug was
tested alone. Liking of oxycodone was not increased by 75 mg pregabalin. However,
recent studies have suggested that this drug is abused, and we would recommend
that further psychopharmacological studies with pregabalin are warranted,
including a study assessing its abuse liability across a range of doses in
sedative abusers.
PMID- 22085698
TI - Enhancing clinical concept extraction with distributional semantics.
AB - Extracting concepts (such as drugs, symptoms, and diagnoses) from clinical
narratives constitutes a basic enabling technology to unlock the knowledge within
and support more advanced reasoning applications such as diagnosis explanation,
disease progression modeling, and intelligent analysis of the effectiveness of
treatment. The recent release of annotated training sets of de-identified
clinical narratives has contributed to the development and refinement of concept
extraction methods. However, as the annotation process is labor-intensive,
training data are necessarily limited in the concepts and concept patterns
covered, which impacts the performance of supervised machine learning
applications trained with these data. This paper proposes an approach to minimize
this limitation by combining supervised machine learning with empirical learning
of semantic relatedness from the distribution of the relevant words in additional
unannotated text. The approach uses a sequential discriminative classifier
(Conditional Random Fields) to extract the mentions of medical problems,
treatments and tests from clinical narratives. It takes advantage of all Medline
abstracts indexed as being of the publication type "clinical trials" to estimate
the relatedness between words in the i2b2/VA training and testing corpora. In
addition to the traditional features such as dictionary matching, pattern
matching and part-of-speech tags, we also used as a feature words that appear in
similar contexts to the word in question (that is, words that have a similar
vector representation measured with the commonly used cosine metric, where vector
representations are derived using methods of distributional semantics). To the
best of our knowledge, this is the first effort exploring the use of
distributional semantics, the semantics derived empirically from unannotated text
often using vector space models, for a sequence classification task such as
concept extraction. Therefore, we first experimented with different sliding
window models and found the model with parameters that led to best performance in
a preliminary sequence labeling task. The evaluation of this approach, performed
against the i2b2/VA concept extraction corpus, showed that incorporating features
based on the distribution of words across a large unannotated corpus
significantly aids concept extraction. Compared to a supervised-only approach as
a baseline, the micro-averaged F-score for exact match increased from 80.3% to
82.3% and the micro-averaged F-score based on inexact match increased from 89.7%
to 91.3%. These improvements are highly significant according to the bootstrap
resampling method and also considering the performance of other systems. Thus,
distributional semantic features significantly improve the performance of concept
extraction from clinical narratives by taking advantage of word distribution
information obtained from unannotated data.
PMID- 22085699
TI - Sensitization of epithelial growth factor receptors by nicotine exposure to
promote breast cancer cell growth.
AB - INTRODUCTION: Tobacco smoke is known to be the main cause of lung, head and neck
tumors. Recently, evidence for an increasing breast cancer risk associated with
tobacco smoke exposure has been emerging. We and other groups have shown that
nicotine, as a non-conventional carcinogen, has the potential to facilitate
cancer genesis and progression. However, the underlying mechanisms by which the
smoke affects the breast, rather than the lung, remain unclear. Here, we examine
possible downstream signaling pathways of the nicotinic acetylcholine receptor
(nAChR) and their role in breast cancer promotion. METHODS: Using human benign
MCF10A and malignant MDA-MB-231 breast cells and specific inhibitors of possible
downstream kinases, we identified nAChR effectors that were activated by
treatment with nicotine. We further tested the effects of these effector pathways
on the regulation of E2F1 activation, cell cycle progression and on Bcl-2
expression and long-term cell survival. RESULTS: In this study, we demonstrated a
novel signaling mechanism by which nicotine exposure activated Src to sensitize
epidermal growth factor receptor (EGFR)-mediated pathways for breast cancer cell
growth promotion. After the ligation of nAChR with nicotine, EGFR was shown to be
activated and then internalized in both MCF10A and MDA-MB-231 breast cancer
cells. Subsequently, Src, Akt and ERK1/2 were phosphorylated at different time
points following nicotine treatment. We further demonstrated that through Src,
the ligation of nicotine with nAChR stimulated the EGFR/ERK1/2 pathway for the
activation of E2F1 and further cell progression. Our data also showed that Akt
functioned directly downstream of Src and was responsible for the increase of Bcl
2 expression and long-term cell survival. CONCLUSIONS: Our study reveals the
existence of a potential, regulatory network governed by the interaction of
nicotine and nAChR that integrates the conventional, mitogenic Src and EGFR
signals for breast cancer development.
PMID- 22085700
TI - Frameless linac-based stereotactic radiosurgery (SRS) for brain metastases:
analysis of patient repositioning using a mask fixation system and clinical
outcomes.
AB - PURPOSE: To assess the accuracy of patient repositioning and clinical outcomes of
frameless stereotactic radiosurgery (SRS) for brain metastases using a
stereotactic mask fixation system. PATIENTS AND METHODS: One hundred two patients
treated consecutively with frameless SRS as primary treatment at University of
Rome Sapienza Sant'Andrea Hospital between October 2008 and April 2010 and
followed prospectively were involved in the study. A commercial stereotactic mask
fixation system (BrainLab) was used for patient immobilization. A computerized
tomography (CT) scan obtained immediately before SRS was used to evaluate the
accuracy of patient repositioning in the mask by comparing the isocenter position
to the isocenter position established in the planning CT. Deviations of isocenter
coordinates in each direction and 3D displacement were calculated. Overall
survival, brain control, and local control were estimated using the Kaplan-Meier
method calculated from the time of SRS. RESULTS: The mean measured isocenter
displacements were 0.12 mm (SD 0.35 mm) in the lateral direction, 0.2 mm (SD 0.4
mm) in the anteroposterior, and 0.4 mm (SD 0.6 mm) in craniocaudal direction. The
maximum displacement of 2.1 mm was seen in craniocaudal direction. The mean 3D
displacement was 0.5 mm (SD 0.7 mm), being maximum 2.9 mm. The median survival
was 15.5 months, and 1-year and 2-year survival rates were 58% and 24%,
respectively. Nine patients recurred locally after SRS, with 1-year and 2-year
local control rates of 91% and 82%, respectively. Stable extracranial disease (P
= 0.001) and KPS > 70 (P = 0.01) were independent predictors of survival.
CONCLUSIONS: Frameless SRS is an effective treatment in the management of
patients with brain metastases. The presented non-invasive mask-based fixation
stereotactic system is associated with a high degree of patient repositioning
accuracy; however, a careful evaluation is essential since occasional errors up
to 3 mm may occur.
PMID- 22085701
TI - A flexible framework for sparse simultaneous component based data integration.
AB - BACKGROUND: High throughput data are complex and methods that reveal structure
underlying the data are most useful. Principal component analysis, frequently
implemented as a singular value decomposition, is a popular technique in this
respect. Nowadays often the challenge is to reveal structure in several sources
of information (e.g., transcriptomics, proteomics) that are available for the
same biological entities under study. Simultaneous component methods are most
promising in this respect. However, the interpretation of the principal and
simultaneous components is often daunting because contributions of each of the
biomolecules (transcripts, proteins) have to be taken into account. RESULTS: We
propose a sparse simultaneous component method that makes many of the parameters
redundant by shrinking them to zero. It includes principal component analysis,
sparse principal component analysis, and ordinary simultaneous component analysis
as special cases. Several penalties can be tuned that account in different ways
for the block structure present in the integrated data. This yields known sparse
approaches as the lasso, the ridge penalty, the elastic net, the group lasso,
sparse group lasso, and elitist lasso. In addition, the algorithmic results can
be easily transposed to the context of regression. Metabolomics data obtained
with two measurement platforms for the same set of Escherichia coli samples are
used to illustrate the proposed methodology and the properties of different
penalties with respect to sparseness across and within data blocks. CONCLUSION:
Sparse simultaneous component analysis is a useful method for data integration:
First, simultaneous analyses of multiple blocks offer advantages over sequential
and separate analyses and second, interpretation of the results is highly
facilitated by their sparseness. The approach offered is flexible and allows to
take the block structure in different ways into account. As such, structures can
be found that are exclusively tied to one data platform (group lasso approach) as
well as structures that involve all data platforms (Elitist lasso approach).
AVAILABILITY: The additional file contains a MATLAB implementation of the sparse
simultaneous component method.
PMID- 22085702
TI - Ethics in practice: the state of the debate on promoting the social value of
global health research in resource poor settings particularly Africa.
AB - BACKGROUND: Promoting the social value of global health research undertaken in
resource poor settings has become a key concern in global research ethics. The
consideration for benefit sharing, which concerns the elucidation of what if
anything, is owed to participants, their communities and host nations that take
part in such research, and the obligations of researchers involved, is one of the
main strategies used for promoting social value of research. In the last decade
however, there has been intense debate within academic bioethics literature
seeking to define the benefits, the beneficiaries, and the scope of obligations
for providing these benefits. Although this debate may be indicative of
willingness at the international level to engage with the responsibilities of
researchers involved in global health research, it remains unclear which forms of
benefits or beneficiaries should be considered. International and local research
ethics guidelines are reviewed here to delineate the guidance they provide.
METHODS: We reviewed documents selected from the international compilation of
research ethics guidelines by the Office for Human Research Protections under the
US Department of Health and Human Services. RESULTS: Access to interventions
being researched, the provision of unavailable health care, capacity building for
individuals and institutions, support to health care systems and access to
medical and public health interventions proven effective, are the commonly
recommended forms of benefits. The beneficiaries are volunteers, disease or
illness affected communities and the population in general. Interestingly
however, there is a divide between "global opinion" and the views of particular
countries within resource poor settings as made explicit by differences in
emphasis regarding the potential benefits and the beneficiaries. CONCLUSION:
Although in theory benefit sharing is widely accepted as one of the means for
promoting the social value of international collaborative health research, there
is less agreement amongst major guidelines on the specific responsibilities of
researchers over what is ethical in promoting the social value of research. Lack
of consensus might have practical implications for efforts aimed at enhancing the
social value of global health research undertaken in resource poor settings.
Further developments in global research ethics require more reflection, paying
attention to the practical realities of implementing the ethical principles in
real world context.
PMID- 22085704
TI - A novel approach to prevent endothelial hyperpermeability: the Crataegus extract
WS(r) 1442 targets the cAMP/Rap1 pathway.
AB - Endothelial hyperpermeability followed by edema formation is a hallmark of many
severe disorders. Effective drugs directly targeting endothelial barrier function
are widely lacking. We hypothesized that the hawthorn (Crataegus spp.) extract
WS(r) 1442, a proven multi-component drug against moderate forms of heart
failure, would prevent vascular leakage by affecting endothelial barrier
regulating systems. In vivo, WS(r) 1442 inhibited the histamine-evoked
extravasation of FITC-dextran from mouse cremaster muscle venules. In cultured
human endothelial cells, WS(r) 1442 blocked the thrombin-induced FITC-dextran
permeability. By applying biochemical and microscopic techniques, we revealed
that WS(r) 1442 abrogates detrimental effects of thrombin on adherens junctions
(vascular endothelial-cadherin), the F-actin cytoskeleton, and the contractile
apparatus (myosin light chain). Mechanistically, WS(r) 1442 inhibited the
thrombin-induced rise of intracellular calcium (ratiometric measurement),
followed by an inactivation of PKC and RhoA (pulldown assay). Moreover, WS(r)
1442 increased endothelial cAMP levels (ELISA), which consequently activated PKA
and Rap1 (pulldown assay). Utilizing pharmacological inhibitors or siRNA, we
found that PKA is not involved in barrier protection, whereas Epac1, Rap1, and
Rac1 play a crucial role in the WS(r) 1442-induced activation of cortactin, which
triggers a strong cortical actin rearrangement. In summary, WS(r) 1442
effectively protects against endothelial barrier dysfunction in vitro and in
vivo. It specifically interacts with endothelial permeability-regulating systems
by blocking the Ca(2+)/PKC/RhoA and activating the cAMP/Epac1/Rap1 pathway. As a
proven safe herbal drug, WS(r) 1442 opens a novel pharmacological approach to
treat hyperpermeability-associated diseases. This in-depth mechanistic work
contributes to a better acceptance of this herbal remedy.
PMID- 22085703
TI - Back to your heart: ubiquitin proteasome system-regulated signal transduction.
AB - Awareness of the regulation of cell signaling by post-translational
ubiquitination has emerged over the past 2 decades. Like phosphorylation, post
translational modification of proteins with ubiquitin can result in the
regulation of numerous cellular functions, for example, the DNA damage response,
apoptosis, cell growth, and the innate immune response. In this review, we
discuss recently published mechanisms by which the ubiquitin proteasome system
regulates key signal transduction pathways in the heart, including MAPK JNK,
calcineurin, FOXO, p53, and estrogen receptors alpha and beta. We then explore
how ubiquitin proteasome system-specific regulation of these signal transduction
pathways plays a role in the pathophysiology of common cardiac diseases, such as
cardiac hypertrophy, heart failure, ischemia reperfusion injury, and diabetes.
This article is part of a Special Section entitled "Post-translational
Modification."
PMID- 22085705
TI - Treatment of depressive disorders in primary care--protocol of a multiple
treatment systematic review of randomized controlled trials.
AB - BACKGROUND: Several systematic reviews have summarized the evidence for specific
treatments of primary care patients suffering from depression. However, it is not
possible to answer the question how the available treatment options compare with
each other as review methods differ. We aim to systematically review and compare
the available evidence for the effectiveness of pharmacological, psychological,
and combined treatments for patients with depressive disorders in primary care.
METHODS/DESIGN: To be included, studies have to be randomized trials comparing
antidepressant medication (tricyclic antidepressants, selective serotonin
reuptake inhibitors (SSRIs), hypericum extracts, other agents) and/or
psychological therapies (e.g. interpersonal psychotherapy, cognitive therapy,
behavioural therapy, short dynamically-oriented psychotherapy) with another
active therapy, placebo or sham intervention, routine care or no treatment in
primary care patients in the acute phase of a depressive episode. Main outcome
measure is response after completion of acute phase treatment. Eligible studies
will be identified from available systematic reviews, from searches in electronic
databases (Medline, Embase and Central), trial registers, and citation tracking.
Two reviewers will independently extract study data and assess the risk of bias
using the Cochrane Collaboration's corresponding tool. Meta-analyses (random
effects model, inverse variance weighting) will be performed for direct
comparisons of single interventions and for groups of similar interventions (e.g.
SSRIs vs. tricyclics) and defined time-windows (up to 3 months and above). If
possible, a global analysis of the relative effectiveness of treatments will be
estimated from all available direct and indirect evidence that is present in a
network of treatments and comparisons. DISCUSSION: Practitioners do not only want
to know whether there is evidence that a specific treatment is more effective
than placebo, but also how the treatment options compare to each other.
Therefore, we believe that a multiple treatment systematic review of primary-care
based randomized controlled trials on the most important therapies against
depression is timely.
PMID- 22085706
TI - Physical activity and dietary behavior change in Internet-based weight loss
interventions: comparing two multiple-behavior change indices.
AB - OBJECTIVE: To investigate the effects of two Internet-based weight loss
interventions on physical activity (PA) and dietary behaviors using two
approaches for computing combined behavior change. METHOD: Participants were 352
overweight/obese women and men completing 12-month interventions in San Diego,
California during 2002-2007. Moderate-to-vigorous PA (MVPA) and sedentary time
were measured with accelerometers, and dietary fat and fruit and vegetable intake
were assessed with food frequency questionnaires. Longitudinal analyses tested
the effect of the intervention on combined health behavior change quantified
using a standardized residualized change index (SRCI) and a risk factor change
index (RFCI). RESULTS: At baseline, participants engaged in an average of 153
min/week of MVPA and 525 min/day of sedentary time, and consumed 37% of calories
from fat and <3 fruits and vegetables per day. The interventions had a
significant effect on combined behavior change as measured with each approach
(p<0.001). The intervention effect was larger (p<0.001) when evaluated using the
SRCI (standardized regression coefficient [Beta]=0.30) than the RFCI (beta=
0.18). CONCLUSION: Interventions that target both PA and dietary behaviors appear
effective. The SRCI was more sensitive for evaluating the intervention, but the
RFCI may be easier to use for communicating public health significance.
PMID- 22085708
TI - A comparison of the spatiotemporal parameters, kinematics, and biomechanics
between shod, unshod, and minimally supported running as compared to walking.
AB - Recreational running has many proven benefits which include increased
cardiovascular, physical and mental health. It is no surprise that Running USA
reported over 10 million individuals completed running road races in 2009 not to
mention recreational joggers who do not wish to compete in organized events.
Unfortunately there are numerous risks associated with running, the most common
being musculoskeletal injuries attributed to incorrect shoe choice, training
errors and excessive shoe wear or other biomechanical factors associated with
ground reaction forces. Approximately 65% of chronic injuries in distance runners
are related to routine high mileage, rapid increases in mileage, increased
intensity, hills or irregular surface running, and surface firmness. Humans have
been running barefooted or wearing minimally supportive footwear such as
moccasins or sandals since the beginning of time while modernized running shoes
were not invented until the 1970s. However, the current trend is that many
runners are moving back to barefoot running or running in "minimal" shoes. The
goal of this masterclass article is to examine the similarities and differences
between shod and unshod (barefoot or minimally supportive running shoes) runners
by examining spatiotemporal parameters, energetics, and biomechanics. These
running parameters will be compared and contrasted with walking. The most obvious
difference between the walking and running gait cycle is the elimination of the
double limb support phase of walking gait in exchange for a float (no limb
support) phase. The biggest difference between barefoot and shod runners is at
the initial contact phase of gait where the barefoot and minimally supported
runner initiates contact with their forefoot or midfoot instead of the rearfoot.
As movement science experts, physical therapists are often called upon to assess
the gait of a running athlete, their choice of footwear, and training regime.
With a clearer understanding of running and its complexities, the physical
therapist will be able to better identify faults and create informed treatment
plans while rehabilitating patients who are experiencing musculoskeletal injuries
due to running.
PMID- 22085709
TI - Altered leg muscle activity in volleyball players with functional ankle
instability during a sideward lateral cutting movement.
AB - OBJECTIVES: To study the activation patterns of tibialis anterior, peroneus
longus and gastrocnemius lateralis muscles during a lateral shuffle maneuver in
volleyball players with functional instability of the ankle joint. DESIGN:
Observational case-control study. SETTING: Research laboratory. PARTICIPANTS:
Sixteen players with functional instability and 18 matched controls. MAIN OUTCOME
MEASURES: RMS values of tibialis anterior, peroneus longus and gastrocnemius
lateralis muscles for the 50 ms before initial ground contact, timing of onset of
muscle activity and linear envelopes for the period of ground contact were
calculated. RESULTS: Onset values showed similar patterns of activation for both
groups. In healthy subjects, gastrocnemius lateralis activated earlier, followed
by peroneus longus and tibialis anterior. In the unstable subjects, gastrocnemius
lateralis and peroneus longus activated at the same time, followed by tibialis
anterior. Unstable subjects also presented lower peroneus longus activity during
the 50 ms before initial ground impact, a lower peroneus longus peak magnitude
and a higher gastrocnemius lateralis peak magnitude. CONCLUSIONS: Volleyball
players with ankle functional instability showed decreased peroneus longus
activity before ground impact that may predispose them to repetitive sprains and
explain their "giving way" sensation, since peroneus longus is the main ankle
evertor and an important stabilizer against sudden and excessive inversion.
PMID- 22085710
TI - Comparison of lateral abdominal muscle thickness between weightlifters and
matched controls.
AB - OBJECTIVE: To compare lateral abdominal muscle thickness between weightlifters
and matched controls. DESIGN: A case control study design. SETTING: University
laboratory. SUBJECTS: 16 female Thai national weightlifters and 16 matched
controls participated in this study. MAIN OUTCOME MEASURES: Ultrasound imaging
with a 12-MHz linear array was used to measure the resting thickness of
transversus abdominis (TrA), internal oblique (IO) and total thickness (Total) of
lateral abdominal muscle (LAM) on the right side of abdominal wall. The absolute
muscle thickness and the relative contribution of each muscle to the total
thickness were determined. RESULTS: Weightlifters had significantly thicker
absolute TrA and IO muscles than matched controls (p < 0.01). Further, the
relative thickness of the IO was significantly greater in weightlifters than
matched controls (p < 0.05). CONCLUSIONS: The findings of this study suggest that
routine Olympic style weight training among female weightlifters appears to
result in preferential hypertrophy or adaptation of the IO muscle.
PMID- 22085711
TI - Criterion-related validity of four clinical tests used to measure hamstring
flexibility in professional futsal players.
AB - OBJECTIVE: To examine the criterion related validity of the sit-and-reach test
(SR), toe touch test (TT), modified sit-and-reach test (MSR) and back-saver sit
and-reach test (BSSR) for estimating hamstring flexibility measured through the
passive straight leg raise test (PSLR) in professional futsal players. DESIGN:
Correlation laboratory study. SETTING: Controlled laboratory environment.
PARTICIPANTS: One hundred and three futsal players (55 males age 26 +/- 4 years,
48 females age 23 +/- 5 years). MAIN OUTCOME MEASURES: Two trials of SR, TT, MSR,
BSSR (left and right) and PSLR right and left (hamstring criterion measure) in a
randomized order. RESULTS: Regression analysis was performed to examine the
association of SR, TT, MSR and BSSR with PSLR in both males and females
separately. In males, only MSR test had moderate association criterion with PSLR
(R(2) = 0.57). In females, SR (R(2) = 0.86), TT (R(2) = 0.85), MSR (R(2) = 0.53)
and average BSSR (R(2) = 0.82) were associated with PSLR. CONCLUSIONS: SR, TT,
MSR and BSSR had moderate criterion related validity for estimating hamstring
flexibility in female but not male professional futsal players. The authors
recommend that researchers, clinicians, and physical therapists adopt one angular
test as a measure of hamstring muscle length in futsal players.
PMID- 22085712
TI - Effectiveness of regular proactive massage therapy for novice recreational
runners.
AB - OBJECTIVES: To assess effects of a regular massage program on novice runners over
a longer-term training period. PARTICIPANTS: Twelve control and sixteen massage
subjects took part in the study. SETTING: Both groups participated in 10-week
running preparation clinics. DESIGN: An individualized massage treatment plan was
developed for each massage group participant. Massage group subjects met weekly
with a registered massage therapist for a half hour massage. Control subjects
were given no massage treatments. MAIN OUTCOME MEASURES: All participants
maintained a running journal that recorded running behavior: frequency, distance,
intensity, and pain. At weeks 1, 5, and 10, muscle strength, leg pain, daily
functioning, and running confidence were assessed. RESULTS: The running behavior
of both groups was similar (p > 0.05). Both groups experienced a considerable
amount of pain when they ran. However, 100% of the massage group compared to
58.3% of control group completed the 10 km race. CONCLUSIONS: A regular massage
therapy program during training did not improve indices of muscle strength, pain
perception, daily functioning or running confidence. However, the entire massage
group met their targeted running goals while only half of the control was able to
do so however this difference may not be attributable to the massage
intervention.
PMID- 22085713
TI - The effect of first ballet classes in the community on various postural
parameters in young girls.
AB - OBJECTIVE: To examine the effect of first season ballet classes in the community
on the thoracic kyphosis (TK), lumbar lordosis (LL), hip external rotation (ER)
and joint flexibility in young girls. DESIGN: Longitudinal single blinded cohort
control study. SETTING: Institutional. PARTICIPANTS: 30 girls aged 6-9, recruited
from the same primary school were divided equally into 2 groups: a group bi
weekly community ballet class and a sedentary control group. INTERVENTION: All
girls were assessed prior to ballet classes (t(0)), at the conclusion (t(1)) (6
months), and approximately one year later (t(fu)). MAIN OUTCOME MEASURES:
Beighton score for joint hyper-flexibility, peak of TK and LL, range of hip ER,
ratio TK/LL, and individual's height, weight and BMI. RESULTS: LL at t1 became
greater in the ballet girls' group (23.7 degrees +/- 6) as opposed to the
controls (19.5 degrees +/-3.9) due to a decrease in LL in the controls from t0 to
t1 (mean difference = -16.5 degrees ) (cut-off score = 3.45 degrees ) (p < 0.05).
TK decreased from t0 to t1 in the ballet girls' group (mean difference = -26.1
degrees ) and controls (mean difference = -31.3 degrees ) (cut-off score = 4.85
degrees ) (p < 0.05). Left hip ER decreased only in the controls from t0 to t1
(mean difference = -13.8 degrees ) (cut-off score = 5.43 degrees ) (p < 0.05).
Beighton score at tfu was greater in the ballet girls' group (6.1 +/- 2.3) as
opposed to the controls (4.4 +/- 1.5) (p < 0.05). CONCLUSIONS: First season
ballet classes for young girls in the community can be associated with relatively
greater LL, and left hip ER and joint hyper-flexibility.
PMID- 22085714
TI - Correlation of single leg vertical jump, single leg hop for distance, and single
leg hop for time.
AB - OBJECTIVES: To determine the correlation among three functional tests: single leg
vertical jump (SLVJ), single leg hop for distance (SLHD), and single leg hop for
time (SLHT). DESIGN: Prospective correlational investigation. SETTING: University
research laboratory. PARTICIPANTS: Forty healthy men (n = 19) and women (n = 21)
between the ages of 18 and 30 (23.9 +/- 2.0 years). MAIN OUTCOME MEASURES: SLVJ
was measured using the Vertec. SLHD was measured using a standard tape measure.
SLHT was measured over a 10-m course using a standard stopwatch. RESULTS: The
strongest correlation was between SLHT and SLHD, -0.89 and -0.89 for dominant and
non-dominant lower extremities (LE), respectively. The weakest pairwise
correlation was between SLVJ and SLHT, -0.71 and -0.63 for dominant and non
dominant LE, respectively. The correlation between SLVJ and SLHD was 0.74 and
0.71 for dominant and non-dominant LE, respectively. CONCLUSION: There is a
strong correlation between SLHT and SLHD, suggesting that each test measures
similar constructs of function, while the modest correlation between SLVJ and
SLHT suggest these two tests do not measure the same functional components, and
could be paired as outcome measures for the clinical assessment of lower
extremity function.
PMID- 22085715
TI - Muscle onset can be improved by therapeutic exercise: a systematic review.
AB - OBJECTIVES: To determine whether therapeutic exercise can improve the timing of
muscle onset following musculoskeletal pathology, and examine what exercise
prescription parameters are being used to achieve these effects. PARTICIPANTS:
People with a musculoskeletal pathology. MAIN OUTCOME MEASURE: Muscle onset
timing as measured by electromyography. RESULTS: Sixteen investigations were
identified containing 19 therapeutic exercise groups. Three exercise modes were
identified including: isolated muscle training, instability training, and general
strength training. Isolated muscle training is consistently shown to have a
positive effect on the muscle onset timing of transversus abdominus in people
with low back pain. There is some evidence from cohort studies that instability
training may change muscle onset timing in people with functional ankle
instability, however controlled trials suggest that no effect is present. General
strength training shows no effect on muscle onset timing in people with low back
or neck pain, although one cohort study suggests that a positive effect on
gluteus maximus may be present in people with low back pain. CONCLUSION:
Therapeutic exercise training is likely to improve muscle onset timing.
Additionally, isolated muscle training appears to be the best exercise mode to
use to achieve these effects.
PMID- 22085716
TI - Potent and selective inhibition of hepatitis C virus replication by novel
phenanthridinone derivatives.
AB - A number of novel phenanthridinone derivatives were examined for their inhibitory
effect on hepatitis C virus (HCV) replication in Huh-7 cells harboring self
replicating subgenomic viral RNA replicons with a luciferase reporter (LucNeo#2).
The activity of compounds was further confirmed by inhibition of viral RNA copy
number in different subgenomic and full-genomic replicon cells using real-time
reverse transcription polymerase chain reaction. Among the compounds, 4-butyl-11
(1,1,1,3,3,3-hexafluoro-2-hydroxypropan-2-yl)-7-methoxy-[1,3]dioxolo[4,5
c]phenanthridin-5(4H)-one (HA-719) was found to be the most active with a 50%
effective concentration of 0.063 +/- 0.010 MUM in LucNeo#2 cells. The compound
did not show apparent cytotoxicity to the host cells at concentrations up to 40
MUM. Western blot analysis demonstrated that HA-719 reduced the levels of NS3 and
NS5A proteins in a dose-dependent fashion in the replicon cells. Interestingly,
the phenanthridinone derivatives including HA-719 were less potent inhibitors of
JFH1 strain (genobtype 2a HCV) in cell-free virus infection assay. Although
biochemical assays revealed that HA-719 proved not to inhibit NS3 protease or
NS5B RNA polymerase activity at the concentrations capable of inhibiting viral
replication, their molecular target (mechanism of inhibition) remains unknown.
Considering the fact that most of the anti-HCV agents currently approved or under
clinical trials are protease and polymerase inhibitors, the phenanthridinone
derivatives are worth pursuing for their mechanism of action and potential as
novel anti-HCV agents.
PMID- 22085717
TI - Breast cancer metastasis suppressor 1 (BRMS1) is destabilized by the Cul3-SPOP E3
ubiquitin ligase complex.
AB - Breast cancer metastasis suppressor 1 (BRMS1) suppresses metastasis without
affecting primary tumorigenesis. The regulatory mechanism of BRMS1 at the protein
level has not been revealed until recently. Here, we found that cullin 3 (Cul3),
a component of E3 ubiquitin ligase, is a new binding partner of BRMS1 and the
interaction between BRMS1 and Cul3 is mediated by the SPOP adaptor protein.
Intriguingly, BRMS1 turns out to be a potent substrate that is ubiquitinated by
the Cul3-SPOP complex. Knockdown of SPOP increases the level of BRMS1 protein and
represses the expression of BRMS1 repressive target genes such as OPN and uPA in
breast cancer cells. These results suggest that the novel regulatory mechanism of
BRMS1 by Cul3-SPOP complex is important for breast cancer progression.
PMID- 22085718
TI - Inhibition of PCGF2 enhances granulocytic differentiation of acute promyelocytic
leukemia cell line HL-60 via induction of HOXA7.
AB - This study tested the hypothesis that Polycomb Repressive Complex 1 (PRC1) may
play a negative role in the granulocytic differentiation of acute promyelocytic
leukemia (APL) cells. We first examined the expression of PRC1 genes during all
trans retinoic acid (ATRA)-mediated differentiation of human HL-60 cells, and
identified PCGF2 as a gene down-regulated by ATRA in a time-dependent manner.
Upon gene silencing of PCGF2 with lentiviral short hairpin RNA, granulocytic
differentiation was induced as assessed by differentiation marker gene
expression, nitroblue tetrazolium staining, Wright-Giemsa staining, and cell
cycle analysis. We next identified HOXA7 as a homeobox gene up-regulated by ATRA
and successfully induced granulocytic differentiation by overexpression of HOXA7.
We next tested the relationship between PCGF2 and HOXA7 by quantifying the
changes in HOXA7 and PCGF2 expression upon PCGF2 gene silencing and HOXA7
overexpression, respectively. HOXA7 expression was up-regulated by PCGF2 gene
silencing, while PCGF2 expression remained unchanged by ectopic HOXA7 expression,
suggesting PCGF2 as acting upstream of HOXA7. Finally, chromatin
immunoprecipitation assay was performed with HOXA7 chromatin. We observed gene
specific reduction in direct binding of Pcgf2 protein to HOXA7 chromatin upon
PCGF2 gene silencing. Taken together, these results support the notion that down
regulation of PCGF2 is sufficient to induce granulocytic differentiation of HL-60
cells via de-repression of HOXA7 gene expression. In conclusion, we report that
PCGF2, a PRC1 gene, played a negative role in the granulocytic differentiation of
human APL cells.
PMID- 22085719
TI - Mitogen-activated protein kinase in the amygdala plays a critical role in lithium
chloride-induced taste aversion learning.
AB - The intracellular mitogen-activated protein kinase (MAPK) pathway in the brain is
necessary for the formation of a variety of memories including conditioned taste
aversion (CTA) learning. However, the functional role of MAPK activation in the
amygdala during lithium chloride (LiCl)-induced CTA learning has not been
established. In the present study, we investigated if local microinjection of
SL327, a MAPK kinase inhibitor, into the rat amygdala could alleviate LiCl
induced CTA learning. Our results revealed that acute administration of a high
dose of LiCl (0.15M, 12 ml/kg, i.p.) rapidly increased the level of
phosphorylated MAPK (pMAPK)-positive cells in the central nucleus of the amygdala
(CeA) and nucleus of the solitary tract (NTS) of rats as measured by
immunohistochemistry. Local microinjection of SL327 (1 MUg/0.5 MUl/hemisphere)
into the CeA 10 min before LiCl administration decreased both the strength of
LiCl-induced CTA paired with 0.125% saccharin and the level of LiCl-induced pMAPK
positive cells in the CeA, but not in the NTS. Our data suggest that the
intracellular signaling cascade of the MAPK pathway in the CeA plays a critical
role in the processing of visceral information induced by LiCl for CTA learning.
PMID- 22085720
TI - Different types of exercise induce differential effects on neuronal adaptations
and memory performance.
AB - Different exercise paradigms show differential effects on various forms of
memory. We hypothesize that the differential effects of exercises on memory
performance are caused by different neuroplasticity changes in relevant brain
regions in response to different exercise trainings. We examined the effects of
treadmill running (TR) and wheel running (WR) on the Pavlovian fear conditioning
task that assesses learning and memory performance associated with the amygdala
(cued conditioning) and both the amygdala and hippocampus (contextual
conditioning). The skeletal muscle citrate synthase activity, an indicator of
aerobic capacity, was elevated in rats received 4 w of TR, but not WR. While both
TR and WR elevated the contextual conditional response, only TR facilitated the
cued conditional response. Using a single-neuron labeling technique, we found
that while both TR and MR enlarged the dendritic field and increased the spine
density in hippocampal CA3 neurons, only TR showed these effects in basolateral
amygdalar neurons. Moreover, both types of exercise upregulated synaptic proteins
(i.e., TrkB and SNAP-25) in the hippocampus; however only TR showed similar
effects in the amygdala. Injection of K252a, a TrkB kinase inhibitor, in the
dorsal hippocampus or basolateral amygdala abolished the exercise-facilitated
contextual or cued fear learning and memory performance, respectively, regardless
of the types of exercise. In summary, our results supported that different types
of exercise affect the performance of learning and memory via BDNF-TrkB signaling
and neuroplasticity in specific brain regions. The brain region-specific neuronal
adaptations are possibly induced by various levels of intensity/stress elicited
by different types of exercise.
PMID- 22085721
TI - A novel protocol for the production of recombinant LL-37 expressed as a
thioredoxin fusion protein.
AB - LL-37 is the only cathelicidin-derived antimicrobial peptide found in humans and
it has a multifunctional role in host defense. The peptide has been shown to
possess immunomodulatory functions in addition to antimicrobial activity. To
provide sufficient material for biological and structural characterization of
this important peptide, various systems were developed to produce recombinant LL
37 in Escherichia coli. In one previous approach, LL-37 coding sequence was
cloned into vector pET-32a, allowing the peptide to be expressed as a thioredoxin
fusion. The fusion protein contains two thrombin cleavage sites: a vector-encoded
one that is 30-residue upstream of the insert and an engineered one that is
immediately adjacent to LL-37. Cleavage at these two sites shall generate three
fragments, one of which is the target peptide. However, when the fusion protein
was treated with thrombin, cleavage only occurred at the remote upstream site. A
plausible explanation is that the thrombin site adjacent to LL-37 is less
accessible due to the peptide's aggregation tendency and cleavage at the remote
site generates a fragment, which forms a large aggregate that buries the intended
site. In this study, I deleted the vector-encoded thrombin site and S tag in pET
32a, and then inserted the coding sequence for LL-37 plus a thrombin site into
the modified vector. Although removing the S tag did not change the oligomeric
state of the fusion protein, deletion of the vector-encoded thrombin site allowed
the fusion to be cleaved at the engineered site to release LL-37. The released
peptide was separated from the carrier and cleavage enzyme by size-exclusion
chromatography. This new approach enables a quick production of high quality
active LL-37 with a decent amount.
PMID- 22085722
TI - Production of disulfide-bonded proteins in Escherichia coli.
AB - Disulfide bonds are covalent bonds formed post-translationally by the oxidation
of a pair of cysteines. A disulfide bond can serve structural, catalytic, and
signaling roles. However, there is an inherent problem to the process of
disulfide bond formation: mis-pairing of cysteines can cause misfolding,
aggregation and ultimately result in low yields during protein production. Recent
developments in the understanding of the mechanisms involved in the formation of
disulfide bonds have allowed the research community to engineer and develop
methods to produce multi-disulfide-bonded proteins to high yields. This review
attempts to highlight the mechanisms responsible for disulfide bond formation in
Escherichia coli, both in its native periplasmic compartment in wild-type strains
and in the genetically modified cytoplasm of engineered strains. The purpose of
this review is to familiarize the researcher with the biological principles
involved in the formation of disulfide-bonded proteins with the hope of guiding
the scientist in choosing the optimum expression system.
PMID- 22085723
TI - Regulation of iron transport and the role of transferrin.
AB - BACKGROUND: Iron is utilized by several proteins as cofactor for major biological
processes. However, iron may also harm cells by catalyzing the generation of free
radicals and promoting oxidative stress. Acquisition, transport, utilization and
storage of iron are tightly controlled to meet physiological needs and prevent
excessive accumulation of the metal within cells. Plasma transferrin has been
known for years as a central player in iron metabolism, assigned to circulate
iron in a soluble, non-toxic form and deliver it to the erythron and other
tissues. Recent data uncovered an additional role of transferrin as an upstream
regulator of hepcidin, a liver-derived peptide hormone that controls systemic
iron traffic. SCOPE OF REVIEW: Here, we review basic features of iron metabolism,
highlighting the function of transferrin in iron transport and cellular iron
uptake. We further discuss the role of hepcidin as an orchestrator of systemic
iron homeostasis, and the mechanisms underlying hepcidin regulation in response
to various physiological cues. Emphasis is given on the role of transferrin on
iron-dependent hepcidin regulation. MAJOR CONCLUSIONS: Transferrin exerts a
crucial function in the maintenance of systemic iron homeostasis as component of
a plasma iron sensing system that modulates hepcidin expression. GENERAL
SIGNIFICANCE: Proper expression of transferrin and hepcidin are essential for
health, and disruption of their regulatory circuits is associated with iron
related disorders. This article is part of a Special Issue entitled Transferrins:
Molecular mechanisms of iron transport and disorders.
PMID- 22085724
TI - Prevention of mitochondrial disease inheritance by assisted reproductive
technologies: prospects and challenges.
AB - BACKGROUND: Mitochondrial diseases are caused by the mutations in both nuclear
and mitochondrial DNA (mtDNA) and the treatment options for patients who have
mitochondrial disease are rather limited. Mitochondrial DNA is transmitted
maternally and does not follow a Mendelian pattern of inheritance. Since reliable
and predictable detection of mitochondrial disorders in embryos and oocytes is
unattainable at present, an alternative approach to this problem has emerged as
partial or complete replacement of mutated mtDNA with the wild-type mtDNA through
embryo manipulations. Currently available methods to achieve this goal are
germinal vesicle transfer (GVT), metaphase chromosome transfer (CT), pronuclear
transfer (PNT) and ooplasmic transfer (OT). SCOPE OF REVIEW: We summarize the
state of the art regarding these technologies and discuss the implications of
recent advances in the field for clinical practice. MAJOR CONCLUSIONS: CT, PNT
and GVT techniques hold promise to prevent transmission of mutant mtDNA through
ARTs. However, it is clear that mtDNA heteroplasmy in oocytes, embryos and
offspring produced by these methods remains as a legitimate concern. GENERAL
SIGNIFICANCE: New approaches to eliminate transmission of mutant mtDNA certainly
need to be explored in order to bring the promise of clinical application for the
treatment of mitochondrial disorders. This article is part of a Special Issue
entitled Biochemistry of Mitochondria, Life and Intervention 2010.
PMID- 22085725
TI - Is maternal smoking during pregnancy a causal environmental risk factor for
adolescent antisocial behavior? Testing etiological theories and assumptions.
AB - BACKGROUND: Although many studies indicate that maternal smoking during pregnancy
(SDP) is correlated with later offspring antisocial behavior (ASB), recent quasi
experimental studies suggest that background familial factors confound the
association. The present study sought to test alternative etiological hypotheses
using multiple indices of adolescent ASB, comparing differentially exposed
siblings, and testing assumptions in the sibling-comparison design. METHOD: The
study examined the association between maternal SDP and adolescent-reported ASB,
criminal convictions and membership in a group of individuals with early-starting
and chronic ASB among 6066 offspring of women from the National Longitudinal
Survey of Youth, a representative sample of women in the USA. The analyses
controlled for statistical covariates and examined associations while comparing
differentially exposed siblings. RESULTS: At the population level, each
additional pack of cigarettes per day predicted greater mean adolescent-reported
ASB symptoms [ratio of means 1.15, 95% confidence interval (CI) 1.08-1.22], odds
of being in the top 10% of ASB [odds ratio (OR) 1.34, 95% CI 1.10-1.65], hazard
of a criminal conviction [hazard ratio (HR) 1.51, 95% CI 1.34-1.68] and odds of
chronic ASB (OR 1.57, 95% CI 1.25-1.99). SDP robustly predicted most assessments
of ASB while controlling for measured covariates. When siblings exposed to
differing levels of SDP were compared, however, all of the associations were
attenuated and were not statistically significant: adolescent-reported mean ASB
(ratio of means 0.86, 95% CI 0.74-1.01), high ASB (OR 0.67, 95% CI 0.41-1.12),
criminal conviction (HR 0.98, 95% CI 0.66-1.44) and chronic ASB (OR 0.80, 95% CI
0.46-1.38). CONCLUSIONS: The results strongly suggest that familial factors
account for the correlation between SDP and offspring adolescent ASB, rather than
a putative causal environmental influence of SDP.
PMID- 22085727
TI - Biomechanical evaluation of a novel reverse coracoacromial ligament
reconstruction for acromioclavicular joint separation.
AB - BACKGROUND: Enhancing anterior-posterior (AP) stability in acromioclavicular (AC)
reconstruction may be advantageous. PURPOSE: To compare the initial stability of
AC reconstructions with and without augmentation by either (1) a novel "reverse"
coracoacromial (CA) ligament transfer or (2) an intramedullary AC tendon graft.
HYPOTHESIS: Reverse CA transfer will improve AP stability compared with isolated
coracoclavicular (CC) reconstruction. STUDY DESIGN: Controlled laboratory study.
METHODS: Six matched pairs of cadaveric shoulders underwent distal clavicle
resection and CC reconstruction. Displacement (mm) was measured during cyclic
loading along AP (+/-25 N) and superior-inferior (SI; 10-N compression, 70-N
tension) axes. Pairs were randomized to receive each augmentation and the same
loading protocol applied. RESULTS: Reverse CA transfer (3.71 +/- 1.3 mm, standard
error of the mean [SEM]; P = .03) and intramedullary graft (3.41 +/- 1.1 mm; P =
.03) decreased AP translation compared with CC reconstruction alone. The SI
displacement did not differ. Equivalence tests suggest no difference between
augmentations in AP or SI restraint. CONCLUSION: Addition of either reverse CA
transfer or intramedullary graft demonstrates improved AP restraint and provides
similar SI stability compared with isolated CC reconstruction. CLINICAL
RELEVANCE: Reverse CA ligament transfer may be a reasonable alternative to a free
tendon graft to augment AP restraint in AC reconstruction.
PMID- 22085726
TI - Sequentially acting Sox transcription factors in neural lineage development.
AB - Pluripotent embryonic stem (ES) cells can generate all cell types, but how cell
lineages are initially specified and maintained during development remains
largely unknown. Different classes of Sox transcription factors are expressed
during neurogenesis and have been assigned important roles from early lineage
specification to neuronal differentiation. Here we characterize the genome-wide
binding for Sox2, Sox3, and Sox11, which have vital functions in ES cells, neural
precursor cells (NPCs), and maturing neurons, respectively. The data demonstrate
that Sox factor binding depends on developmental stage-specific constraints and
reveal a remarkable sequential binding of Sox proteins to a common set of neural
genes. Interestingly, in ES cells, Sox2 preselects for neural lineage-specific
genes destined to be bound and activated by Sox3 in NPCs. In NPCs, Sox3 binds
genes that are later bound and activated by Sox11 in differentiating neurons.
Genes prebound by Sox proteins are associated with a bivalent chromatin
signature, which is resolved into a permissive monovalent state upon binding of
activating Sox factors. These data indicate that a single key transcription
factor family acts sequentially to coordinate neural gene expression from the
early lineage specification in pluripotent cells to later stages of neuronal
development.
PMID- 22085728
TI - A cost-effectiveness analysis comparing 3 anterior cruciate ligament graft types:
bone-patellar tendon-bone autograft, hamstring autograft, and allograft.
AB - BACKGROUND: Anterior cruciate ligament (ACL) reconstruction, despite being one of
the most common surgical interventions, is also one of the least agreed upon
surgeries when it comes to optimum graft choice. Three graft choices stand among
the most widely used in this procedure: (1) bone-patellar tendon-bone autograft
(BPTB), (2) quadruple hamstring tendon autograft (HS), and (3) allograft.
HYPOTHESIS: Bone-patellar tendon-bone ACL reconstruction is the most cost
effective method of ACL reconstruction. STUDY DESIGN: Economic and decision
analysis; Level of evidence, 2. METHODS: A simplified decision tree model was
created with theoretical patients assigned equally to 1 of 3 ACL reconstruction
cohorts based on graft type. These treatment arms were further divided into
outcome arms based on probabilities from the literature. The terminal outcomes
were assigned a health state/utility score and a societal cost. Utilities were
calculated from real clinic patients via the time trade-off questionnaire. Costs
were literature based. An incremental cost-effectiveness ratio of $50 000/quality
adjusted life year (QALY) was used as the threshold for cost-effectiveness.
RESULTS: Hamstring tendon autograft was the least costly ($5375/surgery) and most
effective (0.912) graft choice, dominating both BPTB and allograft
reconstructions. Allograft was both the most costly and least effective strategy
for the average patient undergoing ACL reconstruction. However, if baseline costs
of BPTB could be reduced (by $500) or the effectiveness increased (anterior knee
pain <15% or postoperative instability <7%), then BPTB became an incrementally
cost-effective choice. In addition, if the effectiveness of HS could be reduced
(instability >29% or revision rates >7%), then BPTB also became incrementally
cost-effective. CONCLUSIONS: This model suggests that hamstring autograft ACL
reconstruction is the most cost-effective method of surgery for the average
patient with ACL deficiency. However, specific clinical scenarios that change
postoperative probabilities of the different complications may sway surgeons to
choose either allografts or BPTB. Cost-effectiveness analysis is not intended to
replace individual clinician judgment but rather is intended to examine both the
effectiveness and costs associated with theoretical groups undergoing specific
multifactorial decisions.
PMID- 22085729
TI - Prospective randomized clinical evaluation of conventional single-bundle,
anatomic single-bundle, and anatomic double-bundle anterior cruciate ligament
reconstruction: 281 cases with 3- to 5-year follow-up.
AB - BACKGROUND: Three different techniques of anterior cruciate ligament (ACL)
reconstruction--conventional (transtibial) single bundle (CSB), anatomic single
bundle (ASB), and anatomic double bundle (ADB)--have been described. PURPOSE: To
determine if double-bundle reconstruction is needed to restore rotational
stability or if anatomic placement of a single bundle can yield similar results.
STUDY DESIGN: Randomized controlled trial; Level of evidence, 1. METHODS: From
December 2005 to December 2007, 320 patients were prospectively randomized into 3
groups: ADB, ASB, and CSB reconstruction. The average follow-up was 51.15 months
(range, 39-63 months). At the final follow-up, 281 patients were available. In
all groups, hamstring tendons were used with suspensory fixation on the femoral
side and bioabsorbable interference screw fixation on the tibial side. The
outcomes were evaluated by an independent blinded observer using the Lysholm
score and subjective International Knee Documentation Committee (IKDC) form. The
KT-1000 arthrometer was used to evaluate anteroposterior stability, and the pivot
shift test was used to determine rotational stability. RESULTS: Anatomic single
bundle reconstruction resulted in better anteroposterior and rotational stability
than CSB reconstruction (average side-to-side difference for anterior tibial
translation was 1.6 mm in the ASB group vs 2.0 mm in the CSB group; P = .002).
Negative pivot shift was 66.7% vs 41.7% (P = .003). In other parameters, the
differences between groups were not statistically significant. The results of the
ADB group were also superior to the ASB group for anteroposterior and rotational
stability (average side-to-side difference for anterior tibial translation was
1.2 mm in the ADB group vs 1.6 mm in the ASB group; P = .002). Negative pivot
shift was 93.1% vs 66.7%, respectively (P < .001), and range of motion was also
significantly different (P = .005). The Lysholm score was 90.9, 91.8, and 93.0 in
the CSB, ASB, and ADB groups, respectively. The difference was significant only
when we compared ADB and CSB (P = .025). Subjective IKDC scores were 90.2, 90.6,
and 92.1 in the CSB, ASB, and ADB groups, respectively. The difference was not
significant. CONCLUSION: Anatomic double-bundle ACL reconstruction is
significantly superior to conventional single-bundle ACL reconstruction and
better than anatomic single-bundle reconstruction. Anatomic single-bundle
reconstruction was superior to conventional single-bundle reconstruction.
However, these differences are small and may not be clinically relevant.
PMID- 22085730
TI - Advancing regenerative surgery in orthopaedic sports medicine: the critical role
of the surgeon.
AB - The constant desire to improve outcomes in orthopaedic sports medicine requires
us to continuously consider the challenges faced in the surgical repair or
reconstruction of soft tissue and cartilaginous injury. In many cases, surgical
efforts targeted at restoring normal anatomy and functional status are ultimately
impaired by the biological aspect of the natural history of these injuries, which
acts as an obstacle to a satisfactory repair process after surgery. The clinical
management of sports injuries and the delivery of appropriate surgical
intervention are continuously evolving, and it is likely that the principles of
regenerative medicine will have an increasing effect in this specialized field of
orthopaedic practice going forward. Ongoing advances in arthroscopy and related
surgical techniques should facilitate this process. In contrast to the concept of
engineered replacement of entire tissues, it is probable that the earliest effect
of regenerative strategies seen in clinical practice will involve biological
augmentation of current operative techniques via a synergistic process that might
be best considered "regenerative surgery." This article provides an overview of
the principles of regenerative surgery in cartilage repair and related areas of
orthopaedic surgery sports medicine. The possibilities and challenges of a
gradual yet potential paradigm shift in treatment through the increased use of
biological augmentation are considered. The translational process and critical
role to be played by the specialist surgeon are also addressed. We conclude that
increased understanding of the potential and challenges of regenerative surgery
should allow those specializing in orthopaedic surgery sports medicine to lead
the way in advancing the frontiers of biological strategies to enhance modern
clinical care in an evidence-based manner.
PMID- 22085731
TI - Force measurements in the medial meniscus posterior horn attachment: effects of
anterior cruciate ligament removal.
AB - BACKGROUND: Tears of the medial meniscus posterior horn attachment (PHA) occur
clinically, and an anterior cruciate ligament (ACL)-deficient knee may be more
vulnerable to this injury. HYPOTHESIS: The PHA forces from applied knee loadings
will increase after removal of the ACL. STUDY DESIGN: Controlled laboratory
study. METHODS: A cap of bone containing the medial meniscus PHA was attached to
a load cell that measured PHA tensile force. Posterior horn attachment forces
were recorded before and after ACL removal during anteroposterior (AP) laxity
testing at +/-200 N and during passive knee extension tests with 5 N.m tibial
torque and varus-valgus moment. Selected tests were also performed with 500 N
joint load. RESULTS: For AP tests with no joint load, ACL removal increased
laxity between 0 degrees and 90 degrees and increased PHA force generated by
applied anterior tibial force between 30 degrees and 90 degrees . For AP tests
with an intact ACL, application of joint load approximately doubled PHA forces.
Anteroposterior testing of ACL-deficient knees was not possible with joint load
because of bone cap failures from high PHA forces. Removal of the ACL during knee
extension tests under joint load significantly increased PHA forces between 20
degrees and 90 degrees of flexion. For unloaded tests with applied tibial
torque and varus-valgus moment, ACL removal had no significant effect on PHA
forces. CONCLUSION: Applied anterior tibial force and external tibial torque were
loading modes that produced relatively high PHA forces, presumably by impingement
of the medial femoral condyle against the medial meniscus posterior horn rim.
Under joint load, an ACL-deficient knee was particularly susceptible to PHA
injury from applied anterior tibial force. CLINICAL RELEVANCE: Because tensile
forces developed in the PHA are also borne by meniscus tissue near the attachment
site, loading mechanisms that produce high PHA forces could also produce complete
or partial radial tears near the posterior horn, a relatively common clinical
observation.
PMID- 22085732
TI - Duration of antibiotic therapy for bacteremia: a systematic review and meta
analysis.
AB - INTRODUCTION: The optimal duration of antibiotic therapy for bloodstream
infections is unknown. Shorter durations of therapy have been demonstrated to be
as effective as longer durations for many common infections; similar findings in
bacteremia could enable hospitals to reduce antibiotic utilization, adverse
events, resistance and costs. METHODS: A search of the MEDLINE, EMBASE and
COCHRANE databases was conducted for the years 1947-2010. Controlled trials were
identified that randomized patients to shorter versus longer durations of
treatment for bacteremia, or the infectious foci most commonly causing bacteremia
in critically ill patients (catheter-related bloodstream infections (CRBSI),
intra-abdominal infections, pneumonia, pyelonephritis and skin and soft-tissue
infections (SSTI)). RESULTS: Twenty-four eligible trials were identified,
including one trial focusing exclusively on bacteremia, zero in catheter related
bloodstream infection, three in intra-abdominal infection, six in pyelonephritis,
thirteen in pneumonia and one in skin and soft tissue infection. Thirteen studies
reported on 227 patients with bacteremia allocated to 'shorter' or 'longer'
durations of treatment. Outcome data were available for 155 bacteremic patients:
neonatal bacteremia (n = 66); intra-abdominal infection (40); pyelonephritis (9);
and pneumonia (40). Among bacteremic patients receiving shorter (5-7 days) versus
longer (7-21 days) antibiotic therapy, no significant difference was detected
with respect to rates of clinical cure (45/52 versus 47/49, risk ratio 0.88, 95%
confidence interval [CI] 0.77-1.01), microbiologic cure (28/28 versus 30/32, risk
ratio 1.05, 95% CI 0.91-1.21), and survival (15/17 versus 26/29, risk ratio 0.97,
95% CI 0.76-1.23). CONCLUSIONS: No significant differences in clinical cure,
microbiologic cure and survival were detected among bacteremic patients receiving
shorter versus longer duration antibiotic therapy. An adequately powered
randomized trial of bacteremic patients is needed to confirm these findings.
PMID- 22085733
TI - Inductive specification and axonal orientation of spinal neurons mediated by
divergent bone morphogenetic protein signaling pathways.
AB - BACKGROUND: Bone morphogenetic protein (BMP)7 evokes both inductive and axon
orienting responses in dorsal interneurons (dI neurons) in the developing spinal
cord. These events occur sequentially during the development of spinal neurons
but in these and other cell types such inductive and acute chemotactic responses
occur concurrently, highlighting the requirement for divergent intracellular
signaling. Both type I and type II BMP receptor subtypes have been implicated
selectively in orienting responses but it remains unclear how, in a given cell,
divergence occurs. We have examined the mechanisms by which disparate BMP7
activities are generated in dorsal spinal neurons. RESULTS: We show that widely
different threshold concentrations of BMP7 are required to elicit the divergent
inductive and axon orienting responses. Type I BMP receptor kinase activity is
required for activation of pSmad signaling and induction of dI character by BMP7,
a high threshold response. In contrast, neither type I BMP receptor kinase
activity nor Smad1/5/8 phosphorylation is involved in the low threshold orienting
responses of dI axons to BMP7. Instead, BMP7-evoked axonal repulsion and growth
cone collapse are dependent on phosphoinositide-3-kinase (PI3K) activation,
plausibly through type II receptor signaling. BMP7 stimulates PI3K-dependent
signaling in dI neurons. BMP6, which evokes neural induction but does not have
orienting activity, activates Smad signaling but does not stimulate PI3K.
CONCLUSIONS: Divergent signaling through pSmad-dependent and PI3K-dependent (Smad
independent) mechanisms mediates the inductive and orienting responses of dI
neurons to BMP7. A model is proposed whereby selective engagement of BMP receptor
subunits underlies choice of signaling pathway.
PMID- 22085734
TI - Genome-wide analysis of gene expression during Xenopus tropicalis tadpole tail
regeneration.
AB - BACKGROUND: The molecular mechanisms governing vertebrate appendage regeneration
remain poorly understood. Uncovering these mechanisms may lead to novel therapies
aimed at alleviating human disfigurement and visible loss of function following
injury. Here, we explore tadpole tail regeneration in Xenopus tropicalis, a
diploid frog with a sequenced genome. RESULTS: We found that, like the
traditionally used Xenopus laevis, the Xenopus tropicalis tadpole has the
capacity to regenerate its tail following amputation, including its spinal cord,
muscle, and major blood vessels. We examined gene expression using the Xenopus
tropicalis Affymetrix genome array during three phases of regeneration,
uncovering more than 1,000 genes that are significantly modulated during tail
regeneration. Target validation, using RT-qPCR followed by gene ontology (GO)
analysis, revealed a dynamic regulation of genes involved in the inflammatory
response, intracellular metabolism, and energy regulation. Meta-analyses of the
array data and validation by RT-qPCR and in situ hybridization uncovered a subset
of genes upregulated during the early and intermediate phases of regeneration
that are involved in the generation of NADP/H, suggesting that these pathways may
be important for proper tail regeneration. CONCLUSIONS: The Xenopus tropicalis
tadpole is a powerful model to elucidate the genetic mechanisms of vertebrate
appendage regeneration. We have produced a novel and substantial microarray data
set examining gene expression during vertebrate appendage regeneration.
PMID- 22085735
TI - Nurse managers' experience with ethical issues in six government hospitals in
Malaysia: a cross-sectional study.
AB - BACKGROUND: Nurse managers have the burden of experiencing frequent ethical
issues related to both their managerial and nursing care duties, according to
previous international studies. However, no such study was published in Malaysia.
The purpose of this study was to explore nurse managers' experience with ethical
issues in six government hospitals in Malaysia including learning about the way
they dealt with the issues. METHODS: A cross-sectional study was conducted in
August-September, 2010 involving 417 (69.2%) of total 603 nurse managers in the
six Malaysian government hospitals. Data were collected using three-part self
administered questionnaire. Part I was regarding participants' demographics. Part
II was about the frequency and areas of management where ethical issues were
experienced, and scoring of the importance of 11 pre-identified ethical issues.
Part III asked how they dealt with ethical issues in general; ways to deal with
the 11 pre-identified ethical issues, and perceived stress level. Data were
analyzed using descriptive statistics, cross-tabulations and Pearson's Chi
square. RESULTS: A total of 397 (95.2%) participants experienced ethical issues
and 47.2% experienced them on weekly to daily basis. Experiencing ethical issues
were not associated with areas of practice. Top area of management where ethical
issues were encountered was "staff management", but "patient care" related
ethical issues were rated as most important. Majority would "discuss with other
nurses" in dealing generally with the issues. For pre-identified ethical issues
regarding "patient care", "discuss with doctors" was preferred. Only 18.1%
referred issues to "ethics committees" and 53.0% to the code of ethics.
CONCLUSIONS: Nurse managers, regardless of their areas of practice, frequently
experienced ethical issues. For dealing with these, team-approach needs to be
emphasized. Proper understanding of the code of ethics is needed to provide basis
for reasoning.
PMID- 22085737
TI - Environ centrality reveals the tendency of indirect effects to homogenize the
functional importance of species in ecosystems.
AB - Ecologists and conservation biologists need to identify the relative importance
of species to make sound management decisions and effectively allocate scarce
resources. We introduce a new method, termed environ centrality, to determine the
relative importance of a species in an ecosystem network with respect to
ecosystem energy-matter exchange. We demonstrate the uniqueness of environ
centrality by comparing it to other common centrality metrics and then show its
ecological significance. Specifically, we tested two hypotheses on a set of 50
empirically based ecosystem network models. The first concerned the distribution
of centrality in the community. We hypothesized that the functional importance of
species would tend to be concentrated into a few dominant species followed by a
group of species with lower, more even importance as is often seen in dominance
diversity curves. Second, we tested the systems ecology hypothesis that indirect
relationships homogenize the functional importance of species in ecosystems. Our
results support both hypotheses and highlight the importance of detritus and
nutrient recyclers such as fungi and bacteria in generating the energy-matter
flow in ecosystems. Our homogenization results suggest that indirect effects are
important in part because they tend to even the importance of species in
ecosystems. A core contribution of this work is that it creates a formal,
mathematical method to quantify the importance species play in generating
ecosystem activity by integrating direct, indirect, and boundary effects in
ecological systems.
PMID- 22085736
TI - Biophysical connection between evolutionary dynamics and thermodynamics in in
vitro evolution.
AB - We analyzed a mathematical model of in vitro evolution conducted by repetition of
mutagenesis and selection processes. The selection process consists of the
selective enrichment and subsequent sampling as follows: each mutant with fitness
W is amplified by the Boltzmann factor exp(rW/k(B)T(the)), where the fitness W is
defined as the negative Gibbs free energy (-DeltaG) in a reaction of the
phenotypic molecules and r is the round number of the selective enrichment; then,
an arbitrary mutant is randomly chosen from the resulting mutant population and
it becomes a new parent in the next generation. As a result, we found that the
evolutionary dynamics is described in a mathematical framework similar to
thermodynamics: the "evolution constant" k(E) and "evolutionary temperature"
T(evo) play key roles similar to the Boltzmann constant k(B) and thermodynamic
temperature T(the), respectively. In the stationary state of the evolutionary
dynamics, the attractor of the fitness is in inverse proportion to k(E)T(evo).
Furthermore, beyond the mathematical analogy, we obtained a biophysical
connection between evolutionary dynamics and thermodynamics. Particularly, we
found that T(evo) and T(the) are connected by k(E)T(evo)~k(B)T(the)/2r. These
results suggest that we can predict the fitness value in the stationary state by
the thermodynamic temperature T(the) in the experimental setup.
PMID- 22085738
TI - On the meaning and estimation of plasmid transfer rates for surface-associated
and well-mixed bacterial populations.
AB - Conjugative plasmid transfer is key to the ability of bacteria to rapidly adapt
to new environments, but there is no agreement on a single quantitative measure
of the rate of plasmid transfer. Some studies derive estimates of transfer rates
from mass-action differential equation models of plasmid population biology. The
often-used 'endpoint method' is such an example. Others report measures of
plasmid transfer efficiency that simply represent ratios of plasmid-bearing and
plasmid-free cell densities and do not correspond to parameters in any
mathematical model. Unfortunately, these quantities do not measure the same thing
- sometimes differing by orders of magnitude - and their use is often clouded by
a lack of specificity. Moreover, they do not distinguish between bulk transfer
rates that are only relevant in well-mixed populations and the 'intrinsic' rates
between individual cells. This leads to problems for surface-associated
populations, which are not well-mixed but spatially structured. We used
simulations of a spatially explicit mathematical model to evaluate the
effectiveness of these various plasmid transfer efficiency measures when they are
applied to surface-associated populations. The simulation results, supported by
some experimental findings, showed that these measures can be affected by initial
cell densities, donor-to-recipient ratios and initial cell cluster size, and are
therefore flawed as universal measures of plasmid transfer efficiency. The
simulations also allowed us to formulate some guiding principles on when these
estimates are appropriate for spatially structured populations and how to
interpret the results. While we focus on plasmid transfer, the general lessons of
this study should apply to any measures of horizontal spread (e.g., infection
rates in epidemiology) that are based on simple mass-action models (e.g., SIR
models in epidemiology) but applied to spatial settings.
PMID- 22085739
TI - Mathematical modeling of transport and degradation of feedstuffs in the small
intestine.
AB - We describe a mathematical model of digestion in the small intestine. The main
interest of our work is to consider simultaneously the different aspects of
digestion i.e. transport of the bolus all along the intestine, feedstuffs
degradation according to the enzymes and local physical conditions, and nutrients
absorption. A system of coupled ordinary differential equations is used to model
these phenomena. The major unknowns of this system are the position of the bolus
and its composition. This system of equations is solved numerically. We present
several numerical computations for the degradation, absorption and transport of
the bolus with acceptable accuracy regarding the overall behavior of the model
and also when challenged versus experimental data. The main feature and interest
of this model are its genericity. Even if we are at an early stage of
development, our approach can be adapted to deal with contrasted feedstuffs in
non-ruminant animal to predict the composition and velocity of bolus in the small
intestine.
PMID- 22085740
TI - Ringer's lactate improves liver recovery in a murine model of acetaminophen
toxicity.
AB - BACKGROUND: Acetaminophen (APAP) overdose induces massive hepatocyte necrosis.
Liver regeneration is a vital process for survival after a toxic insult. Since
hepatocytes are mostly in a quiescent state (G0), the regeneration process
requires the priming of hepatocytes by cytokines such as TNF-alpha and IL-6.
Ringer's lactate solution (RLS) has been shown to increase serum TNF-alpha and IL
6 in patients and experimental animals; in addition, RLS also provides lactate,
which can be used as an alternative metabolic fuel to meet the higher energy
demand by liver regeneration. Therefore, we tested whether RLS therapy improves
liver recovery after APAP overdose. METHODS: C57BL/6 male mice were
intraperitoneally injected with a single dose of APAP (300 mg/kg dissolved in 1
mL sterile saline). Following 2 hrs of APAP challenge, the mice were given 1 mL
RLS or Saline treatment every 12 hours for a total of 72 hours. RESULTS: 72 hrs
after APAP challenge, compared to saline-treated group, RLS treatment
significantly lowered serum transaminases (ALT/AST) and improved liver recovery
seen in histopathology. This beneficial effect was associated with increased
hepatic tissue TNF-alpha concentration, enhanced hepatic NF-kappaB DNA binding
and increased expression of cell cycle protein cyclin D1, three important factors
in liver regeneration. CONCLUSION: RLS improves liver recovery from APAP
hepatotoxicity.
PMID- 22085741
TI - Longitudinal study of vitamins A, E and lipid oxidative damage in human milk
throughout lactation.
AB - BACKGROUND: Little is known about the intensity of oxidative damage in human milk
resulting from maternal oxidative stress. The aim of our study was to explore the
changes in Total Antioxidant Status (TAS) and concentrations of antioxidative
vitamins and isoprostanes (markers of oxidative stress) in human colostrum and
mature milk. METHODS: The study included 49 postpartum women with normal,
spontaneous full term delivery. The exclusion criteria included active and
passive smoking, acute and chronic disorders, and pharmacotherapy other than
vitamin supplementation. Colostrum samples were collected on the 3rd day after
delivery and breast milk samples between the 30th and the 32nd day after
delivery. TAS of colostrum/breast milk was determined by Rice-Evans and Miller
method. The amount of vitamins A and E was measured by HPLC. Isoprostane
concentrations in colostrum/mature milk and urine were determined
immunoenzymatically. RESULTS: No significant differences were observed in
maternal dietary intakes of vitamins A and E determined prior to the colostrum
and mature milk sampling. The TAS of mature milk was significantly higher
compared to colostrum (P=0.002), while vitamin A and E concentrations were
significantly lower (P=0.003 and P=0.001). Although the isoprostane concentration
of mature milk was significantly higher than the colostrum concentration, this
difference was not significant (P=0.129). CONCLUSION: Human milk is a source of
antioxidative vitamins and their concentrations decrease throughout the
lactation, while their total antioxidative properties increase. The phase of
lactation does not affect the degree of human milk's lipid oxidative damage.
PMID- 22085742
TI - The D2/D3-receptor antagonist tiapride impairs concurrent but not sequential
taste aversion learning.
AB - Taste aversion learning (TAL) is a learning modality in which the animals reject
a gustatory stimulus associated with the administration of noxious visceral
substances. This learning can be established by concurrent or sequential
procedures that involve different anatomical and functional mechanisms and may
constitute distinct learning modalities. The dopaminergic system has been related
to various learning processes and goal-directed behaviours. The present study
examined the effect of the administration of tiapride, a D(2)/D(3) dopaminergic
antagonist, on concurrent and sequential TAL. Results obtained showed that pre
treatment with tiapride blocks the acquisition of concurrent TAL but does not
affect sequential TAL, including reversal learning tasks. These results
demonstrate the involvement of the D(2)/D(3) dopaminergic receptors in the former
but not the latter learning process. The dopaminergic system appears to
participate in concurrent TAL, an "implicit" learning modality, but not in
sequential TAL, which is considered a relational/explicit acquisition process.
PMID- 22085743
TI - Cypermethrin induced damage in genomic DNA and histopathological changes in brain
and haematotoxicity in rats: the protective effect of sesame oil.
AB - The protective effect of sesame oil against cypermethrin-induced brain toxicity
was studied. Female rats were orally treated with cypermethrin, sesame oil and
their combination for 30 consecutive days. The results showed that cypermethrin
increased thiobarbituric acid-reactive substances (TBARS), and decreased
glutathione (GSH) and the activities of the antioxidant enzymes. Brain injury was
confirmed by histopathological changes and DNA damage. Also, the reduction in the
activities of acetylcholinesterase and monoamine oxidase (AChE & MAO), total
protein, albumin and body weight, and the induction in triacylglycerol and
cholesterol have been observed due to cypermethrin toxicity. Animals treated with
sesame oil and cypermethrin together showed that brain TBARS and plasma
triacylglycerol and cholesterol returned to the control level which indicating a
protective effect of sesame oil. Also, sesame oil was able to attenuate the
decrease in total protein, albumin, triacylglycerol and cholesterol, GSH, AChE
and antioxidant enzymes induced by cypermethrin. In addition, sesame oil
protected the brain histological changes and fragmentation of genomic DNA in
animals treated with cypermethrin. The present results showed a protective effect
of sesame oil against the cypermethrin induced brain toxicity and this could be
associated mainly with the attenuation of the oxidative stress and the
preservation in antioxidant enzymes.
PMID- 22085744
TI - Five choice serial reaction time performance in the HdhQ92 mouse model of
Huntington's disease.
AB - Huntington's disease is an autosomal dominant genetic disorder, with motor,
cognitive and psychiatric symptoms. To date there is no cure. In order to
understand better this disease and to develop novel treatments, many genetically
modified animal models of Huntington's disease have been created. However, to
utilize these models fully, appropriate functional assays need to be developed
for behavioural assessments of the mice. Various facets of attention have been
reported to be affected in Huntington's disease patients, and the Hdh(Q92/Q92)
mice have been shown to have deficits on operant tasks which have attentional
components. In the present study, the Hdh(Q92/Q92) mouse model is assessed on a
well established test of attentional function, the operant 5-choice serial
reaction time task (5-CSRT), in which the mice must respond with a nose poke to
light stimuli presented randomly across a 5 hole light array to receive a reward.
In the present paper, the Hdh(Q92/Q92) mice exhibited deficits on the 5-CSRT when
pseudorandomly presented with stimuli of different durations. However,
alterations in the pacing of the task, therefore requiring an increase in
sustained attention, did not affect the Hdh(Q92/Q92) mice more than their
wildtype littermates. This study indicates that the Hdh(Q92/Q92) mice may have
deficits in aspects of attentional function, in particular disruption in the
ability to maintain attention in the visuospatial domain, suggesting that this
knock-in mouse model of Huntington's disease may be a relevant model of the
disease for the testing of novel therapeutic interventions.
PMID- 22085745
TI - From the editor.
PMID- 22085746
TI - Filaments assembly of ectopically expressed Caenorhabditis elegans lamin within
Xenopus oocytes.
AB - Lamins are the major components of the nuclear lamina, a filamentous layer
underlying the inner nuclear membrane and attached to the peripheral chromatin.
Lamins are required for maintaining nuclear shape and are involved in most
nuclear activities. Here, we studied the 3D organization of the nuclear lamina
formed upon the expression of Caenorhabditis elegans lamin (Ce-lamin) within the
nucleus of a Xenopus laevis oocyte. We show that Ce-lamin forms an intricate 3D
meshwork of 5-6 nm lamin protofilaments. The diverse protofilament interactions
and organization may shed light upon the unique mechano-elastic properties of the
nuclear lamina scaffold supporting the nuclear envelope. The Q159K Hutchinson
Gilford Progeria Syndrome-linked mutation alters interactions between
protofilaments within the lamina, leading to the formation of more bundled arrays
of less isotropically-oriented protofilaments. Using this system, we show for the
first time the organization of lamin proteins that were translated and assembled
within the environment of a living cell.
PMID- 22085747
TI - Reconstructing adhesion structures in tissues by cryo-electron tomography of
vitrified frozen sections.
AB - Cryo-electron tomography enables three-dimensional insights into the
macromolecular architecture of cells in a close-to-life state. However, it is
limited to thin specimens, <1.0 MUm in thickness, typically restricted to the
peripheral areas of intact eukaryotic cells. Analysis of tissue ultrastructure,
on the other hand, requires physical sectioning approaches, preferably cryo
sectioning, following which electron tomography (ET) may be performed.
Nevertheless, cryo-electron microscopy of vitrified sections is a demanding
technique and typically cannot be used to examine thick sections, >80-100 nm, due
to surface crevasses. Here, we explore the potential use of cryo-ET of vitrified
frozen sections (VFSs) for imaging cell adhesions in chicken smooth muscle and
mouse epithelial tissues. By investigating 300-400 nm thick sections, which are
collected on the EM grid and re-vitrified, we resolved fine 3D structural details
of the membrane-associated dense plaques and flanking caveoli in smooth muscle
tissue, and desmosomal adhesions in stratified epithelium. Technically, this
method offers a simple approach for reconstructing thick volumes of hydrated
frozen sections.
PMID- 22085748
TI - Crystallographic and spectroscopic characterizations of Sulfolobus solfataricus
TrxA1 provide insights into the determinants of thioredoxin fold stability.
AB - Structural characterizations of thioredoxins (Trxs) are important for their
involvement in severe pathologies and for their stable scaffold. Here we report a
combined structural and spectroscopic characterization of a Trx isolated from the
hyperthermophilic archaeon Sulfolobus solfataricus (SsTrxA1). Thermal
denaturation unveils that SsTrxA1 is endowed with a remarkable stability in the
explored temperature range 50-105 degrees C. The structure of the oxidized form
of SsTrxA1 determined at 1.9A resolution presents a number of peculiar features.
Although the protein was crystallized in a slightly acid medium (pH 6.5) as many
as ten intramolecular/intermolecular carboxyl-carboxylate interactions involving
glutamic and aspartic acid side chains are found in three independent SsTrxA1
molecules present in the asymmetric unit. Surprisingly for a hyperthermostable
protein, the structure of SsTrxA1 is characterized by the presence (a) of a very
limited number of intramolecular salt bridges and (b) of a cavity nearby Cys52, a
residue that is frequently a phenylananine in other members of the family.
Chemical denaturation investigations carried out on SsTrxA1 and SsTrxA2 show that
both proteins present a significant stability against guanidine hydrochloride,
thus indicating that ionic interactions play a minor role in their stabilization.
Compared to Trxs from mesophilic sources, SsTrxA1 displays a longer alpha-helix 1
and a shorter loop connecting this alpha-helix with beta-strand 2. As these
features are shared with Trxs isolated from thermophilic sources, the shortening
of this loop may be a general strategy adopted to stabilize this fold. This
feature may be exploited for the design of hyperthermostable Trx scaffolds.
PMID- 22085749
TI - Helical arrangement of filaments in microvillar actin bundles.
AB - Actin filament arrays in in vivo microvillar bundles of rat intestinal enterocyte
were re-evaluated using electron tomography (ET). Conventional electron
microscope observation of semi-thin cross sections (300nm thick) of high-pressure
freeze fixed and resin embedded brush border has shown a whirling pattern in the
center of the microvilli instead of hexagonally arranged dots, which strongly
suggests that the bundle consists of a non-parallel array of filaments. A depth
compensation method for the ET was developed to estimate the actual structure of
the actin bundle. Specimen shrinkage by beam irradiation during image acquisition
was estimated to be 63%, and we restored the original thickness in the
reconstruction. The depth compensated tomogram displayed the individual actin
filaments within the bundles and it indicated that the actin filaments do not lie
exactly parallel to each other: instead, they are twisted in a clockwise coil
with a pitch of ~120 degrees /MUm. Furthermore, the lattice of actin filaments
was occasionally re-arranged within the bundle. As the microvillar bundle
mechanically interacts with the membrane and is thought to be compressed by the
membrane's faint tensile force, we removed the shrouding membrane using
detergents to eliminate the mechanical interaction. The bared bundles no longer
showed the whirling pattern, suggesting that the bundle had released its coiled
property. These findings indicate that the bundle has not rigid but elastic
properties and a dynamic transformation in its structure caused by a change in
the mechanical interaction between the membrane and the bundle.
PMID- 22085751
TI - Antinociception of beta-D-glucan from Pleurotus pulmonarius is possibly related
to protein kinase C inhibition.
AB - beta-D-Glucan, a polysaccharide isolated from an edible mushroom Pleurotus
pulmonarius (Fr.) Quel., presented antinociceptive activity in mice. This study
evaluated the involvement of transient receptor potential (TRP) channels and
protein kinase C (PKC) on antinociceptive effect of a (1->3),(1->6)-linked beta-D
glucan (GL) in mice. Intraperitoneal administration of GL potently inhibited
nociceptive responses induced by intraplantar injections of capsaicin,
cinnamaldehyde, menthol, acidified saline and phorbol myristate acetate (PMA).
Moreover, Western blot analysis revealed that GL treatment also prevented PMA
induced PKCE activation. Collectively, present results demonstrate that GL could
constitute an attractive molecule of interest for the development of new
analgesic drugs.
PMID- 22085750
TI - The hyperimmunoglobulin E syndrome--clinical manifestation diversity in primary
immune deficiency.
AB - The hyper-IgE syndromes are rare, complex primary immunodeficiencies
characterized by clinical manifestation diversity, by particular susceptibility
to staphylococcal and mycotic infections as well as by a heterogeneous genetic
origin. Two distinct entities--the classical hyper-IgE syndrome which is
inherited in an autosomal dominant pattern and the autosomal recessive hyper-IgE
syndrome--have been recognized. The autosomal dominant hyper-IgE syndrome is
associated with a cluster of facial, dental, skeletal, and connective tissue
abnormalities which are not observable in the recessive type. In the majority of
affected patients with autosomal dominant hyper-IgE syndrome a mutation in the
signal transducer and the activator of the transcription 3 gene has been
identified, leading to an impaired Th17 cells differentiation and to a
downregulation of an antimicrobial response. A mutation in the dedicator of the
cytokinesis 8 gene has been identified as the cause of many cases with autosomal
recessive hyper-IgE syndrome and, in one patient, a mutation in tyrosine kinase 2
gene has been demonstrated. In this paper, the authors provide a review of the
clinical manifestations in the hyper-IgE syndromes with particular emphasis on
the diversity of their phenotypic expression and present current diagnostic
guidelines for these diseases.
PMID- 22085752
TI - Presence of alternating glucosaminoglucan in the sheath of Thiothrix nivea.
AB - A sheath-forming sulfa oxidizer, Thiothrix nivea, was mixotrophically cultured in
a medium supplemented with acetic acid and sodium disulfide. Its sheath, a
microtube-like extracellular supermolecule, was prepared by selectively removing
the cells with lysozyme, sodium dodecyl sulfate, and sodium hydroxide. The sheath
was not visibly affected by hydrazine treatment, suggesting that it is not a
proteinous supermolecule. From the acid hydrolysate of the sheath, glucose and
glucosamine were detected in an approximate molar ratio of 1:1. Three other
saccharic compounds were detected and recovered by HPLC as fluorescent
derivatives prepared by reaction with 4-aminobenzoic acid ethyl ester. Nuclear
magnetic resonance (NMR) analysis suggested that one of the derivatives was
derived from an unidentified deoxypentose. NMR analysis for the other 2
derivatives showed that they were derived from beta-1,4-linked disaccharides and
tetrasaccharides, which were composed of glucose and glucosamine. The sheath was
readily broken down by weak HCl treatment, releasing an unidentified deoxypentose
and polymer. Chemical analysis showed the presence of beta-1,4-linked D-Glcp and
D-GlcNp in the polymer. NMR analysis revealed that the polymer had a repeating
unit of ->4)-D-Glcp-(beta1->4)-D-GlcNp-(beta1->. The solid-state 1D-(13)C NMR
spectrum of the polymer in N-acetylated form supported this result. The molecular
weight of the polymer was estimated to be 8.2*10(4) by size exclusion
chromatography. Based on these results, the sheath of T. nivea is hypothesized to
be assembled from alternately beta-1,4-linked glucosaminoglucan grafted with
unidentified deoxypentose.
PMID- 22085753
TI - Structures and anti-HSV-2 activities of neutral polysaccharides from an edible
plant, Basella rubra L.
AB - Four neutral polysaccharides (BRN-1, BRN-2, BRN-3 and BRN-4) were isolated from
the hot water extract of the aerial part of Basella rubra L. They were found to
consist of a large amount of D-galactose (81.0-92.4%) and small amounts of L
arabinose (5.4-7.8%), D-glucose (2.2-11.0%) and mannose (~2.9%). Linkage analysis
revealed that all these neutral polysaccharides might be arabinogalactan type I
polysaccharides in different molecular weight and chain length. Among them, only
BRN-3 showed antiviral activity against herpes simplex virus type 2 (HSV-2) with
50% inhibitory concentration of 55 MUg/mL without showing the cytotoxicity up to
2300 MUg/mL. Furthermore, the main antiviral target of BRN-3 was shown to be the
inhibition of virus adsorption to host cells. This is the first report on the
neutral polysaccharide with anti-HSV-2 activity obtained from B. rubra L.
PMID- 22085754
TI - Antioxidant activities of polysaccharides from Lentinus edodes and their
significance for disease prevention.
AB - The crude polysaccharide (LEP) was extracted by hot water from the fruiting
bodies of Lentinus edodes, and further purified by DEAE-cellulose and Sepharose
CL-6B chromatography, giving three polysaccharide fractions coded as LEPA1, LEPB1
and LEPC1. In this study, their chemical and physical characteristics of
polysaccharide fractions and antioxidant capacities, including scavenging
activity against hydroxyl radicals, superoxide radicals and Fe(2+)-chelating
ability, were valuated. The results showed that LEPC1 exhibited significantly
antioxidant activity at a concentration-dependent manner. Therefore these results
indicated that the water-extractable polysaccharide fraction was a potent
antioxidant and could be developed to be new health medicine for fighting against
various human diseases.
PMID- 22085755
TI - How does sucrose stabilize the native state of globular proteins?
AB - It is well known that sucrose stabilizes the native state of globular proteins
against both chemical denaturants and temperature. A largely accepted explanation
of sucrose-induced stabilization is not yet emerged. It is shown that the same
theoretical approach able to rationalize the occurrence of cold denaturation, the
contrasting role of GdmCl and Gdm(2)SO(4), and the TMAO counteraction of urea
denaturing activity [PCCP 12 (2010) 14245; PCCP 13 (2011) 12008; PCCP 13 (2011)
17689] works well also in the case of sucrose. The solvent-excluded volume effect
plays the fundamental role because sucrose addition to water causes a marked
increase in volume packing density due to the large size of sucrose molecules,
that act as crowding agents.
PMID- 22085756
TI - Characterization and application of a detergent-stable alkaline alpha-amylase
from Bacillus subtilis strain AS-S01a.
AB - A strain AS-S01a, capable of producing high-titer alkaline alpha-amylase, was
isolated from a soil sample of Assam, India and was taxonomically identified as
Bacillus subtilis strain AS-S01a. Optimized alpha-amylase yield by response
surface method (RSM) was obtained as 799.0 U with a specific activity of 201.0
U/mg in a process control bioreactor. A 21.0 kDa alkaline alpha-amylase purified
from this strain showed optimum activity at 55 degrees C and pH 9.0, and it
produced high molecular weight oligosaccharides including small amount of glucose
from starch as the end product. The K(m) and V(max) values for this enzyme
towards starch were determined as 1.9 mg/ml and 198.21 MUmol/min/mg,
respectively. The purified alpha-amylase retained its activity in presence of
oxidant, surfactants, EDTA and various commercial laundry detergents, thus
advocating its suitability for various industrial applications.
PMID- 22085757
TI - Guided self-help concreteness training as an intervention for major depression in
primary care: a Phase II randomized controlled trial.
AB - BACKGROUND: The development of widely accessible, effective psychological
interventions for depression is a priority. This randomized trial provides the
first controlled data on an innovative cognitive bias modification (CBM) training
guided self-help intervention for depression. METHOD: One hundred and twenty-one
consecutively recruited participants meeting criteria for current major
depression were randomly allocated to treatment as usual (TAU) or to TAU plus
concreteness training (CNT) guided self-help or to TAU plus relaxation training
(RT) guided self-help. CNT involved repeated practice at mental exercises
designed to switch patients from an unhelpful abstract thinking habit to a
helpful concrete thinking habit, thereby targeting depressogenic cognitive
processes (rumination, overgeneralization). RESULTS: The addition of CNT to TAU
significantly improved depressive symptoms at post-treatment [mean difference on
the Hamilton Rating Scale for Depression (HAMD) 4.28, 95% confidence interval
(CI) 1.29-7.26], 3- and 6-month follow-ups, and for rumination and
overgeneralization post-treatment. There was no difference in the reduction of
symptoms between CNT and RT (mean difference on the HAMD 1.98, 95% CI -1.14 to
5.11), although CNT significantly reduced rumination and overgeneralization
relative to RT post-treatment, suggesting a specific benefit on these cognitive
processes. CONCLUSIONS: This study provides preliminary evidence that CNT guided
self-help may be a useful addition to TAU in treating major depression in primary
care, although the effect was not significantly different from an existing active
treatment (RT) matched for structural and common factors. Because of its relative
brevity and distinct format, it may have value as an additional innovative
approach to increase the accessibility of treatment choices for depression.
PMID- 22085758
TI - Caffeine inhibits antinociception by acetaminophen in the formalin test by
inhibiting spinal adenosine A1 receptors.
AB - The present study examined effects of caffeine on antinociception by
acetaminophen in the formalin test in mice. It demonstrates that caffeine 10mg/kg
inhibits antinociception produced by acetaminophen 300 mg/kg i.p. against phase 2
flinches. Chronic administration of caffeine in the drinking water (0.1, 0.3g/l)
for 8 days also inhibits the action of acetaminophen. The selective adenosine
A(1) receptor antagonist DPCPX 1mg/kg i.p. mimics the action of caffeine, but the
selective adenosine A(2A) receptor antagonist SCH58261 3mg/kg i.p. does not.
While acetaminophen produced the same effect in mice that were +/+, +/- and -/-
for adenosine A(1) receptors, inhibition of antinociception by caffeine was seen
only in +/+ and +/- mice. A higher dose of caffeine, 40 mg/kg, produced an
intrinsic antinociception against formalin-evoked flinches, an effect also seen
when caffeine was administered intrathecally. SCH58261 30 nmol, but not DPCPX 10
nmol, also produced antinociception when administered intrathecally indicating
involvement of adenosine A(2A) receptors in spinal antinociception. Caffeine
reversal of acetaminophen results from actions in the spinal cord, as intrathecal
DPCPX 10 nmol inhibited antinociception by systemic acetaminophen; this was also
observed in +/+ but not in -/- adenosine A(1) receptor mice. We propose that
spinal adenosine A(1) receptors contribute to the action of acetaminophen
secondarily to involvement of descending serotonin pathways and release of
adenosine within the spinal cord. Inhibition of acetaminophen antinociception by
doses of caffeine relevant to dietary human intake levels suggests a more
detailed consideration of acetaminophen-caffeine interactions in humans is
warranted.
PMID- 22085759
TI - pi-Conjugated cyanostilbene derivatives: a unique self-assembly motif for
molecular nanostructures with enhanced emission and transport.
AB - pi-Conjugated organic molecules represent an attractive platform for the design
and fabrication of a wide range of nano- and microstructures for use in organic
optoelectronics. The desirable optical and electrical properties of pi-conjugated
molecules for these applications depend on their primary molecular structure and
their intermolecular interactions such as molecular packing or ordering in the
condensed states. Because of the difficulty in satisfying these rigorous
structural requirements for photoluminescence and charge transport, the
development of novel high-performance pi-conjugated systems for nano
optoelectronics has remained a challenge. This Account describes our recent
discovery of a novel class of self-assembling pi-conjugated organic molecules
with a built-in molecular elastic twist. These molecules consist of a cyano
substituted stilbenic pi-conjugated backbone and various terminal functional
groups, and they offer excellent optical, electrical, and self-assembly
properties for use in various nano-optoelectronic devices. The characteristic
"twist elasticity" behavior of these molecules occurs in response to molecular
interactions. These large torsional or conformational changes in the
cyanostilbene backbone play an important role in achieving favorable
intermolecular interactions that lead to both high photoluminescence and good
charge carrier mobility in self-assembled nanostructures. Conventional pi
conjugated molecules in the solid state typically show concentration
(aggregation) fluorescence quenching. Initially, we describe the unique
photoluminescence properties, aggregation-induced enhanced emission (AIEE), of
these new cyanostilbene derivatives that elegantly circumvent these problems.
These elastic twist pi-conjugated backbones serve as versatile scaffolds for the
preparation of well-defined patterned nanosized architectures through facile self
assembly processes. We discuss in particular detail the preparation of 1D
nanowire structures through programmed self-assembly. This Account describes the
importance of utilizing AIEE effects to explore optical device applications, such
as organic semiconducting lasers (OSLs), optical memory, and sensors. We
demonstrate the rich electronic properties, including the electrical
conductivity, field-effect carrier mobility, and electroluminescence of highly
crystalline 1D nanowire and coaxial donor-acceptor nanocable structures composed
of elastic twist pi-conjugated molecules. The electronic properties were measured
using various techniques, including current-voltage (I-V), conducting-probe
atomic force microscopy (CP-AFM), and space-charge-limited-current (SCLC)
measurements. We prepared and characterized several electronic device structures,
including organic field-effect transistors (OFETs) and organic light-emitting
field-effect transistors (OLETs).
PMID- 22085761
TI - Reproduction numbers for epidemic models with households and other social
structures. I. Definition and calculation of R0.
AB - The basic reproduction number R(0) is one of the most important quantities in
epidemiology. However, for epidemic models with explicit social structure
involving small mixing units such as households, its definition is not
straightforward and a wealth of other threshold parameters has appeared in the
literature. In this paper, we use branching processes to define R(0), we apply
this definition to models with households or other more complex social structures
and we provide methods for calculating it.
PMID- 22085760
TI - Evolution of anterior Hox regulatory elements among chordates.
AB - BACKGROUND: The Hox family of transcription factors has a fundamental role in
segmentation pathways and axial patterning of embryonic development and their
clustered organization is linked with the regulatory mechanisms governing their
coordinated expression along embryonic axes. Among chordates, of particular
interest are the Hox paralogous genes in groups 1-4 since their expression is
coupled to the control of regional identity in the anterior nervous system, where
the highest structural diversity is observed. RESULTS: To investigate the degree
of conservation in cis-regulatory components that form the basis of Hox
expression in the anterior nervous system, we have used assays for
transcriptional activity in ascidians and vertebrates to compare and contrast
regulatory potential. We identified four regulatory sequences located near the
CiHox1, CiHox2 and CiHox4 genes of the ascidian Ciona intestinalis which direct
neural specific domains of expression. Using functional assays in Ciona and
vertebrate embryos in combination with sequence analyses of enhancer fragments
located in similar positions adjacent to Hox paralogy group genes, we compared
the activity of these four Ciona cis-elements with a series of neural specific
enhancers from the amphioxus Hox1-3 genes and from mouse Hox paralogous groups 1
4. CONCLUSIONS: This analysis revealed that Kreisler and Krox20 dependent
enhancers critical in segmental regulation of the hindbrain appear to be specific
for the vertebrate lineage. In contrast, neural enhancers that function as Hox
response elements through the action of Hox/Pbx binding motifs have been
conserved during chordate evolution. The functional assays reveal that these Hox
response cis-elements are recognized by the regulatory components of different
and extant species. Together, our results indicate that during chordate
evolution, cis-elements dependent upon Hox/Pbx regulatory complexes, are
responsible for key aspects of segmental Hox expression in neural tissue and
appeared with urochordates after cephalochordate divergence.
PMID- 22085762
TI - Consumer evaluation of complaint handling in the Dutch health insurance market.
AB - BACKGROUND: How companies deal with complaints is a particularly challenging
aspect in managing the quality of their service. In this study we test the direct
and relative effects of service quality dimensions on consumer complaint
satisfaction evaluations and trust in a company in the Dutch health insurance
market. METHODS: A cross-sectional survey design was used. Survey data of 150
members of a Dutch insurance panel who lodged a complaint at their healthcare
insurer within the past 12 months were surveyed. The data were collected using a
questionnaire containing validated multi-item measures. These measures assess the
service quality dimensions consisting of functional quality and technical quality
and consumer complaint satisfaction evaluations consisting of complaint
satisfaction and overall satisfaction with the company after complaint handling.
Respondents' trust in a company after complaint handling was also measured. Using
factor analysis, reliability and validity of the measures were assessed.
Regression analysis was used to examine the relationships between these
variables. RESULTS: Overall, results confirm the hypothesized direct and relative
effects between the service quality dimensions and consumer complaint
satisfaction evaluations and trust in the company. No support was found for the
effect of technical quality on overall satisfaction with the company. This
outcome might be driven by the context of our study; namely, consumers get in
touch with a company to resolve a specific problem and therefore might focus more
on complaint satisfaction and less on overall satisfaction with the company.
CONCLUSIONS: Overall, the model we present is valid in the context of the Dutch
health insurance market. Management is able to increase consumers' complaint
satisfaction, overall satisfaction with the company, and trust in the company by
improving elements of functional and technical quality. Furthermore, we show that
functional and technical quality do not influence consumer satisfaction
evaluations and trust in the company to the same extent. Therefore, it is
important for managers to be aware of the type of consumer satisfaction they are
measuring when evaluating the handling of complaints within their company.
PMID- 22085763
TI - Identifying critically ill patients who benefit the most from nutrition therapy:
the development and initial validation of a novel risk assessment tool.
AB - INTRODUCTION: To develop a scoring method for quantifying nutrition risk in the
intensive care unit (ICU). METHODS: A prospective, observational study of
patients expected to stay > 24 hours. We collected data for key variables
considered for inclusion in the score which included: age, baseline APACHE II,
baseline SOFA score, number of comorbidities, days from hospital admission to ICU
admission, Body Mass Index (BMI) < 20, estimated % oral intake in the week prior,
weight loss in the last 3 months and serum interleukin-6 (IL-6), procalcitonin
(PCT), and C-reactive protein (CRP) levels. Approximate quintiles of each
variable were assigned points based on the strength of their association with 28
day mortality. RESULTS: A total of 597 patients were enrolled in this study.
Based on the statistical significance in the multivariable model, the final score
used all candidate variables except BMI, CRP, PCT, estimated percentage oral
intake and weight loss. As the score increased, so did mortality rate and
duration of mechanical ventilation. Logistic regression demonstrated that
nutritional adequacy modifies the association between the score and 28 day
mortality (p = 0.01). CONCLUSIONS: This scoring algorithm may be helpful in
identifying critically ill patients most likely to benefit from aggressive
nutrition therapy.
PMID- 22085764
TI - Retrospective review of male breast cancer patients: analysis of tamoxifen
related side-effects.
AB - BACKGROUND: Approximately 2000 American men are diagnosed with breast cancer
every year. Limited data are available evaluating toxicity of antihormonal
treatments in male breast cancer patients. PATIENTS AND METHODS: We reviewed male
breast cancer patients evaluated at our institution (1999-2009). Of 126 patients,
64 met the following inclusion criteria: stage I-III, treated with tamoxifen, at
least one follow-up visit after starting tamoxifen. A descriptive analysis of
toxic effects was carried out on these 64 patients. RESULTS: Median follow-up
from start of tamoxifen therapy was 3.9 years (range 0.3-19.4 years). Median age
at diagnosis was 61 years (range 30-79 years). Breakdown by stage: 29.7% (n = 19)
stage I, 54.7% (n = 35) stage II, and 15.6% (n = 10) stage III. Thirty-four (53%)
patients experienced one or more toxicity while taking tamoxifen. Most common
toxic effects are weight gain (14 patients, 22%) and sexual dysfunction (14
patients, 22%). Thirteen (20.3%) patients discontinued tamoxifen due to toxicity:
one ocular, one leg cramps, two neurocognitive deficits, two bone pain, three
sexual dysfunction, and four thromboembolic events. CONCLUSIONS: To our
knowledge, this is the largest study examining tamoxifen-related toxic effects
among male breast cancer patients. Among male patients, there is a high rate of
discontinuation of tamoxifen. Prospective studies of antihormonal agents in male
breast cancer are warranted.
PMID- 22085765
TI - Glycyrrhetinic acid protects mice from Staphylococcus aureus pneumonia.
AB - In the present study, the antimicrobial activity of glycyrrhetinic acid (GA)
against Staphylococcus aureus, and its influence on the production of S. aureus
alpha-haemolysin (Hla) were investigated, along with the in vivo activity of GA
against S. aureus-induced pneumonia. GA could not inhibit the growth of S.
aureus, but the secretion of Hla by S. aureus was significantly inhibited by low
concentrations of GA in a dose-dependent manner. Furthermore, in vivo data show
that GA provides protection against staphylococcal pneumonia in a murine model
system.
PMID- 22085766
TI - A retrospective observational study on the efficacy of colistin by inhalation as
compared to parenteral administration for the treatment of nosocomial pneumonia
associated with multidrug-resistant Pseudomonas aeruginosa.
AB - BACKGROUND: Colistin is used as last treatment option for pneumonia associated
with multidrug-resistant (MDR) Pseudomonas spp.. Literature about the best
administration mode (inhalation versus parenteral treatment) is lacking. METHODS:
A retrospective study of 20 intensive care patients with a pneumonia associated
with MDR P. aeruginosa receiving colistin sulphomethate sodium (Colistineb(r))
between 2007 and 2009 was performed. A strain was considered multidrug-resistant
if it was resistant to at least 6 of the following antibiotics: piperacillin
tazobactam, ceftazidime, cefepime, meropenem, aztreonam, ciprofloxacin, and
amikacin. The administration mode, predicted mortality based on the SAPS3 score,
SOFA score at onset of the colistin treatment, clinical and microbiological
response, and mortality during the episode of the infection were analysed. The
non parametric Kruskal-Wallis and Fisher's Exact test were used for statistical
analysis of respectively the predicted mortality/SOFA score and mortality rate.
RESULTS: Six patients received colistin by inhalation only, 5 were treated only
parenterally, and 9 by a combination of both administration modes. All patients
received concomitant beta-lactam therapy. The mean predicted mortalities were
respectively 72%, 68%, and 69% (p = 0.91). SOFA scores at the onset of the
treatment were also comparable (p = 0.87). Clinical response was favorable in all
patients receiving colistin by inhalation (6/6) and in 40% (2/5) of the patients
receiving colistin parenterally (p = 0.06). In the patients with colistin
administered both via inhalation and parenterally, clinical response was
favorable in 78% of the patients (7/9) (p = 0.27 as compared to the treatment
group receiving colistin only parenterally). When all patients with inhalation
therapy were compared to the group without inhalation therapy, a favorable
clinical response was present in respectively 87% and 40% (p = 0.06). In none of
the patients, the Pseudomonas spp. was eradicated from the follow-up cultures.All
patients in the parenterally treated group died. None of the patients receiving
colistin by inhalation, and 3 of 9 patients of the combination group eventually
died (p = 0.002 and p = 0.03 respectively as compared to the group receiving
colistin only parenterally). CONCLUSIONS: Aerosolized colistin could be
beneficial as adjunctive treatment for the management of pneumonia due to MDR P.
aeruginosa.
PMID- 22085767
TI - Raccoon dog rabies surveillance and post-vaccination monitoring in Lithuania 2006
to 2010.
AB - BACKGROUND: Oral rabies vaccination (ORV) in rabies infected regions should
target the primary rabies vector species, which in Lithuania includes raccoon
dogs as well as red foxes. Specific investigations on ORV in raccoon dogs are
needed e.g. evaluation of vaccine effectiveness under field conditions. The
objective of the current study was to investigate the efficacy of the ORV
programme 2006-2010 in Lithuania by examining the number of rabies cases and
estimating the prevalences of a tetracycline biomarker (TTC) and rabies virus
antibodies in raccoon dogs. METHODS: From 2006 to 2010, 12.5 million rabies
vaccine-baits were distributed by aircraft. Baiting occurred twice per year
(spring and autumn), targeting raccoon dogs and red foxes in a 63,000 km2 area of
Lithuania. The mandibles of raccoon dogs found dead or killed in the vaccination
area were analyzed by fluorescence microscopy for the presence of the TTC. Rabies
virus sera neutralizing anti-glycoprotein antibody titres were determined using
an indirect ELISA method and seroconversion (> 0.5 EU/ml) rates were estimated.
RESULTS: During the study period, 51.5% of raccoon dog mandibles were positive
for TTC. 1688 of 3260 tested adults and 69 of 175 tested cubs were TTC positive.
Forty-seven percent of raccoon dog serum samples were positive for rabies virus
antibodies. 302 of 621 investigated adults and 33 of 95 investigated cubs were
seropositive. In the same time 302 of 684 and 43 of 124 tested samples were TTC
and ELISA positive in spring; whereas 1455 of 2751 and 292 of 592 tested samples
were TTC and ELISA positive in autumn. There was a positive correlation between
the number of TTC and antibody positive animals for both adult and cub groups.
CONCLUSIONS: ORV was effective in reducing the prevalence of rabies in the
raccoon dog population in Lithuania. The prevalence of rabies cases in raccoon
dogs in Lithuania decreased from 60.7% in 2006-2007 to 6.5% in 2009-2010.
PMID- 22085768
TI - A multigene phylogeny of Olpidium and its implications for early fungal
evolution.
AB - BACKGROUND: From a common ancestor with animals, the earliest fungi inherited
flagellated zoospores for dispersal in water. Terrestrial fungi lost all
flagellated stages and reproduce instead with nonmotile spores. Olpidium
virulentus (= Olpidium brassicae), a unicellular fungus parasitizing vascular
plant root cells, seemed anomalous. Although Olpidium produces zoospores, in
previous phylogenetic studies it appeared nested among the terrestrial fungi. Its
position was based mainly on ribosomal gene sequences and was not strongly
supported. Our goal in this study was to use amino acid sequences from four genes
to reconstruct the branching order of the early-diverging fungi with particular
emphasis on the position of Olpidium. RESULTS: We concatenated sequences from the
Ef-2, RPB1, RPB2 and actin loci for maximum likelihood and Bayesian analyses. In
the resulting trees, Olpidium virulentus, O. bornovanus and non-flagellated
terrestrial fungi formed a strongly supported clade. Topology tests rejected
monophyly of the Olpidium species with any other clades of flagellated fungi.
Placing Olpidium at the base of terrestrial fungi was also rejected. Within the
terrestrial fungi, Olpidium formed a monophyletic group with the taxa
traditionally classified in the phylum Zygomycota. Within Zygomycota,
Mucoromycotina was robustly monophyletic. Although without bootstrap support,
Monoblepharidomycetes, a small class of zoosporic fungi, diverged from the basal
node in Fungi. The zoosporic phylum Blastocladiomycota appeared as the sister
group to the terrestrial fungi plus Olpidium. CONCLUSIONS: This study provides
strong support for Olpidium as the closest living flagellated relative of the
terrestrial fungi. Appearing nested among hyphal fungi, Olpidium's unicellular
thallus may have been derived from ancestral hyphae. Early in their evolution,
terrestrial hyphal fungi may have reproduced with zoospores.
PMID- 22085769
TI - A double antibody sandwich enzyme-linked immunosorbent assay for detection of
secreted antigen 1 of Babesia microti using hamster model.
AB - A double antibody sandwich enzyme-linked immunosorbent assay (DAS-ELISA)
targeting secreted antigen 1 of Babesia microti (BmSA1) was developed for
detection of B. microti infection. The optimized DAS-ELISA was sensitive enough
to detect circulating BmSA1 by day 2 post-infection, in sequential sera of a
hamster infected with B. microti. This detection was 4 days earlier than antibody
detection by indirect ELISA. The kinetics of circulating BmSA1 coincided with the
profile of parasitemia. The specificity of this assay was evaluated using sera
from animals experimentally infected with different species of Babesia. The DAS
ELISA had a higher sensitivity than the microscopic examination of Giemsa-stained
blood smears for detection of the infection in hamsters. Taken together, these
results indicated that BmSA1 could be a potential marker for surveillance of
human babesiosis.
PMID- 22085770
TI - Expression profile and subcellular localization of HslV, the proteasome related
protease from Trypanosoma cruzi.
AB - Trypanosoma cruzi is a rare example of an eukaryote that has genes for two
threonine proteases: HslVU complex and 20S proteasome. HslVU is an ATP-dependent
protease consisting of two multimeric components: the HslU ATPase and the HslV
peptidase. In this study, we expressed and obtained specific antibodies to HslU
and HslV recombinant proteins and demonstrated the interaction between HslU/HslV
by coimmunoprecipitation. To evaluate the intracellular distribution of HslV in
T. cruzi we used an immunofluorescence assay and ultrastructural localization by
transmission electron microscopy. Both techniques demonstrated that HslV was
localized in the kinetoplast of epimastigotes. We also analyzed the HslV/20S
proteasome co-expression in Y, Berenice 62 (Be-62) and Berenice 78 (Be-78) T.
cruzi strains. Our results showed that HslV and 20S proteasome are differently
expressed in these strains. To investigate whether a proteasome inhibitor could
modulate HslV and proteasome expressions, epimastigotes from T. cruzi were grown
in the presence of PSI, a classical proteasome inhibitor. This result showed that
while the level of expression of HslV/20S proteasome is not affected in Be-78
strain, in Y and Be-62 strains the presence of PSI induced a significantly
increase in Hslv/20S proteasome expression. Together, these results suggest the
coexistence of the protease HslVU and 20S proteasome in T. cruzi, reinforcing the
hypothesis that non-lysosomal degradation pathways have an important role in T.
cruzi biology.
PMID- 22085771
TI - Aerobic degradation of sulfanilic acid using activated sludge.
AB - This paper evaluates the aerobic degradation of sulfanilic acid (SA) by an
acclimatized activated sludge. The sludge was enriched for over three months with
SA (>500 mg/L) as the sole carbon and energy source and dissolved oxygen (DO,
>5mg/L) as the primary electron acceptor. Effects of aeration rate (0-1.74
L/min), DO concentration (0-7 mg/L) and initial SA concentration (104-1085 mg/L)
on SA biodegradation were quantified. A modified Haldane substrate inhibition
model was used to obtain kinetic parameters of SA biodegradation and oxygen
uptake rate (OUR). Positive linear correlations were obtained between OUR and SA
degradation rate (R(2)>= 0.91). Over time, the culture consumed more oxygen per
SA degraded, signifying a gradual improvement in SA mineralization (mass ratio of
O(2): SA at day 30, 60 and 120 were 0.44, 0.51 and 0.78, respectively). The
concomitant release of near stoichiometric quantity of sulphate (3.2 mmol SO(4)(2
) released from 3.3 mmol SA) and the high chemical oxygen demand (COD) removal
efficacy (97.1%) indicated that the enriched microbial consortia could drive the
overall SA oxidation close to a complete mineralization. In contrast to other
pure-culture systems, the ammonium released from the SA oxidation was
predominately converted into nitrate, revealing the presence of ammonium
oxidizing bacteria (AOB) in the mixed culture. No apparent inhibitory effect of
SA on the nitrification was noted. This work also indicates that aerobic SA
biodegradation could be monitored by real-time DO measurement.
PMID- 22085772
TI - Detection of immune cell response to M. tuberculosis-specific antigens by
quantitative polymerase chain reaction.
AB - One third of the world's population is latently infected with Mycobacterium
tuberculosis (Mtb) and up to 10% of infected individuals develop active
tuberculosis (TB) in their lifetime. Among the major challenges in the control of
TB is the implementation of sensitive methods for detection of latent
tuberculosis infection (LTBI). Currently, in vitro interferon gamma release
assays, yielding single value readout, are used as an alternative to the
traditional tuberculin skin test for the diagnosis of LTBI. More complex
characterization of immune status of LTBI individuals, however, is desirable for
indication of LTBI subjects for preventative chemotherapy. Here we describe a
quantitative polymerase chain reaction (qPCR) for determination of expression
levels of 14 genes, additional to interferon gamma, which was applied for
comparison of the specific Mtb-antigen immune response of blood cells from
healthy, latently infected, and TB individuals. With the use of principal
component analysis and discriminant analysis, a pattern of mRNA levels of 6 genes
was identified, allowing discrimination of healthy individuals from active TB and
LTBI subjects. These results open the way to development of multimarker qPCR for
the detection of LTBI.
PMID- 22085773
TI - Evaluation of the performance of 5 commercialized enzyme immunoassays for the
detection of Taenia solium antibodies and for the diagnosis of
neurocysticercosis.
AB - This study aimed to evaluate 5 enzyme immunoassays for detecting human antibodies
against Taenia solium in human serum and for the diagnosis of neurocysticercosis
(NCC): DRGTM, RIDASCREENTM, NOVATECHTM, CYPRESSTM, and IVDTM. A collection of 114
reference serum samples were used. All sera were tested both by ELISA and by an
immunoblot method (enzyme-linked immunoelectrotransfer blot [EITB]). When
compared with EITB, the RidascreenTM test had the best positive concordance rate
(85.1-91.2%) and the NovaLisa testTM showed the optimal negative concordance rate
(93.7-95.6%). All tests had a sensitivity under 72% and a specificity above 60%.
The best sensitivity was obtained using RidascreenTM test (71.4%). An optimal
specificity was achieved by the NovaLisa testTM. T. solium-positive sera all
cross-reacted with E. granulosus positive samples. In the commercial assays
evaluated here, the most appropriate ELISA test for screening may be the
RidascreenTM assay. Antibody detection seems to be not appropriate for NCC
diagnosis because of its overall lack of sensitivity.
PMID- 22085774
TI - Inducible galectins are expressed in the inflamed pharynx of the ascidian Ciona
intestinalis.
AB - Although ascidians belong to a key group in chordate phylogenesis, amino acid
sequences of Ciona intestinalis galectin-CRDs (CiLgals-a and -b) have been
retained too divergent from vertebrate galectins. In the present paper, to
contribute in disclosing Bi-CRD galectin evolution a novel attempt was carried
out on CiLgals-a and -b CRDs phylogenetic analysis, and their involvement in
ascidian inflammatory responses was shown. CiLgals resulted aligned with Bi-CRD
galectins from vertebrates (Xenopus tropicalis, Gallus gallus, Mus musculus, Homo
sapiens), cephalochordates (Branchiostoma floridae), echinoderms
(Strongylocentrotus purpuratus) and a mono-CRD galectin from the ascidian
Clavelina picta. The CiLgals-a N-terminal and C-terminal CRDs contain the
signature sequence involved in carbohydrate binding, whereas the CiLgals-b C-CRD
presents only three out of seven key aminoacids and it could not be suitable as
sugar binding motif. Sequence similarity between clusters suggests an
evolutionary model based on CRD domain gene duplication and sequence
diversification. In particular CiLgals-b N-CRD and C-CRD were similar to each
other and both grouped with the ascidian C. picta mono-CRD. Homology modeling
process shows a CiLgals molecular structure superimposed to chicken and mouse
galectins. The CiLgals-a and CiLgals-b genes were upregulated by LPS inoculation
suggesting that they are inducible and expressed in the inflamed pharynx as
revealed by real-time PCR analysis. Finally, in situ hybridization and
immunohistochemical assays showed their localization in the inflamed tissues,
while immunoblotting analysis indicated that CiLgals can form oligomers.
PMID- 22085775
TI - Comparison of three combined pharmacological approaches with tiotropium
monotherapy in stable moderate to severe COPD: a systematic review.
AB - BACKGROUND: Guidelines recommend the use of inhaled long-acting bronchodilators,
inhaled corticosteroids (ICS) and their combinations for maintenance treatment of
moderate to severe COPD. However, there are limited data supporting combination
therapy. METHODS: This systematic review assessed the efficacy of three
therapeutic approaches: tiotropium plus long-acting beta2-agonist (LABA) ("dual"
therapy), LABA/ICS ("combined" therapy), and tiotropium plus LABA/ICS ("triple"
therapy), all compared with tiotropium monotherapy. Randomized controlled trials
were identified after a search of different databases of published and
unpublished trials. RESULTS: Twenty trials (6803 participants) were included.
"Dual" therapy showed significant improvements in forced volume in the first
second (FEV(1)), health-related quality of life (HRQoL), and dyspnea. However, it
failed to reduce the risk of COPD exacerbations. Compared with tiotropium,
"combined" therapy presented modest but significant effects on FEV(1), HRQoL, and
dyspnea. Again, there was no significant difference in exacerbations, but it was
associated with a significant increase of serious adverse effects (SAE) (number
need to treat for harm [NNTH] = 20; 95% CI: 11-119). Finally, "triple therapy"
increased FEV(1), improved HRQoL (both benefits exceeded minimal important
differences) and decrease COPD exacerbations in anon-significant way. (Odds ratio
[OR] = 0.57; 95% CI: 0.24 to 1.37, p = 0.21). CONCLUSIONS: "Dual" and "triple"
therapy seem like the most promising for patients with moderate to very severe
COPD. However, data are still scarce and studies too short to generate a strong
recommendation. Future studies should examine long-term efficacy and safety.
PMID- 22085776
TI - Childhood trauma may combine synergistically with stimulant use rather than
cannabis use to predict psychosis.
PMID- 22085777
TI - Health care provider satisfaction with telephone consultations provided by
pharmacists and physicians at the National HIV/AIDS Clinicians' Consultation
Center.
AB - BACKGROUND: The federally funded National HIV/AIDS Clinicians' Consultation
Center (NCCC) offers US health care providers expert telephone consultations for
managing HIV/AIDS and occupational exposures to blood-borne pathogens through 3
telephone services: the National Clinicians' Post-Exposure Prophylaxis Hotline
(PEPline), the National HIV Telephone Consultation Service (Warmline), and the
Perinatal HIV Hotline. Callers to the NCCC receive consultation from either a
clinical pharmacist (PharmD) or a physician (MD) with HIV expertise. OBJECTIVE:
To compare the satisfaction of NCCC callers who received clinical consultations
from clinical pharmacists and physicians with HIV expertise. METHODS: We
prospectively mailed 1256 satisfaction surveys to NCCC health care provider
callers during a 7-month period. Survey recipients were not aware that
satisfaction surveys compared PharmD and MD consultation services. Respondents
rated their level of agreement with 8 statements about the quality of
consultation, the quality of clinical information given, and future calls to the
NCCC. RESULTS: Survey return rates were 43% for PEPline and 40% for Warmline and
Perinatal HIV Hotline combined. Overall, caller satisfaction with the telephone
consultation service was extremely high (>4 in all categories on a 1-5 Likert
scale). There was no significant difference in PEPline caller satisfaction
ratings between PharmD and MD consultations. Callers to the Warmline and
Perinatal HIV Hotline agreed with all 8 satisfaction statements. For the
following 3 statements, however, satisfaction was higher when Warmline and
Perinatal HIV Hotline consultation was provided by an MD: "Overall, I was pleased
with the quality of my consultation" (p = 0.04); "I would use this service again"
(p < 0.02); and "I am likely to recommend this service to my colleagues" (p =
0.02). CONCLUSIONS: Health care provider callers to the NCCC were highly
satisfied with the information obtained from this HIV/AIDS telephone consultation
service. By measuring callers' survey response to PharmD and MD consultations,
the importance of the clinicians' contributions to this advanced HIV/AIDS
consultation service is documented.
PMID- 22085778
TI - Systematic review and meta-analysis of efficacy, safety, and tolerability data
from randomized controlled trials of drugs used to treat postherpetic neuralgia.
AB - OBJECTIVE: To conduct a systematic review of available data from reports of
randomized controlled trials on the efficacy, safety, and tolerability of drugs
used to treat postherpetic neuralgia (PHN), a common type of neuropathic pain.
DATA SOURCES: The MEDLINE (1950-June 30, 2009) and EMBASE (1974-June 30, 2009)
databases were used to identify source studies, in conjunction with a review of
reference citations from identified published reports. STUDY SELECTION AND DATA
EXTRACTION: We selected all English-language reports of randomized placebo
controlled trials of the efficacy, tolerability, and safety of drugs (oral or
transdermal) used for treatment in patients with PHN. Studies with treatment
duration less than 4 weeks were excluded. From each identified trial, we
extracted information on (1) placebo-corrected percentage reductions in pain
intensity from randomization to end of active treatment; (2) relative risks of
withdrawal due to lack of efficacy; (3) relative risks of various adverse events;
and (4) relative risks of withdrawal due to adverse events. DATA SYNTHESIS:
Twelve reports of randomized controlled trials in patients with PHN were
identified, involving 8 different agents (amitriptyline, capsaicin, divalproex
sodium, gabapentin, morphine, nortriptyline, pregabalin, tramadol). Most studies
were small, involving fewer than 200 patients. Pain intensity was reported to
have been reduced significantly with all drugs (range: 13.8% [tramadol] to 42.4%
[amitriptyline]); data were pooled using techniques of meta-analysis when
information was available from more than 1 trial. No clinical trial reported a
significant reduction in risk of withdrawal as a result of lack of efficacy.
Analysis of adverse events was greatly limited by erratic and inconsistent
reporting and wide variation in sample sizes. CONCLUSIONS: While available
literature establishes the efficacy of 8 drugs in treatment of PHN, it does not
provide adequate guidance as to which agents are best to treat this condition, in
part because of inadequate reporting of data on tolerability and safety.
PMID- 22085779
TI - Association between mental health conditions and rehospitalization, mortality,
and functional outcomes in patients with stroke following inpatient
rehabilitation.
AB - BACKGROUND: Limited evidence exists regarding the association of pre-existing
mental health conditions in patients with stroke and stroke outcomes such as
rehospitalization, mortality, and function. We examined the association between
mental health conditions and rehospitalization, mortality, and functional
outcomes in patients with stroke following inpatient rehabilitation. METHODS: Our
observational study used the 2001 VA Integrated Stroke Outcomes database of 2162
patients with stroke who underwent rehabilitation at a Veterans Affairs Medical
Center. Separate models were fit to our outcome measures that included 6-month
rehospitalization or death, 6-month mortality post-discharge, and functional
outcomes post inpatient rehabilitation as a function of number and type of mental
health conditions. The models controlled for patient socio-demographics, length
of stay, functional status, and rehabilitation setting. RESULTS: Patients had an
average age of 68 years. Patients with stroke and two or more mental health
conditions were more likely to be readmitted or die compared to patients with no
conditions (OR: 1.44, p = 0.04). Depression and anxiety were associated with a
greater likelihood of rehospitalization or death (OR: 1.33, p = 0.04; OR:1.47, p
= 0.03). Patients with anxiety were more likely to die at six months (OR: 2.49, p
= 0.001). CONCLUSIONS: Patients with stroke with pre-existing mental health
conditions may need additional psychotherapy interventions, which may potentially
improve stroke outcomes post-hospitalization.
PMID- 22085780
TI - The candidate Fu/HC gene in Botryllusschlosseri (Urochordata) and ascidians'
historecognition--an oxymoron?
AB - Allorecognition, distinguishing self from non-self allogeneic tissues is the
underlying basis of innate immunity. In the colonial tunicate Botryllus
schlosseri this historecognition is governed at a single genetic locus, Fu/HC
(for fusibility/histocompatibility), with hundreds of co-dominantly expressed
alleles. Several years ago, De Tomaso et al. (2005) have revolutionized the
discipline of invertebrate allorecognition by describing a novel form of immune
recognition in B. schlosseri, a non-vertebrate candidate histocompatibility gene
(cFu/HC), revealing that allorecognition machinery in urochordates has nothing in
common with the vertebrates' MHC-based histocompatibility. The authors reported
absolute concordance of fusibility and cFu/HC genotype, predicted
fusion/rejection outcomes in allorecognition settings from allelic polymorphism
at the cFu/HC, also claiming cFu/HC gene expressions only in tissues directly
engaged in histocompatibility. Here, we raise queries for the validity of the
results and conclusions of De Tomaso et al. (2005) publication. Our reservations
include discrepancies in the paper's results, including the perplexing absence of
key sequencing material from public domains and above all, our own impugning
outcomes. These include cloning efforts, in situ hybridization results, semi
quantitative PCR outcomes, and the incongruence emerged between fusion/rejection
profiles and cFu/HC segregated polymorphism that separately and cumulatively
contradict the original publication. We conclude that Botryllus
histocompatibility properties are not signaled in the claimed cFu/HC and that
cFu/HC gene is unlikely the allodeterminant for Botryllus histocompatibility
locus. Hence, the molecular nature of the Fu/HC locus in botryllid ascidians is
still awaiting elucidation.
PMID- 22085781
TI - The Drosophila larva as a tool to study gut-associated macrophages: PI3K
regulates a discrete hemocyte population at the proventriculus.
AB - Immune cells not only patrol the body in the circulation but also importantly,
associate with specific tissues, such as the intestinal epithelium. The complex
interactions between immune cells and their target tissues are difficult to study
and simple, genetically tractable models are lacking. Here, we present the first
thorough characterization of gut-associated macrophages in Drosophila larvae. We
analyze their gene expression, morphology, development and lineage and
importantly, demonstrate that they are functional (phagocytic) macrophages. We
test their regulation by phosphoinositide 3-kinase (PI3K) and show evidence that
this pathway regulates the population size of gut hemocytes and their phagocytic
activity, reminiscent of recent findings in mammalian colitis models. Our data
suggest that PI3K signaling modifies the adhesive properties of hemocytes, a
possible mechanism for gut-hemocyte regulation. These results demonstrate the
potential of the Drosophila larva as a simple tool to uncover mechanisms
regulating recruitment and maintenance of innate immune cells at their target
tissues.
PMID- 22085782
TI - Report on the 23rd Annual Meeting of the Japanese Association for Developmental
and Comparative Immunology (JADCI), 21-23 August 2011, Yokohama Institute for
Earth Sciences, Japan Agency for Marine-Earth Science and Technology (JAMSTEC),
Yokohama, Japan (Local organizer: Tadashi Maruyama, JAMSTEC).
PMID- 22085783
TI - MIF from mussel: coding sequence, phylogeny, polymorphism, 3D model and
regulation of expression.
AB - Three macrophage migration inhibitory factor (MIF)-related sequences were
identified from a Mytilus galloprovincialis EST library. The consensus sequence
included a 5'-UTR of 32 nucleotides, the complete ORF of 345 nucleotides, and a
3'-UTR of 349 nucleotides. As for other MIFs, M. galloprovincialis ORF does not
include any signal or C-terminus extensions. The translated sequence of 115 amino
acids possesses a molecular mass of 12,681.4, a pI of 6.27 and a stability index
of 21.48. Its 3D structure resembles human MIF except for one shorter alpha
helix. Although evolutionary separated from ticks and vertebrates, Mg-MIF
appeared to be closely related to Pinctada fucata and Haliotis, but not to
Chlamys farreri and Biomphalaria glabrata. Numerous mutation points were observed
within the Mg-MIF ORF, defining 11 amino acid variants within the mussels from
Palavas-France and 14 amino acid variants within the mussels from Palermo-Italy.
The 2 major variants from Palavas were identical to 2 of the 4 major variants
from Palermo. In all the 18 Mg-MIF variants, residues involved in tautomerase and
in oxidoreductase activities were conserved. Generally, one mussel expressed 2 Mg
MIF amino acid sequences but with different frequencies of occurrence. Mg-MIF is
constitutively expressed principally in hemocytes and in the mantle. In contrast
to other animal models, Mg-MIF expression was always down regulated following
challenge by bacteria and fungi, confirming previous data obtained with
microarray. Down regulation started as soon as 1 h and Mg-MIF expression returned
to background 9-48 h after the challenge. Exception was regarding the yeast,
Candidaalbicans, down-regulation between 9 and 72 h, suggesting yeast and
bacteria-filamentous fungi trigger different mechanisms of elimination.
PMID- 22085785
TI - Reduction in hospital-wide mortality after implementation of a rapid response
team: a long-term cohort study.
AB - INTRODUCTION: Rapid response teams (RRTs) have been shown to reduce
cardiopulmonary arrests outside the intensive care unit (ICU). Yet the utility of
RRTs remains in question, as most large studies have failed to demonstrate a
significant reduction in hospital-wide mortality after RRT implementation.
METHODS: A cohort design with historical controls was used to determine the
effect on hospital-wide mortality of an RRT in which clinical judgment, in
addition to vital-signs criteria, was widely promoted as a key trigger for
activation. All nonprisoner patients admitted to a tertiary referral public
teaching hospital from 2003 through 2008 were included. In total, 77, 021
admissions before RRT implementation (2003 through 2005) and 79, 013 admissions
after RRT implementation (2006 through 2008) were evaluated. The a priori primary
outcome was unadjusted hospital-wide mortality. A Poisson regression model was
then used to adjust for hospital-wide mortality trends over time. Secondary
outcomes defined a priori were unadjusted out-of-ICU mortality and out-of-ICU
cardiopulmonary-arrest codes. RESULTS: In total, 855 inpatient RRTs (10.8 per 1,
000 hospital-wide discharges) were activated during the 3-year postintervention
period. Forty-seven percent of RRTs were activated for reasons of clinical
judgment. Hospital-wide mortality decreased from 15.50 to 13.74 deaths per 1, 000
discharges after RRT implementation (relative risk, 0.887; 95% confidence
interval (CI), 0.817 to 0.963; P = 0.004). After adjusting for inpatient
mortality trends over time, the reduction in hospital-wide mortality remained
statistically significant (relative risk, 0.825; 95% CI, 0.694 to 0.981; P =
0.029). Out-of-ICU mortality decreased from 7.08 to 4.61 deaths per 1, 000
discharges (relative risk, 0.651; 95% CI, 0.570 to 0.743; P < 0.001). Out-of-ICU
cardiopulmonary-arrest codes decreased from 3.28 to 1.62 codes per 1, 000
discharges (relative risk, 0.493; 95% CI, 0.399 to 0.610; P < 0.001).
CONCLUSIONS: Implementation of an RRT in which clinical judgment, in addition to
vital-signs criteria, was widely cited as a rationale for activation, was
associated with a significant reduction in hospital-wide mortality, out-of-ICU
mortality, and out-of-ICU cardiopulmonary-arrest codes. The frequent use of
clinical judgment as a criterion for RRT activation was associated with high RRT
utilization.
PMID- 22085784
TI - Bovine tuberculosis at a cattle-small ruminant-human interface in Meskan, Gurage
region, Central Ethiopia.
AB - BACKGROUND: Bovine tuberculosis (BTB) is endemic in Ethiopian cattle. The aim of
this study was to assess BTB prevalence at an intensive contact interface in
Meskan Woreda (district) in cattle, small ruminants and suspected TB
lymphadenitis (TBLN) human patients. METHODS: The comparative intradermal test
(CIDT) was carried out for all animals involved in the cross-sectional study and
results interpreted using a > 4 mm and a > 2 mm cut-off. One PPD positive goat
was slaughtered and lymph nodes subjected to culture and molecular typing. In the
same villages, people with lymphadenitis were subjected to clinical examination.
Fine needle aspirates (FNA) were taken from suspected TBLN and analyzed by smear
microscopy and molecular typing. RESULTS: A total of 1214 cattle and 406 small
ruminants were tested for BTB. In cattle, overall individual prevalence (> 2 mm
cut-off) was 6.8% (CI: 5.4-8.5%) with 100% herd prevalence. Only three small
ruminants (2 sheep and 1 goat) were reactors. The overall individual prevalence
in small ruminants (> 2 mm cut-off) was 0.4% (CI: 0.03-5.1%) with 25% herd
prevalence. Cattle from owners with PPD positive small ruminants were all PPD
negative. 83% of the owners kept their sheep and goats inside their house at
night and 5% drank regularly goat milk.FNAs were taken from 33 TBLN suspected
cases out of a total of 127 screened individuals with lymph node swellings. Based
on cytology results, 12 were confirmed TBLN cases. Nine out of 33 cultures were
AFB positive. Culture positive samples were subjected to molecular typing and
they all yielded M. tuberculosis. M. tuberculosis was also isolated from the goat
that was slaughtered. CONCLUSIONS: This study highlighted a low BTB prevalence in
sheep and goats despite intensive contact with cattle reactors. TBLN in humans
was caused entirely by M. tuberculosis, the human pathogen. M. tuberculosis seems
to circulate also in livestock but their role at the interface is unknown.
PMID- 22085786
TI - The effects of homocysteine and folic acid on angiogenesis and VEGF expression
during chicken vascular development.
AB - Homocysteine (Hcy) has been implicated in the development of cardiovascular
developmental defects. Additionally, in experimental studies, vasculotoxic
properties of Hcy have been described. Although Hcy has been identified as a
vascular pathogen, little is known about the direct effects Hcy exerts during
early embryonic vascular development. Angiogenesis is a critical process involved
in embryo survival and development. There are limited studies on the effects of
Hcy on early embryonic vasculogenesis and angiogenesis. Folic acid (FA) is a B
vitamin essential in embryo development, and FA supplementation may lead to
reduced Hcy levels. Therefore, the purpose of our study was to explore the
effects of Hcy and FA on early embryonic vascular development. Embryonic day (E)
3.5 chicken embryos were treated with a sham, Hcy or FA solution. We developed a
computational program for systematic analysis of microscopic images obtained from
the extra embryonic vascular beds. These results were combined with real-time PCR
data on the expression of VEGF-A and its receptor in these vascular beds. Our
data show that Hcy exposure inhibits early vascular development, displayed by a
significant reduction of vessel area and altered composition of the vascular
beds. Vascular beds of Hcy embryos for the greater part consisted of vessels of
the smallest diameters, compared to middle size vessels in control and FA
embryos. Hcy also reduced expression of VEGF-A and VEGFR-2. No significant
effects of FA were found. We conclude that Hcy exposure causes impaired early
extra embryonic vascular development, shown by altered composition of the
vascular beds as well as reduced expression of VEGF-A and VEGFR-2. These effects
of Hcy, and the consecutive cascade of events, may be involved in the development
of cardiovascular developmental defects.
PMID- 22085787
TI - Searching for differences in the behavioural response of piglet groups subjected
to novel situations.
AB - The Backtest (BT), the Open Field (OF) and the Novel Object (NO) tests have been
used to identify individual reaction patterns in piglets and to measure
parameters that previous studies have shown to be correlated to the coping
strategies of animals. The BT allows for the classification of piglets into two
different "coping styles": high-resisting (HR) and low-resisting (LR), which
respectively correspond to a (pro-)active and passive (or reactive) behavioural
response. During previous research, the subjects were tested singularly, so the
aim of this study was to investigate if differences between HR and LR animals
could be detected when piglets are tested in a group using the OP and NO tests. A
total of 132 piglets were subjected to the BT and then were housed in groups
consisting of four individuals each so as to obtain HR pens, LR pens and mixed
pens. We found differences in the pigs' behaviour during the OF and the NO tests
and according to the type of group. Individual differences in the behavioural
response of piglets to the Backtest were not predictive of the behavioural
response of the animals subjected to the OF or to NO tests. Our results show that
there are no relevant differences between HR and LR piglets when they are
subjected in a group to novel situations.
PMID- 22085788
TI - Bats' avoidance of real and virtual objects: implications for the sonar coding of
object size.
AB - Fast movement in complex environments requires the controlled evasion of
obstacles. Sonar-based obstacle evasion involves analysing the acoustic features
of object-echoes (e.g., echo amplitude) that correlate with this object's
physical features (e.g., object size). Here, we investigated sonar-based obstacle
evasion in bats emerging in groups from their day roost. Using video-recordings,
we first show that the bats evaded a small real object (ultrasonic loudspeaker)
despite the familiar flight situation. Secondly, we studied the sonar coding of
object size by adding a larger virtual object. The virtual object echo was
generated by real-time convolution of the bats' calls with the acoustic impulse
response of a large spherical disc and played from the loudspeaker. Contrary to
the real object, the virtual object did not elicit evasive flight, despite the
spectro-temporal similarity of real and virtual object echoes. Yet, their spatial
echo features differ: virtual object echoes lack the spread of angles of
incidence from which the echoes of large objects arrive at a bat's ears (sonar
aperture). We hypothesise that this mismatch of spectro-temporal and spatial echo
features caused the lack of virtual object evasion and suggest that the sonar
aperture of object echoscapes contributes to the sonar coding of object size.
PMID- 22085789
TI - Dissociation between seeing and acting: insights from common marmosets
(Callithrix jacchus).
AB - Perception-based measures often reveal much earlier competencies than action
based approaches. We explored this phenomenon generally labeled as "knowledge
dissociation" in 28 common marmoset monkeys (Callithrix jacchus) using a paradigm
where subjects had to localize a food item dropped down an opaque tube.
Experiments 1 and 2 assessed common marmoset monkeys' gravity bias in an action
based version of the tubes task. Experiments 3 and 4 investigated whether
marmosets' performance increases in an action-free task context where they simply
look at objects falling down a tube. The results suggest that common marmosets
have some intuition of continuity/solidity constraints when tested with
perception based measures even though these principles do not appear to guide
their search for falling objects.
PMID- 22085790
TI - Use of a barbed tool by an adult and a juvenile woodpecker finch (Cactospiza
pallida).
AB - Here we describe the modification and use of a new tool type in the woodpecker
finch (Cactospiza pallida). This species is known to habitually use twigs or
cactus spines to extract arthropods out of tree holes. We observed an adult and a
juvenile bird using several barbed twigs from introduced blackberry bushes (Rubus
niveus) which the adult bird had first modified by removing leaves and side
twigs. The barbs of blackberry tools provide a novel functional feature not
present in tools made from native plants and de-leafing of twigs never has been
observed before. Both birds were observed using several of these tools to extract
prey from under the bark of the native scalesia tree (Scalesia penduculta). They
oriented the twigs such that the barbs pointed towards themselves; this rendered
the barbs functional as they could be used to drag prey out of a crevice. The
juvenile bird first watched the adult using the tool and then used the tool that
the adult bird had left under the bark at the same location and in the same way
as the adult. Our observation highlights the fact that opportunities for the
transmission of social information do occur in the wild and indicates that
woodpecker finches are flexible in their choice of tool material and tool
modification.
PMID- 22085791
TI - Is optimism optimal? Functional causes of apparent behavioural biases.
AB - We review the use of the terms 'optimism' and 'pessimism' to characterize
particular types of behaviour in non-human animals. Animals can certainly behave
as though they are optimistic or pessimistic with respect to specific
motivations, as documented by an extensive range of examples in the literature.
However, in surveying such examples we find that these terms are often poorly
defined and are liable to lead to confusion. Furthermore, when considering
behaviour within the framework of optimal decision theory using appropriate
currencies, it is often misleading to describe animals as optimistic or
pessimistic. There are two common misunderstandings. First, some apparent cases
of biased behaviour result from misidentifying the currencies and pay-offs the
animals should be maximising. Second, actions that do not maximise short-term pay
offs have sometimes been described as optimistic or pessimistic when in fact they
are optimal in the long term; we show how such situations can be understood from
the perspective of bandit models. Rather than describing suboptimal, unrealistic
behaviour, the terms optimism and pessimism are better restricted to informal
usage. Our review highlights the importance of choosing the relevant currency
when attempting to predict the action of natural selection.
PMID- 22085792
TI - Emergence and evolution of the glycoprotein hormone and neurotrophin gene
families in vertebrates.
AB - BACKGROUND: The three vertebrate pituitary glycoprotein hormones (GPH) are
heterodimers of a common alpha and a specific beta subunit. In human, they are
located on different chromosomes but in a similar genomic environment. We took
advantage of the availability of genomic and EST data from two cartilaginous fish
species as well as from two lamprey species to identify their repertoire of
neurotrophin, lin7 and KCNA gene family members which are in the close
environment of gphbeta. Gphalpha and gphbeta are absent outside vertebrates but
are related to two genes present in both protostomes and deuterostomes that were
named gpa2 and gpb5. Genomic organization and functional characteristics of their
protein products suggested that gphalpha and gphbeta might have been generated
concomitantly by a duplication of gpa2 and gpb5 just prior to the radiation of
vertebrates. To have a better insight into this process we used new genomic
resources and tools to characterize the ancestral environment before the
duplication occurred. RESULTS: An almost similar repertoire of genes was
characterized in cartilaginous fishes as in tetrapods. Data in lampreys are
either incomplete or the result of specific duplications and/or deletions but a
scenario for the evolution of this genomic environment in vertebrates could be
proposed. A number of genes were identified in the amphioxus genome that helped
in reconstructing the ancestral environment of gpa2 and gpb5 and in describing
the evolution of this environment in vertebrates. CONCLUSION: Our model suggests
that vertebrate gphalpha and gphbeta were generated by a specific local
duplication of the ancestral forms of gpa2 and gpb5, followed by a translocation
of gphbeta to a new environment whereas gphalpha was retained in the gpa2-gpb5
locus. The two rounds of whole genome duplication that occurred early in the
evolution of vertebrates generated four paralogues of each gene but secondary
gene losses or lineage specific duplications together with genomic rearrangements
have resulted in the present organization of these genes, which differs between
vertebrate lineages.
PMID- 22085793
TI - Angiogenic biomarkers predict the occurrence of digital ulcers in systemic
sclerosis.
AB - OBJECTIVE: To evaluate the possible merit of endothelial markers for the
prediction of ischaemic digital ulcers in patients with systemic sclerosis (SSc).
METHODS: Circulating endothelial progenitor cells (EPC), circulating endothelial
cells and serum levels of placental growth factor (PlGF), soluble vascular
adhesion molecule and vascular endothelial growth factor were measured in a
prospective cohort of 100 SSc patients. The primary outcome was the occurrence of
one or more new ischaemic digital ulcers during a planned 3-year follow-up.
RESULTS: After the follow-up period, 17 patients developed new digital ulcers. By
multivariate analysis focused on biomarkers, high PlGF serum levels and low EPC
counts were identified as predictors of the occurrence of at least one new
digital ulcer. In a secondary model including biomarkers together with clinical
SSc characteristics all predictors of digital ulcers defined by p<=0.1 in
univariate analysis, high PlGF serum levels (HR 7.26, 95% CI 1.92 to 27.41) and a
history of digital ulcers (HR 9.32, 95% CI 1.51 to 59.83) were identified as
independent predictors of a new digital ulcer. In an alternative model excluding
patients with a history of digital ulcers at baseline, high PlGF serum levels (HR
13.46, 95% CI 1.58 to 114.73) and low EPC counts (HR 7.95, 95% CI 2.09 to 30.09)
remained predictive of new digital ulcer occurrence during follow-up. CONCLUSION:
This study identified high PlGF serum levels and low circulating EPC counts as
predictors of new digital ulcers in SSc. It highlights the critical role of
angiogenesis in this vascular outcome. These markers may improve digital ulcer
risk stratification and therefore allow earlier therapeutic intervention.
PMID- 22085794
TI - Validity of the top-down approach of inverse dynamics analysis in fast and large
rotational trunk movements.
AB - This study investigated the validity of the top-down approach of inverse dynamics
analysis in fast and large rotational movements of the trunk about three
orthogonal axes of the pelvis for nine male collegiate students. The maximum
angles of the upper trunk relative to the pelvis were approximately 47 degrees ,
49 degrees , 32 degrees , and 55 degrees for lateral bending, flexion,
extension, and axial rotation, respectively, with maximum angular velocities of
209 degrees /s, 201 degrees /s, 145 degrees /s, and 288 degrees /s, respectively.
The pelvic moments about the axes during the movements were determined using the
top-down and bottom-up approaches of inverse dynamics and compared between the
two approaches. Three body segment inertial parameter sets were estimated using
anthropometric data sets (Ae et al., Biomechanism 11, 1992; De Leva, J Biomech,
1996; Dumas et al., J Biomech, 2007). The root-mean-square errors of the moments
and the absolute errors of the peaks of the moments were generally smaller than
10 N.m. The results suggest that the pelvic moment in motions involving fast and
large trunk movements can be determined with a certain level of validity using
the top-down approach in which the trunk is modeled as two or three rigid-link
segments.
PMID- 22085796
TI - Intraerythrocytic stages of Plasmodium falciparum biosynthesize vitamin E.
AB - The 2-C-methyl-D-erythritol-4-phosphate and shikimate pathways were found to be
active in Plasmodium falciparum and both can result in vitamin E biosynthesis in
plants and algae. This study biochemically confirmed vitamin E biosynthesis in
the malaria parasite, which can be inhibited by usnic acid. Furthermore, we found
evidence pointing to a role of this vitamin in infected erythrocytes. These
findings not only contribute to current understanding of P. falciparum biology
but also reveal a pathway that could serve as a chemotherapeutic target.
PMID- 22085797
TI - Imaging periprosthetic osteolysis around total knee arthroplasties using a human
cadaver model.
AB - We examined the sensitivity and accuracy of measuring osteolysis around total
knee arthroplasty (TKA) on radiographs, computed tomography (CT), and magnetic
resonance imaging (MRI) in a cadaver model. Fifty-four simulated osteolytic
defects ranging from 0.7 to 14 cm(3) were created in 6 cadaver knees implanted
with either a cemented or an uncemented TKA. Three blinded investigators assessed
the presence, location, and volume of defects on radiographs and CT and MRI scans
with metal reduction protocols. Both CT and MRI had significantly higher
sensitivities and specificities than did plain radiographs (P < .005). Overall,
there was no difference in the accuracy of defect volume measurements between CT
and MRI (P = .574). This study demonstrates the limitations of radiographs and
the high sensitivity and specificity of both CT and MRI in assessing osteolysis
around TKA.
PMID- 22085798
TI - Long-term femoral bone remodeling after cemented hip arthroplasty with the Muller
straight stem in the operated and nonoperated femora.
AB - We investigated the cortical bone changes in 35 patients with total hip
arthroplasty operated on only for osteoarthritis with more than 10 years of
follow-up and with nonrevised femoral components and without radiologic signs of
loosening. The mean follow-up was 16 +/- 5 years. The thicknesses of femoral
cortices were measured medially and laterally at 6 levels from the first
postoperative and the last follow-up x-rays. A comparison with 10 patients who
had a nonoperated contralateral hip was performed. We found a significant
decrease in cortical thicknesses in total hip arthroplasty. The cortical thinning
was significant at all periprosthetic levels but less expressed distally.
Prosthetic femora were associated with greater cortical thinning as compared with
the contralateral nonoperated femora, exceeding that caused by natural aging.
PMID- 22085795
TI - The susceptibility of the retina to photochemical damage from visible light.
AB - The photoreceptor/RPE complex must maintain a delicate balance between maximizing
the absorption of photons for vision and retinal image quality while
simultaneously minimizing the risk of photodamage when exposed to bright light.
We review the recent discovery of two new effects of light exposure on the
photoreceptor/RPE complex in the context of current thinking about the causes of
retinal phototoxicity. These effects are autofluorescence photobleaching in which
exposure to bright light reduces lipofuscin autofluorescence and, at higher light
levels, RPE disruption in which the pattern of autofluorescence is permanently
altered following light exposure. Both effects occur following exposure to
visible light at irradiances that were previously thought to be safe.
Photopigment, retinoids involved in the visual cycle, and bisretinoids in
lipofuscin have been implicated as possible photosensitizers for photochemical
damage. The mechanism of RPE disruption may follow either of these paths. On the
other hand, autofluorescence photobleaching is likely an indicator of
photooxidation of lipofuscin. The permanent changes inherent in RPE disruption
might require modification of the light safety standards. AF photobleaching
recovers after several hours although the mechanisms by which this occurs are not
yet clear. Understanding the mechanisms of phototoxicity is all the more
important given the potential for increased susceptibility in the presence of
ocular diseases that affect either the visual cycle and/or lipofuscin
accumulation. In addition, knowledge of photochemical mechanisms can improve our
understanding of some disease processes that may be influenced by light exposure,
such as some forms of Leber's congenital amaurosis, and aid in the development of
new therapies. Such treatment prior to intentional light exposures, as in
ophthalmic examinations or surgeries, could provide an effective preventative
strategy.
PMID- 22085799
TI - Reprint of: 'Brain insulin signaling: A key component of cognitive processes and
a potential basis for cognitive impairment in type 2 diabetes'.
AB - Understanding of the role of insulin in the brain has gradually expanded, from
initial conceptions of the brain as insulin-insensitive through identification of
a role in regulation of feeding, to recent demonstration of insulin as a key
component of hippocampal memory processes. Conversely, systemic insulin
resistance such as that seen in type 2 diabetes is associated with a range of
cognitive and neural deficits. Here we review the evidence for insulin as a
cognitive and neural modulator, including potential effector mechanisms, and
examine the impact that type 2 diabetes has on these mechanisms in order to
identify likely bases for the cognitive impairments seen in type 2 diabetic
patients.
PMID- 22085801
TI - Improved sensitivity of an interferon-gamma release assay (T-SPOT.TBTM) in
combination with tuberculin skin test for the diagnosis of latent tuberculosis in
the presence of HIV co-infection.
AB - BACKGROUND: Interferon-gamma release assays (IGRA) are more specific than the
tuberculin skin test (TST) for the diagnosis of Mycobacterium tuberculosis
infection. Data on sensitivity are controversial in HIV infection. METHODS: IGRA
(T-SPOT.TB) was performed using lymphocytes stored within 6 months before culture
confirmed tuberculosis was diagnosed in HIV-infected individuals in the Swiss HIV
Cohort Study. RESULTS: 64 individuals (69% males, 45% of non-white ethnicity,
median age 35 years (interquartile range [IQR] 31-42), 28% with prior AIDS) were
analysed. Median CD4 cell count was 223 cells/MUl (IQR 103-339), HIV-RNA was 4.7
log10 copies/mL (IQR 4.3-5.2). T-SPOT.TB resulted positive in 25 patients (39%),
negative in 18 (28%) and indeterminate in 21 (33%), corresponding to a
sensitivity of 39% (95% CI 27-51%) if all test results were considered, and 58%
(95% CI 43-74%) if indeterminate results were excluded. Sensitivity of IGRA was
independent of CD4 cell count (p = 0.698). Among 44 individuals with available
TST, 22 (50%) had a positive TST. Agreement between TST and IGRA was 57% (kappa =
0.14, p = 0.177), and in 34% (10/29) both tests were positive. Combining TST and
IGRA (at least one test positive) resulted in an improved sensitivity of 67% (95%
CI 52-81%). In multivariate analysis, older age was associated with negative
results of TST and T-SPOT.TB (OR 3.07, 95% CI 1,22-7.74, p = 0.017, per 10 years
older). CONCLUSIONS: T-SPOT.TB and TST have similar sensitivity to detect latent
TB in HIV-infected individuals. Combining TST and IGRA may help clinicians to
better select HIV-infected individuals with latent tuberculosis who qualify for
preventive treatment.
PMID- 22085802
TI - Automatic discrimination between safe and unsafe swallowing using a reputation
based classifier.
AB - BACKGROUND: Swallowing accelerometry has been suggested as a potential non
invasive tool for bedside dysphagia screening. Various vibratory signal features
and complementary measurement modalities have been put forth in the literature
for the potential discrimination between safe and unsafe swallowing. To date,
automatic classification of swallowing accelerometry has exclusively involved a
single-axis of vibration although a second axis is known to contain additional
information about the nature of the swallow. Furthermore, the only published
attempt at automatic classification in adult patients has been based on a small
sample of swallowing vibrations. METHODS: In this paper, a large corpus of dual
axis accelerometric signals were collected from 30 older adults (aged 65.47 +/-
13.4 years, 15 male) referred to videofluoroscopic examination on the suspicion
of dysphagia. We invoked a reputation-based classifier combination to
automatically categorize the dual-axis accelerometric signals into safe and
unsafe swallows, as labeled via videofluoroscopic review. From these
participants, a total of 224 swallowing samples were obtained, 164 of which were
labeled as unsafe swallows (swallows where the bolus entered the airway) and 60
as safe swallows. Three separate support vector machine (SVM) classifiers and
eight different features were selected for classification. RESULTS: With selected
time, frequency and information theoretic features, the reputation-based
algorithm distinguished between safe and unsafe swallowing with promising
accuracy (80.48 +/- 5.0%), high sensitivity (97.1 +/- 2%) and modest specificity
(64 +/- 8.8%). Interpretation of the most discriminatory features revealed that
in general, unsafe swallows had lower mean vibration amplitude and faster
autocorrelation decay, suggestive of decreased hyoid excursion and compromised
coordination, respectively. Further, owing to its performance-based weighting of
component classifiers, the static reputation-based algorithm outperformed the
democratic majority voting algorithm on this clinical data set. CONCLUSION: Given
its computational efficiency and high sensitivity, reputation-based
classification of dual-axis accelerometry ought to be considered in future
developments of a point-of-care swallow assessment where clinical informatics are
desired.
PMID- 22085800
TI - Interacting brain systems modulate memory consolidation.
AB - Emotional arousal influences the consolidation of long-term memory. This review
discusses experimental approaches and relevant findings that provide the
foundation for current understanding of coordinated interactions between arousal
activated peripheral hormones and the brain processes that modulate memory
formation. Rewarding or aversive experiences release the stress hormones
epinephrine (adrenalin) and glucocorticoids from the adrenal glands into the
bloodstream. The effect of these hormones on memory consolidation depends upon
binding of norepinephrine to beta-adrenergic receptors in the basolateral complex
of the amygdala (BLA). Much evidence indicates that the stress hormones influence
release of norepinephrine in the BLA through peripheral actions on the vagus
nerve which stimulates, through polysynaptic connections, cells of the locus
coeruleus to release norepinephrine. The BLA influences memory storage by actions
on synapses, distributed throughout the brain, that are engaged in sensory and
cognitive processing at the time of amygdala activation. The implications of the
activation of these stress-activated memory processes are discussed in relation
to stress-related memory disorders.
PMID- 22085805
TI - Evaluation of algorithms for microperfusion assessment by fast simulations of
laser Doppler power spectral density.
AB - In classical laser Doppler (LD) perfusion measurements, zeroth- and first-order
moments of the power spectral density of the LD signal are utilized for the
calculation of a signal corresponding to the concentration, speed and flow of red
blood cells (RBCs). We have analysed the nonlinearities of the moments in
relation to RBC speed distributions, parameters of filters utilized in LD
instruments and the signal-to-noise ratio. We have developed a new method for
fast simulation of the spectrum of the LD signal. The method is based on a
superposition of analytically calculated Doppler shift probability distributions
derived for the assumed light scattering phase function. We have validated the
method by a comparison of the analytically calculated spectra with results of
Monte Carlo (MC) simulations. For the semi-infinite, homogeneous medium and the
single Doppler scattering regime, the analytical calculation describes LD spectra
with the same accuracy as the MC simulation. The method allows for simulating the
LD signal in time domain and furthermore analysing the index of perfusion for the
assumed wavelength of the light, optical properties of the tissue and
concentration of RBCs. Fast simulations of the LD signal in time domain and its
frequency spectrum can be utilized in applications where knowledge of the LD
photocurrent is required, e.g. in the development of detectors for tissue
microperfusion monitoring or in measurements of the LD autocorrelation function
for perfusion measurements. The presented fast method for LD spectra calculation
can be used as a tool for evaluation of signal processing algorithms used in the
LD method and/or for the development of new algorithms of the LD flowmetry and
imaging. We analysed LD spectra obtained by analytical calculations using a
classical algorithm applied in classical LD perfusion measurements. We observed
nonlinearity of the first moment M1 for low and high speeds of particles (v < 2
mm s-1, v > 10 mm s-1). It was also noted that the first moment M(1) is less
sensitive to the change of the mean RBC speed for flat speed distributions. The
low-pass filter frequency f2 implemented in the LD instrument has a significant
influence on the first moment of the spectrum. In particular, for a cut-off
frequency lower than 10 kHz the M1 value is strongly underestimated.
PMID- 22085804
TI - Effects of comorbidity and early age of onset in young people with Bipolar
Disorder on self harming behaviour and suicide attempts.
AB - BACKGROUND: The age of the first episode of illness in Bipolar Disorder has been
shown to be an important predictor of outcome with early onset, particularly
onset before puberty, associated with greater comorbidity, a poorer quality of
life and greatest impairment in functioning. METHODS: Baseline data from a
psychotherapy study was used to examine the prevalence of other comorbid
psychiatric conditions and the impact of onset at an early age on both self
harming behaviour and suicide attempts in young people with Bipolar Disorder.
RESULTS: This study of 100 adolescents and young adults (aged 15-36 years) with
Bipolar Disorder showed that comorbid conditions were very common, even at the
start of their bipolar illness. Comorbidity increased as the age of onset
decreased with very early onset (<13 years) patients bearing the greatest burden
of disease. Greater comorbidity also significantly increased the risk of having
self harmed and attempted suicide with high lethal intent. Self harming behaviour
was predicted by having a lifetime diagnoses of Borderline Personality Disorder
and Panic Disorder along with an early age of onset of Bipolar Disorder. In
contrast, previous suicide attempts were predicted by greater comorbidity and not
by very early (<13 years) age of onset.
PMID- 22085803
TI - Design and methodological considerations of an effectiveness trial of a computer
assisted intervention: an example from the NIDA Clinical Trials Network.
AB - Computer-assisted interventions hold the promise of minimizing two problems that
are ubiquitous in substance abuse treatment: the lack of ready access to
treatment and the challenges to providing empirically-supported treatments.
Reviews of research on computer-assisted treatments for mental health and
substance abuse report promising findings, but study quality and methodological
limitations remain an issue. In addition, relatively few computer-assisted
treatments have been tested among illicit substance users. This manuscript
describes the methodological considerations of a multi-site effectiveness trial
conducted within the National Institute on Drug Abuse's (NIDA's) National Drug
Abuse Treatment Clinical Trials Network (CTN). The study is evaluating a web
based version of the Community Reinforcement Approach, in addition to prize-based
contingency management, among 500 participants enrolled in 10 outpatient
substance abuse treatment programs. Several potential effectiveness trial designs
were considered and the rationale for the choice of design in this study is
described. The study uses a randomized controlled design (with independent
treatment arm allocation), intention-to-treat primary outcome analysis,
biological markers for the primary outcome of abstinence, long-term follow-up
assessments, precise measurement of intervention dose, and a cost-effectiveness
analysis. Input from community providers during protocol development highlighted
potential concerns and helped to address issues of practicality and feasibility.
Collaboration between providers and investigators supports the utility of
infrastructures that enhance research partnerships to facilitate effectiveness
trials and dissemination of promising, technologically innovative treatments.
Outcomes from this study will further the empirical knowledge base on the
effectiveness and cost-effectiveness of computer-assisted treatment in clinical
treatment settings.
PMID- 22085806
TI - Poisoning and non-poisoning oxygen on Cu(410).
AB - We have investigated ethene and oxygen co-adsorption on Cu(410) by high
resolution electron energy loss spectroscopy. We find that these two species
compete for the adsorption sites and that pre-exposure to oxygen affects ethene
adsorption more or less strongly depending on oxygen coverage and the kind of
occupied sites. The c(2 * 2) O overlayer is inert with respect to ethene
adsorption, while when some oxygen is removed by thermally induced subsurface
incorporation, ethene chemisorption is restored. The latter species also adsorbs
on the disordered oxygen phase formed when O(2) is dosed at low crystal
temperature. Contrary to the bare surface case, most of the ethene ends up in a
pi-bonded configuration. Dehydrogenation occurs, too, albeit as a minority
channel. The so-produced carbon reacts already at low temperature with adsorbed
oxygen to yield carbon monoxide, which desorbs around 190 K.
PMID- 22085807
TI - Whole genome resequencing of black Angus and Holstein cattle for SNP and CNV
discovery.
AB - BACKGROUND: One of the goals of livestock genomics research is to identify the
genetic differences responsible for variation in phenotypic traits, particularly
those of economic importance. Characterizing the genetic variation in livestock
species is an important step towards linking genes or genomic regions with
phenotypes. The completion of the bovine genome sequence and recent advances in
DNA sequencing technology allow for in-depth characterization of the genetic
variations present in cattle. Here we describe the whole-genome resequencing of
two Bos taurus bulls from distinct breeds for the purpose of identifying and
annotating novel forms of genetic variation in cattle. RESULTS: The genomes of a
Black Angus bull and a Holstein bull were sequenced to 22-fold and 19-fold
coverage, respectively, using the ABI SOLiD system. Comparisons of the sequences
with the Btau4.0 reference assembly yielded 7 million single nucleotide
polymorphisms (SNPs), 24% of which were identified in both animals. Of the total
SNPs found in Holstein, Black Angus, and in both animals, 81%, 81%, and 75%
respectively are novel. In-depth annotations of the data identified more than 16
thousand distinct non-synonymous SNPs (85% novel) between the two datasets.
Alignments between the SNP-altered proteins and orthologues from numerous species
indicate that many of the SNPs alter well-conserved amino acids. Several SNPs
predicted to create or remove stop codons were also found. A comparison between
the sequencing SNPs and genotyping results from the BovineHD high-density
genotyping chip indicates a detection rate of 91% for homozygous SNPs and 81% for
heterozygous SNPs. The false positive rate is estimated to be about 2% for both
the Black Angus and Holstein SNP sets, based on follow-up genotyping of 422 and
427 SNPs, respectively. Comparisons of read depth between the two bulls along the
reference assembly identified 790 putative copy-number variations (CNVs). Ten
randomly selected CNVs, five genic and five non-genic, were successfully
validated using quantitative real-time PCR. The CNVs are enriched for immune
system genes and include genes that may contribute to lactation capacity. The
majority of the CNVs (69%) were detected as regions with higher abundance in the
Holstein bull. CONCLUSIONS: Substantial genetic differences exist between the
Black Angus and Holstein animals sequenced in this work and the Hereford
reference sequence, and some of this variation is predicted to affect
evolutionarily conserved amino acids or gene copy number. The deeply annotated
SNPs and CNVs identified in this resequencing study can serve as useful genetic
tools, and as candidates in searches for phenotype-altering DNA differences.
PMID- 22085808
TI - Oxidative DNA damage and oxidative stress in subjects occupationally exposed to
nitrous oxide (N(2)O).
AB - OBJECTIVES: Occupational exposure to nitrous oxide (N(2)O) and/or halogenated
hydrocarbons has been suggested to induce damage of genetic material, but the
underlying mechanisms remain obscure. This study investigated the role of
oxidative processes in the genotoxicity associated with exposure to waste
anaesthetic gases. METHODS: The study was performed in 36 female nurses and in 36
unexposed female health care workers matched for age and employment duration.
Genotoxic effects were examined by Comet test modification employing
formamidopyrimidine glycosylase (FPG) that allows assessment of oxidative DNA
damage. Reactive oxygen species (ROS) in leukocytes were investigated by
fluorescence spectroscopy with 2',7'-dichlorofluorescin diacetate. Oxidative
stress markers including 8-iso-prostaglandin F(2alpha) (8-iso-PGF(2alpha)),
thiobarbituric acid-reacive substances (TBARS), alpha-tocopherol, and glutathione
peroxidise (GPX) activity were measured immuno- or colorimetrically. N(2)O,
sevoflurane and isoflurane were monitored by gas chromatography and mass
spectrometry. RESULTS: The study documents for the first time the positive
correlation between the oxidative DNA damage and the N(2)O levels in the ambient
air. By contrast, no association was observed between genotoxic effects and
sevoflurane or isoflurane. In addition, ROS generation and plasma and urine
concentrations of TBARS and 8-iso-PGF(2alpha), respectively, were elevated, while
GPX activity was reduced in nurses exposed to waste anaesthetic gases. Path
analysis pointed to a causal relationship between N(2)O exposure, oxidative
stress and DNA damage. CONCLUSION: Occupational exposure to N(2)O is associated
with increased oxidative DNA damage and the level of exposure plays a critical
role in this regard. Increased oxidative stress may represent a mechanistic link
between chronic N(2)O exposure and genotoxicity.
PMID- 22085809
TI - Functional relevance of miRNA sequences in human disease.
AB - MicroRNA (miRNA) processing in the cytoplasm produces a miRNA duplex containing
the forward, miRNA strand and the reverse, miRNA strand, which was thought to be
degraded. However, recent evidence is challenging this dogma that miRNA is simply
a non-functional byproduct of miRNA biogenesis. We present a comprehensive review
of evidence that miRNA plays a significant role in cellular function and
assembled a table outlining all of the publications before September 2011 that
have reported on miRNA activity in human disease. Furthermore, we will present
unexpected diagnostic and therapeutic implications due to the active miRNA
status.
PMID- 22085810
TI - A target-orientated algorithm for regional citrate-calcium anticoagulation in
extracorporeal therapies.
AB - BACKGROUND: Citrate anticoagulation offers several advantages in comparison to
conventional anticoagulation. Most algorithms for regional citrate-calcium
anticoagulation are based on citrate and calcium chloride infusion coupled in a
fixed proportion to the blood flow without considering the hematocrit
(Hct)/plasma flow or the filter clearance of citrate and calcium. METHODS: The
aim of this study was to develop an algorithm for optimized citrate
anticoagulation in extracorporeal therapies such as dialysis. A mathematical
model was developed to calculate the volume of citrate infusion required to
achieve a desired ionized calcium (iCa) target level in the extracorporeal
circuit and to restore the total calcium level to a physiological value. RESULTS:
The model was validated by correlation analyses for different blood Hct values
and shows an excellent fit to the laboratory measurements. CONCLUSION: The
results for both iCa target concentrations, namely those after citrate and
calcium infusion, proved that the software algorithm adapts well to variable
treatment parameters.
PMID- 22085811
TI - Comparison between overground and dynamometer manual wheelchair propulsion.
AB - Laboratory-based simulators afford many advantages for studying physiology and
biomechanics; however, they may not perfectly mimic wheelchair propulsion over
natural surfaces. The goal of this study was to compare kinetic and temporal
parameters between propulsion overground on a tile surface and on a dynamometer.
Twenty-four experienced manual wheelchair users propelled at a self-selected
speed on smooth, level tile and a dynamometer while kinetic data were collected
using an instrumented wheel. A Pearson correlation test was used to examine the
relationship between propulsion variables obtained on the dynamometer and the
overground condition. Ensemble resultant force and moment curves were compared
using cross-correlation and qualitative analysis of curve shape. User
biomechanics were correlated (R ranging from 0.41 to 0.83) between surfaces.
Overall, findings suggest that although the dynamometer does not perfectly
emulate overground propulsion, wheelchair users were consistent with the
direction and amount of force applied, the time peak force was reached, push
angle, and their stroke frequency between conditions.
PMID- 22085812
TI - Detailed analysis of specific nail psoriasis features and their correlations with
clinical parameters: a cross-sectional study.
AB - BACKGROUND: Occurrence rates of specific features of psoriatic nails, as well as
the influence of variable clinical parameters on nail involvement in psoriasis,
are not determined. OBJECTIVE: To evaluate the frequency and characteristics of
nail involvement in patients with psoriasis and determine the relationship
between nail psoriasis and clinical parameters (age, gender, family history,
clinical type, age of onset, duration, joint involvement). METHODS: 228 psoriatic
patients, who had not received any systematic or topical antipsoriatic treatment
for at least a year, were consecutively selected to participate in this cross
sectional study. RESULTS: 66.7% of patients had nail psoriasis. A logistic
regression model showed that none of the clinical covariates were statistically
significant in predicting nail psoriasis. CONCLUSION: The majority of psoriatic
patients presented nail psoriasis. The most common feature was oil drop. There
was a difference in the prevalence of each feature between fingernails and
toenails. In correlation with clinical parameters, nail psoriasis evolves
independently.
PMID- 22085813
TI - Cost-effectiveness of 13-valent pneumococcal conjugate vaccine: Germany, Greece,
and The Netherlands.
AB - BACKGROUND: Seven-valent pneumococcal conjugate vaccine (PCV7) had profound
public-health impacts and is considered cost-effective and potentially cost
saving. Two new PCVs have been launched, a 10-valent vaccine (PCV10) and a 13
valent vaccine (PCV13). We examined public-health and economic impacts of PCV
pediatric national immunization programs (NIPs) in Germany, Greece, and the
Netherlands. METHODS: A decision-analytic model was developed to estimate the
impact of PCV13, PCV7, and 10-valent pneumococcal conjugate vaccine (PCV10) on
invasive pneumococcal disease (IPD), pneumonia (PNE), and acute otitis media
(AOM). Using epidemiological data, we calculated the cases of IPD, PNE, and AOM,
using country-specific incidence, serotype coverage, disease sequelae, mortality,
vaccine effectiveness, indirect effects, costs, and utilities. Direct effects for
PCV13- and PCV10-covered serotypes were assumed similar to PCV7. PCV13 was
assumed to confer an indirect effect, while PCV10 was not. Assumptions were
tested in sensitivity analyses. RESULTS: In a NIP, PCV13 was estimated to
eliminate 31.7%, 46.4%, and 33.8% of IPD in Germany, Greece, and the Netherlands,
respectively. Compared with PCV7 and PCV10, PCV13 was found to be cost-effective
or cost saving in all cases when PCV13 indirect effects were included.
CONCLUSIONS: Pediatric NIPs with PCV13 in Europe are expected to have dramatic
public-health impacts and be cost-effective or cost saving.
PMID- 22085814
TI - Prevalence of human metapneumovirus in adults with acute respiratory tract
infection in Beijing, China.
AB - OBJECTIVE: To evaluate the prevalence and clinical manifestations of human
metapneumovirus (hMPV) in immunocompetent Chinese adults with acute respiratory
tract infections (ARTIs). METHODS: A reverse transcription PCR (RT-PCR) assay
targeting the P gene was developed in this study and used to detect hMPV in nasal
and throat swabs collected from 2936 immunocompetent adult patients with ARTIs in
Beijing, China between July 2008 and June 2010. RESULTS: Among the 2936 patients
studied, 49 (1.7%) were positive for hMPV, of whom 14 (28.6%) were positive for
hMPV_A2b, 19 (38.8%) for hMPV_B1, and 16 (32.6%) for hMPV_B2. hMPV_A1 was not
detected. An average detection rate of 6.6% was observed in the peak months of
the two epidemic seasons studied. The hMPV prevalence was higher in the sampled
elderly (>65 years, 3.2%) than in middle aged adults (25-65 years; 2.0%) and
teenagers (14-25 years; 0.9%). During the study period, hMPV infections showed a
biennial rhythm of seasonality, peaking from November to March in 2008/09 and
from March to June in 2010. CONCLUSION: hMPV infection plays an important role in
immunocompetent adults in its epidemic season. The demographic and clinical data
presented in this study improves our understanding of the pathogenesis and
clinical burden of hMPV infection in adults.
PMID- 22085815
TI - Identification and expression of immune genes in the flat oyster Ostrea edulis in
response to bonamiosis.
AB - The European flat Ostrea edulis is highly susceptible to infection by the
protozoan Bonamia ostreae and Bonamia exitiosa, intracellular parasites able to
survive and proliferate within the oyster haemocytes. The parasite, once
phagocytosed by the haemocyte, the main cellular effector of the immune system,
appears to have some counter mechanism that turns off the haemocyte's metabolic
destructive capacity, so that the parasite survives within the cell. To further
understand the molecular basis of the immune response of the flat oyster against
the bonamiosis, suppression subtractive hybridization and Q-PCR approaches were
combined to identify genes involved in the development of the infection both in
early and advanced phases. Four subtractive cDNA libraries were constructed and
sequenced, obtaining a high number of ESTs that were seen to be up or down
regulated in the infection. A group of ESTs that play a role in the immune
response, such as cytokines, stress proteins, eicosanoids, proteins implicated in
phagocytosis and cell junction as well as in transcription signalling were
identified and their expression was analysed at different infection levels by Q
PCR. The results here reported can help to enrich our understanding about the
immune response of O. edulis against bonamiosis and improve our knowledge of the
immune mechanisms of oysters.
PMID- 22085816
TI - Colonic stenting as a bridge to surgery in malignant large-bowel obstruction: a
report from two large multinational registries.
AB - OBJECTIVES: To date, this is the largest prospective series in patients with
malignant colorectal obstruction to evaluate the effectiveness and safety of
colonic self-expanding metal stents (SEMSs) as an alternative to emergency
surgery. SEMSs allow restoration of bowel transit and careful tumor staging in
preparation for elective surgery, hence avoiding the high morbidity and mortality
associated with emergency surgery and stoma creation. METHODS: This report is on
the SEMS bridge-to-surgery subset enrolled in two multicenter international
registries. Patients were treated per standard of practice, with documentation of
clinical and procedural success, safety, and surgical outcomes. RESULTS: A total
of 182 patients were enrolled with obstructive tumor in the left colon (85%),
rectum (11%), or splenic flexure (4%). Of these patients, 86% had localized
colorectal cancer without metastasis. Procedural success was 98% (177/181).
Clinical success was 94% (141/150). Elective surgery was performed in 150
patients (9 stomas) and emergency surgery in 7 patients for treatment of a
complication (3 stomas). The overall complication rate was 7.8% (13/167),
including perforation in 3% (5/167), stent migration in 1.2% (2/167), bleeding in
0.6% (1/167), persistent colonic obstruction in 1.8% (3/167), and stent occlusion
due to fecal impaction in 1.2% (2/167). One patient died from complications
related to surgical management of a perforation. CONCLUSIONS: SEMSs provide an
effective bridge to surgery treatment with an acceptable complication rate in
patients with acute malignant colonic obstruction, restoring luminal patency and
allowing elective surgery with primary anastomosis in most patients.
PMID- 22085817
TI - Risk of hepatocellular carcinoma in diabetic patients and risk reduction
associated with anti-diabetic therapy: a population-based cohort study.
AB - OBJECTIVES: Using population-based representative insurance claims data, the risk
of developing hepatocellular carcinoma (HCC) among diabetes mellitus (DM)
patients, as well as whether DM medications alter the risk of developing HCC were
investigated. METHODS: From the Taiwan National Health Insurance Research
Database, 19,349 newly diagnosed DM patients 20 years and older and 77,396
comparison subjects without DM were identified from claims from 2000 to 2005. The
incidences of HCC at the end of 2008 and the risks associated with hepatitis B
and hepatitis C were determined. Whether metformin and thiazolidinediones reduce
the risk of developing HCC was also measured. RESULTS: The incidence of HCC was
twice higher in the DM group compared with the non-DM group (21.0 vs. 10.4 per
10,000 person-years), with an adjusted hazard ratio (HR) of 1.73 (95% confidence
interval (CI)=1.47-2.03) using multivariable Cox proportional hazard regression.
Male sex, cirrhosis, hepatitis B, and hepatitis C were significant independent
factors that predict HCC, with HRs of 2.32, 8.65, 2.52, and 5.61, respectively.
In the stratified analysis, the HR increased to 72.4 (95% CI=42.9-122) among
patients with DM, cirrhosis, and hepatitis C. HCC risk reduction was greater for
diabetics taking metformin than those taking thiazolidinediones (51 vs. 44%
reduction). CONCLUSIONS: Comorbidity with cirrhosis and/or hepatitis appears to
be associated with an extremely increased risk of developing HCC among DM
patients. These high-risk patients should be closely monitored for HCC. The use
of metformin or thiazolidinediones may reduce the risk of developing HCC.
PMID- 22085818
TI - Risk of gastroparesis in subjects with type 1 and 2 diabetes in the general
population.
AB - OBJECTIVES: In patients with diabetes mellitus (DM) and upper gastrointestinal
symptoms, a diagnosis of diabetic gastroparesis is often considered, but
population-based data on the epidemiology of diabetic gastroparesis are lacking.
We aimed to estimate the frequency of and risk factors for gastroparesis among
community subjects with DM. METHODS: In this population-based, historical cohort
study, the medical records linkage system of the Rochester Epidemiology Project
was used to identify 227 Olmsted County, MN residents with type 1 DM in 1995, a
random sample of 360 residents with type 2 DM, and an age- and sex-stratified
random sample of 639 nondiabetic residents. Using defined diagnostic criteria, we
estimated the subsequent risk of developing gastroparesis in each group through
2006. The risk in DM, compared with frequency-matched community controls, was
assessed by Cox proportional hazards modeling. RESULTS: The cumulative
proportions developing gastroparesis over a 10-year time period were 5.2% in type
1 DM, 1.0% in type 2 DM, and 0.2% in controls. The age- and gender-adjusted
hazard ratios (HRs) for gastroparesis (relative to controls) was 33 (95%
confidence interval (CI): 4.0, 274) in type 1 DM and 7.5 (95% CI: 0.8, 68) in
type 2 DM. The risk of gastroparesis in type 1 DM was significantly greater than
in type 2 DM (HR: 4.4 (1.1, 17)). Heartburn (HR: 6.6 (1.7, 25)) at baseline was
associated with diabetic gastroparesis in type 1 DM. CONCLUSIONS: Gastroparesis
is relatively uncommon in patients with DM, although an increased risk for
gastroparesis was observed in type 1 DM.
PMID- 22085819
TI - Gastrointestinal and psychological mediators of health-related quality of life in
IBS and IBD: a structural equation modeling analysis.
AB - OBJECTIVES: Inflammatory bowel disease (IBD) and irritable bowel syndrome (IBS)
are chronic gastrointestinal (GI) syndromes in which both GI and psychological
symptoms have been shown to negatively impact health-related quality of life
(HRQOL). The objective of this study was to use structural equation modeling
(SEM) to characterize the interrelationships among HRQOL, GI, and psychological
symptoms to improve our understanding of the illness processes in both
conditions. METHODS: Study participants included 564 Rome positive IBS patients
and 126 IBD patients diagnosed via endoscopic and/or tissue confirmation. All
patients completed questionnaires to assess bowel symptoms, psychological
symptoms (SCL-90R), and HRQOL (SF-36). SEM with its two components of
confirmatory analyses and structural modeling were applied to determine the
relationships between GI and psychological symptoms and HRQOL within the IBS and
IBD groups. RESULTS: For both IBD and IBS, psychological distress was found to
have a stronger direct effect on HRQOL (-0.51 and -0.48 for IBS and IBD,
respectively) than GI symptoms (-0.25 and -0.28). The impact of GI symptoms on
psychological distress was stronger in IBD compared with IBS (0.43 vs. 0.22;
P<0.05). The indirect effect of GI symptoms on HRQOL operating through
psychological distress was significantly higher in IBD than IBS (-0.21 vs. -0.11;
P<0.05). CONCLUSIONS: Psychological distress is less dependent on GI symptom
severity in IBS compared with IBD even though the degree that psychological
distress impacts HRQOL is similar. The findings emphasize the importance of
addressing psychological symptoms in both syndromes.
PMID- 22085820
TI - Fecal incontinence in systemic sclerosis is secondary to neuropathy.
AB - OBJECTIVES: Systemic sclerosis (SSc) is a chronic multi-system autoimmune
disorder with gastrointestinal tract (GIT) involvement in up to 90% of patients
and anorectal involvement occurs in up to 50% of patients. The pathogenesis of
gastrointestinal abnormalities may be both myogenic and neurogenic. We aimed to
identify which anorectal physiological abnormalities correlate with clinical
symptoms and thus understand the pathophysiology of anorectal involvement in SSc.
METHODS: In total, 44 SSc patients (24 symptomatic (Sx) (fecal incontinence) and
20 asymptomatic (ASx)) and 20 incontinent controls (ICs) were studied. Patients
underwent anorectal manometry, rectal mucosal blood flow (RMBF), rectal
compliance (barostat), and rectoanal inhibitory reflex assessment (RAIR).
RESULTS: Anal squeeze pressure was lower in the IC group compared with both the
ASx and Sx groups (IC: 46.95 (30-63.9)) vs. ASx: 104.6 (81-128.3) vs. (Sx: 121.4
(101.3-141.6); P < 0.05). Resting pressure was lower in the IC group. RMBF and
rectal compliance did not differ between groups. Anal, but not rectal, sensory
threshold, was significantly attenuated in Sx patients (Sx: 10.4 (8.8-11.4) vs.
ASx: 6.7 (5.7-7.7) vs. IC: 8.5 (6.5-10.4); P < 0.05). There was a positive
correlation between anal sensory thresholds and incontinence score in SSc
patients (r = 0.54; P < 0.05). RAIR was absent in 11/24 Sx patients but only in
2/20 ASx and in 1/20 IC patients. CONCLUSIONS: Fecal incontinence in SSc is
related to neuropathy as suggested by absent RAIR and higher anal sensory
threshold and is related less so to sphincter atrophy and rectal fibrosis.
PMID- 22085821
TI - The effects of food shortage during larval development on adult body size, body
mass, physiology and developmental time in a tropical damselfly.
AB - Few studies have looked jointly at the effects of larval stressors on life
history and physiology across metamorphosis, especially in tropical insects. Here
we investigated how the variation of food availability during the larval stage of
the tropical and territorial American rubyspot damselfly (Hetaerina americana)
affects adult body size and body mass, and two physiological indicators of
condition--phenoloxidase activity (an indicator of immune ability) and protein
concentration. We also investigated whether larval developmental time is
prolonged when food is scarce, an expected situation for tropical species whose
larval time is less constrained, compared to temperate species. Second instar
larvae were collected from their natural environments and reared in one of two
diet regimes: (i) "rich" provided with five Artemia salina prey every day, and
(ii) "poor" provided with two A. salina prey every day. In order to compare how
distinct our treatments were from natural conditions, a second set of last-instar
larvae were also collected and allowed to emerge. Only body size and
phenoloxidase increased in the rich regime, possibly to prioritize investment on
sexually selected traits (which increase mating opportunities), and immune
ability, given pathogen pressure. The sexes did not differ in body size in
relation to food regimes but they did differ in body mass and protein
concentration; this can be explained on the basis of the energetically demanding
territorial activities by males (for the case of body mass), and female
allocation to egg production (for the case of protein). Finally, animals delayed
larval development when food was scarce, which is coherent for tropical
environments. These findings provide key insights in the role of food
availability in a tropical species.
PMID- 22085822
TI - Using the underlying biological organization of the Mycobacterium tuberculosis
functional network for protein function prediction.
AB - Despite ever-increasing amounts of sequence and functional genomics data, there
is still a deficiency of functional annotation for many newly sequenced proteins.
For Mycobacterium tuberculosis (MTB), more than half of its genome is still
uncharacterized, which hampers the search for new drug targets within the
bacterial pathogen and limits our understanding of its pathogenicity. As for many
other genomes, the annotations of proteins in the MTB proteome were generally
inferred from sequence homology, which is effective but its applicability has
limitations. We have carried out large-scale biological data integration to
produce an MTB protein functional interaction network. Protein functional
relationships were extracted from the Search Tool for the Retrieval of
Interacting Genes/Proteins (STRING) database, and additional functional
interactions from microarray, sequence and protein signature data. The confidence
level of protein relationships in the additional functional interaction data was
evaluated using a dynamic data-driven scoring system. This functional network has
been used to predict functions of uncharacterized proteins using Gene Ontology
(GO) terms, and the semantic similarity between these terms measured using a
state-of-the-art GO similarity metric. To achieve better trade-off between
improvement of quality, genomic coverage and scalability, this prediction is done
by observing the key principles driving the biological organization of the
functional network. This study yields a new functionally characterized MTB strain
CDC1551 proteome, consisting of 3804 and 3698 proteins out of 4195 with
annotations in terms of the biological process and molecular function ontologies,
respectively. These data can contribute to research into the Development of
effective anti-tubercular drugs with novel biological mechanisms of action.
PMID- 22085823
TI - Variation in number of cagA EPIYA-C phosphorylation motifs between cultured
Helicobacter pylori and biopsy strain DNA.
AB - The Helicobacter pylori cagA gene encodes a cytotoxin which is activated by
phosphorylation after entering the host epithelial cell. Phosphorylation occurs
on specific tyrosine residues within EPIYA motifs in the variable 3'-region. Four
different cagA EPIYA motifs have been defined according to the surrounding amino
acid sequence; EPIYA-A, -B, -C and -D. Commonly, EPIYA-A and -B are followed by
one or more EPIYA-C or -D motif. Due to observed discrepancies in cagA genotypes
in cultured H. pylori and the corresponding DNA extracts it has been suggested
that genotyping assays preferentially should be performed directly on DNA
isolated from biopsy specimens. Gastric biopsies randomly selected from a Swedish
cohort were homogenised and used for both direct DNA isolation and for H. pylori
specific culturing and subsequent DNA isolation. In 123 of 153 biopsy specimens,
the cagA EPIYA genotypes were in agreement with the corresponding cultured H.
pylori strains. A higher proportion of mixed cagA EPIYA genotypes were found in
the remaining 30 biopsy specimens. Cloning and sequencing of selected cagA EPIYA
amplicons revealed variations in number of cagA EPIYA-C motifs in the mixed
amplicons. The study demonstrates that culturing of H. pylori introduces a bias
in the number of EPIYA-C motif. Consistent with other H. pylori virulence
genotyping studies, we suggest that cagA EPIYA analysis should be performed using
total DNA isolated from biopsy specimens.
PMID- 22085824
TI - Effect of gonadotropin-releasing hormone on phagocytic leucocytes of rainbow
trout.
AB - To clarify the role of gonadotropin-releasing hormone (GnRH) in the fish immune
system, in vitro effect of GnRH was examined in phagocytic leucocytes of rainbow
trout (Oncorhynchus mykiss). Gene expression of GnRH-receptor was detected by RT
PCR in leucocytes from head kidney. Administration of sGnRH increased
proliferation and mRNA levels of a proinflammatory cytokine, tumor necrosis
factor (TNF)-alpha, in trout leucocytes. Superoxide production in zymosan
stimulated phagocytic leucocytes was also increased by sGnRH in a dose-related
manner from 0.01 to 100 nM. There was no significant effect of sGnRH on mRNA
levels of growth hormone (GH) expressed in trout phagocytic leucocytes.
Immunoneutralization of GH by addition of anti-salmon GH serum into the medium
could not block the stimulatory effect of sGnRH on superoxide production. These
results indicate that GnRH stimulates phagocytosis in fish leucocytes through a
GnRH-receptor-dependent pathway, and that the effect of GnRH is not mediated
through paracrine GH in leucocytes.
PMID- 22085825
TI - Adipokinetic hormone-induced antioxidant response in Spodoptera littoralis.
AB - The antioxidative potential of the Manduca sexta adipokinetic hormone (Manse-AKH)
in the last instar larvae of Spodoptera littoralis (Noctuidae, Lepidoptera) was
demonstrated after exposure to oxidative stress (OS) elicited by feeding on
artificial diet containing tannic acid (TA). Determination of protein carbonyls
(PCs) and reduced glutathione (GSH) levels, monitoring of activity of antioxidant
enzymes catalase (CAT), superoxide dismutase (SOD) and glutathione-S-transferases
(GSTs), as well as measuring of the mRNA expression of CAT and SOD were used as
markers of the OS. Injection of the Manse-AKH (5 pmol per individual) reversed
the OS status by mitigation of PCs formation and by stimulation of glutathione-S
transferases (GSTs) activity. The CAT and SOD mRNA expression was significantly
suppressed after the Manse-AKH injection while activity of these enzymes was not
affected. These results indicate that diminishing of OS after the AKH injection
might be a result of activation of specific enzymatic pathway possibly at the
post-translational level rather than a direct effect on regulation of antioxidant
marker genes at the transcriptional level.
PMID- 22085826
TI - The influence of social capital and socio-economic conditions on self-rated
health among residents of an economically and health-deprived South African
township.
AB - BACKGROUND: Surprisingly few studies have investigated the interplay of multiple
factors affecting self-rated health outcomes and the role of social capital on
health in developing countries, a prerequisite to strengthening our understanding
of the influence of social and economic conditions on health and the most
effective aid. Our study aimed to identify social and economic conditions for
health among residents of an economically and health-deprived community. METHODS:
Data were gathered through a survey administered to respondents from 1,020
households in Grahamstown a suburb in the Eastern Cape, South Africa (response
rate 97.9%). We investigated the influence of social and economic conditions
(education, employment, income, social capital, housing quality and neighborhood
quality) on self-rated health. We used ordinal logistic regression analyses to
identify the relationship of these conditions and self-rated health. RESULTS: Our
study found that education and social capital positively correlated with health;
unemployment, poor educational level and advanced age negatively correlated. We
found no significant correlations between self-rated health and housing quality,
neighbourhood quality, income, gender, or marital status. CONCLUSION: We
highlight the possible impacts of social capital, employment, and education on
health, and suggest that health outcomes may be improved through interventions
beyond the health system: creating job opportunities, strengthening social
capital, bettering educational systems, and promoting educational access.
Policymakers should consider the benefits of such programmes when addressing
health outcomes in financially distressed districts.
PMID- 22085827
TI - Hepatic mitochondrial dysfunction in Friedreich ataxia.
AB - BACKGROUND: Mitochondrial dysfunction due to respiratory chain impairment is a
key feature in pathogenesis of Friedreich ataxia. Friedreich ataxia affects the
nervous system, heart and pancreas. METHODS: We assessed hepatic mitochondrial
function by (13)C-methionine-breath-test in 16 Friedreich ataxia patients and
matched healthy controls. RESULTS: Patients exhaled significantly smaller amounts
of (13)CO(2) over 90 minutes. Maximal exhaled percentage dose of (13)CO(2)
recovery was reduced compared to controls. CONCLUSIONS: (13)C-methionine-breath
test indicates subclinical hepatic mitochondrial dysfunction in Friedreich ataxia
but did not correlate with GAA repeat lengths, disease duration or disease
severity.
PMID- 22085828
TI - Reduced prepulse inhibition as an early vulnerability marker of the psychosis
prodrome in adolescence.
AB - BACKGROUND: The onset of psychosis is thought to be preceded by
neurodevelopmental changes in the brain. However, the timing and nature of these
changes have not been established. The aim of the present study was to determine
whether three "classic" neurophysiological markers of schizophrenia are also
characteristic of young adolescents (12-18 years) at ultra-high risk for
psychosis (UHR). METHODS: 63 young UHR individuals and 68 typically developing,
age-, sex- and IQ-matched controls were recruited for neurophysiological
assessment. Data for P50 suppression, prepulse inhibition (PPI) and smooth
pursuit eye movements (SPEM) were gathered and compared. RESULTS: UHR individuals
showed reduced PPI compared to controls, which became more pronounced when
controls were directly compared to medication-naive UHR individuals (N=39). There
were no group differences in P50 or SPEM measures. CONCLUSIONS: These results
suggest that PPI is a relatively early vulnerability marker, while changes in
other neurophysiological measures may only be detected or affected later during
the illness course. Antipsychotic and antidepressant medication may aid in
elevating PPI levels and potentially have a neuroprotective effect.
PMID- 22085829
TI - Parameterization of multiple Bragg curves for scanning proton beams using
simultaneous fitting of multiple curves.
AB - Although Bortfeld's analytical formula is useful for describing Bragg curves,
measured data can deviate from the values predicted by the model. Thus, we sought
to determine the parameters of a closed analytical expression of multiple Bragg
curves for scanning proton pencil beams using a simultaneous optimization
algorithm and to determine the minimum number of energies that need to be
measured in treatment planning so that complete Bragg curves required by the
treatment planning system (TPS) can be accurately predicted. We modified
Bortfeld's original analytical expression of Bragg curves to accurately describe
the dose deposition resulting from secondary particles. The parameters of the
modified analytical expression were expressed as the parabolic cylinder function
of the ranges of the proton pencil beams in water. Thirty-nine discrete Bragg
curves were measured in our center using a PTW Bragg Peak chamber during
acceptance and commission of the scanning beam proton delivery system. The
coefficients of parabolic function were fitted by applying a simultaneous
optimization algorithm to seven measured curves. The required Bragg curves for 45
energies in the TPS were calculated using our parameterized analytical
expression. Finally, the 10 cm width of spread-out Bragg peaks (SOBPs) of beams
with maximum energies of 221.8 and 121.2 MeV were then calculated in the TPS and
compared with measured data. Compared with Bortfeld's original formula, our
modified formula improved fitting of the measured depth dose curves at depths
around three-quarters of the maximum range and in the beam entrance region. The
parabolic function described the relationship between the parameters of the
analytic expression of different energies. The predicted Bragg curves based on
the parameters fitted using the seven measured curves accurately described the
Bragg curves of proton pencil beams of 45 energies configured in our TPS. When we
used the calculated Bragg curves as the input to TPS, the standard deviations of
the measured and calculated data points along the 10 cm SOBPs created with proton
pencil beams with maximum energies of 221.8 and 121.2 MeV were 1.19% and 1.18%,
respectively, using curves predicted by the algorithm generated from the seven
measured curves. Our method would be a valuable tool to analyze measured Bragg
curves without the need for time-consuming measurements and correctly describe
multiple Bragg curves using a closed analytical expression.
PMID- 22085830
TI - Kinetics and DFT studies on water oxidation by Ce4+ catalyzed by
[Ru(terpy)(bpy)(OH2)]2+.
AB - The Ru(V)==O species and other intermediates in O(2) evolution from water
catalyzed by [Ru(terpy)(bpy)(OH(2))](2+) were spectrophotometrically
characterized, and the spectral components observed were identified based on the
TD-DFT calculations. Moreover, important insights into the rapid paths after the
RDS were given by the DFT studies.
PMID- 22085831
TI - Modifying protein adsorption by layers of glutathione pre-adsorbed on Au(111).
AB - Molecular interaction with metal surfaces raises fundamental questions regarding
their binding tendency, their dispersion on the surface, as well as their
conformation which may change their biological properties; addressing these
questions, and being able to tune protein interactions, is of primary importance
for the control of biointerfaces. In this study, one tripeptide, GSH (glu-cys
gly), was used to condition gold surfaces and thus influence the adsorption of
bovine serum albumin (BSA). Depending on the pH value of the GSH solution,
cationic, zwitterionic or anionic forms of the tripeptide could be stabilised on
the surface, before interacting with BSA solutions. The amount of proteins was
observed to depend both on the chemical state of the adsorbed underlying peptide
and on the solvent of the protein solution, indicating an important role of
electrostatic interactions upon protein adsorption. Moreover, atomic force
microscopy (AFM), and synchrotron IR microscopy revealed a heterogeneous
distribution of proteins on the GSH layer.
PMID- 22085832
TI - Nuclear receptor HNF4alpha binding sequences are widespread in Alu repeats.
AB - BACKGROUND: Alu repeats, which account for ~10% of the human genome, were
originally considered to be junk DNA. Recent studies, however, suggest that they
may contain transcription factor binding sites and hence possibly play a role in
regulating gene expression. RESULTS: Here, we show that binding sites for a
highly conserved member of the nuclear receptor superfamily of ligand-dependent
transcription factors, hepatocyte nuclear factor 4alpha (HNF4alpha, NR2A1), are
highly prevalent in Alu repeats. We employ high throughput protein binding
microarrays (PBMs) to show that HNF4alpha binds > 66 unique sequences in Alu
repeats that are present in ~1.2 million locations in the human genome. We use
chromatin immunoprecipitation (ChIP) to demonstrate that HNF4alpha binds Alu
elements in the promoters of target genes (ABCC3, APOA4, APOM, ATPIF1, CANX,
FEMT1A, GSTM4, IL32, IP6K2, PRLR, PRODH2, SOCS2, TTR) and luciferase assays to
show that at least some of those Alu elements can modulate HNF4alpha-mediated
transactivation in vivo (APOM, PRODH2, TTR, APOA4). HNF4alpha-Alu elements are
enriched in promoters of genes involved in RNA processing and a sizeable fraction
are in regions of accessible chromatin. Comparative genomics analysis suggests
that there may have been a gain in HNF4alpha binding sites in Alu elements during
evolution and that non Alu repeats, such as Tiggers, also contain HNF4alpha
sites. CONCLUSIONS: Our findings suggest that HNF4alpha, in addition to
regulating gene expression via high affinity binding sites, may also modulate
transcription via low affinity sites in Alu repeats.
PMID- 22085833
TI - Galantamine elicits neuroprotection by inhibiting iNOS, NADPH oxidase and ROS in
hippocampal slices stressed with anoxia/reoxygenation.
AB - Galantamine is a drug currently used to treat Alzheimer's disease (AD); in this
group of patients it has been observed that concomitant ischemic brain injury can
accelerate their cognitive deficit. We have previously shown that galantamine can
afford neuroprotection on in vitro and in vivo models related to brain ischemia.
In this context, this study was planned to investigate the intracellular
signaling pathways implicated in the protective effect of galantamine on an in
vitro brain ischemia-reperfusion model, namely rat hippocampal slices subjected
to oxygen and glucose deprivation (OGD) followed by reoxygenation. Galantamine
protected hippocampal slices subjected to OGD in a concentration-dependent
manner; at 15 MUM, cell death was reduced to almost control levels. The
neuroprotective effects of galantamine were reverted by mecamylamine and AG490,
but not by atropine, indicating that nicotinic receptors and Jak2 participated in
this action. Galantamine also prevented p65 translocation into the nucleus
induced by OGD; this effect was also linked to nicotinic receptors and Jak2.
Furthermore, galantamine reduced iNOS induction and production of NO caused by
OGD via Jak2. ROS production by NADPH oxidase (NOX) activation was also inhibited
by galantamine. In conclusion, galantamine afforded neuroprotection under OGD
reoxygenation conditions by activating a signaling pathway that involves
nicotinic receptors, Jak2 and the consequent inhibition of NOX and NFkappaB/iNOS.
This article is part of a Special Issue entitled 'Post-Traumatic Stress
Disorder'.
PMID- 22085834
TI - Age-dependent changes in temperature regulation - a mini review.
AB - It is now well recognized that the body temperature of older men and women is
lower than that of younger people and that their tolerance of thermal extremes is
more limited. The regulation of body temperature does not depend on a single
organ, but rather involves almost all the systems of the body, i.e. systems not
exclusively dedicated to thermoregulatory functions such as the cardiovascular
and respiratory systems. Since these deteriorate naturally with advancing age,
the decrement in their functions resonates throughout all the bodily processes,
including those that control body temperature. To the extent that the age-related
changes in some of these, e.g. in the musculoskeletal system, can be slowed, or
even prevented, by certain measures, e.g. fitness training, so can the decrements
in thermoregulatory functions. Some deficits, however, are unavoidable, e.g.
structural skin changes and metabolic alterations. These impact directly on the
ability of the elderly to maintain thermal homeostasis, particularly when
challenged by ambient thermal extremes. Since the maintenance of a relatively
stable, optimal core temperature is one of the body's most important activities,
its very survival can be threatened by these disorders. The present article
describes the principal, age-associated changes in physiological functions that
could affect the ability of seniors to maintain their body temperature when
exposed to hot or cold environments.
PMID- 22085835
TI - A fully implanted programmable stimulator based on wireless communication for
epidural spinal cord stimulation in rats.
AB - Clinical research indicates that the epidural spinal cord stimulation (ESCS) has
shown potential in promoting locomotor recovery in patients with incomplete
spinal cord injury (ISCI). This paper presents the development of a fully
implantable voltage-regulated stimulator with bi-directional wireless
communication for investigating underlying neural mechanisms of ESCS facilitating
motor function improvement. The stimulation system consists of a computer, an
external controller, an implantable pulse generator (IPG), a magnet, the
extension leads and a stimulation electrode. The telemetry transmission between
the IPG and the external controller is achieved by a commercially available
transceiver chip with 2.4GHz carrier band. The magnet is used to activate the IPG
only when necessary to minimize the power consumption. The encapsulated IPG
measures 33mm*24mm*8mm, with a total mass of ~12.6g. Feasibility experiments are
conducted in three Sprague-Dawley rats to validate the function of the
stimulator, and to investigate the relationship between lumbar-sacral ESCS and
hindlimb electromyography (EMG) responses. The results show that the stimulation
system provides an effective tool for investigation of ESCS application in motor
function recovery in small animals.
PMID- 22085836
TI - Comparison of within hive sampling and seasonal activity of Nosema ceranae in
honey bee colonies.
AB - Nosema ceranae is a microsporidian parasite of the European honey bee, Apis
mellifera, that is found worldwide and in multiple Apis spp.; however, little is
known about the effects of N. ceranae on A. mellifera. Previous studies using
spore counts suggest that there is no longer a seasonal cycle for N. ceranae and
that it is found year round with little variation in infection intensity among
months. Our goal was to determine whether infection levels differ in bees
collected from different areas of the hive and if there may be seasonal
differences in N. ceranae infections. A multiplex species-specific real-time PCR
assay was used for the detection and quantification of N. ceranae. Colonies were
sampled monthly from September 2009-2010 by collecting workers from honey supers,
the fringe of the brood nest, and the brood nest. We found that all bees sampled
were infected with N. ceranae and that there was no significant difference in
infection levels among the different groups of bees sampled (P=0.74). However,
significant differences in colony infection levels were found at different times
of the year (P<0.01) with the highest levels in April-June and lower levels in
the fall and winter. While our study was only performed for one year, it sheds
light on the fact that there may be a seasonality to N. ceranae infections. Being
able to predict future N. ceranae infections can be used to better advise
beekeepers on N. ceranae management.
PMID- 22085838
TI - Relating temperature dependence of atom scattering spectra to surface
corrugation.
AB - It is suggested that a measurement of the temperature dependence of the most
probable intensity of energy-resolved atom-surface scattering spectra can reveal
the strength of the surface corrugation. To support this conjecture, a classical
mechanical theory of atom scattering from a corrugated surface, valid in the weak
corrugation limit, is developed. The general result for the scattering
probability is expressed in terms of spatial integrals over the impact parameter
within a surface unit cell. For the case of a one-dimensional corrugation,
approximate expressions for the scattering probability are obtained in terms of
analytic closed form expressions. As an indicator of its relation to experimental
measurements, calculations using a one-dimensional corrugation model are compared
with data for Ar scattering from a molten Ga surface and an approximate value of
the corrugation height parameter is extracted.
PMID- 22085837
TI - Characterizing the interface between wild ducks and poultry to evaluate the
potential of transmission of avian pathogens.
AB - BACKGROUND: Characterizing the interface between wild and domestic animal
populations is increasingly recognized as essential in the context of emerging
infectious diseases (EIDs) that are transmitted by wildlife. More specifically,
the spatial and temporal distribution of contact rates between wild and domestic
hosts is a key parameter for modeling EIDs transmission dynamics. We integrated
satellite telemetry, remote sensing and ground-based surveys to evaluate the
spatio-temporal dynamics of indirect contacts between wild and domestic birds to
estimate the risk that avian pathogens such as avian influenza and Newcastle
viruses will be transmitted between wildlife to poultry. We monitored comb ducks
(Sarkidiornis melanotos melanotos) with satellite transmitters for seven months
in an extensive Afro-tropical wetland (the Inner Niger Delta) in Mali and
characterise the spatial distribution of backyard poultry in villages. We
modelled the spatial distribution of wild ducks using 250-meter spatial
resolution and 8-days temporal resolution remotely-sensed environmental
indicators based on a Maxent niche modelling method. RESULTS: Our results show a
strong seasonal variation in potential contact rate between wild ducks and
poultry. We found that the exposure of poultry to wild birds was greatest at the
end of the dry season and the beginning of the rainy season, when comb ducks
disperse from natural water bodies to irrigated areas near villages. CONCLUSIONS:
Our study provides at a local scale a quantitative evidence of the seasonal
variability of contact rate between wild and domestic bird populations. It
illustrates a GIS-based methodology for estimating epidemiological contact rates
at the wildlife and livestock interface integrating high-resolution satellite
telemetry and remote sensing data.
PMID- 22085839
TI - Synergistic effect between BRAP polymorphism and diabetes on the extent of
coronary atherosclerosis in the Chinese population.
AB - OBJECTIVES: Coronary artery disease (CAD) is a multifactorial disease and
influenced by genetics. We previously reported that a single nucleotide
polymorphism (rs11066001) in the BRAP gene was related to the risk of myocardial
infarction. However, it is unclear whether rs11066001 is associated with the
extent of coronary atherosclerosis. METHODS: We enrolled 732 patients scheduled
for diagnostic coronary angiography. Angiographic presence of significant CAD (0
or 1), clinical vessel score (CVS, 0-3 vessels) and diffuse score (DS, 0-11.5)
were used to evaluate the extent of coronary atherosclerosis. Genotyping was
carried out by the TaqMan technology. RESULTS: Of all patients, 558 (76.2%) had
significant CAD. The odds ratio for the GG to the AA genotype was 2.45 (95% CI
1.13-5.34, p = 0.024) for the presence of significant CAD. The CVS was correlated
with the frequency of genotypes in the recessive model (p = 0.001). Regression
analysis showed a significant association between rs11066001 and the presence of
significant CAD and DS (all p < 0.05). There was a synergistic effect between
rs11066001 and diabetes on the occurrence of significant CAD (p < 0.001 for
interaction). CONCLUSION: The BRAP rs11066001 gene is associated with the extent
of coronary atherosclerosis and has a synergistic effect with diabetes on the
occurrence of significant CAD in the Chinese population.
PMID- 22085840
TI - The evaluation of knee bone mineral density following open-wedge high tibial
osteotomy.
AB - Examinations of bone density changes in selected knee bone ends were evaluated
prospectively in a randomized group of 28 patients, aged from 41 to 65 (mean:
55.3 years), who had varus deformations of their mechanic limb axes, mean 8
degrees. The examinations were conducted during the preoperative period, 10 days,
3, 6, and 12 weeks, as well as 6 and 12 months after the procedure. A
statistically significant increase in bone density was observed in the medial
tibial condyle area, while a statistically insignificant decrease of bone density
was noted in the medial femoral condyles. Bone density increased in the lateral
tibial condyle area, whereas there were no density changes in the area of the
lateral femoral condyles. The research results demonstrate that the relief
achieved in ailments after high tibial osteotomies does not directly correspond
to the bone density of the affected areas.
PMID- 22085841
TI - Associations between cerebral and systemic endothelial function in migraine
patients: a post-hoc study.
AB - BACKGROUND: There is a growing interest in the role of the endothelium in
migraine. Recently, our group showed differences in endothelial function between
the anterior and posterior cerebral circulation in healthy subjects, reduced
vasodilatatory capacity of the posterior cerebral circulation and unimpaired
systemic endothelial function in migraine patients without comorbidities.
However, the relationship between cerebral and systemic endothelial function and
the anterior and posterior cerebral endothelial function in migraine patients is
still not clear. METHODS: We compared cerebral and systemic endothelial function
through post-hoc linear regression analysis of cerebrovascular reactivity (CVR)
to L-arginine between the middle cerebral artery (MCA) and flow-mediated
vasodilatation (FMD) of the right brachial artery and the posterior cerebral
artery (PCA) and FMD in migraine patients without comorbidities and in healthy
subjects. The anterior and posterior cerebral endothelial function was also
compared using post-hoc linear regression analysis between CVR to L-arginine in
the MCA and the PCA. RESULTS: No significant correlation was found between CVR to
L-arginine in the MCA and FMD and in the PCA and FMD in migraine patients with
aura (p = 0.880 vs. p = 0.682), without aura (p = 0.153 vs. p = 0.179) and in
healthy subjects (p = 0.869 vs. p = 0.662). On the other hand, we found a
significant correlation between CVR to L-arginine in the MCA and PCA in migraine
patients with aura (p = 0.004), without aura (p = 0.001) and in healthy subjects
(p = 0.002). Detailed analysis of the linear regression between all migraine
patients and healthy subjects did not show any difference in the regression
coefficient (slope) (p = 0.382). However, a significant difference in curve
elevation (intercept) was found (p = 0.002). CONCLUSIONS: Our study suggests that
the endothelial function in the cerebral and systemic circulation might be
different in migraine patients without comorbidities, while that of the anterior
and posterior cerebral circulation might be coupled. These results could improve
understanding of endothelial function in migraine patients without comorbidities.
PMID- 22085842
TI - Investigation of urinary volatile organic metabolites as potential cancer
biomarkers by solid-phase microextraction in combination with gas chromatography
mass spectrometry.
AB - BACKGROUND: Non-invasive diagnostic strategies aimed at identifying biomarkers of
cancer are of great interest for early cancer detection. Urine is potentially a
rich source of volatile organic metabolites (VOMs) that can be used as potential
cancer biomarkers. Our aim was to develop a generally reliable, rapid, sensitive,
and robust analytical method for screening large numbers of urine samples,
resulting in a broad spectrum of native VOMs, as a tool to evaluate the potential
of these metabolites in the early diagnosis of cancer. METHODS: To investigate
urinary volatile metabolites as potential cancer biomarkers, urine samples from
33 cancer patients (oncological group: 14 leukaemia, 12 colorectal and 7
lymphoma) and 21 healthy (control group, cancer-free) individuals were
qualitatively and quantitatively analysed. Dynamic solid-phase microextraction in
headspace mode (dHS-SPME) using a carboxen-polydimethylsiloxane (CAR/PDMS)
sorbent in combination with GC-qMS-based metabolomics was applied to isolate and
identify the volatile metabolites. This method provides a potential non-invasive
method for early cancer diagnosis as a first approach. To fulfil this objective,
three important dHS-SPME experimental parameters that influence extraction
efficiency (fibre coating, extraction time and temperature of sampling) were
optimised using a univariate optimisation design. The highest extraction
efficiency was obtained when sampling was performed at 50 degrees C for 60 min
using samples with high ionic strengths (17% sodium chloride, w v(-1)) and under
agitation. RESULTS: A total of 82 volatile metabolites belonging to distinct
chemical classes were identified in the control and oncological groups. Benzene
derivatives, terpenoids and phenols were the most common classes for the
oncological group, whereas ketones and sulphur compounds were the main classes
that were isolated from the urine headspace of healthy subjects. The results
demonstrate that compound concentrations were dramatically different between
cancer patients and healthy volunteers. The positive rates of 16 patients among
the 82 identified were found to be statistically different (P<0.05). A
significant increase in the peak area of 2-methyl-3-phenyl-2-propenal, p-cymene,
anisole, 4-methyl-phenol and 1,2-dihydro-1,1,6-trimethyl-naphthalene in cancer
patients was observed. On average, statistically significant lower abundances of
dimethyl disulphide were found in cancer patients. CONCLUSIONS: Gas
chromatographic peak areas were submitted to multivariate analysis (principal
component analysis and supervised linear discriminant analysis) to visualise
clusters within cases and to detect the volatile metabolites that are able to
differentiate cancer patients from healthy individuals. Very good discrimination
within cancer groups and between cancer and control groups was achieved.
PMID- 22085843
TI - Involvement of oxidative stress-induced ERK/JNK activation in the
Cu(2+)/pyrrolidine dithiocarbamate complex-triggered mitochondria-regulated
apoptosis in pancreatic beta-cells.
AB - Oxidative stress was demonstrated to promote the progression of diabetes mellitus
(DM). It has been suggested that copper may play a specific role in the
progression and pathogenesis of DM. Pyrrolidine dithiocarbamate (PDTC), a widely
apply to the medicine, was known to be capable of enhancing copper accumulation.
In this study, we investigated the effect of submicromolar-concentration
Cu(2+)/PDTC complex on pancreatic beta-cell damage and evaluated the role of
oxidative stress in this effect. CuCl(2) (0.01-300MUM) did not affect the cell
viability in beta-cell line RIN-m5F cells. However, combination of CuCl(2)
(0.5MUM) and PDTC (0.3MUM) markedly reduced RIN-m5F cell viability. Cu(2+)/PDTC
complex could also increase the LPO and decrease the intracellular reduced GSH
levels, and display several features of apoptosis signals including: increase in
sub-G1 cell population, annexin-V binding, and caspase-3 activity, mitochondrial
dysfunctions, and the activation of PARP and caspase cascades, which accompanied
with the marked increase the intracellular Cu(2+) levels. These apoptotic-related
responses of Cu(2+)/PDTC complex-induced could be effectively prevented by
antioxidant N-acetylcysteine (NAC). Furthermore, Cu(2+)/PDTC complex was capable
of increasing the phosphorylations of ERK1/2 and JNK, and its upstream kinase
MEK1/2 and MKK4, which could be reversed by NAC. Transfection with ERK2- and JNK
specific si-RNA and specific inhibitors SP600125 and PD98059 could inhibit ERK1/2
and JNK activation and attenuate MMP loss and caspase-3 activity induced by the
Cu(2+)/PDTC complex. Taken together, these results are the first report to
demonstrate that the Cu(2+)/PDTC complex triggers a mitochondria-regulated
apoptosis via an oxidative stress-induced ERK/JNK activation-related pathway in
pancreatic beta-cells.
PMID- 22085844
TI - Mesothelial cell and anti-nuclear autoantibodies associated with pleural
abnormalities in an asbestos exposed population of Libby MT.
AB - Despite data linking amphibole asbestos exposure with production of
autoantibodies, the role of autoantibodies in subsequent disease is unknown.
Residents of Libby, Montana have experienced significant exposure to amphibole
asbestos due to the mining of asbestos-contaminated vermiculite near the
community over several decades. This population predominantly exhibits pleural
disease, and an autoimmune-like disorder that has yet to be well defined. This
study sought to determine whether autoantibodies from asbestos-exposed subjects
were associated with pleural lesions. Serum samples of subjects from Libby were
evaluated for anti-nuclear antibodies (ANA) and mesothelial cell autoantibodies
(MCAA) using cell based ELISA. The presence of radiographic abnormalities
detected during the time frame of serum collection was determined from screening
records. In accord with previous studies, 61.3% (76/124) of the Libby samples
were ANA positive, a frequency much higher than expected for a healthy
population. The odds of having pleural or interstitial abnormalities in Libby was
nearly 3.55 times greater for individuals that tested positive for ANA compared
with individuals negative for ANA (p=0.004). MCAA were also detected at a
strikingly high frequency (18.5%; 23/124) in samples from Libby. Individuals with
MCAA had 4.9 times the risk of having pleural abnormalities compared to MCAA
negative subjects (p=0.044). In conclusion, ANA and MCAA were elevated in a study
population that was known to have chronic exposure to asbestos, and these
autoantibodies were associated with pleural abnormalities, the predominant
finding in the asbestos-exposed population of Libby. Additional research is
needed to determine the role these autoantibodies may play in pulmonary disease.
PMID- 22085845
TI - Inhibition of TNF-alpha stimulated nuclear factor-kappa B (NF-kappaB) activation
by cyclometalated platinum(II) complexes.
AB - Cyclometalated platinum(II) complexes [Pt(II)(C^N^N)(C=NR)](+) (HC^N^N = 6-phenyl
2,2'-bipyridyl) display significant inhibition towards TNF-alpha stimulated NF
kappaB-dependent gene transcription at concentrations down to the micromolar
range.
PMID- 22085846
TI - Membrane trafficking and signaling: two sides of the same coin.
AB - Recent findings on clathrin-dependent and non clathrin-dependent endocytic routes
are currently changing our classical view of endocytosis. Originally seen as a
way for the cell to internalize membrane, receptors or various soluble molecules,
this process is in fact directly linked to complex signaling pathways. Here, we
review new insights in endocytosis and present latest development in imaging
techniques that allow us to visualize and follow the dynamics of membrane
associated signaling events at the plasma membrane and other intracellular
compartments. The immune synapse is taken as an illustration of the importance of
membrane reorganization and proteins clustering to initiate and maintain
signaling. Future challenges include understanding the crosslink between traffic
and signaling and how all compartmentalized signals are integrated inside the
cell at a higher level.
PMID- 22085847
TI - Zalpha-domains: at the intersection between RNA editing and innate immunity.
AB - The involvement of A to I RNA editing in antiviral responses was first indicated
by the observation of genomic hyper-mutation for several RNA viruses in the
course of persistent infections. However, in only a few cases an antiviral role
was ever demonstrated and surprisingly, it turns out that ADARs - the RNA editing
enzymes - may have a prominent pro-viral role through the modulation/down
regulation of the interferon response. A key role in this regulatory function of
RNA editing is played by ADAR1, an interferon inducible RNA editing enzyme. A
distinguishing feature of ADAR1, when compared with other ADARs, is the presence
of a Z-DNA binding domain, Zalpha. Since the initial discovery of the specific
and high affinity binding of Zalpha to CpG repeats in a left-handed helical
conformation, other proteins, all related to the interferon response pathway,
were shown to have similar domains throughout the vertebrate lineage. What is the
biological function of this domain family remains unclear but a significant body
of work provides pieces of a puzzle that points to an important role of Zalpha
domains in the recognition of foreign nucleic acids in the cytoplasm by the
innate immune system. Here we will provide an overview of our knowledge on ADAR1
function in interferon response with emphasis on Zalpha domains.
PMID- 22085848
TI - Tiarellic acid attenuates airway hyperresponsiveness and inflammation in a murine
model of allergic asthma.
AB - Asthma is a persistent inflammatory disease characterized by airway obstruction
and hyperresponsiveness in association with airway inflammation. In the current
research, we studied the anti-inflammatory and anti-asthmatic effects of
tiarellic acid (TA) isolated from Tiarella polyphylla, based on asthmatic
parameters, such as immunoglobulin E (IgE) level, cytokine release, eosinophilia,
airway hyperresponsiveness (AHR), reactive oxygen species (ROS) and mucus
hypersecretion, in an ovalbumin (OVA)-sensitized/challenged mouse model. TA
significantly inhibited increases in IgE, levels of ROS and T helper cytokines,
such as interleukin (IL)-4, IL-5, TNF-alpha, and IL-13, in bronchoalveolar lavage
fluid (BALF), and effectively suppressed airway hyperresponsiveness,
eosinophilia, and mucus hypersecretion in the asthmatic mouse model. In addition,
we found that administration of TA attenuated ovalbumin-induced increases in NF
kappaB activity in lungs. The efficacy of TA was comparable to that of
montelukast, a currently available anti-asthmatic drug. Our results support the
utility of TA as a herbal medicine for asthma treatment and may have application
in the development of anti-inflammatory and anti-asthmatic drugs.
PMID- 22085852
TI - Antiviral immunity. Viral restriction goes nuclear.
PMID- 22085849
TI - Fungal physiology. Ustilago takes control.
AB - Ustilago maydis Cmu1 is a virulence factor that can move through plant cells,
redirecting plant metabolic pathways to favour fungal infection.
PMID- 22085857
TI - Bacterial physiology. Seeing Caulobacter in 3D.
PMID- 22085858
TI - Molecular biology. Nature of the genetic code finally revealed!
PMID- 22085859
TI - Animals learn new tricks from microorganisms.
PMID- 22085860
TI - Phosphonium-based ionic liquids as modifiers for biomedical grade poly(vinyl
chloride).
AB - This work reports and discusses the influence of four phosphonium-based ionic
liquids (PhILs), namely trihexyl(tetradecyl) phosphonium dicyanamide,
[P(6,6,6,14)][dca]; trihexyl(tetradecyl) phosphonium
bis(trifluoromethylsulfonyl)imide, [P(6,6,6,14)][Tf(2)N]; tetrabutyl phosphonium
bromide, [P(4,4,4,4)][Br]; and tetrabutyl phosphonium chloride, [P(4,4,4,4)][Cl],
on some of the chemical, physical and biological properties of a biomedical-grade
suspension of poly(vinyl chloride) (PVC). The main goal of this work was to
evaluate the capacity of these PhILs to modify some of the properties of neat
PVC, in particular those that may allow their use as potential alternatives to
traditional phthalate-based plasticizers in PVC biomedical applications. PVC
films having different PhIL compositions (0, 5, 10 and 20 wt.%) were prepared (by
solvent film casting) and characterised by Fourier transform infrared,
thermogravimetric analysis, differential scanning calorimetry, dynamical
mechanical thermal analysis, scanning electron microscopy/energy-dispersive X
ray/electron probe microanalysis, X-ray diffraction, transmittance, permeability
towards oxygen and carbon dioxide, thermal degradation, contact angle
measurement, water and vapour uptake, leachability and biocompatibility
(haemolytic potential, thrombogenicity and cytotoxicity). A conventional organic
plasticizer (di-isononyl phthalate) was used for comparison purposes. The results
obtained showed that it was possible to change the neat PVC hydrophobicity, and
consequently its water uptake capacity and plasticizer leachability, just by
changing the PhIL employed and its composition. It was also possible to
significantly change the thermal and mechanical properties of PVC films by
choosing appropriate PhIL cation/anion combinations. However, a specific PhIL may
not always be capable of simultaneously keeping and/or improving both physical
properties. In addition, ionic halide salts were found to promote PVC
dehydrochlorination. Finally, none of the prepared materials presented toxicity
against Caco-2 cells, though pure [P(6,6,6,14)][dca] decreased HepG2 cells
viability. Moreover, PVC films with [P(6,6,6,14)][dca] and [P(4,4,4,4)][Cl] were
found to be haemolytic and thus these PhILs must be avoided as PVC modifiers if
biomedical applications are envisaged. In conclusion, from all the PhILs tested,
[P(6,6,6,14)][Tf(2)N] showed the most promising results regarding blood
compatibility, leaching and permeability to gases of PVC films. The results
presented are a strong indicator that adequate PhILs may be successfully employed
as PVC multi-functional plasticizers for a wide range of potential applications,
including those in the biomedical field.
PMID- 22085861
TI - Rigorous and thorough bioinformatic analyses of olfactory receptor promoters
confirm enrichment of O/E and homeodomain binding sites but reveal no new common
motifs.
AB - BACKGROUND: Mammalian olfactory receptors (ORs) are subject to a remarkable but
poorly understood regime of transcriptional regulation, whereby individual
olfactory neurons each express only one allele of a single member of the large OR
gene family. RESULTS: We performed a rigorous search for enriched sequence motifs
in the largest dataset of OR promoter regions analyzed to date. We combined
measures of cross-species conservation with databases of known transcription
factor binding sites and ab initio motif-finding algorithms. We found strong
enrichment of binding sites for the O/E family of transcription factors and for
homeodomain factors, both already known to be involved in the transcriptional
control of ORs, but did not identify any novel enriched sequences. We also found
that TATA-boxes are present in at least a subset of OR promoters. CONCLUSIONS:
Our rigorous approach provides a template for the analysis of the regulation of
large gene families and demonstrates some of the difficulties and pitfalls of
such analyses. Although currently available bioinformatics methods cannot detect
all transcriptional regulatory elements, our thorough analysis of OR promoters
shows that in the case of this gene family, experimental approaches have probably
already identified all the binding factors common to large fractions of OR
promoters.
PMID- 22085862
TI - Formononetin protects neurons against hypoxia-induced cytotoxicity through
upregulation of ADAM10 and sAbetaPPalpha.
AB - Formononetin, an active constituent of the Chinese herb Astragali Radix, has been
reported to have beneficial effects for Alzheimer's disease (AD). Yet the
mechanism of this effect remains to be elucidated. The present study shows that
formononetin increases soluble-AbetaPPalpha (sAbetaPPalpha) secretion and thus
protects human-AbetaPP Swedish mutation cell (N2a-AbetaPP cell) from hypoxia
induced apoptosis. Using hypoxic N2a-AbetaPP cell as an in vitro model of AD-like
pathology, we confirmed that regular treatment with formononetin could have
neuroprotective effects, followed respectively by reduced caspase 3 activity and
increased cell viability. Strikingly, our data revealed that the caspase 3
blocking effect of formononetin was largely mediated by stimulation of alpha
secretase cleavage of AbetaPP, and increasing the secretion of its soluble form,
sAbetaPPalpha. Moreover, the protective effect of formononetin was totally
inhibited by TAPI-2, an alpha-secretase complex inhibitor, suggesting the role of
the sAbetaPPalpha pathway in the neuroprotective response to formononetin. We
also found that the stimulative effect of formononetin on alpha-secretase
activity was mainly conducted by upregulating ADAM10 expression at the
transcriptional level. Altogether, our study provides novel insights into how
formononetin mediates stimulation of the ADAM10-sAbetaPPalpha pathway and exerts
a neuronal protective effect.
PMID- 22085863
TI - Neural dissociation in processing noise and accent in spoken language
comprehension.
AB - We investigated how two distortions of the speech signal - added background noise
and speech in an unfamiliar accent - affect comprehension of speech using
functional Magnetic Resonance Imaging (fMRI). Listeners performed a speeded
sentence verification task for speech in quiet in Standard Dutch, in Standard
Dutch with added background noise and for speech in an unfamiliar accent of
Dutch. The behavioural results showed slower responses for both types of
distortion compared to clear speech, and no difference between the two
distortions. The neuroimaging results showed that, compared to clear speech,
processing noise resulted in more activity bilaterally in Inferior Frontal Gyrus,
Frontal Operculum, while processing accented speech recruited an area in left
Superior Temporal Gyrus/Sulcus. It is concluded that the neural bases for
processing different distortions of the speech signal dissociate. It is suggested
that current models of the cortical organisation of speech are updated to
specifically associate bilateral inferior frontal areas with processing external
distortions (e.g., background noise) and left temporal areas with speaker-related
distortions (e.g., accents).
PMID- 22085864
TI - Visuomotor performance based on peripheral vision is impaired in the visual form
agnostic patient DF.
AB - The perception-action model states that visual information is processed in
different cortical areas depending on the purpose for which the information is
acquired. Specifically, it was suggested that the ventral stream mediates visual
perception, whereas the dorsal stream primarily processes visual information for
the guidance of actions (Goodale & Milner, 1992). Evidence for the model comes
from patient studies showing that patients with ventral stream damage (visual
form agnosia) and patients with dorsal stream damage (optic ataxia) show
divergent performance in action and perception tasks. Whereas DF, a patient
suffering from visual form agnosia, was found to perform well in visuomotor tasks
despite her inability to use vision for perceptual tasks, patients with optic
ataxia show usually the opposite pattern, i.e. good perception but impaired
visuomotor control. The finding that both disorders seem to provoke a mirror
reversed pattern of spared and impaired visual functions, led to the belief that
optic ataxia and visual form agnosia can be considered as complementary
disorders. However, the visuomotor performance of patients with optic ataxia is
typically only impaired when they are tested in visual periphery while being
often preserved when tested in central vision. Here, we show that DF's visuomotor
performance is also only preserved when the target is presented centrally. Her
reaching and grasping movements to targets in peripheral vision are abnormal. Our
findings indicate that DF's visuomotor performance is quite similar to the
visuomotor performance of patients with optic ataxia which undermines previous
suggestions that the two disorders form a double-dissociation.
PMID- 22085865
TI - The effects of railroad ballast surface and slope on rearfoot motion in walking.
AB - The purpose of this study was to investigate the effects of transversely sloped
ballasted walking surface on gait and rearfoot motion (RFM) parameters. Motion
analysis was performed with 20 healthy participants (15 male and 5 female)
walking in six surface-slope conditions: two surfaces (solid and ballasted) by
three slopes (0, 5, and 10 degrees). The gait parameters (walking velocity, step
length, step rate, step width, stance time, and toe-out angle) showed significant
surface effect (p = .004) and surface-slope interaction (p = .017). The RFM
motion parameters (peak everted/inverted position, eversion/inversion velocity,
and acceleration) revealed significant surface (p = .004) and slope (p = .024)
effects. The ballasted conditions showed more cautious gait patterns with lower
walk velocity, step length, and step rate and longer stance time. In the RFM
parameters, the slope effect was more notable in the solid conditions due to the
gait adaptations in the ballasted conditions. Ballast conditions showed reduced
inversion and increased eversion and RFM range. The RFM data were comparable to
other typical walking conditions but smaller than those from running.
PMID- 22085866
TI - Improvement in cardiac function following transplantation of human umbilical cord
matrix-derived mesenchymal cells.
AB - OBJECTIVES: Human umbilical cord mesenchymal cells (hUCM) can be easily obtained
and processed in a laboratory. These cells may be considered as a suitable source
in the repair of heart failure diseases. We, therefore, examined whether these
cells may contribute to heart regeneration following an acute experimental
myocardial infarction (MI). METHODS: MI-induced animals received 5 * 10(6) hUCM
cells, 5 * 10(6) 5-azacytidine-treated cells (dhUCM), or PBS alone,
subepicardially. A group of animals with MI and no other former intervention
served as controls. dhUCM cells were assessed for F-actin, myogenin and troponin
I expression. RESULTS: dhUCM cells appeared as binucleated cells with extensive
cytoplasmic processes. These differentiated cells were F-actin and myogenin
positive. Thirty days after LAD ligation, left ventricular ejection fraction and
the percentage of fractional shortening improved significantly in cell-receiving
animals. In addition, the amount of scar tissue was significantly reduced in hUCM
and dhUCM groups compared to MI group (p < 0.05). These parameters were
comparable between hUCM and dhUCM groups. Histopathological evaluations revealed
that some engrafted cells adjacent to and remote from the MI area expressed
troponin-I, F-actin and connexin43. CONCLUSION: These findings demonstrated the
potential therapeutic use of either differentiated or undifferentiated hUCM cells
in treatment of heart failure conditions.
PMID- 22085867
TI - A longitudinal evaluation of growth outcomes at hospital discharge of very-low
birth-weight preterm infants.
AB - BACKGROUND/OBJECTIVES: To quantify the rate of progressive failure of very-low
birth-weight (VLBW) infants over time in the neonatal intensive care unit to meet
growth milestones. SUBJECTS/METHODS: In a prospective longitudinal study, 114
VLBW preterm infants (?1500 g) of both sexes, with normal and/or low weight for
gestational age were included. At the start, weight, length, mid-upper arm
(MUAC), thigh and cephalic circumferences were measured. Weight/age (W/A),
length/age and weight/length (+/-2 z-score) indices were calculated. All
measurements were taken at inclusion, at 7, 15 and 30 days of hospitalization.
Chi-square test, analysis of variance and repeated-measures tests were estimated.
RESULTS: Thirteen cases (14%) died and were excluded soon after the first
determinations. In all, 9 (8.9%) died during the study, 12 (11.9%) were
discharged before 30 days of life and 80 (79.2%) completed the study. At 7 days,
the percentage of preterm infants with an index of W/A <-2 z-scores increased
from 44 to 67% (44-68 subjects; P<0.01), with no changes afterwards; the
indicator MUAC <-2 z-scores increased at 7 days from 23 to 49% (23-49 subjects);
at 15 from 23 to 65% (23-61 subjects) and at 30 days from 23 to 79% (23-63
subjects; P<0.001). CONCLUSIONS: Clinicians could use these indicators for
earlier detection of growth failure in VLBW infants in order to target more
aggressive nutrition early.
PMID- 22085868
TI - Alcohol and macronutrient intake patterns are related to general and central
adiposity.
AB - BACKGROUND/OBJECTIVES: Alcohol and dietary fat have high energy densities and may
therefore be related to body weight and fat deposition. We studied associations
between alcohol and macronutrient intake patterns and general and central
adiposity. SUBJECTS/METHODS: A population-based cross-sectional study of 524 men
and 611 women. The participants answered a dietary questionnaire describing
habitual food consumption including intake of alcoholic beverages. Macronutrient
intake was analysed in relation to anthropometric measures and dual energy X-ray
absorptiometry determined body fat. RESULTS: In women, total alcohol intake was
negatively associated with body fat percentage (beta:-0.67, P<0.01). In men,
total alcohol intake was positively associated with sagittal abdominal diameter
(SAD) (beta: 0.28, P=0.01). In addition, positive associations were found between
intake of alcohol from spirits and body fat percentage (beta: 1.17, P<0.05), SAD
(beta: 0.52, P<0.05) and waist circumference (beta: 2.29, P=0.01). In men,
protein intake was positively associated with body mass index (BMI) (beta: 0.03,
P=0.001), body fat percentage (beta: 0.04, P<0.05), SAD (beta: 0.02, P=0.01) and
waist circumference (beta: 0.09, P<0.01). Also in men only, negative associations
between fat intake and BMI (beta: -0.03, P<0.01), SAD (beta: -0.02, P<0.05) and
waist circumference (beta: -0.05, P<0.05) were found. CONCLUSIONS: Alcohol intake
was inversely associated to relative body fat in women whereas spirits
consumption was positively related to central and general obesity in men.
Macronutrient intakes, particularly protein and fat, were differently associated
with obesity indicators in men versus women. This may reflect a differential
effect by gender, or differential obesity related reporting errors in men and
women.
PMID- 22085869
TI - No effect of maternal micronutrient supplementation on early childhood growth in
rural western China: 30 month follow-up evaluation of a double blind, cluster
randomized controlled trial.
AB - BACKGROUND/OBJECTIVES: Little is known about the long-term effects of maternal
multi-micronutrient supplementation on the growth of children during early
childhood. In this follow-up study, the effects of maternal supplementation with
multi-micronutrients in pregnancy on postnatal child growth are examined.
SUBJECTS/METHODS: A longitudinal follow-up of a subset of newborns (n=1388) whose
mothers were randomly assigned to receive the supplements of folic acid, iron
folic acid or multi-micronutrients daily during pregnancy in the original trial
was conducted. Children's weight and length were measured and assessed during
monthly home visits from birth to 30 months of age. RESULTS: The pooled
prevalence rate of stunting over different time points during the first 30 months
was 13.5, 14.9 and 12.1% for the folic acid group, iron-folic acid group and
multi-micronutrient group, respectively. However, there were no significant
differences in the pooled odds of stunting in children between the multi
micronutrient group and the folic acid (odds ratio (OR) 0.97, 95% confidence
interval (CI): 0.74-1.26), and between the multi-micronutrient group and the iron
folic acid group (OR 0.82, 95% CI: 0.63-1.07). Similar results for the three
groups were found for the occurrences of underweight and wasting in children.
Furthermore, no significant differences were observed in length, weight, length
for-age, weight-for-age and weight-for-length Z-scores among the three treatment
groups. CONCLUSIONS: Currently, available evidence is insufficient to support a
greater advantage of the effect of maternal multi-micronutrient supplementation
on child growth over iron-folic acid or folic acid only supplementation during
the first 30 months.
PMID- 22085870
TI - Effect of nutrition education on exclusive breastfeeding for nutritional outcome
of low birth weight babies.
AB - BACKGROUND/OBJECTIVES: Low birth weight (LBW), defined as the body weight at
birth of less than 2500 g, is a major public health problem in Bangladesh, where
37% of the babies are born with LBW. The objective of this study is to see the
impact of nutrition education on growth of LBW babies with early initiation and
exclusive breastfeeding compared to control group. SUBJECTS/METHODS: A total of
184 LBW babies and their mothers who attended the Maternal Care and Health
Training Institute and Dhaka Medical College Hospital were randomly allocated to
either intervention or control group. Enrollment of the study population started
in May 2008 and was completed in October 2008. Nutrition education was given to
mothers twice weekly for 2 months, on initiation of breastfeeding within 1 h,
exclusive breastfeeding and increasing their dietary intake. Nutritional status
of LBW babies was assessed for length and weight every 2 weeks. Data were
analyzed using SPSS/Window's version 12. Comparison of mean of data was done
using standard Student's t-test. RESULTS: Mean initial body weight and length of
LBW babies were similar in both groups (2261+/-198 g vs 2241+/-244 g, P=0.535 and
43.0+/-1.3 cm vs 43.0+/-1.7 cm, P=0.77). Body weight and length of the LBW babies
after 2 months increased significantly (3620+/-229 g vs 3315+/-301 g, P<0.001 and
50.2+/-1.3 cm vs 48.7+/-1.6 cm, P<0.001). It was found that the intervention
group suffered less from respiratory illness compared with the control group (39%
vs 66%, P<0.001). The rate of early initiation of breastfeeding was also
significantly higher with nutrition intervention (59.8% vs 37.2%, P<0.001).
Exclusive breastfeeding rate was significantly higher in intervention group
(59.8% vs 37%, P=0.003). CONCLUSIONS: The present study showed that weight and
length gain of LBW babies significantly increased by breastfeeding and nutrition
education. Therefore, nutrition education on breastfeeding proves to be a strong
tool to reduce the high risk of malnutrition and mortality of the LBW babies.
PMID- 22085871
TI - Consuming a diet complying with front-of-pack label criteria may reduce
cholesterol levels: a modeling study.
AB - BACKGROUND/OBJECTIVES: Front-of-pack nutrition labels can help consumers to make
healthier choices and stimulate healthier product development. This is the first
modeling study to investigate the potential impact on cholesterol levels of
consuming a diet consisting of products that comply with the criteria for a
'healthier choice logo'. SUBJECTS/METHODS: National food consumption and food
composition data were used to estimate the nutrient intake of the Dutch adult
population (18-70 years) before and after replacing foods that did not comply
with the choices front-of-pack label criteria. Different scenarios were
established. The difference in cholesterol levels in the Dutch population was
assessed before and after replacement by means of equations from meta-analyses
that calculate how blood lipids change when diet composition changes. RESULTS:
After replacing non-complying products with products, which comply with the
label's criteria (maximum scenario), saturated fatty acids median intake reduced
from 14.5 to 9.8 en%. Trans-fatty acids reduced from 0.95 to 0.57 en%. The
average predicted changes in low-density lipoprotein and total cholesterol levels
were -0.25 and -0.31 mmol/l, respectively. Because high-density lipoprotein (HDL)
cholesterol levels reduced as well (-0.05 mmol/l), overall, the result was a
slightly positive change in the total cholesterol/HDL ratio (-0.03). CONCLUSIONS:
Our findings suggest that the consumption of foods complying with the criteria
for a front-of-pack label could contribute moderately to cardiovascular risk
reduction via influencing blood lipids. These findings were independent of other
potential effects on related health outcomes.
PMID- 22085872
TI - Effect of folic acid intervention on ALT concentration in hypertensives without
known hepatic disease: a randomized, double-blind, controlled trial.
AB - BACKGROUND/OBJECTIVES: Increasing evidence suggests that altered
methionine/folate metabolism may contribute to the development of hepatic injury.
We addressed the question of whether folic acid (FA) supplementation can affect
serum alanine aminotransferase (ALT) level in hypertensive Chinese adults.
SUBJECTS/METHODS: A total of 480 participants with mild or moderate essential
hypertension and without known hepatic disease were randomly assigned to three
treatment groups: (1) enalapril only (10 mg, control group); (2) enalapril-FA
tablet (10 mg enalapril combined with 0.4 mg of FA, low FA group); and (3)
enalapril-FA tablet (10 mg enalapril combined with 0.8 mg of FA, high FA group),
once daily for 8 weeks. RESULTS: This report included 455 participants in the
final analysis according to the principle of intention to treat. We found a
significant reduction in ALT level in the high FA group (median (25th percentile,
75th percentile), -0.6 (-6.9, 2.0)IU/l, P=0.0008). Compared with the control
group, the high FA group showed a significantly greater ALT-lowering response in
men (median ALT ratio (ALT at week 8 to ALT at baseline; 25th percentile, 75th
percentile): 0.93 (0.67, 1.06) vs 1.00 (0.91, 1.21), P=0.032), and in
participants with elevated ALT (ALT>40 IU/l) at baseline. There was no difference
in ALT lowering between the control and the low FA group. CONCLUSIONS: Compared
with treatment using 10 mg of enalapril alone, a daily dose of 10 mg enalapril
combined with 0.8 mg of FA showed a beneficial effect on serum ALT level,
particularly in men and in participants with elevated (>40 IU/l) ALT.
PMID- 22085873
TI - Paraneoplastic syndrome mimicking adult-onset Still's disease caused by advanced
lung cancer: a case report.
AB - BACKGROUND: Paraneoplastic syndromes (PNSs) are common complications of lung
cancer and often develop preceding the diagnosis of primary malignancy.
Rheumatologic PNSs mimicking Adult-Onset Still' s Disease (AOSD) is a rare
condition with only a limited number of cases reported in the literature, none of
which was associated with lung cancer. It is often difficult to differentiate
AOSD-like paraneoplasia from coincidental AOSD based on the clinical
manifestations. CASE PRESENTATION: Here we present a 56-year-old man with
advanced lung adenocarcinoma who developed a remittent fever together with
pharyngodynia and joint pain after first cycle of chemotherapy with paclitaxel
plus carboplatin. Although a leukocytosis was detected, no evidence of infection
was acquired and empirical antibiotic treatment was ineffective. A temple skin
rash, abnormal hepatic function and a remarkable elevated level of serum ferritin
occurred later in this patient, which highly supported a potential diagnosis of
AOSD. The patient was finally diagnosed as AOSD-like PNS considering the good and
prompt response to a short-term administration of non-steroidal anti-inflammatory
drug and subsequent cycles of effective chemotherapy with pemetrexed plus
cisplatin. DISCUSSION AND CONCLUSIONS: Though rare, AOSD-like PNS can be one of
the potential diagnoses in lung cancer patients with fever of undetermined
origin, especially those having no response to antibiotic treatment. Management
consists of control of the underlying malignancy and symptomatic treatment of the
syndromes with non-steroidal anti-inflammatory drugs or corticosteroids.
PMID- 22085874
TI - Selective formation of angular tricyclic compounds by ruthenium-mediated ring
rearrangement metathesis.
AB - Unsaturated spirocyclic substrates bearing two alkenyl chains underwent ruthenium
mediated ring-rearrangement metathesis through relaying cyclohexene and
cycloheptene moieties to give angularly fused tricyclics. In some instances where
two products were expected, high degrees of selectivity were observed. In one
instance the structural parameter leading to selectivity was very subtle; in
others the transformation favoured the formation of products with a cis-fused
cyclohexene moiety. An unusual transformation involving ring-opening, double-bond
migration, and then ring-closing was observed.
PMID- 22085875
TI - Temporal and frontal lobe initiation and regulation of the top-down escalation of
anger and aggression.
AB - The widespread, across-species strategy of stagewise escalation of aggression in
agonistic encounters can be understood in terms of resource capture and control
with least risk and cost. Human anger likely follows similar principles. As an
adaptive phenomenon, escalation may involve particular neural circuitry. To
advance beyond a standard view that the frontal lobe tonically inhibits
subcortical circuits of aggression, a model is proposed which starts with the
general rostrally directed flow of information in the brain. Earlier stage
processing of visual and auditory input is transmitted from posterior and middle
temporal cortices to anterior temporal lobe where rudimentary appraisals of
threat and provocation are developed. These directly but diffusely activate
cortical/subcortical anger/aggression response systems. At the same time, the
anterior temporal loci transmit the modality-specific perceptual information to
orbito-frontal cortex where it is integrated with information about, e.g., the
opponent's relative dominance/social status and evaluated for likelihood of
potential rewards and punishments associated with different modes of responding
and so forth. These frontal areas then impose an inhibitory gating or modulation
and focusing of activity initiated by the anterior temporal loci through their
projections to GABAergic interneurons in the same cortical/subcortical circuits.
Escalation occurs as the inhibition imposed by the frontal areas is progressively
lifted. Exploration of the implications, applications and hypotheses flowing from
this model will improve our understanding of the biologically important and
socially significant phenomena of escalation.
PMID- 22085877
TI - Systemic treatment with the enteric bacterial fermentation product, propionic
acid, produces both conditioned taste avoidance and conditioned place avoidance
in rats.
AB - Propionic acid, an enteric bacterial fermentation product, has received recent
attention in regards to satiety and obesity in humans. The possibility that
propionic acid might produce internal aversive cues was investigated in two
experiments using conditioned taste avoidance and place avoidance procedures to
index the potential aversive nature of systemic treatment with propionic acid in
male rats. Experiment 1 examined the effect of systemic treatment with propionic
acid (500 mg/kg), LiCl (95 mg/kg) or vehicle (all corrected to pH 7.5) on the
formation of conditioned taste avoidance using a lickometer procedure. On 3
acquisition days three groups of rats were injected with propionic acid, LiCl or
vehicle, following 30 min access to 0.3M sucrose solution. Both the Propionic
acid group and the LiCl group evidenced a conditioned taste avoidance by the end
of the acquisition period. During a drug free extinction phase the Propionic acid
group showed extinction of the taste avoidance whereas the LiCl group did not.
Experiment 2 involved place preference conditioning with propionic acid treatment
associated with one novel context and vehicle with a different novel context on 6
conditioning trials for each type of injection. Place avoidance was assessed on
two drug free extinction trials. Multi-variable assessment of the unconditioned
(Acquisition Trials) and conditioned effects (Extinction Trials) of propionic
acid on locomotor activity was quantified as was chamber choice time on the
extinction trials. Propionic acid induced a significant place avoidance and
significantly reduced locomotor activity on some acquisition trials. During the
extinction trials rats exhibited enhanced locomotor activity levels in the
propionic acid associated chamber, likely due to the conditioned aversive nature
of this chamber.
PMID- 22085876
TI - Muscarinic receptor/G-protein coupling is reduced in the dorsomedial striatum of
cognitively impaired aged rats.
AB - Behavioral flexibility, the ability to modify responses due to changing task
demands, is detrimentally affected by aging with a shift towards increased
cognitive rigidity. The neurobiological basis of this cognitive deficit is not
clear although striatal cholinergic neurotransmission has been implicated. To
investigate the possible association between striatal acetylcholine signaling
with age-related changes in behavioral flexibility, young, middle-aged, and aged
F344 X Brown Norway F1 rats were assessed using an attentional set-shifting task
that includes two tests of behavioral flexibility: reversal learning and an extra
dimensional shift. Rats were also assessed in the Morris water maze to compare
potential fronto-striatal-dependent deficits with hippocampal-dependent deficits.
Behaviorally characterized rats were then assessed for acetylcholine muscarinic
signaling within the striatum using oxotremorine-M-stimulated [(35)S]GTPgammaS
binding and [(3)H]AFDX-384 receptor binding autoradiography. The results showed
that by old age, cognitive deficits were pronounced across cognitive domains,
suggesting deterioration of both hippocampal and fronto-striatal regions. A
significant decline in oxotremorine-M-stimulated [(35)S]GTPgammaS binding was
limited to the dorsomedial striatum of aged rats when compared to young and
middle-aged rats. There was no effect of age on striatal [(3)H]AFDX-384 receptor
binding. These results suggest that a decrease in M2/M4 muscarinic receptor
coupling is involved in the age-associated decline in behavioral flexibility.
PMID- 22085878
TI - Consequences of early life MK-801 administration: long-term behavioural effects
and relevance to schizophrenia research.
AB - Animal models contribute significantly to advancing the understanding of
schizophrenia neurobiology, in addition to being an important tool for the
screening of antipsychotic potential of new compounds. However, the entire
spectrum or all the symptoms manifested in schizophrenia cannot be
straightforwardly reproduced in animals due to the complexity of the disorder,
difference in mental capacities and behaviours, and the ability to quantify or
measure the changes. Blockade of the NMDA receptor by the use of MK-801, a non
competitive NMDA receptor antagonist, during the early postnatal period has been
proposed to be an experimental model which induces behavioural changes that mimic
several aspects of the disorder. The long term behavioural profile arising from
this early life manipulation is reviewed herein, with a specific focus on
behaviours relevant to a schizophrenia-like condition. Some of the reported
neurochemical changes are also compiled. Although this method may be suitable to
model some aspects of schizophrenia in rodents, there are unmet areas which need
to be addressed, notably the characterisation of its predictive value.
PMID- 22085879
TI - Neuropeptide Trefoil factor 3 improves learning and retention of novel object
recognition memory in mice.
AB - Accumulating evidence has implicated neuropeptides in modulating recognition,
learning and memory. However, to date, no study has investigated the effects of
neuropeptide Trefoil factor 3 (TFF3) on the process of learning and memory. In
the present study, we evaluated the acute effects of TFF3 administration (0.1 and
0.5mg/kg, i.p.) on the acquisition and retention of object recognition memory in
mice. We found that TFF3 administration significantly enhanced both short-term
and long-term memory during the retention test, conducted 90 min and 24h after
training respectively. Remarkably, acute TFF3 administration transformed a
learning event that would not normally result in long-term memory into an event
retained for a long-term period and produced no effect on locomotor activity in
mice. In conclusion, the present results provide an important role of TFF3 in
improving object recognition memory and reserving it for a longer time, which
suggests a potential therapeutic application for diseases with recognition and
memory impairment.
PMID- 22085880
TI - Synaptic transmission changes in fear memory circuits underlie key features of an
animal model of schizophrenia.
AB - Non-competitive antagonists of the N-methyl-d-aspartate receptor (NMDA) such as
phencyclidine (PCP) elicit schizophrenia-like symptoms in healthy individuals.
Similarly, PCP dosing in rats produces typical behavioral phenotypes that mimic
human schizophrenia symptoms. Although schizophrenic behavioral phenotypes of the
PCP model have been extensively studied, the underlying alterations of intrinsic
neuronal properties and synaptic transmission in relevant limbic brain
microcircuits remain elusive. Acute brain slice electrophysiology and
immunostaining of inhibitory neurons were used to identify neuronal circuit
alterations of the amygdala and hippocampus associated with changes in extinction
of fear learning in rats following PCP treatment. Subchronic PCP application led
to impaired long-term potentiation (LTP) and marked increases in the ratio of
NMDA to 2-amino-3(5-methyl-3-oxo-1,2-oxazol-4-yl)propionic acid (AMPA) receptor
mediated currents at lateral amygdala (LA) principal neurons without alterations
in parvalbumin (PV) as well as non-PV, glutamic acid decarboxylase 67 (GAD 67)
immunopositive neurons. In addition, LTP was impaired at the Schaffer collateral
to CA1 hippocampal pathway coincident with a reduction in colocalized PV and
GAD67 immunopositive neurons in the CA3 hippocampal area. These effects occurred
without changes in spontaneous events or intrinsic membrane properties of
principal cells in the LA. The impairment of LTP at both amygdalar and
hippocampal microcircuits, which play a key role in processing relevant survival
information such as fear and extinction memory concurred with a disruption of
extinction learning of fear conditioned responses. Our results show that
subchronic PCP administration in rats impairs synaptic functioning in the
amygdala and hippocampus as well as processing of fear-related memories.
PMID- 22085881
TI - Impaired egocentric memory and reduced somatosensory cortex size in temporal lobe
epilepsy with hippocampal sclerosis.
AB - Recent research indicates that longstanding temporal lobe epilepsy (TLE) is
associated with extratemporal, i.e. parietal cortex damage. We investigated
egocentric and allocentric memory by use of first-person large-scale virtual
reality environments in patients with TLE. We expected that TLE patients with
parietal cortex damage were impaired in the egocentric memory task. Twenty-two
TLE patients with hippocampal sclerosis (HS) and 22 TLE patients without HS were
compared with 42 healthy matched controls on two virtual reality tasks affording
to learn a virtual park (allocentric memory) and a virtual maze (egocentric
memory). Participants further received a neuropsychological investigation and MRI
volumetry at the time of the assessment. When compared with controls, TLE
patients with HS had significantly reduced size of the ipsilateral and
contralateral somatosensory cortex (postcentral gyrus). When compared with
controls or TLE patients without HS, TLE patients with HS were severely impaired
learning the virtual maze. Considering all participants, smaller volumes of the
left-sided postcentral gyrus were related to worse performance on the virtual
maze. It is concluded that the paradigm of egocentric navigation and learning in
first-person large-scale virtual environments may be a suitable tool to indicate
significant extratemporal damage in individuals with TLE.
PMID- 22085882
TI - 17beta-estradiol replacement in young, adult and middle-aged female
ovariectomized rats promotes improvement of spatial reference memory and an
antidepressant effect and alters monoamines and BDNF levels in memory- and
depression-related brain areas.
AB - Clinical and experimental evidence suggest that estrogens have a major impact on
cognition, presenting neurotrophic and neuroprotective actions in regions
involved in such function. In opposite, some studies indicate that certain
hormone therapy regimens may provoke detrimental effects over female cognitive
and neurological function. Therefore, we decided to investigate how estrogen
treatment would influence cognition and depression in different ages. For that
matter, this study assessed the effects of chronic 17beta-estradiol treatment
over cognition and depressive-like behaviors of young (3 months old), adult (7
months old) and middle-aged (12 months old) reproductive female Wistar rats.
These functions were also correlated with alterations in the serotonergic system,
as well as hippocampal BDNF. 17beta-Estradiol treatment did not influence
animals' locomotor activity and exploratory behavior, but it was able to improve
the performance of adult and middle-aged rats in the Morris water maze, the
latter being more responsive to the treatment. Young and adult rats displayed
decreased immobility time in the forced swimming test, suggesting an effect of
17beta-estradiol also over such depressive-like behavior. This same test revealed
increased swimming behavior, triggered by serotonergic pathway, in adult rats.
Neurochemical evaluations indicated that 17beta-estradiol treatment was able to
increase serotonin turnover rate in the hippocampus of adult rats. Interestingly,
estrogen treatment increased BDNF levels from animals of all ages. These findings
support the notion that the beneficial effects of 17beta-estradiol over spatial
reference memory and depressive-like behavior are evident only when hormone
therapy occurs at early ages and early stages of hormonal decline.
PMID- 22085883
TI - Coping in an intermittent swim stress paradigm compromises natural killer cell
activity in rats.
AB - The effects of intermittent swim stress and stressor controllability on natural
killer cell activity (NKCA) was examined. Significant decreases in splenic NKCA
were observed immediately post-stress, but only when the stress was controllable.
Although decreased NKCA was also observed in yoked rats subjected to the same
stressor, it failed to attain statistical significance. Previous results suggest
these effects are not due to corticosterone. The results suggest a cost of coping
on the acute, in vitro immune measure of NKCA.
PMID- 22085884
TI - What do we know about aging and spatial cognition? Reviews and perspectives.
AB - In order to cope with normal cognitive aging we must understand the patterns and
neurofunctional underpinnings of cognitive and behavioral changes throughout
adulthood. In this review, we summarize recent advances in our understanding of
age-related behavioral differences and changes in brain structure throughout the
spatial domain. Although spatial cognition is critically important to everyday
life, few studies have examined the relationship between this cognitive function
and neural changes in the aged brain. Thus, spatial cognition is considered a key
area in which the cognitive neuroscience of aging may expand in the near future.
The first section of this review examines the methodologies and studies used to
assess differences in spatial cognition during normal cognitive aging in animals
and humans. We then relate how each domain of spatial cognition (e.g.,
visuospatial perception, mental imagery, memory and navigation) is affected by
the aging process, and discuss possible links with changes in neural mechanisms.
Lastly, we address putative links among the age-related deterioration patterns of
the various spatial domains and make suggestions for future research.
PMID- 22085886
TI - Effects of the commensurability and disorder on friction for the system Xe/Cu.
AB - We present molecular dynamics simulations of static friction for a monolayer of
Xe deposited on a thick slab of Cu for two different geometries. The interaction
potential between Xe and Cu has been derived from DFT calculations. The first
geometry is the commensurate adsorption geometry (?3 * ?3 suggested by LEED,
corresponding to a coverage 1/3, where all Xe atoms are on top positions. The
second one corresponds to a coverage 0.36 and is characterized by a large surface
unit cell, containing 9 Xe atoms in different disordered positions. This large
unit cell mimics an incommensurate case. Our analysis points out the effect of
the order/disorder in tribological properties of a realistic three-dimensional
system.
PMID- 22085885
TI - Age effect on myocellular remodeling: response to exercise and nutrition in
humans.
AB - Aging is associated with decline in muscle mass and muscle functions. Muscle
strength declines disproportionate to the decline in muscle mass indicating that
muscle quality or protein quality also declines with age. Human studies have
shown a progressive decline in muscle protein synthesis including proteins in the
contractile apparatus and mitochondria with age. However, the decline in muscle
protein synthesis is disproportionate to the decline in muscle mass that occurs
with age prompting to hypothesize that muscle protein degradation also declines
with age. A decline in mitochondrial capacity to synthesize ATP is likely a
limiting factor of both synthesis and degradation, which are ATP dependent
processes. In support of the above hypothesis, several studies have shown a
decline in whole body protein turnover (synthesis and degradation). The timely
and efficient degradation of irreversibly damaged or modified proteins is
critical to maintain the quality of protein. It is proposed that a failure to
degrade the damaged proteins and replacing them with newly synthesized proteins
contribute to age related decline in muscle mass and quality of muscle proteins.
The underlying molecular mechanism of these age related changes in human muscle
needs further investigation.
PMID- 22085887
TI - Effects of genome-wide copy number variation on expression in mammalian cells.
AB - BACKGROUND: There is only a limited understanding of the relation between copy
number and expression for mammalian genes. We fine mapped cis and trans
regulatory loci due to copy number change for essentially all genes using a human
hamster radiation hybrid (RH) panel. These loci are called copy number expression
quantitative trait loci (ceQTLs). RESULTS: Unexpected findings from a previous
study of a mouse-hamster RH panel were replicated. These findings included
decreased expression as a result of increased copy number for 30% of genes and an
attenuated relationship between expression and copy number on the X chromosome
suggesting an Xist independent form of dosage compensation. In a separate
glioblastoma dataset, we found conservation of genes in which dosage was
negatively correlated with gene expression. These genes were enriched in
signaling and receptor activities. The observation of attenuated X-linked gene
expression in response to increased gene number was also replicated in the
glioblastoma dataset. Of 523 gene deserts of size > 600 kb in the human RH panel,
325 contained trans ceQTLs with -log10 P > 4.1. Recently discovered genes, ultra
conserved regions, noncoding RNAs and microRNAs explained only a small fraction
of the results, suggesting a substantial portion of gene deserts harbor as yet
unidentified functional elements. CONCLUSION: Radiation hybrids are a useful tool
for high resolution mapping of cis and trans loci capable of affecting gene
expression due to copy number change. Analysis of two independent radiation
hybrid panels show agreement in their findings and may serve as a discovery
source for novel regulatory loci in noncoding regions of the genome.
PMID- 22085888
TI - EVP-6124, a novel and selective alpha7 nicotinic acetylcholine receptor partial
agonist, improves memory performance by potentiating the acetylcholine response
of alpha7 nicotinic acetylcholine receptors.
AB - EVP-6124, (R)-7-chloro-N-quinuclidin-3-yl)benzo[b]thiophene-2-carboxamide, is a
novel partial agonist of alpha7 neuronal nicotinic acetylcholine receptors
(nAChRs) that was evaluated here in vitro and in vivo. In binding and functional
experiments, EVP-6124 showed selectivity for alpha7 nAChRs and did not activate
or inhibit heteromeric alpha4beta2 nAChRs. EVP-6124 had good brain penetration
and an adequate exposure time. EVP-6124 (0.3 mg/kg, p.o.) significantly restored
memory function in scopolamine-treated rats (0.1 mg/kg, i.p.) in an object
recognition task (ORT). Although donepezil at 0.1 mg/kg, p.o. or EVP-6124 at 0.03
mg/kg, p.o. did not improve memory in this task, co-administration of these sub
efficacious doses fully restored memory. In a natural forgetting test, an ORT
with a 24 h retention time, EVP-6124 improved memory at 0.3 mg/kg, p.o. This
improvement was blocked by the selective alpha7 nAChR antagonist
methyllycaconitine (0.3 mg/kg, i.p. or 10 MUg, i.c.v.). In co-application
experiments of EVP-6124 with acetylcholine, sustained exposure to EVP-6124 in
functional investigations in oocytes caused desensitization at concentrations
greater than 3 nM, while lower concentrations (0.3-1 nM) caused an increase in
the acetylcholine-evoked response. These actions were interpreted as representing
a co-agonist activity of EVP-6124 with acetylcholine on alpha7 nAChRs. The
concentrations of EVP-6124 that resulted in physiological potentiation were
consistent with the free drug concentrations in brain that improved memory
performance in the ORT. These data suggest that the selective partial agonist EVP
6124 improves memory performance by potentiating the acetylcholine response of
alpha7 nAChRs and support new therapeutic strategies for the treatment of
cognitive impairment. This article is part of a Special Issue entitled 'Post
Traumatic Stress Disorder'.
PMID- 22085889
TI - Efficacy and safety of a tight glucose control protocol in critically ill term
neonates.
AB - BACKGROUND: A large single-center randomized trial showed that treating
hyperglycemia in critically ill children improved outcome, despite an increased
incidence of hypoglycemia, especially in infants. OBJECTIVES: We evaluated the
efficacy and incidence of hypoglycemia using a tight glucose protocol in
critically ill term neonates. METHODS: Term hyperglycemic (>8 mmol.l(-1); >144
mg.dl(-1)) neonates treated with a tight glucose protocol during a 3.5-year
period in a tertiary pediatric intensive care unit were retrospectively analyzed.
RESULTS: Seventy-three term hyperglycemic neonates [age 0 days (0-6), weight 3.2
+/- 0.8 kg, PRISM 16 (11-20)] were included for analysis. Eighteen neonates died
(25%). The initial mean (range) glucose level was 11.1 mmol.l(-1) [9.6-15.2; 200
mg.dl(-1) (173-274)], and normoglycemia (<8 mmol.l(-1); <144 mg.dl(-1)) was
reached within 5.3 h (1-25) with an overall treatment duration of 27 h (10-57).
Seven hypoglycemic incidents (5 times <=2.2 mmol.l(-1); 40 mg.dl(-1), and 2 times
<1.7 mmol.l(-1); 31 mg.dl(-1)) occurred in 5 (6.7%) infants, without severe
clinical signs. Three hypoglycemic incidents were directly explained due to a
protocol violation. One hypoglycemic incident occurred with the onset of sepsis,
while no apparent cause was identified for three hypoglycemic incidents.
CONCLUSIONS: Our glucose protocol was effective, but hypoglycemia occurred more
frequently than in older children reported previously. Potential differences in
glucose and insulin metabolism in term neonates appear to justify additional
safety approaches, while awaiting further studies assessing the benefits of tight
glucose protocols in this population. Meanwhile, we have decreased the initial
insulin starting doses in our protocol.
PMID- 22085890
TI - Subterranean termite open-air foraging and tolerance to desiccation: Comparative
water relation of two sympatric Macrotermes spp. (Blattodea: Termitidae).
AB - The foraging patterns of termites are strongly related to physiological limits in
overcoming desiccation stress. In this study, we examined moisture preferences
and physiological characteristics of Macrotermes carbonarius (Hagen) and M.
gilvus (Hagen) as both exhibit conspicuous patterns of foraging activity. Despite
both species showing no significant differences in calculated cuticular
permeability, and percentage of total body water, they differed greatly in rate
of water loss and surface area to volume ratio. For example, M. carbonarius which
had a lower surface area to volume ratio (29.26-53.66) showed lower rate of water
loss and percentage of total body water loss. This also resulted in higher LT(50)
when exposed to extreme conditions (~2% RH). However, contrasting observations
were made in M. gilvus that has smaller size with higher surface area to volume
ratio of 40.28-69.75. It is likely that the standard equation for calculating
insect surface areas is inadequate for these termite species. The trend was
further supported by the result of a moisture preference bioassay that indicated
M. carbonarius had a broader range of moisture preference (between 5% and 20%)
than M. gilvus which had a relatively narrow moisture preference (only 20%).
These results explain why M. carbonarius can tolerate desiccation stress for a
longer period foraging above-ground in the open air; while M. gilvus only forages
below ground or concealed within foraging mud tubes.
PMID- 22085893
TI - Management of bedridden patients during an earthquake in Japan.
PMID- 22085895
TI - A nonparametric empirical Bayes framework for large-scale multiple testing.
AB - We propose a flexible and identifiable version of the 2-groups model, motivated
by hierarchical Bayes considerations, that features an empirical null and a
semiparametric mixture model for the nonnull cases. We use a computationally
efficient predictive recursion (PR) marginal likelihood procedure to estimate the
model parameters, even the nonparametric mixing distribution. This leads to a
nonparametric empirical Bayes testing procedure, which we call PRtest, based on
thresholding the estimated local false discovery rates. Simulations and real data
examples demonstrate that, compared to existing approaches, PRtest's careful
handling of the nonnull density can give a much better fit in the tails of the
mixture distribution which, in turn, can lead to more realistic conclusions.
PMID- 22085894
TI - Immunohistochemical expression of estrogen and progesterone receptors and
outcomes in patients with newly diagnosed uterine leiomyosarcoma.
AB - OBJECTIVE: We assessed the IHC expression of ER and PR and their prognostic
significance in uterine leiomyosarcoma (LMS). METHODS: We identified 43 "high
grade" uterine LMS cases from 7/82-7/07 for whom ER/PR IHC analysis was performed
at initial diagnosis at our institution. RESULTS: Disease was confined to the
uterine body in 20/43 (47%). Eighteen (42%) of 43 were ER(+); 17/42 (41%) were
PR(+). At last follow-up, 33 (77%) had recurred or progressed, and 23 (54%) had
died. PR expression was associated with improved progression-free survival (PFS;
P=0.002) and overall survival (OS; P=0.03) overall; ER expression was not. After
adjusting for stage, ER expression was associated with PFS (P=0.01), not OS
(P=0.3), and PR expression maintained a significant association with PFS
(P=0.002) and approached a significant association with OS (P=0.05). Neither ER
nor PR expression was associated with outcome in cases with disease outside the
uterine body. In cases with confined disease, median PFS for ER(+) or PR(+) cases
was not reached compared to 16.9 months for ER(-) cases (95% CI: 8.1-25.7;
P=0.03) and 13.5 months for PR(-) cases (95% CI: 5.9-21.1; P=0.001). Only 1/10
PR(+) cases recurred and died; 9/10 PR(-) cases recurred, and 5 died. Two of 9
ER(+) cases recurred and died; 8/11 ER(-) cases recurred, and 4 died. CONCLUSION:
ER/PR expression is associated with survival outcomes in patients with high-grade
uterine LMS confined to the uterine body. PR expression seems capable of
identifying cases confined to the uterine body, which have better outcomes.
PMID- 22085897
TI - Biomarkers in acute heart failure syndromes - are they fulfilling their promise?
PMID- 22085896
TI - ARSyN: a method for the identification and removal of systematic noise in
multifactorial time course microarray experiments.
AB - Transcriptomic profiling experiments that aim to the identification of responsive
genes in specific biological conditions are commonly set up under defined
experimental designs that try to assess the effects of factors and their
interactions on gene expression. Data from these controlled experiments, however,
may also contain sources of unwanted noise that can distort the signal under
study, affect the residuals of applied statistical models, and hamper data
analysis. Commonly, normalization methods are applied to transcriptomics data to
remove technical artifacts, but these are normally based on general assumptions
of transcript distribution and greatly ignore both the characteristics of the
experiment under consideration and the coordinative nature of gene expression. In
this paper, we propose a novel methodology, ARSyN, for the preprocessing of
microarray data that takes into account these 2 last aspects. By combining
analysis of variance (ANOVA) modeling of gene expression values and multivariate
analysis of estimated effects, the method identifies the nonstructured part of
the signal associated to the experimental factors (the noise within the signal)
and the structured variation of the ANOVA errors (the signal of the noise). By
removing these noise fractions from the original data, we create a filtered data
set that is rich in the information of interest and includes only the random
noise required for inferential analysis. In this work, we focus on multifactorial
time course microarray (MTCM) experiments with 2 factors: one quantitative such
as time or dosage and the other qualitative, as tissue, strain, or treatment.
However, the method can be used in other situations such as experiments with only
one factor or more complex designs with more than 2 factors. The filtered data
obtained after applying ARSyN can be further analyzed with the appropriate
statistical technique to obtain the biological information required. To evaluate
the performance of the filtering strategy, we have applied different statistical
approaches for MTCM analysis to several real and simulated data sets, studying
also the efficiency of these techniques. By comparing the results obtained with
the original and ARSyN filtered data and also with other filtering techniques, we
can conclude that the proposed method increases the statistical power to detect
biological signals, especially in cases where there are high levels of structural
noise. Software for ARSyN is freely available at
http://www.ua.es/personal/mj.nueda.
PMID- 22085898
TI - A comparison of ballistic and nonballistic lower-body resistance exercise and the
methods used to identify their positive lifting phases.
AB - This study compared differences between ballistic jump squat (B) and nonballistic
back squat (NB) force, velocity, power, and relative acceleration duration, and
the effect that the method used to identify the positive lifting phase had on
these parameters. Ground reaction force and barbell kinematics were recorded from
30 resistance trained men during B and NB performance with 45% 1RM. Force,
velocity, and power was averaged over positive lifting phases identified using
the traditional peak barbell displacement (PD) and positive impulse method. No
significant differences were found between B and NB mean force, and mean power,
but B mean velocity was 14% greater than the NB equivalent. Positive impulse mean
force was 24% greater than PD mean force, and B relative acceleration duration
was 8.6% greater than the NB equivalent when PD was used to identify the end of
the positive lifting phase. These results challenge common perceptions of B
superiority for power development.
PMID- 22085899
TI - UGT1A1 is a major locus influencing bilirubin levels in African Americans.
AB - Total serum bilirubin is associated with several clinical outcomes, including
cardiovascular disease, diabetes and drug metabolism. We conducted a genome-wide
association study in 619 healthy unrelated African Americans in an attempt to
replicate reported findings in Europeans and Asians and to identify novel loci
influencing total serum bilirubin levels. We analyzed a dense panel of over two
million genotyped and imputed SNPs in additive genetic models adjusting for age,
sex, and the first two significant principal components from the sample
covariance matrix of genotypes. Thirty-nine SNPs spanning a 78 kb region within
the UGT1A1 displayed P-values <5 * 10(-8). The lowest P-value was 1.7 * 10(-22)
for SNP rs887829. None of SNPs in the UGT1A1 remained statistically significant
in conditional association analyses that adjusted for rs887829. In addition, SNP
rs10929302 located in phenobarbital response enhancer module was significantly
associated with bilirubin level with a P-value of 1.37 * 10(-11); this enhancer
module is believed to have a critical role in phenobarbital treatment of
hyperbilirubinemia. Interestingly, the lead SNP, rs887829, is in strong linkage
disequilibrium (LD) (r(2)>=0.74) with rs10929302. Taking advantage of the lower
LD and shorter haplotypes in African-ancestry populations, we identified rs887829
as a more refined proxy for the causative variant influencing bilirubin levels.
Also, we replicated the reported association between variants in SEMA3C and
bilirubin levels. In summary, UGT1A1 is a major locus influencing bilirubin
levels and the results of this study promise to contribute to understanding of
the etiology and treatment of hyperbilirubinaemia in African-ancestry
populations.
PMID- 22085900
TI - Severe intellectual disability and autistic features associated with
microduplication 2q23.1.
AB - We report on two patients with developmental delay, hypotonia, and autistic
features associated with duplications of chromosome region 2q23.1-2q23.2 detected
by chromosome microarray analysis. The duplications include one OMIM Morbid Map
gene, MBD5, as well as seven known RefSeq genes (ACVR2A, ORC4L, EPC2, KIF5C,
MIR1978, LYPD6B, and LYPD6). MBD5 lies in the minimum area of overlap of the
2q23.1 microdeletion syndrome. This report provides the first detailed clinical
examination of two individuals with a duplication of this region and suggests
that brain development and cognitive function may be affected by an increased
dosage of the genes involved.
PMID- 22085901
TI - Neolithic patrilineal signals indicate that the Armenian plateau was repopulated
by agriculturalists.
AB - Armenia, situated between the Black and Caspian Seas, lies at the junction of
Turkey, Iran, Georgia, Azerbaijan and former Mesopotamia. This geographic
position made it a potential contact zone between Eastern and Western
civilizations. In this investigation, we assess Y-chromosomal diversity in four
geographically distinct populations that represent the extent of historical
Armenia. We find a striking prominence of haplogroups previously implicated with
the Agricultural Revolution in the Near East, including the J2a-M410-, R1b1b1(*)
L23-, G2a-P15- and J1-M267-derived lineages. Given that the Last Glacial Maximum
event in the Armenian plateau occured a few millennia before the Neolithic era,
we envision a scenario in which its repopulation was achieved mainly by the
arrival of farmers from the Fertile Crescent temporally coincident with the
initial inception of farming in Greece. However, we detect very restricted
genetic affinities with Europe that suggest any later cultural diffusions from
Armenia to Europe were not associated with substantial amounts of paternal gene
flow, despite the presence of closely related Indo-European languages in both
Armenia and Southeast Europe.
PMID- 22085902
TI - Determination of the real effect of genes identified in GWAS: the example of
IL2RA in multiple sclerosis.
AB - Genome-wide association studies (GWAS), although efficient to detect genes
involved in complex diseases, are not designed to measure the real effect of the
genes. This is illustrated here by the example of IL2RA in multiple sclerosis
(MS). Association between IL2RA and MS is clearly established, although the
functional variation is still unknown: the effect of IL2RA might be better
described by several SNPs than by a single one. This study investigates whether a
pair of SNPs better explains the observed linkage and association data than a
single SNP. In total, 522 trio families and 244 affected sib-pairs were typed for
26 IL2RA SNPs. For each SNP and pairs of SNPs, the phased genotypes of patients
and controls were compared to determine the SNP set offering the best risk
discrimination. Consistency between the genotype risks provided by the retained
set and the identical by descent allele sharing in affected sib-pairs was
assessed. After controlling for multiple testing, the set of SNPs rs2256774 and
rs3118470, provides the best discrimination between the case and control genotype
distributions (P-corrected=0.009). The relative risk between the least and most
at-risk genotypes is 3.54 with a 95% confidence interval of [2.14-5.94].
Furthermore, the linkage information provided by the allele sharing between
affected sibs is consistent with the retained set (P=0.80) but rejects the SNP
reported in the literature (P=0.006). Establishing a valid modeling of a disease
gene is essential to test its potential interaction with other genes and to
reconstruct the pathophysiological pathways.
PMID- 22085903
TI - Right ventricular dysfunction in patients with Brugada-like electrocardiography:
a two dimensional strain imaging study.
AB - BACKGROUND: Sodium channel blockers augment ST-segment elevation in the right
precordial leads in patients undergoing Brugada-type electrocardiography (ECG).
However, their effect on echocardiographic features is not known. We address this
by assessing global and regional ventricular function using conventional Doppler
and two- dimensional (2D) speckle tracking techniques. METHODS: Thirty-one
patients with Brugada-type ECG were studied. A pure sodium channel blocker,
pilsicainide, was used to provoke an ECG response. The percentage longitudinal
systolic myocardial strain at the base of both the right ventricular (RV) free
wall and the interventricular septum wall was measured using 2D speckle tracking.
Left ventricular (LV) and RV myocardial performance (TEI) indices were also
measured. RESULTS: The pilsicainide challenge provoked a positive ECG response in
13 patients (inducible group). In the inducible group, longitudinal strain was
significantly reduced only at the RV (-27.3 +/- 5.4% vs -22.1 +/- 3.6%, P <
0.01), and both RV and LV TEI indices increased (RV: 0.19 +/- 0.09 vs 0.27 +/-
0.11, P < 0.05; LV: 0.30 +/- 0.10 vs 0.45 +/- 0.10, P < 0.01) after pilsicainide
administration. CONCLUSIONS: Temporal and spatial analysis using the TEI index
and 2D strain imaging revealed the deterioration of global ventricular function
associated with conduction disturbance and RV regional function in patients with
Brugada-type ECG and coved type ST elevation due to administration of a sodium
channel blocker.
PMID- 22085905
TI - Comparison of human cardiac gene expression profiles in paired samples of right
atrium and left ventricle collected in vivo.
AB - Studies of expressed genes in human heart provide insight into both physiological
and pathophysiological mechanisms. This is of importance for extended
understanding of cardiac function as well as development of new therapeutic
drugs. Heart tissue for gene expression studies is generally hard to obtain,
particularly from the ventricles. Since different parts of the heart have
different functions, expression profiles should likely differ between these
parts. The aim of the study was therefore to compare the global gene expression
in cardiac tissue from the more accessible auricula of the right atrium to
expression in tissue from the left ventricle. Tissue samples were collected from
five men undergoing aortic valve replacement or coronary artery bypass grafting.
Global gene expression analysis identified 542 genes as differentially expressed
between the samples extracted from these two locations, corresponding to ~2% of
the genes covered by the microarray; 416 genes were identified as abundantly
expressed in right atrium, and 126 genes were abundantly expressed in left
ventricle. Further analysis of the differentially expressed genes according to
available annotations, information from curated pathways and known protein
interactions, showed that genes with higher expression in the ventricle were
mainly associated with contractile work of the heart. Transcription in biopsies
from the auricula of the right atrium on the other hand indicated a wider area of
functions, including immunity and defense. In conclusion, our results suggest
that biopsies from the auricula of the right atrium may be suitable for various
genetic studies, but not studies directly related to muscle work.
PMID- 22085904
TI - Mammary gland morphological and gene expression changes underlying pregnancy
protection of breast cancer tumorigenesis.
AB - A full-term pregnancy early in life reduces lifetime risk of developing breast
cancer, and the effect can be mimicked in rodents by full-term pregnancy or short
term treatment with exogenous estrogen and progesterone. To gain insight into the
protective mechanism, 15 3-mo-old postpubertal virgin Lewis rats were randomly
assigned to three groups: control (C), pregnancy (P), or hormone (H). The P group
animals underwent a full-term pregnancy, and H group animals were implanted
subcutaneously with silastic capsules filled with ethynyl estradiol and
megesterol acetate for 21 days. C and P animals were implanted with sham
capsules. On day 21 capsules were removed, which was followed by a 49-day
involution period, euthanasia, and mammary tissue collection. Global gene
expression was measured using Rat Genome 230.2 Arrays. Histological analysis
revealed that P and H treatments induced sustained morphological changes in the
mammary gland with significantly increased percentages of mammary parenchyma and
stromal tissues and higher ratio of stroma to parenchyma. Transcriptome analysis
showed that P and H treatments induced sustained global changes in gene
expression in the mammary gland. Analysis of commonly up- and downregulated genes
in P and H relative to C treatment showed increased expression of three matrix
metallopeptidases (Mmp3, 8, and 12), more differentiated mammary phenotype,
enhanced innate and adaptive immunity, and reduced cell proliferation and
angiogenic signatures. The sustained morphological and global gene expression
changes in mammary tissue after pregnancy and hormone treatment may function
together to provide the protective effect against breast cancer.
PMID- 22085906
TI - Temporal changes in endometrial gene expression and protein localization of
members of the IGF family in cattle: effects of progesterone and pregnancy.
AB - In the study presented, the hypothesis that ligands of the insulin-like growth
factor (IGF) family, as well as their binding proteins (BPs), are temporally
regulated and are altered by elevated progesterone (P4) and/or pregnancy was
tested. Heifers detected in standing estrus following synchronization (n = 210,
day 0), were artificially inseminated (n = 140) or left as noninseminated cyclic
controls (n = 70). On day 3, half of each group were randomly assigned to receive
a P4-releasing intravaginal device resulting in four treatment groups, pregnant
and cyclic heifers with high and normal P4 concentrations on either day 5, 7, 13,
or 16 of the estrous cycle/early pregnancy. Quantitative real-time PCR and
immunohistochemistry were performed on endometrial homogenate and uterine cross
sections to measure transcript abundance and protein localization respectively (n
= 5 per treatment per time point). No effect of day, treatment, or their
interactions was observed for IGF2, IGFBP4, and -5 (P > 0.05). IGF1 and IGFBP6
expression decreased, while IGF1R and IGFBP2 expression increased (P < 0.05) as
the days of the cycle or pregnancy progressed. The expression of IGFBP2 was
increased by elevated P4; in addition, localization of both IGF2 and IGFBP2
protein in the luminal and superficial glandular epithelium displayed a P4 * day
interaction. In conclusion it is proposed that decreased IGF1 and IGFBP6
expression with a coordinate increase in IGF1R and IGFBP2 as the estrous
cycle/early pregnancy progresses, along with other factors, are required to
establish a uterine environment that promotes the growth and development of the
conceptus prior to implantation. In addition, the increased protein abundance of
both IGF2 and IGFBP2 observed in heifers supplemented with P4 contributes to the
enhanced conceptus elongation observed in this model.
PMID- 22085907
TI - Myocardial transcriptome analysis of human arrhythmogenic right ventricular
cardiomyopathy.
AB - Arrhythmogenic right ventricular cardiomyopathy (ARVC) is an inherited
cardiomyopathy primarily of the right ventricle characterized through fibrofatty
replacement of cardiomyocytes. The genetic etiology in ARVC patients is most
commonly caused by dominant inheritance and high genetic heterogeneity. Though
histological examinations of ARVC-affected human myocardium reveals
fibrolipomatous replacement, the molecular mechanisms leading to loss of
cardiomyocytes are largely unknown. We therefore analyzed the transcriptomes of
six ARVC hearts and compared our findings to six nonfailing donor hearts (NF). To
characterize the ARVC-specific transcriptome, we compared our findings to samples
from seven patients with idiopathic dilated cardiomyopathy (DCM). The myocardial
DCM and ARVC samples were prepared from hearts explanted during an orthotopic
heart transplantation representing myocardium from end-stage heart failure
patients (NYHA IV). From each heart, left (LV) and right ventricular (RV)
myocardial samples were analyzed by Affymetrix HG-U133 Plus 2.0 arrays, adding up
to six sample groups. Unsupervised cluster analyses of the groups revealed a
clear separation of NF and cardiomyopathy samples. However, in contrast to the
other samples, the analyses revealed no distinct expression pattern in LV and RV
of myocardial ARVC samples. We further identified differentially expressed
transcripts using t-tests and found transcripts separating diseased and NF
ventricular myocardium. Of note, in failing myocardium only ~15-16% of the genes
are commonly regulated compared with NF samples. In addition both
cardiomyopathies are clearly distinct on the transcriptome level. Comparison of
the expression patterns between the failing RV and LV using a paired t-test
revealed a lack of major differences between LV and RV gene expression in ARVC
hearts. Our study is the first analysis of specific ARVC-related RV and LV gene
expression patterns in terminal failing human hearts.
PMID- 22085908
TI - A lateral flow biosensor for detection of nucleic acids with high sensitivity and
selectivity.
AB - A lateral flow biosensor based on isothermal strand-displacement polymerase
reaction and gold nanoparticles has been developed for the visual detection of
nucleic acids with a detection limit of 0.01 fM.
PMID- 22085909
TI - The role of a disulfide bridge in the stability and folding kinetics of
Arabidopsis thaliana cytochrome c(6A).
AB - Cytochrome c(6A) is a eukaryotic member of the Class I cytochrome c family
possessing a high structural homology with photosynthetic cytochrome c(6) from
cyanobacteria, but structurally and functionally distinct through the presence of
a disulfide bond and a heme mid-point redox potential of +71mV (vs normal
hydrogen electrode). The disulfide bond is part of a loop insertion peptide that
forms a cap-like structure on top of the core alpha-helical fold. We have
investigated the contribution of the disulfide bond to thermodynamic stability
and (un)folding kinetics in cytochrome c(6A) from Arabidopsis thaliana by making
comparison with a photosynthetic cytochrome c(6) from Phormidium laminosum and
through a mutant in which the Cys residues have been replaced with Ser residues
(C67/73S). We find that the disulfide bond makes a significant contribution to
overall stability in both the ferric and ferrous heme states. Both cytochromes
c(6A) and c(6) fold rapidly at neutral pH through an on-pathway intermediate. The
unfolding rate for the C67/73S variant is significantly increased indicating that
the formation of this region occurs late in the folding pathway. We conclude that
the disulfide bridge in cytochrome c(6A) acts as a conformational restraint in
both the folding intermediate and native state of the protein and that it likely
serves a structural rather than a previously proposed catalytic role.
PMID- 22085910
TI - DPOFA, a Cl-/HCO3- exchanger antagonist, stimulates fluid absorption across
basolateral surface of the retinal pigment epithelium.
AB - BACKGROUND: Retinal detachment is a disorder of the eye in which sensory retina
separates from the retinal pigment epithelium (RPE) due to accumulation of fluid
in subretinal space. Pharmacological stimulation of fluid reabsorption from
subretinal space to choroid across the RPE has been suggested as a treatment
strategy for retinal detachment. DPOFA, (R)-(+)-(5,6-dichloro 2,3,9,9a-tetrahydro
3-oxo-9a-propyl-1H-fluoren-7-yl)oxy]acetic acid, is an abandoned drug capable of
inhibiting Cl-/HCO3- exchanger activity. We hypothesized that DPOFA may increase
fluid absorption across basolateral surface of the RPE. METHODS: Reverse
transcription polymerase chain reaction (RT-PCR) analysis of mRNA for six
different transporters that may act as Cl-/HCO3- exchangers was conducted in
bovine and human RPE to confirm that RPE from two species expresses the same
repertoire of Cl-/HCO3- exchanger isoforms. The degree of amino acid homology
between orthologous human and bovine RPE-specific isoforms was calculated after
performing protein alignments. Transport of fluid across bovine RPE-choroid
explants mounted in the Ussing chamber was used to assess the ability of DPOFA to
modulate fluid absorption across the RPE. RESULTS: Using RT-PCR we showed that
three isoforms (SLC4A2, SLC4A3, and SLC26A6) are strongly expressed in human and
bovine RPE preparations. Amino acid comparisons conducted for RPE-specific
isoforms support the use of bovine RPE-choroid explants as an adequate
experimental system for assessing fluid absorption activity for DPOFA. Our data
is consistent with the fact that DPOFA stimulates fluid absorption across the RPE
in bovine RPE-choroid explants. CONCLUSIONS: DPOFA seems to stimulate transport
of water across the RPE in bovine RPE-choroid explants. Additional experiments
are required to establish dose-dependent effect of DPOFA on fluid absorption in
the bovine RPE-choroid experimental system.
PMID- 22085911
TI - Sex differences and synchronous development of steroid receptor coactivator-1 and
synaptic proteins in the hippocampus of postnatal female and male C57BL/6 mice.
AB - The structure and function including synaptic plasticity of the hippocampus are
deeply affected by steroids in a sex-dependant manner, these processes are
believed to be mediated by steroid receptors though their coactivators. Our
previous studies have reported the developmental profiles of steroid receptor
coactivator-1 (SRC-1) and PSD-95 in the hippocampus of postnatal female rats and
the sex-differences of SRC-1 immunoreactivities in the brain of adult mice.
However, whether there are any sex differences about postnatal development of SRC
1 and synaptic proteins in the hippocampus remain unclear. In this study, we
investigated the postnatal profile of SRC-1 and key synaptic protein
synaptophysin (SYN), PSD-95 and GluR1 in the hippocampus of female and male mice
using immunohistochemistry and Western blot. The results showed that in the
female hippocampus, the highest levels of SRC-1 were detected at P14, SYN and
GluR1 at P30 and PSD-95 at P60; while in the males, the highest levels of SRC-1,
SYN and GluR1 were detected at P30, and PSD-95 at P60. Female hippocampus tended
to have higher levels of SRC-1, SYN and GluR1 before P30 and PSD-95 before P14;
while male hippocampus have higher levels of PSD-95 at P14, P60 and GluR1 at P0.
Correlation analysis showed the profiles of SRC-1 were highly correlated with
each synaptic protein. The above results showed that in the hippocampus, except
some minor sex differences detected at some time-point examined, females and
males shared similar postnatal developmental profile and SRC-1 may be deeply
involved in the regulation of hippocampal synaptogenesis.
PMID- 22085912
TI - PhyloChipTM microarray comparison of sampling methods used for coral microbial
ecology.
AB - Interest in coral microbial ecology has been increasing steadily over the last
decade, yet standardized methods of sample collection still have not been
defined. Two methods were compared for their ability to sample coral-associated
microbial communities: tissue punches and foam swabs, the latter being less
invasive and preferred by reef managers. Four colonies of star coral, Montastraea
annularis, were sampled in the Dry Tortugas National Park (two healthy and two
with white plague disease). The PhyloChipTM G3 microarray was used to assess
microbial community structure of amplified 16S rRNA gene sequences. Samples
clustered based on methodology rather than coral colony. Punch samples from
healthy and diseased corals were distinct. All swab samples clustered closely
together with the seawater control and did not group according to the health
state of the corals. Although more microbial taxa were detected by the swab
method, there is a much larger overlap between the water control and swab samples
than punch samples, suggesting some of the additional diversity is due to
contamination from water absorbed by the swab. While swabs are useful for
noninvasive studies of the coral surface mucus layer, these results show that
they are not optimal for studies of coral disease.
PMID- 22085913
TI - Dietary sardine protein lowers insulin resistance, leptin and TNF-alpha and
beneficially affects adipose tissue oxidative stress in rats with fructose
induced metabolic syndrome.
AB - The present study aims at exploring the effects of sardine protein on insulin
resistance, plasma lipid profile, as well as oxidative and inflammatory status in
rats with fructose-induced metabolic syndrome. Rats were fed sardine protein (S)
or casein (C) diets supplemented or not with high-fructose (HF) for 2 months.
Rats fed the HF diets had greater body weight and adiposity and lower food intake
as compared to control rats. Increased plasma glucose, insulin, HbA1C,
triacylglycerols, free fatty acids and impaired glucose tolerance and insulin
resistance was observed in HF-fed rats. Moreover, a decline in adipose tissues
antioxidant status and a rise in lipid peroxidation and plasma TNF-alpha and
fibrinogen were noted. Rats fed sardine protein diets exhibited lower food intake
and fat mass than those fed casein diets. Sardine protein diets diminished plasma
insulin and insulin resistance. Plasma triacylglycerol and free fatty acids were
also lower, while those of alpha-tocopherol, taurine and calcium were enhanced as
compared to casein diets. Moreover, S-HF diet significantly decreased plasma
glucose and HbA1C. Sardine protein consumption lowered hydroperoxide levels in
perirenal and brown adipose tissues. The S-HF diet, as compared to C-HF diet
decreased epididymal hydroperoxides. Feeding sardine protein diets decreased
brown adipose tissue carbonyls and increased glutathione peroxidase activity.
Perirenal and epididymal superoxide dismutase and catalase activities and brown
catalase activity were significantly greater in S-HF group than in C-HF group.
Sardine protein diets also prevented hyperleptinemia and reduced inflammatory
status in comparison with rats fed casein diets. Taken together, these results
support the beneficial effect of sardine protein in fructose-induced metabolic
syndrome on such variables as hyperglycemia, insulin resistance, hyperlipidemia
and oxidative and inflammatory status, suggesting the possible use of sardine
protein as a protective strategy against insulin resistance and related
situations.
PMID- 22085915
TI - Switching ability of nitro-spiropyran on Au(111): electronic structure changes as
a sensitive probe during a ring-opening reaction.
AB - Spiropyran is a prototype molecular switch which undergoes a reversible ring
opening reaction by photoinduced cleavage of a C-O bond in the spiropyran (SP) to
the merocyanine (MC) isomer. While the electronic states and switching behavior
are well characterized in solution, adsorption on metal surfaces crucially
affects these properties. Using two-photon photoemission and scanning tunneling
spectroscopy, we resolve the molecular energy levels on a Au(111) surface of both
isomeric forms. Illumination at various wavelengths does not yield any observable
switching rate, thus evidencing a very small upper limit of the quantum
efficiency. Electron-induced switching from the SP to the MC isomer via
generation of a negative ion resonance can be detected with a quantum yield of
(2.2 +/- 0.2) * 10(-10) events/electron in tunneling spectroscopy. In contrast,
the back reaction could not be observed. This study reveals that the switching
properties of surface-bound molecular switches can be very different compared
with free molecules, reflecting the strong influence of the interaction with the
metal substrate.
PMID- 22085914
TI - Combination therapy for renal cell cancer: what are possible options?
AB - Antiangiogenic therapy has shown promise in the treatment of patients with renal
cell carcinoma (RCC). Two classes of antiangiogenic drugs, the anti-vascular
endothelial growth factor antibody bevacizumab and the tyrosine kinase inhibitors
sorafenib, sunitinib and pazopanib, have shown efficacy in patients with RCC and
are approved by the US Food and Drug Administration for treatment of this cancer.
In practice, the clinical benefit of antiangiogenic drugs in RCC has been
heterogeneous, and in patients who do respond, benefits are modest and/or short
lived. To improve efficacy, combination targeted therapy has been attempted, but
with either very limited additional efficacy or nontolerable toxicities. Recent
advances in the molecular understanding of tumor angiogenesis and mechanism of
resistance, along with the rapid development of targeted drug discovery, have
made it possible to further explore novel combination therapy for RCC.
PMID- 22085916
TI - Congenital parasitic infections: a review.
AB - This review defines the concepts of maternal-fetal (congenital) and vertical
transmissions (mother-to-child) of pathogens and specifies the human parasites
susceptible to be congenitally transferred. It highlights the epidemiological
features of this transmission mode for the three main congenital parasitic
infections due to Toxoplasma gondii, Trypanosoma cruzi and Plasmodium sp.
Information on the possible maternal-fetal routes of transmission, the placental
responses to infection and timing of parasite transmission are synthesized and
compared. The factors susceptible to be involved in parasite transmission and
development of congenital parasitic diseases, such as the parasite genotypes, the
maternal co-infections and parasitic load, the immunological features of pregnant
women and the capacity of some fetuses/neonates to overcome their immunological
immaturity to mount an immune response against the transmitted parasites are also
discussed and compared. Analysis of clinical data indicates that parasitic
congenital infections are often asymptomatic, whereas symptomatic newborns
generally display non-specific symptoms. The long-term consequences of congenital
infections are also mentioned, such as the imprinting of neonatal immune system
and the possible trans-generational transmission. The detection of infection in
pregnant women is mainly based on standard serological or parasitological
investigations. Amniocentesis and cordocentesis can be used for the detection of
some fetal infections. The neonatal infection can be assessed using
parasitological, molecular or immunological methods; the place of PCR in such
neonatal diagnosis is discussed. When such laboratory diagnosis is not possible
at birth or in the first weeks of life, standard serological investigations can
also be performed 8-10 months after birth, to avoid detection of maternal
transmitted antibodies. The specific aspects of treatment of T. gondii, T. cruzi
and Plasmodium congenital infections are mentioned. The possibilities of primary
and secondary prophylaxes, as well as the available WHO corresponding
recommendations are also presented.
PMID- 22085917
TI - Polysaccharides as building blocks for nanotherapeutics.
AB - The use of polysaccharides as building blocks in the development of nano-sized
drug delivery systems is rapidly growing. This can be attributed to the
outstanding virtues of polysaccharides such as biocompatibility,
biodegradability, low toxicity and low cost. In addition, the variety of
physicochemical properties and the ease of chemical modifications enable the
preparation of a wide array of nanoparticles. This tutorial review describes the
properties of common polysaccharides, the main mechanisms for polysaccharide
based-nanoparticles preparation, and provides examples from the conceptual design
towards pre-clinical and clinical applications.
PMID- 22085918
TI - Oral cancer awareness among dentists in Kuwait.
AB - OBJECTIVES: The aim of this study was to assess oral cancer awareness among
dentists in Kuwait. SUBJECTS AND METHODS: A cross-sectional survey was conducted
among 200 dentists working at the Ministry of Health Dental Centers and Kuwait
University Dental Center using a structured questionnaire. Dentists' knowledge
about risk factors of oral cancer and about diagnostic concepts, current
practices and opinions, preferred point of referral as well as interest in
continuing education were assessed and the responses were analyzed. RESULTS: Of
the 200 dentists surveyed, 153 responded (76.5% response rate). The mean
knowledge score of the respondents was 20.6 +/- 4.0 out of a total score of 30.
Thirty-five (22.9%) dentists had consistently high knowledge scores for both risk
factors and diagnostic concepts. Of the 153 dentists, 132 (86.3%) were interested
in obtaining further information about oral cancer. CONCLUSION: This study
highlighted the need for improved knowledge and education of dental practitioners
on oral cancer.
PMID- 22085919
TI - Bioactive glass-based scaffolds for bone tissue engineering.
AB - Originally developed to fill and restore bone defects, bioactive glasses are
currently also being intensively investigated for bone tissue engineering
applications. In this chapter, we review and discuss current knowledge on porous
bone tissue engineering scaffolds made from bioactive silicate glasses. A brief
historical review and the fundamental requirements in the field of bone tissue
engineering scaffolds will be presented, followed by a detailed overview of
recent developments in bioactive glass-based scaffolds. In addition, the effects
of ionic dissolution products of bioactive glasses on osteogenesis and angiogenic
properties of scaffolds are briefly addressed. Finally, promising areas of future
research and requirements for the advancement of the field are highlighted and
discussed.
PMID- 22085920
TI - Advances in biogas technology.
AB - Biogas technology has been practiced for over a century and is widely used in
full-scale facilities in China. However, there are still many technological and
economic barriers to be overcomed in its applications. Recent advances and multi
disciplinary cooperations in microbiology, biochemistry, and engineering science
are bringing new promises of a better understanding and control of the anaerobic
digestion processes, and thus a renaissance of this technology. In particular,
great progress in biogas technology has been achieved in China in the approach to
larger-scale and more widespread applications. This chapter overviews the recent
advances in biogas technology in China, evaluates the current challenges, and
discusses the emerging technologies and future perspectives.
PMID- 22085921
TI - Biodiesel from conventional feedstocks.
AB - At present, traditional fossil fuels are used predominantly in China, presenting
the country with challenges that include sustainable energy supply, energy
efficiency improvement, and reduction of greenhouse gas emissions. In 2007, China
issued The Strategic Plan of the Mid-and-Long Term Development of Renewable
Energy, which aims to increase the share of clean energy in the country's energy
consumption to 15% by 2020 from only 7.5% in 2005. Biodiesel, an important
renewable fuel with significant advantages over fossil diesel, has attracted
great attention in the USA and European countries. However, biodiesel is still in
its infancy in China, although its future is promising. This chapter reviews
biodiesel production from conventional feedstocks in the country, including
feedstock supply and state of the art technologies for the transesterification
reaction through which biodiesel is made, particularly the enzymatic catalytic
process developed by Chinese scientists. Finally, the constraints and
perspectives for China's biodiesel development are highlighted.
PMID- 22085922
TI - Adaptive changes in renal mitochondrial redox status in diabetic nephropathy.
AB - Nephropathy is a serious and common complication of diabetes. In the
streptozotocin (STZ)-treated rat model of diabetes, nephropathy does not
typically develop until 30 to 45days post-injection, although hyperglycemia
occurs within 24h. We tested the hypothesis that chronic hyperglycemia results in
a modest degree of oxidative stress that is accompanied by compensatory changes
in certain antioxidants and mitochondrial redox status. We propose that as
kidneys progress to a state of diabetic nephropathy, further adaptations occur in
mitochondrial redox status. Basic parameters of renal function in vivo and
several parameters of mitochondrial function and glutathione (GSH) and redox
status in isolated renal cortical mitochondria from STZ-treated and age-matched
control rats were examined at 30days and 90days post-injection. While there was
no effect of diabetes on blood urea nitrogen, measurement of other, more
sensitive parameters, such as urinary albumin and protein, and histopathology
showed significant and progressive worsening in diabetic rats. Thus, renal
function is compromised even prior to the onset of frank nephropathy. Changes in
mitochondrial respiration and enzyme activities indicated existence of a
hypermetabolic state. Higher mitochondrial GSH content and rates of GSH transport
into mitochondria in kidneys from diabetic rats were only partially due to
changes in expression of mitochondrial GSH carriers and were mostly due to higher
substrate supply. Although there are few clear indicators of oxidative stress,
there are several redox changes that occur early and change further as
nephropathy progresses, highlighting the complexity of the disease.
PMID- 22085923
TI - Postoperative analysis of patients who received the Universal 2 total wrist
implant system.
AB - Third-generation total wrist arthroplasty devices have provided joint stability,
relief from pain and increased wrist motion for patients suffering from severe
arthritis. While reports of clinical follow-up appointments describe improved
wrist function, the improvement in overall upper extremity function and patient
perception remains a question. Therefore, the purpose of this study was to assess
the upper extremity function in patients that received the Universal 2 total
implant system. Eight patients participated in the complete protocol, which
included testing activities of daily living as well as surveys to assess patient
perception. The findings of the current study suggest that although patients
exhibit motion that exceeds the needed amount, many still have a perceived
disability.
PMID- 22085924
TI - Culturing INS-1 cells on CDPGYIGSR-, RGD- and fibronectin surfaces improves
insulin secretion and cell proliferation.
AB - Rat insulinoma cells (INS-1), an immortalized pancreatic beta cell line, were
cultured on low-fouling carboxymethyl-dextran (CMD) layers bearing fibronectin,
the tripeptide Arg-Gly-Asp (RGD) or CDPGYIGSR, a laminin nonapeptide. INS-1 cells
were non-adherent on CMD and RGE but adhered to fibronectin- and peptide-coated
CMD surfaces and to tissue culture polystyrene (TCPS). On CMD bearing fibronectin
and the peptides, INS-1 cells showed higher glucose-stimulated insulin secretion
compared to those on TCPS, bare CMD and RGE. INS-1 cells experienced a net cell
growth, with the lowest found after 7 days on CMD and the highest on fibronectin.
Similarly, cells on RGD and CDPGYIGSR showed lower net growth rates than those on
fibronectin. Expression of E-cadherin and integrins alphavbeta3 and alpha5 were
similar between the conditions, except for alpha5 expression on fibronectin, RGD
and CDPGYIGSR. Larger numbers of Ki-67-positive cells were found on CDPGYIGSR,
TCPS, fibronectin and RGD. Cells in all conditions expressed Pdx1.
PMID- 22085925
TI - Remineralization of artificial dentinal caries lesions by biomimetically modified
mineral trioxide aggregate.
AB - Fluoride-releasing restorative materials are available for remineralization of
enamel and root caries. However, remineralization of dentin is more difficult
than remineralization of enamel due to the paucity of apatite seed crystallites
along the lesion surface for heterogeneous crystal growth. Extracellular matrix
proteins play critical roles in controlling apatite nucleation/growth in
collagenous tissues. This study examined the remineralization efficacy of mineral
trioxide aggregate (MTA) in phosphate-containing simulated body fluid (SBF) by
incorporating polyacrylic acid and sodium tripolyphosphate as biomimetic analogs
of matrix proteins for remineralizing caries-like dentin. Artificial caries-like
dentin lesions incubated in SBF were remineralized over a 6 week period using MTA
alone or MTA containing biomimetic analogs in the absence or presence of dentin
adhesive application. Lesion depths and integrated mineral loss were monitored
with microcomputed tomography. The ultrastructure of baseline and remineralized
lesions was examined by transmission electron microscopy. Dentin remineralization
was best achieved using MTA containing biomimetic analogs regardless of whether
an adhesive was applied; dentinal tubules within the remineralized dentin were
occluded by apatite. It is concluded that the version of MTA employed in this
study may be doped with biomimetic analogs for remineralization of unbonded and
bonded artificial caries-like lesions in the presence of SBF.
PMID- 22085926
TI - Wnt1/betacatenin injury response activates the epicardium and cardiac fibroblasts
to promote cardiac repair.
AB - Wnts are required for cardiogenesis but the role of specific Wnts in cardiac
repair remains unknown. In this report, we show that a dynamic Wnt1/betacatenin
injury response activates the epicardium and cardiac fibroblasts to promote
cardiac repair. Acute ischaemic cardiac injury upregulates Wnt1 that is initially
expressed in the epicardium and subsequently by cardiac fibroblasts in the region
of injury. Following cardiac injury, the epicardium is activated organ-wide in a
Wnt-dependent manner, expands, undergoes epithelial-mesenchymal transition (EMT)
to generate cardiac fibroblasts, which localize in the subepicardial space. The
injured regions in the heart are Wnt responsive as well and Wnt1 induces cardiac
fibroblasts to proliferate and express pro-fibrotic genes. Disruption of
downstream Wnt signalling in epicardial cells decreases epicardial expansion, EMT
and leads to impaired cardiac function and ventricular dilatation after cardiac
injury. Furthermore, disruption of Wnt/betacatenin signalling in cardiac
fibroblasts impairs wound healing and decreases cardiac performance as well.
These findings reveal that a pro-fibrotic Wnt1/betacatenin injury response is
critically required for preserving cardiac function after acute ischaemic cardiac
injury.
PMID- 22085928
TI - Regulation of p53 stability and function by the deubiquitinating enzyme USP42.
AB - The p53 tumour suppressor protein is a transcription factor that prevents
oncogenic progression by activating the expression of apoptosis and cell-cycle
arrest genes in stressed cells. The stability of p53 is tightly regulated by
ubiquitin-dependent degradation, driven mainly by the ubiquitin ligase MDM2. In
this study, we have identified USP42 as a DUB that interacts with and
deubiquitinates p53. USP42 forms a direct complex with p53 and controls level of
ubiquitination during the early phase of the response to a range of stress
signals. Although we do not find a clear role for USP42 in controlling either the
basal or fully activated levels of p53, the function of USP42 is required to
allow the rapid activation of p53-dependent transcription and a p53-dependent
cell-cycle arrest in response to stress. These functions of USP42 are likely to
contribute to the repair and recovery of cells from mild or transient damage.
PMID- 22085929
TI - MYBPH, a transcriptional target of TTF-1, inhibits ROCK1, and reduces cell
motility and metastasis.
AB - Cell migration driven by actomyosin filament assembly is a critical step in
tumour invasion and metastasis. Herein, we report identification of myosin
binding protein H (MYBPH) as a transcriptional target of TTF-1 (also known as
NKX2-1 and TITF1), a master regulator of lung development that also plays a role
as a lineage-survival oncogene in lung adenocarcinoma development. MYBPH
inhibited assembly competence-conferring phosphorylation of the myosin regulatory
light chain (RLC) as well as activating phosphorylation of LIM domain kinase
(LIMK), unexpectedly through its direct physical interaction with Rho kinase 1
(ROCK1) rather than with RLC. Consequently, MYBPH inhibited ROCK1 and negatively
regulated actomyosin organization, which in turn reduced single cell motility and
increased collective cell migration, resulting in decreased cancer invasion and
metastasis. Finally, we also show that MYBPH is epigenetically inactivated by
promoter DNA methylation in a fraction of TTF-1-positive lung adenocarcinomas,
which appears to be in accordance with its deleterious functions in lung
adenocarcinoma invasion and metastasis, as well as with the paradoxical
association of TTF-1 expression with favourable prognosis in lung adenocarcinoma
patients.
PMID- 22085927
TI - Human tRNA genes function as chromatin insulators.
AB - Insulators help separate active chromatin domains from silenced ones. In yeast,
gene promoters act as insulators to block the spread of Sir and HP1 mediated
silencing while in metazoans most insulators are multipartite autonomous
entities. tDNAs are repetitive sequences dispersed throughout the human genome
and we now show that some of these tDNAs can function as insulators in human
cells. Using computational methods, we identified putative human tDNA insulators.
Using silencer blocking, transgene protection and repressor blocking assays we
show that some of these tDNA-containing fragments can function as barrier
insulators in human cells. We find that these elements also have the ability to
block enhancers from activating RNA pol II transcribed promoters.
Characterization of a putative tDNA insulator in human cells reveals that the
site possesses chromatin signatures similar to those observed at other better
characterized eukaryotic insulators. Enhanced 4C analysis demonstrates that the
tDNA insulator makes long-range chromatin contacts with other tDNAs and ETC sites
but not with intervening or flanking RNA pol II transcribed genes.
PMID- 22085930
TI - Insights into ubiquitin-conjugating enzyme/ co-activator interactions from the
structure of the Pex4p:Pex22p complex.
AB - Ubiquitin-conjugating enzymes (E2s) coordinate distinct types of ubiquitination
via specific E3 ligases, to a large number of protein substrates. While many E2
enzymes need only the presence of an E3 ligase for substrate ubiquitination, a
number of E2s require additional, non-canonical binding partners to specify their
function. Here, we have determined the crystal structure and function of an E2/co
activator assembly, the Pex4p:Pex22p complex. The peroxisome-associated E2 enzyme
Pex4p binds the peroxisomal membrane protein Pex22p through a binding site that
does not overlap with any other known interaction interface in E2 enzymes. Pex22p
association enhances Pex4p's ability to transfer ubiquitin to a substrate in
vitro, and Pex22p binding-deficient forms of Pex4p are unable to ubiquitinate the
peroxisomal import receptor Pex5p in vivo. Our data demonstrate that the
Pex4p:Pex22p assembly, and not Pex4p alone, functions as the E2 enzyme required
for Pex5p ubiquitination, establishing a novel mechanism of E2 enzyme regulation.
PMID- 22085931
TI - Optimal functional levels of activation-induced deaminase specifically require
the Hsp40 DnaJa1.
AB - The enzyme activation-induced deaminase (AID) deaminates deoxycytidine at the
immunoglobulin genes, thereby initiating antibody affinity maturation and isotype
class switching during immune responses. In contrast, off-target DNA damage
caused by AID is oncogenic. Central to balancing immunity and cancer is AID
regulation, including the mechanisms determining AID protein levels. We describe
a specific functional interaction between AID and the Hsp40 DnaJa1, which
provides insight into the function of both proteins. Although both major
cytoplasmic type I Hsp40s, DnaJa1 and DnaJa2, are induced upon B-cell activation
and interact with AID in vitro, only DnaJa1 overexpression increases AID levels
and biological activity in cell lines. Conversely, DnaJa1, but not DnaJa2,
depletion reduces AID levels, stability and isotype switching. In vivo, DnaJa1
deficient mice display compromised response to immunization, AID protein and
isotype switching levels being reduced by half. Moreover, DnaJa1 farnesylation is
required to maintain, and farnesyltransferase inhibition reduces, AID protein
levels in B cells. Thus, DnaJa1 is a limiting factor that plays a non-redundant
role in the functional stabilization of AID.
PMID- 22085932
TI - UCP2 regulates energy metabolism and differentiation potential of human
pluripotent stem cells.
AB - It has been assumed, based largely on morphologic evidence, that human
pluripotent stem cells (hPSCs) contain underdeveloped, bioenergetically inactive
mitochondria. In contrast, differentiated cells harbour a branched mitochondrial
network with oxidative phosphorylation as the main energy source. A role for
mitochondria in hPSC bioenergetics and in cell differentiation therefore remains
uncertain. Here, we show that hPSCs have functional respiratory complexes that
are able to consume O(2) at maximal capacity. Despite this, ATP generation in
hPSCs is mainly by glycolysis and ATP is consumed by the F(1)F(0) ATP synthase to
partially maintain hPSC mitochondrial membrane potential and cell viability.
Uncoupling protein 2 (UCP2) plays a regulating role in hPSC energy metabolism by
preventing mitochondrial glucose oxidation and facilitating glycolysis via a
substrate shunting mechanism. With early differentiation, hPSC proliferation
slows, energy metabolism decreases, and UCP2 is repressed, resulting in decreased
glycolysis and maintained or increased mitochondrial glucose oxidation. Ectopic
UCP2 expression perturbs this metabolic transition and impairs hPSC
differentiation. Overall, hPSCs contain active mitochondria and require UCP2
repression for full differentiation potential.
PMID- 22085933
TI - FGF signalling inhibits neural induction in human embryonic stem cells.
AB - Human embryonic stem cells (hESCs) can exit the self-renewal programme, through
the action of signalling molecules, at any given time and differentiate along the
three germ layer lineages. We have systematically investigated the specific roles
of three signalling pathways, TGFbeta/SMAD2, BMP/SMAD1, and FGF/ERK, in promoting
the transition of hESCs into the neuroectoderm lineage. In this context,
inhibition of SMAD2 and ERK signalling served to cooperatively promote exit from
hESC self-renewal through the rapid downregulation of NANOG and OCT4. In
contrast, inhibition of SMAD1 signalling acted to maintain SOX2 expression and
prevent non-neural differentiation via HAND1. Inhibition of FGF/ERK upregulated
OTX2 that subsequently induced the neuroectodermal fate determinant PAX6,
revealing a novel role for FGF2 in indirectly repressing PAX6 in hESCs. Combined
inhibition of the three pathways hence resulted in highly efficient neuroectoderm
formation within 4 days, and subsequently, FGF/ERK inhibition promoted rapid
differentiation into peripheral neurons. Our study assigns a novel, biphasic role
to FGF/ERK signalling in the neural induction of hESCs, which may also have
utility for applications requiring the rapid and efficient generation of
peripheral neurons.
PMID- 22085935
TI - [When the brain fails].
AB - Dementia is a progressive and fatal brain disease. Assessment and diagnosis
largely take place in the primary health service. Should it be like that?
PMID- 22085934
TI - The structural basis of Edc3- and Scd6-mediated activation of the Dcp1:Dcp2 mRNA
decapping complex.
AB - The Dcp1:Dcp2 decapping complex catalyses the removal of the mRNA 5' cap
structure. Activator proteins, including Edc3 (enhancer of decapping 3), modulate
its activity. Here, we solved the structure of the yeast Edc3 LSm domain in
complex with a short helical leucine-rich motif (HLM) from Dcp2. The motif
interacts with the monomeric Edc3 LSm domain in an unprecedented manner and
recognizes a noncanonical binding surface. Based on the structure, we identified
additional HLMs in the disordered C-terminal extension of Dcp2 that can interact
with Edc3. Moreover, the LSm domain of the Edc3-related protein Scd6 competes
with Edc3 for the interaction with these HLMs. We show that both Edc3 and Scd6
stimulate decapping in vitro, presumably by preventing the Dcp1:Dcp2 complex from
adopting an inactive conformation. In addition, we show that the C-terminal HLMs
in Dcp2 are necessary for the localization of the Dcp1:Dcp2 decapping complex to
P-bodies in vivo. Unexpectedly, in contrast to yeast, in metazoans the HLM is
found in Dcp1, suggesting that details underlying the regulation of mRNA
decapping changed throughout evolution.
PMID- 22085936
TI - [Biomarkers of dementia].
AB - Advances are constantly being made in research on biomarkers, but dementia ought
still to be a clinical diagnosis.
PMID- 22085937
TI - [Where did I put my eyeglasses?].
PMID- 22085938
TI - [Multidisciplinary treatment in stroke].
PMID- 22085939
TI - [Fear paralysis in Oslo University Hospital?].
PMID- 22085940
TI - [Something to learn from--something to learn more from?].
PMID- 22085942
TI - [New diagnostic criteria for Alzheimer disease].
PMID- 22085943
TI - Physical exertion may cause high troponin levels.
AB - It is important to measure troponin levels when acute myocardial infarct is
suspected. Many other factors that affect the heart can cause an increase in
troponin levels, for example extreme physical exertion. Recent studies have shown
that more normal physical activity can also lead to increase in troponin levels
in healthy individuals.
PMID- 22085944
TI - [Engagement against nuclear weapons].
PMID- 22085945
TI - [Too good to be true].
PMID- 22085946
TI - Commercialization of organs.
AB - The traders are on their way. We should allow the sale of organs. A strictly
regulated market for organs can ensure a fair distribution of organs and proper
treatment of the donor. Is this true?
PMID- 22085947
TI - Low prevalence of Mycoplasma genitalium in patients examined for Chlamydia
trachomatis.
AB - BACKGROUND: There is increasing interest in Mycoplasma genitalium as a sexually
transmissible pathogen. The clinical picture resembles that of Chlamydia
trachomatis infection, but the natural course has not yet been well defined.
There are no guidelines regarding who should be examined for M. genitalium. Most
of the prevalence studies have been carried out in patients attending clinics for
sexually transmissible diseases. We have examined the prevalence in samples sent
from general practice requesting analysis for C. trachomatis. MATERIAL AND
METHOD: During the period October 1 to December 31 2010, all samples sent to
Molde Hospital, Norway, that queried C. trachomatis were examined also for M.
genitalum. Both agents were examined using real time PCR. The PCR for C.
trachomatis was performed using a CE labelled and IVD approved method from Roche.
The PCR for M. genitalium was performed using an in-house method where the target
gene is GAP. RESULT: A total of 950 patients were examined (Men n=225, women
n=725). The prevalences of M. genitalium and C. trachomatis were 2.0 % and 10.0 %
respectively (men 4.0 % and 15.1 %, women 1.4 % and 8.4 %). CONCLUSION: Because
of the low prevalence, we recommend selection of patients for examination for M.
genitalium. The difference in prevalence between the sexes can reflect different
indications for sample taking.
PMID- 22085948
TI - [Biomarkers in spinal fluid of patients with dementia].
AB - BACKGROUND: We wanted to assess whether biomarkers abeta42, tau and p-tau could
differentiate between Alzheimer's disease and other dementia illnesses. MATERIAL
AND METHODS: Following systematic Pubmed searches, 25 articles which reported
sensitivity and specificity for Alzheimer's disease and other dementias were
included. RESULTS: Most studies showed significant differences for all three
markers between Alzheimer's disease and other dementia illnesses, except abeta42
which did not differ between Alzheimer's disease and dementia with Lewy bodies.
Alzheimer's disease was distinguished from vascular dementia with sensitivities
and specificities 77 % - 87 % and 62-80 % (abeta42); 79-100 % and 14-100 % (tau);
and 78-80 % and 63-96 % (p-tau181). Alzheimer's disease and dementia with Lewy
bodies were differentiated by tau and p-tau181 with sensitivities and
specificities of 72-94 % and 53-92 %, and of 68-85 % and 61-85 %. Markers
separated Alzheimer's disease from frontal lobe dementia with sensitivities and
specificities of 37-91 % and 59-92 % (abeta42), 58-88 % and 68-92 % (tau) and 44
91 % and 79-100 % (p-tau181). INTERPRETATION: Methodological weaknesses impede
the interpretation. CSF markers are not yet sufficient to differentiate between
Alzheimer's disease and other forms of dementia.
PMID- 22085949
TI - Cardiotoxic effects of trastuzumab.
AB - BACKGROUND: Breast cancer with overexpression of the HER2 receptor is an
aggressive type of breast cancer with poor prognosis. Trastuzumab (Herceptin) is
a monoclonal antibody that binds to the HER2 receptor on the cell surface
blocking the signals that promote cell-growth proliferation. Trastuzumab
treatment has almost halved the risk of relapse, when given as an adjuvant, and
has improved the overall survival in metastatic breast cancer. However, when
given alone or in combination with cardiotoxic chemotherapy, especially
anthracyclines, trastuzumab may lead to congestive heart failure of varying
severity. MATERIAL AND METHODS: The article is a non-systematic review of
articles from clinical trails, basic research, and recommendations by Norwegian
and international expert panels. RESULTS: The cardiotoxic effects of trastuzumab
and anthracycline in combination were already reported in the pivotal trials.
Over ten years of research have revealed the mechanisms of cardiotoxicity with
trastuzumab. Risk factors have been identified and recommendations drawn up for
cardiac surveillance and treatment of patients with signs of heart failure. By
following these recommendations the incidence of heart failure is reduced to
approximately five per cent of treated patients. CONCLUSION: In summary, the
favourable effects of trastuzumab are convincing, but cardiotoxicity is a
significant challenge in treatment. Risk factors for side effects indicate extra
vigilance, but the side effects are unpredictable and all patients treated with
trastuzumab must undergo regular cardiac surveillance.
PMID- 22085950
TI - [Glaucoma following lightning].
PMID- 22085951
TI - [Drug treatment of depression in children and adolescents].
AB - Antidepressants may increase suicidal behaviour in children and adolescents with
depression, and should be used with great care. The article provides an overview
of research that may guide the clinician when treating depression in young
people. No medication should be given without a thorough evaluation of diagnoses
and psychosocial problems. Psychoeducation and psychotherapy should be tried
before medication is started. Fluoxetine is the first choice for depression. If
it is not effective, a switch to another SSRI may prove effective. SSRIs are
found to be more efficacious in adolescents than in children.
PMID- 22085952
TI - [Young girl with abscesses in the face].
AB - Skin and soft tissue infections are most often caused by Staphylococcus aureus
or various species of streptococcus. This case report summarizes the clinical
features, diagnosis, treatment and clinical outcome of a facial infection
presenting as multiple abscesses in a young and otherwise healthy girl. Nocardia
brasiliensis was recovered from abscess aspiration, and treatment failure was
eventually recognized for the recommended empirical antibiotic treatment, broad
spectrum antibiotics and surgery.
PMID- 22085953
TI - [A slow growing bacteria].
PMID- 22085954
TI - Orthopaedic surgeons in the pocket of the industry.
AB - The development of new orthopaedic products depends on a close cooperation
between orthopaedic surgeons and the industry. However, in recent years a number
of cases of financial collusion between the industry and parts of the
professional orthopaedic environment in the US have been exposed. This has
highlighted the question of the extent to which orthopaedic-related research and
development may have been influenced by inappropriate financial incentives.
PMID- 22085955
TI - The Memory Clinic--outpatient assessment when dementia is suspected.
AB - The patients who are assessed at Oslo University Hospital's Memory Clinic are
young--half of them are under 65 years of age. Most are suffering from mild
cognitive impairment or dementia at a very early phase while others come to get a
second opinion. The assessment takes 2 - 3 hours and is conducted by a doctor and
a nurse. It includes a clinical investigation, cognitive testing, an MRI scan
with measurement of the medial temporal lobes, a lumbar puncture and single
photon-emission tomography (SPECT of the brain).
PMID- 22085958
TI - Fetal calf serum heat inactivation and lipopolysaccharide contamination influence
the human T lymphoblast proteome and phosphoproteome.
AB - BACKGROUND: The effects of fetal calf serum (FCS) heat inactivation and bacterial
lipopolysaccharide (LPS) contamination on cell physiology have been studied, but
their effect on the proteome of cultured cells has yet to be described. This
study was undertaken to investigate the effects of heat inactivation of FCS and
LPS contamination on the human T lymphoblast proteome. Human T lymphoblastic
leukaemia (CCRF-CEM) cells were grown in FCS, either non-heated, or heat
inactivated, having low (< 1 EU/mL) or regular (< 30 EU/mL) LPS concentrations.
Protein lysates were resolved by 2-DE followed by phospho-specific and silver
nitrate staining. Differentially regulated spots were identified by nano LC ESI Q
TOF MS/MS analysis. RESULTS: A total of four proteins (EIF3M, PRS7, PSB4, and
SNAPA) were up-regulated when CCRF-CEM cells were grown in media supplemented
with heat inactivated FCS (HE) as compared to cells grown in media with non
heated FCS (NHE). Six proteins (TCPD, ACTA, NACA, TCTP, ACTB, and ICLN) displayed
a differential phosphorylation pattern between the NHE and HE groups. Compared to
the low concentration LPS group, regular levels of LPS resulted in the up
regulation of three proteins (SYBF, QCR1, and SUCB1). CONCLUSION: The present
study provides new information regarding the effect of FCS heat inactivation and
change in FCS-LPS concentration on cellular protein expression, and post
translational modification in human T lymphoblasts. Both heat inactivation and
LPS contamination of FCS were shown to modulate the expression and
phosphorylation of proteins involved in basic cellular functions, such as protein
synthesis, cytoskeleton stability, oxidative stress regulation and apoptosis.
Hence, the study emphasizes the need to consider both heat inactivation and LPS
contamination of FCS as factors that can influence the T lymphoblast proteome.
PMID- 22085959
TI - Transcranial direct current stimulation--update 2011.
AB - Non-invasive brain stimulation with weak direct currents (transcranial direct
current stimulation (tDCS)) has emerged as one of the major tools to induce
neuroplastic cortical excitability alterations in humans since its (re-)
introduction to the arsenal of plasticity-inducing brain stimulation tools. In
this review, we gather newly emerged knowledge about the effect of tDCS on brain
function in both, basic and applied research. This overview will deliver an
update of the last two years of research, because especially during this time
numerous important studies were published covering the above-mentioned fields.
PMID- 22085960
TI - A master CLOCK hard at work brings rhythm to the transcriptome.
AB - In this issue of Genes & Development, Abruzzi et al. (pp. 2374-2386) use
chromatin immunoprecipitation (ChIP) tiling array assays (ChIP-chip) to show that
physical interactions between circadian (?24-h) clock machineries and genomes are
more widespread than previously thought and provide novel insights into how
clocks drive daily rhythms in global gene expression.
PMID- 22085961
TI - Competition for cofactor-dependent DNA binding underlies Hox phenotypic
suppression.
AB - Hox transcription factors exhibit an evolutionarily conserved functional
hierarchy, termed phenotypic suppression, in which the activity of posterior Hox
proteins dominates over more anterior Hox proteins. Using directly regulated Hox
targeted reporter genes in Drosophila, we show that posterior Hox proteins
suppress the activities of anterior ones by competing for cofactor-dependent DNA
binding. Furthermore, we map a motif in the posterior Hox protein Abdominal-A
(AbdA) that is required for phenotypic suppression and facilitates cooperative
DNA binding with the Hox cofactor Extradenticle (Exd). Together, these results
suggest that Hox-specific motifs endow posterior Hox proteins with the ability to
dominate over more anterior ones via a cofactor-dependent DNA-binding mechanism.
PMID- 22085962
TI - An ARL3-UNC119-RP2 GTPase cycle targets myristoylated NPHP3 to the primary
cilium.
AB - The membrane of the primary cilium is a highly specialized compartment that
organizes proteins to achieve spatially ordered signaling. Disrupting ciliary
organization leads to diseases called ciliopathies, with phenotypes ranging from
retinal degeneration and cystic kidneys to neural tube defects. How proteins are
selectively transported to and organized in the primary cilium remains unclear.
Using a proteomic approach, we identified the ARL3 effector UNC119 as a binding
partner of the myristoylated ciliopathy protein nephrocystin-3 (NPHP3). We mapped
UNC119 binding to the N-terminal 200 residues of NPHP3 and found the interaction
requires myristoylation. Creating directed mutants predicted from a structural
model of the UNC119-myristate complex, we identified highly conserved
phenylalanines within a hydrophobic beta sandwich to be essential for myristate
binding. Furthermore, we found that binding of ARL3-GTP serves to release
myristoylated cargo from UNC119. Finally, we showed that ARL3, UNC119b (but not
UNC119a), and the ARL3 GAP Retinitis Pigmentosa 2 (RP2) are required for NPHP3
ciliary targeting and that targeting requires UNC119b myristoyl-binding activity.
Our results uncover a selective, membrane targeting GTPase cycle that delivers
myristoylated proteins to the ciliary membrane and suggest that other
myristoylated proteins may be similarly targeted to specialized membrane domains.
PMID- 22085963
TI - Maelstrom coordinates microtubule organization during Drosophila oogenesis
through interaction with components of the MTOC.
AB - The establishment of body axes in multicellular organisms requires accurate
control of microtubule polarization. Mutations in Drosophila PIWI-interacting RNA
(piRNA) pathway genes often disrupt the axes of the oocyte. This results from the
activation of the DNA damage checkpoint factor Checkpoint kinase 2 (Chk2) due to
transposon derepression. A piRNA pathway gene, maelstrom (mael), is critical for
the establishment of oocyte polarity in the developing egg chamber during
Drosophila oogenesis. We show that Mael forms complexes with microtubule
organizing center (MTOC) components, including Centrosomin, Mini spindles, and
gammaTubulin. We also show that Mael colocalizes with alphaTubulin and
gammaTubulin to centrosomes in dividing cyst cells and follicle cells. MTOC
components mislocalize in mael mutant germarium and egg chambers, leading to
centrosome migration defects. During oogenesis, the loss of mael affects oocyte
determination and induces egg chamber fusion. Finally, we show that the axis
specification defects in mael mutants are not suppressed by a mutation in mnk,
which encodes a Chk2 homolog. These findings suggest a model in which Mael serves
as a platform that nucleates other MTOC components to form a functional MTOC in
early oocyte development, which is independent of Chk2 activation and DNA damage
signaling.
PMID- 22085964
TI - Drosophila CLOCK target gene characterization: implications for circadian tissue
specific gene expression.
AB - CLOCK (CLK) is a master transcriptional regulator of the circadian clock in
Drosophila. To identify CLK direct target genes and address circadian
transcriptional regulation in Drosophila, we performed chromatin
immunoprecipitation (ChIP) tiling array assays (ChIP-chip) with a number of
circadian proteins. CLK binding cycles on at least 800 sites with maximal binding
in the early night. The CLK partner protein CYCLE (CYC) is on most of these
sites. The CLK/CYC heterodimer is joined 4-6 h later by the transcriptional
repressor PERIOD (PER), indicating that the majority of CLK targets are regulated
similarly to core circadian genes. About 30% of target genes also show cycling
RNA polymerase II (Pol II) binding. Many of these generate cycling RNAs despite
not being documented in prior RNA cycling studies. This is due in part to
different RNA isoforms and to fly head tissue heterogeneity. CLK has specific
targets in different tissues, implying that important CLK partner proteins and/or
mechanisms contribute to gene-specific and tissue-specific regulation.
PMID- 22085965
TI - Heat shock reduces stalled RNA polymerase II and nucleosome turnover genome-wide.
AB - Heat shock rapidly induces expression of a subset of genes while globally
repressing transcription, making it an attractive system to study alterations in
the chromatin landscape that accompany changes in gene regulation. We
characterized these changes in Drosophila cells by profiling classical low-salt
soluble chromatin, RNA polymerase II (Pol II), and nucleosome turnover dynamics
at single-base-pair resolution. With heat shock, low-salt-soluble chromatin and
stalled Pol II levels were found to decrease within gene bodies, but no overall
changes were detected at transcriptional start sites. Strikingly, nucleosome
turnover decreased genome-wide within gene bodies upon heat shock in a pattern
similar to that observed with inhibition of Pol II elongation, especially at
genes involved in the heat-shock response. Relatively high levels of nucleosome
turnover were also observed throughout the bodies of genes with paused Pol II.
These observations suggest that down-regulation of transcription during heat
shock involves reduced nucleosome mobility and that this process has evolved to
promote heat-shock gene regulation. Our ability to precisely map both nucleosomal
and subnucleosomal particles directly from low-salt-soluble chromatin extracts to
assay changes in the chromatin landscape provides a simple general strategy for
epigenome characterization.
PMID- 22085966
TI - The H/ACA RNP assembly factor SHQ1 functions as an RNA mimic.
AB - SHQ1 is an essential assembly factor for H/ACA ribonucleoproteins (RNPs) required
for ribosome biogenesis, pre-mRNA splicing, and telomere maintenance. SHQ1 binds
dyskerin/NAP57, the catalytic subunit of human H/ACA RNPs, and this interaction
is modulated by mutations causing X-linked dyskeratosis congenita. We report the
crystal structure of the C-terminal domain of yeast SHQ1, Shq1p, and its complex
with yeast dyskerin/NAP57, Cbf5p, lacking its catalytic domain. The C-terminal
domain of Shq1p interacts with the RNA-binding domain of Cbf5p and, through
structural mimicry, uses the RNA-protein-binding sites to achieve a specific
protein-protein interface. We propose that Shq1p operates as a Cbf5p chaperone
during RNP assembly by acting as an RNA placeholder, thereby preventing Cbf5p
from nonspecific RNA binding before association with an H/ACA RNA and the other
core RNP proteins.
PMID- 22085967
TI - Reconstitution and structural analysis of the yeast box H/ACA RNA-guided
pseudouridine synthase.
AB - Box H/ACA ribonucleoprotein particles (RNPs) mediate pseudouridine synthesis,
ribosome formation, and telomere maintenance. The structure of eukaryotic H/ACA
RNPs remains poorly understood. We reconstituted functional Saccharomyces
cerevisiae H/ACA RNPs with recombinant proteins Cbf5, Nop10, Gar1, and Nhp2 and a
two-hairpin H/ACA RNA; determined the crystal structure of a Cbf5, Nop10, and
Gar1 ternary complex at 1.9 A resolution; and analyzed the structure-function
relationship of the yeast complex. Although eukaryotic H/ACA RNAs have a
conserved two-hairpin structure, isolated single-hairpin RNAs are also active in
guiding pseudouridylation. Nhp2, unlike its archaeal counterpart, is largely
dispensable for the activity, reflecting a functional adaptation of eukaryotic
H/ACA RNPs to the variable RNA structure that Nhp2 binds. The N-terminal
extension of Cbf5, a hot spot for dyskeratosis congenita mutation, forms an extra
structural layer on the PUA domain. Gar1 is distinguished from the assembly
factor Naf1 by containing a C-terminal extension that controls substrate turnover
and the Gar1-Naf1 exchange during H/ACA RNP maturation. Our results reveal
significant novel features of eukaryotic H/ACA RNPs.
PMID- 22085969
TI - A facile and efficient strategy for photoelectrochemical detection of cadmium
ions based on in situ electrodeposition of CdSe clusters on TiO2 nanotubes.
AB - An efficient protocol for selective and sensitive detection of Cd(2+) was first
developed based on photocurrents obtained at CdSe clusters which are in situ
electrodeposited on TiO(2) nanotubes with the gradual addition of Cd(2+), with
theoretical and technical simplicity, and further successfully applied to an
assay of Cd(2+) in tap and lake water samples.
PMID- 22085968
TI - Evidence for evolutionary convergence at MHC in two broadly distributed
mesocarnivores.
AB - Variation within major histocompatibility complex (MHC) genes is important in
recognizing pathogens and initiating an immune response. These genes are relevant
in enhancing our understanding of how species cope with rapid environmental
changes and concomitant fluctuations in selective pressures such as invasive,
infectious diseases. Disease-based models suggest that diversity at MHC is
maintained through balancing selection arising from the coevolution of hosts and
pathogens. Despite intensive balancing selection, sequence motifs or even
identical MHC alleles can be shared across multiple species; three potential
mechanisms have been put forth to explain this phenomenon: common ancestry,
convergent evolution, and random chance. To understand the processes that
maintain MHC similarity across divergent species, we examined the variation at
two orthologous MHC-DRB genes in widespread North American Musteloid species,
striped skunks (Mephitis mephitis), and raccoons (Procyon lotor). These species
are often sympatric and exposed to a similar suite of diseases (e.g., rabies,
canine distemper, and parvovirus). Given their exposure to similar selective
pressures from pathogens, we postulated that similar DRB alleles may be present
in both species. Our results indicated that similar motifs are present within
both species, at functionally relevant polymorphic sites. However, based on
phylogenetic analyses that included previously published MHC sequences of several
closely related carnivores, the respective MHC-DRB alleles do not appear to have
been maintained through common ancestry and unlikely through random chance.
Instead, the similarities observed between the two mesocarnivore species may
rather be due to evolutionary convergence.
PMID- 22085970
TI - Triggering the production of the cryptic blue pigment indigoidine from
Photorhabdus luminescens.
AB - The production of the blue pigment indigoidine has been achieved in the
entomopathogenic bacterium Photorhabdus luminescens by a promoter exchange and in
Escherichia coli following heterologous expression of the biosynthesis gene indC.
Moreover, genes involved in the regulation of this previously "silent"
biosynthesis gene cluster have been identified in P. luminescens.
PMID- 22085971
TI - 1,3-Propanediol production and tolerance of a halophilic fermentative bacterium,
Halanaerobium saccharolyticum subsp. saccharolyticum.
AB - 1,3-Propanediol (1,3-PD) is widely used in polymer industry in production of
polyethers, polyesters and polyurethanes. In this article, a study on 1,3-PD
production and tolerance of Halanaerobium saccharolyticum subsp. saccharolyticum
is presented. 1,3-PD production was optimized for temperature, vitamin B(12) and
acetate concentration. The highest 1,3-PD concentrations and yields (0.6 mol/mol
glycerol) were obtained at vitamin B12 concentration 64 MUg/l and an inverse
correlation between 1,3-PD and hydrogen production was observed with varying
vitamin B12 concentrations. In the studied temperature range and initial acetate
concentrations up to 10 g/l, no significant variations were observed in 1,3-PD
production. High initial acetate (29-58 g/l) was observed to cause slight
decrease in 1,3-PD concentrations produced but no effects on 1,3-PD yields
(mol/mol glycerol). Initial 1,3-PD concentrations inhibited the growth of H.
saccharolyticum subsp. saccharolyticum. When initial 1,3-PD concentration was
raised from 1g/l to 57 g/l, a decrease of 12% to 75%, respectively, in the
highest optical density was observed.
PMID- 22085972
TI - Apoptosis-inducing factor is involved in gentamicin-induced vestibular hair cell
death.
AB - AIM: Vestibular hair cell loss in response to different stimuli may be
attributable to the occurrence of apoptosis, in which apoptosis-inducing factor
(AIF) is an important regulator mediating apoptotic process independent of
caspases. This study was designed to investigate the possible involvement of AIF
in gentamicin (GM)-induced vestibular hair cell death. METHODS: Vestibular organs
from postnatal day 3 or 4 rats were maintained in tissue culture and were exposed
to 2 mg/ml GM for up to 72 h. Vestibular hair cell viability was quantified by
MTT assay. Apoptosis was determined by flow cytometry. AIF activation was
examined by RT-PCR. The expressions of the mitochondrial protein and cytoplasm
protein of AIF were detected by Western blot. RESULTS: GM could significantly
inhibit the cell viability of vestibular hair cells in a dose- and time-dependent
manner. The number of apoptotic cells treated with GM was higher than that of
cells not treated with GM. RT-PCR showed upregulation of AIF mRNA under GM.
Western blot showed that AIF from mitochondria was decreased, whereas AIF from
cytoplasm was increased after GM exposure. CONCLUSIONS: AIF participates in GM
induced apoptosis of vestibular hair cells.
PMID- 22085973
TI - High-resolution phonon study of the Ag(100) surface.
AB - Using high-resolution electron energy loss spectroscopy the phonon dispersion of
Ag(100) has been studied at two different sample temperatures of 86 and 300 K.
The dominant feature in the spectra corresponds to the Rayleigh wave. Its full
dispersion is determined along the GammaX high symmetry direction in the first
and second Brillouin zones. The Rayleigh phonon maximum at the X point shows a
redshift with increasing temperature. This is explained based on a surface
anharmonicity with an anharmonicity constant of 0.014, comparable to the value
reported for Cu(100). In the vicinity of the Gamma point two additional phonon
features have been discovered at about 110 and 160 cm(-1), which are tentatively
assigned to high density of states features from the bulk phonon bands. However,
the observed steep dispersion is in contrast to theoretical calculations. Along
GammaX two surface resonance branches have been observed with maximum frequencies
in the range of 90-110 cm(-1) near to the zone boundary. These branches agree
with helium atom scattering data where available, but are not predicted by
theory.
PMID- 22085976
TI - Fluorescence detection of single guest molecules in ultrasmall droplets of
nonpolar solvent.
AB - We have investigated emissive behaviours of individual perylenebisimide
derivatives, N,N'-dipropyl-1,6,7,12-tetrakis(4-tert-butylphenoxy)-3,4,9,10
perylenetetra-carboxydiimide (BP-PDI), in single ultrasmall droplets of n-octane
at room temperature by using confocal and wide-field microscopic techniques.
Single BP-PDIs in the small droplets show no distinguishable blinking in the time
courses of fluorescence intensity. This is attributed to small probabilities of
the formation of the long-lived ionized state leading to the off-state of the
fluorescence. Temporal change in the degree of polarization of fluorescence and
wide-field fluorescence images indicated short-time adsorption of the fluorescent
molecules at the interfaces between n-octane and watery environments.
Fluorescence correlation spectroscopy revealed that the adsorption/desorption
processes took place at least in two different time scales, probably due to the
difference in the adsorption geometry and/or in the interaction, such as van der
Waals interaction and hydrogen bonding, between the dye and the interface.
PMID- 22085975
TI - Disentangling the myriad genomics of complex disorders, specifically focusing on
autism, epilepsy, and schizophrenia.
AB - Analyses of structural genome variation by array-CGH have dramatically enhanced
our ability to detect copy number variations (CNVs). De novo CNVs and those co
segregating with disease in a family are generally interpreted as pathogenic.
Yet, often CNVs, such as recurrent microdeletions in region 15q13.3, are not so
clearly pathogenic. Here we discuss potential confounding mechanisms that may
lead to the phenotypic pleiotropy of CNVs, such as unmasking of recessive alleles
by hemizygous deletions, interaction of CNVs with other loci and genes, genetic
epistasis, allelic exclusion, and somatic mosaicism. We illustrate some of these
mechanisms with a detailed analysis of recent studies of CNVs involving MCPH1,
AUTS2, CNTNAP2, and mutations in GRIN2B. Next we discuss the clinical
ramifications of these findings and urge workers to avoid 'diagnostic fatalism'
(i.e., halting all genetic investigation after the detection of a single CNV) and
address some of the future challenges likely to result from implementations of
next generation sequencing techniques.
PMID- 22085974
TI - TLR9 ligand CpG-ODN applied to the injured mouse cornea elicits retinal
inflammation.
AB - During bacterial and viral infections, unmethylated CpG-DNA released by
proliferating and dying microbes is recognized by toll-like receptor (TLR) 9 in
host cells, initiating innate immune responses. Many corneal infections occur
secondary to epithelial breaches and represent a major cause of vision impairment
and blindness globally. To mimic this clinical situation, we investigated
mechanisms of TLR9 ligand-induced corneal inflammation in mice after epithelial
debridement. Application of CpG oligodeoxynucleotides (ODNs) resulted in
neutrophil and macrophage infiltration to the cornea and loss of transparency. By
6 hours after CpG-ODN administration, TLR9 mRNA was increased in the cornea and
retina. In vivo clinical examination at 24 hours revealed inflammatory
infiltrates in the vitreous and retina, which were confirmed ex vivo to be
neutrophils and macrophages, along with activated resident microglia. CpG-ODN
induced intraocular inflammation was abrogated in TLR9(-/-) and macrophage
depleted mice. Bone marrow reconstitution of irradiated TLR9(-/-) mice with
TLR9(+/+) bone marrow led to restored corneal inflammatory responses to CpG-ODN.
Fluorescein isothiocyanate-CpG-ODN rapidly penetrated the cornea and ocular media
to reach the retina, where it was present within CD68(+) retinal macrophages and
microglia. These data show that topically applied CpG-ODN induces intraocular
inflammation owing to TLR9 activation of monocyte-lineage cells. These novel
findings indicate that microbial CpG-DNA released during bacterial and/or viral
keratitis can cause widespread inflammation within the eye, including the retina.
PMID- 22085977
TI - Reduced expression of FXYD domain containing ion transport regulator 5 in
association with hypertension.
AB - Experimental evidence indicates that hypertension is a multifactorial disorder
and that the products of several genes may contribute to its development. The aim
of this study was to investigate the expression of hypertension-related genes in
spontaneous hypertensive rats (SHRs). A microarray screening for hypertension
related genes was conducted in SHRs and Wistar-Kyoto (WKY) rats using total-RNA
extracted from second-order mesenteric arteries and kidneys. The FXYD5 mRNA
expression in vascular smooth muscle cells (VSMCs) was silenced by RNA
interference (RNAi). Meanwhile, the FXYD5 mRNA overexpression in renal tubular
epithelial cells (RTECs) was induced by the recombinant plasmid pcDNA3.1(+)
FXYD5. The expression of FXYD5 mRNA was found to be 14.8-fold lower in SHR rats
compared to that in WKY rats (P<0.01). The levels of FXYD5 mRNA expression were
the highest in kidneys of SHR 13-week-old rats when the blood pressure reached
the highest levels. The down-regulated FXYD5 mRNA expression inhibited the
migration of smooth muscle cells (P<0.01) and cell membrane Na+-K+-ATPase
activity (P<0.01). Up-regulated FXYD5 mRNA expression enhanced the renal tubular
epithelial cell membrane Na+-K+-ATPase activity (P<0.05) and cell proliferation
(P<0.05). FXYD5 is related to the migration of smooth muscle cells and cell
membrane Na+-K+-ATPase activity in rodents. The results of the present study
suggest that FXYD5 may have profound impact on the regulation of blood pressure,
and that this gene may be a potential target for anti-hypertensive therapy.
PMID- 22085978
TI - Effects of a 5-h hilly running on ankle plantar and dorsal flexor force and
fatigability.
AB - This study aimed to examine the effects of a 5-h hilly run on ankle plantar (PF)
and dorsal flexor (DF) force and fatigability. It was hypothesised that DF
fatigue/fatigability would be greater than PF fatigue/fatigability. Eight male
trail long distance runners (42.5 +/- 5.9 years) were tested for ankle PF and DF
maximal voluntary isokinetic contraction strength and fatigue resistance tests
(percent decrement score), maximal voluntary and electrically evoked isometric
contraction strength before and after the run. Maximal EMG root mean square
(RMS(max)) and mean power frequency (MPF) values of the tibialis anterior (TA),
gastrocnemius lateralis (GL) and soleus (SOL) EMG activity were calculated. The
peak torque of the potentiated high- and low-frequency doublets and the ratio of
paired stimulation peak torques at 10 Hz over 100 Hz (Db10:100) were analysed for
PF. Maximal voluntary isometric contraction strength of PF decreased from pre- to
post-run (-17.0 +/- 6.2%; P < 0.05), but no significant decrease was evident for
DF (-7.9 +/- 6.2%). Maximal voluntary isokinetic contraction strength and fatigue
resistance remained unchanged for both PF and DF. RMS(max) SOL during maximal
voluntary isometric contraction and RMS(max) TA during maximal voluntary
isokinetic contraction were decreased (P < 0.05) after the run. For MPF, a
significant decrease for TA (P < 0.05) was found and the ratio Db10:100 decreased
for PF (-6.5 +/- 6.0%; P < 0.05). In conclusion, significant isometric strength
loss was only detected for PF after a 5-h hilly run and was partly due to low
frequency fatigue. This study contradicted the hypothesis that neuromuscular
alterations due to prolonged hilly running are predominant for DF.
PMID- 22085979
TI - The effect of pedalling cadence on maximal accumulated oxygen deficit.
AB - Pedalling cadence influences the oxygen demand and the tolerable duration of
severe intensity cycle ergometer exercise. Both of these variables are factors in
the calculation of maximal accumulated oxygen deficit (MAOD), which is a widely
accepted measure of anaerobic capacity. We were therefore interested in
determining whether pedalling cadence affected the value of MAOD. Eighteen
university students performed square wave cycling tests, using cadences of 60,
80, and 100 rev min(-1), at work rates selected to cause exhaustion in ~5 min.
The oxygen demands for the tests were estimated by extrapolation from the steady
state oxygen uptake in two 4-min moderate intensity bouts performed using each
cadence, and were greater at higher cadences. Times to exhaustion were shorter at
higher cadences (368 +/- 168 s at 60 rev min(-1) > 299 +/- 118 s at 80 rev min(
1) > 220 +/- 85 s at 100 rev min(-1)). These factors conflated to produce values
for MAOD that were not affected by cadence (52 +/- 5 ml kg(-1) = 52 +/- 5 ml kg(
1) = 52 +/- 5 ml kg(-1)). Similarly, the blood lactate concentrations measured 5
min post-exercise were not affected by the pedalling cadence (10.5 +/- 2.1 mM =
10.8 +/- 1.0 mM = 10.7 +/- 2.0 mM). Although muscle contraction frequency
influences many exercise responses, we conclude that the expression of anaerobic
capacity is not affected by the choice of pedalling cadence.
PMID- 22085980
TI - NF-kappaB signaling in prostate cancer: a promising therapeutic target?
AB - Prostate carcinoma (PCa) displays a wide variety of genetic alterations,
versatile expression profiles as well as cell surface markers. Despite this
heterogeneity, a common treatment for advanced PCa is androgen deprivation
therapy (ADT). ADT targets the androgen receptor-a member of the nuclear receptor
superfamily-which is required for development and function of the prostate and
critical for PCa growth and survival. After an initial regression of the tumor
during ADT, a large fraction of tumors progress to so-called castration-resistant
prostate carcinoma (CRPca) which is highly resistant toward chemotherapy. The
ensuing high mortality rates illustrate the importance of novel therapeutic
targets for CRPCa. The transcription factor NF-kappaB was recently proposed as
such a potential target for therapeutic intervention in CRPCa. Although NF-kappaB
is essential for the regulation of innate and adaptive immunity recent data
suggest a role of NF-kappaB in cancer initiation and progression. However, the
exact function of NF-kappaB signaling in PCa is still a matter of debate. Here,
we review known roles of NF-kappaB signaling in PCa and emphasize the crosstalk
of NF-kappaB and androgen receptor signaling. Finally, we discuss potential
therapeutic relevance of blocking NF-kappaB in PCa.
PMID- 22085981
TI - Multiple outcomes and multiple sources of evidence: best statistical practices.
PMID- 22085982
TI - Choosing methods to minimize confounding in observational studies: do the ends
justify the means?
PMID- 22085983
TI - Interventions for modern times: complex, collaborative, and culturally
appropriate.
PMID- 22085984
TI - Impact of prehospital electrocardiogram protocol and immediate catheterization
team activation for patients with ST-elevation-myocardial infarction.
PMID- 22085985
TI - Creating "turbo" accountable care organizations for time-critical diagnoses.
PMID- 22085986
TI - Statistical analysis of noncommensurate multiple outcomes.
AB - Many studies collect multiple outcomes to characterize treatment effectiveness or
evaluate risk factors. These outcomes tend to be correlated because they are
measuring related quantities in the same individuals, but the common approach
used by researchers is to ignore this correlation and analyze each outcome
separately. There may be advantages to consider the simultaneous analysis of the
outcomes using multivariate methods. Although the joint analysis of outcomes
measured in the same scale (commensurate outcomes) can be undertaken with
standard statistical methods, outcomes measured in different scales
(noncommensurate outcomes), such as mixed binary and continuous outcomes, present
more difficult challenges. In this article, we contrast some statistical
approaches to analyze noncommensurate multiple outcomes. We discuss the
advantages of a multivariate method for the analysis of noncommensurate outcomes,
including situations of missing data. A real data example from a clinical trial,
comparing bare-metal with sirolimus-eluting stents, is used to illustrate the
differences between the statistical approaches.
PMID- 22085987
TI - Bayesian hierarchical modeling and the integration of heterogeneous information
on the effectiveness of cardiovascular therapies.
AB - When making therapeutic decisions for an individual patient or formulating
treatment guidelines on a population level, it is often necessary to utilize
information arising from different study designs, settings, or treatments. In
clinical practice, heterogeneous information is frequently synthesized
qualitatively, whereas in comparative effectiveness research and guideline
development, it is imperative that heterogeneous data are integrated
quantitatively and in a manner that accurately captures the true uncertainty in
the results. Bayesian hierarchical modeling is a technique that utilizes all
available information from multiple sources and naturally yields a revised
estimate of the treatment effect associated with each source. A hierarchical
model consists of multiple levels (ie, a hierarchy) of probability distributions
that represent relationships between information arising within single
populations or trials, as well as relationships between information arising from
different populations or trials. We describe the structure of Bayesian
hierarchical models and discuss their advantages over simpler models when
multiple information sources are relevant. Two examples are presented that
illustrate this technique: a meta-analysis of immunosuppressive therapy in
idiopathic dilated cardiomyopathy and a subgroup analysis of the National
Institute of Neurological Disorders and Stroke Intravenous Tissue Plasminogen
Activator Stroke Trial.
PMID- 22085988
TI - Tackling heart disease at the global level: implications of the United Nations'
statement on the prevention and control of noncommunicable disease.
PMID- 22085989
TI - Risk factors for injuries in alpine skiing, telemark skiing and snowboarding-
case-control study.
AB - BACKGROUND: Alpine skiing and snowboarding have a substantial risk of injuries,
but precise risk factor estimates are limited. Objective To determine the risk
factors among skiers and snowboarders. STUDY DESIGN: Case-control study. METHODS:
Ski patrols reported cases (N=3277) in eight major Norwegian alpine resorts.
Injury type and risk factors (age, gender, nationality, skill level, equipment,
helmet use, ski school attendance, rented or own equipment) were recorded. An
uninjured control group (N=2992) was obtained at the same resorts. A multivariate
logistic regression analysis was used to assess the relationship between
individual risk factors and risk of injury. RESULTS: The overall injury risk was
increased among beginners (OR 2.72; 2.12 to 3.47), children (OR 1.72; 1.41 to
2.13), adolescents (OR 2.16; 1.72 to 2.66) and non-Nordic skiers (OR 1.80; 1.37
to 2.36). Snowboarders had a higher overall injury risk than skiers (OR 2.11;
1.81 to 2.46). Alpine skiers (OR 2.65; 1.47 to 4.80), beginners (OR 2.50; 1.61 to
3.85), children (OR 11.1; 6.7 to 20.0) and adolescents (OR 4.17; 2.44 to 7.14)
were prone to lower leg fractures. Knee injuries occurred more frequently among
alpine skiers (OR 1.82; 1.39 to 2.38), females (OR 1.67; 1.38 to 2.03) and
beginners (OR 3.13; 2.50 to 3.85). Males (OR 1.85; 1.45 to 2.38), telemark skiers
(OR 1.70; 1.30 to 2.23), children (OR 2.22; 1.37 to 3.57) and adults (OR 1.64;
1.11 to 2.37) had an increased risk for shoulder injuries. CONCLUSIONS:
Snowboarders, beginners, children and adolescents had an increased injury risk.
PMID- 22085990
TI - Mechanisms of injuries in World Cup Snowboard Cross: a systematic video analysis
of 19 cases.
AB - BACKGROUND: Snowboard cross (SBX) became an official Olympic sport in 2006. This
discipline includes manoeuvring several obstacles while competing in heats. It is
common for the riders to collide, making this sport both exciting and at risk of
injuries. Although a recent study from the 2010 Olympic Games has shown that the
injury risk was high, little is known about the injury mechanisms. OBJECTIVE: To
qualitatively describe the injury situation and mechanism of injuries in World
Cup Snowboard Cross. STUDY DESIGN: Descriptive video analysis. METHODS: Nineteen
video recordings of SBX injuries reported through the International Ski
Federation Injury Surveillance System for four World Cup seasons (2006 to 2010)
were obtained. Five experts in the field of sports medicine, snowboard and
biomechanics performed analyses of each case to describe the injury mechanism in
detail (riding situation and rider behaviour). RESULTS: Injuries occurred at
jumping (n=13), bank turning (n=5) or rollers (n=1). The primary cause of the
injuries was a technical error at take-off resulting in a too high jump and
subsequent flat-landing. The rider was then unable to recover leading to fall at
the time of injury. Injuries at bank turn was characterised by a pattern where
the rider in a balanced position lost control due to unintentional contact with
another rider. CONCLUSION: Jumping appeared to be the most challenging obstacle
in SBX, where a technical error at take-off was the primary cause of the
injuries. The second most common inciting event was unintentional board contact
between riders at bank turning.
PMID- 22085991
TI - Protein-polymer nanoreactors for medical applications.
AB - Major challenges that confront nanoscience in medicine today include the
development of efficacious therapies with minimum side effects, diagnostic
methods featuring significantly higher sensitivities and selectivities, and
personalized diagnostics and therapeutics for theragnostic approaches. With these
goals in mind, combining biological molecules and synthetic carriers/templates,
such as polymer supramolecular assemblies, represents a very promising strategy.
In this critical review, we present protein-polymer systems as reaction spaces at
the nano-scale in which the enzymatic reactions take place inside polymer
supramolecular assembly, at its interface with the environment or in a
combination of both. The location of the protein(s) with respect to the polymer
assembly generates a diversity of systems ranging from nanoreactors to active
enzymatic polymer surfaces. We describe these both in terms of general modelling
and addressing the specific conditions and requirements related to the medical
domain. We will particularly present protein-polymer nanoreactors that provide
protected spaces for enzymatic reactions. We also show how polymer supramolecular
structures, such as micelles, capsules, dendrimers and vesicles, can accommodate
sensitive biomolecules to mimic natural systems and functions, and to serve as
avenues for new medical approaches. Even though not yet on the market, we will
emphasize possible applications of protein-polymer systems that generate reaction
nanospaces-as novel ways to advanced medicine (264 references).
PMID- 22085992
TI - Tityus zulianus venom induces massive catecholamine release from PC12 cells and
in a mouse envenomation model.
AB - Scorpion envenomation is a public health problem in Venezuela, mainly produced by
Tityus discrepans (TD) and Tityus zulianus (TZ). Accidents by these two species
differ clinically. Thus, TZ envenomation is associated with high mortality in
children due to cardiopulmonary disorders, as a result of, excessive amounts of
plasma catecholamines (Epinephrine) release from adrenal medulla, probably via
the voltage-gated sodium-channel activated by specific scorpion toxins. This Epi
release is, in part responsible, for some of the envenomation clinical
consequences, resembling those described for patients presenting catecholamine
releasing tumors (pheochromocytoma). In this work, BALB/c mice and rat
pheochromocytoma-derived PC12 cells were used to provide in vivo and in vitro
models, respectively, on which the basis for the TZ-mediated catecholamine
release mechanism could be elucidated. In mice, TZ venom increased, at 1h post
injection, the Epi plasma levels in 4000%, which remained elevated for 24h. A
significant rise in plasma levels of the catecholamine catabolite 3-Methoxy-4
Hydroxy-Phenyl-Glycol (MHPG) was also observed. In [(3)H]dopamine-loaded PC12
cells, TZ venom potentiated the carbamylcholine (CC)-mediated release of
[(3)H]dopamine, as shown by the leftward shift in the CC-dose-response curves.
Moreover, TZ venom also displayed the maximal [(3)H]dopamine releasing activity
compared to TD venom, with significant reduction of the EC50 for CC. The
nicotinic-acetylcholine receptor (nAChR) blocker hexamethonium induced a
significant inhibition of the [(3)H]dopamine release produced by CC in PC12 cells
but the TZ-elicited release of [(3)H]dopamine was 70% hexamethonium-insensitive,
suggesting unidentified TZ toxins affecting other regulatory mechanisms of
catecholamine secretion.
PMID- 22085993
TI - Further delineation of 17p13.3 microdeletion involving CRK. The effect of growth
hormone treatment.
AB - Recently, a 17p13.3 microdeletion syndrome characterized by significant postnatal
growth retardation, mild to moderate mental retardation and facial dysmorphic
manifestations has been delineated to a small region within the area of the
Miller-Dieker syndrome critical region. We report a boy with a 284 kb deletion
within the Miller-Dieker critical region including CRK, but not involving YWHAE
and TUSC5. He showed mental retardation and had significant postnatal growth
retardation. Further, he had slight facial and limb abnormalities. Cerebral MRI,
including visualization of the pituitary gland, disclosed no abnormalities. The
findings in the present case indicate, that CRK may also be involved in the
facial phenotype of the 17p13.3 microdeletion syndrome, and that CRK, and not
YWHAE, seems to be involved in limb malformations. The effect of growth hormone
treatment in CRK-deficient children is discussed.
PMID- 22085994
TI - A novel homozygous 5 bp deletion in FKBP10 causes clinically Bruck syndrome in an
Indonesian patient.
AB - We report an Indonesian patient with bone fragility and congenital joint
contractures. The initial diagnosis was Osteogenesis Imperfecta type III (OI type
III) based on clinical and radiological findings. Because of (i) absence of
COL1A1/2 mutations, (ii) a consanguineous pedigree with a similarly affected
sibling and (iii) the existence of congenital joint contractures with absence of
recessive variants in PLOD2, mutation analysis was performed of the FKBP10 gene,
recently associated with Bruck syndrome and/or recessive OI. A novel homozygous
deletion in FKBP10 was discovered. Our report of the first Indonesian patient
with clinically Bruck syndrome, confirms the role of causative recessive FKBP10
mutations in this syndrome.
PMID- 22085995
TI - 2q23.1 microdeletion of the MBD5 gene in a female with seizures, developmental
delay and distinct dysmorphic features.
AB - We report a 2-year-old female who initially presented with seizures,
developmental delay and dysmorphic features and was found to have a 0.3 Mb
deletion at chromosome 2q23.1 encompassing the critical seizure gene, MBD5. Her
distinct physical features include bifrontal narrowing with brachycephaly, low
anterior hairline, hypotonic facial features with short upturned nose, flat nasal
bridge, hypertelorism, tented upper lip with everted lower lip, downturned
corners of her mouth, and relatively coarse facial features including thickened
tongue. She also had a short neck, brachytelephalangy, clinodactyly, and
hypertrichosis. At 31/2 years she developed progressive ataxia and lost
vocabulary at the age of 4. Regression has been reported in one other case of
MBD5 deletion. MBD5 is a member of the methyl binding gene family and appears to
be responsible for regulating DNA methylation in the central nervous system. Our
patient was entirely deleted for the MBD5 gene with partial loss of the EPC2
gene, which suggests that haploinsufficiency of MBD5 is responsible for the
distinct phenotype observed. This supports the hypothesis that MBD5 is indeed the
critical gene implicated for the findings seen in patients with deletions of
chromosome 2q23.1. Further studies are necessary to delineate the role that the
MBD5 gene plays in the development of the brain and these specific physical
characteristics.
PMID- 22085997
TI - When zip codes are in short supply.
PMID- 22085996
TI - Autophagy regulation by miRNAs: when cleaning goes out of service.
PMID- 22085998
TI - Lateral hop movement assesses ankle dynamics and muscle activity.
AB - Ankle function is frequently measured using static or dynamic tasks in normal and
injured patients. The purpose of this study was to develop a novel task to
quantify ankle dynamics and muscle activity in normal subjects. Twelve subjects
with no prior ankle injuries participated. Video motion analysis cameras, force
platforms, and an EMG system were used to collect data during a lateral hop
movement task that consisted of multiple lateral-medial hops over an obstacle.
Mean (SD) inversion ankle position at contact was 4.4 degrees (4.0) in the
medial direction and -3.5 degrees (4.4) in the lateral direction; mean (SD)
tibialis anterior normalized muscle activity was 0.11 (0.08) in the medial
direction and 0.16 (0.13) in the lateral direction. The lateral hop movement was
shown to be an effective task for quantifying ankle kinematics, forces, moments,
and muscle activities in normal subjects. Future applications will use the
lateral hop movement to assess subjects with previous ankle injuries in
laboratory and clinical settings.
PMID- 22085999
TI - [Mechanisms of sympathetic activity in rats exposed to different patterns of
hypoxia and the correlation with blood pressure].
AB - OBJECTIVE: To observe the effects of two different hypoxia patterns on blood
pressure and the underlying mechanisms. METHODS: Eighteen male SD rats were
randomly divided into three groups: the intermittent hypoxia group (IH group),
the continuous hypoxia group (CH group) and the normal control group (NC group).
The rats of the IH and CH group were subjected to intermittent hypoxia (7 h/d)
and continuous hypoxia (7 h/d) for 42 days respectively. The NC group rats were
untreated. The levels of arteria caudilis systolic pressure (ACSP) were measured
with noninvasive rats arteria caudilis gauge before the experiment, at the end of
3rd, 6th week of the experiment. The concentrations of norepinephrine (NE) in
serum and neuropeptide Y (NPY) in plasma were respectively measured by enzyme
linked-immunosorbent assay (ELISA) and radioimmunoassay. The contents of
malondialdehyde (MDA) and the ability of inhibiting hydroxyl free radical in
serum were analyzed by thiobarbituric acid colorimetric analysis (TBAR) at the
end of 6th week. RESULTS: At the end of 3rd week, the levels of ACSP were
considerably higher than those before the treatment (P<0.05). The concentrations
of ACSP, NE, MDA, NPY in the IH group were significantly higher than those in the
other two groups at the end of 6th week (all P<0.01). The ability of inhibiting
hydroxyl free radical were decreased by the intermittent hypoxia treatment (all
P<0.01). However, there was no significant difference in ACSP, NE, MDA, NPY
between CH and NC group (all P>0.05). The levels of NE, NPY and MDA were
positively related with ACSP (r=0.873, P<0.01; r=0.671, P<0.01; r=0.582, P<0.05).
The correlation between the ability of inhibiting hydroxyl free radical and ACSP
was negative (r=-0.790, P<0.01). the concentrations of MDA were positively
related with NE and NPY respectively (r=0.843, 0.777, P<0.01) and the ability of
inhibiting hydroxyl free radical was negatively related with NE and NPY
respectively (r=-0.864, -0.717, P<0.01). CONCLUSION: Intermittent hypoxia can
induce high blood pressure, which may be related to the sympathetic over-activity
and the oxidative stress.
PMID- 22086000
TI - Research progress in the organic cation transporters.
AB - The organic cation transport systems were initially recognized in studies of
renal elimination. A variety of endogenous and exogenous compounds that are
harmful to the body are eliminated from the kidney via glomerular filtration
and/or active tubular secretion. Among those compounds are polar organic cations
that require a transporter-mediated process to cross cellular membrane and be
eventually excreted into the urine. The organic cation transport systems in the
kidney have been recognized for several decades. Following the insight gained
from the kidney, organic cation transporters (OCTs) have also been characterized
in other tissues. In particular, the OCTs in the liver and in the intestine have
been proposed as important determinants of drug absorption and disposition. Over
the past several decades, the mechanisms of organic cation transport have been
extensively characterized using in vivo models, ex vivo organ perfusions, in
vitro tissue preparations and cell lines. In particular, the molecular cloning
and characterization of OCTs have remarkably increased our knowledge of this
important subfamily of solute carriers. In this article, we first review the
molecular cloning and characterization of OCTs. We will then describe their
transport mechanisms, tissue distribution and localization, and their specificity
of interaction with organic cations. Regulatory mechanisms and genetic animal
models will be reviewed as they provide the most important insight on this class
of transporters in recent years. Finally, we will summarize genetic variation in
human OCT genes and raise clinical perspectives on these important transporters.
PMID- 22086001
TI - [Expression of TMPRSS3 in the rat cochlea following kanamycin ototoxicity].
AB - OBJECTIVE: To establish the kanamycin-induced deafness model in SD rats, and to
investigate the expression and significance of transmembrane protease, serine 3
(TMPRSS3) in the cochlea following kanamycin ototoxicity. METHODS: A total of 40
male SD rats were randomly divided into 4 groups. The experimental rats received
intramuscular kanamycin sulfate for 3, 7, and 14 consecutive days, and the
control group were treated with normal saline for 14 days. Auditory brainstem
responses (ABR) were obtained before and after the kanamycin administration. The
expression of TMPRSS3 in the cochlea was identified and detected by
immunohistochemistry and Western blot. RESULTS: Kanamycin-induced deafness model
in the SD rats was successfully established. ABR thresholds were increased and
the expression of TMPRSS3 in the cochlea was reduced after the kanamycin
injection (P<0.01). CONCLUSION: TMPRSS3 may play an important role in normal
cochlea function and involve in the process of aminoglycoside antibiotics induced
deafness.
PMID- 22086002
TI - [Correlation studies between urinary retinol binding protein and renal tubular
damage].
AB - OBJECTIVE: To study correlation between urinary retinol binding protein (RBP)
content and renal tubular damage. METHODS: A total of 1 353 healthy people and
186 patients with renal tubular damage diagnosed by renal biopsy were enrolled.
The indicators such as endogenous creatinine clearance rate (Ccr),
creatinine(Cr), urinary retinol binding protein(RBP), urinary beta(2)
microglobulin(beta(2)-MG), urinary N-acety1-beta-D-glucosaminidase (NAG), urine
specific gravity(SG), urine osmolality of the 2 groups were examined and
compared. Score of tubulointerstitial impairing and all indicators were analyzed
by Spearman rank correlation analysis, and the sensitivity and specificity of
indicators were calculated. RESULTS: Renal tubular damage was positively
correlated with urinary RBP, beta2-MG, NAG (r=0.863, P<0.001; r=0.777, P<0.001;
r=0.374, P=0.002, respectively), while negatively correlated with urine
osmolaling, SG (r=-0.519, P<0.001; r=-0.624, P<0.001, respectively). The
specificity and sensitivity for renal tubular damage of RBP were 91.03% and
72.06%. CONCLUSION: RBP is an idea marker for renal tubular damage, and is useful
to diagnose renal tubular damage and assess the extent of the damage.
PMID- 22086003
TI - [Effects of hypoxia inducible factor-1 alpha siRNA on inducible nitric oxide
synthase expression in HaCaT cells].
AB - OBJECTIVE: To observe the effect of hypoxia inducible factor -1alpha (HIF-1alpha)
small interfering RNA (siRNA) on the expression of HIF-1alpha and inducible
nitric oxide synthase (iNOS) in HaCaT cells under hypoxia. METHODS: HaCaT cells
were divided into 4 groups: the normal control group (without any treatment), the
hypoxia group (under hypoxia for 24 h), the liposome control group (HaCaT cells
transfected with liposome before hypoxia treatment), the RNA interference group
(HaCaT cells transfected with siRNA sequences then under hypoxia for 24 h). Real
time PCR and Western blot were utilized to determine HIF-1alpha and iNOS mRNA and
protein expression in HaCaT cells. RESULTS: There was no significant difference
of the mRNA expression of HIF-1alpha between the hypoxia group and the normoxia
group (P>0.05), but the protein expressions of HIF-1alpha was increased in the
hypoxic group than that in the normoxia group (P<0.05). Both the mRNA and protein
expression of iNOS were increased in hypoxic conditions than that in the normoxia
(P<0.05). Decreases were more significant in the mRNA and protein expression of
HIF-1alpha and iNOS in the RNA interference group than that in the liposome
control group in HaCaT cells (P<0.05). CONCLUSION: Hypoxia increased HIF-1alpha
and iNOS expression in HaCaT cells and inhibition of HIF-1alpha expression
decreased iNOS expression.
PMID- 22086004
TI - Multidrug resistance-associated protein 1 (MRP1/ABCC1) polymorphism: from
discovery to clinical application.
AB - Multidrug resistance-associated protein 1(MRP1/ABCC1) is the first identified
member of ABCC subfamily which belongs to ATP-binding cassette (ABC) transporter
superfamily. It is ubiquitously expressed in almost all human tissues and
transports a wide spectrum of substrates including drugs, heavy metal anions,
toxicants, and conjugates of glutathione, glucuronide and sulfate. With the
advance of sequence technology, many MRP1/ABCC1 polymorphisms have been
identified. Accumulating evidences show that some polymorphisms are significantly
associated with drug resistance and disease susceptibility. In vitro
reconstitution studies have also unveiled the mechanism for some polymorphisms.
In this review, we present recent advances in understanding the role and
mechanism of MRP1/ABCC1 polymorphisms in drug resistance, toxicity, disease
susceptibility and severity, prognosis prediction, and Methods to select and
predict functional polymorphisms.
PMID- 22086005
TI - Modulation of cardiac fibroblast function by thiazolidinediones with a focus on
the role of LOX-1.
AB - Cardiac fibroblasts play a pivotal role in cardiac remodeling. In response to
various pro-fibrotic stimuli, such as pro-inflammatory cytokines, anoxia
reoxygenation and pressure overload as well as aging, cardiac fibroblasts undergo
proliferation, migration and activation, leading to the accumulation of
extracellular matrix components and increased thickness and stiffness of heart.
The ligands for peroxisome proliferator-activated receptor gamma, especially
thiazolidinediones, modulate the function of cardiac fibroblasts and the
progression of cardiac remodeling, especially under pathological conditions.
Unfortunately these agents have not been found to be consistently beneficial in
heart failure. Although the precise intracellular signaling pathways are not
fully understood, existing evidence strongly supports the involvement of
oxidative stress and related signaling pathways. Further, peroxisome proliferator
activated receptor gamma and lectin-like oxidized low-density lipoprotein
receptor-1 together play critical roles in thiazolidinediones-modulated cardiac
fibroblast dysfunction.
PMID- 22086006
TI - Effect of nicotinamide mononucleotide on insulin secretion and gene expressions
of PDX-1 and FoxO1 in RIN-m5f cells.
AB - OBJECTIVE: To investigate the effect of nicotinamide mononucleotide (NMN) on
insulin secretion and gene expressions of pancreatic and duodenal homeobox 1(PDX
1) and forkhead box-containing protein O-1 (FoxO1), which were important
transcription factors for insulin secretion. METHODS: Insulin secretion level in
RIN-m5f cells was detected by rat insulin ELISA detection kit. The mRNA
expression levels of PDX-1 and FoxO1 in RIN-m5f cells were analyzed by real-time
PCR. The protein expression of PDX-1 was measured by Western blot. RESULTS:
Insulin secretion levels in RIN-m5f cells treated with repaglinide (10 nmol/L)
plus NMN (100 MUmol/L) was significantly higher than those in the blank control,
the DMSO control group, and the NMN (50 MUmol/L) treated group (P<0.05). The mRNA
expression levels of PDX-1 in RIN-m5f cells treated with NMN (10, 50 and 100
MUmol/L) for 36 h were significantly higher than those in the control group
(P<0.05, P<0.01, and P<0.001, respectively). There was marked differences in the
mRNA expression levels of PDX-1 among different concentrations of NMN (P<0.001),
but no significant differences in the mRNA expression level of FoxO1 (P>0.05). No
significant difference was found in the protein expression levels of PDX-1 in RIN
m5f cells treated by NMN (50, 100, and 200 MUmol/L) for 36 or 48 h compared with
the control group (P>0.05). CONCLUSION: NMN can stimulate insulin secretion and
upregulate the mRNA expression of PDX-1 in RIN-m5f cells.
PMID- 22086007
TI - Calcitonin gene-related peptide suppresses isoprenaline-induced cardiomyocyte
apoptosis through regulation of microRNA-1 and microRNA-133a expression.
AB - OBJECTIVE: To explore the inhibitory effect of calcitonin gene-related peptide
(CGRP) on cardiomyocyte apoptosis and the underlying mechanism. METHODS: In
cultured rat cardiomyocytes, apoptosis was induced by the incubation of
isoprenaline (10(-5) mol/L) for 48 h. CGRP (10(-8) or 10(-7) mol/L) was
administrated for 1 h before incubating isoprenaline to evaluate its effect on
cardiomyocyte apoptosis. At the end of the drug treatment, the rate of apoptotic
cells and intracellular reactive oxygen species (ROS) were determined, and RNA
was extracted to examine the expression of microRNA-1 and microRNA-133a. RESULTS:
Isoprenaline significantly increased the rate of apoptotic cells and
intracellular ROS production concomitantly with the increased microRNA-1
expression and the decreased microRNA-133a expression, which were inhibited by
pretreatment with CGRP. The effects of CGRP were reversed by CGRP receptor
antagonist. CONCLUSION: CGRP can inhibit the isoprenaline-induced cardiomyocyte
apoptosis. The beneficial effect of CGRP is related to regulating microRNA-1 and
microRNA-133a expression through the prevention of isoprenaline-induced ROS
production.
PMID- 22086008
TI - Ischemic preconditioning protects against myocardial ischemia-reperfusion injury
through inhibiting toll-like receptor 4/NF-kappaB signaling pathway in rats.
AB - OBJECTIVE: To investigate whether the protection of ischemic preconditioning
(IPC) against myocardial ischemia/reperfusion (I/R) injury is mediated by toll
like receptor 4 (TLR4)/NF-kappaB pathway, and whether these effects are related
to the release of calcitonin gene-related peptide (CGRP). METHODS: Sprague-Dawley
rats were subjected to 60 min of ligation of the left anterior descending
coronary artery followed by 3 h of reperfusion to induce I/R injury. IPC was
performed by 4 cycles of 3-min left coronary artery occlusion followed by 5-min
reperfusion before the I/R. The expression of TLR4 mRNA was determined by RT-PCR.
TLR4 and NF-kappaB protein expression were analyzed by immunohistochemistry.
Myocardial infarct size, CGRP concentration in plasma and activity of creatine
kinase in serum were also measured. RESULTS: IPC significantly reduced the
infarct size and creatine kinase activity concomitantly with the increase in
plasma CGRP concentration. The expressions of TLR4 protein and mRNA and NF-kappaB
protein were increased by myocardial I/R injury, and dramatically inhibited by
IPC. CONCLUSION: IPC protects against myocardial I/R injury by inhibition of
TLR4/NF-kappaB pathway. These effects are related to the increased the release of
CGRP.
PMID- 22086009
TI - Expression of scFv SA3 against hepatoma fused with enhanced green fluorescent
protein and its targeted ability in vivo.
AB - OBJECTIVE: To express and purify the human scFv antibody, SA3, against the
hepatoma fused to enhanced green fluorescent protein, and to observe the targeted
capacity of fusion protein EGFP-SA3 in vivo. METHODS: SA3 and EGFP genes were
cloned into plasmid pET-25b(+) to construct the recombinant plasmid EGFP-SA3/pET
25b(+), followed by DNA sequencing. Then it was transformed into E.coli BL21(DE3)
and induced for fusion expression of EGFP-SA3 with IPTG. The expressed fusion
protein EGFP-SA3 was purified and detected with SDS-PAGE. HepG2 cells were
incubated with the fusion protein EGFP-SA3 in vitro, and the binding bioactivity
was observed under the fluorescent microscope. Further more, we injected the EGFP
SA3 by caudal vein into nude mice planted by hepatoma and observed the whole body
fluorescence image of EGFP. RESULTS: SA3 and EGFP genes were successfully cloned
into pET-25b(+), which was confirmed by restriction enzyme NcoI-XhoI or NcoI
EcoRI. A band migrated at the position 750 bp, same to EGFP gene, emerged when
recombinant plasmid was digested by restriction enzyme NcoI-EcoRI. Similarly, a
band, about 1 500 bp, emerged when digested by NcoI-XhoI. The open-reading frame
was confirmed by DNA sequencing. Fusion protein EGFP-SA3 was expressed as
inclusion body. After purification and refolding, the result of
immunofluorescence detection verified that EGFP-SA3 could specifically bind to
HepG2 cells and maximum tumor penetration was at 24 h after the injection.
CONCLUSION: The purified fusion protein EGFP-SA3 has strong binding capacity to
HepG2 cells, indicating the scFv SA3 has a potential value as a targeting
molecule for diagnosis and targeted therapy for liver cancer.
PMID- 22086010
TI - [Comparative proteomic analysis of hippocampus between chronic cerebral ischemia
rats and normal controls].
AB - OBJECTIVE: To explore the pathogenesis of brain damage after chronic cerebral
ischemia through analysis of the differences in proteins expression in
hippocampus between chronic cerebral ischemia rats and normal rats. METHODS: The
chronic cerebral ischemia model was established by ligating the bilateral common
carotid arteries.Twenty rats were randomly divided into a model group (n=10)and a
sham operation group(n=10). Four weeks later, the differences of proteins
expression in hippocampus between model group and sham operation group were
analyzed by two dimensional polyacryalmide gel electrophoresis and ultraflex
TOF/TOF mass spectrograph. RESULTS: Compared to the sham operation group, the
expressions of 4 proteins were up-regulated and that of 2 proteins were down
regulated in the model group. Six proteins were identified by ultraflex TOF/TOF,
which were ubiquitin carboxy-terminal hydrolase L1; Dynamin-1; TMF regulated
nuclear protein-like, partial; ATP synthase; rCG50513, isoform CRA_a; and
expressed sequence AU016693, isoform CRA_b. CONCLUSION: Well-resolved and
reproducible 2-DE patterns of chronic cerebral ischemia rats were established.
Six proteins that correlate with nerve damage after chronic cerebral ischemia are
identified.
PMID- 22086011
TI - [Glucose-dependent insulinotropic peptide in Type 2 diabetes after gastric
bypass surgery].
AB - Glucose-dependent insulinotropic peptide (GIP), the incretins, is synthesized and
released from the duodenum and proximal jejunum. Continual high-fat diet
powerfully stimulated GIP secretion, leading to obesity and harmful lipid
deposition in islet cells and peripheral tissues, and giving rise to insulin
resistance and major disturbances in the secretion of insulin. We can improve
Type 2 diabetes by compromising GIP action. The exclusion of proximal small
intestine and reduction of GIP secretion may be the important reasons for Type 2
diabetes after gastric bypass surgery.
PMID- 22086012
TI - [Academic misconduct of graduates and the credit education].
AB - Nowadays the phenomenon of academic misconduct (such as plagiarism, fabrication,
falsification, etc.) is very frequent. The reasons for academic misconduct are
involved in the problems in graduate education system, social environment and
students themselves. Therefore, colleges and universities should place great
emphasis on constructing a healthy school environment and academic atmosphere for
failure tolerance with the help of high-tech modern means. It also needs to
improve the academic supervision and evaluation system, strengthen the
punishments for academic misconduct and enhance the mentor's exemplary role in
education. The eventual goal for our education is to obtain innovative talents
who are integrity, respect science and truth, and are good samples for academic
performances.
PMID- 22086013
TI - Impact of retinol binding protein 4 polymorphism on rosiglitazone response in
Chinese type 2 diabetic patients.
AB - OBJECTIVE: To explore the association between rs3758539G-803A and rs10882283T
179G polymorphism of retinol binding protein 4 (RBP4) and rosiglitazone response
in Chinese type 2 diabetes mellitus (T2DM) patients. METHODS: A total of 472
Chinese T2DM patients and 198 healthy subjects were enrolled to identify G-803A
and T-179G genotypes using a polymerase chain reaction-restriction fragment
length polymorphism (PCR-RFLP) assay. Forty-two T2DM patients with different G
803A or T-179G genotypes were selected to undergo a 12-week rosiglitazone
treatment (4 mg/d). Serum fasting plasma glucose (FPG), postprandial plasma
glucose (PPG), fasting serum insulin (FINS), glycated hemoglobin (HbAlc),
postprandial serum insulin (PINS), triglyceride (TG), low-density lipoprotein
cholesterol (LDL-c), and high-density lipoprotein-cholesterol (HDL-c) were
determined before and after the rosiglitazone treatment. RESULTS: T2DM patients
with RBP4 G-803A GG genotype showed lower TG and LDL-c concentrations compared
with that in the GA+AA genotype subjects. T2DM patients with RBP4 T-179G TT
genotype showed lower waist-to-hip ratio (WHR), FPG and FINS values compared with
that in the TG+GG genotype individuals. Patients with GG genotype of RBP4 G-803A
had an enhanced rosiglitazone efficacy on FPG and FINS compared with that in the
GA+AA genotype group. Patients with RBP4 T-179G TG+GG genotype showed an enhanced
rosiglitazone efficacy on HbAlc level compared with that in the TT genotype
group. CONCLUSION: RBP4 G-803A and T-179G polymorphism might be associated with
the development of T2DM and affect the therapeutic efficacy of rosignitazone in
Chinese T2DM patients.
PMID- 22086014
TI - [Proteomics analysis of adenosine A1 receptor agonist-induced delayed myocardial
protection in rabbits].
AB - OBJECTIVE: To investigate the changes of myocardial protein expression profiles
in 2-chloro-N6-cyclopentyladenosine (CCPA), an adenosine A1 receptor agonist
induced delayed myocardial protection in New Zealand rabbits . METHODS: A total
of 8 rabbits were randomly divided into a CCPA group (CCPA group) and a normal
saline group (NS group). CCPA and NS were infused into rabbits in the CCPA group
and the NS group respectively. Twenty-four hours later, the rabbits were
subjected to 30 min left anterior descending coronary artery occlusion and were
reperfused for 2 hours, then the ischemic zone tissues of left ventricle were
sampled for proteomic analysis.A total of 12 other New Zeland rabbits were
divided into a sham group (Sham group), a normal saline group (NS group) and a
CCPA group (CCPA group). The expression of alphaB-crystalline, one of the
differential proteins, was confirmed by Western blot. RESULTS: Analysis of two
dimensional gel electrophoresis showed that the expression of 55 protein spots
were different between the two groups, 17 protein spots were preliminarily
identified with the matrix-assisted laser desorption/ionization time-of-flight
mass spectrometry (MALDI-TOF-MS) and Mascot and Expasy bioinformatics software.
These proteins included stress proteins, metabolism-associated proteins, signal
transduction pathway-related proteins, ionophorous proteins, immunity-associated
proteins, and so on. Western blot showed that the expression of alphaB
crystalline was significantly up-regulated in the CCPA group. CONCLUSION: The
myocardial protein expression profiles are changed markedly in the
preconditioning late phase of CCPA .The differential proteins might be involved
in the delayed cardioprotection induced by CCPA.
PMID- 22086015
TI - Photon-counting compressive sensing laser radar for 3D imaging.
AB - We experimentally demonstrate a photon-counting, single-pixel, laser radar camera
for 3D imaging where transverse spatial resolution is obtained through
compressive sensing without scanning. We use this technique to image through
partially obscuring objects, such as camouflage netting. Our implementation
improves upon pixel-array based designs with a compact, resource-efficient design
and highly scalable resolution.
PMID- 22086016
TI - Detailed performance modeling of a pulsed high-power single-frequency Ti:sapphire
laser.
AB - Differential absorption lidar (DIAL) is a unique technique for profiling water
vapor from the ground up to the lower stratosphere. For accurate measurements,
the DIAL laser transmitter has to meet stringent requirements. These include high
average power (up to 10 W) and high single-shot pulse energy, a spectral purity
>99.9%, a frequency instability <60 MHz rms, and narrow spectral bandwidth
(single-mode, <160 MHz). We describe extensive modeling efforts to optimize the
resonator design of a Ti:sapphire ring laser in these respects. The simulations
were made for the wavelength range of 820 nm, which is optimum for ground-based
observations, and for both stable and unstable resonator configurations. The
simulator consists of four modules: (1) a thermal module for determining the
thermal lensing of the Brewster-cut Ti:sapphire crystal collinear pumped from
both ends with a high-power, frequency-doubled Nd:YAG laser; (2) a module for
calculating the in-cavity beam propagations for stable and unstable resonators;
(3) a performance module for simulating the pumping efficiency and the laser
pulse energy; and (4) a spectral module for simulating injection seeding and the
spectral properties of the laser radiation including spectral impurity. Both a
stable and an unstable Ti:sapphire laser resonator were designed for delivering
an average power of 10 W at a pulse repetition frequency of 250 Hz with a pulse
length of approximately 40 ns, satisfying all spectral requirements. Although the
unstable resonator design is more complex to align and has a higher lasing
threshold, it yields similar efficiency and higher spectral purity at higher
overall mode volume, which is promising for long-term routine operations.
PMID- 22086017
TI - Optimization design of diffractive phase elements for beam shaping.
AB - An improved approach called the weighted YG algorithm for the design of the
diffractive phase element (DPE) that implements beam shaping in the fractional
Fourier transform domain and free space is presented. Modeling designs of the DPE
are carried out for several fractional orders and different parameters of the
beam for optimally converting a Gaussian profile into a uniform beam. We found
that our algorithm can improve the beam shaping effect, reduce the error
function, and increase uniformity of light intensity.
PMID- 22086018
TI - Measurement based on fringe reflection for testing aspheric optical axis
precisely and flexibly.
AB - A method based on fringe reflection is proposed to measure the optical axis of an
aspheric mirror precisely and flexibly. In the measurement, a screen displaying a
fringe pattern is moved along its normal direction, and a camera is located
beside and observes the fringe pattern reflected via a tested surface. This
method can test the optical axis of an aspheric mirror quantitatively before
measuring the absolute height of the tested surface. And it can be combined with
some presented methods that need to fit the aspheric mirror according to the
optical axis to measure the surface. To validate the ability of this method, it
is combined with one of the presented methods to measure absolute height of an
aspheric mirror precisely and flexibly. Computer simulations and preliminary
experiment validate the feasibility of this method.
PMID- 22086019
TI - Numerical method to optimize the polar-azimuthal orientation of infrared
superconducting-nanowire single-photon detectors.
AB - A finite-element method for calculating the illumination-dependence of absorption
in three-dimensional nanostructures is presented based on the radio frequency
module of the Comsol Multiphysics software package (Comsol AB). This method is
capable of numerically determining the optical response and near-field
distribution of subwavelength periodic structures as a function of illumination
orientations specified by polar angle, phi, and azimuthal angle, gamma. The
method was applied to determine the illumination-angle-dependent absorptance in
cavity-based superconducting-nanowire single-photon detector (SNSPD) designs.
Niobium-nitride stripes based on dimensions of conventional SNSPDs and integrated
with ~ quarter-wavelength hydrogen-silsesquioxane-filled nano-optical cavity and
covered by a thin gold film acting as a reflector were illuminated from below by
p-polarized light in this study. The numerical results were compared to results
from complementary transfer-matrix-method calculations on composite layers made
of analogous film-stacks. This comparison helped to uncover the optical phenomena
contributing to the appearance of extrema in the optical response. This paper
presents an approach to optimizing the absorptance of different sensing and
detecting devices via simultaneous numerical optimization of the polar and
azimuthal illumination angles.
PMID- 22086020
TI - Theory for the measurement of the linear and nonlinear refractive indices of
double-clad fibers using an interferometric technique.
AB - Multiple-beam Fizeau fringes are formed across a liquid silvered wedge when it is
illuminated by a collimated beam of monochromatic light. Inserting the fiber into
the liquid silvered wedge causes the fringes to shift across the fiber region
with respect to the fringes at the liquid region. Fringe shift is a function in
the geometry of the different regions of the fiber and the refractive index
profile of the fiber. In this paper, theoretical models for the fringe shift
across double-clad fibers (DCFs) with rectangular, elliptical, circular, and D
shaped inner cladding are developed. An algorithm to reconstruct the linear and
nonlinear terms of the refractive index profile of the DCF is outlined. Numerical
examples are provided and discussed.
PMID- 22086021
TI - All-optical thermo-plasmonic device.
AB - We demonstrate an all-optical thermo-plasmonic effect to switch/modulate the
surface plasmon resonance signal intensity excited at the metal-air interface.
This optically addressed thermo-plasmonic measurement scheme is suitable to
amplify very small changes in the complex dielectric constant (epsilon(m)(T)) of
thin gold (Au) film, induced by the Ar(+) laser. The predominant contributions
due to small but highly repeatable transient photo-thermal effects in the complex
metal dielectric constant is confirmed to be the reason behind the highly
reproducible all-optical thermo-plasmonic device performance presented here.
PMID- 22086022
TI - Optimization of the pulse-width of diode-pumped passively Q-switched mode-locked
c-cut Nd:GdVO4 laser with a GaAs saturable absorber.
AB - By considering the single-photon absorption and two-photon absorption processes
in the GaAs saturable absorber, the coupled rate equations for a diode-pumped
passively Q-switched and mode-locked (QML) laser with GaAs coupler under Gaussian
approximation are given. These rate equations are solved numerically. The key
parameters of an optimally coupled passively QML laser with the shortest pulse
width envelope are determined. These key parameters include the parameters of the
gain medium, the saturable absorber, and the resonator, which can minimize the
pulse-width of a singly Q-switched envelope. Sample calculations for a diode
pumped passively Q-switched mode-locked c-cut Nd:GdVO(4) laser with a GaAs
coupler are presented to demonstrate that the shortest pulse-width envelope can
be obtained by selecting the optimal small-signal transmission of the saturable
absorber and the reflectivity of the output mirror.
PMID- 22086023
TI - Fabrication of phase masks with variable diffraction efficiency using HEBS glass
technology.
AB - A new fabrication method of apodized diffractive optical elements is proposed. It
relies on using high energy beam sensitive glass as a halftone mask for variable
diffraction efficiency phase masks generation in a resist layer. The presented
technology is especially effective in mass production. Although fabrication of an
amplitude mask is required, it is then repeatedly used in a single shot
projection photolithography, which is much simpler and less laborious than the
direct variable-dose pattern writing. Three prototypes of apodized phase masks
were manufactured and characterized. The main advantages as well as limitations
of the proposed technology are discussed.
PMID- 22086024
TI - Laser direct writing of rotationally symmetric high-resolution structures.
AB - We present a laser direct writing system for the efficient fabrication of high
resolution axicon structures. The setup makes use of scanning beam interference
lithography incorporated with a fringe locking scheme for tight fringe phase
control and allows us to fabricate large area structures with a period down to
450 nm.
PMID- 22086025
TI - High-precision diode-laser-based temperature measurement for air refractive index
compensation.
AB - We present a laser-based system to measure the refractive index of air over a
long path length. In optical distance measurements, it is essential to know the
refractive index of air with high accuracy. Commonly, the refractive index of air
is calculated from the properties of the ambient air using either Ciddor or Edlen
equations, where the dominant uncertainty component is in most cases the air
temperature. The method developed in this work utilizes direct absorption
spectroscopy of oxygen to measure the average temperature of air and of water
vapor to measure relative humidity. The method allows measurement of temperature
and humidity over the same beam path as in optical distance measurement,
providing spatially well-matching data. Indoor and outdoor measurements
demonstrate the effectiveness of the method. In particular, we demonstrate an
effective compensation of the refractive index of air in an interferometric
length measurement at a time-variant and spatially nonhomogeneous temperature
over a long time period. Further, we were able to demonstrate 7 mK RMS noise over
a 67 m path length using a 120 s sample time. To our knowledge, this is the best
temperature precision reported for a spectroscopic temperature measurement.
PMID- 22086026
TI - Detection of microbubble position by a digital hologram.
AB - This paper reports on a new technique of measurements of microbubble position in
three dimensions with high time-resolution. The technique is based on micro
digital holographic particle tracking velocimetry. In this technique, an
intensity profile is constructed from a holographic image of a microbubble where
the profile results in showing two peaks. The distance between the two peaks
appears to relate to the size of the microbubble's diameter. The three
dimensional position of the bubble can be detected by the center of the two peaks
and the center point of the bubble image focused by a digital hologram. We also
theoretically obtained the intensity profile of a microbubble by considering a
refraction of light on a bubble surface to a ring-shaped aperture model. The
theoretically obtained distance between the two peaks is found to be in good
agreement with the values obtained experimentally.
PMID- 22086027
TI - Temperature-dependent index of refraction of monoclinic Ga2O3 single crystal.
AB - We present temperature-dependent refractive index along crystallographic b[010]
and a direction perpendicular to (100)-plane for monoclinic phase (beta)
Ga(2)O(3) single crystal grown by the optical floating zone technique. The
experimental results are consistent with the theoretical result of Litimein et
al.1. Also, the Sellmeier equation for wavelengths in the range of 0.4-1.55 MUm
is formulated at different temperatures in the range of 30-175 degrees C. The
thermal coefficient of refractive index in the above specified range is ~10(-5)/
degrees C.
PMID- 22086028
TI - Estimation of wavelength difference using scale adjustment in two-wavelength
digital holographic interferometry.
AB - We propose a method for an estimation of wavelength difference using scale
adjustment in two-wavelength digital holographic interferometry. To estimate
wavelength difference, two holograms recorded with different wavelengths are
reconstructed on the basis of the Fresnel diffraction integral, and pixel sizes
in the reconstruction plane, which depend on the wavelength in recording
hologram, are analyzed. In the analysis, a zero-padding method and an intensity
correlation function are used to adjust pixel sizes in the reconstruction plane
and then obtain a wavelength difference given by a difference between the pixel
sizes. Theoretical predictions and experimental results are shown to indicate the
usefulness of the proposed method in this paper.
PMID- 22086029
TI - Single-channel color image encryption using a modified Gerchberg-Saxton algorithm
and mutual encoding in the Fresnel domain.
AB - A single-channel color image encryption is proposed based on the modified
Gerchberg-Saxton algorithm (MGSA) and mutual encoding in the Fresnel domain.
Similar to the double random phase encoding (DRPE), this encryption scheme also
employs a pair of phase-only functions (POFs) as encryption keys. But the two
POFs are generated by the use of the MGSA rather than a random function
generator. In the encryption process, only one color component is needed to be
encrypted when these POFs are mutually served as the second encryption keys. As a
result, a more compact and simple color encryption system based on one-time-pad,
enabling only one gray cipheretext to be recorded and transmitted when
holographic recording is used, is obtained. Moreover, the optical setup is
lensless, thus easy to be implemented and the system parameters and wavelength
can be served as additional keys to further enhance the security of the system.
The feasibility and effectiveness of the proposed method are demonstrated by
numerical results.
PMID- 22086030
TI - Gas Raman sensing with multi-opened-up suspended core fiber.
AB - Gas sensing and fluid-guiding response properties of a suspended core fiber Raman
analyzer with side-opened and strut microfluid-guiding array are explored. A
Raman sensing model is introduced for effective mode area optimization and
normalized intensity overlap enhancement between Raman sensing light and analyte.
Calculations predict that there is a trade-off between the overlap and the
effective mode area, while the optimal trade-off depends on the refractive index
of the background material, core diameter, and strut's thickness. Furthermore,
the multi-opened-up structure ensures a fast gases diffusing into/out of each
hole for real-time Raman sensing. Simulation results confirm a limited gas
sensing response time of less than 6 s could be feasible and, thus, a new
approach to real-time gas sensing applications is identified.
PMID- 22086031
TI - Direct observation of plasmonic index ellipsoids on a deep-subwavelength metallic
grating.
AB - We constructed a metallic grating on a deep-subwavelength scale and tested its
plasmonic features in visible frequencies. The deep-subwavelength metallic
grating effectively acts as an anisotropic homogeneous uniaxial form-birefringent
metal, exhibiting different optical responses for polarizations along different
optical axes. Therefore, this form-birefringent metal supports anisotropic
surface plasmon polaritons that are characterized by directly imaging the
generated plasmonic index ellipsoids in reciprocal space. The observed plasmonic
index ellipsoids also show a rainbow effect, where different colors are
dispersively distributed in reciprocal space.
PMID- 22086032
TI - Dynamic switching of the chiral beam on the spiral plasmonic bull's eye structure
[Invited].
AB - A polarization-dependent switchable plasmonic beaming structure composed of
metallic hole surrounded by double spiral dielectric gratings is proposed. The
main mechanism of the proposed structure is based on the angular momentum change
of surface plasmon caused by the spiral geometry. On- and off-states of the
proposed device are determined by the condition whether the rotating direction of
incident polarization is the same as or opposite of the direction of the spiral
rotations. Qualitative analytical expressions of the switching mechanisms and
full-vectorial numerical results are presented.
PMID- 22086033
TI - Influence of film thickness on the optical transmission through subwavelength
single slits in metallic thin films.
AB - Silver and gold films with thicknesses in the range of 120-450 nm were evaporated
onto glass substrates. A sequence of slits with widths varying between 70 and 270
nm was milled in the films using a focused gallium ion beam. We have undertaken
high-resolution measurements of the optical transmission through the single slits
with 488.0 nm (for Ag) and 632.8 nm (for Au) laser sources aligned to the optical
axis of a microscope. Based on the present experimental results, it was possible
to observe that (1) the slit transmission is notably affected by the film
thickness, which presents a damped oscillatory behavior as the thickness is
augmented, and (2) the transmission increases linearly with increasing slit width
for a fixed film thickness.
PMID- 22086034
TI - Slow pulses in disordered photonic-crystal waveguides.
AB - Using a 3D fully-vectorial coupled Bloch-mode method, we present a systematic
study of the transport of slow-light pulses in single-mode photonic-crystal
waveguides (PhCW) with a realistic disorder model. For the intermediate regime
corresponding to waveguide lengths of the order of the mean-free path (3 dB
attenuation), we show that the group-velocity has a strong impact on the pulse
broadening and distortion, limiting the practical use of PhCW to group indices
below ~50. For smaller group velocities, the pulse experiences an additional
delay and the group-velocity is no longer a meaningful quantity.
PMID- 22086035
TI - Experimental study on polarization lens formed by asymmetrical metallic hole
array.
AB - A polarization bifocal lens based on the polarization effect caused by
asymmetrical hole arrays had been designed, fabricated, and characterized
experimentally. By considering the fact that the skin depth of an infrared
electromagnetic field inside metal is much shorter than the incident wavelength,
a polarization bifocal lens composed of high deep-width ratio metallic holes was
realized by using a gold-coated silicon structure to replace the one directly
formed on a thick metal film. An infrared optical experiment setup is built based
on the secondary imagery method for characterizing the focal length of the
designed bifocal lens. The measured focal lengths of the fabricated bifocal lens
coincide well with the designed values, which proves the validity for realizing
the polarization elements with the proposed structure and the feasibility of the
fabrication process.
PMID- 22086036
TI - Surface-enhanced fluorescence from silver fractallike nanostructures decorated
with silver nanoparticles.
AB - Fluorescence emission of fluorophore molecules in the close vicinity of a
nanostructured metal surface can be enhanced through a local electromagnetic
field with the help of surface plasmon resonance. The fluorescence enhancement
effect is very sensitive to the topography and dielectric property of the metal
substrate. In the current work, metal substrates with complex structures, which
are made of silver fractallike structures and nanoparticles (NPs), are prepared
through electrochemical reduction followed by physical deposition. The surface
enhanced fluorescence of Rhodamine 6G monolayer molecules deposited on the
prepared complex substrates are investigated with the laser spectroscopic
technique. The experimental results show that the fractallike structure decorated
with silver NPs presents stronger fluorescence enhancement, compared with silver
NPs or pure silver fractallike structures.
PMID- 22086037
TI - Enhancing the lateral photovoltaic effect by coating the absorbing film on metal
oxide-semiconductor structure.
AB - By coating with a carbon film and graphene sheet (GS) on position-sensitive
detectors based on the metal-oxide-semiconductor structure, sensitivity,
linearity, and saturation power are significantly improved. We attribute this
enhancement of absorptivity to lasers. The improvement effect of carbon film is
more obvious than that of GS coating because of GS's high conductivity.
PMID- 22086038
TI - Subwavelength imaging of a multilayered superlens with layers of nonequal
thickness.
AB - We propose a multilayered superlens comprising alternately layered metal and
dielectric films with layers of nonequal thickness to realize subwavelength
imaging, even when permittivities of the metal and dielectric are mismatched.
Based on ideal imaging conditions, the exact constraint relations about the
thickness of each dielectric layer and the permittivity of the surrounding medium
of the multilayered superlens are first acquired when the superlens is modeled by
the effective medium theory. Theoretical analysis and numerical simulations
indicate that a multilayered superlens with constraint relations can realize
subwavelength imaging at wavelengths of 335 to 385 nm.
PMID- 22086039
TI - White light-emitting diode coated with ZnSe:Mn/ZnSe nanocrystal films enveloped
by SiO2.
AB - Mn-doped nanocrystals (NCs) have attracted much attention for their excellent
properties. In our work, colloidal Mn-doped NCs with high quantum yield are
synthesized and enveloped with silica hydrosol. The blend of NCs and silica
hydrosol is coated on a blue light-emitting diode (LED), and the appropriate
thickness of the NC film is found. White light is gained through the mix of the
blue emission of the LED and the orange emission from Mn-doped NC films. The
chromaticity coordinates and the image of the white LED indicate that Mn-doped
NCs can be a good substitute for YAG:Ce phosphor, and the reliability of the
white LED can be improved by enveloping NCs with SiO(2).
PMID- 22086040
TI - Circular Fibonacci gratings.
AB - We introduce circular Fibonacci gratings (CFGs) that combine the concept of
circular gratings and Fibonacci structures. Theoretical analysis shows that the
diffraction pattern of CFGs is composed of fractal distributions of impulse
rings. Numerical simulations are performed with two-dimensional fast Fourier
transform to reveal the fractal behavior of the diffraction rings. Experimental
results are also presented and agree well with the numerical results. The fractal
nature of the diffraction field should be of great theoretical interest, and
shows potential to be further developed into practical applications, such as in
laser measurement with wideband illumination.
PMID- 22086041
TI - Ferrodispersion: a promising candidate for an optical capacitor.
AB - A ferrodispersion, which comprises micrometer-sized magnetizable spheres
dispersed in a ferrofluid, is shown to store retrievable optical energy. It is
observed that when such dispersion is subjected to a transverse magnetic field
and a linearly polarized monochromatic light with its electric vector
perpendicular to the applied field is incident on it, then for a critical static
magnetic field of moderate intensity the emerging light disappears. Upon removing
the light and then switching off the field, again light of the same frequency and
same state of polarization reappears. A time delay between emission of the light
and switching off the field is observed. The statistical distribution of this
delay is reported. Intensity of the retrieval signal is found to depend on the
storage time. This effect is investigated. Storing and retrieval of optical
energy will be useful for developing a magnetically tunable optical capacitor.
PMID- 22086042
TI - Fast photovoltaic effects tuned by vicinal interface microstructure in manganite
based all-perovskite-oxide heterojunctions.
AB - Interfacial microstructure tunable photovoltaic effects have been reported in
heterojunctions of La(1-x)Ca(x)MnO(3) thin films and tilted or exact cut Nb-doped
SrTiO(3) single crystal substrates under irradiation of UV pulsed laser. The
photoresponse times of vicinal junctions and films were obviously faster than
those of exact cut samples. The behaviors can be explained by the slope of the
built-in electric field in the 10 degrees tilted heterojunction and the
interfacial terrace microstructure of La(1-x)Ca(x)MnO(3) films.
PMID- 22086043
TI - Subwavelength focusing using a hyperbolic medium with a single slit.
AB - A hyperbolic dispersion medium with a planar surface that can be used for
subwavelength focusing is proposed. By combining the hyperbolic medium in a
single slit with diffraction limit width, a laser beam could be focused to a
subwavelength spot in the near field. Compared to a conventional superlens, the
subdiffraction focusing in this work has higher optical throughput. Using a
planar hyperbolic medium, which is actually alternating silver/dielectric
multilayers, we showed that the focusing resolution of the designed device is
down to ~lambda/5 using green light illumination (at a wavelength of 514.5 nm).
PMID- 22086044
TI - Hyperbranched CdTe nanostructures via a self-assembly route: optical properties.
AB - In this work, we report a luminescent nanobundle structure formed by a
hierarchical self-assembly process of thioglycolic acid (TGA)-capped CdTe quantum
dots (QDs). The luminescence intensity of CdTe nanostructures is high enough to
get a clear one-photon excitation confocal image. High contrast two-photon
excitation confocal images suggest that the nonlinear properties of pristine QDs
are well inherited by the formed CdTe nanostructures. The controllability of the
structures and inheritance of the optical properties of the building units make
the self-assembled nanostructures new generation materials.
PMID- 22086045
TI - Largely extended light-emission shift of ZnSe nanostructures with temperature.
AB - ZnSe nanowires and nanobelts with zinc blende structure have been synthesized.
The morphology and the growth mechanisms of the ZnSe nanostructures will be
discussed. From the photoluminescence (PL) of the ZnSe nanostructures, it is
interesting to note that red color emission with only a single peak at the photon
energy of 2 eV at room temperature is obtained while the typical bandgap
transition energy of ZnSe is 2.7 eV. When the temperature is reduced to 150 K,
the peak wavelength shifts to 2.3 eV with yellowish emission and then blue
emission with the peak at 2.7 eV at temperature less than 50 K. The overall
wavelength shift of 700 meV is obtained as compared to the conventional ZnSe of
about 100 meV (i.e., sevenfold extension). The ZnSe nanostructures with enhanced
wavelength shift can potentially function as visible light temperature-indicator.
The color change from red to yellowish and then to blue is large enough for the
nanostructures to be used for temperature-sensing applications. The details of PL
spectra of ZnSe at various temperatures are studied from (i) the spectral
profile, (ii) the half-width half-maximum, and (iii) the peak photon energy of
each of the emission centers. The results show that the simplified configuration
coordinate model can be used to describe the emission spectra, and the frequency
of the local vibrational mode of the emission centers is determined.
PMID- 22086046
TI - Nanoscale ridge aperture as near-field transducer for heat-assisted magnetic
recording.
AB - Near-field transducer based on nanoscale optical antenna has been shown to
generate high transmission and strongly localized optical spots well below the
diffraction limit. In this paper, nanoscale ridge aperture antenna is considered
as near-field transducer for heat-assisted magnetic recording. The spot size and
transmission efficiency produced by ridge aperture are numerically studied. We
show that the ridge apertures in a bowtie or half-bowtie shape are capable of
generating small optical spots as well as elongated optical spots with desired
aspect ratios for magnetic recording. The transmission efficiency can be improved
by adding grooves around the apertures.
PMID- 22086047
TI - Scattering characterization of nanopigments in metallic coatings using
hyperspectral optical imaging.
AB - We have determined the reflectance spectra of colored metallic coatings with high
spatial resolution by using a hyperspectral imaging system. Reflectance spectra
were converted to color coordinates revealing characteristic color maps in the
color space. Principal-component analysis was applied to decorrelate the spatial
variability of the reflectance spectra. We found that the eigenvalue spectra
follow different power laws. The scaling exponent was analyzed by considering
random-walk-type processes. An estimation of the Hurst exponent was done,
suggesting anomalous diffusion from multiple light scattering. The results show
that hyperspectral imaging combined with principal-component analysis provides a
valuable method for nondestructive testing of complex turbid media.
PMID- 22086048
TI - Enhancement of optical processes in coupled plasmonic nanocavities [Invited].
AB - We present detailed experimental and numerical investigations of resonances in
deep nanogroove gratings in metallic substrates. These plasmonic nanocavity
gratings feature enhanced fields within the grooves that enable a large
enhancement of linear and nonlinear optical processes. This enhancement relies on
both localized and propagating surface plasmons on the nanopatterned surface. We
show that the efficiency of optical processes such as Raman scattering and four
wave mixing is dramatically enhanced by plasmonic nanocavity gratings.
PMID- 22086049
TI - Enhanced absorptive characteristics of metal nanoparticle-coated silicon
nanowires for solar cell applications.
AB - The optical properties of metal nanoparticle (NP)-coated silicon nanowires (Si
NWs) are theoretically investigated using COMSOL Multiphysics commercial
software. A geometrical array of periodic Si NWs coated with metal NPs is
proposed. The simulation demonstrates that light absorption could be enhanced
significantly in a long wavelength region of the solar spectrum, based upon the
localized surface plasmons generated around metal NPs. Various metal NPs, such as
Au, Ag, and Al, are all found to increase their light absorption while in contact
with Si NWs, in which the Au NPs show the best result in light enhancement. This
theoretical work might prove useful in providing a fundamental understanding
toward improving further the efficiency of Si wired solar cells.
PMID- 22086050
TI - Preparation of wide range refractive index diamond-like carbon films by means of
plasma-enhanced chemical vapor deposition.
AB - Plasma-enhanced chemical vapor deposition technology has been elaborated for
obtaining diamond-like carbon (DLC) coatings of a wide range of properties.
Alternative and direct bias voltages have been applied on the substrate, and
refractive index dependencies upon various deposition technological parameters
have been investigated. The frequency of the bias voltage has been varied in the
region of 150-450 kHz. The maximum refractive index range that has been achieved
is 1.46-3.2. Thin DLC films have been prepared on crystalline silicon substrates.
Because of the wide range of physical, optical, and mechanical properties of the
obtained films, they can successfully be applied in different fields of nano
optics.
PMID- 22086051
TI - Polymer microfiber rings for high-sensitivity optical humidity sensing.
AB - We demonstrate microrings assembled with polyacrylamide (PAM) microfibers for
high-sensitivity relative humidity (RH) sensing. When exposed to moisture, the
PAM microfiber absorbs water molecules and inflates monotonically with the
increasing humidity, resulting in evident spectral shifts of the resonance peaks
of the microring. By measuring the spectral shifts, the microring shows
sensitivity as high as 490 pm/%RH and a response time of about 120 ms, within a
dynamic range from 5% to 71% RH.
PMID- 22086052
TI - Tuning of localized surface plasmon resonance of well-ordered Ag/Au bimetallic
nanodot arrays by laser interference lithography and thermal annealing.
AB - A novel hybrid approach to fabricate large-area well-ordered Ag/Au bimetallic
nanodot arrays and its potential applications for biosensing is investigated.
With the combination of laser interference lithography and the thermal annealing
technique, Ag/Au bimetallic nanodots about ~50 nm are formed inside periodic
nanodisk arrays at a dimension of ~530 nm on quartz substrates. Extinction
spectra of the fabricated nanostructures show their localized surface plasmon
resonance (LSPR) can be well controlled by Au concentration, which offers a means
to flexibly tune the optical properties of the nanodot arrays. To study the
sensitivity of the nanodot arrays, resonance wavelength changes per refractive
index unit (RIU) are performed in different surrounding environments. This shows
a 94% increase in peak shift per refractive index unit (nanometers/RIU) compared
to the nanodot arrays formed only by thermal annealing. These results demonstrate
a feasible approach to improve LSPR-based biosensor performance.
PMID- 22086053
TI - Theoretical and experimental studies on tightly focused vector vortex beams.
AB - The high-NA focusing properties of vector vortex beams are studied theoretically
and experimentally. The vector vortex beams are generated by space-variant
segmented subwavelength metallic gratings first. Then the mathematical
expressions for the focused fields are derived based on the vector diffraction
theory, and some numerical simulations are presented that show that the focused
fields are not dark at the center and the focusing spot size of vector vortex
beams with high topological charges approaches the diffraction limitation at high
NA. Finally, to verify the theoretical analysis, the tightly focused fields are
measured based on a confocal microscopy system when the NA of the objective lens
is 0.90. The research results confirm the potential of vector vortex beams in
some applications, such as optical trapping, laser printing, lithography, and
material processing.
PMID- 22086054
TI - Two-dimensional subwavelength imaging from a hemispherical hyperlens.
AB - We report a hemispherical-shaped hyperlens with subwavelength resolution less
than 100 nm. Simulations with the finite-element method show that with a 365 nm
illumination, the hemispherical hyperlens isotropically magnifies the image along
the radial direction. Under linearly polarized light, portions of an object can
be resolved. A complete image of the object can be generated by superposing
sufficient number of images obtained with incident light in different
polarization directions. Such a hyperlens has great potential for realization of
nanoscale imaging.
PMID- 22086055
TI - Disorder effect in the transmission spectra of a noncompact single layer of
dielectric spheres derived from microwave spectroscopy.
AB - Single layers of dielectric spheres are an interesting system to study from the
fundamental and applied points of view. In this paper we present a systematic
study of the influence of structural disorder on the transmission spectra of
arrangements of spheres of different compactness. Glass sphere (epsilon=7) planes
were built and their transmission spectra in the microwave range measured.
Transmission behavior of this system is highly tolerant to disorder. Even in
completely disordered arrangements, there is a highly rejected band with the dips
of the spectrum observable. These results suggest that the collective modes of
the sphere planes are formed by weakly coupled Mie modes of the individual
spheres, and this coupling is governed by the average distance among the spheres.
Disorder tolerance allows simpler fabrication procedures where the position of
the spheres does not need to be precisely controlled.
PMID- 22086056
TI - Wideband slow light with ultralow dispersion in a W1 photonic crystal waveguide.
AB - A dispersion tailoring scheme for obtaining slow light in a silicon-on-insulator
W1-type photonic crystal waveguide, novel to our knowledge, is proposed in this
paper. It is shown that, by simply shifting the first two rows of air holes
adjacent to the waveguide to specific directions, slow light with large group
index, wideband, and low group-velocity dispersion can be realized. Defining a
criterion of restricting the group-index variation within a +/-0.8% range as a
flattened region, we obtain the ultraflat slow light with bandwidths over 5.0,
4.0, 2.5, and 1.0 nm when keeping the group index at 38.0, 48.8, 65.2, and 100.4,
respectively. Numerical simulations are performed utilizing the three-dimensional
(3D) plane-wave expansion method and the 3D finite-difference time-domain method.
PMID- 22086057
TI - Applications of Nano-optics.
AB - As nanoscale fabrication techniques advance, nano-optics continues to offer
enabling solutions to numerous practical applications for information optics.
This Applied Optics feature issue focuses on the Application of Nano-optics.
PMID- 22086058
TI - Animal models of Rift Valley fever virus infection.
AB - Emerging and naturally occurring infectious diseases from bacterial and viral
sources are constantly threatening humans and livestock. Recently, a variety of
infectious diseases have emerged into previously disease-free areas, resulting in
new epidemics. Consequently, governmental agencies and researchers in the area of
biomedical research have started designing ways to prevent their further spread.
Ongoing research activities are focused on developing therapeutic and
prophylactic interventions against these emerging infections. Development and
evaluation of vaccines, diagnostics and treatments often depend on the
development of appropriate animal models to determine the efficacy of new
therapeutic agents. In addition, animal models are necessary to understand the
basic pathobiology of infection. In this minireview, the current animal models
used for one of these emerging infectious diseases, Rift Valley fever virus
(RVFV), and the specifics of infection and pathology associated with each model
are discussed.
PMID- 22086059
TI - Evidence of extended alternate coreceptor usage by HIV-1 clade C envelope
obtained from an Indian patient.
AB - HIV-1 clade C tends to exclusively use CCR5 irrespective of disease stages. We
previously reported envelopes (Envs) obtained from an Indian patient (VB105) that
used CXCR4, CXCR6, CCR2b, CCR3, GPR15, and CX3CR1 as additional coreceptors
besides CCR5 for entry. Here we show that the primary VB105 virus was able to
replicate in peripheral blood mononuclear cells (PBMCs) in presence of inhibitors
that antagonizes all the above seven coreceptors at excess doses. In addition,
VB105 Envs were found to efficiently infect CCR5-defective T cells (MOLT-4) in
presence of excess TAK-779, AMD3100, vMIP-1 and vMIP-2 further substantiated the
usage of additional coreceptors beyond the seven coreceptors as reported earlier
by VB105 Env. Interestingly, VB105 Envs showed spontaneous exposure of CD4
induced epitopes and found to be associated with increased infection of
macrophages. Information on HIV-1 clade C using alternate coreceptors in primary
cells to better understand their impact on pathogenesis and efficacy to future
entry inhibitors.
PMID- 22086060
TI - [Outpatient surgery. Quo vadis?].
PMID- 22086062
TI - From karyotyping to array-CGH in prenatal diagnosis.
AB - Conventional karyotyping detects chromosomal anomalies in up to 35% of
pregnancies with fetal ultrasound anomalies, depending on the number and type of
these anomalies. Extensive experience gained in the past decades has shown that
prenatal karyotyping is a robust technique which can detect the majority of
germline chromosomal anomalies. For most of these anomalies the phenotype is
known. In postnatal diagnosis of patients with congenital anomalies and
intellectual disability, array-CGH/SNP array has become the first-tier
investigation. The higher abnormality detection yield and its amenability to
automation renders array-CGH also suitable for prenatal diagnosis. As both
findings of unclear significance and unexpected findings may be detected, studies
on the outcome of array-CGH in prenatal diagnosis were initially performed
retrospectively. Recently, prospective application of array-CGH in pregnancies
with ultrasound anomalies, and to a lesser extent in pregnancies referred for
other reasons, was studied. Array-CGH showed an increased diagnostic yield
compared to karyotyping, varying from 1-5%, depending on the reason for referral.
Knowledge of the spectrum of array-CGH anomalies detected in the prenatal setting
will increase rapidly in the years to come, thus facilitating pre- and posttest
counseling. Meanwhile, new techniques like non-invasive prenatal diagnosis are
emerging and will claim their place. In this review, we summarize the outcome of
studies on prenatal array-CGH, the clinical relevance of differences in detection
rate and range as compared to standard karyotyping, and reflect on the future
integration of new molecular techniques in the workflow of prenatal diagnosis.
PMID- 22086063
TI - On the interpretation of IETS spectra of a small organic molecule.
AB - We have investigated vibrational spectra of nitrobenzene molecules adsorbed on
Cu(111) by low temperature inelastic electron tunneling spectroscopy. This
molecule, which should support 39 internal modes, only gives rise to seven peaks
in the spectra. We outline a comparison with ensemble IR data and interpret the
small number of vibrational peaks by the superposition of a multitude of almost
isoenergetic vibrational modes. The non-detectability of further modes cannot be
understood in terms of symmetry considerations. Additional modes in the spectra
are attributed to external molecular-metal vibrations.
PMID- 22086061
TI - Tumor-specific retargeting of an oncogenic transcription factor chimera results
in dysregulation of chromatin and transcription.
AB - Chromosomal translocations involving transcription factor genes have been
identified in an increasingly wide range of cancers. Some translocations can
create a protein "chimera" that is composed of parts from different proteins. How
such chimeras cause cancer, and why they cause cancer in some cell types but not
others, is not understood. One such chimera is EWS-FLI, the most frequently
occurring translocation in Ewing Sarcoma, a malignant bone and soft tissue tumor
of children and young adults. Using EWS-FLI and its parental transcription
factor, FLI1, we created a unique experimental system to address questions
regarding the genomic mechanisms by which chimeric transcription factors cause
cancer. We found that in tumor cells, EWS-FLI targets regions of the genome
distinct from FLI1, despite identical DNA-binding domains. In primary endothelial
cells, however, EWS-FLI and FLI1 demonstrate similar targeting. To understand
this mistargeting, we examined chromatin organization. Regions targeted by EWS
FLI are normally repressed and nucleosomal in primary endothelial cells. In tumor
cells, however, bound regions are nucleosome depleted and harbor the chromatin
signature of enhancers. We next demonstrated that through chimerism, EWS-FLI
acquired the ability to alter chromatin. Expression of EWS-FLI results in
nucleosome depletion at targeted sites, whereas silencing of EWS-FLI in tumor
cells restored nucleosome occupancy. Thus, the EWS-FLI chimera acquired chromatin
altering activity, leading to mistargeting, chromatin disruption, and ultimately,
transcriptional dysregulation.
PMID- 22086064
TI - Novel anti-inflammatory effects of repaglinide in rodent models of inflammation.
AB - BACKGROUND: Repaglinide is an FDA-approved treatment for type 2 diabetes
mellitus. The anti-inflammatory effect of repaglinide in the absence of diabetes
has not been reported previously. It is the objective of this set of studies to
investigate the potential anti-inflammatory effects of repaglinide. METHOD: The
in vivo anti-inflammatory effects of repaglinide were studied in two different
models of delay type hyperreactivity (DTH) response induced by sheep red blood
cells (sRBC) and 2,5'-dinitrofluorobenzene (DNFB), and in two different rodent
models of lipopolysaccharide (LPS) challenge. RESULTS: In mice systemically
sensitized with sRBC, which subsequently received a local injection of sRBC in
the footpad, local swelling occurred within 24 h after challenge. Repaglinide was
efficacious in attenuating this response. In an orthogonal DTH model using DNFB
as the antigen, the animals received topical sensitization with DNFB on their
shaved backs, followed by topical challenge on the left ears. Repaglinide
efficaciously downregulated the resulting ear swelling response. In mice
challenged systemically or intratracheally with LPS, repaglinide significantly
decreased serum tumor necrosis factor alpha level and bronchial alveolar lavage
fluid MCP-1 levels, respectively. CONCLUSION: This set of data suggests novel
anti-inflammatory effects of repaglinide in nondiabetic animals. However, the
high dose required for an efficacious effect would make this application
impractical in the clinic.
PMID- 22086065
TI - Paraganglioma: not just an extra-adrenal pheochromocytoma.
AB - PURPOSE: Pheochromocytoma (PCC) and paraganglioma (PG) are evaluated and treated
similarly. This study evaluates the hypothesis that tumor characteristics and
outcome of patients with PCC and PG are equivalent. METHODS: Records of patients
from a single institution undergoing resection of PCC or PG from 1999 to 2010
were reviewed. Data were collected for demographics, operative records,
laboratory and pathologic results, adjuvant and palliative therapy given,
recurrence, and length of survival. Descriptive statistics were used to describe
differences between patients with benign and malignant PCC and PG. Analysis was
performed using the Wilcoxon-Mann-Whitney test with p = 0.05 considered as
significant. RESULTS: One hundred fifteen patients were identified (106 PCC and
nine PG). Of the tumors, 5.2% were bilateral and 10.4% were malignant. Forty
three of the 115 patients underwent genetic testing; 21 out of 37 (56.8%) PCC and
five out of six (83.3%) PG had a genetic mutation. Twelve patients (seven PCC and
five PG) had malignant tumors. Malignant PG (mPG) exhibited more invasive
pathologic characteristics. The median sizes of benign and malignant PCC (mPCC)
were 4.0 (0.7-14 cm) and 5.5 cm (3.7-11.2 cm), respectively, p = 0.03. The median
sizes of benign and mPG were 4.1 (2.7-5.4 cm) and 5.8 cm (4-6.2 cm),
respectively, p = 0.11. Sites of recurrence were similar between the groups.
Patients with mPG received chemotherapy more often than those with mPCC. With a
median follow-up of 54.7 months (2.0-185.3), two out of five mPG and zero out of
seven mPCC had died of the disease. CONCLUSION: Tumor size does not appear to
correlate with malignancy in a clinically significant manner. Malignant
paraganglioma may be more aggressive than malignant pheochromocytoma and is
frequently offered more adjuvant therapy. PCC and PG should be evaluated
separately in future analyses of these diseases.
PMID- 22086066
TI - High levels of cellular proliferation predict pseudoprogression in glioblastoma
patients.
AB - Radiochemotherapy (RT) with concomitant followed by monthly temozolomide (TMZ)
chemotherapy is the gold standard for the treatment of glioblastoma (GBM)
patients. GBM patients can experience transient radiological deterioration after
concurrent RT/TMZ that stabilizes or even resolves after additional cycles of
adjuvant TMZ, a phenomenon defined as radiological pseudoprogression. The aim of
this retrospective study was to identify a reliable marker associated with
pseudoprogression processes. Patients with histologically proven newly diagnosed
GBM were identified from a retrospective database between 2005 and 2009.
Predictive factors for pseudoprogression were analyzed from clinical,
radiological and biological data. Of the 130 analyzed patients, 63 underwent
RT/TMZ treatment followed by cycles of TMZ and were evaluated for radiological
responses every two months by magnetic resonance imaging. Early progression was
confirmed in 52% (33/63) of the patients, and, within this group, 21% (7/33)
displayed evidence of pseudo-progression. The predictive factors were evidenced
in terms of clinical or radiological findings. In GBM patients, the level of
cellular proliferation (Ki67 indices) emerged as a statistically significant
prognostic marker for distinguishing pseudoprogression from actual progression.
Our observation, suggesting that GBM associated with a high level of cellular
proliferation may differentiate tumor progression from pseudoprogression,
warrants further investigation in a large multi-center prospective study.
PMID- 22086067
TI - Translaminar screws of the axis--an alternative technique for rigid screw
fixation in upper cervical spine instability.
AB - C2 pedicle screws or transarticular atlantoaxial screws are technically demanding
and carry an increased risk of vertebral artery injury. In up to 20% of cases,
pedicle and transarticular screw placement is not possible due to a high-riding
vertebral artery or very small C2 pedicles in addition to other anatomical
variations. Translaminar screws have been reported to rigidly capture posterior
elements of C2 and therefore appear to be a suitable alternative. We present our
first experiences and clinical results with this new method in two neurosurgical
spine centers. Twenty-seven adult patients were treated between 2007 and 2010 in
two neurosurgical spine departments with C2 translaminar screw fixation for upper
cervical spine instability of various origins (e.g., trauma, tumor, dens
pseudarthrosis). Eight patients were men and 19 were women. Mean age was 68.9
years. In most cases, translaminar screws were used because of contraindications
for pedicle or transarticular screws as a salvage technique. All patients were
clinically assessed and had CT scans postoperatively to verify correct screw
placement. Follow-up was performed with reexamination on an ambulatory basis.
Mean follow-up was 7.6 months for all patients. In 27 patients, 52 translaminar
screws were placed. There were no intraoperative complications. Postoperatively,
we identified four screw malpositions using a new accuracy grading scale. One
screw had to be revised because of violation of the spinal canal >4 mm. None of
the patients had additional neurological deficits postoperatively, and all showed
stable cervical conditions at follow-up. Two patients died due to causes not
associated with the stabilization technique. The fusion rate for patients with
C1/C2 fixation is 92.9%. Translaminar screws can be used at least as an
additional technique for cases of upper cervical spine instability when pedicle
screw placement is contraindicated or not possible. The current data suggest
comparable biomechanical stability and fusion rates of translaminar screws to
other well-known posterior fixation procedures. In addition, translaminar screw
placement is technically less demanding and reduces the risk of vertebral artery
injury.
PMID- 22086068
TI - RNA-Seq of the xylose-fermenting yeast Scheffersomyces stipitis cultivated in
glucose or xylose.
AB - Xylose is the second most abundant lignocellulosic component besides glucose, but
it cannot be fermented by the widely used ethanol-producing yeast Saccharomyces
cerevisiae. The yeast Scheffersomyces stipitis, however, is well known for its
high native capacity to ferment xylose. Here, we applied next-generation
sequencing technology for RNA (RNA-Seq) to generate two high-resolution
transcriptional maps of the S. stipitis genome when this yeast was grown using
glucose or xylose as the sole carbon source. RNA-Seq revealed that 5,176 of 5,816
annotated open reading frames had a uniform transcription and that 214 open
reading frames were differentially transcribed. Differential expression analysis
showed that, compared with other biological processes, carbohydrate metabolism
and oxidation-reduction reactions were highly enhanced in yeast grown on xylose.
Measurement of metabolic indicators of fermentation showed that, in yeast grown
on xylose, the concentrations of cysteine and ornithine were twofold higher and
the concentrations of unsaturated fatty acids were also increased. Analysis of
metabolic profiles coincided with analysis of certain differentially expressed
genes involved in metabolisms of amino acid and fatty acid. In addition, we
predicted protein-protein interactions of S. stipitis through integration of gene
orthology and gene expression. Further analysis of metabolic and protein-protein
interactions networks through integration of transcriptional and metabolic
profiles predicted correlations of genes involved in glycolysis, the
tricarboxylic acid cycle, gluconeogenesis, sugar uptake, amino acid metabolism,
and fatty acid beta-oxidation. Our study reveals potential target genes for
xylose fermentation improvement and provides insights into the mechanisms
underlying xylose fermentation in S. stipitis.
PMID- 22086069
TI - Isolation and characterization of a Klebsiella oxytoca strain for simultaneous
azo-dye anaerobic reduction and bio-hydrogen production.
AB - A facultative anaerobic bacteria strain GS-4-08, isolated from an anaerobic
sequence batch reactor for synthetic dye wastewater treatment, was investigated
for azo-dye decolorization. This bacterium was identified as a member of
Klebsiella oxytoca based on Gram staining, morphology characterization and 16S
rRNA gene analysis. It exhibited a good capacity of simultaneous decolorization
and hydrogen production in the presence of electron donor. The hydrogen
production was less affected even at a high Methyl Orange (MO) concentration of
0.5 mM, indicating a superior tolerability of this strain to MO. This efficient
bio-hydrogen production from electron donor can not only avoid bacterial
inhibition due to accumulation of volatile fatty acids during MO decolorization,
but also can recover considerable energy from dye wastewater.
PMID- 22086070
TI - Conditional confined oscillatory dynamics of Escherichia coli strain K12-MG1655
in chemostat systems.
AB - A series of continuous- and sequencing-batch reactor experiments were performed
to assess the growth dynamics of Escherichia coli strain K12-MG1655 in chemostat
systems. Previous mathematical predictions and early experimental results had
shown that confined oscillatory dynamics ensue in bioreactor populations, which
relates to "group birth and death" events within the population. New results are
reported here that generally verify the predictions of the model and show that
confined oscillations occur under different initial conditions, but the
characteristics of the oscillatory dynamics vary as a function of the hydraulic
retention time (HRT). Bioreactors were operated at HRTs ranging from 2.7 to 35 h
and, regardless of initial conditions or the imposition of transient operational
instabilities, highly patterned oscillations developed when HRT was between ~3
and 8 h. However, outside of this range, bioreactor populations tended to form
biofilms on the reactor walls (although the majority of the cells remained
suspended in the bulk solution) and stable oscillations were not seen in the bulk
phase. This suggests that alternate operating "states" might exist in chemostat
populations with biofilm formation and non-homogenous spatial growth influencing
"system" dynamics at very low and high HRTs. Although the model accurately
predicts a confined dynamic equilibrium for mid-range HRT operations,
experimental data show that model predictions do not extend outside of this
range, when an alternate stable-state seems to be attained.
PMID- 22086071
TI - Biodegradation of polycyclic aromatic hydrocarbons by a halophilic microbial
consortium.
AB - In this study we investigated the phenanthrene degradation by a halophilic
consortium obtained from a saline soil sample. This consortium, named Qphe, could
efficiently utilize phenanthrene in a wide range of NaCl concentrations, from 1%
to 17% (w/v). Since none of the purified isolates could degrade phenanthrene,
serial dilutions were performed and resulted in a simple polycyclic aromatic
hydrocarbon (PAH)-degrading culture named Qphe-SubIV which was shown to contain
one culturable Halomonas strain and one unculturable strain belonging to the
genus Marinobacter. Qphe-SubIV was shown to grow on phenanthrene at salinities as
high as 15% NaCl (w/v) and similarly to Qphe, at the optimal NaCl concentration
of 5% (w/v), could degrade more than 90% of the amended phenanthrene in 6 days.
The comparison of the substrate range of the two consortiums showed that the
simplified culture had lost the ability to degrade chrysene but still could grow
on other polyaromatic substrates utilized by Qphe. Metabolite analysis by HPLC
and GC-MS showed that 2-hydroxy 1-naphthoic acid and 2-naphthol were among the
major metabolites accumulated in the Qphe-SubIV culture media, indicating that an
initial dioxygenation step might proceed at C1 and C2 positions. By investigating
the growth ability on various substrates along with the detection of catechol
dioxygenase gene, it was postulated that the uncultured Marinobacter strain had
the central role in phenanthrene degradation and the Halomonas strain played an
auxiliary role in the culture by utilizing phenanthrene metabolites whose
accumulation in the media could be toxic.
PMID- 22086072
TI - Fast crystallization of organic glass formers.
AB - An unusually fast crystallization of the organic glass former nifedipine has been
observed. The crystallization process, starting from an amorphous film to
crystalline material, was investigated by time resolved Raman microspectroscopy.
The crystallization rates of the initially crystallizing metastable beta-form are
four orders of magnitude higher than those of previous studies.
PMID- 22086073
TI - Charge state and adduct reduction in electrospray ionization-mass spectrometry
using solvent vapor exposure.
AB - The benefits of lowering protein ion charge states in electrospray ionization
(ESI) have attracted recent interest. We describe a simple approach to decrease
protein charge states by exposure of electrospray droplets to neutral solvent
vapor such as acetonitrile. The technique allows detection of weak noncovalent
complexes, provides preferred charge states for tandem mass spectrometry (MS/MS)
dissociation of protein complexes, and has the added benefit of reducing common
adducts, such as alkali metals, without the addition of solution additives or the
requirement for a secondary spray.
PMID- 22086074
TI - Bioconjugation of InGaP quantum dots for molecular sensing.
AB - Fluorescence-based molecular sensing and cellular imaging are commonly carried
out with the application of organic dyes. Quantum dots (QDs) are now recognized
as better tools because they are brighter, size tunable, and more photostable
than dyes. Most of the proposed QD-based biosensing systems involve elements of
known toxicity. The present work reports the functionalization of biocompatible
InGaP/ZnS core-shell QDs with anti-bovine serum albumin (anti-BSA) to exploit
them as fluorescent probes for antigen detection. Successful bioconjugation was
characterized with the absorption and emission spectra showing blue shifts of
around 40 and 30 nm, respectively. Gel electrophoresis and particle size
distribution studies further confirmed the mass increment of QDs after their
functionalization with anti-BSA. Surface plasmon resonance spectrometry has been
used to study the affinity of QD-(anti-BSA) probes for bovine serum albumin
(BSA). Photoluminescence quenching of the developed probe is observed in the
presence of BSA.
PMID- 22086075
TI - Isoeccentric locations are not equivalent: the extent of the vertical meridian
asymmetry.
AB - Performance in visual tasks is limited by the low-level mechanisms that sample
the visual field. It is well documented that contrast sensitivity and spatial
resolution decrease as a function of eccentricity and that those factors impair
performance in "higher level" tasks, such as visual search. Performance also
varies consistently at isoeccentric locations in the visual field. Specifically,
at a fixed eccentricity, performance is better along the horizontal meridian than
the vertical meridian, and along the lower than the upper vertical meridian.
Whether these asymmetries in visual performance fields are confined to the
vertical meridian or extend across the whole upper versus lower visual hemifield
has been a matter of debate. Here, we measure the extent of the upper versus
lower asymmetry. Results reveal that this asymmetry is most pronounced at the
vertical meridian and that it decreases gradually as the angular distance (polar
angle) from the vertical meridian increases, with eccentricity held constant.
Beyond 30 degrees of polar angle from the vertical meridian, the upper to lower
asymmetry is no longer reliable. Thus, the vertical meridian is uniquely
asymmetric and uniquely insensitive. This pattern of results is consistent with
early anatomical properties of the visual system and reflects constraints that
are critical to our understanding of visual information processing.
PMID- 22086076
TI - Chromosomal evolution in Rodentia.
AB - Rodentia is the most species-rich mammalian order and includes several important
laboratory model species. The amount of new information on karyotypic and
phylogenetic relations within and among rodent taxa is rapidly increasing, but a
synthesis of these data is currently lacking. Here, we have integrated
information drawn from conventional banding studies, recent comparative painting
investigations and molecular phylogenetic reconstructions of different rodent
taxa. This permitted a revision of several ancestral karyotypic reconstructions,
and a more accurate depiction of rodent chromosomal evolution.
PMID- 22086077
TI - The origin and evolution of vertebrate sex chromosomes and dosage compensation.
AB - In mammals, birds, snakes and many lizards and fish, sex is determined
genetically (either male XY heterogamy or female ZW heterogamy), whereas in
alligators, and in many reptiles and turtles, the temperature at which eggs are
incubated determines sex. Evidently, different sex-determining systems (and sex
chromosome pairs) have evolved independently in different vertebrate lineages.
Homology shared by Xs and Ys (and Zs and Ws) within species demonstrates that
differentiated sex chromosomes were once homologous, and that the sex-specific
non-recombining Y (or W) was progressively degraded. Consequently, genes are left
in single copy in the heterogametic sex, which results in an imbalance of the
dosage of genes on the sex chromosomes between the sexes, and also relative to
the autosomes. Dosage compensation has evolved in diverse species to compensate
for these dose differences, with the stringency of compensation apparently
differing greatly between lineages, perhaps reflecting the concentration of genes
on the original autosome pair that required dosage compensation. We discuss the
organization and evolution of amniote sex chromosomes, and hypothesize that
dosage insensitivity might predispose an autosome to evolving function as a sex
chromosome.
PMID- 22086078
TI - Chromosomal dynamics of nucleolar organizer regions (NORs) in the house mouse:
micro-evolutionary insights.
AB - Variation in the number and chromosomal location of nucleolar organizer regions
(NORs) was studied in the house mouse, Mus musculus (2n=40). From an origin in
Western Asia, this species colonized the Middle East, Europe and Asia. This
expansion was accompanied by diversification into five subspecies. NOR diversity
was revealed by fluorescence in situ hybridization using 18S and 28S probes on
specimens spanning Asia to Western Europe. The results showed that the house
mouse genome possessed a large number of NOR-bearing autosomes and a surprisingly
high rate of polymorphism for the presence/absence of rRNA genes on all these
chromosomes. All NOR sites were adjacent to the centromere except for two that
were telomeric. Subspecific differentiation established from the NOR frequency
data was concordant with the overall pattern of radiation proposed from molecular
studies, but highlighted several discrepancies that need to be further addressed.
NOR diversity in M. musculus consisted of a large number of polymorphic NORs that
were common to at least two subspecies, and a smaller number of NORs that were
unique to one subspecies. The most parsimonious scenario argues in favor of a
subspecific differentiation by lineage sorting of ancestral NOR polymorphisms;
only the unique NORs would have appeared by inter-chromosomal transposition,
except for the two telomeric ones that may have originated by hybridization with
another species. Such a scenario provides an alternative view from the one
prevailing in most systematic and phylogenetic analyses that NORs have a high
transposition rate due to concerted evolution of rRNA genes.
PMID- 22086079
TI - Chromosomal rearrangements and karyotype evolution in carnivores revealed by
chromosome painting.
AB - Chromosomal evolution in carnivores has been revisited extensively using cross
species chromosome painting. Painting probes derived from flow-sorted chromosomes
of the domestic dog, which has one of the most rearranged karyotypes in mammals
and the highest dipoid number (2n=78) in carnivores, are a powerful tool in
detecting both evolutionary intra- and inter-chromosomal rearrangements. However,
only a few comparative maps have been established between dog and other non
Canidae species. Here, we extended cross-species painting with dog probes to
seven more species representing six carnivore families: Eurasian lynx (Lynx
lynx), the stone marten (Martes foina), the small Indian civet (Viverricula
indica), the Asian palm civet (Paradoxurus hermaphrodites), Javan mongoose
(Hepestes javanicas), the raccoon (Procyon lotor) and the giant panda (Ailuropoda
melanoleuca). The numbers and positions of intra-chromosomal rearrangements were
found to differ among these carnivore species. A comparative map between human
and stone marten, and a map among the Yangtze finless porpoise (Neophocaena
phocaenoides asiaeorientalis), stone marten and human were also established to
facilitate outgroup comparison and to integrate comparative maps between stone
marten and other carnivores with such maps between human and other species. These
comparative maps give further insight into genome evolution and karyotype
phylogenetic relationships among carnivores, and will facilitate the transfer of
gene mapping data from human, domestic dog and cat to other species.
PMID- 22086081
TI - Genetic drift and rapid evolution of viviparity in insular fire salamanders
(Salamandra salamandra).
AB - Continental islands offer an excellent opportunity to investigate adaptive
processes and to time microevolutionary changes that precede macroevolutionary
events. We performed a population genetic study of the fire salamander
(Salamandra salamandra), a species that displays unique intraspecific diversity
of reproductive strategies, to address the microevolutionary processes leading to
phenotypic and genetic differentiation of island, coastal and interior
populations. We used eight microsatellite markers to estimate genetic diversity,
population structure and demographic parameters in viviparous insular populations
and ovoviviparous coastal and interior populations. Our results show considerable
genetic differentiation (F(ST) range: 0.06-0.27), and no clear signs of gene flow
among populations, except between the large and admixed interior populations. We
find no support for island colonization by rafting or intentional/accidental
anthropogenic introductions, indicating that rising sea levels were responsible
for isolation of the island populations approximately 9000 years ago. Our study
provides evidence of rapid genetic differentiation between island and coastal
populations, and rapid evolution of viviparity driven by climatic selective
pressures on island populations, geographic isolation with genetic drift, or a
combination of these factors. Studies of these viviparous island populations in
early stages of divergence help us better understand the microevolutionary
processes involved in rapid phenotypic shifts.
PMID- 22086082
TI - Proteomics and bioinformatics analysis of lovastatin-induced differentiation in
ARO cells.
AB - Lovastatin (lova), a 3-hydroxy-3-methylglutaryl coenzyme A reductase inhibitor,
can induce differentiation in cancer cells at low concentration, thus having
potential to be used as an auxiliary agent in cancer therapy. However, biological
networks associated with the differentiation effect of lova have not been
elucidated. To investigate molecular mechanisms of lova, the present study was
aimed at proteomics and bioinformatics analyses on anaplastic thyroid cancer cell
line ARO differentiated with low concentration of lova. Thyroid differentiation
was induced by treating ARO cells with 25 MUM of lova and confirmed by checking
upregulation of some thyroid differentiation markers. Gel-based proteomics
analysis was then performed to identify proteins differentially expressed between
undifferentiated and lova-differentiated ARO cells. Bioinformatics analysis was
finally performed to estimate biological networks regulated by lova. Our results
showed that lova impacted on proteins involved in protein folding, biomolecule
metabolism, signal transduction, protein expression and protein degradation.
Specifically, transfecting ARO cells with plasmid DNA encoding flotillin 1
(FLOT1) up-regulated the thyroid differentiation markers, indicating that FLOT1
might at least partially mediate the lova-induced thyroid differentiation. These
data may shed light on the mechanism underlying lova-induced re-differentiation
of thyroid cancer, and give a rationale for clinical use of lova as an auxiliary
agent in cancer therapy.
PMID- 22086080
TI - Characterising chromosome rearrangements: recent technical advances in molecular
cytogenetics.
AB - Genomic rearrangements can result in losses, amplifications, translocations and
inversions of DNA fragments thereby modifying genome architecture, and
potentially having clinical consequences. Many genomic disorders caused by
structural variation have initially been uncovered by early cytogenetic methods.
The last decade has seen significant progression in molecular cytogenetic
techniques, allowing rapid and precise detection of structural rearrangements on
a whole-genome scale. The high resolution attainable with these recently
developed techniques has also uncovered the role of structural variants in normal
genetic variation alongside single-nucleotide polymorphisms (SNPs). We describe
how array-based comparative genomic hybridisation, SNP arrays, array painting and
next-generation sequencing analytical methods (read depth, read pair and split
read) allow the extensive characterisation of chromosome rearrangements in human
genomes.
PMID- 22086083
TI - Serum proteome analysis of vivax malaria: An insight into the disease
pathogenesis and host immune response.
AB - Vivax malaria is the most widely distributed human malaria resulting in 80-300
million clinical cases every year. It causes severe infection and mortality but
is generally regarded as a benign disease and has not been investigated in
detail. The present study aimed to perform human serum proteome analysis in a
malaria endemic area in India to identify potential serum biomarkers for vivax
malaria and understand host response. The proteomic analysis was performed on 16
age and gender matched subjects (vivax patients and control) in duplicate.
Protein extraction protocols were optimized for large coverage of the serum
proteome and to obtain high-resolution data. Identification of 67 differentially
expressed and statistically significant (Student's t-test; p<0.05) protein spots
was established by MALDI-TOF/TOF mass spectrometry. Many of the identified
proteins such as apolipoprotein A and E, serum amyloid A and P, haptoglobin,
ceruloplasmin, and hemopexin are interesting from a diagnostic point of view and
could further be studied as potential serum biomarkers. The differentially
expressed serum proteins in vivax malaria identified in this study were subjected
to functional pathway analysis using multiple software, including Ingenuity
Pathway Analysis (IPA), Protein ANalysis THrough Evolutionary Relationships
(PANTHER) and Database for Annotation, Visualization and Integrated Discovery
(DAVID) functional annotation tool for better understanding of the biological
context of the identified proteins, their involvement in various physiological
pathways and association with disease pathogenesis. Functional pathway analysis
of the differentially expressed proteins suggested the modulation of multiple
vital physiological pathways, including acute phase response signaling,
complement and coagulation cascades, hemostasis and vitamin D metabolism pathway
due to this parasitic infection. This article is part of a Special Issue
entitled: Proteomics: The clinical link.
PMID- 22086084
TI - Selective intraarterial injection of ICG for fluorescence angiography as a guide
to extirpate perimedullary arteriovenous fistulas.
AB - BACKGROUND: Fluorescence angiography with indocyanine green (ICG) provides real
time information regarding the patency of vessels. To enhance the capability to
delineate flow direction, flow velocity and sequence of dye filling in different
components of complex spinal vascular lesions such as perimedullary arteriovenous
fistulas (AVFs), we tried selective intraarterial injection of ICG with
catheterization in the proximity of the AVFs. METHOD: Prior to taking the
position for surgery, a metallic introducer sheath was placed into the femoral
artery, and placed over the patient's lateral buttock. After the exposure of the
AVFs, a standard angiographic catheter was advanced into the proximal portion of
the feeding artery and a small volume of diluted ICG (0.06 mg in 5 ml saline for
one examination) injected repeatedly. To avoid the thromboembolism, heparinized
saline was perfused continuously thorough the catheter and sheath. FINDINGS: The
small injection volume and the close proximity of the injection site to the
lesions resulted in quick rise and fall of the fluorescence without any
background. Time and spatial resolution of analysis were enhanced; flow dynamics
such as direction, velocity and alteration after temporary occlusion were well
visualized. The feeders and drainers were clearly distinguished, and the shunts
could be precisely identified. CONCLUSIONS: Selective intraarterial injection ICG
fluorescence angiography was very useful for perimedullary AVFs. Albeit that it
requires intraoperative selective catheterization, this repeatable technique has
an advantage to improve temporary resolution and provides accurate information of
the flow dynamics through the complex anatomy of vascular lesions.
PMID- 22086085
TI - Temporal resolution for calling song signals by female crickets, Gryllus
bimaculatus.
AB - A behavioural gap detection paradigm was used to determine the temporal
resolution for song patterns by female crickets, Gryllus bimaculatus. For stimuli
with a modulation depth of 100% the critical gap duration was 6-8 ms. A reduction
of the modulation depth of gaps to 50% led either to an increase or a decrease of
the critical gap duration. In the latter case, the critical gap duration dropped
to 3-4 ms indicating a higher sensitivity of auditory processing. The response
curve for variation of pulse period was not limited by temporal resolution.
However, the reduced response to stimuli with a high duty cycle, and thus short
pause durations, was in accordance with the limits of temporal resolution. The
critical duration of masking pulses inserted into pauses was 4-6 ms. An analysis
of the songs of males revealed that gaps (5.8 ms) and masking pulses (6.9 ms)
were at detectable time scales for the auditory pathway of female crickets.
However, most of the observed temporal variation of song patterns was tolerated
by females. Critical cues such as pulse period and pulse duty cycle provided
little basis for inter-individual selection by females.
PMID- 22086086
TI - Controlled synthesis and size-dependent thermal conductivity of Fe3O4 magnetic
nanofluids.
AB - The effect of nanoparticle size (4~44 nm) on the thermal conductivities of heat
transfer oils has been systematically examined using iron oxide nanoparticles.
Such Fe(3)O(4) nanoparticles were synthesized by a simple one-pot pyrolysis
method. The size (16~44 nm), shape and assembly patterns of monodisperse
Fe(3)O(4) nanoparticles were modulated by only controlling the amount of
Fe(acac)(3). After the as-prepared Fe(3)O(4) NPs were dispersed in heat transfer
oils, the prepared magnetic nanofluids exhibit higher thermal conductivity than
heat transfer oils, and the enhanced values increase with a decrease in particle
size. In addition, the viscosities of all nanofliuids are remarkably lower than
that of the base fluid, which has been found for the first time in the nanofluid
field. The promising features offer potential application in thermal energy
engineering.
PMID- 22086089
TI - Correction.
PMID- 22086087
TI - Transcriptomic analysis reveals calcium regulation of specific promoter motifs in
Arabidopsis.
AB - Increases in intracellular calcium concentration ([Ca(2+)](c)) mediate plant
responses to stress by regulating the expression of genes encoding proteins that
confer tolerance. Several plant stress genes have previously been shown to be
calcium-regulated, and in one case, a specific promoter motif Abscisic Acid
Responsive-Element (ABRE) has been found to be regulated by calcium. A
comprehensive survey of the Arabidopsis thaliana transcriptome for calcium
regulated promoter motifs was performed by measuring the expression of genes in
Arabidopsis seedlings responding to three calcium elevations of different
characteristics, using full genome microarray analysis. This work revealed a
total of 269 genes upregulated by [Ca(2+)](c) in Arabidopsis. Bioinformatic
analysis strongly indicated that at least four promoter motifs were [Ca(2+)](c)
regulated in planta. We confirmed this finding by expressing in plants chimeric
gene constructs controlled exclusively by these cis-elements and by testing the
necessity and sufficiency of calcium for their expression. Our data reveal that
the C-Repeat/Drought-Responsive Element, Site II, and CAM box (along with the
previously identified ABRE) promoter motifs are calcium-regulated. The
identification of these promoter elements targeted by the second messenger
intracellular calcium has implications for plant signaling in response to a
variety of stimuli, including cold, drought, and biotic stress.
PMID- 22086088
TI - O-acetylation of Arabidopsis hemicellulose xyloglucan requires AXY4 or AXY4L,
proteins with a TBL and DUF231 domain.
AB - In an Arabidopsis thaliana forward genetic screen aimed at identifying mutants
with altered structures of their hemicellulose xyloglucan (axy mutants) using
oligosaccharide mass profiling, two nonallelic mutants (axy4-1 and axy4-2) that
have a 20 to 35% reduction in xyloglucan O-acetylation were identified. Mapping
of the mutation in axy4-1 identified AXY4, a type II transmembrane protein with a
Trichome Birefringence-Like domain and a domain of unknown function (DUF231).
Loss of AXY4 transcript results in a complete lack of O-acetyl substituents on
xyloglucan in several tissues, except seeds. Seed xyloglucan is instead O
acetylated by the paralog AXY4like, as demonstrated by the analysis of the
corresponding T-DNA insertional lines. Wall fractionation analysis of axy4
knockout mutants indicated that only a fraction containing xyloglucan is non-O
acetylated. Hence, AXY4/AXY4L is required for the O-acetylation of xyloglucan,
and we propose that these proteins represent xyloglucan-specific O
acetyltransferases, although their donor and acceptor substrates have yet to be
identified. An Arabidopsis ecotype, Ty-0, has reduced xyloglucan O-acetylation
due to mutations in AXY4, demonstrating that O-acetylation of xyloglucan does not
impact the plant's fitness in its natural environment. The relationship of AXY4
with another previously identified group of Arabidopsis proteins involved in
general wall O-acetylation, reduced wall acetylation, is discussed.
PMID- 22086090
TI - Relationships between glide efficiency and swimmers' size and shape
characteristics.
AB - Glide efficiency, the ability of a body to minimize deceleration over the glide,
can change with variations in the body's size and shape. The purpose of this
study was to investigate the relationships between glide efficiency and the size
and shape characteristics of swimmers. Eight male and eight female swimmers
performed a series of horizontal glides at a depth of 70 cm below the surface.
Glide efficiency parameters were calculated for velocities ranging from 1.4 to
1.6 m/s for female swimmers (and at the Reynolds number of 3.5 million) and from
1.6 to 1.8 m/s for male swimmers (and at the Reynolds number of 4.5 million).
Several morphological indices were calculated to account for the shape
characteristics, with the use of a photogrammetric method. Relationships between
the variables of interest were explored with correlations, while repeated
measures ANOVA was used to assess within-group differences between different
velocities for each gender group. Glide efficiency of swimmers increased when
velocity decreased. Some morphological indices and postural angles showed a
significant correlation with glide efficiency. The glide coefficient was
significantly correlated to the chest to waist taper index for both gender
groups. For the male group, the glide coefficient correlated significantly to the
fineness ratio of upper body, the chest to hip cross-section. For the female
group the glide coefficient had a significant correlation with the waist to hip
taper index. The findings suggested that gliding efficiency was more dependent on
shape characteristics and appropriate postural angles rather than being dependent
on size characteristics.
PMID- 22086091
TI - Interlaminar versus transforaminal epidural steroids for the treatment of
subacute lumbar radicular pain: a randomized, blinded, prospective outcome study.
AB - BACKGROUND: There is uncertainty in the literature over the relative
effectiveness of lumbar epidural interlaminar (IL) steroid injection versus
transforaminal (TF) steroid injection for lumbar radiculopathy. Most studies to
date have been retrospective, or technically focused. OBJECTIVE: To complete a
randomized, blinded, prospective outcome study of the short-term benefit for IL
versus TF epidural steroids for the treatment of subacute lumbar radicular pain.
STUDY DESIGN: Prospective, randomized, blinded, subacute efficacy trial. SETTING:
Tertiary care pain management center, major metropolitan city, United States.
METHODS: After institutional review board approval, 42 age-matched patients with
similar lower back pain and unilateral radicular symptoms were enrolled and
randomized in a patient and evaluating physician blinded trial to IL or TF
epidural steroids from 2007 through 2009. Prior to intervention and 10-16 days
after injection, each participant was evaluated by questionnaire and physical
exam by an independent physician. All injections were performed by the same
physician. Thirty-eight participants completed the study, 18 in the IL group and
20 in the TF group. Four participants required a repeat injection, and 2
participants crossed over to the alternative injection type (IL to TF). RESULTS:
Overall, physical exam, diagnostic testing, disability, activity, depression
measures, and opioid pill use were similar between the 2 groups, both pre
injection baseline and post-injection improvement. In primary outcomes, the post
injection follow-up Numeric Rating Scale (NRS) was more greatly reduced in the TF
group. The NRS decreased from 7.0 +/- 1.9 to 3.9 +/- 3.1 (mean values +/-
standard deviation) in the IL group and 6.4 +/- 2.1 to 1.7 +/- 1.4 in the TF
group. The Oswestry Disability Index was reduced from 37.5 +/- 12.6 to 19.0 +/-
16.7 in the IL group and 38.3 +/- 6.4 to 21.6 +/- 16.8 in the TF group. In
secondary outcomes, the depression scale was reduced from 4.39 +/- 3.22 to 2.28
+/- 3.20 in the IL group and 4.10 +/- 1.94 to 1.65 +/- 1.63 in the TF group.
Walking tolerance was increased from 8.1 +/- 4.6 blocks to 10.6 +/- 4.4 in the IL
group and 8.9 +/- 5.3 blocks to 11.8 +/- 4.2 in the TF group. LIMITATIONS: The
study did not examine long-term outcomes. A single experienced interventionalist
performed all injections. CONCLUSION: Results suggest that patients may
experience greater subjective relief, at least initially, from TF epidural
steroid injections over IL. However, more objective, and likely subacute,
therapeutic effects are similar.
PMID- 22086092
TI - Rates of lead migration and stimulation loss in spinal cord stimulation: a
retrospective comparison of laminotomy versus percutaneous implantation.
AB - BACKGROUND: Neuromodulation has been used to treat neuropathic pain. Leads have
been implanted using laminotomy or percutaneous approaches. Laminotomy
implantation has been shown to be superior in terms of lead migration when
compared to percutaneous implantation. Lead migration has been reported as high
as 68% with the percutaneous approach. Because of this, newer anchors have been
developed but not tested in vivo. OBJECTIVES: This study tests the hypothesis
that newer anchoring systems have improved lead migration rates for percutaneous
leads relative to laminotomy leads to the point of parity. This study also
analyzed if factors such as laterality of symptoms, lead type, level of implant
and diagnosis affect migration rates. STUDY DESIGN: Neurostimulators implanted in
the thoracolumbar spine at Henry Ford Hospital between 2006 and 2008 were
reviewed for the following: age, sex, diagnosis, lead type, and implant level.
Implants were reviewed for the following: age, sex, diagnosis, lead type, implant
level, implant method, symptom laterality, loss of stimulation, radiographic lead
migration, and time to loss. Loss of capture and lead migration in the laminotomy
and percutaneous groups were compared using Fisher's exact test. Variables within
each group included: lead type, level of implantation, location of symptoms, and
diagnosis. They were compared using Fisher's exact test. Time to loss of
stimulation was compared using the Wilcoxon 2-sample test. SETTING: Pain Clinic,
Henry Ford Hospital, Detroit, MI. RESULTS: Laminotomies were performed by a
single neurosurgeon and percutaneous implants were performed by a single pain
medicine specialist. Percutaneous leads were anchored using Titan (Medtronic
Corporation, Minneapolis, MN) anchors. Loss of capture was 24% laminotomy and 23%
percutaneous with no significant difference between the 2 groups (P = 0.787).
Radiographic evidence of migration was 13.63% percutaneous and 12.67% laminotomy
with no significant difference (P = 0.999). The average days to loss of
stimulation for the laminotomy versus percutaneous were as follows: 124.82 and
323.6 which were not statistically significant. There was no statistical
difference in the days to loss of capture between the groups (P = 0.060). There
was no significant difference between unilateral or bilateral symptoms in loss of
capture within either group (P = 0.263, P = 0.326). There was not enough data to
do comparisons by diagnosis. Comparisons of loss of capture based on electrode
type was not significant in either group (P = 0.687, P = 0.371). The effect of
the spinal level on the lack of recapture rates was not able to be calculated due
to the number of levels. LIMITATIONS: Retrospective study. CONCLUSION: Rates of
stimulation loss and radiographic lead migration are similar for both laminotomy
and percutaneous implantation. Time to loss of stimulation was not statistically
different in either group, although there was a trend toward laminotomy leads
migrating earlier. Lead type and laterality of symptoms do not affect lead
migration rates. The effect of the level of implant and diagnosis was
indeterminate.
PMID- 22086093
TI - Use of observational mechanical gateway connector in spinal cord stimulation
trials.
AB - BACKGROUND: Spinal cord stimulation (SCS) is an established treatment option for
chronic pain. Prior to permanent implantation, temporary trials are performed to
evaluate the SCS treatment. Currently there are multiple manufacturers with
varying fundamental differences in delivery and resultant paresthesias. However,
trials are typically limited to one manufacturer for the patient to evaluate.
OBJECTIVE: To evaluate the role of the Observational Mechanical Gateway (OMG)
Connector for patients undergoing SCS trials. STUDY DESIGN: Retrospective cohort
design study. Patients undergoing SCS trials were offered at the end of the 7 day
trial to experience stimulation using the OMG Connector. SETTING: Academic
university-based pain management center. METHOD: Participants were trialed using
the OMG Connector at the end of the 7 day spinal cord stimulation trial. Data
based on participants' preference were collected. RESULTS: The average pain score
at baseline was 7.3 on a 10-point scale overall, with improvement during the SCS
trial to 2.9 overall; 3.5 in Medtronic (MT); and 2.4 in St. Jude (SJ) SCS trials
(P = 0.04). The average pain score with OMG was 2.6 overall; 2.8 in MT; and 2.4
in SJ (P = 0.28). In terms of overall coverage of pain distribution, paresthesia
and overall satisfaction, the P values were 0.24, 0.21 and 0.33 respectively.
Overall, 12 of 16 participants underwent permanent implantation. One of the 4
failed trials was successfully retrialed with the OMG Connector. LIMITATIONS:
Small sample of participants and the duration of the OMG Connector trial.
CONCLUSIONS: The OMG Connector offers patients another opportunity to better
access the available treatment options during the SCS trial period.
PMID- 22086094
TI - Ultrasound-guided interventional release of rotator interval and posteroinferior
capsule for adhesive capsulitis of the shoulder using a specially designed
needle.
AB - BACKGROUND: Adhesive capsulitis is a common but poorly understood disorder of the
shoulder. Various treatments have been developed to manage this condition, but
the efficacy of these treatments is controversial. We developed an ultrasound
guided, minimally invasive interventional technique to manage adhesive capsulitis
of the shoulder using a specially designed needle. OBJECTIVE: To describe the
methodological approach of our intervention. STUDY DESIGN: Technical report.
SETTING: Pain management clinic. METHODS: Thirteen participants with adhesive
capsulitis of the shoulder underwent ultrasound-guided interventional release of
the rotator interval and posteroinferior capsule using a specially designed
curved Round Needle. A convex ultrasound probe was placed along the oblique
coronal plane over the supraspinatus muscle and acromion. Under ultrasonographic
guidance, the needle was passed through the supraspinatus muscle and superior
labrum and reached the rotator interval. It was moved forward and backward until
no resistance was felt. After finishing the rotator interval release, the needle
was inserted toward the posteroinferior capsule. While the operator released the
adhesion in the posteroinferior capsule by moving the needle forward and
backward, an assistant gradually abducted the shoulder. When full abduction of
the affected shoulder was achieved, the intervention was completed. RESULTS:
Following our intervention, significant improvements in pain levels, glenohumeral
range of motion, and Quick Disability of the Arm, Shoulder, and Hand scores were
attained at follow-up. LIMITATIONS: Technical report only. Efficacy of this
technique is beyond the scope of the article. CONCLUSION: Ultrasound-guided
interventional release of the rotator interval and posteroinferior capsule
appears to have clinical significance in the management of adhesive capsulitis of
the shoulder.
PMID- 22086095
TI - Kyphoplasty for the treatment of vertebral compression fractures in a cancer
patient with neurological deficits and anterior vertebral wall destruction.
AB - BACKGROUND: Percutaneous balloon kyphoplasty is an effective, minimally invasive
procedure that is used to relieve pain and stabilize spine fractures caused by
severe osteoporosis or osteolysis due to tumor metastasis. However, there remains
a risk of bone cement leakage during and after kyphoplasty, especially in cases
with severe vertebral wall destruction or neurological deficits. OBJECTIVE: This
article presents a case in which kyphoplasty was used to manage these
complications in a woman with vertebral compression fractures caused by tumor
metastasis. STUDY DESIGN: Case report. SETTING: Pain management clinic. METHODS:
The patient was a 76-year-old woman who had severe low back pain, lower extremity
weakness, and cauda equina syndrome because of vertebral compression fracture and
spinal metastasis with epidural involvement. The patient had a large bony defect
in the vertebra that the bone filler device could pass freely through the
anterior body wall. Nevertheless, kyphoplasty was successfully performed by using
our new cement injection technique, which is a slow injection of the highly
viscous bone cement, followed by a second injection 10 minutes later to allow the
previously injected cement to harden. RESULTS: The procedure significantly
alleviated all symptoms. The day after the procedure, in the absence of
additional pain medication, the pain had dropped dramatically to a numerical
rating scale 3-4, and there was an improvement in motor function that allowed the
patient to sit and go to the bathroom by herself. In addition, the voiding
sensation had returned, which allowed the patient to defecate and urinate
normally. LIMITATIONS: This report describes a single case report. CONCLUSION:
Our new cement injection technique may allow balloon kyphoplasty to be safely and
effectively performed in cancer patients with pathological vertebral compression
fractures, even if there are large defects in the anterior vertebral wall and
neurological deficits.
PMID- 22086096
TI - An analysis of reasons for failed back surgery syndrome and partial results after
different types of surgical lumbar nerve root decompression.
AB - BACKGROUND: Despite the evident progress in treating vertebral column
degenerative diseases, the rate of a so-called "failed back surgery syndrome"
associated with pain and disability remains relatively high. However, this term
has an imprecise definition and includes several different morbid conditions
following spinal surgery, not all of which directly illustrate the efficacy of
the applied technology; furthermore, some of them could even be irrelevant.
OBJECTIVE: To evaluate and systematize the reasons for persistent pain syndromes
following surgical nerve root decompression. STUDY DESIGN: Prospective,
nonrandomized, cohort study of 138 consecutive patients with radicular pain
syndromes, associated with nerve root compression caused by lumbar disc
herniation, and resistant to conservative therapy for at least one month. The
minimal period of follow-up was 18 months. SETTING: Hospital outpatient
department, Russian Federation METHODS: Pre-operatively, patients were examined
clinically, applying the visual analog scale (VAS), Oswestry Disability Index
(ODI), magnetic resonance imaging (MRI), discography and computed tomography
(CT). According to the disc herniation morphology and applied type of surgery,
all participants were divided into the following groups: for those with disc
extrusion or sequester, microdiscectomy was applied (n = 65); for those with disc
protrusion, nucleoplasty was applied (n = 46); for those with disc extrusion,
nucleoplasty was applied (n = 27). After surgery, participants were examined
clinically and the VAS and ODI were applied. All those with permanent or
temporary pain syndromes were examined applying MRI imaging, functional
roentgenograms, and, to validate the cause of pain syndromes, different types of
blocks were applied (facet joint blocks, paravertebral muscular blocks,
transforaminal and caudal epidural blocks). RESULTS: Group 1 showed a
considerable rate of pain syndromes related to tissue damage during the
intervention; the rates of radicular pain caused by epidural scar and myofascial
pain were 12.3% and 26.1% respectively. Facet joint pain was found in 23.1% of
the cases. Group 2 showed a significant rate of facet joint pain (16.9%) despite
the minimally invasive intervention. The specificity of Group 3 was the very high
rate of unresolved or recurred nerve root compression (63.0%); in other words, in
the majority of cases, the aim of the intervention was not achieved. The results
of the applied intervention were considered clinically significant if 50% pain
relief on the VAS and a 40% decrease in the ODI were achieved. LIMITATIONS: This
study is limited because of the loss of participants to follow-up and because it
is nonrandomized; also it could be criticized because the dynamics of numeric
scores were not provided. CONCLUSION: The results of our study show that an
analysis of the reasons for failures and partial effects of applied interventions
for nerve root decompression may help to understand better the efficacy of the
interventions and could be helpful in improving surgical strategies, otherwise
the validity of the conclusion could be limited because not all sources of
residual pain illustrate the applied technology efficacy. In the majority of
cases, the cause of the residual or recurrent pain can be identified, and this
may open new possibilities to improve the condition of patients presenting with
failed back surgery syndrome.
PMID- 22086097
TI - Predictors for persistent neuropathic pain--a Delphi survey.
AB - BACKGROUND: Chronic neuropathic pain has a major effect on quality of life. In
order to prevent neuropathic pain from becoming chronic and improve neuropathic
pain care, it is important to identify predictors associated with the persistence
of neuropathic pain. OBJECTIVE: To identify potential predictors associated with
the persistence of neuropathic pain. STUDY DESIGN: A 2-round Delphi study.
SETTING: University Medical Center and Pain Management Research Center. METHODS:
A 2-round Delphi study was conducted among 17 experts in the field of neuropathic
pain. Selection of the panel was based on the citation index ranking for
neuropathic pain-related research and/or membership in the neuropathic pain
special interest group of the International Association for the Study of Pain
(IASP), complemented with experts with demonstrated field knowledge.Potential
predictors were categorized according to the International Classification of
Functioning, Disability and Health model. Participants were asked to identify
important predictors, suggest new predictors, and grade the importance on a 0-10
scale. For the second round, predictors were considered important if the median
score was >= 7 and the interquartile range (IQR) <= 3. RESULTS: In the first
round, 20 predictors were selected and 58 were added by the experts (patient
characteristics [15], environmental factors [25], functions & structure [4],
participation & health related quality of life [14]). In the second round, 12
predictors were considered important (patient characteristics [4; e.g.,
depression, pain catastrophizing], environmental factors [surgery as treatment
for neuropathic pain], functions & structure [6; e.g., allodynia, duration of the
complaints], participation & trait anxiety/depression as a part of health related
quality of life). Presence of depression and pain catastrophizing were considered
the most important predictors for chronic neuropathic pain (median >= 8; IQR <=
2). LIMITATIONS: The study design did not include plenary discussion among the
experts. The meaning of the individual topics used in this study could have been
subject to interpretation bias. CONCLUSIONS: Overall, psychological factors and
factors related to sensory disturbances were considered important predictors for
persistence of neuropathic pain. Activity related factors and previously received
paramedical and alternative treatment were considered to be less important. The
list of possible predictors obtained by this study may serve as a basis for
development of a clinical prediction rule for chronic neuropathic pain.
PMID- 22086098
TI - Vertebral augmentation and radiation therapy: which should be given first to
patients with malignant vertebral compression fractures?
PMID- 22086100
TI - Feasibility study of rapid opioid rotation and titration: is it truly feasible or
paradoxical?
PMID- 22086102
TI - One more beers? It's time to STOPP!
PMID- 22086103
TI - Treatment considerations in painful HIV-related neuropathy.
AB - BACKGROUND: Human immunodeficiency virus (HIV)-related distal sensory
polyneuropathy (DSP) is the most common HIV-associated sensory neuropathy. The
envelope glycoprotein of HIV-1, gp 120, appears to contribute to this painful
neuropathy. Two standard treatments for HIV infection/HIV-related painful DSP
(e.g., antiviral therapy [e.g., nucleoside reverse transcriptase inhibitors
(NRTI)] opioids) should each be carefully evaluated prior to being utilized to
ameliorate the pain of DSP, since they may actually promote nociception.
Nucleoside reverse transcriptase inhibitors require activation in the cell via
the addition of 3 phosphate groups (by cellular kinases) to their deoxyribose
moiety, to form NRTI triphosphates. Subsequently, these deoxynucleotide analogs
compete with natural deoxynucleotides for incorporation into the growing viral
DNA chain. The incorporation of NRTIs into the viral DNA chain leads to chain
termination; since the nucleoside reverse transcriptase inhibitors lack a 3'
hydroxyl group on the deoxyribose moiety (unlike natural deoxynucleotides), so
that the next incoming deoxynucleotide cannot form the next 5'-3' phosphodiester
bond needed to extend the DNA chain. Unfortunately, many conventional agents
utilized as pharmacologic therapy for neuropathic pain are not effective for
providing satisfactory analgesia in painful HIV-related distal sensory
polyneuropathy. Although there is no robust data, there does seem to be
information which would support the notion of opioids having increased risk of
being particularly pronociceptive when being used to treat painful HIV-related
neuropathy. It thus appears conceivable that the use of at least certain opioids
in efforts to achieve analgesia in patients with painful HIV-related neuropathy
may be less than ideal since at least certain opioid analgesics themselves may
potentially contribute to "fueling the fire" of HIV enhanced pain
hypersensitivity; at least in part via upregulation of specific chemokine
receptors (e.g., CXCR4) which seem to be vitally important in promoting HIV
related pain facilitation. The risk benefit ratio of treatment with agents such
as NRTIs as well as opioids should be reviewed for specific individual patients,
prior to clinicians initiating these agents. OBJECTIVES: To raise awareness of
the theoretical potential downside that opioids may possess if they are used for
the treatment of painful HIV-related neuropathy. METHODS: A narrative review of
selected literature. LIMITATIONS: Hypothetical in nature. CONCLUSIONS: Clinicians
should consider all aspects of various therapeutic options, carefully weighing
the risk/benefit ratios of each potential treatment before initiating opioids for
painful HIV-related neuropathy.
PMID- 22086104
TI - [Salt consumption and cardiovascular risk : a plea for salt reduction].
AB - High salt intake over long term is associated with increased incidence of
arterial, predominantly systolic, hypertension and increased risk of
cardiovascular diseases, e.g., stroke, heart failure, and renal insufficiency.
High salt consumption is a vascular risk factor generating aortic stiffness and
decreased vascular compliance leading to central blood pressure augmentation,
higher cardiac load, and diminished diastolic perfusion. The development of heart
failure can be a consequence of this sequelae. Randomized trials show a reduction
in blood pressure with lower sodium intake. In long-term clinical trials, a
reduction in cardiovascular morbidity and mortality has been demonstrated.
Recommendations should emphasize the simultaneous reduction in sodium intake and
increase in potassium intake.
PMID- 22086105
TI - [Risk reduction in cardiovascular diseases by physical activity].
AB - A sedentary lifestyle or physical inactivity is recognized as an important risk
factor for cardiovascular diseases. Regular physical activity improves muscular
function, cardiac function, and metabolic syndrome-related disorders. Leisure
time physical activity reduces all-cause mortality by 22-34% and cardiovascular
mortality by 27-35%. These data have been shown in many prospective cohort
studies and published in four large meta-analyses with more than 800,000
participants (evidence IA). The risk reduction is somewhat more pronounced in the
elderly and in women (IB). In addition to reduced mortality, physical activity
also improves cardiopulmonary function and quality of life (IB). This also holds
true for coronary artery disease, cardiac failure, and arterial hypertension with
high-grade evidence (IA). Furthermore, evidence has been shown a risk reduction
in stroke, development of cognitive dysfunction, and intermittent claudication.
Training recommendations for physical activity have reached high-grade evidence
(IA). Therefore, regular physical activity is one of the most important
components of a healthy lifestyle. All physicians should ask their patients at
all clinic and office visits about physical activity and recommend activity for
prevention and therapy.
PMID- 22086106
TI - [Treatment of autoimmune liver diseases. Autoimmune hepatitis and primary
sclerosing cholangitis].
AB - Since the 1950s, autoimmune hepatitis has been recognized as a chronic liver
disease that responds to treatment with steroids. Nevertheless, even today 25% of
all diagnosed patients still present with liver cirrhosis, which emphasizes the
importance of a timely and efficient diagnosis. Steroid-containing
immunosuppression is characterized by a high rate of unwanted side effects. For
non-cirrhotic patients, the synthetic steroid budesonide, which is characterized
by high first-pass metabolism, represents a study-evaluated alternative. In the
new guidelines for the management of primary sclerosing cholangitis, the
therapeutic role of ursodeoxycholic acid has been modified, with no other
effective alternative drugs being available. Management of sclerosing cholangitis
is also made more difficult by the high risk of cholangiocarcinoma and colon
carcinoma in addition to new differential diagnoses such as secondary sclerosing
cholangitis and IgG4-associated cholangitis. IgG4-associated cholangitis can
mimic primary sclerosing cholangitis but--in contrast-- can respond to the
administration of steroid-containing immunosuppression.
PMID- 22086107
TI - Interpretation of array comparative genome hybridization data: a major challenge.
AB - The advent and application of high-resolution array-based comparative genome
hybridization (array CGH) has led to the detection of large numbers of copy
number variants (CNVs) in patients with developmental delay and/or multiple
congenital anomalies as well as in healthy individuals. The notion that CNVs are
also abundantly present in the normal population challenges the interpretation of
the clinical significance of detected CNVs in patients. In this review we will
illustrate a general clinical workflow based on our own experience that can be
used in routine diagnostics for the interpretation of CNVs.
PMID- 22086108
TI - Effect of progesterone as a tocolytic and in maintenance therapy during preterm
labor.
AB - AIMS: To assess the efficacy of vaginal micronized natural progesterone as a
tocolytic and in maintenance therapy during threatened preterm birth. METHODS:
Eighty-three women with symptoms of threatened preterm birth were either
randomized to study groups receiving tocolytic treatment combined with
intravaginal micronized natural progesterone (200 mg daily) or to a control group
receiving only tocolysis. RESULTS: Micronized natural progesterone treatment
resulted in a prolonged latency period of 32.1 +/- 17.8 versus 21.2 +/- 16.3 days
in the control group and heavier birth weights of 2,982.8 +/- 697.8 g versus
2,585.3 +/- 746.6 g. No significant differences were found between the groups in
admission to the neonatal intensive care unit, stay at the neonatal intensive
care unit, need for a mechanical ventilator, respiratory distress syndrome or
neonatal sepsis. CONCLUSION: The treatment of threatened preterm birth with
tocolytics combined with intravaginal micronized natural progesterone
significantly prolonged pregnancy and increased birth weight. However, an
improvement in adverse perinatal outcomes was not observed.
PMID- 22086109
TI - Structure of bottle-brush brushes under good solvent conditions: a molecular
dynamics study.
AB - We report a simulation study for bottle-brush polymers grafted on a rigid
backbone. Using a standard coarse-grained bead-spring model extensive molecular
dynamics simulations for such macromolecules under good solvent conditions are
performed. We consider a broad range of parameters and present numerical results
for the monomer density profile, density of the untethered ends of the grafted
flexible backbones and the correlation function describing the range that
neighboring grafted bottle-brushes are affected by the presence of the others due
to the excluded-volume interactions. The end beads of the flexible backbones of
the grafted bottle-brushes do not access the region close to the rigid backbone
due to the presence of the side chains of the grafted bottle-brush polymers,
which stretch the chains further in the radial directions. Although a number of
different correlation lengths exist as a result of the complex structure of these
macromolecules, their properties can be tuned with high accuracy in good
solvents. Moreover, qualitative differences with 'typical' bottle-brushes are
discussed. Our results provide a first approach to characterizing such complex
macromolecules with a standard bead-spring model.
PMID- 22086110
TI - Endogenous hydrogen peroxide is a key factor in the yeast extract-induced
activation of biphenyl biosynthesis in cell cultures of Sorbus aucuparia.
AB - Biphenyls are unique phytoalexins produced by plants belonging to Pyrinae, a
subtribe of the economically important Rosaceae family. The formation of
aucuparin, a well-known biphenyl, is induced by yeast extract (YE) in cell
cultures of Sorbus aucuparia. However, the molecular mechanism underlying YE
induced activation of biphenyl biosynthesis remains unknown. Here we demonstrate
that the addition of YE to the cell cultures results in a burst of reactive
oxygen species (ROS; H(2)O(2) and O(2) (-)), followed by transcriptional
activation of the biphenyl synthase 1 gene (BIS1) encoding the key enzyme of the
biphenyl biosynthetic pathway and aucuparin accumulation. Pretreatment of the
cell cultures with ROS scavenger dihydrolipoic acid and NADPH oxidase-specific
inhibitor diphenylene iodonium abolished all of the above YE-induced biological
events. However, when the cell cultures was pretreated with superoxide dismutase
specific inhibitor N,N-diethyldithiocarbamic acid, although O(2) (-) continued to
be generated, the H(2)O(2) accumulation, BIS1 expression and aucuparin production
were blocked. Interestingly, exogenous supply of H(2)O(2) in the range of 0.05-10
mM failed to induce aucuparin accumulation. These results indicate that
endogenous generation of H(2)O(2) rather than that of O(2) (-) is a key factor in
YE-induced accumulation of biphenyl phytoalexins in cell cultures of S.
aucuparia.
PMID- 22086111
TI - Cockayne syndrome, underlying molecular defects and p53.
PMID- 22086112
TI - DNA damage responses in the prostate: implications for cancer formation?
PMID- 22086113
TI - Spindle assembly checkpoint and its regulators in meiosis.
AB - BACKGROUND Meiosis is a unique form of cell division in which cells divide twice
but DNA is duplicated only once. Errors in chromosome segregation during meiosis
will result in aneuploidy, followed by loss of the conceptus during pregnancy or
birth defects. During mitosis, cells utilize a mechanism called the spindle
assembly checkpoint (SAC) to ensure faithful chromosome segregation. A similar
mechanism has been uncovered for meiosis in the last decade, especially in the
past several years. METHODS For this review, we included data and relevant
information obtained through a PubMed database search for all articles published
in English from 1991 through 2011 which included the term 'meiosis', 'spindle
assembly checkpoint', or 'SAC'. RESULTS There are 91 studies included. Evidence
for the existence of SAC functions in meiosis is provided by studies on the SAC
proteins mitotic-arrest deficient-1 (Mad1), Mad2, budding uninhibited by
benzimidazole-1 (Bub1), Bub3, BubR1 and Mps1; microtubule-kinetochore attachment
regulators Ndc80 complex, chromosomal passenger complex, mitotic centromere
associated kinesin (MCAK), kinetochore null 1 (KNL1) and Mis12 complex and
spindle stability regulators. CONCLUSIONS SAC and its regulators exist and
function in meiosis, and their malfunctions may cause germ cell aneuploidy.
However, species and sexual differences exist. Moreover, interaction of SAC
components with other regulators is still poorly understood, which needs further
study.
PMID- 22086114
TI - Gold nanoparticles show electroactivity: counting and sorting nanoparticles upon
impact with electrodes.
AB - Gold nanoparticles (AuNPs) in aqueous 0.10 M HCl are shown to be electroactive at
oxidising potentials greater than 1.0 V (vs. Ag/AgCl) by means of voltammetric
monitoring of AuNP-electrode collisions. The method promises the use of anodic
particle coulometry for the detection and characterisation of the AuNPs.
PMID- 22086115
TI - 5-AZA-2'-deoxycytidine induced demethylation influences N-glycosylation of
secreted glycoproteins in ovarian cancer.
AB - Glycosylation is the most common posttranslational modification of proteins and
is highly reflective of changes in the environment of a cell. Epigenetic
modifications to the genome are stably transmitted to daughter cells without the
requirement for genetic sequence alterations. Aberrant regulation of both
epigenetic programming and glycosylation patterning are integral aspects of
carcinogenesis. The objective of this study was to determine the interplay
between these two complex cellular processes. We demonstrate that global DNA
methylation changes in ovarian cancer epithelial cells (OVCAR3) resulted in
significant alterations in the glycosylation of secreted glycoproteins. These
changes included a reduction in core fucosylation, increased branching and
increased sialylation. We further show that the change in core fucose levels was
mirrored by altered expression of GMDS and FX, key enzymes in fucose
biosynthesis. Alterations in the expression of key glycosyltransferase enzymes
such as MGAT5 reflect the changes seen in the branching and sialylation of
secreted glycans. Overall, our results highlight that modifications to the
epigenetic machinery have a profound effect on the glycan structures generated by
cells, which may be a key step in understanding metastasis and drug resistance
during cancer progression.
PMID- 22086116
TI - Multigenerational programming in the glucocorticoid programmed rat is associated
with generation-specific and parent of origin effects.
AB - Exposure to an adverse early life environment is associated with increased cardio
metabolic disease risk, a phenomenon termed "programming." The effects of this
are not limited to the exposed first (F1) generation but can be transmissible to
a second generation (F2) through male and female lines. Using a three generation
animal model of programming by initial prenatal glucocorticoid overexposure we
have identified effects on fetal and placental weight in both the F1 and F2
offspring. However, the expression of candidate imprinted genes in the fetus and
placenta differed between the F1 and F2, with marked parent-of-origin effects in
F2. Since DNA methylation at imprinted genes is maintained at fertilization, they
are potential templates for the transmission of programming effects across
generations. Although we detected alterations in DNA methylation at
differentially methylated regions (DMRs) of the key prenatal growth factor Igf2
in F1 and F2 fetal liver, the changes in DNA methylation at these DMRs do not
appear to underlie the transmission of effects on Igf2 expression through sperm.
Thus, multigenerational programming effects on birth weight and disease risk is
associated with different processes in F1 and F2. These findings have
implications for the pathogenesis and future attempts to stratify therapies for
the "developmental component" of cardiometabolic disease.
PMID- 22086119
TI - Intrasomatic injection of corticosteroid followed by vertebroplasty increases
early pain relief rather than vertebroplasty alone in vertebral bone neoplasms:
preliminary experience.
AB - PURPOSE: In this prospective multicenter study, we evaluate the effectiveness of
corticosteroid plus vertebroplasty rather than vertebroplasty alone in the
analgesic treatment of single-level vertebral neoplasms or pathological
fractures. MATERIALS AND METHODS: From January 2009 to February 2011, we
prospectively enrolled 20 consecutive patients (11 women, nine men; age range 46
78 years; mean age 65.1 years) with single-level vertebral neoplasm or
pathological fractures totally or partially refractory to analgesic treatment,
with indication to vertebroplasty. Institutional review board approval and
informed consent were obtained. The inclusion criteria for the study were the
presence of a single-level pathological fracture not extended to the posterior
wall or symptomatic localization of primary or secondary neoplasms, visual
analogue score (VAS) >=5, and life expectancy more than 3 months. Exclusion
criteria where all contraindications either to corticosteroid injection included
allergy (local sepsis, bacteremia, allergy) or vertebroplasty included
coagulopathy, etc. The population was randomly divided into two groups: in group
A, patients underwent intrasomatic injections of 4 mg/ml of dexamethasone
phosphate followed by a cement injection; patients in group B underwent standard
vertebroplasty. VAS score was evaluated and compared between both groups of
patients at 6 h, 24 h, 48 h, 7 days, 30 days, and 3 months after the intervention
plus last available follow-up. Statistical analyses were performed by application
of the t test. RESULTS: Technical success was achieved in all cases. In group A,
we treated six male and six female patients (age range 46-73 years, average 60.2
years). Pre-intervention VAS in group A ranged between 7 and 10 points, average 8
points. In group B, we treated three male and five female patients (age range 52
78 years, average 67.3 years). Pre-intervention VAS score in group B ranged
between 7 and 9 points, with an average 8 points. Patients in group A in respect
to patients in group B had a higher reduction in VAS, with a difference of 25.4%
(VAS reduction average 5.5 versus 4.1) at 6 h post-intervention, 24.5% (VAS
average 5.7 versus 4.3) at 24 h, 25% (VAS average 6 versus 4.5) at 48 h, 23% (VAS
average 6.5 versus 5) at 7 days, 16.4% (VAS average 6.7 versus 5.6) at 30 days,
8.9% (VAS average 6.7 versus 6, .1) at 3 months. The last available follow-up
ranged from 3 to 24 months in group A and from 5 to 20 months in group B.
CONCLUSIONS: In our preliminary experience, pre-vertebroplasty injection of
intrasomatic corticosteroid in comparison to vertebroplasty alone is able to
increase the early pain relief of the procedure.
PMID- 22086120
TI - Intramolecular cross-linking in the native JHBP molecule.
AB - Juvenile hormone binding protein (JHBP) acts as a shuttle, carrying one of the
most crucial hormones for insect development to target tissues. We have found
that although the JHBP molecule does not contain tryptophan residues, it exhibits
a weak fluorescence maximum near 420nm upon excitation at 315nm. Gel filtration
experiments performed in denaturing conditions and ESI-MS analyses excluded the
possibility that some low molecular ligand was bound to the protein molecules.
Further UV and CD spectroscopy studies, as well as immunoblotting, showed that
the unusual JHBP optical properties were due to dityrosine intramolecular cross
linking. These bridges were detected both in native and recombinant protein
molecules. We believe that in Galleria mellonella hemolymph the DT generation
occurs via ROS-mediated oxidation leading to the formation of cross-linked JHBP
monomers. MS analyses of peptides generated after JHBP proteolysis indicated,
that the dityrosine bridge occurs between the Y128 and Y130 residues.
PMID- 22086121
TI - Results of surgery for perforated gastroduodenal ulcers in a Dutch population.
AB - OBJECTIVE: Despite improvements in anesthesiology and intensive care medicine,
mortality for perforated gastroduodenal ulcer disease remains high. This study
was designed to evaluate the results of surgery for perforated ulcer disease and
to identify prognostic factors for mortality in order to optimize treatment.
PATIENTS AND METHODS: The medical records of 272 patients undergoing emergency
surgery for perforated ulcer disease from 2000 to 2005 in two large teaching
hospitals and one university hospital in the Netherlands were retrospectively
analyzed. Information on 89 pre-, peri- and postoperative data were recorded.
Statistical analysis was performed using multiple logistic regression analysis.
The primary endpoint was 30-day mortality. RESULTS: The 30-day mortality rate was
16%. Variables associated with 30-day mortality were age, shock, tachycardia,
anemia and ASA class. CONCLUSIONS: A relatively low 30-day mortality rate was
achieved. Age, shock, tachycardia and anemia were significantly associated with
30-day mortality. Finding that shock, tachycardia and anemia are independently
associated with 30-day mortality could indicate that patients are septic upon
admission. Improvements in survival might be achieved by early sepsis treatment.
PMID- 22086122
TI - Kinetic and kinematic compensations in amputee vertical jumping.
AB - A unilateral transtibial amputation causes a disruption to the musculoskeletal
system, which results in asymmetrical biomechanics. The current study aimed to
assess the movement asymmetry and compensations that occur as a consequence of an
amputation when performing a countermovement vertical jump. Six unilateral
transtibial amputees and 10 able-bodied (AB) participants completed 10 maximal
vertical jumps, and the highest jump was analyzed further. Three-dimensional
lower limb kinematics and normalized (body mass) kinetic variables were
quantified for the intact and prosthetic sides. Symmetry was assessed through the
symmetry index (SI) for each individual and statistically using the Mann-Whitney
U test between the intact and prosthetic sides for the amputee group. A
descriptive analysis between the amputee and AB participants was conducted to
explore the mechanisms of amputee jumping. The amputee jump height ranged from
0.09 to 0.24 m. In the countermovement, all ankle variables were asymmetrical (SI
> 10%) and statistically different (p < .05) for the amputees. At the knee and
hip, there was no statistical difference between the intact and prosthetic sides
range of motion, although there was evidence of individual asymmetry. The knees
remained more extended compared with the AB participants to prevent collapse. In
propulsion, the prosthesis did not contribute to the work done and the ankle
variables were asymmetrical (p < .05). The knee and hip variables were not
statistically different between the intact and prosthetic sides, although there
was evidence of functional asymmetry and the contribution tended to be greater on
the intact compared with the prosthetic side. The lack of kinetic involvement of
the prosthetic ankle and both knees due to the limitation of the prosthesis and
the altered musculoskeletal mechanics of the joints were the reason for the
reduced height jumped.
PMID- 22086123
TI - A treatment dilemma in adult immunoglobulin A nephropathy: what is the
appropriate target, preservation of kidney function or induction of clinical
remission?
AB - Immunoglobulin (Ig) A nephropathy is the most common type of glomerulonephritis
worldwide. Data on its natural history suggest that approximately 40% of patients
progress to end-stage renal failure after 20 years. Various therapies such as
antiplatelet medication, fish oil, oral prednisolone, intravenous prednisolone,
tonsillectomy, and tonsillectomy plus steroid pulse (TSP) have been proposed.
Japanese nephrologists face challenging issues regarding this disease, such as
the usefulness of the annual urinary screening system (kenshin) and kidney
biopsies, the desire of patients and their families for treatment despite
insufficient clinical evidence, and the risk of overtreatment with TSP versus the
loss of a 'golden period' with late intervention. We review the current
literature on tonsillectomy, steroid therapy, and TSP, which was first proposed
in Japan, and present some perspectives on the treatment of IgA nephropathy.
PMID- 22086124
TI - Biopsy-proven vancomycin-associated interstitial nephritis and acute tubular
necrosis.
AB - Fewer than ten biopsy-proven case reports exist on vancomycin-associated
interstitial nephritis (VAIN) and vancomycin-associated acute tubular necrosis
(VAATN). Among these, several are confounded by the use of other potentially
offending drugs. We report a case of isolated VAIN/VAATN in a patient on no other
potentially nephrotoxic agents other than vancomycin. The patient received
intravenous vancomycin for coagulase-negative staphylococcus bacteremia. Her
baseline serum creatinine of 0.9 mg/dL increased to 9.6 mg/dL after 1 week of
therapy during which vancomycin levels peaked at 141 MUg/mL. Renal biopsy
revealed acute interstitial nephritis with lymphocytic and eosinophilic
infiltrate and acute tubular necrosis. Upon discontinuation of vancomycin and
administration of prednisone complete renal recovery ensued over a period of 4
weeks.
PMID- 22086126
TI - A new case of pure partial 7q duplication.
AB - We report on an 18-month-old boy conceived by assisted reproduction technology
with developmental delay, hypotonia, microcephaly, frontal bossing, a mild
convergent squint, malformed ears, and a short neck. Karyotype analysis revealed
a de novo 7q21.1q22.3 duplication characterized by array comparative genomic
hybridization (array-CGH) as a segment of 18.69 Mb. Duplications of the long arm
of chromosome 7 are uncommon. There are 18 reported cases of different 7q
segments with a pure duplication with no additional deletion of other
chromosomes. As a consequence, duplications of chromosome 7q have been classified
in 4 groups on the basis of the involved region. The present case is included in
group 3 which involves interstitial duplications of different sizes. In the
literature, only one case with an apparently smaller duplication of the same
region has been described. Despite this, the phenotype is different. Moreover,
the 2 patients share some phenotypic features, such as psychomotor delay,
hypotonia, frontal bossing, short neck, and strabismus. However, the absence of
physical characterization in most of the reported cases could justify the lacking
phenotype-genotype correlation in patients with partial 7q duplication. Further
studies using recent molecular approaches such as array-CGH might permit a more
clinically useful grouping of 7q duplications.
PMID- 22086125
TI - Mathematics and biology: a Kantian view on the history of pattern formation
theory.
AB - Driesch's statement, made around 1900, that the physics and chemistry of his day
were unable to explain self-regulation during embryogenesis was correct and could
be extended until the year 1972. The emergence of theories of self-organisation
required progress in several areas including chemistry, physics, computing and
cybernetics. Two parallel lines of development can be distinguished which both
culminated in the early 1970s. Firstly, physicochemical theories of self
organisation arose from theoretical (Lotka 1910-1920) and experimental work (Bray
1920; Belousov 1951) on chemical oscillations. However, this research area gained
broader acceptance only after thermodynamics was extended to systems far from
equilibrium (1922-1967) and the mechanism of the prime example for a chemical
oscillator, the Belousov-Zhabotinski reaction, was deciphered in the early 1970s.
Secondly, biological theories of self-organisation were rooted in the
intellectual environment of artificial intelligence and cybernetics. Turing wrote
his The chemical basis of morphogenesis (1952) after working on the construction
of one of the first electronic computers. Likewise, Gierer and Meinhardt's theory
of local activation and lateral inhibition (1972) was influenced by ideas from
cybernetics. The Gierer-Meinhardt theory provided an explanation for the first
time of both spontaneous formation of spatial order and of self-regulation that
proved to be extremely successful in elucidating a wide range of patterning
processes. With the advent of developmental genetics in the 1980s, detailed
molecular and functional data became available for complex developmental
processes, allowing a new generation of data-driven theoretical approaches. Three
examples of such approaches will be discussed. The successes and limitations of
mathematical pattern formation theory throughout its history suggest a picture of
the organism, which has structural similarity to views of the organic world held
by the philosopher Immanuel Kant at the end of the eighteenth century.
PMID- 22086128
TI - Novel HBsAg markers tightly correlate with occult HBV infection and strongly
affect HBsAg detection.
AB - Occult HBV infection (OBI) is a threat for the safety of blood-supply, and has
been associated with the onset of HBV-related hepatocellular carcinoma and
lymphomagenesis. Nevertheless, genetic markers in HBsAg (particularly in D
genotype, the most common in Europe) significantly associated with OBI in vivo
are missing. Thus, the goal of this study is to define: (i) prevalence and
clinical profile of OBI among blood-donors; (ii) HBsAg-mutations associated with
OBI; (iii) their impact on HBsAg-detection. OBI was searched among 422,278 blood
donors screened by Nucleic-Acid-Testing. Following Taormina-OBI-definition, 26
(0.006%) OBI-patients were identified. Despite viremia <50IU/ml, HBsAg-sequences
were obtained for 25/26 patients (24/25 genotype-D). OBI-associated mutations
were identified by comparing OBI-HBsAg with that of 82 chronically-infected
(genotype-D) patients as control. Twenty HBsAg-mutations significantly correlated
for the first time with OBI. By structural analysis, they localized in the major
HBV B-cell-epitope, and in HBsAg-capsid interaction region. 14/24 OBI-patients
(58.8%) carried in median 3 such mutations (IQR:2.0-6.0) against 0 in chronically
infected patients. By co-variation analysis, correlations were observed for
R122P+S167L (phi=0.68, P=0.01), T116N+S143L (phi=0.53, P=0.03), and Y100S+S143L
(phi=0.67, p<0.001). Mutants (obtained by site-directed mutagenesis) carrying
T116N, T116N+S143L, R122P, R122P+Q101R, or R122P+S167L strongly decreased HBsAg
reactivity (54.9+/-22.6S/CO, 31.2+/-12.0S/CO, 6.1+/-2.4S/CO, 3.0+/-1.0S/CO and
3.9+/-1.3S/CO, respectively) compared to wild-type (306.8+/-64.1S/CO). Even more,
Y100S and Y100S+S143L supernatants show no detectable-HBsAg (experiments in
quadruplicate). In conclusions, unique HBsAg-mutations in genotype-D, different
than those described in genotypes B/C (rarely found in western countries),
tightly correlate with OBI, and strongly affect HBsAg-detection. By altering HBV
antigenicity and/or viral-particle maturation, they may affect full-reliability
of universal diagnostic-assays for HBsAg-detection.
PMID- 22086127
TI - Erythropoietin promotes the growth of pituitary adenomas by enhancing
angiogenesis.
AB - rhEPO is frequently used in clinical practice to treat anemia. However, recently
rhEPO has been reported to accelerate tumor growth, progression and metastasis.
Many pituitary adenoma patients, particularly those with macroprolactinomas, tend
to have anemia and may need rhEPO therapy. To date, whether rhEPO has deleterious
effects on pituitary adenomas has not been defined. Here we demonstrated for the
first time that human pituitary adenomas are EPOR negative tumors and rhEPO
accelerated the tumor growth of MMQ pituitary adenoma xenografts via enhancement
of angiogenesis in vivo, whereas rhEPO displayed no direct effect on MMQ cells in
vitro. Our mechanistic study showed that rhEPO administration increased
phosphorylation of JAK2, STAT3 and VEGF expression in human umbilical vein
endothelial cells (HUVECs) in vitro and in MMQ cell xenografts in vivo.
Furthermore, VEGF inhibitor attenuated rhEPO induced angiogenesis and delayed
tumor growth in MMQ pituitary adenoma xenografts in vivo. JAK2 inhibitor AG490
attenuated EPO induced HUVECs proliferation, phosphorylation of JAK2, STAT3 and
VEGF upregulation in vitro and inhibited EPO induced vessel formation in Chicken
chorioallantoic membrane (CAM) angiogenesis model in vivo. These results suggest
that rhEPO administration may promote the growth of pituitary adenomas by
enhancing angiogenesis through EPO-JAK2-STAT3-VEGF signaling pathway. rhEPO
should be used with caution in anemia patients bearing pituitary adenoma due to
its potential deleterious effects.
PMID- 22086129
TI - A conserved matrix epitope based DNA vaccine protects mice against influenza A
virus challenge.
AB - DNA vaccination represents a unique strategy to overcome the limitations of
immunization with conventional vaccines which is restricted by the high
variability of influenza viruses. We evaluated the protective efficacy of a
plasmid DNA (pDNA), encoding an evolutionarily conserved epitope of viral matrix
protein, against the influenza A virus infection. It was found that the mice
immunized via the intra-muscular route purely elicited cell mediated immune
response to the pDNA, with enhanced level of Th1 cytokines viz. IL-12 and
IFNgamma production in the stimulated splenocyte supernatant. The cytotoxic T
lymphocytes in the spleen of immunized mice significantly lysed the virus
infected MDCK cells. A significant decrease in virus replication was also
observed in the lungs of immunized mice and 83% of the mice were protected
against the lethal challenge of influenza A viruses. These findings suggest that
the plasmid DNA expressing a single matrix epitope may serve as a promising
vaccine candidate to provide effective immunity in the susceptible (mouse)
population.
PMID- 22086130
TI - RNA interference inhibits replication of tick-borne encephalitis virus in vitro.
AB - Each year, up to 10,000 cases of infections with the flavivirus tick-borne
encephalitis (TBE) virus that affect the central nervous system are reported in
Europe and Asia. Due to the potentially severe adverse effects of post-exposure
prophylaxis with TBE virus hyperimmunoglobulin, TBE can currently only be treated
symptomatically. An RNA interference (RNAi) approach to inhibit TBE virus
replication was therefore developed. In this study we demonstrate for the first
time that small interfering RNAs (siRNAs) targeted at the TBE virus genome reduce
the quantity of infectious TBE virus particles, TBE virus genome, and TBE virus
protein in vitro by up to 85%. The 50% inhibitory dose (DI(50)) of the shRNA
plasmid was only 0.05MUg/ml. As RNAi-based therapeutics for other diseases are
already being evaluated in phases II and III clinical trials, it is possible that
RNAi could become valuable tool for controlling TBE virus infection.
PMID- 22086131
TI - In vivo comparison of the effects of bupivacaine and levobupivacaine on the
pregnant rat myometrium using electrohysterogram.
AB - BACKGROUND AND AIMS: The effect of local anesthetics on myometrial contractility
during labor analgesia is debatable. We aimed to compare the effects of
bupivacaine and levobupivacaine on rat uterine contractility in an in vivo
setting. METHODS: Electrical activities of 40 pregnant rat uteruses were recorded
on electrohysterogram after dividing the rats into bupivacaine and
levobupivacaine groups. Uterine contraction frequencies were recorded at each 5
min interval. The first 5-min recording was considered the control, which was
immediately followed by intramyometrial administration of either bupivacaine or
levobupivacaine. The recordings were continued for 30 min. The changes in
frequencies at each time interval of the groups were compared with each other and
the control recording. RESULTS: The frequencies from both groups at each interval
were lower than the control values, but not different between the groups. The
frequencies of the bupivacaine group during the 5-10 min and 10-15 min intervals
were lower than the control time interval, but no significant differences were
present between the control and the other time intervals. However, no significant
differences were found at any time interval for the levobupivacaine group.
CONCLUSION: Levobupivacaine led to less muscle relaxation compared to bupivacaine
and may be a better option for labor analgesia and anesthesia considering uterine
contractility.
PMID- 22086132
TI - Survival and control of Escherichia coli O157:H7 in foods, beverages, soil and
water.
AB - Escherichia coli O157:H7 is a significant human pathogen which has mostly
foodborne and waterborne modes of transmission. Although capable of infecting
several hosts, the main source of this bacterium is cattle. In humans, it mainly
causes hemorrhagic colitis, bloody diarrhea, and hemolytic uremic syndrome. This
bacterial pathogen is fairly resistant to various stresses and can survive for
significant periods of time in the environment outside of a host. Some of the
factors impacting its survival include the indigenous microbial communities, its
ability to attach to food contact surfaces and form biofilms, temperature, and
dehydration. To address the public health concerns associated with this pathogen,
several disinfection and sanitization procedures and technologies have been
developed in recent years. Synergies between different procedures have been
evaluated as well. This review addresses recent developments regarding the
survival and disinfection of E. coli O157:H7.
PMID- 22086133
TI - Turnabout is fair play: use of the bacterial Multivalent Adhesion Molecule 7 as
an antimicrobial agent.
AB - Pathogen attachment to host tissues is one of the initial and most crucial events
during the establishment of bacterial infections and thus interference with this
step could be an efficient strategy to fight bacterial colonization. Our recent
work has identified one of the factors involved in initial binding of host cells
by a wide range of Gram-negative pathogens, Multivalent Adhesion Molecule (MAM)
7. Interference with MAM7-mediated attachment, for example by pre-incubation of
host cells with recombinant MAM7, significantly delays the onset of hallmarks of
infection, such as pathogen-mediated cytotoxicity or the development of other
adhesive structures such as actin pedestals. Thus, we are trying to develop tools
based on MAM7 that can be used to prevent or diminish certain Gram-negative
bacterial infections. Herein, we describe the use of bead-coupled MAM7 as an
inhibitor of infection with the clinically relevant pathogen Pseudomonas
aeruginosa.
PMID- 22086134
TI - A first-principles description of proton-driven spin diffusion.
AB - Herein we design a reduced Liouville space for the simulation of proton-driven
spin diffusion. Using this approach, the experimentally observed carbon-13
polarisation transfer in a powder sample undergoing magic-angle spinning is
quantitatively described, directly from crystal geometry and without any
adjustable parameters.
PMID- 22086135
TI - Synthesis and structure of the first clathrochelate iron(II) tris-dioximates with
inherent nitrile substituent(s) and new dehalogenation--reduction reaction at a
quasi-aromatic macrobicyclic framework.
AB - Monoribbed-substituted mono- and dicyano-functionalized iron(II) macrobicycles
were obtained for the first time by the reaction of iron(II) diiodoclathrochelate
precursor with copper(I) cyanide-triphenylphosphine complex under mild
conditions. The target dinitrile clathrochelate is a minor product of this
reaction, whereas the major product contains only one cyano group. The
clathrochelates obtained were characterized using elemental analysis, (1)H and
(13)C{(1)H} NMR, IR and UV-vis spectroscopy, MALDI-TOF spectrometry and X-ray
diffraction crystallography. The geometry of their FeN(6)-coordination polyhedra
is intermediate between a trigonal prism (TP) and a trigonal antiprism (TAP); the
distortion angles, phi, are 22.6-24.7 degrees . In the molecule of the precursor,
the Fe-N distances are close, whereas in the mononitrile macrobicycles those for
their functionalized chelate fragments are substantially smaller than the
corresponding distances in the alpha-benzyldioximate moieties. The heights, h, of
the TP-TAP coordination polyhedra and the average bite angles, alpha, (2.33 A and
39 degrees , respectively) are the same for the X-rayed clathrochelates. The UV
vis spectra indicate a dramatic redistribution of the electron density in the pi
conjugated clathrochelate framework caused by functionalization with inherent
nitrile substituents. The proposed mechanism of the dehalogenation-reduction
reaction of iron(II) diiodoclathrochelate resulting in substitution of their
iodine atoms by a cyano group and hydrogen atom includes the anion-radical
hydrodehalogenation of this precursor with acetonitrile as a source of hydrogen
atom. Then, the monomethinemonoiodine macrobicyclic product underwent a
substitution with a cyano group only. The copper(I) cyanide-triphenylphosphine
acetonitrile system is proposed as a tool for the synthesis of nitrile
derivatives of electron-withdrawing heterocycles starting from their halogen
containing precursors.
PMID- 22086136
TI - Follicle-stimulating hormone is independently associated with lean mass but not
BMD in younger postmenopausal women.
AB - PURPOSE: Increased follicle-stimulating hormone (FSH) has been associated with
lower bone mineral density (BMD) in animal models and longitudinal studies of
women, but a direct effect has not been demonstrated. METHODS: We tested
associations between FSH, non-bone body composition measures and BMD in 94
younger (aged 50 to 64 years) postmenopausal women without current use of hormone
therapy, adjusting for sex hormone concentrations and clinical risk factors for
osteoporosis. Lean mass, fat mass and areal BMD (aBMD) at the spine, femoral neck
and total hip were measured using dual energy X-ray absorptiometry (DXA).
Volumetric BMD (vBMD) was measured at the distal radius using peripheral
quantitative computed tomography (pQCT). RESULTS: FSH was inversely correlated
with lean and fat mass, bioavailable estradiol, spine and hip aBMD, and vBMD at
the ultradistal radius. In the multivariable analysis, FSH was independently
associated with lean mass (beta=-0.099, p=0.005) after adjustment for age, race,
years since menopause, bioavailable estradiol, bioavailable testosterone, LH,
PTH, SHBG and urine N-telopeptide. FSH showed no statistically significant
association with aBMD at any site or pQCT measures at the distal radius in
adjusted models. Race was independently associated with aBMD, and race and urine
N-telopeptide were independently associated with bone area and vBMD. CONCLUSIONS:
After adjustment for hormonal measures and osteoporosis risk factors, higher
concentrations of FSH were independently associated with lower lean mass, but not
with BMD. Previously reported correlations between FSH and BMD might have been
due to indirect associations via lean mass or weight.
PMID- 22086137
TI - High glucose concentrations alter the biomineralization process in human
osteoblastic cells.
AB - Diabetes mellitus (DM) may alter bone remodeling, as osteopenia and osteoporosis
are among the complications. Moreover, DM increases the risk and severity of
chronic inflammatory periodontal disease, in which bone resorption occurs. Broad
evidence suggests that chronic inflammation can contribute to the development of
DM and its complications. Hyperglycemia is a hallmark of DM that may contribute
to sustained inflammation by increasing proinflammatory cytokines, which are
known to cause insulin resistance, via toll-like receptor (TLR)-4-mediated
mechanisms. However, the mechanisms by which bone-related complications develop
in DM are still unknown. Studies done on the effect of high glucose
concentrations on osteoblast functions are contradictory because some suggest
increases (although others suggest reductions) in the biomineralization process.
Therefore, we evaluated the effect of high glucose levels on biomineralization
and inflammation markers in a human osteoblastic cell line. Cells were treated
with either physiological 5.5 mM or increasing concentrations of glucose up to 24
mM, and we determined the following: i) the quantity and quality of calcium
deposit crystals in culture and ii) the expression of the following: a) proteins
associated with the process of biomineralization, b) the receptor activator of
nuclear factor kappa-B ligand (RANKL) and osteoprotegerin (OPG), c) cytokines
IL1, IL6, IL8, IL10, MCP-1 and TNF alpha, and d) TLR-2, -3, -4 and -9. Our
results show that high glucose concentrations (12 mM and particularly 24 mM)
alter the biomineralization process in osteoblastic cells and provoke the
following: i) a rise in mineralization, ii) an increase in the mRNA expression of
RANKL and a decrease of OPG, iii) an increase in the mRNA expression of
osteocalcin, bone sialoprotein and the transcription factor Runx2, iv) a
diminished quality of the mineral, and v) an increase in the expression of
IL1beta, IL6, IL8, MCP-1 and IL10 mRNAs. In addition we found that both high
glucose levels and hyperosmotic conditions provoked TLR-2, -3, -4 and -9
overexpression in osteoblastic cells, suggesting that they are susceptible to
osmotic stress.
PMID- 22086138
TI - Atrial fibrillation as an uncommon presentation in a large pleomorphic
xanthoastrocytoma.
PMID- 22086140
TI - Basic cell physiological activities (cell adhesion, chemotaxis and proliferation)
induced by selegiline and its derivatives in Mono Mac 6 human monocytes.
AB - Selegiline (R-deprenyl), a monoamine oxidase-B (MAO-B) inhibitor, has complex
pharmacological effect that contributes to treatment of neurodegenerative
diseases such as Parkinson's and presumably Alzheimer's disease and might work as
an inhibitor of tumor growth. In respect of tumorigenesis and metastasis
formation, the controlled modifications of adhesion and migration have high
therapeutic significance. In the present study, our purpose was to investigate
cell physiological responses (adhesion, chemotaxis and proliferation) induced by
selegiline, its metabolites and synthetic derivatives and to find some
correlations between the molecular structure and the reported antitumor behavior
of the derivatives. Our results demonstrated that both R- and S-deprenyls have
the potency to elicit increased adhesion and a chemorepellent activity in
monocyte model (Mono Mac 6 cell line derived from monoblastic leukemia); however,
only the R-enantiomer proved to be cytotoxic. Among the metabolites R-amphetamine
has retained the adhesion inducer and the chemorepellent effect of the parent
drug on the most significant level. In contrast, a reversed chemotactic effect
and an improved cytotoxic character were detected in the presence of fluoro group
(p-fluoro-S-deprenyl). In summary, the adhesion inducer activity, chemorepellent
and advantageous cytotoxic effects of selegiline and some derivatives indicate
that these drug molecules might have inhibitory effects in metastasis formation
in primary tumors.
PMID- 22086139
TI - Recent rodent models for Alzheimer's disease: clinical implications and basic
research.
AB - Alzheimer's disease (AD) is the most common origin of dementia in the elderly.
Although the cause of AD remains unknown, several factors have been identified
that appear to play a critical role in the development of this debilitating
disorder. In particular, amyloid precursor protein (APP), tau
hyperphosphorylation, and the secretase enzymes, have become the focal point of
recent research. Over the last two decades, several transgenic and non-transgenic
animal models have been developed to elucidate the mechanistic aspects of AD and
to validate potential therapeutic targets. Transgenic rodent models over
expressing human beta-amyloid precursor protein (beta-APP) and mutant forms of
tau have become precious tools to study and understand the pathogenesis of AD at
the molecular, cellular and behavioural levels, and to test new therapeutic
agents. Nevertheless, none of the transgenic models of AD recapitulate fully all
of the pathological features of the disease. Octodon degu, a South American
rodent has been recently found to spontaneously develop neuropathological signs
of AD in old age. This review aims to address the limitations and clinical
relevance of transgenic rodent models in AD, and to highlight the potential for
O. degu as a natural model for the study of AD neuropathology.
PMID- 22086141
TI - Intermittent hypoxia activates temporally coordinated transcriptional programs in
visceral adipose tissue.
AB - Obstructive sleep apnea (OSA) is a prevalent disorder characterized by
intermittent hypoxia (IH) during sleep. OSA is strongly associated with obesity
and dysregulation of metabolism-yet the molecular pathways linking the effects of
IH on adipocyte biology remain unknown. We hypothesized that exposure to IH would
activate distinct, time-dependent transcriptional programs in visceral adipose
tissue of mice. We exposed 36 mice to IH or normoxia for up to 13 days. We
transcriptionally profiled visceral fat tissue harvested from the animals and
performed functional enrichment and network analysis on differentially expressed
genes. We identified over 3,000 genes with significant expression patterns during
the time course of IH exposure. The most enriched pathways mapped to metabolic
processes, mitochondrion, and oxidative stress responses. We confirmed the
pathophysiological relevance of these findings by demonstrating that mice exposed
to chronic IH developed dyslipidemia and underwent significant lipid and protein
oxidation within their visceral adipose depots. We applied gene-gene interaction
network analysis to identify critical controllers of IH-induced transcriptional
programs in adipocytes-these network hubs represent putative targets to modulate
the effects of chronic IH on adipose tissue. Our approach to integrate
computational methods with gene expression profiling of visceral fat tissue
during IH exposure shows promise in helping unravel the mechanistic links between
OSA and adipocyte biology.
PMID- 22086142
TI - Oral colon delivery of insulin with the aid of functional adjuvants.
AB - Oral colon delivery is currently considered of importance not only for the
treatment of local pathologies, such as primarily inflammatory bowel disease
(IBD), but also as a means of accomplishing systemic therapeutic goals. Although
the large bowel fails to be ideally suited for absorption processes, it may
indeed offer a number of advantages over the small intestine, including a long
transit time, lower levels of peptidases and higher responsiveness to permeation
enhancers. Accordingly, it has been under extensive investigation as a possible
strategy to improve the oral bioavailability of peptide and protein drugs.
Because of a strong underlying rationale, most of these studies have focused on
insulin. In the present review, the impact of key anatomical and physiological
characteristics of the colon on its viability as a protein release site is
discussed. Moreover, the main formulation approaches to oral colon targeting are
outlined along with the design features and performance of insulin-based devices.
PMID- 22086143
TI - Relationship between PSA kinetics and [18F]fluorocholine PET/CT detection rates
of recurrence in patients with prostate cancer after total prostatectomy.
AB - PURPOSE: The aim of the present study was to identify prostate-specific antigen
(PSA) threshold levels, as well as PSA velocity, progression rate and doubling
time in relation to the detectability and localization of recurrent lesions with
[(18)F]fluorocholine (FC) PET/CT in patients after radical prostatectomy.
METHODS: The study group comprised 82 consecutive patients with biochemical
relapse after radical prostatectomy. PSA levels measured at the time of imaging
were correlated with the FC PET/CT detection rates in the entire group with PSA
velocity (in 48 patients), with PSA doubling time (in 47 patients) and with PSA
progression (in 29 patients). RESULTS: FC PET/CT detected recurrent lesions in 51
of the 82 patients (62%). The median PSA value was significantly higher in PET
positive than in PET-negative patients (4.3 ng/ml vs. 1.0 ng/ml; p < 0.01). The
optimal PSA threshold from ROC analysis for the detection of recurrent prostate
cancer lesions was 1.74 ng/ml (AUC 0.818, 82% sensitivity, 74% specificity).
Significant differences between PET-positive and PET-negative patients were found
for median PSA velocity (6.4 vs. 1.1 ng/ml per year; p < 0.01) and PSA
progression (5.0 vs. 0.3 ng/ml per year, p < 0.01) with corresponding optimal
thresholds of 1.27 ng/ml per year and 1.28 ng/ml per year, respectively. The PSA
doubling time suggested a threshold of 3.2 months, but this just failed to reach
statistical significance (p = 0.071). CONCLUSION: In a study cohort of patients
with biochemical recurrence of prostate cancer after radical prostatectomy there
emerged clear PSA thresholds for the presence of FC PET/CT-detectable lesions.
PMID- 22086144
TI - Laparoscopic sentinel lymph node (SLN) versus extensive pelvic dissection for
clinically localized prostate carcinoma.
AB - PURPOSE: Lymph node metastasis is an important prognostic factor in prostate
cancer (PC). The aim of this prospective study was to evaluate the accuracy of
sentinel lymph node (SLN) biopsy by laparoscopy in staging locoregional patients
with clinically localized PC. METHODS: A transrectal ultrasound-guided injection
of 0.3 ml/100 MBq (99m)Tc-sulphur rhenium colloid in each prostatic lobe was
performed the day before surgery. Detection was performed intraoperatively with a
laparoscopic probe (Gamma Sup CLERAD) followed by extensive resection. SLN counts
were performed in vivo and confirmed ex vivo. Histological analysis was performed
by haematoxylin-phloxine-saffron staining, followed by immunohistochemistry (IHC)
if the SLN was free of metastasis. RESULTS: The study included 93 patients with
PC at intermediate or high risk of lymph node metastases. The intraoperative
detection rate was 93.5% (87/93). Nineteen patients had lymph node metastases,
nine only in SLN. The false-negative rate was 10.5% (2/19). The internal iliac
region was the primary metastatic site (43.3%). Metastatic sentinel nodes in the
common iliac region beyond the ureteral junction were present in 13.3%. Limited
or standard lymph node resection would have ignored 73.2 and 56.6% of lymph node
metastases, respectively. CONCLUSION: Laparoscopy is suitable for broad
identification of SLN metastasis, and targeted resection of these lymph nodes
significantly limits the risk of extended surgical resection whilst maintaining
the accuracy of the information.
PMID- 22086145
TI - A finite element model technique to determine the mechanical response of a lumbar
spine segment under complex loads.
AB - This study presents a CT-based finite element model of the lumbar spine taking
into account all function-related boundary conditions, such as anisotropy of
mechanical properties, ligaments, contact elements, mesh size, etc. Through
advanced mesh generation and employment of compound elements, the developed model
is capable of assessing the mechanical response of the examined spine segment for
complex loading conditions, thus providing valuable insight on stress development
within the model and allowing the prediction of critical loading scenarios. The
model was validated through a comparison of the calculated force-induced
inclination/deformation and a correlation of these data to experimental values.
The mechanical response of the examined functional spine segment was evaluated,
and the effect of the loading scenario determined for both vertebral bodies as
well as the connecting intervertebral disc.
PMID- 22086146
TI - Dendritic cells and damage-associated molecular patterns: endogenous danger
signals linking innate and adaptive immunity.
AB - Dendritic cells (DCs) are potent antigen-presenting cells critical in regulating
the adaptive immune response. The role of DCs is dichotomous; they may both
present antigens and the appropriate stimulatory molecules to initiate an
adaptive immune response, or they may induce tolerance and release anti
inflammatory signals. The activation of immature DCs, required for the expression
of the necessary costimulatory T cell molecules, is dependent on pattern
recognition receptors. In addition to the pathogen-derived ligands of pattern
recognition receptors, several damage-associated molecular patterns (DAMPs) have
recently been shown to interact with DCs and dramatically affect their ultimate
function. The complex interplay of DAMPs on DCs is clinically important, with
implications for transplantation, tumor immunity, autoimmunity, chronic
inflammation and other conditions of sterile inflammation such as ischemia
reperfusion injury. In this review, we will focus on the role of DAMPs in DC
function.
PMID- 22086147
TI - Brain-derived neurotrophic factor modulates auditory function in the hearing
cochlea.
AB - Neurotrophins prevent spiral ganglion neuron (SGN) degeneration in animal models
of ototoxin-induced deafness and may be used in the future to improve the hearing
of cochlear implant patients. It is increasingly common for patients with
residual hearing to undergo cochlear implantation. However, the effect of
neurotrophin treatment on acoustic hearing is not known. In this study, brain
derived neurotrophic factor (BDNF) was applied to the round window membrane of
adult guinea pigs for 4 weeks using a cannula attached to a mini-osmotic pump.
SGN survival was first assessed in ototoxically deafened guinea pigs to establish
that the delivery method was effective. Increased survival of SGNs was observed
in the basal and middle cochlear turns of deafened guinea pigs treated with BDNF,
confirming that delivery to the cochlea was successful. The effects of BDNF
treatment in animals with normal hearing were then assessed using distortion
product otoacoustic emissions (DPOAEs), pure tone, and click-evoked auditory
brainstem responses (ABRs). DPOAE assessment indicated a mild deficit of 5 dB SPL
in treated and control groups at 1 and 4 weeks after cannula placement. In
contrast, ABR evaluation showed that BDNF lowered thresholds at specific
frequencies (8 and 16 kHz) after 1 and 4 weeks posttreatment when compared to the
control cohort receiving Ringer's solution. Longer treatment for 4 weeks not only
widened the range of frequencies ameliorated from 2 to 32 kHz but also lowered
the threshold by at least 28 dB SPL at frequencies >=16 kHz. BDNF treatment for 4
weeks also increased the amplitude of the ABR response when compared to either
the control cohort or prior to treatment. We show that BDNF applied to the round
window reduces auditory thresholds and could potentially be used clinically to
protect residual hearing following cochlear implantation.
PMID- 22086148
TI - The effects of idebenone on mitochondrial bioenergetics.
AB - We have studied the effects of idebenone on mitochondrial function in cybrids
derived from one normal donor (HQB17) and one patient harboring the G3460A/MT-ND1
mutation of Leber's Hereditary Optic Neuropathy (RJ206); and in XTC.UC1 cells
bearing a premature stop codon at amino acid 101 of MT-ND1 that hampers complex I
assembly. Addition of idebenone to HQB17 cells caused mitochondrial
depolarization and NADH depletion, which were inhibited by cyclosporin (Cs) A and
decylubiquinone, suggesting an involvement of the permeability transition pore
(PTP). On the other hand, addition of dithiothreitol together with idebenone did
not cause PTP opening and allowed maintenance of the mitochondrial membrane
potential even in the presence of rotenone. Addition of dithiothreitol plus
idebenone, or of idebenol, to HQB17, RJ206 and XTC.UC1 cells sustained membrane
potential in intact cells and ATP synthesis in permeabilized cells even in the
presence of rotenone and malonate, and restored a good level of coupled
respiration in complex I-deficient XTC.UC1 cells. These findings demonstrate that
idebenol can feed electrons at complex III. If the quinone is maintained in the
reduced state, a task that in some cell types appears to be performed by
dicoumarol-sensitive NAD(P)H:quinone oxidoreductase 1 [Haefeli et al. (2011) PLoS
One 6, e17963], electron transfer to complex III may allow reoxidation of NADH in
complex I deficiencies.
PMID- 22086149
TI - Coupled electron and proton transfer reactions during the O->E transition in
bovine cytochrome c oxidase.
AB - A combined DFT/electrostatic approach is employed to study the coupling of proton
and electron transfer reactions in cytochrome c oxidase (CcO) and its proton
pumping mechanism. The coupling of the chemical proton to the internal electron
transfer within the binuclear center is examined for the O->E transition. The
novel features of the His291 pumping model are proposed, which involve timely
well-synchronized sequence of the proton-coupled electron transfer reactions. The
obtained pK(a)s and E(m)s of the key ionizable and redox-active groups at the
different stages of the O->E transition are consistent with available
experimental data. The PT step from E242 to H291 is examined in detail for
various redox states of the hemes and various conformations of E242 side-chain.
Redox potential calculations of the successive steps in the reaction cycle during
the O->E transition are able to explain a cascade of equilibria between the
different intermediate states and electron redistribution between the metal
centers during the course of the catalytic activity. All four electrometric
phases are discussed in the light of the obtained results, providing a robust
support for the His291 model of proton pumping in CcO.
PMID- 22086151
TI - Economic burden in a German cohort of patients with multiple sclerosis.
AB - AIMS: To estimate costs of multiple sclerosis (MS) in a German cohort according
to severity of the disease and clinical symptoms. METHODS: 144 patients were
recruited from an MS outpatient clinic. Costs were calculated according to
current German health-economic guidelines from the perspective of the social
health insurance system. Patients were either interviewed or completed a
questionnaire. Cost assessment covered a 3-month period. Health outcomes were:
Expanded Disability Status Scale, MS Functional Composite, Functional Assessment
of MS, fatigue, depression (Beck Depression Inventory II) and patients'
socioeconomic status. Multivariate linear regression identified independent cost
predictors. RESULTS: Total quarterly costs per patient were EUR 10,329 (95% CI
9,357-11,390). Direct costs were EUR 5,344 for the social health insurance system
and EUR 140 for the patient. Drugs represented the major share of direct costs
(and 35% of total costs); indirect costs accounted for 47% of total costs.
Univariate and multivariate analyses identified age, disability, fatigue and
depression as independent predictors for total, indirect or drug costs.
CONCLUSION: MS represents a high economic burden, with direct costs exceeding
indirect costs. To reduce costs, research should focus on prevention that slows
down progression of MS. Rehabilitation and symptomatic treatment may have merits
in decreasing indirect costs.
PMID- 22086152
TI - Increased ERK activation and cellular drug accumulation in the enhanced
cytotoxicity of folate receptor-targeted liposomal carboplatin.
AB - Folate receptor-targeted (FRT) liposomes for carboplatin were developed and
evaluated in FR-positive and FR-negative cell lines, KB and A549, respectively,
for their cytotoxic effects. Significant enhancement in carboplatin potency and
intracellular drug accumulation was observed in KB cells when treated with FRT
liposomes, compared to free drug and non-targeted liposomes. No enhancement was
observed in the FR-negative A549 cells. The increase in carboplatin potency was
hypothesized to be associated with an increase in the formation of DNA-platinum
adducts resulted from an increase in cellular accumulation of the drug.
Surprisingly, FRT carboplatin liposomes showed significantly lower levels of DNA
platinum adducts in comparison to free drug. To elucidate this discrepancy,
activation of extracellular signal-regulated protein kinase (ERK) was probed,
which has been suggested as an alternative mechanism of carboplatin action. FRT
liposomes loaded with carboplatin exhibited the highest level of ERK
phosphorylation, and the cytotoxic effect of FRT carboplatin liposomes could be
reversed by the MEK/ERK inhibitors, U0126 and PD98059. Importantly, empty FRT
liposomes could significantly increase ERK phosphorylation in a concentration
dependent manner without causing toxicity to cells. For the first time, increased
potency of carboplatin delivered by FRT liposomes was found to be associated with
other molecular targets in addition to DNA-platinum adduct formation.
Collectively, the current study suggests a novel mechanism by which FRT liposomes
could sensitize cancer cells to drug treatment via modulation of ERK-related cell
survival signals.
PMID- 22086150
TI - Adrenocortical neoplasia: evolving concepts in tumorigenesis with an emphasis on
adrenal cortical carcinoma variants.
AB - Adrenocortical carcinoma (ACC) is a rare, heterogeneous malignancy with a poor
prognosis. According to WHO classification 2004, ACC variants include oncocytic
ACCs, myxoid ACCs and ACCs with sarcomatous areas. Herein, we provide a
comprehensive review of these rare subtypes of adrenocortical malignancy and
emphasize their clinicopathological features with the aim of elucidating aspects
of diagnostic categorization, differential diagnostics and biological behavior.
The issue of current terminology, applied to biphasic tumors with pleomorphic,
sarcomatous or sarcomatoid elements arising in adrenal cortex, is also discussed.
We additionally present emerging evidence concerning the adrenal cortical
tumorigenesis and the putative adenoma-carcinoma sequence as well.
PMID- 22086153
TI - Measuring satiety with pictures compared to visual analogue scales. An
exploratory study.
AB - Visual analogue scales (VAS) are a standard tool used to measure subjective
appetite. To explore a potentially more intuitive and precise alternative, we
developed a method based on pictures and assessed its performance characteristics
vs. VAS. The objective was to compare the capacity of the two methods to
discriminate appetite ratings between interventions. Both methods were applied
within a previously published trial in which 16 healthy adults received
standardised meals followed by three different ileal infusions in a balanced
crossover design. At regular intervals volunteers indicated how many units of
individually pictured food portions (for 10 different items) they would like to
eat, and also scored six VAS. Methods were compared over different timeframes and
assessed for their sensitivity to intervention effects. Pictures were more
sensitive than VAS in differentiating intervention effects; however, further
refinement and validation would be needed for pictures to become a standardised
and accepted alternative to VAS for this type of research.
PMID- 22086154
TI - The role of bipolar transurethral vaporization in the management of benign
prostatic hyperplasia.
AB - OBJECTIVES: A prospective randomized study was conducted to evaluate the safety
and effectiveness of bipolar plasma vaporization with a novel electrode that
produces vaporization of the tissue (transurethral vaporization of the prostate,
TUVP) immersed in isotonic saline compared to the standard transurethral
resection of the prostate (TURP) in the treatment of benign prostatic hyperplasia
(BPH). PATIENTS AND METHODS: From February 2009 to February 2010, 90 patients
with BPH were randomized into two groups, and underwent conventional TURP (group
1) or TUVP (group 2) utilizing bipolar plasma vaporization with an innovative
electrode (Olympus Winter & Ibe GmbH, Hamburg, Germany). International Prostate
Symptom Scores (IPSS), transrectal ultrasonographic findings, maximal urine flow
rates (Qmax), and postvoiding residual urine (PVRU) volumes of all cases were
evaluated preoperatively and 1 month, 3 months, and 1 year postoperatively.
Preoperative and postoperative serum hemoglobin, hematocrit and sodium
concentrations of all patients were measured. All patients included in the study
were monitored for 1 year. RESULTS: In patients in group 1 (n = 47; mean age:
64.7 +/- 7.3 years) TURP was performed. The patients in group 2 (n = 43; mean
age: 65.4 +/- 8.9 years) underwent bipolar TUVP. Cases in the two study groups
matched for demographic characteristics and clinical parameters were assessed.
The evaluation of IPSS scores, PVRU, Qmax, and prostatic volumes of the patients
1 month, 3 months, and 1 year postoperatively did not reveal any significant
differences between the two groups. In group 2 (TUVP), postoperative catheter
indwelling times were significantly shorter, and Na serum concentrations were
also markedly lower (p < 0.005). CONCLUSION: We detected similar effectiveness
and morbidity rates in both groups. Bipolar TUVP has advantages such as shorter
catheter indwelling times and hospital stays, and fewer bleeding episodes without
any risk of transurethral resection syndrome. We believe that TUVP might be an
alternative to TURP which is currently the 'gold standard' treatment in BPH.
PMID- 22086155
TI - Spanish validation of the Spence Children's Anxiety Scale.
AB - The purpose of this study was to investigate the factorial structure and
psychometric properties of the Spence Children's Anxiety Scale (SCAS) in a sample
of 1,708 Spanish children aged between 8 and 12 years. The SCAS was demonstrated
to have satisfactory internal consistency with the Spanish sample, and factor
analysis confirmed the six-factor original model. Convergent validity was
supported by correlations with the State-Trait Anxiety Inventory for Children and
the welfare dimension of the Child Health and Illness Profile-Children Edition.
Low correlations between the SCAS and the Children's Depression Inventory
supported the divergent validity. Analysis suggested that anxiety scores decrease
with age, and girls reported higher scores than boys. Overall, the SCAS was shown
to have good psychometric properties for use with Spanish children by clinicians
and researchers.
PMID- 22086156
TI - Electrochemical nickel-induced fluoroalkylation: synthetic, structural and
mechanistic study.
AB - Electrocatalytic generation of nickel catalysts in low oxidation states by
reduction of nickel complexes with various ligands (2,2'-bipyridine, 2,2':6',2''
terpyridine, (S,S)-2,6-bis(4-phenyl-2-oxazolin-2-yl)-pyridine) in the presence of
olefinic substrates and fluoroalkyl halides leads to new organic products derived
from addition-dimerization processes. Due to the presence of two stereocenters in
the dimerization products two diastereomers were characterized by a variety of
analytical techniques including multi-dimensional NMR methods and X-ray single
crystal diffraction. The formation of dimers was prevented by the inclusion of
the hydrogen atom donor tributyltin hydride. The cyclic voltammetry study of
selected nickel complexes along with fluoroalkyl halides demonstrated that Ni(I)L
is the active form of the catalyst.
PMID- 22086157
TI - Detection of torque teno midi virus/small anellovirus (TTMDV/SAV) in chronic
cervicitis and cervical tumors in Isfahan, Iran.
AB - Torque teno midi virus and small anellovirus (TTMDV/SAV) are members of the genus
Gammatorquevirus within the family Anelloviridae. Cervical cancer is the second
most prevalent cancer after breast cancer. The aim of this study was to determine
the frequency of infection by these viruses in cervicitis and cervical tumors of
women from Isfahan, Iran. Formalin-fixed, paraffin-embedded tissue samples from
cervical cancers (n = 42) and cervicitis cases (n = 79) were subjected to nested
PCR to identify TTMDV/SAV viral sequences. Of the 42 tumor cases, 22, 18 and 2
were diagnosed as adenocarcinoma, cervical intraepithelial neoplasia and squamous
cell carcinoma, respectively. In total, 23 (55%) of the tumor samples were
positive for TTMDV/SAV. Of the 79 cervicitis cases, 38 (48%) were also positive
for TTMDV/SAV. This is the first report of TTMDV/SAV in cervicitis and cervical
tumors of women.
PMID- 22086158
TI - The complete sequence of tobacco mosaic virus isolate Ohio V reveals a high
accumulation of silent mutations in all open reading frames.
AB - TMVOhioV was first described 1969 by [1] because it did break resistance of
tomato breeding lines containing Tm-1- and Tm-2 resistance genes. It was obtained
1987 from Wetter (Saarbrucken, Germany) and transferred into the DSMZ-Plant Virus
Collection (Braunschweig, Germany). A partial sequence of TMVOhioV, the CP gene,
has been reported [11] and its comparison with a TMV type isolates (TMVtype),
e.g. EMBL: V01409, revealed 50 point mutations in a total of 477 nucleotides
(nts) leading to the replacement of only 7 amino acids (aa). In order to
investigate the mutations in the non-translated regions and the number of silent
mutation in the three other open reading frames (ORF), we sequenced the complete
genome of isolate TMVOhioV and compared it to those of other Tobamoviruses.
PMID- 22086159
TI - Kidney-targeting Smad7 gene transfer inhibits renal TGF-beta/MAD homologue (SMAD)
and nuclear factor kappaB (NF-kappaB) signalling pathways, and improves diabetic
nephropathy in mice.
AB - AIMS/HYPOTHESIS: The TGF-beta/MAD homologue (SMAD) and nuclear factor kappaB (NF
kappaB) signalling pathways have been shown to play a critical role in the
development of renal fibrosis and inflammation in diabetic nephropathy. We
therefore examined whether targeting these pathways by a kidney-targeting Smad7
gene transfer has therapeutic effects on renal lesions in the db/db mouse model
of type 2 diabetes. METHODS: We delivered Smad7 plasmids into the kidney of db/db
mice using kidney-targeting, ultrasound-mediated, microbubble-inducible gene
transfer. The histopathology, ultrastructural pathology and pathways of TGF
beta/SMAD2/3-mediated fibrosis and NF-kappaB-dependent inflammation were
evaluated. RESULTS: In this mouse model of type 2 diabetes, Smad7 gene therapy
significantly inhibited diabetic kidney injury, compared with mice treated with
empty vectors. Symptoms inhibited included: (1) proteinuria and renal function
impairment; (2) renal fibrosis such as glomerular sclerosis, tubulo-interstitial
collagen matrix abundance and renal inflammation, including Inos (also known as
Nos2), Il1b and Mcp1 (also known as Ccl2) upregulation, as well as macrophage
infiltration; and (3) podocyte and endothelial cell injury as demonstrated by
immunohistochemistry and/or electron microscopy. Further study demonstrated that
the improvement of type 2 diabetic kidney injury by overexpression of Smad7 was
associated with significantly inhibited local activation of the TGF-beta/SMAD and
NF-kappaB signalling pathways in the kidney. CONCLUSIONS/INTERPRETATION: Our
results clearly demonstrate that kidney-targeting Smad7 gene transfer may be an
effective therapy for type 2 diabetic nephropathy, acting via simultaneous
modulation of the TGF-beta/SMAD and NF-kappaB signalling pathways.
PMID- 22086160
TI - Sources of inputs to the anterior and posterior aspects of the paraventricular
nucleus of the thalamus.
AB - The paraventricular nucleus of the thalamus (PVT) is part of a group of midline
and intralaminar thalamic nuclei implicated in arousal and attention. Recent
research points to anatomical and functional differences between the anterior
(aPVT) and posterior PVT (pPVT). The present study re-examines the main sources
of brain inputs to the aPVT and pPVT in the rat following iontophoretic
injections of the retrograde tracer cholera toxin B (CTb) in the PVT. The
location and the number of retrogradely labeled neurons in different regions of
the brain were examined to determine which brain areas are likely to exert a
strong influence on the aPVT and pPVT. The largest number of labeled neurons was
found in layer 6 of the prelimbic, infralimbic and posterior insular cortices
following injections in the pPVT. In contrast, the largest number of labeled
neurons following injections of CTb in the aPVT was found to be in the
hippocampal subiculum and the prelimbic cortex. Other areas of the brain
including the reticular nucleus of the thalamus, periaqueductal gray,
parabrachial nucleus and dorsomedial nucleus of the hypothalamus were found to
contain a more moderate number of neurons following injections of CTb in either
the aPVT or pPVT. The results of the present tracing study clearly show that more
neurons in the prefrontal cortex and subiculum project to the PVT than neurons
from the hypothalamus and brainstem. These results highlight the potential
importance of top-down modulation of PVT mechanisms and behavioral functions.
PMID- 22086161
TI - Vaccination with dendritic cells loaded with tumor apoptotic bodies (Apo-DC) in
patients with chronic lymphocytic leukemia: effects of various adjuvants and
definition of immune response criteria.
AB - We previously demonstrated that autologous dendritic cells that have endocytosed
apoptotic bodies of chronic lymphocytic leukemia (CLL) cells (Apo-DC) can
stimulate antileukemic T cell responses in vitro. In this phase I study, we
vaccinated 15 asymptomatic CLL patients at five time points with Apo-DC
administered intradermally either alone (cohort I), or in combination with
subcutaneous granulocyte-macrophage-colony-stimulating-factor (GM-CSF) (cohort
II) or with GM-CSF and intravenous low-dose cyclophosphamide (cohort III). Aim of
the study was to evaluate the safety and immunogenicity of Apo-DC alone or in
combination with GM-CSF and low-dose cyclophosphamide in CLL patients. All
patients completed the vaccination schedule without dose-limiting toxicity. No
objective clinical responses were seen. Vaccine-induced leukemia-specific immune
responses were evaluated by IFN-gamma ELISpot and proliferation assays over a 52
weeks observation period and immune response criteria were defined. According to
these criteria, 10/15 patients were defined as immune responders. The frequency
of immune-responding patients was higher in cohorts II (3/5) and III (5/5) than
in cohort I (2/5). In order to further characterize the induced immune response,
estimation of secreted cytokines and CD107-degranulation assay were performed.
Clustering of T and CLL cells was observed in CD107-degranulation assay and
visualized by confocal microscopy. Additionally, assessment of regulatory T cells
(T(regs)) revealed their significantly lower frequencies in immune responders
versus non-responders (P < 0.0001). Cyclophosphamide did not reduce T(regs)
frequency. In conclusion, vaccination with Apo-DC + GM-CSF and cyclophosphamide
was safe and elicited anti-CLL immune responses that correlated inversely with
T(regs) levels. Lack of clinical responses highlights the necessity to develop
more potent vaccine strategies in B cell malignancies.
PMID- 22086162
TI - Are we ready to start studies of Th17 cell manipulation as a therapy for cancer?
AB - From a therapeutic perspective, the bourgeoning literature on Th17 cells should
allow us to decide whether to rationally pursue the manipulation of Th17 cells in
cancer. The purpose of this review is to attempt a synthesis of a number of
contradictory conclusions as to the role that these cells are playing in the
process of tumourigenesis in order to provide guidance as to whether our current
understanding is sufficient to safely pursue Th17-targeted therapy in cancer at
this time. Th17 cells are a highly plastic population and the cytokine drivers
for Th17 cell generation and skewing will vary between various cancers and
importantly between different sites of tumour involvement in any individual
patient. The net impact of the pro-angiogenic IL-17 produced not only by Th17
cells but by other cells particularly macrophages and the anti-tumour effects of
Th1/Th17 cells will in turn be determined by the complex interplay of diverse
chemokines and cytokines in any tumour microenvironment. Th17 cells that fail to
home to tumours may be immunosuppressive. The complexity of IL-17 and Th17
dynamics makes easy prediction of the effects of either enhancing or suppressing
Th17 cell differentiation in cancer problematic.
PMID- 22086164
TI - Digital timing: sampling frequency, anti-aliasing filter and signal interpolation
filter dependence on timing resolution.
AB - The main focus of our study is to investigate how the performance of digital
timing methods is affected by sampling rate, anti-aliasing and signal
interpolation filters. We used the Nyquist sampling theorem to address some basic
questions such as what will be the minimum sampling frequencies? How accurate
will the signal interpolation be? How do we validate the timing measurements? The
preferred sampling rate would be as low as possible, considering the high cost
and power consumption of high-speed analog-to-digital converters. However, when
the sampling rate is too low, due to the aliasing effect, some artifacts are
produced in the timing resolution estimations; the shape of the timing profile is
distorted and the FWHM values of the profile fluctuate as the source location
changes. Anti-aliasing filters are required in this case to avoid the artifacts,
but the timing is degraded as a result. When the sampling rate is marginally over
the Nyquist rate, a proper signal interpolation is important. A sharp roll-off
(higher order) filter is required to separate the baseband signal from its
replicates to avoid the aliasing, but in return the computation will be higher.
We demonstrated the analysis through a digital timing study using fast LSO
scintillation crystals as used in time-of-flight PET scanners. From the study, we
observed that there is no significant timing resolution degradation down to 1.3
Ghz sampling frequency, and the computation requirement for the signal
interpolation is reasonably low. A so-called sliding test is proposed as a
validation tool checking constant timing resolution behavior of a given timing
pick-off method regardless of the source location change. Lastly, the performance
comparison for several digital timing methods is also shown.
PMID- 22086163
TI - Receiving treatment, labor force activity, and work performance among people with
psychiatric disorders: results from a population survey.
AB - INTRODUCTION: Standard treatments for psychiatric disorders such as
schizophrenia, depression and anxiety disorders are generally expected to benefit
individuals, employers, and the wider community through improvements in work
functioning and productivity. METHODS: We repeated a previous secondary
investigation of receiving treatment, labor force activity and self-reported work
performance among people with ICD-10 psychiatric disorders, in comparison to
people with other types of health conditions. Data were collected by the
Australian Bureau of Statistics in 2003 repeating a survey administered in 1998
using representative multistage sampling strategies. The 2003 household
probability sample consisted of 36,241 working age individuals. RESULTS:
Consistent with the previous secondary investigation based on the 1998 survey
administration, receiving treatment was consistently associated with non
participation in the labor force, and was negatively associated with work
performance. CONCLUSIONS: At a population level, receiving treatment was
negatively associated with labor force activity and work performance. The
stability of these results in two independent surveys highlights the need to
investigate the longitudinal relationships between evidence-based treatments for
psychiatric conditions as applied in real-world settings, and labor force
participation and work performance outcomes.
PMID- 22086165
TI - Accessory cells: no longer just the bridesmaids, now at last the bride. The Nobel
Prize in physiology or medicine 2011.
PMID- 22086166
TI - Efflux pump genes and antimicrobial resistance of Pseudomonas aeruginosa strains
isolated from lower respiratory tract infections acquired in an intensive care
unit.
AB - The aim of this study was to determine the antimicrobial resistance rates and the
resistance genes associated with efflux pumps of Pseudomonas aeruginosa strains
isolated from the patients who acquired lower respiratory tract infection (LRTI)
in intensive care unit (ICU). Fifty P. aeruginosa strains isolated from the lower
respiratory tract specimens of the patients who acquired LRTIs in ICU were
included in this study. P. aeruginosa strains were isolated from tracheal
aspirate (27), bronchoalveolar lavage (14) and sputum (9). The susceptibilities
of the isolates were investigated by the disk diffusion method. Multiplex PCR
assay was carried out for the detection of 13 antibiotic-resistance genes.
Antimicrobial resistance rates of the isolates were found high and the highest
resistance rate of the isolates studied was determined against to mezlocillin
(50%) followed by norfloxacin (48%), ciprofloxacin (46%), meropenem (40%). Fourty
three isolates (86%) were determined to carry one and more resistance genes. NfxB
gene was most often determined in the genes that were investigated. The
significant relation between the resistance to cefepime, piperacilline/tazobactam
and the mexC gene, that between the resistance to mezlocillin,
piperacilline/tazobactam, ceftazidime, cefepime and ampC genes, and that between
the resistance to ciprofloxacin, norfloxacin and oprJ, oprN and nfxB genes was
identified. Resistance caused by genes for carbapenemases, aminoglycoside
modifying enzymes and other mechanisms were not identified in this study.
Understanding the prevalence and mechanism of antimicrobial resistance in P.
aeruginosa may help to select empirical therapy for nosocomial LRTIs due to P.
aeruginosa in our ICU.
PMID- 22086167
TI - A remodelling metric for angular fibre distributions and its application to
diseased carotid bifurcations.
AB - Many soft biological tissues contain collagen fibres, which act as major load
bearing constituents. The orientation and the dispersion of these fibres
influence the macroscopic mechanical properties of the tissue and are therefore
of importance in several areas of research including constitutive model
development, tissue engineering and mechanobiology. Qualitative comparisons
between these fibre architectures can be made using vector plots of mean
orientations and contour plots of fibre dispersion but quantitative comparison
cannot be achieved using these methods. We propose a 'remodelling metric' between
two angular fibre distributions, which represents the mean rotational effort
required to transform one into the other. It is an adaptation of the earth
mover's distance, a similarity measure between two histograms/signatures used in
image analysis, which represents the minimal cost of transforming one
distribution into the other by moving distribution mass around. In this paper,
its utility is demonstrated by considering the change in fibre architecture
during a period of plaque growth in finite element models of the carotid
bifurcation. The fibre architecture is predicted using a strain-based remodelling
algorithm. We investigate the remodelling metric's potential as a clinical
indicator of plaque vulnerability by comparing results between symptomatic and
asymptomatic carotid bifurcations. Fibre remodelling was found to occur at
regions of plaque burden. As plaque thickness increased, so did the remodelling
metric. A measure of the total predicted fibre remodelling during plaque growth,
TRM, was found to be higher in the symptomatic group than in the asymptomatic
group. Furthermore, a measure of the total fibre remodelling per plaque size,
TRM/TPB, was found to be significantly higher in the symptomatic vessels. The
remodelling metric may prove to be a useful tool in other soft tissues and
engineered scaffolds where fibre adaptation is also present.
PMID- 22086169
TI - Thymoma-associated progressive encephalomyelitis with rigidity and myoclonus
successfully treated with thymectomy and intravenous immunoglobulin.
PMID- 22086170
TI - PRaTo: a web-tool to select optimal primer pairs for qPCR.
AB - An essential pre-requisite to perform sound quantitative real-time polymerase
chain reaction (qPCR) assays is to design outstanding primer pairs. This means
they must have a good efficiency and be not prone to produce multiple amplicons
or primer dimer products. To circumvent these issues, several softwares are
available to help primer design. Although satisfactory computer-aided primer
design tools are available for standard PCR, less efforts were done to provide
specific methods for selection of optimal primer pairs for qPCR. We have
developed PRaTo a web-based tool that enables checking and ranking of primers
pairs for their attitude to perform optimally and reliably when used in qPCR
experiments. PRaTo is available at http://prato.daapv.unipd.it.
PMID- 22086171
TI - DNA-binding properties of Smc6, a core component of the Smc5-6 DNA repair
complex.
AB - The Smc5-6 complex is an essential regulator of chromosome integrity and a key
component of the DNA damage response. As an essential DNA repair factor, the Smc5
6 complex is expected to interact with DNA and/or chromatin during the execution
of its functions. How the Smc6 protein promotes the binding of the Smc5-6 complex
to DNA lesions is currently unknown. We show here that Smc6 is a strong DNA
binding protein with a clear preference for single-stranded DNA substrates.
Importantly, Smc6 associates with DNA in the absence of other Smc5-6 complex
components and its activity is modulated by nucleotides. Our results also show
that the minimal size of single-stranded DNA required for tight association with
Smc6 is ~60 nucleotides in length. Taken together, our results suggest that Smc6
contributes to DNA repair in vivo by targeting the Smc5-6 complex to single
stranded DNA substrates created during the processes of homologous recombination
and/or DNA replication.
PMID- 22086172
TI - Functional characterization of a synthetic abscisic acid analog with anti
inflammatory activity on human granulocytes and monocytes.
AB - The phytohormone abscisic acid (ABA), in addition to regulating several important
physiological functions in plants, is also produced and released by human
granulocytes and monocytes where it stimulates cell activities involved in the
innate immune response. Here we describe the properties of an ABA synthetic
analog that competes with the hormone for binding to human granulocyte membranes
and to purified recombinant LANCL2 (the human ABA receptor) and inhibits several
ABA-triggered inflammatory functions of granulocytes and monocytes in vitro:
chemotaxis, phagocytosis, reactive oxygen species production and release of
prostaglandin E(2) (PGE(2)) by human granulocytes, release of PGE(2) and of
monocyte chemoattractant protein-1 by human monocytes. This observation provides
a proof of principle that ABA antagonists may represent a new class of anti
inflammatory agents.
PMID- 22086173
TI - A fluorescence assay for elucidating the substrate specificities of
deubiquitinating enzymes.
AB - Ubiquitin C-terminal hydrolases (UCHs) are a representative family of
deubiquitinating enzymes (DUBs), which specifically cleave ubiquitin (Ub) chains
or extensions. Here we present a convenient method for characterizing the
substrate specificities of various UCHs by fluorescently mutated Ub-fusion
proteins (Ub(F45W)-Xaa) and di-ubiquitin chains (Ub(F45W)-diUb). After removal of
the intact substrate by Ni(2+)-NTA affinity, the enzymatic activities of UCHs
were quantitatively determined by recording fluorescence of the Ub(F45W) product.
The results show that three UCHs, i.e. UCH-L1, UCH-L3 and UCH37/UCH-L5, are
distinct in their substrate specificities for the Ub-fusions and diUb chains.
This assay method may also be applied to study the enzymatic activities and
substrate specificities of other DUBs.
PMID- 22086174
TI - Expression of membrane-bound NPP-type ecto-phosphodiesterases in rat podocytes
cultured at normal and high glucose concentrations.
AB - The ecto-nucleotide pyrophosphatase/phosphodiesterase family (E-NPPs) contains
two membrane-bound members: E-NPP1 and E-NPP3. These enzymes mediate hydrolysis
of extracellular nucleotides and their abnormal expression may affect
intracellular signal transduction pathways, leading to cellular dysfunction,
e.g., insulin resistance. Podocytes are insulin-dependent glomerular epithelial
cells that regulate the glomerular filtration rate. Pathology of podocytes is a
hallmark of diabetic nephropathy. Here, we investigated the expressions of E-NPP1
and E-NPP3 and activity of E-NPP enzymes in rat podocytes cultured with 5mM (NG)
or 30 mM glucose (HG). Insulin resistance was determined by measuring changes in
[1,2-(3)H]-deoxy-D-glucose uptake in response to insulin. mRNAs of E-NPP1 and E
NPP3 were detected within podocytes. The E-NPP expressions were confirmed at the
protein level using western blot and immunofluorescence techniques. At NG,
insulin (300 nM, 3 min) increased glucose uptake 1.5-fold; however, this effect
was abolished at HG. The protein expressions of E-NPP1 and E-NPP3 were not
affected at HG. The E-NPP activities were 24.68+/-0.72 and 26.51+/-1.55
nmol/min/mg protein at NG and HG, respectively. In conclusion, ecto-nucleotide
pyrophosphatase/phosphodiesterase 1 and 3 are expressed on podocytes, but changes
in expression of these enzymes are most likely not involved in etiology of
insulin resistance in podocytes.
PMID- 22086175
TI - Identification of reference genes for reverse transcription quantitative real
time PCR normalization in pepper (Capsicum annuum L.).
AB - Reverse transcription quantitative real-time polymerase chain reaction (qRT-PCR)
is a commonly used technology for gene expression and transcriptome analysis.
Normalization is a process that is necessary to accurately analyze qRT-PCR data.
Stability of reference gene expression is required for this process. Due to the
large variation in expression levels of reference genes obtained from different
experimental conditions, gene expression stabilities must be evaluated and
identified in all experimental systems. In the present paper, the stability of
the expression levels of seven potential reference genes in pepper are assessed
using qRT-PCR analysis to determine optimal reference genes. These reference
genes are evaluated in different pepper tissues, abiotic stress, and hormonal
treatment samples. Three common statistical algorithms, geNorm, NormFinder, and
BestKeeper, are used to identify expression stability and provide an accurate
selection of reference genes. Two reference genes, beta tubulin and ubiquitin
conjugating protein (UBI-3), showed high stability in sample pools with abiotic
stress and hormonal treatments. Among the sample pools tested, UBI-3 and
glyceraldehyde-3-phosphate dehydrogenase expression levels were the most stable
in different tissues. Therefore, these reference genes are selected for qRT-PCR
analysis under the experimental conditions tested in pepper. In contrast,
ubiquitin-conjugating enzyme and actin genes are identified as the least stable
reference genes in all the groups tested, confirming that they are not suitable
for normalization. Validation of these candidate genes could provide useful
guidelines for reference gene selection in qRT-PCR studies in pepper.
PMID- 22086176
TI - Basophil-derived mouse mast cell protease 11 induces microvascular leakage and
tissue edema in a mast cell-independent manner.
AB - Mouse mast cell protease 11 (mMCP-11) is the most recently identified member of
the mouse mast cell tryptase family. This tryptase is preferentially produced by
basophils in contrast to other members that are expressed by mast cells but not
basophils. Although blood-circulating basophils have long been considered as
minor and redundant relatives of tissue-resident mast cells, recent studies
illustrated that basophils and mast cells play distinct roles in vivo. To explore
the in vivo role of basophil-derived mMCP-11, here we prepared recombinant mMCP
11 and its protease-dead mutant. Subcutaneous injection of the wild-type mMCP-11
but not the mutant induced edematous skin swelling with increased microvascular
permeability in a dose-dependent manner. No apparent infiltration of
proinflammatory cells including neutrophils and eosinophils was detected in the
skin lesions. The cutaneous swelling was abolished by the pretreatment of mice
with indomethacin, a cyclooxygenase inhibitor, suggesting the major contribution
of prostaglandins to the microvascular leakage. Of note, the cutaneous swelling
was elicited even in mast cell-deficient mice, indicating that mast cells are
dispensable for the mMCP-11-induced cutaneous swelling. Thus, basophil-derived
mMCP-11 can induce microvascular leakage via prostaglandins in a mast cell
independent manner, and may contribute to the development of basophil-mediated
inflammatory responses.
PMID- 22086177
TI - Suppression of Nrdp1 toxicity by Parkin in Drosophila models.
AB - Nrdp1 is a RING finger ubiquitin E3 ligase that interacts with Parkin, and
promotes the degradation of Parkin, a causative protein for early onset Autosomal
Recessive Juvenile Parkinsonism (AR-JP). To investigate if Nrdp1 plays a role in
the pathogenesis of Parkinson's disease, we generated transgenic Drosophila that
expressed Drosophila Nrdp1 (dNrdp1) and dNrdp1(D56V), an aspartic acid to valine
mutant at residue 56 that disrupts its ring finger domain, resulting in impaired
capacity to degrade its substrate ErbB3. Our data show that a pan-neuronal
expression of transgenic dNrdp1 but not dNrdp1(D56V) mutant leads to the loss of
dopaminergic neurons in brains, resulting in reduction of dopamine production.
These flies also manifested decreased flight ability. Co-expression of human
Parkin (hParkin) provides protection against toxicity induced by over-expression
of dNrdp1, reversing the effects of dNrdp1 on death of dopaminergic neurons,
reduction of dopamine production, and decreased flight ability. Taken together,
we conclude that Nrdp1 plays a role in neurodegeneration and could be potentially
targeted as a therapeutic strategy for Parkinson's disease.
PMID- 22086178
TI - Cdc20 mediates D-box-dependent degradation of Sp100.
AB - Cdc20 is a co-activator of the anaphase-promoting complex/cyclosome (APC/C
complex), which recruits substrates at particular phases of the cell cycle and
mediates their degradation. Sp100 is a PML-NB scaffold protein, which localizes
to nuclear particles during interphase and disperses from them during mitosis,
participates in viral resistance, transcriptional regulation, and apoptosis.
However, its metabolism during the cell cycle has not yet been fully
characterized. We found a putative D-box in Sp100 using the Eukaryotic Linear
Motif (ELM) predictor database. The putative D-box of Sp100 was verified by
mutational analysis. Overexpression of Cdc20 resulted in decreased levels of both
endogenous Sp100 protein and overexpressed Sp100 mRNA in HEK 293 cells. Only an
overexpressed D-box deletion mutant of Sp100 accumulated in HEK293 cells that
also overexpressed Cdc20. Cdc20 knockdown by cdc20 specific siRNA resulted in
increased Sp100 protein levels in cells. Furthermore, we discovered that the
Cdc20 mediated degradation of Sp100 is diminished by the proteasome inhibitor
MG132, which suggests that the ubiquitination pathway is involved in this
process. However, unlike the other Cdc20 substrates, which display oscillating
protein levels, the level of Sp100 protein remains constant throughout the cell
cycle. Additionally, both overexpression and knockdown of endogenous Sp100 had no
effect on the cell cycle. Our results suggested that sp100 is a novel substrate
of Cdc20 and it is degraded by the ubiquitination pathway. The intact D-box of
Sp100 was necessary for this process. These findings expand our knowledge of both
Sp100 and Cdc20 as well as their role in ubiquitination.
PMID- 22086179
TI - Methionine sulfoxide reductase A regulates cell growth through the p53-p21
pathway.
AB - MsrA is an oxidoreductase that catalyzes the stereospecific reduction of
methionine-S-sulfoxide to methionine. Although MsrA is well-characterized as an
antioxidant and has been implicated in the aging process and cellular senescence,
its roles in cell proliferation are poorly understood. Here, we report a critical
role of MsrA in normal cell proliferation and describe the regulation mechanism
of cell growth by this protein. Down-regulation of MsrA inhibited cell
proliferation, but MsrA overexpression did not promote it. MsrA deficiency led to
an increase in p21, a major cyclin-dependent kinase inhibitor, thereby causing
cell cycle arrest at the G(2)/M stage. While protein levels of p53 were not
altered upon MsrA deficiency, its acetylation level was significantly elevated,
which subsequently activated p21 transcription. The data suggest that MsrA is a
regulator of cell growth that mediates the p53-p21 pathway.
PMID- 22086180
TI - Echocardiographic risk stratification of fetuses with sacrococcygeal teratoma and
twin-reversed arterial perfusion.
AB - OBJECTIVE: To evaluate pre-intervention echocardiographic parameters of cardiac
function in fetuses who survive without hydrops as compared to fetuses who
develop hydrops or perinatal death in the setting of sacrococcygeal teratoma
(SCT) and twin-reversed arterial perfusion sequence (TRAP). METHODS: Clinical,
echocardiographic and sonographic data of fetuses with SCT or TRAP during 1999
2009 were reviewed retrospectively. Measurements of cardiothoracic ratio (CTR),
cardiac dimension Z-scores, combined ventricular output (CVO), valvular
regurgitation, and cardiovascular profile scores (CVPS) were obtained. RESULTS:
In total, 19 fetuses (11 SCT, 8 TRAP) met the inclusion criteria and 26 detailed
fetal echocardiographic studies were reviewed. Outcome was poor in 7 pregnancies
(group A) and good in 12 (group B). Group A had worse CVPS (8.5 vs. 10, p < 0.01)
and higher CTR (0.37 vs. 0.30, p = 0.04). At least one of the following was
present in each group A fetus: CTR >0.5, CVO >550 ml/min/kg, tricuspid or mitral
regurgitation, or mitral valve Z-score >2. No group B fetus had any of these
abnormalities. No fetus in either group had abnormal venous Doppler waveforms at
presentation. CONCLUSIONS: Fetal echocardiography can identify abnormalities of
cardiac size and systolic, but not diastolic, function in all fetuses who
subsequently died or developed hydrops.
PMID- 22086181
TI - Dissipation of chlorantraniliprole in tomato fruits and soil.
AB - The main objective of this study was to understand the residue and persistence
behaviour of new insecticide chlorantraniliprole in tomato fruit and soil
samples. Its residue was analyzed by HPLC and it dissipated in tomato fruit and
soil following first order kinetics. The results showed half life (t(1/2)) value
of 3.30 and 3.66 days for chlorantraniliprole in tomato fruit and soil,
respectively. According to maximum residue limit (MRL) the pre-harvest interval
(PHI) of chlorantraniliprole on tomato was 8-days after the treatment.
PMID- 22086182
TI - Estimation of indoxacarb residues by QuEChERS technique and its degradation
pattern in cabbage.
AB - Indoxacarb residues were estimated by employing standardized QuEChERS technique
in cabbage following three applications of Avant(R) 14.8 EC @ 52.2 and 104.4 g
a.i. ha(-1). The average recoveries of indoxacarb on cabbage for fortification
levels of 0.01, 0.05 and 0.1 mg kg(-1) were observed to be 83.93, 89.86 and
95.40%, respectively, with relative standard deviation of 1.21, 1.53 and 2.23.
The method was also validated with respect to parameters of linearity, precision
and limit of quantification (LOQ). The LOQ for cabbage was found to be 0.01 mg
kg(-1). The average initial deposits of indoxacarb on cabbage were observed to be
0.18 and 0.39 mg kg(-1), respectively, at single and double the application rate.
These indoxacarb residues dissipated below its LOQ of 0.01 mg kg(-1)after 7 and
10 days, respectively, at single and double dosages. Half-life of indoxacarb was
observed to be 2.88 and 1.92 days, respectively, at recommended and double the
recommended dosages.
PMID- 22086183
TI - Pinus densiflora leaf essential oil induces apoptosis via ROS generation and
activation of caspases in YD-8 human oral cancer cells.
AB - The leaf of Pinus (P.) densiflora, a pine tree widely distributed in Asian
countries, has been used as a traditional medicine. In the present study, we
investigated the anticancer activity of essential oil, extracted by steam
distillation, from the leaf of P. densiflora in YD-8 human oral squamous cell
carcinoma (OSCC) cells. Treatment of YD-8 cells with P. densiflora leaf essential
oil (PLEO) at 60 ug/ml for 8 h strongly inhibited proliferation and survival and
induced apoptosis. Notably, treatment with PLEO led to generation of ROS,
activation of caspase-9, PARP cleavage, down-regulation of Bcl-2, and
phosphorylation of ERK-1/2 and JNK-1/2 in YD-8 cells. Treatment with PLEO,
however, did not affect the expression of Bax, XIAP and GRP78. Importantly,
pharmaco-logical inhibition studies demonstrated that treatment with vitamin E
(an anti-oxidant) or z-VAD-fmk (a pan-caspase inhibitor), but not with PD98059
(an ERK-1/2 inhibitor) or SP600125 (a JNK-1/2 inhibitor), strongly suppressed
PLEO-induced apoptosis in YD-8 cells and reduction of their survival. Vitamin E
treatment further blocked activation of caspase-9 and Bcl-2 down-regulation
induced by PLEO. Thus, these results demonstrate firstly that PLEO has anti
proliferative, anti-survival and pro-apoptotic effects on YD-8 cells and the
effects are largely due to the ROS-dependent activation of caspases.
PMID- 22086184
TI - The association between income source and met need among community mental health
service users in Ontario, Canada.
AB - We examined income source and match between recommended and received care among
users of community mental health services. We conducted a secondary analysis of
needs-based planning data on adults in Ontario community mental health programs
from 2000 to 2002. The outcome was whether clients were severely underserved
(yes/no) based on the match between level of care recommended and received. A
logistic regression model investigated if income source predicted this outcome.
13% of clients were severely underserved. Over 40% were on public assistance and
they had a higher risk of being severely undeserved than the others. Men were at
greater risk. One aim of mental health reform is to increase access to care for
vulnerable individuals. The finding that among users of community mental health
services, individuals with public assistance income support are most vulnerable
to being severely underserved should be considered by service planners and
providers.
PMID- 22086185
TI - Downregulation of EphA2 expression suppresses the growth and metastasis in
squamous-cell carcinoma of the head and neck in vitro and in vivo.
AB - PURPOSE: Our previous study has revealed that EphA2 overexpression is
significantly associated with aggressive behavior and poor prognosis in patients
with squamous-cell carcinoma of the head and neck (SCCHN). However, the function
of EphA2 in tumorigenesis and cervical lymph node metastasis of SCCHN has never
been elucidated in vivo. METHODS: EphA2 was knocked down in SCCHN cell lines. CCK
8 assays, fluorescence-activated cell sorting analysis, invasion and migration
assays were performed in vitro. In vivo tumorigenicity assays were performed, and
the impact on cervical lymph node metastasis was evaluated. RESULTS: The present
investigation demonstrated that suppression of EphA2 resulted in a significant
inhibition of proliferation, migration, invasion of SCCHN cells in vitro and
markedly diminished their tumorigenicity and lymph node metastasis in vivo.
CONCLUSIONS: These results suggest that EphA2 plays a critical role in SCCHN
growth and metastasis and may be a promising therapeutic target to prevent the
progression of SCCHN.
PMID- 22086186
TI - Influence of dendrimers on red blood cells.
AB - Dendrimers, highly branched macromolecules with a specific size and shape,
provide many exciting opportunities for biomedical applications. However, most
dendrimers demonstrate toxic and haemolytic activity because of their positively
charged surface. Masking the peripheral cationic groups by coating them with
biocompatible molecules is a method to reduce it. It was proven that modified
dendrimers can even diminish haemolytic activity of encapsulated drugs.
Experiments confirmed that anionic dendrimers are less haemotoxic than cationic
ones. Due to the high affinity of dendrimers for serum proteins, presence of
these components in an incubation buffer might also influence red blood cell
(RBC)-dendrimer interactions and decrease the haemolysis level. Generally,
haemotoxicity of dendrimers is concentration-, generation-, and time-dependent.
Various changes in the RBCs' shape in response to interactions with dendrimers
have been observed, from echinocytic transformations through cell aggregation to
cluster formation, depending on the dendrimer's type and concentration.
Understanding the physical and chemical origins of dendrimers' influences on RBCs
might advance scientists' ability to construct dendrimers more suitable for
medical applications.
PMID- 22086187
TI - Anharmonic nuclear dynamics in the mixed quantum-classical limit.
AB - This study employs mixed quantum-classical dynamics (MQCD) formalism to evaluate
the linear electronic dipole moment time correlation function (DMTCF) in which a
Morse oscillator serves to model the associated vibrations in a mixed quantum
classical (MQC) environment. While the main purpose of this work is to study the
applicability of MQCD formalism to anharmonic systems in condensed phase,
approximate schemes to physically evaluate the mathematically divergent integrals
have been developed in order to deal with the essential singularities that arise
while evaluating the Morse oscillator canonical partition function and the DMTCF
in MQC systems in the classical limit. The motivation for numerically and
analytically evaluating these divergent integrals is that a partition function of
any system should lead to a finite value at any temperature and therefore this
divergence is unphysical. Additionally, since a partition function is to signify
the number of accessible states to the system at hand, divergent results are not
physically acceptable. As such, straightforward approximate analytic expressions,
at different levels of rigor, for both the classical Morse oscillator partition
function and the DMTCF in MQC systems are derived, for the first time.
Calculations of Morse oscillator partition function values using different
approaches at various temperatures for CO, HCl, and I(2) molecules, showing good
results, are presented to test the expressions derived herein. It is found that
this divergence, due to singularity, diminishes upon lowering the temperature and
only arises at high temperatures. The gradual diminishing of the singularity upon
lowering the temperature is sensible since the Morse potential fits the parabolic
potential at low temperatures. Model calculations and discussion of the DMTCF and
linear absorption spectra in MQC systems using the molecular constants of CO
molecule are provided. The linear absorption lineshape is derived by two methods,
one of which is asymptotic expansion.
PMID- 22086189
TI - The influence of dose heterogeneity on tumour control probability in fractionated
radiation therapy.
AB - Theoretical modelling of tumour control probability (TCP) with respect to non
uniformity in the dose to the tumour, alternate fractionation schemes and tumour
kinetics is a very useful tool for assessment of the influence of changes in
dosimetric or radiobiological factors on the outcome of the treatment. Various
attempts have been made to also include effects from non-uniform dose to the
tumour volume, but the problem has not been fully solved and many factors were
totally neglected or not accurately taken into account. This paper presents
derivations of analytical expressions of TCP for macroscopic inter-cell dose
variations and for random inter-fractional variations in average tumour dose,
based on binomial statistics for the TCP and the well-known linear quadratic
model for the cell survival. Numerical calculations have been performed to
validate the analytical expressions. An analysis of the influence of the
deterministic and stochastic heterogeneity in dose delivery on the TCP was
performed. The precision requirements in dose delivery are discussed briefly with
the support of the presented results. The main finding of this paper is that it
is primarily the shape of the cell survival curve that governs how the response
is affected by macroscopic dose variations. The analytical expressions for TCP
accounting for heterogeneity in dose can quite well describe the TCP for varying
dose from cell to cell and random dose in each fraction. An increased TCP is seen
when a large number of fractions are used and the variations in dose to the cells
are rather high for tissues with low alpha/beta.
PMID- 22086188
TI - Nematode modulation of inflammatory bowel disease.
AB - Inflammatory bowel disease (IBD) is a chronic disease arising due to a
culmination of genetic, environmental, and lifestyle-associated factors and
resulting in an excessive pro-inflammatory response to bacterial populations in
the gastrointestinal tract. The prevalence of IBD in developing nations is
relatively low, and it has been proposed that this is directly correlated with a
high incidence of helminth infections in these areas. Gastrointestinal nematodes
are the most prevalent parasitic worms, and they efficiently modulate the immune
system of their hosts in order to establish chronic infections. Thus, they may be
capable of suppressing unrelated inflammation in disorders such as IBD. This
review describes how nematodes, or their products, suppress innate and adaptive
pro-inflammatory immune responses and how the mechanisms involved in the
induction of anti-nematode responses regulate colitis in experimental models and
clinical trials with IBD patients. We also discuss how refinement of nematode
derived therapies should ultimately result in the development of potent new
therapeutics of clinical inflammatory disorders.
PMID- 22086190
TI - Is the increase in bone mineral density after hip resurfacing uniform across the
femoral neck?
PMID- 22086191
TI - On the nature of semantic constraints on lexical access.
AB - We present two eye-tracking experiments that investigate lexical frequency and
semantic context constraints in spoken-word recognition in German. In both
experiments, the pivotal words were pairs of nouns overlapping at onset but
varying in lexical frequency. In Experiment 1, German listeners showed an
expected frequency bias towards high-frequency competitors (e.g., Blume,
'flower') when instructed to click on low-frequency targets (e.g., Bluse,
'blouse'). In Experiment 2, semantically constraining context increased the
availability of appropriate low-frequency target words prior to word onset, but
did not influence the availability of semantically inappropriate high-frequency
competitors at the same time. Immediately after target word onset, however, the
activation of high-frequency competitors was reduced in semantically constraining
sentences, but still exceeded that of unrelated distractor words significantly.
The results suggest that (1) semantic context acts to downgrade activation of
inappropriate competitors rather than to exclude them from competition, and (2)
semantic context influences spoken-word recognition, over and above anticipation
of upcoming referents.
PMID- 22086192
TI - Pancreatic cancer is not noble.
PMID- 22086193
TI - OCT4 pseudogenes present in human leukemia cells.
AB - The transcription factor OCT4 is expressed in embryonic stem cells (ESCs) and has
been considered as a main regulator in maintaining pluripotency of ESCs. Several
studies have showed OCT4 expression in human solid tumor and adult stem or
progenitor cells. However, whether OCT4 is expressed in normal hematopoietic
system including the peripheral blood and bone marrow remains controversial.
Furthermore, the functional expression of OCT4 in leukemia cells and its
potential significance in leukemia studies have been poorly defined. The aim of
this study was to examine and analyze the genuine expression of OCT4 in human
leukemia cells by means of RT-PCR, flow cytometry, PCR product sequencing and
alignment with NCBI BLAST and DNAMAN software. The full lengths of the putative
OCT4 genes were amplified in 2/9 leukemia cell lines and 7/49 leukemia patients'
samples. However, many base mutations in putative OCT4 positive samples were
found. Sequence alignment analysis showed a higher similarity between the
putative OCT4 PCR products and the pseudogenes in chromosomes 1 and 8. The
positive rates of OCT4 protein detected with flow cytometry were low, and almost
all of them were less than 10% of positivity. A very small fraction of leukemia
stem cells with OCT4 protein expression was found. We conclude that OCT4
pseudogenes in chromosomes 1 and 8 present in the panel of leukemia cells tested
and the OCT4 protein is rarely detected with flow cytometry in leukemia cells.
PMID- 22086194
TI - Poly-IC preconditioning protects against cerebral and renal ischemia-reperfusion
injury.
AB - Preconditioning induces ischemic tolerance, which confers robust protection
against ischemic damage. We show marked protection with polyinosinic
polycytidylic acid (poly-IC) preconditioning in three models of murine ischemia
reperfusion injury. Poly-IC preconditioning induced protection against ischemia
modeled in vitro in brain cortical cells and in vivo in models of brain ischemia
and renal ischemia. Further, unlike other Toll-like receptor (TLR) ligands, which
generally induce significant inflammatory responses, poly-IC elicits only modest
systemic inflammation. Results show that poly-IC is a new powerful prophylactic
treatment that offers promise as a clinical therapeutic strategy to minimize
damage in patient populations at risk of ischemic injury.
PMID- 22086195
TI - Visualizing cell death in experimental focal cerebral ischemia: promises,
problems, and perspectives.
AB - One of the hallmarks of stroke pathophysiology is the widespread death of many
different types of brain cells. As our understanding of the complex disease that
is stroke has grown, it is now generally accepted that various different
mechanisms can result in cell damage and eventual death. A plethora of techniques
is available to identify various pathological features of cell death in stroke;
each has its own drawbacks and pitfalls, and most are unable to distinguish
between different types of cell death, which partially explains the widespread
misuse of many terms. The purpose of this review is to summarize the standard
histopathological and immunohistochemical techniques used to identify various
pathological features of stroke. We then discuss how these methods should be
properly interpreted on the basis of what they are showing, as well as advantages
and disadvantages that require consideration. As there is much interest in the
visualization of stroke using noninvasive imaging strategies, we also
specifically discuss how these techniques can be interpreted within the context
of cell death.
PMID- 22086196
TI - Kollidon VA64, a membrane-resealing agent, reduces histopathology and improves
functional outcome after controlled cortical impact in mice.
AB - Loss of plasma membrane integrity is a feature of acute cellular injury/death in
vitro and in vivo. Plasmalemma-resealing agents are protective in acute central
nervous system injury models, but their ability to reseal cell membranes in vivo
has not been reported. Using a mouse controlled cortical impact (CCI) model, we
found that propidium iodide-positive (PI+) cells pulse labeled at 6, 24, or 48
hours maintained a degenerative phenotype and disappeared from the injured brain
by 7 days, suggesting that plasmalemma permeability is a biomarker of fatal
cellular injury after CCI. Intravenous or intracerebroventricular administration
of Kollidon VA64, poloxamer P188, or polyethylene glycol 8000 resealed injured
cell membranes in vivo (P<0.05 versus vehicle or poloxamer P407). Kollidon VA64
(1 mmol/L, 500 MUL) administered intravenously to mice 1 hour after CCI
significantly reduced acute cellular degeneration, chronic brain tissue damage,
brain edema, blood-brain barrier damage, and postinjury motor deficits (all
P<0.05 versus vehicle). However, VA64 did not rescue pulse-labeled PI+ cells from
eventual demise. We conclude that PI permeability within 48 hours of CCI is a
biomarker of eventual cell death/loss. Kollidon VA64 reduces secondary damage
after CCI by mechanisms other than or in addition to resealing permeable cells.
PMID- 22086197
TI - Sequential activation of hypoxia-inducible factor 1 and specificity protein 1 is
required for hypoxia-induced transcriptional stimulation of Abcc8.
AB - Cerebral ischemia causes increased transcription of sulfonylurea receptor 1
(SUR1), which forms SUR1-regulated NC(Ca-ATP) channels linked to cerebral edema.
We tested the hypothesis that hypoxia is an initial signal that stimulates
transcription of Abcc8, the gene encoding SUR1, via activation of hypoxia
inducible factor 1 (HIF1). In the brain microvascular endothelial cells, hypoxia
increased SUR1 abundance and expression of functional SUR1-regulated NC(Ca-ATP)
channels. Luciferase reporter activity driven by the Abcc8 promoter was increased
by hypoxia and by coexpression of HIF1alpha. Surprisingly, a series of luciferase
reporter assays studying the Abcc8 promoter revealed that binding sites for
specificity protein 1 (Sp1), but not for HIF, were required for stimulation of
Abcc8 transcription by HIF1alpha. Luciferase reporter assays studying Sp1
promoters of three species, and chromatin immunoprecipitation analysis in rats
after cerebral ischemia, indicated that HIF binds to HIF-binding sites on the Sp1
promoter to stimulate transcription of the Sp1 gene. We conclude that sequential
activation of two transcription factors, HIF and Sp1, is required to stimulate
transcription of Abcc8 following cerebral ischemia. Sequential gene activation in
cerebral ischemia provides a plausible molecular explanation for the prolonged
treatment window observed for inhibition of the end-target gene product, SUR1, by
glibenclamide.
PMID- 22086198
TI - A perspective on the mobilization, localization and delivery of molecules in the
crowded bacterial cytoplasm.
AB - It has been assumed that diffusion of molecules in the bacterial cytoplasm is the
mechanism that moves molecules in the absence of cytoplasmic streaming. However,
is there an undiscovered mechanism present that mobilizes cytoplasm and its
molecular contents, and delivers tRNAs to specific ribosomes at specific
bacterial cytoplasmic locations? Mobilization of specific tRNA (and also mRNA
transcripts and ribosomes) and cell division proteins to specific intracellular
locations may suggest that instructions and/or mechanism(s) are needed. The
alternative is that molecular crowding in the cytoplasm is sufficient for gentle
contact between mRNA, ribosomes and tRNA. Or is it plausible that the bacterial
cytoplasm (and its contents) are mobilized with the outcome being more gentle
collisions between molecules than by a diffusion only mechanism? One hypothesis
is that cytoplasmic and molecule mobilization and spatial organization are
possibly driven by the photons in thermal infrared (IR) radiation and generation
of exclusion zone (EZ) water in the cytoplasm.
PMID- 22086200
TI - Women with gestational diabetes develop glucose intolerance with high frequency
within one year postpartum.
AB - OBJECTIVE: To investigate the incidence of glucose intolerance postpartum in
women with gestational diabetes (GDM) and assess body weight, cholesterol and
triglyceride concentrations after delivery. METHODS: This was a study of an
initial cohort of 100 women with GDM who were tested at 6 weeks, 6 months, and 1
year postpartum. Postpartum evaluations were glucose tolerance, weight and
cholesterol and triglycerides. RESULTS: Impaired fasting glucose (IFG) and/or
impaired glucose tolerance (IGT) was present in 36.5% of 52 participants who were
assessed at 6 weeks postpartum and diabetes in 17.3%; the remaining 48 women
failed to return for the 3 evaluations. By 6 months, IFG/IGT was demonstrated in
55.8% and diabetes in 32.7% of the women. At 1 year, 46.2% exhibited IFG/IGT and
48% diabetes. Moreover, the weight was higher in those women who presented
IFG/IGT (75.5 +/- 15.2 kg, mean +/- SD) and diabetes (79.0 +/- 16.2 kg) compared
with those who had normal glucose tolerance (65.3 +/- 14.5 kg; p < 0.05). In
addition, triglycerides were higher in mothers with glucose intolerance (181.3 +/
85.9 mg/dl in IFG/IGT and 230.9 +/- 90.9 mg/dl in diabetes) than in women with
normal glycemia (147.8 +/- 11.2 mg/dl; p < 0.05). CONCLUSION: We demonstrated an
increased incidence of women exhibiting glucose intolerance within 1 year
postpartum, mainly in those who remained obese.
PMID- 22086201
TI - Hippocampal atrophy as late sequela of carbon monoxide poisoning.
PMID- 22086199
TI - Determinants of short- and long-term outcome in patients undergoing simultaneous
resection of colorectal cancer and synchronous colorectal liver metastases.
AB - PURPOSE: The optimal surgical strategy for patients presenting with colorectal
liver metastases has yet to be determined. Short- and long-term outcomes must be
considered if simultaneous resection of primary and liver metastases is to gain
acceptance. We examine the prognostic value of patient and tumour characteristics
in predicting short- and long-term outcomes following simultaneous resection for
synchronous disease. METHODS: Forty-six patients undergoing simultaneous
resection between April 2002 and June 2010 in a single institution were included.
Patient characteristics included preoperative ASA grade and POSSUM. Tumour
characteristics included TNM stage, Petersen Index and the Clinical Risk Score.
RESULTS: There were no postoperative deaths. The most common complications were
atrial fibrillation (seven patients) and pneumonia (seven patients). Mean
hospital stay with an uncomplicated postoperative recovery was 11 days versus 17
days with complicated recovery. Age (p = 0.015), ASA grade (p = 0.010) and POSSUM
score (p = 0.032) were associated with postoperative complications. No
pathological characteristics of the primary or secondary tumours related to
surgical morbidity. Median follow-up was 37 months (5-87) during which 24
patients died, 23 from cancer. Twenty-seven had disease recurrence. N stage of
the primary (p = 0.035), high-risk Petersen Index of the primary (p = 0.010) and
Clinical Risk Score >= 3 (p = 0.005) were associated with poorer recurrence-free
and cancer-specific survival. CONCLUSIONS: Post operative morbidity was
determined by patient factors rather than operative or tumour characteristics. In
addition to the Clinical Risk Score, pathological characteristics of the primary
are important determinants of long-term outcome following simultaneous resection
for synchronous disease.
PMID- 22086203
TI - Photo-induced DNA cleavage activity and remarkable photocytotoxicity of
lanthanide(III) complexes of a polypyridyl ligand.
AB - Lanthanide(III) complexes [Ln(pyphen)(acac)(2)(NO(3))] (1, 2),
[Ln(pydppz)(acac)(2)(NO(3))] (3, 4) and [La(pydppz)(anacac)(2)(NO(3))] (5), where
Ln is La(III) (in 1, 3, 5) and Gd(III) (in 2, 4), pyphen is 6-(2-pyridyl)-1,10
phenanthroline, pydppz is 6-(2-pyridyl)-dipyrido[3,2-a:2',3'-c]phenazine, anacac
is anthracenylacetylacetonate and acac is acetylacetonate, were prepared,
characterized and their DNA photocleavage activity and photocytotoxicity studied.
The crystal structure of complex 2 displays a GdO(6)N(3) coordination. The pydppz
complexes 3-5 show an electronic spectral band at ~390 nm in DMF. The La(III)
complexes are diamagnetic, while the Gd(III) complexes are paramagnetic with
seven unpaired electrons. The molar conductivity data suggest 1 : 1 electrolytic
nature of the complexes in aqueous DMF. They are avid binders to calf thymus DNA
giving K(b) in the range of 5.4 * 10(4)-1.2 * 10(6) M(-1). Complexes 3-5
efficiently cleave supercoiled DNA to its nicked circular form in UV-A light of
365 nm via formation of singlet oxygen ((1)O(2)) and hydroxyl radical (HO)
species. Complexes 3-5 also exhibit significant photocytotoxic effect in HeLa
cancer cells giving respective IC(50) value of 0.16(+/-0.01), 0.15(+/-0.01) and
0.26+/-(0.02) MUM in UV-A light of 365 nm, while they are less toxic in dark with
an IC(50) value of >3 MUM. The presence of an additional pyridyl group makes the
pydppz complexes more photocytotoxic than their dppz analogues. FACS analysis of
the HeLa cells treated with complex 4 shows apoptosis as the major pathway of
cell death. Nuclear localization of complex 5 having an anthracenyl moiety as a
fluorophore is evidenced from the confocal microscopic studies.
PMID- 22086204
TI - RNA interference-mediated silencing of SOCS-1 via lentiviral vector promotes
apoptosis of alveolar epithelial cells in vitro.
AB - Suppressor of cytokine signaling-1 (SOCS1) is a protein that negatively regulates
cytokine and growth factor signaling. However, little is known regarding the
precise role it plays in idiopathic pulmonary fibrosis. The aim of the present
study was to construct a recombinant lentiviral vector for RNA interference
targeting the SOCS1 gene and to detect the expression in human alveolar
epithelial cells. A lentiviral vector-mediated RNA interference method was used
to establish a SOCS1-negative cell line of alveolar origin (A549). Three pairs of
complementary small hairpin RNA (shRNA) oligonucleotides targeting the SOCS1 gene
were designed, synthesized and inserted into the pPll3.7 vector. Packaged
lentivirus particles were obtained after 48 h, and the supernatant was used to
transfect the human alveolar epithelial cell line A549. The expression of the
SOCS1 protein was detected by Western blotting. MTT assay was used to detect the
cell proliferation of alveolar epithelial cells with SOCS1 knockdown. The
recombinant plasmids were confirmed by sequencing. The lentivirus-containing
supernatant effectively infected the A549 cell line, and the expression of SOCS1
protein was inhibited, which was confirmed by Western blotting in the target
cells. MTT assay indicated the inhibition effect for cell proliferation of A549
cells in the SOCS1-RNA interference group, compared to the control group with no
interference-mediated silencing of the SOCS1 gene. A lentiviral vector for RNA
interference targeting the SOCS1 gene was successfully constructed, and cell
survival tests showed that knockdown of the SOCS1 gene promotes the apoptosis of
alveolar cells.
PMID- 22086205
TI - Development of the zebrafish myoseptum with emphasis on the myotendinous
junction.
AB - Zebrafish myosepta connect two adjacent muscle cells and transmit muscular forces
to axial structures during swimming via the myotendinous junction (MTJ). The MTJ
establishes transmembrane linkages system consisting of extracellular matrix
molecules (ECM) surrounding the basement membrane, cytoskeletal elements anchored
to sarcolema, and all intermediate proteins that link ECM to actin filaments.
Using a series of zebrafish specimens aged between 24 h post-fertilization and 2
years old, the present paper describes at the transmission electron microscope
level the development of extracellular and intracellular elements of the MTJ. The
transverse myoseptum development starts during the segmentation period by
deposition of sparse and loosely organized collagen fibrils. During the hatching
period, a link between actin filaments and sarcolemma is established. The basal
lamina underlining sarcolemma is well differentiated. Later, collagen fibrils
display an orthogonal orientation and fibroblast-like cells invade the myoseptal
stroma. A dense network of collagen fibrils is progressively formed that both
anchor myoseptal fibroblasts and sarcolemmal basement membrane. The
differentiation of a functional MTJ is achieved when sarcolemma interacts with
both cytoskeletal filaments and extracellular components. This solid structural
link between contractile apparatus and ECM leads to sarcolemma deformations
resulting in the formation of regular invaginations, and allows force
transmission during muscle contraction. This paper presents the first
ultrastructural atlas of the zebrafish MTJ development, which represents an
useful tool to analyse the mechanisms of the myotendinous system formation and
their disruption in muscle disorders.
PMID- 22086208
TI - Effects of tourism and topography on vegetation diversity in the subalpine
meadows of the Dongling Mountains of Beijing, China.
AB - Subalpine meadows in the Dongling Mountains (located at E115o26'-115o40', N40o00'
40o05') of Beijing, China are important for tourism and the provision of
ecosystem services. However, because of poor management serious degradation has
occurred on these subalpine meadows. The aim of this paper is to present a
quantitative analysis of effects of tourism disturbance and topography on the
status and diversity of montane meadow communities and to provide direction for
improved management. Sixty quadrats of 2 * 2 m(2) along 10 transects were set up
to collect data on site characteristics and vegetation status. The relationships
between community composition and structure, species diversity, and tourism
disturbance and topographic variables were analyzed by multivariate methods
(TWINSPAN and CCA). The results showed that eight meadow communities were
identified by TWINSPAN. Most of them were seriously degraded. The first CCA axis
identified an elevation and tourism disturbance intensity gradient, which
illustrated that tourism disturbance and elevation were most important factors
influencing meadow types, composition and structure. Some resistant species and
response species to tourism disturbance were identified and can be used as
indicator species of tourism disturbance. Species richness, heterogeneity and
evenness were closely related to tourism disturbance and elevation. It is
concluded that tourism disturbance must be controlled to enable grassland
rehabilitation to occur in the meadows. Measures of effective management of the
meadows were discussed.
PMID- 22086207
TI - Development and validation of an aquatic Fine Sediment Biotic Index.
AB - The Fine Sediment Biotic Index (FSBI) is a regional, stressor-specific
biomonitoring index to assess fine sediment (<2 mm) impacts on macroinvertebrate
communities in northwestern US streams. We examined previously collected data of
benthic macroinvertebrate assemblages and substrate particle sizes for 1,139
streams spanning 16 western US Level III Ecoregions to determine
macroinvertebrate sensitivity (mostly at species level) to fine sediment. We
developed FSBI for four ecoregion groupings that include nine of the ecoregions.
The grouping were: the Coast (Coast Range ecoregion) (136 streams), Northern
Mountains (Cascades, N. Rockies, ID Batholith ecoregions) (428 streams), Rockies
(Middle Rockies, Southern Rockies ecoregions) (199 streams), and Basin and Plains
(Columbia Plateau, Snake River Basin, Northern Basin and Range ecoregions) (262
streams). We excluded rare taxa and taxa identified at coarse taxonomic levels,
including Chironomidae. This reduced the 685 taxa from all data sets to 206. Of
these 93 exhibited some sensitivity to fine sediment which we classified into
four categories: extremely, very, moderately, and slightly sensitive; containing
11, 22, 30, and 30 taxa, respectively. Categories were weighted and a FSBI score
calculated by summing the sensitive taxa found in a stream. There were no orders
or families that were solely sensitive or resistant to fine sediment. Although,
among the three orders commonly regarded as indicators of high water quality, the
Plecoptera (5), Trichoptera (3), and Ephemeroptera (2) contained all but one of
the species or species groups classified as extremely sensitive. Index validation
with an independent data set of 255 streams found FSBI scores to accurately
predict both high and low levels of measured fine sediment.
PMID- 22086206
TI - Biological aspects of angiogenesis in multiple myeloma.
AB - Multiple myeloma (MM) is a hematological malignancy characterized by the aberrant
expansion of malignant plasma cells within the bone marrow (BM). One of the
hallmarks of this disease is the close interaction between myeloma cells and
neighboring cells within the BM. Angiogenesis, through the activation of
endothelial cells, plays an essential role in MM biology. In the current review,
we describe the angiogenesis process in MM by identifying the interacting cells,
the pro- and anti-angiogenic cytokines modulated, and the extracellular matrix
degrading proteases liable to participate in the pathophysiology. Finally, we
highlight the impact of hypoxia (through hypoxia-inducible factor-1) and
constitutive activation of nuclear factor-kappaB in this tumor-induced neo
vascularization.
PMID- 22086209
TI - Concentrations of PM(2.5) mass and components in residential and non-residential
indoor microenvironments: the Sources and Composition of Particulate Exposures
study.
AB - Although short in duration, air pollutant exposures occurring in non-residential
microenvironments (MEs), including restaurants, vehicles and commercial
locations, can represent a large fraction of total personal exposures. For the
Sources and Composition of Particulate Exposures study, a novel compact sampling
system was developed, facilitating simultaneous measurement of highly speciated
PM(2.5) mass in a range of commercial and residential locations. This sampler
also included 1-min measurements of PM(2.5) mass and ultrafine particle (UFP)
counts. Sampling was conducted in a number of MEs (retail stores, restaurants and
vehicles) throughout Atlanta. Chemically resolved particulate measurements in
these locations are of interest for both exposure scientists and epidemiologists
but have typically not been conducted because of logistical constraints
associated with sampling these trace constituents. We present measurements from a
non-random sample of locations that are limited in their generalizability but
provide several promising hypothesis-generating results. PM(2.5) mass
concentrations greater than 100 MUg/m(3), and UFPs>10(5) particles /cm(3) were
measured during several events in the restaurant and vehicle. Somewhat
unexpectedly, the grocery store ME, along with the restaurant and vehicle, also
had the highest levels of elemental carbon (EC), organic carbon (OC) and most
elements. In-vehicle concentrations of soil-related elements (Al, Ca, Fe, K and
Ti) and auto-related elements (EC, OC, Zn and Cu) were higher than those measured
at a central ambient site. The lowest concentrations for most pollutants were
found in the hospital and retail locations. It is questionable whether periodic,
high PM concentrations in the grocery store and restaurant pose health risks for
customers; however, individuals working in these locations may be exposed to
levels of concern.
PMID- 22086210
TI - Classification of G proteins and prediction of GPCRs-G proteins coupling
specificity using continuous wavelet transform and information theory.
AB - The coupling between G protein-coupled receptors (GPCRs) and guanine nucleotide
binding proteins (G proteins) regulates various signal transductions from
extracellular space into the cell. However, the coupling mechanism between GPCRs
and G proteins is still unknown, and experimental determination of their coupling
specificity and function is both expensive and time consuming. Therefore, it is
significant to develop a theoretical method to predict the coupling specificity
between GPCRs and G proteins as well as their function using their primary
sequences. In this study, a novel four-layer predictor (GPCRsG_CWTIT) based on
support vector machine (SVM), continuous wavelet transform (CWT) and information
theory (IT) is developed to classify G proteins and predict the coupling
specificity between GPCRs and G proteins. SVM is used for construction of models.
CWT and IT are used to characterize the primary structure of protein. Performance
of GPCRsG_CWTIT is evaluated with cross-validation test on various working
dataset. The overall accuracy of the G proteins at the levels of class and family
is 98.23 and 85.42%, respectively. The accuracy of the coupling specificity
prediction varies from 74.60 to 94.30%. These results indicate that the proposed
predictor is an effective and feasible tool to predict the coupling specificity
between GPCRs and G proteins as well as their functions using only the protein
full sequence. The establishment of such an accurate prediction method will
facilitate drug discovery by improving the ability to identify and predict
protein-protein interactions. GPCRsG_CWTIT and dataset can be acquired freely on
request from the authors.
PMID- 22086211
TI - Dietary L-glutamine supplementation improves pregnancy outcome in mice infected
with type-2 porcine circovirus.
AB - Porcine circovirus type 2 (PCV2) causes reproductive failure in swine. As
glutamine can enhance immune function in animals, this study was conducted with
mice to test the hypothesis that dietary glutamine supplementation will improve
pregnancy outcome in PCV2-infected dams. Beginning on day 0 of gestation, mice
were fed a standard diet supplemented with 1.0% L-glutamine or 1.22% L-alanine
(isonitrogenous control). All mice were infected with PCV2 (2000 TCID50) on day
10 of gestation. On day 17 of gestation, six mice from each group were euthanized
to obtain maternal tissues and fetuses for hematology and histopathology tests.
The remaining mice continued to receive their respective diets supplemented with
1.0% L-glutamine or 1.22% L-alanine through lactation. The PCV2 virus was present
in maternal samples (serum and lung) of most mice in the control group but was
not detected in the glutamine-supplemented mice. Dietary glutamine
supplementation reduced abortion, decreased fetal deaths, and enhanced neonatal
survival. The glutamine treatment also reduced concentrations of interleukin-6,
while increasing concentrations of tumor necrosis factor-alpha and C-reactive
protein, in the maternal serum of mice. Furthermore, glutamine supplementation
attenuated microscopic lesions in maternal tissues (lung, spleen, and liver).
Collectively, these results indicate that dietary glutamine supplementation is
beneficial for ameliorating reproductive failure in virus-infected mice. The
findings support the notion that gestating dams require adequate amounts of
dietary glutamine for the optimal survival and growth of embryos, fetuses, and
neonates, and have important implications for nutritional support of mammals
(including swine and humans) during gestation and lactation.
PMID- 22086212
TI - The side chain of glutamine 13 is the acyl-donor amino acid modified by type 2
transglutaminase in subunit T of the native rabbit skeletal muscle troponin
complex.
AB - Subunit T of the native muscle troponin complex is a recognised substrate of
transglutaminase both in vitro and in situ with formation of isopeptide bonds.
Using a proteomic approach, we have now determined the precise site of in vitro
labelling of the protein. A preparation of troponin purified from ether powder
from mixed rabbit skeletal muscles was employed as transglutaminase substrate.
The only isoform TnT2F present in our preparation was recognised as acyl
substrate by human type 2 transglutaminase which specifically modified glutamine
13 in the N-terminal region. During the reaction, the troponin protein complex
was polymerized. Results are discussed in relation to the structure of the
troponin T subunit, in the light of the role of troponins in skeletal and cardiac
muscle diseases, and to the rules governing glutamine side chain selection by
tissue transglutaminase.
PMID- 22086213
TI - The suppression of thymic stromal lymphopoietin expression by selenium.
AB - Thymic stromal lymphopoietin (TSLP) is a key mediator of allergic diseases such
as allergic rhinitis, asthma, and atopic dermatitis. Selenium (Se) has various
effects such as antioxidant, antitumor, antiulcer, and anti-inflammatory effects.
However, the effect of Se on the production of TSLP has not been clarified. Thus,
we investigated how Se inhibits the production of TSLP in the human mast cell
line, HMC-1 cells. Se suppressed the production and mRNA expression of TSLP in
HMC-1 cells. The maximal inhibition rate of TSLP production by Se (10 MUM) was
59.14 +/- 1.10%. In addition, Se suppressed the nuclear factor-kappaB luciferase
activity induced by phorbol myristate acetate plus A23187. In the activated HMC-1
cells, the activation of caspase-1 was increased; whereas the activation of
caspase-1 was decreased by pretreatment with Se. These results suggest that Se
can be used to treat inflammatory and atopic diseases through the suppression of
TSLP.
PMID- 22086214
TI - Food tolerance in patients submitted to gastric bypass: the importance of using
an integrated and interdisciplinary approach.
AB - BACKGROUND: Under the restrictive component, patients undergoing gastric bypass
may have food intolerance with or without complications. METHODS: This study used
quantitative, analytical, observational methodology with patients submitted to
Roux-en-Y gastric bypass without the placement of a ring at Hospital
Universitario do Rio Grande do Norte in the city of Natal, Brazil between July
2005 and August 2010. Out of 176 patients monitored after surgery by the
interdisciplinary team, 47 took part in the study. Two questionnaires were
applied to participants: one elaborated by Suter et al. and previously validated
for assessment of food tolerance and another to characterize schooling and
socioeconomic status. Evaluation of food tolerance considered patient
satisfaction with eating, most accepted food types, and frequency of vomiting
and/or regurgitation. After application of the first questionnaire, a score was
generated, characterizing food intolerance. RESULTS: Of the 47 patients
evaluated, 85.1% classified their degree of food satisfaction as good or
excellent. Red meat was the most cited as being difficult to ingest (38.3%),
representing a significant impact on overall tolerance level (P < 0.001); 48.9%
of participants exhibited rare episodes of vomiting, which resulted in a mean
food tolerance score of 23.02 (2.87 +/- SD). Moreover, socioeconomic status
showed a significant correlation with tolerance level (P = 0.032). CONCLUSIONS:
The degree of food tolerance observed in the study sample was better than that
obtained in other investigations using similar methodology. The questionnaire
proved to be useful in evaluating food quality and comparing postoperative
results. Socioeconomic status was correlated with food tolerance level.
PMID- 22086215
TI - Comparing quantile residual life functions by confidence bands.
AB - In this article we present a nonparametric method for constructing confidence
bands for the difference of two quantile residual life (qrl) functions. These
bands provide evidence for two random variables ordering with respect to the qrl
order. The comparison of qrl functions is of importance, specially in the
treatment of cancer when there exists a possibility of benefiting from a new
secondary therapy. A qrl function is the quantile of the remaining life of a
surviving subject, as it varies with time. We show the applicability of this
approach in Medicine and Ecology. A simulation study has been carried out to
evaluate and illustrate the performance and the consistency of this new
methodology.
PMID- 22086216
TI - A deconvolution approach for PET-based dose reconstruction in proton
radiotherapy.
AB - Positron emitters are activated by proton beams in proton radiotherapy, and
positron emission tomography (PET) images can thus be used for dose verification.
Since a PET image is not directly proportional to the delivered radiation dose
distribution, predicted PET images are compared to measured PET images and an
agreement of both indicates a successful irradiation. Such predictions are given
on the basis of Monte Carlo calculations or a filtering approach which uses a
convolution of the planned dose with specific filter functions to estimate the
PET activity. In this paper, we describe and evaluate a dose reconstruction
method based on PET images which reverses the just mentioned convolution approach
using appropriate deconvolution methods. Deconvolution is an ill-posed inverse
problem, and suitable regularization techniques are required in order to
guarantee a stable solution. The basic convolution approach is developed for
homogeneous media and additional procedures are necessary to generalize the PET
estimation to inhomogeneous media. This generalization formalism is used in our
dose deconvolution approach as well. Various simulations demonstrate that the
dose reconstruction method is able to reverse the PET estimation method both in
homogeneous and inhomogeneous media. Measured PET images are however degraded by
noise and artifacts and the dose reconstructions become more difficult and the
results suffer from artifacts as well. Recently used in-room PET scanners allow a
decreased delay time between irradiation and imaging, and thus the influence of
short-lived positron emitters on the PET images increases considerably. We
extended our dose reconstruction method to process PET images which contain
several positron emitters and simulated results are shown.
PMID- 22086217
TI - Cerebral FDG-PET hypermetabolism in carcinomatous meningitis.
PMID- 22086218
TI - Effects of stimulation parameters and electrode location on thresholds for
epidural stimulation of cat motor cortex.
AB - Epidural electrical stimulation (ECS) of the motor cortex is a developing therapy
for neurological disorders. Both placement and programming of ECS systems may
affect the therapeutic outcome, but the treatment parameters that will maximize
therapeutic outcomes and minimize side effects are not known. We delivered ECS to
the motor cortex of anesthetized cats and investigated the effects of electrode
placement and stimulation parameters on thresholds for evoking motor responses in
the contralateral forelimb. Thresholds were inversely related to stimulation
frequency and the number of pulses per stimulus train. Thresholds were lower over
the forelimb representation in motor cortex (primary site) than surrounding sites
(secondary sites), and thresholds at sites <4 mm away from the primary site were
significantly lower than at sites >4 mm away. Electrode location and montage
influenced the effects of polarity on thresholds: monopolar anodic and cathodic
thresholds were not significantly different over the primary site, cathodic
thresholds were significantly lower than anodic thresholds over secondary sites
and bipolar thresholds were significantly lower with the anode over the primary
site than with the cathode over the primary site. A majority of bipolar
thresholds were either between or equal to the respective monopolar thresholds,
but several bipolar thresholds were greater than or less than the monopolar
thresholds of both the anode and cathode. During bipolar stimulation, thresholds
were influenced by both electric field superposition and indirect, synaptically
mediated interactions. These results demonstrate the influence of stimulation
parameters and electrode location during cortical stimulation, and these effects
should be considered during the programming of systems for therapeutic cortical
stimulation.
PMID- 22086219
TI - The Cognitive Change in Women study (CCW): informant ratings of cognitive change
but not self-ratings are associated with neuropsychological performance over 3
years.
AB - The value of self-reported memory complaints for identifying or predicting future
cognitive decline or dementia is controversial, but observations from a third
party, or "informant," may prove more useful. The relationship between Informant
and Self-ratings of cognitive status and neuropsychological test scores was
examined in a cohort of 384 nondemented, community-dwelling women, aged 60 years
and older, participating in a single-site Women's Health Initiative ancillary
study. Each participant and her respective informant separately completed the
Informant Questionnaire on Cognitive Decline in the Elderly (IQCODE).
Participants also underwent neuropsychological testing and responded to
questionnaires on depression and functioning in complex activities of daily
living. All neuropsychological test scores were significantly correlated (P
values <0.05 to <0.01) with IQCODE ratings whereas Self-ratings overestimated
cognitive functioning in some domains. Furthermore, the Self and Informant
ratings were both positively correlated with depression and negatively correlated
with participants' activity level. Therefore, informant judgments of functional
abilities are robust predictors of cognitive status in high functioning
nondemented women. These results suggest that informants may be sensitive to
changes that are not clinically significant but that may represent an incipient
trend for decline.
PMID- 22086221
TI - Acute and sublethal effects of sequential exposure to the pesticide azinphos
methyl on juvenile earthworms (Eisenia andrei).
AB - The use of organophosphate pesticides is an integral part of commercial farming
activities and these substances have been implicated as a major source of
environmental contamination and may impact on a range of non-target fauna. The
extent to which soil dwelling non-target organisms are affected by exposure to
the organophosphate azinphos-methyl was investigated through monitoring selected
biomarker responses and life cycle effects under laboratory conditions in the
earthworm Eisenia andrei. Standard acute toxicity tests were conducted followed
by a sequential exposure regime experiment, in order to assess the effects of
multiple pesticide applications on biomarker (cholinesterase activity and neutral
red retention time), life-cycle (growth and reproduction) and behaviour
(avoidance and burrowing activity) responses. The present study indicates that
the time between exposure events was a more important variable than concentration
and that a longer interval between exposures may mitigate the effects of
pesticide exposure provided that the exposure concentration is low. Additionally,
it was shown that E. andrei was unable to avoid the presence of azinphos-methyl
in soil, even at concentrations as high as 50% of the LC(50) value, indicating
that the presence of azinphos-methyl in the soil pose a realistic threat to
earthworms and other soil dwelling organisms. The ChE inhibition test showed a
high percentage inhibition of the enzyme in all exposure groups that survived and
NRR times of exposed organisms were lower than that of the controls. The present
study yielded important results that contribute to the understanding of
biological impacts of pesticide pollution on the environment. Extrapolating these
results can aid in optimising pesticide application regimes to mitigate the
environmental effects thereof and thus ensuring sustained soil biodiversity in
agricultural areas.
PMID- 22086220
TI - Neuropsychiatric profiles in dementia.
AB - We compared patterns of neuropsychiatric symptoms across 4 dementia types
[Alzheimer disease (AD), vascular dementia (VAD), dementia with Lewy bodies
(DLB), and Parkinson disease dementia], and 2 mixed groups (AD/VAD and AD/DLB) in
sample of 2,963 individuals from the National Alzheimer's Coordinating Center
Uniform Data Set between September 2005 and June 2008. We used confirmatory
factor analysis to compare neuropsychiatric symptom severity ratings made by
collateral sources on the Neuropsychiatric Inventory Questionnaire for people
with Clinical Dementia Rating scores of 1 or higher. A 3-factor model of
psychiatric symptoms (mood, psychotic, and frontal) was shared across all
dementia types. Between-group comparisons revealed unique neuropsychiatric
profiles by dementia type. The AD group had moderate levels of mood, psychotic,
and frontal symptoms whereas VAD exhibited the highest levels and Parkinson
disease dementia had the lowest levels. DLB and the mixed dementias had more
complex symptom profiles. Depressed mood was the dominant symptom in people with
mild diagnoses. Differing psychiatric symptom profiles provide useful information
regarding the noncognitive symptoms of dementia.
PMID- 22086223
TI - Highly luminescent bis-diketone lanthanide complexes with triple-stranded
dinuclear structure.
AB - A new bis-beta-diketone, 3,3'-bis(4,4,4-trifluoro-1,3-dioxobutyl)biphenyl (BTB),
has been designed and prepared for the synthesis of a series of dinuclear
lanthanide complexes [Ln(2)(BTB)(3)(C(2)H(5)OH)(2)(H(2)O)(2)] [Ln = Eu (1), Gd
(2)], [Ln(2)(BTB)(3)(DME)(2)] [Ln = Nd (3), Yb (4); DME = ethylene glycol
dimethyl ether] and [Eu(2)(BTB)(3)(L)(2)] [L = 2,2-bipydine (5); 1,10
phenanthroline (6); 4,7-diphenyl-1,10-phenanthroline (7)]. Complexes 1-7 have
been characterized by various spectroscopic techniques and their photophysical
properties are investigated. X-ray crystallographical analysis reveals that
complexes 1, 3 and 4 adopt triple-stranded dinuclear structures which are formed
by three bis-bidentate ligands with two lanthanide ions. The complexes 1 and 3-7
display strong visible red or NIR luminescence upon irradiation at ligand band
around 372 nm, depending on the choice of the lanthanide. The solid-state
photoluminescence quantum yields and the lifetimes of Eu(3+) complexes are
determined and described.
PMID- 22086222
TI - Patellar morphology and femoral component geometry influence patellofemoral
contact stress in total knee arthroplasty without patellar resurfacing.
AB - PURPOSE: The objective of this study was to determine the effect of patellar
morphology and implant design on patellofemoral contact stress in total knee
arthroplasty (TKA) without patellar resurfacing. METHODS: Radiographic
investigation: One hundred and fifty-seven knees of 127 patients were included in
the study. Implants used in the present series were Low Contact Stress (LCS),
Genesis II and NexGen. The relationship between the pre-operative patellar facet
angle and newly identified post-operative osteosclerosis was assessed. Finite
element analysis: Using patient-specific patellar three-dimensional finite
element models, the relationship between the patellar facet angle and mean von
Mises stress within the patella was calculated at flexion angles of 15 degrees ,
45 degrees , 75 degrees and 105 degrees . RESULTS: Radiographic investigation:
Post-operative osteosclerosis was observed with decreasing patellar facet angle
in the Genesis II (odds ratio = 0.72; 95% confidence interval, 0.55-0.93; P =
0.012) and NexGen implants (odds ratio = 0.87; 95% confidence interval, 0.77
0.99; P = 0.029). Patients treated with the Genesis II had significantly more
advanced osteosclerosis than those treated with the other two implants. Finite
element analysis: A negative correlation was found between the patellar facet
angle and the mean von Mises stress for all three implants. The Genesis II showed
significantly higher von Mises stress than the other two implants at flexion
angles of 15 degrees , 45 degrees and 105 degrees . CONCLUSIONS: Both patellar
morphology and femoral component geometry influence patellofemoral contact stress
in total knee arthroplasty without patellar resurfacing. LEVEL OF EVIDENCE: Case
control study, Level III.
PMID- 22086224
TI - Challenge of neurorehabilitation for cerebellar degenerative diseases.
AB - Cerebellar Ataxia Rehabilitation trial tested if intensive rehabilitation
improved ataxia, gait, and activities of daily living (ADLs) in 42 patients with
degenerative cerebellar diseases. They were randomly assigned to the immediate
intervention group or the delayed-entry control group. The immediate group
received 1 h physical and 1 h occupational therapy for 4 weeks and delayed-entry
control group received the same intervention after 4-week delay. The immediate
group showed significantly greater functional gains in ataxia, gait speed, and
ADLs than control. The improvements in ataxia and gait speed were sustained at 12
and 24 weeks after the intervention, respectively. Further strategies inducing
meaningful gains for a longer period should be investigated.
PMID- 22086226
TI - [Endocrinology and rheumatology].
PMID- 22086227
TI - [Comments by the German Society of Rheumatology (DGRh) to report on the plan for
Biologicals in the second-line therapy of rheumatoid arthritis].
PMID- 22086229
TI - Can gemcitabine instillation ablate solitary low-risk non-muscle-invasive bladder
cancer? Results of a phase II marker lesion study.
AB - PURPOSE: The purpose of this phase II study was to evaluate whether low-risk non
muscle-invasive bladder cancer can be ablated with intravesical gemcitabine in a
marker lesion study. PATIENTS AND METHODS: The study had a Simon II-stage design.
Thirteen patients were to be recruited for stage I. In the event of >=4
responses, another 30 patients were to be recruited. Patients were given
gemcitabine 2,000 mg intravesically once per week for 6 weeks and the response
was assessed with endoscopic, histological, and urine cytological findings.
RESULTS: Fourteen patients evaluated for efficacy completed the study; complete
responses were achieved by 2 patients (14.3%), both of these patients had lesions
of <1 cm. Eleven patients (78.6%) were non-responders and 1 patient (7.1%) had
progressive disease. Since the response rate in stage I was below the minimal pre
defined limit, the study was stopped. CONCLUSIONS: This study shows that
intravesical gemcitabine does not merit further study in this patient population.
A tumor size of >1 cm may be a critical factor in accounting for the low response
rate.
PMID- 22086230
TI - Rapidly regulating platelet activity in vivo with an antidote controlled platelet
inhibitor.
AB - Millions of individuals are prescribed platelet inhibitors, such as aspirin and
clopidogrel, to reduce their risk of thrombosis-related clinical events.
Unfortunately many platelet inhibitors are contraindicated in surgical settings
because of their inherent bleeding risk complicating the treatment of patients
who require surgery. We describe the development of a potent antiplatelet agent,
an RNA aptamer-termed Ch-9.14-T10 that binds von Willebrand factor (VWF) with
high affinity and inhibits thrombosis in a murine carotid artery damage model. As
expected, when this potent antiplatelet agent is administered, it greatly
increases bleeding from animals that are surgically challenged. To improve this
antiplatelet agent's safety profile, we describe the generation of antidotes that
can rapidly reverse the activity of Ch-9.14-T10 and limit blood loss from
surgically challenged animals. Our work represents the first antidote
controllable antiplatelet agent, which could conceivably lead to improved medical
management of patients requiring antiplatelet medication who also need surgery.
PMID- 22086231
TI - An artificial PAP gene breaks self-tolerance and promotes tumor regression in the
TRAMP model for prostate carcinoma.
AB - Prostate cancer (PCa) is the most commonly diagnosed type of cancer in men in
western industrialized countries. As a public health burden, the need for the
invention of new cost-saving PCa immunotherapies is apparent. In this study, we
present a DNA vaccine encoding for the prostate-specific antigen prostatic acid
phosphatase (PAP) linked to the J-domain and the SV40 enhancer sequence. The PAP
DNA vaccine induced a strong PAP-specific cellular immune response after
electroporation (EP)-based delivery in C57BL/6 mice. Splenocytes from mice
immunized with PAP recognized the naturally processed PAP epitopes, indicating
that vaccination with the PAP-J gene broke its self-tolerance against PAP.
Remarkably, DNA vaccination with PAP-J inhibited tumor growth in the Transgenic
Adenocarcinoma of the Mouse Prostate (TRAMP) mouse model that closely resembled
human PCa. Therefore, this study highlights a novel cancer immunotherapy approach
with the potential to control PCa in clinical settings.
PMID- 22086232
TI - Restoration of the dystrophin-associated glycoprotein complex after exon skipping
therapy in Duchenne muscular dystrophy.
AB - We previously conducted a proof of principle; dose escalation study in Duchenne
muscular dystrophy (DMD) patients using the morpholino splice-switching
oligonucleotide AVI-4658 (eteplirsen) that induces skipping of dystrophin exon 51
in patients with relevant deletions, restores the open reading frame and induces
dystrophin protein expression after intramuscular (i.m.) injection. We now show
that this dystrophin expression was accompanied by an elevated expression of
alpha-sarcoglycan, beta-dystroglycan (BDG) and--in relevant cases--neuronal
nitric oxide synthase (nNOS) at the sarcolemma, each of which is a component of a
different subcomplex of the dystrophin-associated glycoprotein complex (DAPC). As
expected, nNOS expression was relocalized to the sarcolemma in Duchenne patients
in whom the dystrophin deletion left the nNOS-binding domain (exons 42-45)
intact, whereas this did not occur in patients with deletions that involved this
domain. Our results indicate that the novel internally deleted and shorter
dystrophin induced by skipping exon 51 in patients with amenable deletions, can
also restore the dystrophin-associated complex, further suggesting preserved
functionality of the newly translated dystrophin.
PMID- 22086233
TI - In vivo delivery of cytoplasmic RNA virus-derived miRNAs.
AB - The discovery of microRNAs (miRNAs) revealed an unappreciated level of post
transcriptional control used by the cell to maintain optimal protein levels. This
process has represented an attractive strategy for therapeutics that is currently
limited by in vivo delivery constraints. Here, we describe the generation of a
single-stranded, cytoplasmic virus of negative polarity capable of producing
functional miRNAs. Cytoplasmic RNA virus-derived miRNAs accumulated to high
levels in vitro, generated significant amounts of miRNA star strand, associated
with the RNA-induced silencing complex (RISC), and conferred post transcriptional
gene silencing in a sequence-specific manner. Furthermore, we demonstrate that
these vectors could deliver miRNAs to a wide range of tissues, and sustain
prolonged expression capable of achieving measurable knockdown of physiological
targets in vivo. Taken together, these results validate noncanonical processing
of cytoplasmic-derived miRNAs and provide a novel platform for small RNA
delivery.
PMID- 22086234
TI - Modification of the early gene enhancer-promoter improves the oncolytic potency
of adenovirus 11.
AB - Oncolytic adenoviruses based on serotype 5 (Ad5) have several shortcomings,
including the downregulation of its receptor in cancer cells, high prevalence of
neutralizing antibodies and hepatotoxicity. Another adenoviral serotype, Ad11,
could overcome these obstacles. Here, we show that human cancer cell lines
express higher levels of the Ad11 receptor CD46, resulting in much better
infectivity than Ad5. Surprisingly, only 36% (9/25) of the cell lines were more
sensitive to Ad11- than to Ad5-mediated cytotoxicity. Investigations revealed
that it was the transcription of Ad11 E1A, not CD46 expression or virus
infectivity, which determined the cell's sensitivity to Ad11 killing. Ad11 E1A
mRNA levels have an effect on viral DNA replication, structural protein synthesis
and infectious particle production. To test the hypothesis that increased E1A
transcription would lead to improved Ad11 replication in Ad5-sensitive (but Ad11
less sensitive) cells, two Ad11 mutants (Ad11-Ad5-P and Ad11-Ad5-EP) were
constructed where either the E1A promoter or enhancer-promoter, respectively, was
replaced by that of Ad5. Ad11-Ad5-EP demonstrated increased E1A mRNA levels and
replication, together with enhanced oncolytic potency in vitro and in vivo. This
effect was found in both the Ad5-sensitive and Ad11-sensitive cancer cells,
broadening the range of tumors that could be effectively killed by Ad11-Ad5-EP.
PMID- 22086235
TI - Efficient gene therapy for Parkinson's disease using astrocytes as hosts for
localized neurotrophic factor delivery.
AB - Current gene therapy approaches for Parkinson's disease (PD) deliver neurotrophic
factors like glial cell line-derived neurotrophic factor (GDNF) or neurturin via
neuronal transgene expression. Since these potent signaling-inducing neurotrophic
factors can be distributed through long-distance neuronal projections to
unaffected brain sites, this mode of delivery may eventually cause side effects.
To explore a localized and thus potentially safer alternative for gene therapy of
PD, we expressed GDNF exclusively in astrocytes and evaluated the efficacy of
this approach in the mouse 1-methyl-4-phenyl-1,2,3,6-tetrahydropyridine (MPTP)
and rat 6-hydroxy-dopamine (6-OHDA) models of PD. In terms of protection of
dopaminergic cell bodies and projections, dopamine (DA) synthesis and behaviour,
astrocyte-derived GDNF demonstrated the same efficacy as neuron-derived GDNF. In
terms of safety, unilateral striatal GDNF expression in astrocytes did not result
in delivery of bio-active GDNF to the contralateral hemispheres (potential off
target sites) as happened when GDNF was expressed in neurons. Thus, astrocytic
GDNF expression represents a localized but efficient alternative to current gene
therapeutic strategies for the treatment of PD, especially if viral vectors with
enhanced tissue penetration are considered. Astrocytic neurotrophic factor
expression may open new venues for neurotrophic factor-based gene therapy
targeting severe diseases of the brain.
PMID- 22086236
TI - Reduced ultraviolet-induced DNA damage and apoptosis in human skin with topical
application of a photolyase-containing DNA repair enzyme cream: clues to skin
cancer prevention.
AB - The exposure of human skin to ultraviolet radiation (UVR) results in the
formation of DNA photolesions that give rise to photoaging, mutations, cell death
and the onset of carcinogenic events. Photolyase (EC 4.1.99.3) is a DNA repair
enzyme that reverses damage caused by exposure to UVR. We sought to investigate
whether addition of photolyase enhances the protection provided by a traditional
sunscreen (SS), by reducing the in vivo formation of cyclobutane-type pyrimidine
dimers (CPDs) and UVR-induced apoptosis in human skin. Ten volunteers
(Fitzpatrick skin type II) were exposed to solar-simulated (ss) UVR at a three
times minimal erythema dose for 4 consecutive days. Thirty minutes prior to each
exposure, the test materials [vehicle, SS (sun protection factor 50) alone, and
SS plus photolyase from Anacystis nidulans] were applied topically to three
different sites. One additional site was left untreated and one received ssUVR
only. Biopsy specimens were taken 72 h after the last irradiation. The amount of
CPDs and the extent of apoptosis were measured by ELISA. Photolyase plus SS was
superior to SS alone in reducing both the formation of CPDs and apoptotic cell
death (both P<0.001). In conclusion, the addition of photolyase to a traditional
SS contributes significantly to the prevention of UVR-induced DNA damage and
apoptosis when applied topically to human skin.
PMID- 22086237
TI - siRNA directed against Livin inhibits tumor growth and induces apoptosis in human
glioma cells.
AB - Livin, a novel member of the human inhibitors of apoptosis protein family, plays
an important role in tumor progression and occurrence by inhibiting cell
apoptosis. It is selectively expressed in the most common human neoplasms and
appears to be involved in tumor cell resistance to chemotherapeutic agents. The
present study was designed to investigate the potential of using RNA interference
(RNAi) technique to downregulate Livin expression, and the subsequent effect on
human glioma cells. The results showed that knockdown of Livin expression by
short interfering RNA (siRNA) significantly inhibited glioma cell proliferation
and increased cell apoptosis through cell arrest in the G(1)/G(0) phase of cell
cycle in vitro. Furthermore, Livin siRNA significantly suppressed tumor growth in
nude mice. Together, these findings suggest that RNAi-mediated downregulation of
Livin expression could lead to potent antitumor activity in glioma cells and
might serve as a novel therapeutic strategy in clinic.
PMID- 22086240
TI - Traditional versus non-traditional nest-site choice: alternative decision
strategies for nest-site selection.
AB - In order to understand habitat selection, it is important to consider the way
individual animals assess the suitability of a future reproductive site. One way
of investigating mechanisms (such as those involved in nest site selection) is to
examine breeding success and habitat characteristics in terms of animals
returning to a place where they have already reproduced and using the same
location over successive years or searching for new alternatives. This approach
seems especially suitable for testing recent hypotheses suggesting that nest site
selection is an integrative process that includes the use of social information
(e.g. past breeding success of conspecifics). Determining the factors that elicit
conservative or innovative behaviour regarding nest-site selection could be
important for improving our understanding of habitat selection decisions in
animals. More than half of the nests of the long-distance migratory lesser grey
shrike Lanius minor, are built in the same or neighbouring trees. We found no
evidence that habitat characteristics influence nest-site tradition. On the
contrary, social information in terms of the presence of conspecifics and past
reproductive success in terms of complete nest failures due to nest predation
(but not detailed information such as variation in fledgling number) influenced
nest-site tradition. Hence, social information and past reproductive success may
play a role in nest-site choice in this species. Our results further demonstrate
that previous experience with a nest site does not appear to be beneficial.
PMID- 22086238
TI - The role of stereotactic radiosurgery in cavernous sinus hemangiomas: a
systematic review and meta-analysis.
AB - Cavernous sinus hemangioma is a rare and complex vascular tumor. A direct
microsurgical approach usually results in massive hemorrhage. Stereotactic
radiosurgery has emerged as a treatment alternative to microsurgery. To conduct a
meta-analysis assessing the effect and complications of stereotactic radiosurgery
in cavernous sinus hemangioma, a systematic review and meta-analysis of all cases
of cavernous hemangioma in the cavernous sinus treated with stereotactic
radiosurgery was performed. The search revealed ten papers with a total
enrollment of 59 patients. Tumor size ranged from 1.5-51.4 cm(3) (mean 9.6
cm(3)). The mean follow-up period was 49.2 months (range 6-156 months). The most
recent MR images demonstrated remarkable tumor shrinkage in 40 patients (67.8%),
partial shrinkage in 15 patients (25.4%), and no change in four patients (6.8%).
There was no significant correlation between lesion volume and tumor shrinkage.
Patients with remarkable tumor shrinkage received higher doses than those with
partial or no change tumor shrinkage (P = 0.031). Thirteen patients (22.0%) had
no cranial nerve impairments before stereotactic radiosurgery. Among those 46
patients with cranial nerve impairments before stereotactic radiosurgery,
complete resolution was achieved in seven patients and improvement in 28, and
these impairments remained essentially unchanged in 11 patients. Only one patient
had additional trigeminal nerve disturbance. There is no statistical significance
in tumor control between patients treated with or without surgery (P = 0.091).
The meta-analysis suggests stereotactic radiosurgery avoids the complications
associated with attempted microsurgical resection. Stereotactic radiosurgery is
an alternative for cavernous sinus hemangiomas confirmed by typical imaging.
PMID- 22086239
TI - Complete regression of papillary tumor of the pineal region after radiation
therapy: case report and review of the literature.
AB - Papillary tumor of the pineal region (PTPR) is a rare neuroepithelial tumor that
arises in the pineal region. The optimal treatment for PTPR remains
controversial, as no definitive treatment strategy exists for this lesion. It is
not clear whether aggressive surgical removal is superior to biopsy followed by
radiotherapy. The majority of cases in the literature have undergone attempted
gross total resection with a supracerebellar-infratentorial or a transcallosal
transventricular approach. In this report, we describe a case of PTPR in a 23
year-old male that presented as a third ventricular mass causing obstructive
hydrocephalus. An endoscopic third ventriculostomy was performed followed by an
endoscopic biopsy. Postoperative radiotherapy resulted in complete regression of
the tumor with no evidence of tumor recurrence at 25 months. This case highlights
a minimally invasive strategy for a rare neoplasm that resulted in a favorable
response to radiation therapy, thereby avoiding the risks of aggressive surgical
removal. We also review the radiographic and histopathologic features of PTPR and
discuss various options of treatment reported in the literature.
PMID- 22086241
TI - Abstracts of the 11th European Nutrition Conference (FENS). Madrid, Spain.
October 26-29, 2011.
PMID- 22086242
TI - Characterization of an extendable multi-leaf collimator for clinical electron
beams.
AB - An extendable x-ray multi-leaf collimator (eMLC) is investigated for collimation
of electron beams on a linear accelerator. The conventional method of collimation
using an electron applicator is impractical for conformal, modulated and mixed
beam therapy techniques. An eMLC would allow faster, more complex treatments with
potential for reduction in dose to organs-at-risk and critical structures. The
add-on eMLC was modelled using the EGSnrc Monte Carlo code and validated against
dose measurements at 6-21 MeV with the eMLC mounted on a Siemens Oncor linear
accelerator at 71.6 and 81.6 cm source-to-collimator distances. Measurements and
simulations at 8.4-18.4 cm airgaps showed agreement of 2%/2 mm. The eMLC dose
profiles and percentage depth dose curves were compared with standard electron
applicator parameters. The primary differences were a wider penumbra and up to
4.2% reduction in the build-up dose at 0.5 cm depth, with dose normalized on the
central axis. At 90 cm source-to-surface distance (SSD)--relevant to isocentric
delivery--the applicator and eMLC penumbrae agreed to 0.3 cm. The eMLC leaves,
which were 7 cm thick, contributed up to 6.3% scattered electron dose at the
depth of maximum dose for a 10 * 10 cm2 field, with the thick leaves effectively
eliminating bremsstrahlung leakage. A Monte Carlo calculated wedge shaped dose
distribution generated with all six beam energies matched across the maximum
available eMLC field width demonstrated a therapeutic (80% of maximum dose) depth
range of 2.1-6.8 cm. Field matching was particularly challenging at lower beam
energies (6-12 MeV) due to the wider penumbrae and angular distribution of
electron scattering. An eMLC isocentric electron breast boost was planned and
compared with the conventional applicator fixed SSD plan, showing similar target
coverage and dose to critical structures. The mean dose to the target differed by
less than 2%. The low bremsstrahlung dose from the 7 cm thick MLC leaves had the
added advantage of reducing the mean dose to the whole heart. Isocentric delivery
using an extendable eMLC means that treatment room re-entry and repositioning the
patient for SSD set-up is unnecessary. Monte Carlo simulation can accurately
calculate the fluence below the eMLC and subsequent patient dose distributions.
The eMLC generates similar dose distributions to the standard electron applicator
but provides a practical method for more complex electron beam delivery.
PMID- 22086243
TI - Shape-based classification of 3D facial data to support 22q11.2DS craniofacial
research.
AB - 3D imaging systems are used to construct high-resolution meshes of patient's
heads that can be analyzed by computer algorithms. Our work starts with such 3D
head meshes and produces both global and local descriptors of 3D shape. Since
these descriptors are numeric feature vectors, they can be used in both
classification and quantification of various different abnormalities. In this
paper, we define these descriptors, describe our methodology for constructing
them from 3D head meshes, and show through a set of classification experiments
involving cases and controls for a genetic disorder called 22q11.2 deletion
syndrome that they are suitable for use in craniofacial research studies. The
main contributions of this work include: automatic generation of novel global and
local data representations, robust automatic placement of anthropometric
landmarks, generation of local descriptors for nasal and oral facial features
from landmarks, use of local descriptors for predicting various local facial
features, and use of global features for 22q11.2DS classification, showing their
potential use as descriptors in craniofacial research.
PMID- 22086244
TI - Dietary nitrate - a slow train coming.
PMID- 22086245
TI - Monoacylglycerol lipase: stopping surplus at the synapse.
PMID- 22086246
TI - PLC: Johnny-come-lately to ORAI and the ups and downs of calcium signalling.
PMID- 22086247
TI - Elevated haematocrit - when too much of a good thing wreaks havoc on the
endothelial surface layer.
PMID- 22086248
TI - Caveat utilitor: take measure of your marker.
PMID- 22086249
TI - Loss of brainstem serotonergic neurons impairs autoresuscitation in neonate rats:
is this relevant to the sudden infant death syndrome?
PMID- 22086250
TI - Sex specific association of potassium channel subunits.
PMID- 22086251
TI - An 'exciting' spin on cannabinoid signalling.
PMID- 22086252
TI - Dimethyl sulphoxide addition or withdrawal causes biphasic volume changes and its
withdrawal causes t-system vacuolation in skeletal muscle.
PMID- 22086254
TI - Dynamics of intracranial venous flow patterns in patients with idiopathic
intracranial hypertension.
AB - OBJECTIVE: To examine the dynamics of intracranial venous flow patterns in
patients with idiopathic intracranial hypertension (IIH). METHODS: Nonrandomized
controlled trial analyzing the difference in cerebral perfusion in 13 IIH
patients and 12 healthy controls using contrast-enhanced duplex sonography. In
patients, an additional 3D gradient echo magnetic resonance venography (MRV)
using a new technique was performed to quantify stenosis of the cerebral sinus.
The cerebral perfusion parameters, including cerebral transit time (CTT) and time
to peak (TTP), were analyzed. RESULTS: IIH patients had a higher BMI (29.3 [95%
CI 26.4, 32.2] vs. 23.3 [95% CI 20.7, 25.9], p = 0.003) and an increased
prevalence of headache, but all other clinical characteristics were comparable.
The CTT did not differ significantly. The TTP was significantly longer in IIH
patients compared to controls (8.5 [95% CI 7.6, 9.4] vs. 7.3 s [95% CI 6.3, 8.1],
p = 0.04). Twelve of 13 (92%) IIH patients showed stenosis of the cerebral sinus.
CONCLUSIONS: Our study is the first to report an altered cerebral venous flow in
IIH patients compared to controls using a dynamic ultrasonographic technique. A
simplified MRV technique confirms the high prevalence and reliable detection of
venous stenosis in IIH patients.
PMID- 22086255
TI - Influence of preoperative chemotherapy on the intraoperative and postoperative
course of liver resection for colorectal cancer metastases.
AB - BACKGROUND: Liver resection is a possibly curative treatment for colorectal
cancer (CRC) liver metastases. Preoperative chemotherapy may make initially
irresectable tumors resectable. The aim of this study was to compare
perioperative course and short-term mortality after liver resection for CRC
metastases between patients who were and were not treated with preoperative
chemotherapy. METHODS: Patients who had undergone liver resection for CRC
metastases were included. A total of 97 patients treated with preoperative
chemotherapy (group A) were compared with 136 who were not (group B).
Intraoperative bleeding, operating time, complications, duration of stay, and
mortality were compared using Pearson's chi(2) test, Fisher's exact test, and the
Mann-Whitney U-test. RESULTS: Mean intraoperative bleeding, duration of stay, and
operating time were not significantly different. Complications occurred in 62.9%
and 63.2% in groups A and B, respectively. The 30- and 90-day mortality rates
were zero in group A, comparable to 1.5% in group B. CONCLUSIONS: There were no
significant differences in the perioperative course or postoperative mortality
when comparing CRC patients with or without chemotherapy prior to liver
resection. Consequently, this study suggests that preoperative chemotherapy
before liver resection for CRC metastases does not negatively influence
perioperative outcome and can therefore be applied if "downstaging" is indicated.
PMID- 22086256
TI - Primary closure following laparoscopic common bile duct exploration combined with
intraoperative cholangiography and choledochoscopy.
AB - BACKGROUND: Laparoscopic common bile duct exploration (LCBDE) has become one of
the main options for treating choledocholithiasis associated with cholelithiasis.
Our objective was to assess the short-term outcomes of patients undergoing
laparoscopic primary closure of the common bile duct (CBD) compared with
laparoscopic choledochotomy plus T-tube drainage. METHODS: We retrospectively
studied 137 patients undergoing primary closure following LCBDE (group A)
compared with 102 cases with laparoscopic choledochotomy plus T-tube drainage
(group B) between January 2007 and January 2010. Intraoperative cholangiography
(IOC) and choledochoscopy were performed in all patients. RESULTS: Three patients
in group A (2.2%) were converted to open surgery and two (2.0%) in group B
because of serious adherence. According to routine IOC, unexpected CBD stones
were found in 16 cases (6.8%). The duration of the operation in group A was
shorter than in group B (92.4 +/- 15.2 vs. 125.7+/- 32.6 min, P < 0.05), as was
length of postoperative stay (3.1+/- 2.4 vs. 5.7+/- 4.3 days, P < 0.05).
Postoperative bile leakage occurred in six patients (4.5%) in group A and four
cases (4.0%) in group B; all of the patients recovered after simple drainage
without reoperation. Bile peritonitis was seen in one case after T-tube removal.
The median follow-up was 26 months. There were no recurrences. CONCLUSIONS:
Laparoscopic primary closure of the CBD is safe and successful for the management
of CBD stones. Application of IOC and choledochoscopy to ensure clearance of the
CBD and careful suturing are essential for primary closure.
PMID- 22086258
TI - Direct and inverse reactions of LiH+ with He(1S) from quantum calculations:
mechanisms and rates.
AB - The gas-phase reaction of LiH(+) (X(2)Sigma) with He((1)S) atoms, yielding
Li(+)He with a small endothermicity for the rotovibrational ground state of the
reagents, is analysed using the quantum reactive approach that employs the
Negative Imaginary Potential (NIP) scheme discussed earlier in the literature.
The dependence of low-T rates on the initial vibrational state of LiH(+) is
analysed and the role of low-energy Feshbach resonances is also discussed. The
inverse destruction reaction of LiHe(+), a markedly exothermic process, is also
investigated and the rates are computed in the same range of temperatures. The
possible roles of these reactions in early universe astrophysical networks, in He
droplets environments or in cold traps are briefly discussed.
PMID- 22086257
TI - A finite element analysis of the effect of electrode area and inter-electrode
distance on the spatial distribution of the current density in tDCS.
AB - We investigated the effect of electrode area and inter-electrode distance on the
spatial distribution of the current density in transcranial direct current
stimulation (tDCS). For this purpose, we used the finite element method to
compute the distribution of the current density in a four-layered spherical head
model using various electrode montages, corresponding to a range of electrode
sizes and inter-electrode distances. We found that smaller electrodes required
slightly less current to achieve a constant value of the current density at a
reference point on the brain surface located directly under the electrode center.
Under these conditions, smaller electrodes also produced a more focal current
density distribution in the brain, i.e. the magnitude of the current density fell
more rapidly with distance from the reference point. The combination of two
electrodes with different areas produced an asymmetric current distribution that
could lead to more effective and localized neural modulation under the smaller
electrode than under the larger one. Focality improved rapidly with decreasing
electrode size when the larger electrode sizes were considered but the
improvement was less marked for the smaller electrode sizes. Also, focality was
not affected significantly by inter-electrode distance unless two large
electrodes were placed close together. Increasing the inter-electrode distance
resulted in decreased shunting of the current through the scalp and the
cerebrospinal fluid, and decreasing electrode area resulted in increased current
density on the scalp under the edges of the electrode. Our calculations suggest
that when working with conventional electrodes (25-35 cm(2)), one of the
electrodes should be placed just 'behind' the target relative to the other
electrode, for maximum current density on the target. Also electrodes with areas
in the range 3.5-12 cm(2) may provide a better compromise between focality and
current density in the scalp than the traditional electrodes. Finally, the use of
multiple small return electrodes may be more efficient than the use of a single
large return electrode.
PMID- 22086259
TI - Transvaginal placement of surgical mesh for pelvic organ prolapse: more FDA
concerns--positive reactions are possible.
PMID- 22086260
TI - Time to rethink: an evidence-based response from pelvic surgeons to the FDA
Safety Communication: "UPDATE on Serious Complications Associated with
Transvaginal Placement of Surgical Mesh for Pelvic Organ Prolapse".
AB - In July of 2011 the U.S. Food and Drug Administration (FDA) released a safety
communication entitled "UPDATE on Serious Complications Associated with
Transvaginal Placement of Surgical Mesh for Pelvic Organ Prolapse." The stated
purpose of this communication is to inform health care providers and patients
that serious complications with placement of this mesh are not rare and that it
is not clear that these repairs are more effective than nonmesh repair. The
comments regarding efficacy are based on a systematic review of the scientific
literature from 1996-2011 conducted by the FDA. Our review of the literature
during this time yields some different conclusions regarding the safety and
efficacy of mesh use in prolapse repair. It may be useful to consider this
information prior to making recommendations regarding mesh use in prolapse
surgery according to the recent UPDATE.
PMID- 22086261
TI - A perfect storm.
PMID- 22086262
TI - The mesh debate.
PMID- 22086263
TI - Does a ring pessary in situ influence the pelvic floor muscle function of women
with pelvic organ prolapse when tested in supine?
AB - INTRODUCTION AND HYPOTHESIS: It has been suggested that repositioning pelvic
organ prolapse (POP) by pessary support may improve pelvic floor muscle (PFM)
function. The aim of the present study was to compare vaginal resting pressure
and maximal voluntary contraction (MVC) of the PFM measured with and without a
ring pessary in situ. METHODS: This was a short-term experimental study with
women acting as their own controls. Twenty-two women with POP quantified II-IV
were included. Vaginal resting pressure and MVC was measured with a manometer
(Camtech AS) in supine position. Difference between measurements with and without
the ring pessary was analyzed by paired sample t test and Wilcoxon signed rank
test. Significance level was <0.05. RESULTS: There was a statistically
significant difference in measurement of vaginal resting pressure (p <0.01) but
not of MVC (p= <0.68) with the ring pessary in situ. CONCLUSIONS: Measurement of
PFM strength can be done without repositioning the prolapse.
PMID- 22086264
TI - Laparoscopic sacrocolpopexy with bone anchor fixation: short-term anatomic and
functional results.
AB - INTRODUCTION AND HYPOTHESIS: The aim of this study was to evaluate short-term
anatomic and functional outcomes and safety of laparoscopic sacrocolpopexy with
bone anchor fixation. METHODS: A prospective cohort study of women undergoing
laparoscopic sacrocolpopexy between 2004 and 2009. Anatomic outcome was assessed
using the pelvic organ prolapse quantification score (POP-Q). Functional outcomes
were assessed using the Urogenital Distress Inventory, Defecatory Distress
Inventory, and the Incontinence Impact Questionnaire preoperatively and at 6
months postoperatively. The Wilcoxon signed rank test was used to test
differences between related samples. RESULTS: Forty-nine women underwent
laparoscopic sacrocolpopexy. The objective success rate in the apical compartment
was 98%, subjective success rate was 79%. One mesh exposure (2%) was found. One
conversion was necessary due to injury to the ileum. CONCLUSIONS: Laparoscopic
sacrocolpopexy with bone anchor fixation is a safe and efficacious treatment for
apical compartment prolapse. It provides excellent apical support and good
functional outcome 6 months postoperatively.
PMID- 22086265
TI - Comparison of the cough stress test and 24-h pad test in the assessment of stress
urinary incontinence.
AB - INTRODUCTION AND HYPOTHESIS: The 24-h pad test and cough stress test are commonly
used to assess stress urinary incontinence; however, no comparative data are
available. The cough stress test is superior to the 24-h pad test. METHODS: Women
with predominant stress urinary incontinence symptoms underwent a cough stress
test, a 24-h pad test, and urodynamic testing. RESULTS: Complete data were
available on 55 women. Agreement between the urodynamic results and the stress
test occurred in 89% of women (k = 0.51). Sensitivity, specificity, and positive
and negative predictive values were 90%, 80%, 98%, and 44%. Agreement between the
urodynamic results and the pad test occurred in 60% of women (k = 0.08).
Sensitivity, specificity, and positive and negative predictive values were 60%,
60%, 94%, and 13%. Agreement between the cough stress test and the pad test
occurred in 67% (k = 0.26). CONCLUSIONS: The cough stress test is more reliable
than the pad test for documentation of stress urinary incontinence.
PMID- 22086266
TI - Indoor air quality assessment in child care and medical facilities in Korea.
AB - In order to characterize the status of indoor air pollution in some important
facilities, a list of key criteria pollutants [particulate matter (PM(10)),
carbon dioxide (CO(2)), carbon monoxide (CO), formaldehyde (HCHO), and
bioaerosol] was measured from a total of 91 randomly selected sites in 18
different cities, Korea (February 2006 to December 2009). The target facilities
include 43 child care facilities, 38 medical facilities, 6 elementary schools,
and 4 postnatal care centers. The results showed that some air pollutants (e.g.,
CO and HCHO) did not exceed the recommended guideline [e.g., the Korean indoor
air standard (KIAS) values of 10 ppm and 100 ppb, respectively]. However,
concentration of PM(10), CO(2), and bioaerosol occasionally exceeded their
respective guidelines (e.g., seven, three, and two cases). Discrete seasonalities
were observed from indoor pollutants because of varying ventilation practice
(e.g., summer time dominance of PM(10), HCHO, and bioaerosol or winter dominance
of CO(2) and CO). However, as the concentrations of the indoor pollutants were
scarcely above the recommended guideline level, more diversified approaches are
desirable to diagnose the status of indoor pollution and to provide a realistic
strategy for the improvement of IAQ.
PMID- 22086268
TI - Abstracts of the 4th World Congress on Women's Mental Health, hosted by the
International Association for Women's Mental Health. March 16-19, 2011. Madrid,
Spain.
PMID- 22086267
TI - Tidally driven N, P, Fe and Mn exchanges in salt marsh sediments of Tagus estuary
(SW Europe).
AB - Short-sediment cores and flooding water were collected at 0, 5, 15, 25 and 50 min
of tidal inundation in the two sites colonised by pure stands of Spartina
maritima (low marsh) and Sarcocornia fruticosa (high marsh) from the Rosario salt
marsh (Tagus estuary, SW Europe). Concentrations of NH(4)(+), NO(3)(-) + NO(2)(-)
and HPO (4)(2-), Fe and Mn were measured in tidal flooding water and pore water.
Flooding water is enriched in nutrients, particularly ammonium due to local
discharge of untreated urban effluents. Nevertheless, NH(4)(+) and NO(3)(-) +
NO(2)(-) concentrations in flooding waters at t = 5 min (NH(4)(+) = 246 +/- 7
MUM, NO(3)(-) + NO(2)(-) = 138 +/- 1 MUM for S. fruticosa and NH(4)(+) = 256 +/-
8 MUM, NO(3)(-) + NO(2)(-) = 138 +/- 1 MUM for S. maritima) rose sharply at both
vegetated sites. An increase was also registered for HPO(4)(2-) and total
dissolved Fe although the subsequent decrease was smoother. Advective transport
induced by the two daily pulses of inundation is several orders of magnitude
higher than the diffusive fluxes during submerged periods. In addition, solutes
are exported from the sediment with the inundation and imported in submerged
periods. The exported amount of inorganic nitrogen during tidal inundation
(export of 3,200 MUmol N m(-2) day(-1)to the water column), is not
counterbalanced by the sink of -290 MUmol N m(-2) day(-1) occurred during the
submerged period.
PMID- 22086270
TI - Fetoscopic laser photocoagulation of feeding vessels to a large placental
chorioangioma following fetal deterioration after amnioreduction.
AB - Large placental chorioangiomas (>4 cm) can precipitate severe polyhydramnios,
fetal anemia, growth restriction, high-output cardiac failure, hydrops, and fetal
demise. We report a case of a large chorioangioma that was treated in a stepwise
fashion with amnioreduction to ameliorate maternal discomfort, followed by
fetoscopic laser ablation of the feeding vessels after rapid evolution of heart
failure. Although amnioreduction was helpful in improving maternal symptoms, we
suspect that the drop in intrauterine pressure from the amniotic fluid
decompression may have resulted in increased tumor perfusion, thereby promoting
fetal deterioration due to a 'steal' phenomenon. Close scrutiny of the fetal
status via ultrasound is required, particularly if amnioreduction is necessary,
and definitive treatment should be considered once early signs of heart failure
develop. Fetoscopic laser ablation of the feeding vessels is a feasible
definitive treatment of a large chorioangioma in cases in which perfusion of the
tumor is via a superficial nonterminus umbilical artery. Future reports should
describe the anatomy of the blood supply to the chorioangioma, in the hopes that
further guidelines for surgical candidacy may be established.
PMID- 22086271
TI - LY294002 enhances cytotoxicity of temozolomide in glioma by down-regulation of
the PI3K/Akt pathway.
AB - The introduction of temozolomide (TMZ) has advanced chemotherapy for malignant
gliomas. However, a considerable number of glioblastoma (GBM) cases are
refractory to TMZ. Previous studies have revealed that the PI3K/Akt pathway is
activated in an ataxia telangiectasia and Rad3 related-dependent manner in
response to TMZ. Thus, we hypothesized that PI3K inhibitors may act as antitumor
agents against gliomas and potentiate the cytotoxicity of TMZ. The cytotoxicity
of a PI3K inhibitor, LY294002, was examined both alone and in combination with
TMZ in human glioma cell lines. Proliferation of tumor cells treated with
LY294002 in combination with TMZ was significantly suppressed compared to
treatment with either drug used alone. The combination treatment induced a higher
apoptosis rate, while reducing the invasive capability of U87 cells. The
apoptosis-associated proteins, cleaved-caspase-3 and Bax, were more significantly
up-regulated by the combined treatment than by TMZ used alone. In addition, p-Akt
and Bcl-2, which can promote TMZ resistance, were markedly decreased by LY294002.
These findings suggest that LY294002 enhances the cytotoxicity of TMZ by down
regulation of the PI3K/Akt pathway.
PMID- 22086272
TI - Advanced therapy for pulmonary arterial hypertension due to congenital heart
disease: a clinical perspective in a new therapeutic era.
PMID- 22086273
TI - Polymer imprinting with iron-oxo-hydroxo clusters:
[Fe6O2(OH)2(O2CC(Cl)=CH2)12(H2O)2], [Fe6O2(OH)2(O2C-Ph-(CH)=CH2)12(H2O)2] and
[{Fe(O2CC(Cl)=CH2)(OMe)2}10].
AB - We report the syntheses of imprinted polymers using iron-oxo-hydroxo clusters as
templates. Three new iron clusters,
[Fe(6)O(2)(OH)(2)(O(2)CC(Cl)=CH(2))(12)(H(2)O)(2)] (1),
[{Fe(O(2)CC(Cl)=CH(2))(OMe)(2)}(10)] (2) and [Fe(6)O(2)(OH)(2)(O(2)C-Ph
(CH)=CH(2))(12)(H(2)O)(2)] (3) have been prepared from commercially-available
carboxylic acids. Cluster-imprinted-polymers (CIPs) of 1, 2 and 3 were prepared
with ethylene glycol dimethacrylate monomer, and of 1 with methyl methacrylate
monomer. The imprinted sites within the CIPs were examined using EXAFS and
diffuse reflectance UV/vis spectroscopy, demonstrating that the clusters 1, 2 and
3 were incorporated intact within the polymers. Extraction of the clusters from
the CIPs imprinted with 1 and 3 gave new polymers that showed evidence of an
imprinting effect.
PMID- 22086274
TI - Celebrating synthesis.
PMID- 22086284
TI - Protein structure: Charting a new course in coiled coils.
PMID- 22086285
TI - Signaling pathways: Purinosomes under GPCR control.
PMID- 22086286
TI - Protein misfolding: Tracking a toxic polyQ epitope.
PMID- 22086287
TI - Membrane trafficking: Arls squeeze the fat out.
PMID- 22086288
TI - Lessons and revelations from biomimetic syntheses.
AB - Biomimetic synthesis describes the field of organic chemistry that aims to
emulate the natural, biosynthetic processes toward natural products. As well as
providing insight into how molecules are formed in nature, the benefits of this
approach to total synthesis are numerous and extend beyond the gains typical of
traditional synthesis. For example, using biosynthetic proposals to design a
synthetic route can highlight alternative methods to the desired target. The
pursuit of biomimetic syntheses also promotes the development of new reactions to
prove or disprove a biosynthetic proposal or to unravel mechanistic implications
of a proposed biosynthesis and can lead to the identification of new natural
products. Here we look at some recent compelling examples and examine how
biomimetic synthesis has led to the discovery of new procedures and principles
that would not have been found by other approaches.
PMID- 22086290
TI - Pretreatment of rapeseed straw by sodium hydroxide.
AB - Pretreatment method for rapeseed straw by sodium hydroxide was investigated for
production of bioethanol and biobutanol. Various pretreatment parameters,
including temperature, time, and sodium hydroxide concentration were optimized
using a statistical method which is a central composite design of response
surface methodology. In the case of sodium hydroxide pretreatment, optimal
pretreatment conditions were found to be 7.9% sodium hydroxide concentration, 5.5
h of reaction time, and 68.4 degrees C of reaction temperature. The maximum
glucose yield which can be recovered by enzymatic hydrolysis at the optimum
conditions was 95.7% and the experimental result was 94.0 +/- 4.8%. This
experimental result was in agreement with the model prediction. An increase of
surface area and pore size in pretreated rapeseed straw by sodium hydroxide
pretreatment was observed by scanning electron microscope.
PMID- 22086289
TI - Choosing an effective protein bioconjugation strategy.
AB - The collection of chemical techniques that can be used to attach synthetic groups
to proteins has expanded substantially in recent years. Each of these approaches
allows new protein targets to be addressed, leading to advances in biological
understanding, new protein-drug conjugates, targeted medical imaging agents and
hybrid materials with complex functions. The protein modification reactions in
current use vary widely in their inherent site selectivity, overall yields and
functional group compatibility. Some are more amenable to large-scale
bioconjugate production, and a number of techniques can be used to label a single
protein in a complex biological mixture. This review examines the way in which
experimental circumstances influence one's selection of an appropriate protein
modification strategy. It also provides a simple decision tree that can narrow
down the possibilities in many instances. The review concludes with example
studies that examine how this decision process has been applied in different
contexts.
PMID- 22086291
TI - The conserved bauplan of the teleostean telencephalon.
PMID- 22086292
TI - Body integrity identity disorder: deranged body processing, right fronto-parietal
dysfunction, and phenomenological experience of body incongruity.
AB - Body integrity identity disorder (BIID) is characterised by profound experience
of incongruity between the biological and desired body structure. The condition
manifests in "non-belonging" of body parts, and the subsequent desire to
amputate, paralyse or disable a limb. Little is known about BIID; however, a
neuropsychological model implicating right fronto-parietal and insular networks
is emerging, with potential disruption to body representation. We argue that, as
there is scant systematic research on BIID published to date and much of the
research is methodologically weak, it is premature to assume that the only
process underlying bodily experience that is compromised is body representation.
The present review systematically investigates which aspects of neurological
processing of the body, and sense of self, may be compromised in BIID. We argue
that the disorder most likely reflects dysregulation in multiple levels of body
processing. That is, the disunity between self and the body could arguably come
about through congenital and/or developmental disruption of body representations,
which, together with altered multisensory integration, may preclude the
experience of self-attribution and embodiment of affected body parts. Ulimately,
there is a need for official diagnostic criteria to facilitate epidemiological
characterisation of BIID, and for further research to systematically investigate
which aspects of body representation and processing are truly compromised in the
disorder.
PMID- 22086294
TI - Genomic analysis of a four-way t(4;11;22;10) associated with MLL-AF4 in an adult
acute lymphoblastic leukemia.
PMID- 22086295
TI - Role of HuR in keratinocyte migration and wound healing.
AB - Human antigen R (HuR) is a post-translational modifier of mRNAs rich in AU- and U
rich elements. These mRNAs typically code for proteins involved in cell growth
and differentiation, signal transduction, transcriptional and translational
control, apoptosis, nutrient transport and metabolism. Thus, HuR affects a
variety of biological functions and processes. Via its effect on growth and
cellular migration, HuR has been shown to enhance clinical progression of a
number of cancers. Its role in wound healing remains unknown. In the present
study, we evaluated HuR tissue expression in a cohort of chronic healed and non
healed leg ulcers. We also evaluated the effect of HuR knockdown on a number of
cellular processes using the HaCaT human keratinocyte cell line. HuR was
expressed in greater levels in the 'chronic healed' cohort of ulcers, compared to
the 'chronic non-healed', although this failed to reach statistical significance
(p=0.13). HuR knockdown resulted in greater cellular growth, faster progression
through the cell cycle and reduced apoptosis. Furthermore, it reduced cellular
adhesion rates without affecting migration. We, therefore, concluded that HuR
promotes wound healing, primarily through its effect on cellular adhesion. It
also slows cellular growth rate via its effect on both cell cycle progression and
rates of apoptosis.
PMID- 22086296
TI - Mediators released during human anaphylaxis.
AB - A range of mediators are generated during anaphylaxis, with redundancy of
effects, multiple overlapping pathways, and involvement of several cell types.
Key steps in the reaction occur at the site of initial contact, and mediators may
not be detectable systemically. Furthermore, the potencies of various mediators
vary enormously, and clinical effects may occur below our level of detection. We
also do not know what converts (amplifies) a local reaction into systemic
anaphylaxis. Murine models have identified several novel mediators that may
propagate and/or regulate this process and also indicate that circulating
neutrophils may play an important role in reaction amplification. Differential
expression of various genes within specific intracellular signalling pathways of
mediator release may further explain the varying severities of anaphylactic
reactions. As our knowledge of the mechanisms of activation, key mediators, and
the regulation of mediator release improves, new treatments for prevention and
acute management may emerge.
PMID- 22086298
TI - Toll-like receptors in systemic lupus erythematosus: potential targets for
therapeutic intervention.
AB - Toll-like receptors (TLRs) have attracted increased attention in recent years,
not only for their role in sensing conserved microbial components, but also in
the realm of autoimmunity. Although TLRs are most widely known for their capacity
to detect conserved motifs of infectious agents, mounting evidence indicates that
these innate receptors also promote autoimmune conditions by causing uncontrolled
autoinflammation as a result of chronic recognition of self. In response to the
need for modern approaches to treatment of autoimmune diseases, several groups
have begun investigating ways to target TLRs as new therapeutic options for
autoimmune conditions. Here we discuss recent data describing advances in TLRs as
therapeutic targets for treatment of autoimmune diseases, with a focus on
systemic lupus erythematosus.
PMID- 22086297
TI - Modulating toll-like receptor 7 and 9 responses as therapy for allergy and
autoimmunity.
AB - Type I allergic diseases, such as allergic rhinitis and asthma, depend on
allergen-induced T-helper type 2 (Th2) cells and IgE-secreting plasma cells.
Fortunately, this harmful immune response can be modified by engaging Toll-like
receptor (TLR)7 and TLR9, offering hopes to allergy sufferers. While clinical
trials employing synthetic ligands for TLR7 or TLR9 are under way, one can wonder
whether TLR7 or TLR9 engagements may trigger inadvertent autoreactivity and/or
Th1-/Th17-mediated tissue pathology. To neutralize such danger, we have pioneered
the development of potent TLR9 pathway antagonists, inhibitory oligonucleotides
(INH-ODNs), which work in a sequence-specific manner. Interestingly, INH-ODNs
also have TLR7-inhibitory properties; however, these effects appear to be
sequence independent and phosphorothioate backbone dependent. In B cells, co
engagement of the B-cell receptor for antigen and TLR7 or TLR9 may influence how
INH-ODNs impose their regulatory effects. INH-ODNs block TLR9 activation by
competitively antagonizing ligand binding to proteolytically cleaved C-terminal
TLR9 fragment. One may envision future use of INH-ODNs in systemic autoimmune
diseases, DNA-mediated sepsis, or other situations in which chronic inflammation
results from abnormal TLR7- and/or TLR9-mediated immune activation.
PMID- 22086299
TI - Pro-inflammatory effects of the mushroom Agaricus blazei and its consequences on
atherosclerosis development.
AB - PURPOSE: Extracts of the mushroom Agaricus blazei (A. blazei) have been described
as possessing immunomodulatory and potentially cancer-protective activities.
However, these effects of A. blazei as a functional food have not been fully
investigated in vivo. METHODS: Using apolipoprotein E-deficient (ApoE(-/-)) mice,
an experimental model of atherosclerosis, we evaluated the effects of 6 or 12
weeks of A. blazei supplementation on the activation of immune cells in the
spleen and blood and on the development of atherosclerosis. RESULTS: Food intake,
weight gain, blood lipid profile, and glycemia were similar between the groups.
To evaluate leukocyte homing and activation, mice were injected with (99m)Tc
radiolabeled leukocytes, which showed enhanced leukocyte migration to the spleen
and heart of A. blazei-supplemented animals. Analysis of the spleen showed higher
levels of activation of neutrophils, NKT cells, and monocytes as well as
increased production of TNF-alpha and IFN-gamma. Circulating NKT cells and
monocytes were also more activated in the supplemented group. Atherosclerotic
lesion areas were larger in the aorta of supplemented mice and exhibited
increased numbers of macrophages and neutrophils and a thinner fibrous cap. A.
blazei-induced transcriptional upregulation of molecules linked to macrophage
activation (CD36, TLR4), neutrophil chemotaxy (CXCL1), leukocyte adhesion (VCAM
1), and plaque vulnerability (MMP9) were seen after 12 weeks of supplementation.
CONCLUSIONS: This is the first in vivo study showing that the immunostimulatory
effect of A. blazei has proatherogenic repercussions. A. blazei enhances local
and systemic inflammation, upregulating pro-inflammatory molecules, and enhancing
leukocyte homing to atherosclerosis sites without affecting the lipoprotein
profile.
PMID- 22086300
TI - Is a daily supplementation with 40 microgram vitamin D3 sufficient? A randomised
controlled trial.
AB - PURPOSE: The effect of 40 MUg (1,600 IU) per day of vitamin D(3) on serum 25
hydroxyvitamin D (25(OH)D) and markers of bone and mineral metabolism was
evaluated. METHODS: This intervention study was designed as a double-blind
randomised controlled trial. Forty-five community-dwelling subjects (32 females),
age 55-84 years, at 58 degrees North latitude were supplemented for 1 year with
40 MUg vitamin D(3) plus 1,000 mg calcium per day, or with 1,000 mg calcium per
day for controls. Safety parameters and 25(OH)D, intact parathyroid hormone
(PTH), ionized calcium, bone-specific alkaline phosphatase (BALP), and tartrate
resistant acid phosphatase isoform 5b (TRACP5b) were measured over the study
period. RESULTS: All subjects supplemented with vitamin D(3) reached a 25(OH)D
level above 50 nmol/L. Mean (SD) serum 25(OH)D increased from 50.4 (13.5) nmol/L
to 84.2 (17.5) nmol/L, range 55.0-125.0 nmol/L in the vitamin D(3) supplemented
group and the corresponding levels for the control group were 47.3 (14.1) nmol/L
and 45.7 (13.4) nmol/L, range 26.0-73.0 nmol/L. No serious adverse event was
recorded and the highest 25(OH)D level reached, 125.0 nmol/L, is well below toxic
levels. BALP and TRACP5b did not change significantly over the study period.
CONCLUSIONS: This trial suggests that a daily supplementation with 40 MUg vitamin
D(3) is sufficient to secure a 25(OH)D level of 50 nmol/L. No side effects were
observed in the study group.
PMID- 22086301
TI - Protective effects of olive oil phenolics and gallic acid on hydrogen peroxide
induced apoptosis.
AB - PURPOSE: Olive oil contains several phenolic compounds possessing antioxidant
activity. The aim of this study was to investigate the protective effects of
olive oil phenolic extract (OOPE) and one of its constituents, gallic acid (GA)
against H(2)O(2)-induced oxidative stress and apoptotic cell death in HeLa cells,
a model for human epithelial cells. METHODS: The cells were pretreated with
nontoxic doses of OOPE or GA for 4, 24 and 48 h, and the intracellular reactive
oxygen species (ROS) level was determined, before and after oxidative stress
induction with H(2)O(2). As an indicator of apoptosis, caspase 9 activity was
measured. RESULTS: All pretreatments reduced ROS generation. Four hour incubation
with OOPE or GA completely inhibited ROS generation. Increases in caspase 9
activity by OOPE and GA pretreatment under harsh stress conditions were inhibited
92 and 67.8%, respectively. CONCLUSIONS: These results suggest that OOPE and GA
act as powerful antioxidants against oxidative stress and exert anti-apoptotic
effects.
PMID- 22086303
TI - Mutation and association analyses of the candidate genes ESR1, ESR2, MAX, PCNA,
and KAT2A in patients with unexplained MSH2-deficient tumors.
AB - Lynch syndrome (Hereditary non-polyposis colorectal cancer/HNPCC) is a cancer
susceptibility syndrome which is caused by germline mutations in DNA mismatch
repair (MMR) genes, in particular MLH1 and MSH2. A pathogenic germline mutation
in the respective MMR gene is suggested by the finding of a loss of a mismatch
repair protein in tumor tissue on immunohistochemical staining combined with an
early age of onset and/or the familial occurrence of colorectal cancer.
Pathogenic germline mutations are identifiable in around 60% of patients
suspected of Lynch syndrome, depending on the familial occurrence. The aim of the
present study was to identify novel susceptibility genes for Lynch syndrome. 64
Healthy controls and 64 Lynch syndrome patients with no pathogenic MSH2 mutation
but a loss of MSH2 expression in their tumor tissue were screened for rare and
disease causing germline mutations in the functional candidate genes ESR1, ESR2,
MAX, PCNA, and KAT2A. Thirty variants were identified, and these were then
genotyped in an independent sample of 36 mutation negative Lynch syndrome
patients and 234 controls. Since a trend towards association was observed for
KAT2A, an additional set of 21 tagging SNPs was analyzed at this locus in a final
case-control sample of 142 mutation negative Lynch syndrome patients and 298
controls. The mutation analysis failed to reveal any rare disease-causing
mutations. No association was found at the single-marker or haplotypic level for
any common disease-modifying variant. The present results suggest that neither
rare nor common genetic variants in ESR1, ESR2, MAX, PCNA, or KAT2A contribute to
the development of Lynch syndrome.
PMID- 22086304
TI - Hereditary leiomyomatosis and renal cell cancer presenting as metastatic kidney
cancer at 18 years of age: implications for surveillance.
AB - Hereditary leiomyomatosis and renal cell cancer (HLRCC) is an autosomal dominant
syndrome characterized by skin piloleiomyomas, uterine leiomyomas and papillary
type 2 renal cancer caused by germline mutations in the fumarate hydratase (FH)
gene. Previously, we proposed renal imaging for FH mutation carriers starting at
the age of 20 years. However, recently an 18-year-old woman from a Dutch family
with HLRCC presented with metastatic renal cancer. We describe the patient and
family data, evaluate current evidence on renal cancer risk and surveillance in
HLRCC and consider the advantages and disadvantages of starting surveillance for
renal cancer in childhood. We also discuss the targeted therapies administered to
our patient.
PMID- 22086305
TI - Different algorithms for glycemic control will yield different results.
PMID- 22086306
TI - A highly parallelized framework for computationally intensive MR data analysis.
AB - OBJECT: The goal of this study was to develop a comprehensive magnetic resonance
(MR) data analysis framework for handling very large datasets with user-friendly
tools for parallelization and to provide an example implementation. MATERIALS AND
METHODS: Commonly used software packages (AFNI, FSL, SPM) were connected via a
framework based on the free software environment R, with the possibility of using
Nvidia CUDA GPU processing integrated for high-speed linear algebra operations in
R. Three hundred single-subject datasets from the 1,000 Functional Connectomes
project were used to demonstrate the capabilities of the framework. RESULTS: A
framework for easy implementation of processing pipelines was developed and an R
package for the example implementation of Fully Exploratory Network ICA was
compiled. Test runs on data from 300 subjects demonstrated the computational
advantages of a processing pipeline developed using the framework compared to non
parallelized processing, reducing computation time by a factor of 15. CONCLUSION:
The feasibility of computationally intensive exploratory analyses allows broader
access to the tools for discovery science.
PMID- 22086307
TI - Diffusion property differences of the lower leg musculature between athletes and
non-athletes using 1.5T MRI.
AB - OBJECT: To compare the differences in diffusion properties--namely fractional
anisotropy (FA), three eigenvalues of the diffusion tensor (lambda1, lambda2, and
lambda3), and apparent diffusion coefficient (ADC)--between athletically-trained
and untrained lower leg musculature. MATERIALS AND METHODS: Twelve athletes
(Group A) and 11 non-athletes (Group B) were recruited. All were females in their
20s. We scanned diffusion tensor images of both calves and compared FA, the three
eigenvalues, and ADC in the gastrocnemius medialis, gastrocnemius lateralis,
soleus (SOL), and anterior tibialis muscles between Groups A and B, and between
the right and left sides, using two-factor fractional ANOVA. RESULTS: In all
muscles of bilateral calves, all three eigenvalues and ADC were lower in Group A
than in Group B, with statistically significant differences in all muscles for
lambda1, lambda2, and lambda3 and ADC, with a P value of <0.01. Moreover,
statistical differences were also found between right and left for lambda1,
lambda2, and lambda3 (P < 0.05), and ADC (P < 0.01) of the SOL muscle. FA showed
no statistically significant differences in any muscles. CONCLUSIONS: Our results
indicate that training causes a decrease of the three eigenvalues and ADC, which
we hypothesize is due to an increase of density of myofilaments in the
intracellular space, and deformation of the cell induced by enlargement of
extracellular components.
PMID- 22086308
TI - Comment on: Cost-effectiveness of denosumab for the treatment of postmenopausal
osteoporosis.
PMID- 22086309
TI - Vertebral body bone strength: the contribution of individual trabecular element
morphology.
AB - SUMMARY: Although the amount of bone explains the largest amount of variability
in bone strength, there is still a significant proportion unaccounted for. The
morphology of individual bone trabeculae explains a further proportion of the
variability in bone strength and bone elements that contribute to bone strength
depending on the direction of loading. INTRODUCTION: Micro-CT imaging enables
measurement of bone microarchitecture and subsequently mechanical strength of the
same sample. It is possible using micro-CT data to perform morphometric analysis
on individual rod and plate bone trabeculae using a volumetric spatial
decomposition algorithm and hence determine their contribution to bone strength.
METHODS: Twelve pairs of vertebral bodies (T12/L1 or L4/L5) were harvested from
human cadavers, and bone cubes (10 * 10 * 10 mm) were obtained. After micro-CT
imaging, a volumetric spatial decomposition algorithm was applied, and measures
of individual trabecular elements were obtained. Bone strength was measured in
compression, where one bone specimen from each vertebral segment was tested
supero-inferiorly (SI) and the paired specimen was tested antero-posteriorly
(AP). RESULTS: Bone volume fraction was the strongest individual determinant of
SI strength (r(2) = 0.77, p < 0.0001) and AP (r(2) = 0.54, p < 0.0001). The
determination of SI strength was improved to r(2) = 0.87 with the addition of
mean rod length and relative plate bone volume fraction. The determination of AP
strength was improved to r(2) = 0.85 with the addition of mean rod volume and
relative rod bone volume fraction. CONCLUSIONS: Microarchitectural measures of
individual trabeculae that contribute to bone strength have been identified. In
addition to the contribution of BV/TV, trabecular rod morphology increased the
determination of AP strength by 57%, whereas measures of trabecular plate and rod
morphology increased determination of SI strength by 13%. Decomposing vertebral
body bone architecture into its constituent morphological elements shows that
trabecular element morphology has specific functional roles to assist in
maintaining skeletal integrity.
PMID- 22086310
TI - Quality of life and health status with zoledronic acid and generic alendronate--a
secondary analysis of the Rapid Onset and Sustained Efficacy (ROSE) study in
postmenopausal women with low bone mass.
AB - SUMMARY: The ROSE study compared annual infusion with zoledronic acid and weekly
generic alendronate. No significant differences in quality of life or health
status between treatment groups were observed. Adherence to alendronate during
the study was high, with 80.9% of patients achieving adequate adherence.
INTRODUCTION: A secondary analysis to evaluate quality of life, health status,
adherence to alendronate and therapy preference in postmenopausal women with low
bone mass who received treatment with zoledronic acid or alendronate was
conducted. METHODS: Postmenopausal women with low bone mass were randomised 2:1
to receive an annual infusion of zoledronic acid or weekly oral generic
alendronate in this open-label, multicentre study. Changes in quality of life and
health status were assessed using questionnaires at baseline and month 12.
Adherence to alendronate was assessed by the investigator and/or study personnel,
and subjective therapy preference was assessed using a questionnaire at month 12.
RESULTS: Patients were randomised to zoledronic acid (n = 408) and alendronate (n
= 191). Overall, there were no significant differences in quality of life between
zoledronic acid and alendronate. However, improvements in quality of life with
zoledronic acid versus alendronate could be detected by posthoc analysis in
patients with previous fractures. There were no significant differences in health
status between patients receiving zoledronic acid or alendronate. Adherence to
alendronate during the study was high, with 80.9% of patients achieving adequate
adherence. A total of 81% of patients who had received zoledronic acid indicated
that they would prefer to continue with that treatment, and 43% of the patients
who received oral alendronate would like to switch to zoledronic acid.
CONCLUSIONS: There were no significant differences in quality of life between
patients receiving zoledronic acid or alendronate.
PMID- 22086311
TI - Regional and age-related variations in the proportions of hip fractures and major
fractures among postmenopausal women: the Global Longitudinal Study of
Osteoporosis in Women.
AB - We examined variations in proportions of hip fractures and major fractures among
postmenopausal women using the Global Longitudinal Study of Osteoporosis in Women
(GLOW). The proportion of major fractures that were hip fractures varied with age
and region, whereas variations in the proportion of fractures that were major
fractures appeared modest. INTRODUCTION: In many countries, the World Health
Organization fracture risk assessment tool calculates the probability of major
fractures by assuming a uniform age-associated proportion of major fractures that
are hip fractures in different countries. We further explored this assumption,
using data from the GLOW. METHODS: GLOW is an observational population-based
study of 60,393 non-institutionalized women aged >=55 years who had visited
practices within the previous 2 years. Main outcome measures were self-reported
prevalent fractures after the age of 45 years and incident fractures during the 2
years of follow-up. RESULTS: The adjusted proportion of prevalent and incident
major fractures after the age of 45 years that were hip fractures was higher in
North America (16%, 17%) than in northern (13%, 12%) and southern Europe (10%,
10%), respectively. The proportion of incident major fractures that were hip
fractures increased more than five-fold with age, from 6.6% among 55-59-year-olds
to 34% among those aged >=85 years. Regional and age-associated variations in the
proportion of all incident fractures that were major fractures were less marked,
not exceeding 16% and 28%, respectively. CONCLUSIONS: The data suggest that there
may be regional differences in the proportion of major fractures that are hip
fractures in postmenopausal women. In contrast, the regional and age-related
variations in the proportion of fractures that are major fractures appear to be
modest. However, because of the limited number of fractures in our sample,
further studies are necessary to confirm these findings.
PMID- 22086313
TI - [The information in gastroenterology and internet].
PMID- 22086312
TI - Elevation of both reactive oxygen species and antioxidant enzymes in vein tissue
of infertile men with varicocele.
AB - OBJECTIVE: To compare reactive oxygen species (ROS) and antioxidants in internal
spermatic vein tissue of men with varicocele and a control group with inguinal
hernia. Also to compare the levels of oxidants and antioxidants in infertile and
fertile men with varicocele. PATIENTS AND METHODS: 48 varicocele patients and 12
non-varicocele inguinal hernia patients participated in this study. The
varicocele group was again divided into two groups--fertile men with varicocele
and infertile men with varicocele. Internal spermatic vein tissue samples were
obtained. The level of the malondialdehyde (MDA), an indicator of oxidative
stress, and the activities of antioxidant enzymes (defense systems) against
oxidative stress such as superoxide dismutase (SOD) and catalase (CAT) were
estimated in these tissue samples. RESULTS: The mean level of MDA in the
varicocele group was significantly higher than in the hernia group, whereas the
levels of antioxidants (SOD and CAT) were significantly lower in the varicocele
group than in the hernia group. When compared with the subgroups of the
varicocele group, the MDA levels and SOD and CAT activities were significantly
higher in the infertile varicocele group than in the fertile varicocele group.
CONCLUSIONS: Antioxidant enzyme levels generally decrease due to a high
consumption in varicocele patients. On the contrary, in our study both MDA and
antioxidant enzymes increased in the internal spermatic venous wall of infertile
varicocele patients. This situation may be explained by an adaptation against
oxidative stress in varicocele, which could be defined as a chronic process.
PMID- 22086314
TI - [Use of cyanoacrylate in the endoscopic therapy of gastric varices: experience in
the National Hospital Edgardo Rebagliati Martins from 2006 to 2010].
AB - OBJECTIVE: To evaluate the therapeutic success of the injection of N-butyl-2
cyanoacrylate in the treatment of gastric varices. METHODS: Cross-sectional study
included 33 patients with gastric varices who only received cyanoacrylate
endoscopic therapy between 2006 and 2010. Intravariceally, cyanoacrylate was
injected in a 1:1 dilution with lipiodol until the obliteration of varices. The
therapeutic situation was: active bleeding, varices with stigmata of recent
bleeding and secondary prophylaxis. Treatment success was evaluated according to
hemostasis, recurrent bleeding and obliteration. RESULTS: Of the 33 patients, 3
(9.1%) were for active bleeding, 20 (60.6%) with stigmata of recent bleeding and
10 (30.3%) as secondary prophylaxis. Gastric varices were GOV2, 17 (51.5%); IGV1,
14 (42.4%); GOV1, 2 (6.1%). Hemostasis was achieved in 21 (91.3%) and in 2
(66.7%) of 3 patients with active bleeding. Five (15.6%) patients had recurrent
bleeding and 2 of them used cyanoacrylate with successful hemostasis.
Obliteration was achieved. Four patients died and one for failure of control
bleeding. A high severity of Child-Pugh classification was related to treatment
endoscopic failure (p=0.034). The main complication was bleeding after the
injection of cyanoacrylate. CONCLUSIONS: Our results support the use of
cyanoacrylate in the treatment of gastric varices with few major complications.
PMID- 22086315
TI - [Fecal leukocytes in children with acute diarrhea: time to reconsider the
clinical usefulness of the test?].
AB - INTRODUCTION: Fecal leukocytes are widely used to identify invasive diarrhea and
to make then the decision of prescribing or not antibiotics. This test has been
hardly assessed in small hospitals of developing countries with efficient
laboratory processes. We aimed to assess the diagnostic performance of different
thresholds of fecal leukocytes in children under-five with acute diarrhea.
MATERIAL AND METHODS: Retrospective study of clinical and laboratory records in
the Pediatric Emergency Hospital, Lima, Peru. All cases with a stool culture and
fecal leukocytes independently and systematically performed were studied.
Sensitivity, specificity, predictive values, likelihood ratios (LR), and receiver
operating characteristics (ROC) curves were calculated. RESULTS: Out of 1,804
stool samples assessed, 901 (49,9%) were positive for one or more bacterial
entheropathogens. Sensitivity (Sn), specificity (Sp), and positive LR varied for
different thresholds: more than 5 (S: 93.2%, Sp: 21.9%, LR+:), more than 20 (Sn:
%, Sp: %,+LR: ), more than 50 (Sn: 74.9%, Sp: 56.7%, +LR: 1.73), and more than
100 fecal leukocytes per high power field (Sn: 60.7%, Sp: 71.9%, LR+: 2.17). The
general area under the ROC curve was 0.69 (CI 95%: 0.67-0.72). CONCLUSIONS:
Diagnostic performance of fecal leukocytes is suboptimal and may not warrant its
continued use in developing settings, as it promotes antibiotic abuse, and on the
other hand increases the risk of overlooking patients with invasive diarrhea who
may Benedit from antibiotic treatment. Combination of epidemiological and
clinical data with either fecal leukocytes or fecal lactoferrin may provide a
more efficient approach.
PMID- 22086316
TI - [Antimicrobial susceptibility of helicobacter pylori to levofloxacin determined
in a miniwell format and disk diffusion tests using egg yolk agar].
AB - OBJECTIVES: To determine the Minimum inhibitory concentration (MIC) of
Levofloxacin against HELICOBACTER PYLORI (HP). MATERIALS AND METHODS: 95 HP
Peruvian strains were evaluated in Agar dilution and Disk diffusion tests, as
well as the Pearson Coefficient (r) and the inoculum's effect. RESULTS: 36.9% (35
of 95) were resistant (MIC>1 MUg/ml) to Levofloxacin. MIC90 was 16 ug/ml
(CI:90%). MIC of Levofloxacin did not change at different inoculum
concentrations. r: -0.733 (p<0.001). CONCLUSIONS: The proportion of HP
Levofloxacin resistant strains in Peru is higher than in developed countries.
Periodic testing of antibiotic susceptibility is warranted to select the most
accurate therapies.
PMID- 22086317
TI - [Score BISAP validation as a prognostic system in acute pancreatitis].
AB - BACKGROUND: The BISAP score is a simple system, which englobes clinical features
(laboratory and imagenology tests) allowing to predict the mortality in acute
pancreatitis within the first 24 hours of hospitalization. OBJECTIVE: To
determine the validity of the BISAP score in the prediction of prognosis and
severity of acute pancreatitis. METHOD: In order to validate the BISAP score, a
study was performed in 57 patients with a diagnosis of acute pancreatitis at the
moment of admission. The reference test was the Atlanta criteria which confirmed
severe clinical course beyond the fifth day, in many cases supported by CT.
RESULTS: 71.9% were women. The mean age was 45.33 years. Biliary lithiasis was
the most frequent cause (66.7%). According to the reference test 71.9% were mild
and 28.1% severe. According to the BISAP score 77.2% mild and 22.8% severe. The
sensitivity and specificity for the BISAP score was of 75% and 97.56%
respectively. The predictive positive value was 92.31% and the predictive
negative value was 90.91%. CONCLUSIONS: The BISAP score allows to predict the
severity of the acute pancreatitis.
PMID- 22086318
TI - [Identifying the severe acute pancreatitis].
AB - AIMS: To compare patients with acute necrotizing pancreatitis without any
additional complications during their hospital stay (Group A) versus patients
with Acute Necrotizing Pancreatitis with additional complications during their
hospital stay (Group B). METHODS: Data obtained from a pre-existing base from
hospitalized patients with diagnosis of acute necrotizing pancreatitis in the
specialized unit of "Unidad de Pancreatitis Aguda Grave del Hospital Nacional
Edgardo Rebagliati Martins" between 2000 and 2010. Data included patients with
diagnosis of acute necrotizing pancreatitis, of ages 18 and over. RESULTS: Data
from 215 patients with acute necrotizing pancreatitis was included. Patients from
Group A represented 32% (68) and from Group B 68% (147). Group A had a average of
39 hospitalized days and Group B had an average of 56 days (p=0.01). From Group A
22% had more than 50% of necrosis while 43% of Group B had this extension of
necrosis (p <0.05, OR 3.4, IC (1.12-10)). Of the 14 deaths of the population, all
part of Group B, 12 of them had more than 50% of necrosis. CONCLUSIONS: Not every
patient classified as severe acute pancreatitis, based on the presence of
necrosis, behave likewise. It is an extended necrosis, described as more than 50%
of pancreatic necrosis, and not the presence itself which will determine
additional complications during the course of disease and a greater mortality.
PMID- 22086319
TI - [Use of Foley's catheter to control abdominal wall bleeding in the trocar
insertion place during laparoscopic surgery].
AB - BACKGROUND: Abdominal wall bleeding in the port-site insertion place during
laparoscopic surgery is sometimes difficult to control and can be associated with
morbidity ranging from parietal pain up to haematoma and massive haemoperitoneum.
PATIENTS AND METHODS: We perform a retrospective study of our experience in the
management of the abdominal wall bleeding port-site using a Foley's catheter
(24F), in those cases when haemostasis with electrocautery was not achieved.
RESULTS: This technique was used in 35 patients (27 women and 8 men) with a mean
age of 45,37 years (range 24-82 years). The median of time up to the removal of
the catheter was 36 hours (range 24-48 hours), without observing bleeding or
prolongation of the hospital stay or readmission. CONCLUSIONS: The use of Foley's
catheter is a simple and efficient method for the control of the port-site
bleeding during laparoscopic surgery.
PMID- 22086320
TI - [Gastroenterology 2.0: useful resources for the gastroenterologist available on
the Web 2.0].
AB - The term Web 2.0 refers to the use of Internet applications which enable the
users to share, participate and collaborate together on information. The
objective of this study is to check different applications that use Web 2.0,
which could help the gastroenterologist in his daily practice. The applications
that will be checked include: blogs, microblogging, RSS, podcasts, wikis and
social networks. "Gastroenterology 2.0" represents the applications, services,
and tools based on Web 2.0, which are of easy use and easily accessible - to
consumers, patients, gastroenterologists and other health professionals, as well
as researchers. Although several studies have shown the benefits these
technologies have on the medical practice, it is necessary to conduct further
studies to demonstrate the use of these applications on improving health.
PMID- 22086321
TI - [Clinical practice guidelines on the diagnosis and treatment of infectious acute
diarrhea in children Peru - 2011].
AB - The Clinical Practice Guidelines cover the Diagnosis and Treatment of Acute
Diarrhea in Pediatric Infectious is a consice information about definition,
inclusion and exclusion criteria; epidemiology and etiology of infectious
diarrhea. The guidelines cover aspects of diagnosis and treatment (dehydration,
antibiotics, supportive therapy), nutritional support and other aspects of
transferences and prevention.
PMID- 22086322
TI - [Celiac disease and intestinal obstruction by T cell lymphoma].
AB - A male patient, 55 years old, born in Ayacucho, with Spanish ancestors, was
hospitalized through emergency referring abdominal pain, and 10 kilograms weight
loss. Six months before he was diagnosed as having irritable bowel syndrome. His
previous diseases were rheumatoid arthritis and intolerance to lactose.
Laboratory results were: Hb 12 gr./dL, white cells 5200 per mm3, albumin 2.7
gr./dL, erythrocyte sedimentation rate 32 mm/hr., and tumor markers were
negative. Radiographic study of the small bowel showed barium fragmentation, and
a focal dilation in distal jejunum. Chest X-ray and CT scan of thorax, abdomen
and pelvis were normal. Colonoscopy was normal for colonic mucosa, but in ileum
it showed an irregular mucosa, little nodules and fewer folds than usual. Biopsy
from ileum demonstrated unspecific inflammation. Upper endoscopy showed
gastritis, a duodenum scar ulcer and an irregular mosaic pattern pink and white.
Duodenum biopsy demonstrated short villi, chronic inflammation and an increase in
the number of intraepithelial lymphocytes, all these was consistent with celiac
disease Marsh 3. Antibodies anti-endomisium and anti-transglutaminase were
positive. After some days he developed signs of bowel obstruction and was
operated.
PMID- 22086323
TI - [Metastatic primary gastric adenocarcinoma in amigdala].
AB - We report the case of a 79 years old female patient, with a one year history of
dysphagia, presence of a tumor in the right palatine tonsil and cervical lymph
node involvement. A biopsy of the palatine tonsil tumor informed as an
adenocarcinoma was performed followed by a gastroscopy that reported a lesion in
gastric body and antrum whose histologycal diagnosis was a moderately
differentiated tubular adenocarcinoma. The patient received chemotherapy with
5FU. We present this case due to the unusual presentation of metastasis to the
palatine tonsil and also for being the first manifestation of a gastric cancer.
PMID- 22086324
TI - [Gastrointestinal Epstein Barr virus positive diffuse large B cell lymphoma in
elderly: report of five cases and review of literature].
AB - EBV-positive diffuse large B-cell lymphoma (DLBCL) in elderly is a new entity
included provisionally in the most recent WHO Classification of lymphoid
neoplasms. It usually affects elderly patients and has a poor survival. The goal
of this report was to evaluate clinical, endoscopic characteristics and survival
of five patients with this entity and gastrointestinal afectation. From five
cases, three cases had gastric infiltration, one ileon and one in cecum.
PMID- 22086325
TI - [Jejunal GIST with obscure gastrointestinal bleeding].
AB - We report the case of a woman of 84 years with a history of cardiac arrhythmia
and hemorrhoids. She had multiple hospitalizations and transfusions for
symptomatic iron deficiency anemia, endoscopic studies showed only small
diverticula and colon polyps. He was later hospitalized with bloody stools red
wines, upper endoscopy and colonoscopy showed gastritis, small colonic ulcers,
colonic polyp and multiple diverticula. Readmitted with bleeding of obscure
origin, on that occasion showed gastritis, antral erosions, small ulcers, colon
polyps and colon ulcers in the process of healing, capsule endoscopy showed
angiodysplasia in jejunum, anterograde enteroscopy detected some erythematous
lesions in proximal jejunum without evidence of bleeding. Again hospitalized for
melena and abdominal.
PMID- 22086326
TI - Application of a novel score test for genetic association incorporating gene-gene
interaction suggests functionality for prostate cancer susceptibility regions.
AB - AIMS: We introduce an innovative multilocus test for disease association. It is
an extension of an existing score test that gains power over alternative methods
by incorporating a parsimonious one-degree-of-freedom model for interaction. We
use our method in applications designed to detect interactions that generate
hypotheses about the functionality of prostate cancer (PRCA) susceptibility
regions. METHODS: Our proposed score test is designed to gain additional power
through the use of a retrospective likelihood that exploits an assumption of
independence between unlinked loci in the underlying population. Its performance
is validated through simulation. The method is used in conditional scans with
data from stage II of the Cancer Genetic Markers of Susceptibility PRCA genome
wide association study. RESULTS: Our proposed method increases power to detect
susceptibility loci in diverse settings. It identified two high-ranking,
biologically interesting interactions: (1) rs748120 of NR2C2 and subregions of
8q24 that contain independent susceptibility loci specific to PRCA and (2)
rs4810671 of SULF2 and both JAZF1 and HNF1B that are associated with PRCA and
type 2 diabetes. CONCLUSIONS: Our score test is a promising multilocus tool for
genetic epidemiology. The results of our applications suggest functionality for
poorly understood PRCA susceptibility regions. They motivate replication study.
PMID- 22086328
TI - Assessment of density functional theory for bonds formed between rare gases and
open-shell atoms: a computational study of small molecules containing He, Ar, Kr
and Xe.
AB - The validity of the description of the DFT approximations currently implemented
in plane wave DFT codes (LDA, GGA, meta-GGA, hybrid, GGA + empirical dispersion
correction) for interactions between rare gases and open-shell atoms which form
materials is poorly known. We have performed a first assessment of the accuracy
of these functionals for the description of the bonds formed by helium, argon,
krypton and xenon with various open-shell atoms. This evaluation has been done on
model molecular systems for which precise experimental data are available and
reference post-Hartree-Fock calculations (CCSD(T) using large basis sets) are
feasible. The results show that when the rare gas atom shares density with the
neighbouring atoms, the GGA functionals yield good geometries and qualitatively
correct binding energies, even if these are quite significantly overestimated.
The use of hybrid functionals enables us to obtain good geometries and
satisfactory binding energies. For compounds in which the rare gas atom forms
weak dispersive-like bonding, the accuracy yielded by the various functionals is
not as good. No functional gives satisfactory binding energies for all the
compounds investigated. Several GGA and hybrid functionals yield correct
geometries, even if some isomers are not obtained. One GGA functional (PBE)
yields qualitatively correct results for the compounds of the three rare gases
and several hybrid functionals give satisfactory energies for He compounds. The
addition of an empirical dispersive correction improves the results on
association compounds, but several isomers are not found.
PMID- 22086327
TI - Computational modeling of temperature elevation and thermoregulatory response in
the brains of anesthetized rats locally exposed at 1.5 GHz.
AB - The dominant effect of human exposures to microwaves is caused by temperature
elevation ('thermal effect'). In the safety guidelines/standards, the specific
absorption rate averaged over a specific volume is used as a metric for human
protection from localized exposure. Further investigation on the use of this
metric is required, especially in terms of thermophysiology. The World Health
Organization (2006 RF research agenda) has given high priority to research into
the extent and consequences of microwave-induced temperature elevation in
children. In this study, an electromagnetic-thermal computational code was
developed to model electromagnetic power absorption and resulting temperature
elevation leading to changes in active blood flow in response to localized 1.457
GHz exposure in rat heads. Both juvenile (4 week old) and young adult (8 week
old) rats were considered. The computational code was validated against
measurements for 4 and 8 week old rats. Our computational results suggest that
the blood flow rate depends on both brain and core temperature elevations. No
significant difference was observed between thermophysiological responses in 4
and 8 week old rats under these exposure conditions. The computational model
developed herein is thus applicable to set exposure conditions for rats in
laboratory investigations, as well as in planning treatment protocols in the
thermal therapy.
PMID- 22086329
TI - Facile in situ copper(II) mediated C-S bond activation transforming
dithiocarbimate to carbamate and thiocarbamate generating Cu(II) and Cu(I)
complexes.
AB - Facile in situ Cu(II) mediated transformation of p-tolylsulfonyldithiocarbimate
in conjunction with polypyridyl or phosphine ligands into corresponding carbamate
and thiocarbamate led to the formation of new copper complexes with varying
nuclearities and geometries, via C-S bond activation of the ligand within
identical reaction systems.
PMID- 22086330
TI - Integrin-mediated signaling contributes to gadolinium-containing-particle
promoted cell survival and G1 to S phase cell cycle transition by enhancing focal
adhesion formation.
AB - We previously reported that Gd-containing particles formed under physiological
conditions act as active entities to enhance cell survival and promote S phase
entry via activation of both mitogen-activated protein kinase/extracellular
signal-regulated protein kinase (ERK) and phosphatidylinositol 3-kinase/Akt
signaling pathways. However, how they transduce the extracellular signal inside
the cell remains unclear. The present study demonstrates that Gd-containing
particles can alleviate serum-deprivation-induced cell death and promote G1 to S
phase cell cycle progression by enhancing cell adhesion to the extracellular
matrix. As an indicator of adhesion, the vinculin distribution was detected by
confocal laser scanning microscopy. The control cells exhibited fewer and less
typical focal adhesions. After treatment with Gd-containing particles, a large
number of vinculin-containing focal adhesions were maintained. In the presence of
integrin antagonists, the percentage of S phase entry induced by Gd-containing
particles was decreased and the enhancement of cell viability was also
attenuated, along with a decrease in both cyclin D expression and ERK
phosphorylation. In summary, the present results suggest that the integrin
mediated signaling pathway plays an important role in cell survival and G1 to S
phase transition promoted by Gd-containing particles by enhancing focal adhesion
formation. The results presented here provide novel evidence to advance knowledge
leading to further understanding of the mechanisms of both cell proliferation and
cell survival promoted by Gd and may be helpful for developing effective measures
to prevent or treat nephrogenic systemic fibrosis.
PMID- 22086331
TI - Transient MPK6 activation in response to oxygen deprivation and reoxygenation is
mediated by mitochondria and aids seedling survival in Arabidopsis.
AB - Mitogen-activated protein kinases (MPKs) are regulated by diverse stresses with a
reactive oxygen species (ROS) component. Here, we report the rapid and transient
activation of MPK3, MPK4 and MPK6 upon oxygen deprivation as well as
reoxygenation in seedlings of Arabidopsis thaliana. MPK activation peaked within
2 h of oxygen deprivation and again at a higher magnitude within 5 min of
reoxygenation. MPK6 was the predominant kinase regulated by oxygen availability
in both aerial and root tissue, except in mpk6 mutants, which displayed
compensatory activation of MPK3. A universal consequence of oxygen deprivation in
eukaryotes is inhibition of the terminal step of the mitochondrial electron
transport chain (mETC). We demonstrate that treatment of seedlings with the mETC
inhibitors antimycin A and potassium cyanide under normoxia promotes transient
MPK6 and MPK3 activation. Confocal imaging of seedlings provided evidence that
both oxygen deprivation and mETC inhibitors stimulate mitochondria-associated ROS
production. We found that seedling survival of prolonged oxygen deprivation was
improved in transgenics that ectopically overexpress MPK3, MPK4 and MPK6, but the
induction of mRNAs associated with low oxygen acclimation responses were not
markedly altered in MPK6 overexpression lines or mpk6 loss-of-function mutants.
However, distinctions in MPK6 activation potential were correlated with other
differences in mRNAs accumulation. Our findings suggest that oxygen deprivation
and reoxygenation trigger mitochondrial ROS production to activate MPK signaling,
which in turn regulate reversible processes that aid survival of transient oxygen
deprivation.
PMID- 22086332
TI - Genome evolution in diploid and tetraploid Coffea species as revealed by
comparative analysis of orthologous genome segments.
AB - Sequence comparison of orthologous regions enables estimation of the divergence
between genomes, analysis of their evolution and detection of particular features
of the genomes, such as sequence rearrangements and transposable elements.
Despite the economic importance of Coffea species, little genomic information is
currently available. Coffea is a relatively young genus that includes more than
one hundred diploid species and a single tetraploid species. Three Coffea
orthologous regions of 470-900 kb were analyzed and compared: both subgenomes of
allotetraploid Coffea arabica (contributed by the diploid species Coffea
eugenioides and Coffea canephora) and the genome of diploid C. canephora.
Sequence divergence was calculated on global alignments or on coding and non
coding sequences separately. A search for transposable elements detected 43
retrotransposons and 198 transposons in the sequences analyzed. Comparative
insertion analysis made it possible to locate 165 TE insertions in the
phylogenetic tree of the three genomes/subgenomes. In the tetraploid C. arabica,
a homoeologous non-reciprocal transposition (HNRT) was detected and
characterized: a 50 kb region of the C. eugenioides derived subgenome replaced
the C. canephora derived counterpart. Comparative sequence analysis on three
Coffea genomes/subgenomes revealed almost perfect gene synteny, low sequence
divergence and a high number of shared transposable elements. Compared to the
results of similar analysis in other genera (Aegilops/Triticum and Oryza), Coffea
genomes/subgenomes appeared to be dramatically less diverged, which is consistent
with the relatively recent radiation of the Coffea genus. Based on nucleotide
substitution frequency, the HNRT was dated at 10,000-50,000 years BP, which is
also the most recent estimation of the origin of C. arabica.
PMID- 22086333
TI - MYB80, a regulator of tapetal and pollen development, is functionally conserved
in crops.
AB - The Arabidopsis AtMYB80 transcription factor (formerly AtMYB103) regulate genes
essential for tapetal and pollen development. One of these genes, coding for an
aspartic protease (UNDEAD), may control the timing of tapetal programmed cell
death (PCD). In crop plants such as rice and wheat, abiotic stresses lead to
abnormal tapetal development resulting in delayed PCD. Manipulation of AtMYB80
function has been used to develop a reversible male sterility system applicable
to hybrid crop production. MYB80 homologs were cloned from wheat, rice, canola
and cotton. The promoters of the homologs drove temporal and spatial expression
patterns of the GUS reporter gene in the tapetum and microspores of Arabidopsis
anthers identical to the AtMYB80 promoter. A short region is conserved in all
five MYB80 promoters. The MYB80 homolog genes, driven by the AtMYB80 or their
respective promoters, rescued the atmyb80 mutant, completely restoring male
fertility. The canola MYB80 was fused to the EAR (ERF-associated amphiphilic
repression) repressor and canola plants transgenic for the construct exhibited
premature tapetal degradation and subsequent pollen abortion. The five MYB80
homologs all shared a 44 amino acid sequence immediately adjacent to the R2R3
domain which appears to be necessary for MYB80 function.
PMID- 22086335
TI - Tactile stimulation lowers stress in fish.
AB - In humans, physical stimulation, such as massage therapy, reduces stress and has
demonstrable health benefits. Grooming in primates may have similar effects but
it remains unclear whether the positive effects are due to physical contact or to
its social value. Here we show that physical stimulation reduces stress in a
coral reef fish, the surgeonfish Ctenochaetus striatus. These fish regularly
visit cleaner wrasses Labroides dimidiatus to have ectoparasites removed. The
cleanerfish influences client decisions by physically touching the surgeonfish
with its pectoral and pelvic fins, a behaviour known as tactile stimulation. We
simulated this behaviour by exposing surgeonfish to mechanically moving
cleanerfish models. Surgeonfish had significantly lower levels of cortisol when
stimulated by moving models compared with controls with access to stationary
models. Our results show that physical contact alone, without a social aspect, is
enough to produce fitness-enhancing benefits, a situation so far only
demonstrated in humans.
PMID- 22086334
TI - MPP8 mediates the interactions between DNA methyltransferase Dnmt3a and H3K9
methyltransferase GLP/G9a.
AB - DNA CpG methylation and histone H3 lysine 9 (H3K9) methylation are two major
repressive epigenetic modifications, and these methylations are positively
correlated with one another in chromatin. Here we show that G9a or G9a-like
protein (GLP) dimethylate the amino-terminal lysine 44 (K44) of mouse Dnmt3a
(equivalent to K47 of human DNMT3A) in vitro and in cells overexpressing G9a or
GLP. The chromodomain of MPP8 recognizes the dimethylated Dnmt3aK44me2. MPP8 also
interacts with self-methylated GLP in a methylation-dependent manner. The MPP8
chromodomain forms a dimer in solution and in crystals, suggesting that a dimeric
MPP8 molecule could bridge the methylated Dnmt3a and GLP, resulting in a
silencing complex of Dnmt3a-MPP8-GLP/G9a on chromatin templates. Together, these
findings provide a molecular explanation, at least in part, for the co-occurrence
of DNA methylation and H3K9 methylation in chromatin.
PMID- 22086336
TI - Electric field-induced chemical locomotion of conducting objects.
AB - Externally triggered motion of small objects has potential in applications
ranging from micromachines, to drug delivery, and self-assembly of
superstructures. Here we present a new concept for the controlled propulsion of
conducting objects with sizes ranging from centimetres to hundreds of
micrometres. It is based on their polarization, induced by an electric field,
which triggers spatially separated oxidation and reduction reactions involving
asymmetric gas bubble formation. This in turn leads to a directional motion of
the objects. Depending on the implied redox chemistry and the device design, the
speed can be controlled and the motion can be switched from linear to rotational.
This type of chemical locomotion is an alternative to existing approaches based
on other principles.
PMID- 22086337
TI - Pore surface engineering in covalent organic frameworks.
AB - Covalent organic frameworks (COFs) are a class of important porous materials that
allow atomically precise integration of building blocks to achieve pre-designable
pore size and geometry; however, pore surface engineering in COFs remains
challenging. Here we introduce pore surface engineering to COF chemistry, which
allows the controlled functionalization of COF pore walls with organic groups.
This functionalization is made possible by the use of azide-appended building
blocks for the synthesis of COFs with walls to which a designable content of
azide units is anchored. The azide units can then undergo a quantitative click
reaction with alkynes to produce pore surfaces with desired groups and preferred
densities. The diversity of click reactions performed shows that the protocol is
compatible with the development of various specific surfaces in COFs. Therefore,
this methodology constitutes a step in the pore surface engineering of COFs to
realize pre-designed compositions, components and functions.
PMID- 22086338
TI - Functionalized arrays of Raman-enhancing nanoparticles for capture and culture
free analysis of bacteria in human blood.
AB - Detecting bacteria in clinical samples without using time-consuming culture
processes would allow rapid diagnoses. Such a culture-free detection method
requires the capture and analysis of bacteria from a body fluid, which are
usually of complicated composition. Here we show that coating Ag-nanoparticle
arrays with vancomycin (Van) can provide label-free analysis of bacteria via
surface-enhanced Raman spectroscopy (SERS), leading to a ~1,000-fold increase in
bacteria capture, without introducing significant spectral interference. Bacteria
from human blood can be concentrated onto a microscopic Van-coated area while
blood cells are excluded. Furthermore, a Van-coated substrate provides distinctly
different SERS spectra of Van-susceptible and Van-resistant Enterococcus,
indicating its potential use for drug-resistance tests. Our results represent a
critical step towards the creation of SERS-based multifunctional biochips for
rapid culture- and label-free detection and drug-resistant testing of
microorganisms in clinical samples.
PMID- 22086339
TI - Ultrafast direct modulation of a single-mode photonic crystal nanocavity light
emitting diode.
AB - Low-power and electrically controlled optical sources are vital for next
generation optical interconnect systems to meet strict energy demands. Current
optical transmitters consisting of high-threshold lasers plus external modulators
consume far too much power to be competitive with future electrical
interconnects. Here we demonstrate a directly modulated photonic crystal
nanocavity light-emitting diode (LED) with 10 GHz modulation speed and less than
1 fJ per bit energy of operation, which is orders of magnitude lower than
previous solutions. The device is electrically controlled and operates at room
temperature, while the high modulation speed results from the fast relaxation of
the quantum dots used as the active material. By virtue of possessing a small
mode volume, our LED is intrinsically single mode and, therefore, useful for
communicating information over a single narrowband channel. The demonstrated
device is a major step forward in providing practical low-power and integrable
sources for on-chip photonics.
PMID- 22086340
TI - Self-assembly of DNA nanotubes with controllable diameters.
AB - The synthesis of DNA nanotubes is an important area in nanobiotechnology.
Different methods to assemble DNA nanotubes have been reported, and control over
the width of the nanotubes has been achieved by programmed subunits of DNA tiles.
Here we report the self-assembly of DNA nanotubes with controllable diameters.
The DNA nanotubes are formed by the self-organization of single-stranded DNAs,
exhibiting appropriate complementarities that yield hexagon (small or large) and
tetragon geometries. In the presence of rolling circle amplification strands,
that exhibit partial complementarities to the edges of the hexagon- or tetragon
building units, non-bundled DNA nanotubes of controlled diameters can be formed.
The formation of the DNA tubes, and the control over the diameters of the
generated nanotubes, are attributed to the thermodynamically favoured
unidirectional growth of the sheets of the respective subunits, followed
subjected to the folding of sheets by elastic-energy penalties that are
compensated by favoured binding energies.
PMID- 22086341
TI - Selective dispersion of high purity semiconducting single-walled carbon nanotubes
with regioregular poly(3-alkylthiophene)s.
AB - Conjugated polymers, such as polyfluorene and poly(phenylene vinylene), have been
used to selectively disperse semiconducting single-walled carbon nanotubes (sc
SWNTs), but these polymers have limited applications in transistors and solar
cells. Regioregular poly(3-alkylthiophene)s (rr-P3ATs) are the most widely used
materials for organic electronics and have been observed to wrap around SWNTs.
However, no sorting of sc-SWNTs has been achieved before. Here we report the
application of rr-P3ATs to sort sc-SWNTs. Through rational selection of polymers,
solvent and temperature, we achieved highly selective dispersion of sc-SWNTs. Our
approach enables direct film preparation after a simple centrifugation step.
Using the sorted sc-SWNTs, we fabricate high-performance SWNT network transistors
with observed charge-carrier mobility as high as 12 cm(2) V(-1) s(-1) and on/off
ratio of >10(6). Our method offers a facile and a scalable route for separating
sc-SWNTs and fabrication of electronic devices.
PMID- 22086342
TI - A gustatory receptor involved in host plant recognition for oviposition of a
swallowtail butterfly.
AB - Swallowtail butterflies belonging to the family of Papilionidae selectively
utilize a limited number of plants from a single or a few families. Female
butterflies lay eggs on their host only when they detect specific chemicals
through their foreleg chemosensilla while drumming on the leaf surface. Here we
show that the butterfly, Papilio xuthus, uses a gustatory receptor specific for
synephrine to select its host in oviposition behaviour. We identify a gustatory
receptor gene involved in the recognition of an oviposition stimulant,
synephrine, from the P. xuthus by a combination of in silico, in vitro and in
vivo approaches. The receptor, PxutGr1, responds specifically to synephrine in
Sf9 cells. The sensitivity of tarsal taste sensilla to synephrine and the
oviposition behaviour in response to synephrine are strongly reduced after
injecting double-stranded RNA of PxutGr1 into pupae. These observations indicate
that the receptor PxutGr1 represents a key factor in host specialization in P.
xuthus.
PMID- 22086343
TI - Wide-band quantum interface for visible-to-telecommunication wavelength
conversion.
AB - Although near-infrared photons in telecommunication bands are required for long
distance quantum communication, various quantum information tasks have been
performed by using visible photons for the past two decades. Recently, such
visible photons from diverse media including atomic quantum memories have also
been studied. Optical frequency down-conversion from visible to telecommunication
bands while keeping the quantum states is thus required for bridging such
wavelength gaps. Here we report demonstration of a quantum interface of frequency
down-conversion from visible to telecommunication bands by using a nonlinear
crystal, which has a potential to work over wide bandwidths, leading to a high
speed interface of frequency conversion. We achieved the conversion of a
picosecond visible photon at 780 nm to a 1,522-nm photon, and observed that the
conversion process retained entanglement between the down-converted photon and
another photon.
PMID- 22086344
TI - Initiation of statin therapy: are there age limits?
AB - Cardiovascular disease (CVD) is the leading cause of death, with a higher
prevalence in older adults who form an increasing proportion of the population of
the United States. Statin treatment reduces cardiovascular morbidity and
mortality in middle-aged adults. However, few studies have included older
individuals, particularly ages 80 years or older. We review recent publications
regarding primary and secondary prevention of CVD with statin therapy in older
adults. Risk scores and their limitations in this population are discussed. The
association of adverse effects with intensive doses of statin and their
interactions with other drugs may be more problematic in older adults. Statin
therapy appears cost-effective for individuals with higher CVD risk but this is
dependent on the assumptions used. Evidence remains limited regarding the overall
benefit of starting statin therapy in adults ages 80 years and older; thus,
clinical judgment remains necessary in making this decision.
PMID- 22086346
TI - Cost-effectiveness analysis of polymyxin-B immobilized fiber column and
conventional medical therapy in the management of abdominal septic shock in
Italy.
AB - INTRODUCTION: Severe abdominal sepsis and septic shock are common problems in
intensive care units (ICUs), and carry high mortality. The purpose of this
economic analysis was to determine the cost-effectiveness of polymyxin B
immobilized fiber column (PMX-F) plus conventional therapy (CT) (PMX-F-CT) versus
CT alone for patients with severe sepsis/septic shock of abdominal origin, in the
perspective of the Italian hospital. METHODS: This was a retrospective cost
effectiveness analysis (CEA) based on data of clinical efficacy and consumption
of resources collected alongside an Italian randomized clinical trial. 64
patients were enrolled following emergency surgery for intra-abdominal infection
in 10 tertiary care ICUs from December 2004 to December 2007. Direct medical
costs analyzed in the study included the consumption of hospital days, ICU days,
catecholamine treatment days, renal replacement therapy days, mechanical
ventilation treatment days, and the use of the PMX-F device. Resources were
valued using published 2010 tariffs and market values. All-cause hospital
mortality was extrapolated to survival as expected life years (LY) per
patient/arm: for each survivor, average age-gender-related years of life
expectancy were retrieved from national life tables; for deceased patients, only
the number of CRF reported survival days was retained. Baseline expected years of
survival were weighed by the severity of sepsis, according to individual Acute
Physiology and Chronic Health Evaluation (Apache) II scores, showing that
age/disease severity were comparable in the two groups before treatment
initiation. Life expectancy per patient in each treatment group was thus
calculated as the combination of life expectancy from Italian National Statistics
Institute life tables and intra-hospital mortality detected in the Early Use of
Polymyxin B Hemoperfusion in Abdominal Septic Shock (EUPHAS) study. After all
costs and 3% discounted survival years were calculated per patient per treatment
arm, the incremental CEA was run to obtain the incremental cost-effectiveness
ratio (ICER). Univariate sensitivity analyses and 2,000 bootstrap replications
were run to test the robustness of the study results. RESULTS: Based on the
expected survival years (mean discounted PMX-F-CT 9.37 LY/patient, CT 4.92
LY/patient; difference for PMX-F-CT 4.45 LY/patient; mean undiscounted PMX-F-CT
13.92 LY/patient, CT 7.19 LY/patient; difference +6.73 LY/patient), and the
expected mean cost (PMX-F-CT mean 59,922 EUR/patient, CT mean 42,712 EUR/patient;
difference for PMX-F-CT 17,211 EUR/patient), the mean ICER for PMX- F-CT resulted
in 3,864 EUR/life year gained (LYG; ICER 2,558/undiscounted LYG). Results of the
base-case CEA were confirmed by all sensitivity analyses, with ICER values always
well below commonly accepted value thresholds. CONCLUSION: PMX-F-CT versus CT is
a cost-effective intervention for treatment of severe sepsis/septic shock of
abdominal origin and could be considered for use in the Italian National Health
System hospital setting.
PMID- 22086345
TI - Understanding renal posttransplantation anemia in the pediatric population.
AB - Advances in renal transplantation management have proven to be beneficial in
improving graft and patient survival. One of the properties of a well-functioning
renal allograft is the secretion of adequate amounts of the hormone
erythropoietin to stimulate erythropoiesis. Posttransplantation anemia (PTA) may
occur at any point in time following transplantation, and the cause is
multifactoral. Much of our understanding of PTA is based on studies of adult
transplant recipients. The limited number of studies that have been reported on
pediatric renal transplant patients appear to indicate that PTA is prevalent in
this patient population. Erythropoietin deficiency or resistance is commonly
associated with iron deficiency. An understanding of the risk factors,
pathophysiology and management of PTA in the pediatric renal transplant
population may provide guidelines for clinicians and researchers in the pursuit
of larger prospective randomized control studies aimed at improving our limited
knowledge of PTA. Recognition of PTA through regular screening and evaluation of
the multiple factors that may contribute to its development are recommended after
transplantation.
PMID- 22086347
TI - Enhancement of beta-carotene production by over-expression of HMG-CoA reductase
coupled with addition of ergosterol biosynthesis inhibitors in recombinant
Saccharomyces cerevisiae.
AB - In this study, the synergistic effect of overexpressing the 3-hydroxy-3
methylglutaryl coenzyme A (HMG-CoA) reductase gene and adding ergosterol
synthesis inhibitor, ketoconazole, on beta-carotene production in the recombinant
Saccharomyces cerevisiae was investigated. The results showed that the over
expression of HMG-CoA reductase gene and adding 100 mg/l ketoconazole alone can
result in 135.1 and 15.6% increment of beta-carotene concentration compared with
that of the control (2.05 mg/g dry weight of cells), respectively. However, the
combination of overexpressing HMG-CoA reductase gene and adding ketoconazole can
achieve a 206.8% increment of pigment content (6.29 mg/g dry weight of cells)
compared with that of the control. Due to the fact that over-expression of the
HMG-CoA reductase gene can simultaneously improve the flux of the sterol and
carotenoid biosynthetic pathway, it can be concluded that under the circumstances
of blocking sterol biosynthesis, increasing the activity of HMG-CoA reductase can
result in more precursors FPP fluxing into carotenoid branch and obtain a high
increment of beta-carotene production. The results of this study collectively
suggest that the combination of overexpressing HMG-CoA reductase gene and
supplying ergosterol synthesis inhibitor is an effective strategy to improve the
production of desirable isoprenoid compounds such as carotenoids.
PMID- 22086349
TI - Resorcin[4]arene-derived mono-, bis- and tetra-imidazolium salts as ligand
precursors for Suzuki-Miyaura cross-coupling.
AB - Eleven resorcinarene cavitands bearing either one, two or four (3-R-1
imidazolylium)-methyl substituents (R = (n)Bu, Ph, Mes, (i)Pr(2)C(6)H(3))
anchored at resorcinolic "ortho" positions have been synthesised from the
appropriate bromomethylated precursor. Combining the imidazolium salts with
palladium acetate and Cs(2)CO(3) gave active Suzuki-Miyaura cross coupling
catalysts. The highest activities were observed with the doubly functionalised
cavitands, which all have the imidazolylium groups attached to proximal
resorcinol units.
PMID- 22086350
TI - Promoter methylation of the bone morphogenetic protein 6 gene in multiple
myeloma.
AB - Bone morphogenetic proteins (BMPs), which belong to the transforming growth
factor-beta superfamily, are multifunctional signaling molecules that have become
of increasing interest in cancer research. Recent observations suggest that
alterations in BMPs and BMP signaling are associated with tumorigenesis and
disease progression in various types of malignancies. This study investigated the
methylation status of the BMP6 gene promoter in various types of plasma cell
proliferative disorders by combined bisulfite restriction analysis. While BMP6
methylation was not detected in any samples from monoclonal gammopathies of
undetermined significance, intramedullary multiple myeloma (MM), plasma cell
leukemia or solitary plasmacytoma, both case studies and cell line studies showed
that multiple extramedullary plasmacytoma (MEP) consistently carried a methylated
BMP6 promoter. The BMP6 methylation-positive MEP was an aggressive form of MM
with extremely high levels of serum lactate dehydrogenase (LDH). Bisulfite
sequencing analysis confirmed intensive methylation at CpG sites of the BMP6
promoter region. The methylation of BMP6 was correlated with decreased levels of
mRNA transcripts. Expression of BMP6 was restored by the demethylating agent 5
aza-2'-deoxycytidine, suggesting that the methylation is associated with
transcriptional silencing. Our study implied that BMP6 promoter methylation is
not a common event in MMs, but occurs in aggressive MEP. These findings warrant
further investigation to clarify whether BMP6 methylation together with elevated
LDH could be a marker of poor prognosis in MEP patients who should be considered
for early intensive treatment.
PMID- 22086352
TI - Grounding compositional symbols: no composition without discrimination.
AB - The classical computational conception of meaning has been challenged by the idea
that symbols must be grounded on sensorimotor processes. A difficult question
arises from the fact that grounding representations cannot be symbolic themselves
but, in order to support compositionality, should work as primitives. This
implies that they should be precisely identifiable and strictly connected with
discriminable perceptual features. Ideally, each representation should correspond
to a single discriminable feature. The present study was aimed at exploring
whether feature discrimination is a fundamental requisite for grounding
compositional symbols. We studied this problem by using Integral stimuli,
composed of two interacting and not separable features. Such stimuli were
selected in Experiment 1 as pictures whose component features are easily or
barely discriminable (Separable or Integral) on the basis of psychological
distance metrics (City-block or Euclidean) computed from similarity judgments. In
Experiment 2, either each feature was associated with one word of a two-word
expression, or the whole stimulus with a single word. In Experiment 3, the
procedure was reversed and words or expressions were associated with whole
pictures or separate features. Results support the hypothesis that single words
are best grounded by Integral stimuli and composite expressions by Separable
stimuli, where a strict association of single words with discriminated features
is possible.
PMID- 22086351
TI - Cognitive architecture of perceptual organization: from neurons to gnosons.
AB - What, if anything, is cognitive architecture and how is it implemented in neural
architecture? Focusing on perceptual organization, this question is addressed by
way of a pluralist approach which, supported by metatheoretical considerations,
combines complementary insights from representational, connectionist, and dynamic
systems approaches to cognition. This pluralist approach starts from a
representationally inspired model which implements the intertwined but
functionally distinguishable subprocesses of feedforward feature encoding,
horizontal feature binding, and recurrent feature selection. As sustained by a
review of neuroscientific evidence, these are the subprocesses that are believed
to take place in the visual hierarchy in the brain. Furthermore, the model
employs a special form of processing, called transparallel processing, whose
neural signature is proposed to be gamma-band synchronization in transient
horizontal neural assemblies. In neuroscience, such assemblies are believed to
mediate binding of similar features. Their formal counterparts in the model are
special input-dependent distributed representations, called hyperstrings, which
allow many similar features to be processed in a transparallel fashion, that is,
simultaneously as if only one feature were concerned. This form of processing
does justice to both the high combinatorial capacity and the high speed of the
perceptual organization process. A naturally following proposal is that those
temporarily synchronized neural assemblies are "gnosons", that is, constituents
of flexible self-organizing cognitive architecture in between the relatively
rigid level of neurons and the still elusive level of consciousness.
PMID- 22086353
TI - Caloric restriction modifies both innate and adaptive immunity in the mouse small
intestine.
AB - Although caloric restriction (CR) apparently has beneficial effects on the immune
system, its effects on the immunological function of the intestinal mucosa are
little known. The present study explored the effect of CR on the innate and
adaptive intestinal immunity of mice. Balb/c mice were either fed ad libitum
(control) or on alternate days fed ad libitum and fasted (caloric restriction).
After 4 months, an evaluation was made of IgA levels in the ileum, the gene
expression for IgA and its receptor (pIgR), as well as the expression of two
antimicrobial enzymes (lysozyme and phospholipase A2) and several cytokines of
the intestinal mucosa. CR increased the gene expression of lysozyme and
phospholipase A2. The levels of IgA were diminished in the ileum, which
apparently was a consequence of the reduced transport of IgA by pIgR. In ileum,
CR increased the gene expression for most cytokines, both pro- and anti
inflammatory. Hence, CR differentially modified the expression of innate and
adaptive immunity mediators in the intestine.
PMID- 22086354
TI - Construction of an extended library of adult male 3D models: rationale and
results.
AB - In order to best cover the possible extent of heights and weights of male adults
the construction of 25 whole body 3D models has been undertaken. Such a library
is thought to be useful to specify the uncertainties and relevance of dosimetry
calculations carried out with models representing individuals of average body
heights and weights. Representative 3D models of Caucasian body types are
selected in a commercial database according to their height and weight, and 3D
models of the skeleton and internal organs are designed using another commercial
dataset. A review of the literature enabled one to fix volume or mass target
values for the skeleton, soft organs, skin and fat content of the selected
individuals. The composition of the remainder tissue is fixed so that the weight
of the voxel models equals the weight of the selected individuals. After mesh and
NURBS modelling, volume adjustment of the selected body shapes and additional
voxel-based work, 25 voxel models with 109 identified organs or tissue are
obtained. Radiation transport calculations are carried out with some of the
developed models to illustrate potential uses. The following points are discussed
throughout this paper: justification of the fixed or obtained models' features
regarding available and relevant literature data; workflow and strategy for major
modelling steps; advantages and drawbacks of the obtained library as compared
with other works. The construction hypotheses are explained and justified in
detail since future calculation results obtained with this library will depend on
them.
PMID- 22086355
TI - A novel structural form of MIL-53 observed for the scandium analogue and its
response to temperature variation and CO2 adsorption.
AB - The scandium analogue of the flexible terephthalate MIL-53 yields a novel closed
pore structure upon removal of guest molecules which has unusual thermal
behaviour and stepwise opening during CO(2) adsorption. By contrast, the nitro
functionalised MIL-53(Sc) cannot fully close and the structure possesses
permanent porosity for CO(2).
PMID- 22086356
TI - Gut pH as a limiting factor for digestive proteolysis in cultured juveniles of
the gilthead sea bream (Sparus aurata).
AB - After the development of the gastric function in juvenile fish, dietary proteins
enter a two-phase digestive process comprising an acidic gastric phase followed
by an alkaline intestinal phase. However, the main gastric protease, pepsin, is
strictly dependent on the existence of a low-enough environmental pH. In 20-g
gilthead sea bream, Sparus aurata, the mean minimal gastric pH is close to 4.5,
while the mean pH in the duodenal portion of the intestine was nearly fixed at
6.5. The mean maximal gastric content of HCl was approximately 20 microEq for a
low-buffering diet. Gastric proteases were more severely affected than intestinal
proteases when assayed at actual sub-optimal pH values, 4.5 and 6.5,
respectively. When the gastric proteases of juvenile fish were pre-incubated with
a citric acid buffer at pH 6.0, the activity at pH 4.5 was very low, whereas when
they were pre-incubated with the same buffer at pH 3.0, the activity at pH 4.5
was significantly increased; this fact suggests a deficient activation of
zymogens during the gastric digestion and points to a potential approach to
improve protein digestion in juvenile gilthead sea bream.
PMID- 22086357
TI - A comparison of approaches to control for confounding factors by regression
models.
AB - A common technique to control for confounding factors in practice is by
regression adjustment. There are various versions of regression modeling in the
literature, and in this paper we considered four approaches often seen in genetic
association studies. We carried out both analytical and simulation studies
comparing the bias of effect size estimates and examining the test sizes under
the null hypothesis of no association between an outcome and an exposure.
Further, we compared the methods in a nonsynonymous genome-wide scan for plasma
lipoprotein(a) levels using a dataset from the Dallas Heart Study. We found that
a widely employed approach that models the covariate-adjusted outcome and the
exposure leads to an infranominal test size and underestimation of the exposure
effect size. In conclusion, we recommend either using multiple regression models
or modeling the covariate-adjusted outcome and the covariate-adjusted exposure to
control for confounding factors.
PMID- 22086358
TI - Central angiotensin I increases swallowing activity and oxytocin release in the
near-term ovine fetus.
AB - The brain renin-angiotensin system (RAS) plays an important role in hydromineral
and neuroendocrine balance. Although previous studies showed that exogenous
angiotensin (Ang) II increased dipsogenic and vasopressin responses in near-term
fetuses, little is known about the functional development of fetal endogenous
brain RAS in the regulation of body fluid homeostasis. To determine the
functional development of the central angiotensin-converting enzyme (ACE) in
utero, we investigated the electrocortical (ECoG) activity, swallowing activity,
oxytocin (OT) release, and c-fos expression in response to
intracerebroventricular Ang I administration in the near-term fetal lamb. Ang I
did not change fetal low-voltage (LV) and high-voltage (HV) ECoG temporal
distributions, but increased fetal swallowing activity during LV ECoG (1.0+/-0.1
to 3.5+/-0.4 swallows/min). Additionally, Ang I evoked an increase in c-fos
immunoreactivity in putative dipsogenic centers, including the supraoptic and
paraventricular nuclei of the hypothalamus, accompanied by an increase in fetal
plasma OT levels. The expression of c-fos was demonstrated in OT neurons in the
hypothalamus. The Ang I-mediated increase in fetal swallowing and plasma OT was
inhibited by captopril. These results demonstrate the functional development of
the fetal brain ACE system in the last trimester of gestation, which plays an
important role in the RAS-mediated dipsogenic response and OT release in the
regulation of body fluid homeostasis.
PMID- 22086359
TI - CREB involvement in the regulation of striatal prodynorphin by nicotine.
AB - RATIONALE: The transcription factor cAMP response element binding (CREB) protein
plays a pivotal role in drug-dependent neuronal plasticity. CREB phosphorylation
at Ser133 is enhanced by drugs of abuse, including nicotine. Dynorphin (Dyn)
contributes to the addictive process and its precursor gene prodynorphin (PD) is
regulated by CREB. PD mRNA and Dyn synthesis were enhanced in the striatum
following acute nicotine, suggesting genomic regulation. OBJECTIVE: These studies
investigated PD transcription in mice acutely treated with nicotine, determined
the role of CREB, and characterized the receptors involved. RESULTS: Acute
nicotine increased adenylyl cyclase activity, cAMP, and pCREB Ser133 levels in
striatum and enhanced CREB binding to CRE elements (DynCREs) of the PD promoter,
preferentially DynCRE3. DynCRE3 binding was dose dependent with 1 mg of nicotine
giving a maximal response. Additionally, DynCRE binding was time dependent,
rising by 15 min, reaching a maximum at 1 h, and returning to control by 3 h, a
temporal pattern similar to that of cAMP and pCREB. Supershift experiments showed
that CREB and pCREB Ser133 were the major contributors to DynCRE3 binding
complex. The nAChR antagonist mecamylamine and the dopamine D1-like receptor
antagonist SCH 23390 prevented the nicotine-induced increase of pCREB and nuclear
protein binding to DynCRE3. CONCLUSIONS: Our findings suggest that nicotine
regulates PD expression in striatum at the transcriptional level and CREB is
involved. Dopamine D1 receptor stimulation by nAChR-released dopamine appears to
be an underlying mechanism. Altered Dyn synthesis might be relevant for the
behavioral actions of nicotine and especially its aversive properties.
PMID- 22086360
TI - Methylphenidate treatment in the spontaneously hypertensive rat: influence on
methylphenidate self-administration and reinstatement in comparison with Wistar
rats.
AB - RATIONALE: Methylphenidate is a psychostimulant given for extended periods of
time as a treatment of attention-deficit/hyperactivity disorder (ADHD). The long
term effects of the drug are not yet known, and it is speculated that repeated
exposure may produce drug dependence. OBJECTIVE: To investigate the effects of
repeated methylphenidate treatment on methylphenidate self-administration and
reinstatement in the most validated animal model of ADHD, the spontaneously
hypertensive rat (SHR), and Wistar rat, strain representing the "normal"
heterogeneous population. METHODS: Rats were administered intraperitoneally with
saline or methylphenidate (2 mg/kg) for 14 days, prior to experiments.
Thereafter, responses for intravenous methylphenidate under the fixed ratio (FR1
and FR3) and progressive ratio (PR) schedules were assessed. Extinction
experiments followed, as well as tests to determine the ability of
intraperitoneal administration of methylphenidate (2 and 5 mg/kg) to reinstate
extinguished drug-seeking behaviors in rats. RESULTS: Previous exposure to
methylphenidate enhanced methylphenidate self-administration in Wistar rats but
not in SHR (FR3). Methylphenidate pretreatment reduced responding for
methylphenidate in SHR but did not affect self-administration behaviors of Wistar
rats (PR). Methylphenidate pre-exposure robustly reinstated drug-seeking
behaviors in Wistar rats, but not in SHR. CONCLUSION: The contrasting effects of
repeated methylphenidate treatment in methylphenidate self-administration and
reinstatement in Wistar and SHR, and the increased susceptibility of the Wistar
rat strain to the reinforcing effects of methylphenidate indicate that "normal"
individuals are more likely to develop psychological dependence to the drug and
experience relapse. Meanwhile, the clinical use of methylphenidate may not
produce drug dependence or relapse in ADHD patients.
PMID- 22086362
TI - The quality of work life of people with severe mental disorders working in social
enterprises: a qualitative study.
AB - PURPOSE: The aim of this phenomenological study is to provide an in-depth
understanding of the meaning that people with severe mental disorders give about
their quality of work life (QWL) while working in social enterprises. METHODS:
Semi-structured interviews with 14 participants were conducted. All interviews
were recorded and transcribed verbatim. Colaizzi's framework for data analysis
was used. RESULTS: Eight sub-themes emerged and can be better understood within
two larger themes: (A) interpersonal and intrapersonal aspects of QWL and (B)
structural and physical aspects. Within theme A, sub-themes were (1) having a
sense of belonging to the enterprise, (2) having the feeling of being a good
worker, (3) establishing relationships with co-workers, and (4) establishing
relationships with supervisors. Within theme B, sub-themes were (5) working
tasks, (6) working conditions, (7) working environment, and (8) organizational
management. CONCLUSIONS: The findings highlight the importance of understanding
the perspective of people with severe mental disorders regarding their QWL in
order to better tailor interventions that enhance it. Although some sub-themes of
QWL identified in the present study were similar to those found in previous
studies conducted with other populations, a unique sub-theme of QWL was
identified: having the feeling of being a good worker. Specific QWL measurement
that addresses this unique sub-theme is needed when assessing QWL of people with
severe mental disorders.
PMID- 22086363
TI - Management of hyperglycemia during the perioperative period.
AB - Hyperglycemia is frequently encountered in the inpatient setting and is
distinctly associated with poor clinical outcomes. Recent literature suggests an
association between stringent glycemic control and increased mortality, thus
keeping optimal glycemic targets a relevant subject of debate. In the surgical
population, hyperglycemia with or without diabetes mellitus may be unrecognized.
Factors contributing to hyperglycemia in the hospital include critical illness,
use of certain drugs, use of enteral or parenteral nutrition, and variability in
oral or nutritional intake as can occur when patients are prepared for procedures
or surgery. A sensible approach to managing hyperglycemia in this population
includes preoperative recognition of diabetes mellitus and risks for inpatient
hyperglycemia. Judicious control of glycemia during the pre-, intra-, and
postoperative time periods with avoidance of hypoglycemia mandates the need for a
strategy for patient management that extend to time of discharge. We review the
consequences of uncontrolled perioperative hyperglycemia, discuss current
clinical guidelines and recent controversies, and provide practical tools for
glycemic control in the surgical population.
PMID- 22086361
TI - The association of tooth loss with all-cause and circulatory mortality. Is there
a benefit of replaced teeth? A systematic review and meta-analysis.
AB - We systematically reviewed whether the number of teeth is related to all-cause or
circulatory mortality and whether replaced teeth are protective against all-cause
or circulatory mortality. The search was based on the PubMed database. All cohort
studies published in peer-reviewed journals were selected. Studies on periodontal
disease and mortality were excluded if they did not provide information on the
number of teeth. Risk estimates from studies with appropriate exposure
definition, confounder adjustment and sample size were included in a meta
analysis. Three high-quality studies found a relationship between the number of
teeth and circulatory mortality, whereas a moderate study did not. Two out of
four moderate- to high-quality studies reported a relationship between the number
of teeth and all-cause mortality. No study has investigated whether replaced
teeth are protective against mortality. Therefore, denture use was taken as
proxy. The methodological quality of studies on denture use and mortality was
generally low to moderate. The findings of two moderate studies indicated an
effect of prosthodontic replacements on all-cause mortality, which was supported
in bias analysis. It is open whether competing risks of cause-specific death
other than circulatory mortality reduce an effect of the number of teeth on all
cause mortality. An effect of denture use on circulatory mortality remains to be
established, as well as whether the number of replaced teeth affects mortality.
Specifying the role of potential pathways by which tooth loss-related mortality
is mediated will possibly increase the value of dental treatment for general
health.
PMID- 22086364
TI - Oral ingestion of Lentinula edodes mycelia extract can restore the antitumor T
cell response of mice inoculated with colon-26 cells into the subserosal space of
the cecum.
AB - We previously reported that oral ingestion of Lentinula edodes mycelia (L.E.M.)
extract can inhibit the growth of a subcutaneously established melanoma in a T
cell-dependent manner via mitigation of regulatory T cell (Treg)-mediated
immunosuppression. In this study, we tested the antitumor effect and mechanism of
oral ingestion of L.E.M. extract following inoculation of murine colon carcinoma
colon-26 (C26) cells into the subserosal space of the cecum (i.c.) of syngeneic
mice. In this model, the primary site of the immune response was gut-associated
lymphoid tissue (GALT), which is known to be an immunological tolerance-inducing
site for numerous dietary antigens. Oral ingestion of the L.E.M. extract
suppressed the growth of i.c.-inoculated C26 cells in a T cell-dependent manner
and restored the T cell response of the mesenteric lymph nodes and the spleen,
not only to a tumor antigen-derived peptide, presented on H-2Ld molecules, but
also to C26 cells. I.c. inoculation of C26 cells increased the potential of CD4+
T cells of the mesenteric lymph nodes to produce transforming growth factor (TGF)
beta, but ingestion of the L.E.M. extract decreased the ability of both CD4+ and
CD8+ T cells in the mesenteric lymph nodes to produce this immunosuppressive
cytokine. Although ingestion of L.E.M. showed only a marginal effect on Tregs in
this model, this treatment significantly reduced the plasma levels of TGF-beta
and IL-6, both of which were increased in the i.c. C26-inoculated mice. In
summary, our results indicate that oral ingestion of L.E.M. extract can restore
antitumor T cell responses of mice even when the primary antitumor immune
response is elicited in GALT, and provide important implications for anticancer
immunotherapy of human colon cancer.
PMID- 22086365
TI - Immunoadsorption therapy for steroid-unresponsive relapses in patients with
multiple sclerosis.
AB - BACKGROUND: Therapeutic plasma exchange (TPE) in steroid-unresponsive relapses of
patients with multiple sclerosis (MS) is an established therapy with response
rates of up to 70%. Immunoadsorption (IA) specifically removes immunoglobulins
from the patient's plasma. It is hypothesized that IA therapy might be better
tolerated than and as effective as TPE in the treatment of MS relapses.
Experiences with IA therapy of steroid-unresponsive MS relapses are limited.
METHODS: We report our experiences with IA therapy in a series of 10 patients
with steroid-unresponsive MS relapses. RESULTS: A marked to moderate clinical
response with clear gain of function was observed in 66% of our patients. IA
therapy was well tolerated. CONCLUSIONS: IA therapy is an effective and well
tolerated therapeutic option for steroid-unresponsive MS relapses.
PMID- 22086366
TI - Reversing invasion in bistable systems.
AB - In this paper, we discuss a class of bistable reaction-diffusion systems used to
model the competitive interaction of two species. The interactions are assumed to
be of classic "Lotka-Volterra" type and we will consider a particular problem
with relevance to applications in population dynamics: essentially, we study
under what conditions the interplay of relative motility (diffusion) and
competitive strength can cause waves of invasion to be halted and reversed. By
establishing rigorous results concerning related degenerate and near-degenerate
systems, we build a picture of the dependence of the wave speed on system
parameters. Our results lead us to conjecture that this class of competition
model has three "zones of response". In the central zone, varying the motility
can slow, halt and reverse invasion. However, in the two outer zones, the
direction of invasion is independent of the relative motility and is entirely
determined by the relative competitive strengths. Furthermore, we conjecture that
for a large class of competition models of the type studied here, the wave speed
is an increasing function of the relative motility.
PMID- 22086367
TI - A method to derive vegetation distribution maps for pollen dispersion models
using birch as an example.
AB - Detailed knowledge of the spatial distribution of sources is a crucial
prerequisite for the application of pollen dispersion models such as, for
example, COSMO-ART (COnsortium for Small-scale MOdeling-Aerosols and Reactive
Trace gases). However, this input is not available for the allergy-relevant
species such as hazel, alder, birch, grass or ragweed. Hence, plant distribution
datasets need to be derived from suitable sources. We present an approach to
produce such a dataset from existing sources using birch as an example. The basic
idea is to construct a birch dataset using a region with good data coverage for
calibration and then to extrapolate this relationship to a larger area by using
land use classes. We use the Swiss forest inventory (1 km resolution) in
combination with a 74-category land use dataset that covers the non-forested
areas of Switzerland as well (resolution 100 m). Then we assign birch density
categories of 0%, 0.1%, 0.5% and 2.5% to each of the 74 land use categories. The
combination of this derived dataset with the birch distribution from the forest
inventory yields a fairly accurate birch distribution encompassing entire
Switzerland. The land use categories of the Global Land Cover 2000 (GLC2000;
Global Land Cover 2000 database, 2003, European Commission, Joint Research
Centre; resolution 1 km) are then calibrated with the Swiss dataset in order to
derive a Europe-wide birch distribution dataset and aggregated onto the 7 km
COSMO-ART grid. This procedure thus assumes that a certain GLC2000 land use
category has the same birch density wherever it may occur in Europe. In order to
reduce the strict application of this crucial assumption, the birch density
distribution as obtained from the previous steps is weighted using the mean
Seasonal Pollen Index (SPI; yearly sums of daily pollen concentrations). For
future improvement, region-specific birch densities for the GLC2000 categories
could be integrated into the mapping procedure.
PMID- 22086368
TI - Development: autophagy eliminates paternal mitochondria.
PMID- 22086370
TI - Cell cycle: E2F1 ensures the endocycle.
PMID- 22086372
TI - Photocurrent enhancement tuned with plasmonic resonance in self-assembled
monolayers fabricated on regularly arrayed gold nanostructures.
AB - We investigated the enhancement properties of the photocurrent generation from
self-assembled monolayers of porphyrin fabricated on periodic structures of gold
half-shells. Tuning the surface plasmon frequency of the nanostructures led to
correlated wavelength dependences of the external quantum efficiencies of the
photocurrents, as well as fluorescence intensities resulting from effective
electronic excitation of porphyrin molecules.
PMID- 22086371
TI - Tail-anchored membrane protein insertion into the endoplasmic reticulum.
AB - Membrane proteins are inserted into the endoplasmic reticulum (ER) by two highly
conserved parallel pathways. The well-studied co-translational pathway uses
signal recognition particle (SRP) and its receptor for targeting and the SEC61
translocon for membrane integration. A recently discovered post-translational
pathway uses an entirely different set of factors involving transmembrane domain
(TMD)-selective cytosolic chaperones and an accompanying receptor at the ER.
Elucidation of the structural and mechanistic basis of this post-translational
membrane protein insertion pathway highlights general principles shared between
the two pathways and key distinctions unique to each.
PMID- 22086369
TI - Post-translational regulation of the microtubule cytoskeleton: mechanisms and
functions.
AB - Half a century of biochemical and biophysical experiments has provided attractive
models that may explain the diverse functions of microtubules within cells and
organisms. However, the notion of functionally distinct microtubule types has not
been explored with similar intensity, mostly because mechanisms for generating
divergent microtubule species were not yet known. Cells generate distinct
microtubule subtypes through expression of different tubulin isotypes and through
post-translational modifications, such as detyrosination and further cleavage to
Delta2-tubulin, acetylation, polyglutamylation and polyglycylation. The recent
discovery of enzymes responsible for many tubulin post-translational
modifications has enabled functional studies demonstrating that these post
translational modifications may regulate microtubule functions through an amazing
range of mechanisms.
PMID- 22086373
TI - Exploring the role of miRNAs in renal cell carcinoma progression and metastasis
through bioinformatic and experimental analyses.
AB - Metastasis results in most of the cancer deaths in clear cell renal cell
carcinoma (ccRCC). MicroRNAs (miRNAs) regulate many important cell functions and
play important roles in tumor development, metastasis and progression. In our
previous study, we identified a miRNA signature for metastatic RCC. In this
study, we validated the top differentially expressed miRNAs on matched primary
and metastatic ccRCC pairs by quantitative polymerase chain reaction. We
performed bioinformatics analyses including target prediction and combinatorial
analysis of previously reported miRNAs involved in tumour progression and
metastasis. We also examined the co-expression of the miRNAs clusters and
compared expression of intronic miRNAs and their host genes. We observed
significant dysregulation between primary and metastatic tumours from the same
patient. This indicates that, at least in part, the metastatic signature develops
gradually during tumour progression. We identified metastasis-dysregulated miRNAs
that can target a number of genes previously found to be involved in metastasis
of kidney cancer as well as other malignancies. In addition, we found a negative
correlation of expression of miR-126 and its target vascular endothelial growth
factor (VEGF)-A. Cluster analysis showed that members of the same miRNA cluster
follow the same expression pattern, suggesting the presence of a locus control
regulation. We also observed a positive correlation of expression between
intronic miRNAs and their host genes, thus revealing another potential control
mechanism for miRNAs. Many of the significantly dysregulated miRNAs in metastatic
ccRCC are highly conserved among species. Our analysis suggests that miRNAs are
involved in ccRCC metastasis and may represent potential biomarkers.
PMID- 22086375
TI - H-bonding and steric effects on the properties of phenolate and phenoxyl radical
complexes of Cu(II).
AB - Herein, the N-R substituted N,O-phenol-pyrazole redox-active pro-ligands, (R)LH
(R = Me, Pr) are reported together with their corresponding bis-Cu(R)L(2)
complexes (2 and 3, respectively). The latter are reversibly oxidised to the
corresponding stable Cu(II)-phenoxyl radical complexes 2(+) and 3(+). The
properties of the tetrahedrally distorted complexes 2 and 3 (and those of 2(+)
and 3(+)) are being compared to those of the square-planar H-bonded complex 1
(bis-Cu(H)L(2)) and those of 1(+). These studies have permitted H-bonding and
steric effects on the redox, spectroscopic and chemical properties of Cu(II)
phenolate and Cu(II)-phenoxyl radical species to be established.
PMID- 22086374
TI - Isolation and characterization of a new repetitive DNA family recently amplified
in the Mesoamerican gene pool of the common bean (Phaseolus vulgaris L.,
Fabaceae).
AB - The common bean (Phaseolus vulgaris) is one of the most important crop plants.
About 50% of its genome is composed of repetitive sequences, but only a little
fraction was isolated and characterized so far. In this paper, a new repetitive
DNA family from the species, named PvMeso, was isolated and characterized in both
gene pools of P. vulgaris (Andean and Mesoamerican) and related species. Two
fragments, 1.7 and 2.3 kb long, were cloned from BAC 255F18, which has previously
shown a repetitive pattern. The subclone PvMeso-31 showed a terminal block in
chromosome 7. This subclone contains a 1,705 bp long, AT-rich repeat with small
internal repeats and shares a 1.2 kb region with PvMeso-47, derived from the 2.3
kb fragment. The presence of this repetitive block was restricted to Mesoamerican
accessions of the common bean. In P. acutifolius, P. leptostachyus and Andean P.
vulgaris, only a faint, 2.3 kb fragment was visualized in Southern experiments.
Moreover, in Mesoamerican accessions, two other fragments (1.7 kb and 3.4 kb)
were strongly labelled as well. Taken together, our results indicate that PvMeso
is a recently emerged, repeat family initially duplicated in chromosome 11, on
ancestral Mesoamerican accession, and later amplified in chromosome 7, after the
split of the two major gene pools of the common bean.
PMID- 22086376
TI - [Discontinuing oral anticoagulation for elective surgical interventions].
PMID- 22086377
TI - [A lucky find. 1932].
PMID- 22086378
TI - [The medical emergency department in a Swiss regional hospital: the important
role of generalists].
AB - Patients not having a general practitioner will more likely use the emergency
departments (ED) of hospitals for primary care. Crowding of the ED due to
patients with minor health problems is a growing burden. The present work was
aimed to analyze data of ED consultations at a Swiss regional hospital. Leading
diagnoses of ED consultations covered a broad spectrum of internal medicine. The
majority of patients seen in the ED are "walk-in" patients with minor problems
that after initial evaluation and treatment in the ED could be managed as
outpatients. Pediatric patients made a considerable part of the workload. Elderly
patients (>65 years) were hospitalized more often.
PMID- 22086379
TI - [Gallstone disease: basic mechanisms, diagnosis and therapy].
AB - Gallstone disease is one of the most common gastroenterological diseases and
represents a major burden for our heath care systems. Cholesterol gallstones,
responsible for about 90% of stones, represent a multifactorial disease with an
important genetic component. Most gallstone-carriers remain asymptomatic and
hence in general, they not need any therapy. In contrast those with symptomatic
(biliary colic) or complicated gallstone disease (cholecystitis, obstructive
cholangitis, biliary pancreatitis) have to be treated interdisciplinary by
surgeons and endoscopists. Laparoscopic cholecystectomy represents the causal
therapy to avoid recurrent symptoms as well as the therapy of choice for
cholecystitis as the most common complication of gallstone disease. Bile duct
stones and the associated complications (cholangitis, biliary pancreatitis) are
primarily treated endoscopically.
PMID- 22086380
TI - [Topical therapy of ulcerative colitis].
AB - The availability of new topical preparations for the treatment of left sided
ulcerative colitis ulcerosa offers a therapy optimization for many patients.
Rectal application of steroids and 5-aminosalicylic acid (5-ASA) is associated
with fewer side effects and has a higher therapeutic efficacy in mild to moderate
active left-sided colitis as compared to a systemic therapy. Often it is argued
that the patients' compliance is insufficient with a rectal therapy. However,
with sufficient information on the proven advantages this is usually not the
case. The rectal application of drugs in distal ulcerative colitis is suitable
also for the maintenance of remission. Therefore the new therapy guidelines
recommend topical therapy more than in former times. Subsequently, these
manuscripts focussed specifically on the topical therapy of distal colitis, to
elucidate that clear treatment advantages are present in daily practice.
PMID- 22086381
TI - [The tearing eye].
PMID- 22086382
TI - [Ambulatory treatment of patients with lung embolism].
PMID- 22086383
TI - [No difference in recurrence risk of venous thromboembolism treated with
anticoagulation for 3 months or longer].
PMID- 22086385
TI - [Broad complex tachycardia of different morphologies. - CME ECG 35].
PMID- 22086388
TI - Bridging taxonomic and disciplinary divides in infectious disease.
AB - Pathogens traverse disciplinary and taxonomic boundaries, yet infectious disease
research occurs in many separate disciplines including plant pathology,
veterinary and human medicine, and ecological and evolutionary sciences. These
disciplines have different traditions, goals, and terminology, creating gaps in
communication. Bridging these disciplinary and taxonomic gaps promises novel
insights and important synergistic advances in control of infectious disease. An
approach integrated across the plant-animal divide would advance our
understanding of disease by quantifying critical processes including
transmission, community interactions, pathogen evolution, and complexity at
multiple spatial and temporal scales. These advances require more substantial
investment in basic disease research.
PMID- 22086390
TI - The effect of motion correction on pharmacokinetic parameter estimation in
dynamic-contrast-enhanced MRI.
AB - A dynamic-contrast-enhanced magnetic resonance imaging (DCE-MRI) dataset consists
of many imaging frames, often acquired both before and after contrast injection.
Due to the length of time spent acquiring images, patient motion is likely and
image re-alignment or registration is required before further analysis such as
pharmacokinetic model fitting. Non-rigid image registration procedures may be
used to correct motion artefacts; however, a careful choice of registration
strategy is required to reduce misregistration artefacts associated with
enhancing features. This work investigates the effect of registration on the
results of model-fitting algorithms for 52 DCE-MR mammography cases for 14
patients. Results are divided into two sections: a comparison of registration
strategies in which a DCE-MRI-specific algorithm is preferred in 50% of cases,
followed by an investigation of parameter changes with known applied
deformations, inspecting the effect of magnitude and timing of motion artefacts.
Increased motion magnitude correlates with increased model-fit residual and is
seen to have a strong influence on the visibility of strongly enhancing features.
Motion artefacts in images close to the contrast agent arrival have a
disproportionate effect on discrepancies in parameter estimation. The choice of
algorithm, magnitude of motion and timing of the motion are each shown to
influence estimated pharmacokinetic parameters even when motion magnitude is
small.
PMID- 22086389
TI - The association of CD46, SLAM and CD209 cellular receptor gene SNPs with
variations in measles vaccine-induced immune responses: a replication study and
examination of novel polymorphisms.
AB - BACKGROUND: The measles virus (MV) interacts with two known cellular receptors:
CD46 and SLAM. The transmembrane receptor CD209 interacts with MV and augments
dendritic cell infection. METHODS: 764 subjects previously immunized with measles
mumps-rubella vaccine were genotyped for 66 candidate SNPs in the CD46, SLAM and
CD209 genes as part of a larger study. RESULTS: A previously detected association
of the CD46 SNP rs2724384 with measles-specific antibodies was successfully
replicated in this study. Increased representation of the minor allele G for an
intronic CD46 SNP was associated with an allele dose-related decrease (978 vs.
522 mIU/ml, p = 0.0007) in antibody levels. This polymorphism rs2724384 also
demonstrated associations with IL-6 (p = 0.02), IFN-alpha (p = 0.007) and TNF
alpha (p = 0.0007) responses. Two polymorphisms (coding rs164288 and intronic
rs11265452) in the SLAM gene that were associated with measles antibody levels in
our previous study were associated with IFN-gamma Elispot (p = 0.04) and IL-10
responses (p = 0.0008), respectively, in this study. We found associations
between haplotypes, AACGGAATGGAAAG (p = 0.009) and GGCCGAGAGGAGAG (p < 0.001), in
the CD46 gene and TNF-alpha secretion. CONCLUSION: Understanding the functional
and mechanistic consequences of these genetic polymorphisms on immune response
variations could assist in directing new measles and potentially other viral
vaccine design, and in better understanding measles immunogenetics.
PMID- 22086391
TI - Decoding overlapping memories in the medial temporal lobes using high-resolution
fMRI.
AB - The hippocampus is proposed to process overlapping episodes as discrete memory
traces, although direct evidence for this in human episodic memory is scarce.
Using green-screen technology we created four highly overlapping movies of
everyday events. Participants were scanned using high-resolution fMRI while
recalling the movies. Multivariate pattern analysis revealed that the hippocampus
supported distinct representations of each memory, while neighboring regions did
not, demonstrating that the human hippocampus maintains unique pattern-separated
memory traces even when memories are highly overlapping. The hippocampus also
contained representations of spatial contexts that were shared across different
memories, consistent with a specialized role in processing space.
PMID- 22086392
TI - The cellular mechanisms of memory are modified by experience.
AB - The N-methyl-D-aspartate receptor (NMDAR) is thought to be essential for synaptic
plasticity and learning. However, recent work indicates that the role of this
receptor depends on the prior history of the research subject. For example,
animals trained on a hippocampus-dependent learning task are subsequently able to
acquire new information in the absence of NMDAR activation. The current
experiments were designed to identify the types of experiences that lead to NMDAR
independent learning. Using contextual fear conditioning in mice, we find that
NMDAR-independent learning is only observed when (1) animals are trained on the
same behavioral task and (2) initial learning is successfully encoded into long
term memory.
PMID- 22086393
TI - Anterior thalamic lesions alter both hippocampal-dependent behavior and
hippocampal acetylcholine release in the rat.
AB - The anterior thalamic nuclei (ATN) are important for learning and memory as
damage to this region produces a persistent amnestic syndrome. Dense connections
between the ATN and the hippocampus exist, and importantly, damage to the ATN can
impair hippocampal functioning. Acetylcholine (ACh) is a key neurotransmitter in
the hippocampus, and in vivo measures of ACh are correlated to learning and
memory performance. In the present study, complete lesions of the ATN impaired
performance on two measures of hippocampal-dependent learning and memory
(spontaneous alternation and delayed alternation) and severely disrupted
behaviorally evoked ACh efflux within the hippocampus of adult male rats. In
contrast, incomplete ATN lesions did not impair spontaneous alternation
performance but did impair delayed alternation performance while blunting
hippocampal ACh efflux. Interestingly, ATN lesions of any size did not affect
basal concentrations of ACh in the hippocampus. These results demonstrate that
the ATN have the capacity to modulate behaviorally relevant neuronal transmission
within the hippocampus.
PMID- 22086394
TI - Efficient inhibition of an intraperitoneal xenograft model of human ovarian
cancer by HSulf-1 gene delivered by biodegradable cationic heparin
polyethyleneimine nanogels.
AB - The HSulf-1 (heparan sulfate 6-O-endosulfatase 1) gene is an important element
that modulates the sulfation status of heparan sulfate proteoglycans (HSPGs),
leading to the interference of HSPG-related signal transduction pathways. HSulf-1
plays a key role in regulating cell proliferation, tumorigenesis and
angiogenesis. Recently, some studies have reported that HSulf-1 is a down
regulated gene in the majority of examined tumor types. In our present study, a
recombinant plasmid DNA carrying HSulf-1-cDNA (pHSulf-1) was constructed. The
antitumor effect of pHSulf-1 delivered by heparin-polyethyleneimine (HPEI)
nanogels on human ovarian cancer and the possible mechanisms of the antitumor
efficacy in vivo were further investigated. Heparin-polyethyleneimine (HPEI)
nanogels, as a new safe non-viral gene delivery carrier, were prepared to deliver
the plasmid expressing HSulf-1 into HSulf-1-deficient SKOV3 human ovarian cancer
cells in vitro and in vivo. pHSulf-1 could be efficiently transfected into SKOV3
ovarian cancer cells by HPEI nanogels in vitro and in vivo. Stable expression of
HSulf-1 in vitro and in vivo was verified by reverse transcription polymerase
chain reaction (RT-PCR) and Western blot analysis. Furthermore, a SKOV3
intraperitoneal ovarian carcinomatosis model was established to investigate the
growth inhibition function of pHSulf-1 in nude mice. Tumor weight was measured.
An anti-angiogenesis effect of pHSulf-1 in vivo was detected by CD31
immunostaining and alginate-encapsulate tumor cell assay. Assessment of apoptotic
cells and proliferation index in tumor tissues were performed by TUNEL assay and
Ki-67 immunostaining. Intraperitoneal injection of pHSulf-1/HPEI complexes
efficiently reduced tumor weight by approximately 87% compared with control
groups (P<0.01). Meanwhile, reduction in angiogenesis, inhibition of cell
proliferation, as well as induction of tumor cell apoptosis were observed,
without apparent systemic toxic effects. Collectively, these observations provide
the first evidence that pHSulf-1 delivered by HPEI nanogels may become a
promising therapeutic strategy against human ovarian cancer.
PMID- 22086395
TI - Management of renal cell carcinoma in sigmoid kidney.
AB - Crossed renal ectopia is a rare congenital malformation. We report a case in a 77
year-old man presenting with a left lower abdominal mass. Abdominal computed
tomography showed a vascular lesion that developed in a right-to-left crossed
fused renal ectopia. The patient was treated with radical heminephrectomy.
Appropriate preoperative imaging and surgical technique for the isthmusectomy are
highlighted.
PMID- 22086396
TI - Gold nanoparticle fluorescent molecular beacon for low-resolution DQ2 gene HLA
typing.
AB - Coeliac disease is an inflammation of the small intestine triggered by gluten
ingestion. We present a fluorescent genosensor, exploiting molecular-beacon
functionalized gold nanoparticles, for the identification of human leukocyte
antigen (HLA) DQ2 gene, a key genetic factor in coeliac disease. Optimization of
sensor performance was achieved by tuning the composition of the oligonucleotide
monolayer immobilized on the gold nanoparticle and the molecular beacon design.
Co-immobilization of the molecular beacon with a spacing oligonucleotide
(thiolated ten-thymine oligonucleotide) in the presence of ten-adenine
oligonucleotides resulted in a significant increase of the sensor response owing
to improved spacing of the molecular beacons and extension of the distance from
the nanoparticle surface, which renders them more available for recognition.
Further increase in the response (approximately 40%) was shown to be achievable
when the recognition sequence of the molecular beacon was incorporated in the
stem. Improvement of the specificity of the molecular beacons was also achieved
by the incorporation within their recognition sequence of a one-base mismatch.
Finally, gold nanoparticles functionalized with two molecular beacons targeting
the DQA1*05* and DQB1*02* alleles allowed the low-resolution typing of the DQ2
gene at the nanomolar level.
PMID- 22086397
TI - Molecularly imprinted polymers for selective extraction of synephrine from
Aurantii Fructus Immaturus.
AB - In this work, molecularly imprinted solid-phase extraction (MISPE) has been used
to selectively enrich, purify, or remove synephrine from Aurantii Fructus
Immaturus. To this end, a molecularly imprinted polymer (MIP) was prepared by
self-assembly from the template synephrine, the functional monomer methacrylic
acid, and the crosslinker ethylene glycol dimethacrylate in 1:4:20 molar ratio.
Subsequent molecular interrogation of the MIP binding sites revealed preferential
structural selectivity for synephrine relative to other structurally related
naturally occurring compounds (i.e. octopamine and tyramine ). This selectivity
was subsequently exploited to achieve substantial sample clean-up of extracts of
crude Aurantii Fructus Immaturus and Aurantii Fructus Immaturus stir-baked with
bran. The purity of synephrine in the extracts after MISPE represented
approximately 24.21-fold enrichment of the synephrine in the untreated extracts
of Aurantii Fructus Immaturus stir-baked with bran. High recoveries (85-90%) from
the samples proved that the method was valid for selective enrichment,
purification, or removal of synephrine from Aurantii Fructus Immaturus.
PMID- 22086398
TI - Nanoscale analysis of surface oxides on ZnMgAl hot-dip-coated steel sheets.
AB - In this work, the first few nanometres of the surface of ZnMgAl hot-dip
galvanised steel sheets were analysed by scanning Auger electron spectroscopy,
angle-resolved X-ray photoelectron spectroscopy and atomic force microscopy.
Although the ZnMgAl coating itself is exhibiting a complex micro-structure
composed of several different phases, it is shown that the topmost surface is
covered by a smooth, homogeneous oxide layer consisting of a mixture of magnesium
oxide and aluminium oxide, exhibiting a higher amount of magnesium than aluminium
and a total film thickness of 4.5 to 5 nm. Especially by the combined analytical
approach of surface-sensitive methods, it is directly demonstrated for the first
time that within surface imprints--created by industrial skin rolling of the
steel sheet which ensures a smooth surface appearance as well as reduced yield
point phenomenon--the original, smooth oxide layer is partly removed and that a
layer of native oxides, exactly corresponding to the chemical structure of the
underlying metal phases, is formed.
PMID- 22086399
TI - Toward the quantification of the 13CO2/12CO2 ratio in exhaled mouse breath with
mid-infrared hollow waveguide gas sensors.
AB - Mouse sepsis models are used to gain insight into the complex processes involved
with patients suffering from glucose metabolism disorders. Measuring the
expiratory release of (13)CO(2) after administering stable labeled (13)C(6)
glucose enables assessment of the in vivo integrity and functionality of key
metabolic processes. In the present study, we demonstrate that Fourier transform
infrared spectroscopy operating in the mid-infrared spectral regime (2-20 MUm)
combined with hollow waveguide gas sensing modules simultaneously serving as a
miniaturized gas cell and as a waveguide are capable of quantitatively monitoring
(13)CO(2) enrichment levels in low volume mouse breath samples.
PMID- 22086400
TI - Fluorescent hybridization probes for nucleic acid detection.
AB - Due to their high sensitivity and selectivity, minimum interference with living
biological systems, and ease of design and synthesis, fluorescent hybridization
probes have been widely used to detect nucleic acids both in vivo and in vitro.
Molecular beacons (MBs) and binary probes (BPs) are two very important
hybridization probes that are designed based on well-established photophysical
principles. These probes have shown particular applicability in a variety of
studies, such as mRNA tracking, single nucleotide polymorphism (SNP) detection,
polymerase chain reaction (PCR) monitoring, and microorganism identification.
Molecular beacons are hairpin oligonucleotide probes that present distinctive
fluorescent signatures in the presence and absence of their target. Binary probes
consist of two fluorescently labeled oligonucleotide strands that can hybridize
to adjacent regions of their target and generate distinctive fluorescence
signals. These probes have been extensively studied and modified for different
applications by modulating their structures or using various combinations of
fluorophores, excimer-forming molecules, and metal complexes. This review
describes the applicability and advantages of various hybridization probes that
utilize novel and creative design to enhance their target detection sensitivity
and specificity.
PMID- 22086402
TI - A triterpenoid from Thalictrum fortunei induces apoptosis in BEL-7402 cells
through the P53-induced apoptosis pathway.
AB - Thalictrum fortunei S. Moore, a perennial plant distributed in the southeastern
part of China, has been used in Traditional Chinese Medicine for thousands of
years for its antitumor, antibacterial and immunoregulatory effects. In order to
investigate the active components and the mechanism of the anti-tumor effects of
Thalictrum fortunei, the growth inhibitory effects of eight triterpenoids
isolated from the aerial parts of the plant on tumor cell lines were examined by
3-(4,5)-dimethylthiazoy1-3,5-diphenyltetrazolium bromide (MTT) assay. The MTT
assay results showed that the inhibitory activity of 3-O-beta-D-glucopyranosyl-(1
>4)-beta-D-fucopyranosyl(22S,24Z)-cycloart-24-en-3beta,22,26-triol 26-O-beta-D
glucopyranoside (1) was stronger than that of the other seven tested
triterpenoids on human hepatoma Bel-7402 cell line (Bel-7402), human colon lovo
cells (LoVo), human non-small cells lung cancer NCIH-460 cells (NCIH-460) and
human gastric carcinoma SGC-7901 cells (SGC-7901) after 48 h treatment in vitro,
with the IC(50) values of 66.4, 84.8, 73.5, 89.6 MUM, respectively. Moreover, the
antitumor mechanism of compound 1 on Bel-7402 cell was explored through nucleus
dyeing, fluorescence assay, flow cytometry and western blot. The flow cytometric
analysis results revealed that compound 1 caused apoptosis and mitochondrial
membrane potential (MMP) loss in Bel-7402 cells. A fluorescence assay indicated
that intracellular reactive oxygen species (ROS) were markedly provoked by
compound 1 treatment compared to control cells. Immunoblot results showed that
compound 1 significantly increased the expression levels of cleaved caspase-3,
P53 and Bax protein, and decreased the expression level of Bcl-2 protein. These
findings indicate that compound 1 inhibits the growth activity of tumor cells,
probably through the P53 protein-induced apoptosis pathway.
PMID- 22086401
TI - Trapping cells on a stretchable microwell array for single-cell analysis.
AB - There is a need for a technology that can be incorporated into routine laboratory
procedures to obtain a continuous, quantitative, fluorescence-based measurement
of the dynamic behaviors of numerous individual living cells in parallel, while
allowing other manipulations, such as staining, rinsing, and even retrieval of
targeted cells. Here, we report a simple, low-cost microarray platform that can
trap cells for dynamic single-cell analysis of mammalian cells. The elasticity of
polydimethylsiloxane (PDMS) was utilized to trap tens of thousands of cells on an
array. The PDMS microwell array was stretched by a tube through which cells were
loaded on the array. Cells were trapped on the array by removal of the tube and
relaxation of the PDMS. Once that was accomplished, the cells remained trapped on
the array without continuous application of an external force and permitted
subsequent manipulations, such as staining, rinsing, imaging, and even isolation
of targeted cells. We demonstrate the utility of this platform by multicolor
analysis of trapped cells and monitoring in individual cells real-time calcium
flux after exposure to the calcium ionophore ionomycin. Additionally, a proof of
concept for target cell isolation was demonstrated by using a microneedle to
locally deform the PDMS membrane in order to retrieve a particular cell from the
array.
PMID- 22086403
TI - Acetylcholinesterase-inhibiting alkaloids from Zephyranthes concolor.
AB - The bulbs and aerial parts of Zephyranthes concolor (Lindl.) Benth. & Hook. f.
(Amaryllidaceae), an endemic species to Mexico, were found to contain the
alkaloids chlidanthine, galanthamine, galanthamine N-oxide, lycorine, galwesine,
and epinorgalanthamine. Since currently only partial and low resolution (1)H-NMR
data for chlidanthine acetate are available, and none for chlidanthine, its 1D
and 2D high resolution (1)H- and (13)C-NMR spectra were recorded. Unambiguous
assignations were achieved with HMBC, and HSQC experiments, and its structure was
corroborated by X-ray diffraction. Minimum energy conformation for structures of
chlidanthine, and its positional isomer galanthamine, were calculated by
molecular modelling. Galanthamine is a well known acetylcholinesterase inhibitor;
therefore, the isolated alkaloids were tested for this activity. Chlidanthine and
galanthamine N-oxide inhibited electric eel acetylcholinesterase (2.4 and 2.6 *
10(-5) M, respectively), indicating they are about five times less potent than
galanthamine, while galwesine was inactive at 10(-3) M. Inhibitory activity of
HIV-1 replication, and cytotoxicity of the isolated alkaloids were evaluated in
human MT-4 cells; however, the alkaloids showed poor activity as compared with
standard anti-HIV drugs, but most of them were not cytotoxic.
PMID- 22086404
TI - A phase 2 study of pegylated interferon alpha-2b (PEG-Intron((r))) in children
with diffuse intrinsic pontine glioma.
AB - BACKGROUND: Interferon-alpha is a cytokine that has demonstrated activity in
patients with supratentorial gliomas, but its ideal dose and schedule of
administration is unknown. Studies suggest that low-dose, continuous exposure is
more efficacious than intermittent, high doses. The authors performed a phase 2
study of recombinant interferon alpha-2b with monomethoxy polyethylene glycol
(PEG-Intron((r))) in children with diffuse intrinsic pontine glioma (DIPG), a
population with dismal survival despite decades of clinical investigation. The
primary objective was to compare 2-year survival with a historic cohort that
received radiation therapy alone. METHODS: Patients received weekly subcutaneous
PEG-Intron((r)) at a dose of 0.3 MUg/kg beginning 2 to 10 weeks after the
completion of radiation therapy until they developed disease progression.
Patients were evaluated clinically and radiographically at regular intervals.
Serum and urine were assayed for biomarkers before each cycle. Quality-of-life
(QOL) evaluations were administered at baseline and before every other cycle of
therapy to the parents of patients ages 6 to 18 years. RESULTS: Thirty-two
patients (median age, 5.3 years; range, 1.8-14.8 years) were enrolled and
received a median of 7 cycles of therapy (range, from 1 cycle to >=70 cycles).
PEG-Intron((r)) was well tolerated, and no decrease in QOL scores was noted in
the subset of patients tested. The 2-year survival rate was 14%, which was not
significantly improved compared with the historic cohort. However, the median
time to progression was 7.8 months, which compared favorably with recent trials
reporting a time to progression of 5 months in a similar population. CONCLUSIONS:
Although low-dose PEG-Intron((r)) therapy did not significantly improve 2-year
survival in children with DIPG compared with an historic control population, it
did delay the time to progression.
PMID- 22086405
TI - Degree of freezing does not affect efficacy of frozen gloves for prevention of
docetaxel-induced nail toxicity in breast cancer patients.
AB - PURPOSE: Frozen gloves (FG) are effective in preventing docetaxel-induced nail
toxicity (DNT), but uncomfortable. The preventive effect of FG for DNT was
compared using a standard (-25 to -30 degrees C) or more comfortable (-10 to -20
degrees C) preparation. METHODS: Breast cancer patients receiving docetaxel were
eligible. Each patient wore an FG (prepared at -10 to -20 degrees C for 90 min)
for 60 min without replacement on the right hand. The left hand was protected by
standard methods (FG prepared at -25 to -30 degrees C overnight and worn for 90
min with replacement at 45 min). The primary endpoint was DNT occurrence at 5
months. Secondary endpoints included docetaxel exposure [cumulative dose and area
under the blood concentration time curve (AUC)] until DNT occurrence and
discomfort from FG. The pharmacokinetics of docetaxel was assessed. RESULTS: From
23 patients enrolled between December 2006 and June 2010, seven who received
docetaxel for less than 5 months were excluded from evaluation. The median
accumulated docetaxel dose was 700 mg/m(2) (340-1430 mg/m(2)). Within 5 months of
FG use, none developed protocol-defined DNT in either hand. Two patients (13%)
developed DNT at 7.2 and 7.3 months, respectively, both at -10 to -20 degrees C.
In the control hand (-25 to -30 degrees C), discomfort occurred in 92% of the
cycles, compared to 15% in the experimental hand (-10 to -20 degrees C). Five
patients (22%) experienced pain at -25 to -30 degrees C, but none did at -10 to
20 degrees C. The degree of docetaxel exposure was not related to DNT occurrence
in our study. CONCLUSION: A convenient preparation of FG at -10 to -20 degrees C
is almost as effective as a standard preparation at -25 to -30 degrees C, with
significantly less discomfort.
PMID- 22086406
TI - A dietitian-led clinic for patients receiving (chemo)radiotherapy for head and
neck cancer.
AB - PURPOSE: Malnutrition is prevalent in head and neck cancer patients and is
associated with poorer outcomes and increased health care costs. This study aimed
to evaluate the acceptability, organisational efficiency and clinical outcomes of
a dietitian-led head and neck cancer clinic. METHODS: Two consecutive,
independent, patient cohorts were studied with a pre-post-test design of 98
patients prior to the introduction of a dietitian-led clinic (DLC) and the
subsequent 100 patients who attended the newly formulated DLC. The two groups
were compared for frequency of dietitian intervention, weight loss, enteral
feeding, hospital admissions and post-treatment medical follow-up requirements.
RESULTS: Nutritional management in a DLC was associated with reduced nutrition
related admissions from 12% to 4.5% (p = 0.0029), unplanned nasogastric tube
insertions from 75% to 39% (p = 0.02), improved transition to oral diet post
radiotherapy from 68.3% to 76.7% (p = 0.10) and reduced radiation oncologist
review at 2 weeks post-radiotherapy from 32% to 15% patients (p = 0.009) compared
to the cohort prior to the DLC. CONCLUSIONS: A dietitian-led head and neck cancer
clinic is associated with improved efficiency and nutritional management of head
and neck cancer patients and offers a feasible model of care.
PMID- 22086407
TI - Worksite adjustments and work ability among employed cancer survivors.
AB - OBJECTIVES: This study was conducted to determine how many cancer survivors (CSs)
make worksite adjustments and what kinds of adjustments they make. Changes in
work ability among employed CSs were explored, and clinical, sociodemographic,
and work-related factors associated with the current total work ability were
studied. METHODS: CSs of the ten most common invasive types of cancer for men and
women in Norway completed a mailed questionnaire 15-39 months after being
diagnosed with cancer. Included in the analyses were all participants who worked
both at the time of diagnosis and at the time of the survey and who had not
changed their labor force status since diagnosis (n = 563). The current total
work ability was compared to the lifetime best (0-10 score). RESULTS: Twenty-six
percent of the employed CSs had made adjustments at work, and the most common
adjustment was changing the number of work hours per week. Despite the fact that
31% and 23% reported reduced physical and mental work abilities, respectively,
more than 90% of the CSs reported that they coped well with their work demands.
The mean total work ability score was high (8.6) among both men and women. Being
self-employed and working part-time at the time of diagnosis showed significant
negative correlations with total work ability, while a favorable psychosocial
work environment showed a significant positive correlation. CSs with low work
ability were more often in contact with the occupational health service and also
made more worksite adjustments than others. CONCLUSION: The prospects of future
work life seem optimistic for Norwegian employed CSs who return to work
relatively soon after primary treatment.
PMID- 22086408
TI - Acute-on-chronic liver failure: what are the implications?
AB - Acute-on-chronic liver failure (ACLF) is a clinical entity that is well
recognized by those who care for patients with cirrhosis, however in spite of
this widespread recognition, there remains little consensus with regard to
definition and clinical features. While many similarities exist between ACLF and
decompensated cirrhosis, there are also key differences, the implications of
which are far reaching for both clinicians and patients alike. Among these
differences are the possibility of a reversible component, the presence of a
defined insult, prognosis, and outcomes associated with ACLF (see Fig. 1).
However, for ACLF to have meaningful clinical implications, it first must be
defined. If ACLF can be clearly defined and more easily recognized, then
clinicians may be better able to prevent, treat, prognosticate, and counsel such
patients.
PMID- 22086409
TI - Serological evidence for brucellosis in Bos indicus in Nigeria.
AB - PURPOSE: Nigeria is the largest cattle-rearing nation in Africa with most animals
kept under traditional husbandry practices. While bovine brucellosis does not
receive much attention, a relatively high seroprevalence is found in samples
submitted for laboratory testing. The aim of the study was to provide serological
evidence of brucellosis in cattle from some of the main cattle-rearing states of
the country and to validate a simple and rapid field test for the serodiagnosis
of bovine brucellosis. METHOD: Serum samples collected in various states of
Nigeria from cattle because of suspicion of brucellosis were investigated in the
Rose Bengal plate test, and results were compared with a newly developed rapid
field test for the detection of Brucella-specific antibodies. RESULTS:
Serological evidence for the presence of brucellosis in cattle was obtained for
all states included in the study and a high herd prevalence was observed. The
seroprevalence was also high among trade and slaughter animals. Results of a
rapid field test for the serodiagnosis of bovine brucellosis correlated well with
the Rose Bengal plate test (agreement, 95.7%; kappa value, 0.80). CONCLUSIONS:
The results indicate that bovine brucellosis is an important veterinarian problem
in Nigeria. The easy-to-use and robust field test is most promising for field
based surveillance as it provides an immediate result allowing the prompt
instigation of control measures.
PMID- 22086410
TI - Polymorphism analysis of BMPR1B gene by forced RFLP and PCR-SSCP techniques and
expression of the mutation in introgressed sheep.
AB - The present study was conducted to screen Kashmir valley sheep with history of
prolificacy for the presence of FecB mutation. Forced polymerase chain reaction
restriction fragment length polymorphism (PCR-RFLP) and single strand
conformation polymorphism (SSCP) techniques were employed to detect any
polymorphism present in bone morphogenetic protein receptor type 1B (BMPR1B)
gene. Further, it was aimed at introgressing the FecB mutation into nonprolific
noncarrier sheep. A 140-bp fragment of BMPR1B gene was amplified from isolated
genomic DNA and subjected to forced RFLP with restriction enzyme AvaII. Three
different RFLP patterns were identified. SSCP analysis showed one-to-one
correspondence with RFLP patterns. Sequencing of the samples showing different
patterns revealed that the wild (+) and mutant (B) alleles were different by a
single nucleotide substitution in the form of A109G from wild to mutant allele.
It led to change in amino acid from Glutamine (Q) to Arginine (R) from wild to
mutant allele. The mutation was only detected in NARI-Suwarna and their crosses;
all Kashmir valley sheep with prolific history lacked it. The + allele was
abundant in the studied population. The FecB mutation was introgressed in
nonprolific noncarrier sheep by crossing ewes with NARI-Suwarna rams possessing
the mutation. First generation crossing produced heterozygous (B+) progeny. Some
of the F(1) heterozygous ewes gave birth to twins when mated to unrelated NARI
Suwarna rams. It showed that FecB mutation was successfully expressing in those
crosses.
PMID- 22086411
TI - Influence of processing cassava peels on the hydrogen cyanide concentration,
nutritive value and performance of growing rabbits.
AB - Forty-eight rabbits used to investigate the response of growing rabbits to diets
containing differently processed cassava peel meals were divided into four groups
balanced for sex and weight. These groups were then assigned at random to the
experimental treatments. Four diets were formulated such that the diets contained
200 g/kg of the experimental feedstuffs, sun-dried cassava peel meal (S), ensiled
cassava peel meal (E) and retted cassava peel meal (R), and the control did not
contain any cassava peels. Hydrogen cyanide (HCN) concentration in the processed
cassava peel meal was lower relative to the unprocessed meal. It was, however,
lowest in R and highest in E. With the exception of S, fibre fractions were lower
in the processed meal compared to the unprocessed meal. The E depressed (p <
0.05) feed and digestible nutrient intakes, weight gain, nutrient digestibility,
digestible protein (DP), digestible energy (DE) and DP/DE ratio but increased
protein intake to gain and feed to gain ratios compared to other diets. Intake of
HCN decreased (p < 0.05) successively in this order: R, S and E. The results
indicate that retting and sun-drying are more effective in cassava peel
detoxification than ensiling, and dietary HCN concentration and intake of 56 and
4 mg/kg BW, respectively, were not toxic under the conditions of the experiment.
PMID- 22086412
TI - Effect of varying dietary energy levels during the last trimester of pregnancy on
subsequent first lactation performance in Sahiwal heifers.
AB - The aim of the study was to determine optimum dietary energy level during the
last trimester of pregnancy for Sahiwal heifers in subtropical Pakistan. Sixteen
Sahiwal heifers, 5-6 months pregnant, were assigned to four dietary treatments
with four heifers on each treatment. Isonitrogenous (CP = 14.1%) diets having
varying energy, namely, ME 88%, ME 100% (Control), ME 112% and ME 124% of NRC
recommended level for pregnant heifers, were fed until calving. All were fed a
similar diet after calving. Precalving weight gain was highest (P < 0.05) in
heifers fed ME 112 and 124% (486 +/- 13 and 497 +/- 5 g/day, respectively)
followed by ME 100% (444 +/- 7 g/day), and the lowest weight gain was recorded
for ME 88% (397 +/- 8 g/day). A similar trend was observed for feed efficiency.
Body condition score at calving in groups ME 124% and ME 112% was higher than ME
88% and ME 100%. Nutrient digestibility, birth weight of calves and milk
composition except fat content were not influenced by energy levels. The highest
daily milk yield was observed in heifers fed ME 100% followed by ME 112, 124, and
88%. We conclude that the NRC recommendation is applicable to the subtropical
region.
PMID- 22086413
TI - Surface IgM stimulation induces MEK1/2-dependent MYC expression in chronic
lymphocytic leukemia cells.
AB - Although long considered as a disease of failed apoptosis, it is now clear that
chronic lymphocytic leukemia (CLL) cells undergo extensive cell division in vivo,
especially in progressive disease. Signaling via the B-cell receptor is thought
to activate proliferation and survival pathways in CLL cells and also has been
linked to poor outcome. Here, we have analyzed the expression of the proto
oncoprotein MYC, an essential positive regulator of the cell cycle, after
stimulation of surface IgM (sIgM). MYC expression was rapidly increased after
sIgM stimulation in a subset of CLL samples. The ability of sIgM stimulation to
increase MYC expression was correlated with sIgM-induced intracellular calcium
fluxes. MYC induction was partially dependent on the MEK/ERK signaling pathway,
and MYC and phosphorylated ERK1/2 were both expressed within proliferation
centers in vivo. Although stimulation of sIgD also resulted in ERK1/2
phosphorylation, responses were relatively short lived compared with sIgM and
were associated with significantly reduced MYC induction, suggesting that the
kinetics of ERK1/2 activation is a critical determinant of MYC induction. Our
results suggest that ERK1/2-dependent induction of MYC is likely to play an
important role in antigen-induced CLL cell proliferation.
PMID- 22086414
TI - Acute leukemia incidence and patient survival among children and adults in the
United States, 2001-2007.
AB - Since 2001, the World Health Organization classification for hematopoietic and
lymphoid neoplasms has provided a framework for defining acute leukemia (AL)
subtypes, although few population-based studies have assessed incidence patterns
and patient survival accordingly. We assessed AL incidence rates (IRs), IR ratios
(IRRs), and relative survival in the United States (2001-2007) in one of the
first population-based, comprehensive assessments. Most subtypes of acute myeloid
leukemia (AML) and acute lymphoblastic leukemia/lymphoma (ALL/L) predominated
among males, from twice higher incidence of T-cell ALL/L among males than among
females (IRR = 2.20) to nearly equal IRs of acute promyelocytic leukemia (APL;
IRR = 1.08). Compared with non-Hispanic whites, Hispanics had significantly
higher incidence of B-cell ALL/L (IRR = 1.64) and APL (IRR = 1.28); blacks had
lower IRs of nearly all AL subtypes. All ALL/L but only some AML subtypes were
associated with a bimodal age pattern. Among AML subtypes, survival was highest
for APL and AML with inv(16). B-cell ALL/L had more favorable survival than T
cell ALL/L among the young; the converse occurred at older ages. Limitations of
cancer registry data must be acknowledged, but the distinct AL incidence and
survival patterns based on the World Health Organization classification support
biologic diversity that should facilitate etiologic discovery, prognostication,
and treatment advances.
PMID- 22086416
TI - NOTCH1 mutations in CLL associated with trisomy 12.
AB - Two recent studies reported whole-genome sequencing of chronic lymphocytic
leukemia (CLL) samples and found repeated mutations in the XPO1 and NOTCH1 genes.
XPO1 was found mutated in 2.4% of cases, while NOTCH1 was found mutated in 12.2%
or 15.1% of CLL samples. Here we report the results of sequencing of XPO1 and
NOTCH1 in 186 CLL cases. Our results confirmed frequency of XPO1 mutations.
However, we found only 5 NOTCH1 mutations in 127 IGVH unmutated/ZAP70(+) CLL
samples (4%), and one mutation was found in IGVH mutated/ZAP70(-) CLL for a total
percentage of 1.5%. Because 4 of 6 mutated samples also showed trisomy 12, we
sequenced NOTCH1 in an additional 77 cases with trisomy 12 CLLs, including 47
IGVH unmutated/ZAP70(+) cases. Importantly, we found 41.9% NOTCH1 mutation
frequency in aggressive trisomy 12 CLL cases. Our data suggest that activation of
NOTCH1 plays a critical role in IGVH unmutated/ZAP70(+) trisomy 12 CLL.
PMID- 22086415
TI - Human MAIT and CD8alphaalpha cells develop from a pool of type-17 precommitted
CD8+ T cells.
AB - Human mucosal associated invariant T (MAIT) CD8(+) and Tc17 cells are important
tissue-homing cell populations, characterized by high expression of CD161 ((++))
and type-17 differentiation, but their origins and relationships remain poorly
defined. By transcriptional and functional analyses, we demonstrate that a pool
of polyclonal, precommitted type-17 CD161(++)CD8alphabeta(+) T cells exist in
cord blood, from which a prominent MAIT cell (TCR Valpha7.2(+)) population
emerges post-natally. During this expansion, CD8alphaalpha T cells appear
exclusively within a CD161(++)CD8(+)/MAIT subset, sharing cytokine production,
chemokine-receptor expression, TCR-usage, and transcriptional profiles with their
CD161(++)CD8alphabeta(+) counterparts. Our data demonstrate the origin and
differentiation pathway of MAIT-cells from a naive type-17 precommitted
CD161(++)CD8(+) T-cell pool and the distinct phenotype and function of
CD8alphaalpha cells in man.
PMID- 22086417
TI - A genome-wide meta-analysis of nodular sclerosing Hodgkin lymphoma identifies
risk loci at 6p21.32.
AB - Nodular sclerosing Hodgkin lymphoma (NSHL) is a distinct, highly heritable
Hodgkin lymphoma subtype. We undertook a genome-wide meta-analysis of 393
European-origin adolescent/young adult NSHL patients and 3315 controls using the
Illumina Human610-Quad Beadchip and Affymetrix Genome-Wide Human SNP Array 6.0.
We identified 3 single nucleotide polymorphisms (SNPs) on chromosome 6p21.32 that
were significantly associated with NSHL risk: rs9268542 (P = 5.35 * 10(-10)),
rs204999 (P = 1.44 * 10(-9)), and rs2858870 (P = 1.69 * 10(-8)). We also
confirmed a previously reported association in the same region, rs6903608 (P =
3.52 * 10(-10)). rs204999 and rs2858870 were weakly correlated (r(2) = 0.257),
and the remaining pairs of SNPs were not correlated (r(2) < 0.1). In an
independent set of 113 NSHL cases and 214 controls, 2 SNPs were significantly
associated with NSHL and a third showed a comparable odds ratio (OR). These SNPs
are found on 2 haplotypes associated with NSHL risk (rs204999-rs9268528-rs9268542
rs6903608-rs2858870; AGGCT, OR = 1.7, P = 1.71 * 10(-6); GAATC, OR = 0.4, P =
1.16 * 10(-4)). All individuals with the GAATC haplotype also carried the HLA
class II DRB1*0701 allele. In a separate analysis, the DRB1*0701 allele was
associated with a decreased risk of NSHL (OR = 0.5, 95% confidence interval =
0.4, 0.7). These data support the importance of the HLA class II region in NSHL
etiology.
PMID- 22086418
TI - Contrasting dynamic responses in vivo of the Bcl-xL and Bim erythropoietic
survival pathways.
AB - Survival signaling by the erythropoietin (Epo) receptor (EpoR) is essential for
erythropoiesis and for its acceleration in hypoxic stress. Several apparently
redundant EpoR survival pathways were identified in vitro, raising the
possibility of their functional specialization in vivo. Here we used mouse models
of acute and chronic stress, including a hypoxic environment and beta
thalassemia, to identify two markedly different response dynamics for two
erythroblast survival pathways in vivo. Induction of the antiapoptotic protein
Bcl-x(L) is rapid but transient, while suppression of the proapoptotic protein
Bim is slower but persistent. Similar to sensory adaptation, however, the Bcl
x(L) pathway "resets," allowing it to respond afresh to acute stress superimposed
on a chronic stress stimulus. Using "knock-in" mouse models expressing mutant
EpoRs, we found that adaptation in the Bcl-x(L) response occurs because of
adaptation of its upstream regulator Stat5, both requiring the EpoR distal
cytoplasmic domain. We conclude that survival pathways show previously
unsuspected functional specialization for the acute and chronic phases of the
stress response. Bcl-x(L) induction provides a "stop-gap" in acute stress, until
slower but permanent pathways are activated. Furthermore, pathologic elevation of
Bcl-x(L) may be the result of impaired adaptation, with implications for
myeloproliferative disease mechanisms.
PMID- 22086419
TI - Direct infusion mass spectrometry of oxylipin-containing Arabidopsis membrane
lipids reveals varied patterns in different stress responses.
AB - Direct infusion electrospray ionization triple quadrupole precursor scanning for
three oxidized fatty acyl anions revealed 86 mass spectral peaks representing
polar membrane lipids in extracts from Arabidopsis (Arabidopsis thaliana)
infected with Pseudomonas syringae pv tomato DC3000 expressing AvrRpt2 (PstAvr).
Quadrupole time-of-flight and Fourier transform ion cyclotron resonance mass
spectrometry provided evidence for the presence of membrane lipids containing one
or more oxidized acyl chains. The membrane lipids included molecular species of
phosphatidylcholine, phosphatidylethanolamine, phosphatidylglycerol,
digalactosyldiacylglycerol, monogalactosyldiacylglycerol, and acylated
monogalactosyldiacylglycerol. The oxidized chains were identified at the level of
chemical formula and included C(18)H(27)O(3) (abbreviated 18:4-O, to indicate
four double bond equivalents and one oxygen beyond the carbonyl group),
C(18)H(29)O(3) (18:3-O), C(18)H(31)O(3) (18:2-O), C(18)H(29)O(4) (18:3-2O),
C(18)H(31)O(4) (18:2-2O), and C(16)H(23)O(3) (16:4-O). Mass spectral signals from
the polar oxidized lipid (ox-lipid) species were quantified in extracts of
Arabidopsis leaves subjected to wounding, infection by PstAvr, infection by a
virulent strain of P. syringae, and low temperature. Ox-lipids produced low
amounts of mass spectral signal, 0.1% to 3.2% as much as obtained in typical
direct infusion profiling of normal-chain membrane lipids of the same classes.
Analysis of the oxidized membrane lipid species and normal-chain phosphatidic
acids indicated that stress-induced ox-lipid composition differs from the basal
ox-lipid composition. Additionally, different stresses result in the production
of varied amounts, different timing, and different compositional patterns of
stress-induced membrane lipids. These data form the basis for a working
hypothesis that the stress-specific signatures of ox-lipids, like those of
oxylipins, are indicative of their functions.
PMID- 22086420
TI - Polycomb group complexes mediate developmental transitions in plants.
PMID- 22086421
TI - The role of CAX1 and CAX3 in elemental distribution and abundance in Arabidopsis
seed.
AB - The ability to alter nutrient partitioning within plants cells is poorly
understood. In Arabidopsis (Arabidopsis thaliana), a family of endomembrane
cation exchangers (CAXs) transports Ca(2+) and other cations. However,
experiments have not focused on how the distribution and partitioning of calcium
(Ca) and other elements within seeds are altered by perturbed CAX activity. Here,
we investigate Ca distribution and abundance in Arabidopsis seed from cax1 and
cax3 loss-of-function lines and lines expressing deregulated CAX1 using
synchrotron x-ray fluorescence microscopy. We conducted 7- to 10-MUm resolution
in vivo x-ray microtomography on dry mature seed and 0.2-MUm resolution x-ray
microscopy on embryos from lines overexpressing deregulated CAX1 (35S-sCAX1) and
cax1cax3 double mutants only. Tomograms showed an increased concentration of Ca
in both the seed coat and the embryo in cax1, cax3, and cax1cax3 lines compared
with the wild type. High-resolution elemental images of the mutants showed that
perturbed CAX activity altered Ca partitioning within cells, reducing Ca
partitioning into organelles and/or increasing Ca in the cytosol and abolishing
tissue-level Ca gradients. In comparison with traditional volume-averaged metal
analysis, which confirmed subtle changes in seed elemental composition, the
collection of spatially resolved data at varying resolutions provides insight
into the impact of altered CAX activity on seed metal distribution and indicates
a cell type-specific function of CAX1 and CAX3 in partitioning Ca into
organelles. This work highlights a powerful technology for inferring transport
function and quantifying nutrient changes.
PMID- 22086423
TI - The Psb27 assembly factor binds to the CP43 complex of photosystem II in the
cyanobacterium Synechocystis sp. PCC 6803.
AB - We have investigated the location of the Psb27 protein and its role in
photosystem (PS) II biogenesis in the cyanobacterium Synechocystis sp. PCC 6803.
Native gel electrophoresis revealed that Psb27 was present mainly in monomeric
PSII core complexes but also in smaller amounts in dimeric PSII core complexes,
in large PSII supercomplexes, and in the unassembled protein fraction. We
conclude from analysis of assembly mutants and isolated histidine-tagged PSII
subcomplexes that Psb27 associates with the "unassembled" CP43 complex, as well
as with larger complexes containing CP43, possibly in the vicinity of the large
lumenal loop connecting transmembrane helices 5 and 6 of CP43. A functional role
for Psb27 in the biogenesis of CP43 is supported by the decreased accumulation
and enhanced fragmentation of unassembled CP43 after inactivation of the psb27
gene in a mutant lacking CP47. Unexpectedly, in strains unable to assemble PSII,
a small amount of Psb27 comigrated with monomeric and trimeric PSI complexes upon
native gel electrophoresis, and Psb27 could be copurified with histidine-tagged
PSI isolated from the wild type. Yeast two-hybrid assays suggested an interaction
of Psb27 with the PsaB protein of PSI. Pull-down experiments also supported an
interaction between CP43 and PSI. Deletion of psb27 did not have drastic effects
on PSII assembly and repair but did compromise short-term acclimation to high
light. The tentative interaction of Psb27 and CP43 with PSI raises the
possibility that PSI might play a previously unrecognized role in the
biogenesis/repair of PSII.
PMID- 22086424
TI - Echocardiographic findings in adolescents with anorexia nervosa at beginning of
treatment and after weight recovery.
AB - Anorexia nervosa (AN) is an eating disorder with somatic complications. The aim
of the study was to analyse echocardiographic abnormalities in patients with AN
at initial examination and after weight restoration. A total of 173 consecutively
admitted adolescents (aged 12-17 years), diagnosed with DSM-IV AN (307.1) were
evaluated in a child and adolescent psychiatric department of a major university
hospital from December 1997 to August 2008. In addition, 40 healthy adolescents
of the same age with normal weight were examined. In patients with AN, 34.7% had
a pericardial effusion (PE) which was clinically silent. In contrast, none of the
controls presented with PE (p < 0.001). No differences across AN subtypes were
observed. Patients with PE showed significantly lower body mass index (BMI) (p =
0.016) than patients without PE. They had more prominent low-T3 syndrome (p =
0.003) and longer duration of hospitalisation (p = 0.008) after controlling for
BMI at admission. Remission of PE was observed in 88% of the patients after
weight restoration. Left ventricular end-diastolic and end-systolic dimensions in
AN were significantly lower than in controls (p < 0.001). There were no
differences in interventricular septum thickness, posterior wall thickness and
fractional shortening. This report indicates that adolescents with AN show
cardiac abnormalities in comparison to healthy young women. Furthermore, PE is a
frequent cardiac complication in patients with AN and it is associated with BMI,
low T3 serum levels and duration of hospitalisation.
PMID- 22086425
TI - [Characteristics and evolution of patients with Alice in Wonderland syndrome].
AB - INTRODUCTION: Alice in Wonderland syndrome is a process characterized for complex
disorders of the visual perception with multiple etiologies. AIM: To evaluate the
clinical, electrophysiological, etiological characteristics and natural evolution
in children with Alice in Wonderland syndrome. PATIENTS AND METHODS: We have
realized a retrospective study by what means of a review of 20 clinical histories
of 18 year old minor patients diagnosed of Alice in Wonderland syndrome from
January 1995 until February 2010. RESULTS: The average of age to the diagnosis
was 9.5 +/- 3.8 years (range: 4-16 years). It appeared in an acute way in 85% and
progressive in 15%. 90% had micropsias and/or macropsias, 85% distortion of the
form of the objects, 80% displacement of objects, 45% disturbances of body image,
45% acceleration of the time and 30% sensation of unreality. 95% of the children
had many episodes a day; these episodes lasted less than 3 minutes in 90%.
Electroencephalogram was realized in all the patients, it was abnormal in 11
cases, in one case was found and epileptic foci (left temporal) and in 10 cases
was found posterior slow waves. The tests of neuroimagen were normal in all the
patients. The visual evoked potentials were realized in 7 children; five of these
children showed higher amplitude in evoked potentials and two of these children
had normal. The infectious etiology was found in nine cases (five partners to
Epstein-Barr virus), migraine in eight, toxins in two and epilepsy in one case.
80% did not have recurrence. CONCLUSIONS: Alice in Wonderland syndrome is a
benign process with trend to spontaneous resolution and without recurrence in the
majority of the occasions. The principal etiologies are migraine and Epstein-Barr
virus infection.
PMID- 22086426
TI - [Psychogenic pathology in the neurology unit. A review of the hospital admissions
over the last 10 years in a third-level service].
AB - INTRODUCTION: Psychogenic disorders are frequently seen in neurological practice
and sometimes make it necessary to hospitalise the patient in order to rule out a
potentially severe organic pathology. AIM: To determine the profile of patients
with a discharge diagnosis of psychogenic disorder that are admitted to the
neurology unit of a Spanish hospital. PATIENTS AND METHODS: The neurology unit
discharge abstracts from the last 10 years were reviewed and the patients who
were diagnosed with 'non-organicity' were selected; a total of 28 demographic,
epidemiological and clinical variables were also collected. RESULTS: A total of
64 patients (1% of those admitted to hospital), with a mean age of 40 years, had
a diagnosis of psychogenicity. The proportion between sexes was one to one and
the mean length of stay in hospital was 10 days. Mixed symptoms were the most
usual (50%), followed by isolated motor symptoms (22%). Most cases consisted of
possible neurological diagnoses of ischaemic stroke and demyelinating disease.
Only 25% of cases consulted psychiatry during hospitalisation. In 11% of cases
there was a later history of suicide attempts and in eight cases (12.5%) an
ambulatory diagnosis of organicity was reached thanks to the developmental follow
up ('error' in the provisional diagnosis on discharge). CONCLUSIONS: This study
traces, for the first time in our setting, the profile of the psychogenic patient
who is admitted to the neurology unit and examines their hospital management and
their outpatient follow-up. We highlight the need not to underestimate this
pathological condition and to generate an ordered way of managing it, which
should always be multidisciplinary with the leading roles played by the
neurologist and the psychiatrist.
PMID- 22086427
TI - [Hammersmith functional rating scale for children with spinal muscular atrophy.
Validation of the Spanish version].
AB - AIMS: This study was conducted with the aim of developing the Spanish version of
the Hammersmith functional rating scale for children with spinal muscular atrophy
(SMA), to establish the interobserver reliability and to adapt it to Spanish
children with SMA types II and III. PATIENTS AND METHODS: We assessed 31 children
with a mean age of 4.7 years (range: 2.5-9 years) and they were re-assessed at 3,
6 and 12 months. The children with SMA type II could not walk and the children
with SMA type III were able to walk. RESULTS: Interobserver reliability was 95%.
The median of the score in the group with SMA type II was 17 (range: 0-34) and in
the SMA type III group it was 39 (range: 37-40). The results of the group with
SMA type II at 12 months were poorer, although not to a statistically significant
extent, which suggested that the disease was getting worse. CONCLUSIONS: The
Spanish version presents a high degree of interobserver reliability, is easy to
administer in clinical practice and is a good tool for assessing the severity of
the disease in patients with SMA, above all in those who are unable to walk.
PMID- 22086428
TI - [Awareness of dysphagia in Parkinson's disease].
AB - INTRODUCTION AND AIM: In order to be able to assess the level of awareness of
swallowing disorders in Parkinson's disease (PD), a specific questionnaire was
designed and validated: the Dysphapark questionnaire. PATIENTS AND METHODS: A
total of 470 persons with PD were asked whether they believe they have problems
swallowing or not, and then they filled in a self-administered questionnaire that
evaluates the effectiveness and safety of swallowing. The Dysphapark
questionnaire was validated by means of Rasch analysis and classical psychometric
methods. RESULTS: The safety and effectiveness dimensions of the Dysphapark fit
the Rasch model well. The efficacy dimension showed significant differences for
gender, length of the illness, awareness of dysphagia and length of meals.
Significant differences were also found in the safety dimension for length and
severity of illness, awareness of dysphagia, speech therapy and knowledge of
thickening agents. Despite the fact that 90% of patients had problems concerning
effectiveness and safety in swallowing, 79.45% were not aware that they suffered
from dysphagia. CONCLUSIONS: The Dysphapark questionnaire is a suitable measure
of dysphagia in PD, according to the Rasch analysis. A high proportion of
patients with PD have dysphagia, although it has been observed that they have a
low level of awareness of the condition, of the consequences it may have and of
the possibility of using thickening agents. Given that some of the swallowing
disorders in PD are asymptomatic and that the level of awareness of the disorder
is low, we recommend including specific questionnaires as well as clinical and
instrumental evaluation of dysphagia in clinical practice.
PMID- 22086422
TI - Gene expression and metabolite profiling of developing highbush blueberry fruit
indicates transcriptional regulation of flavonoid metabolism and activation of
abscisic acid metabolism.
AB - Highbush blueberry (Vaccinium corymbosum) fruits contain substantial quantities
of flavonoids, which are implicated in a wide range of health benefits. Although
the flavonoid constituents of ripe blueberries are known, the molecular genetics
underlying their biosynthesis, localization, and changes that occur during
development have not been investigated. Two expressed sequence tag libraries from
ripening blueberry fruit were constructed as a resource for gene identification
and quantitative real-time reverse transcription-polymerase chain reaction primer
design. Gene expression profiling by quantitative real-time reverse transcription
polymerase chain reaction showed that flavonoid biosynthetic transcript abundance
followed a tightly regulated biphasic pattern, and transcript profiles were
consistent with the abundance of the three major classes of flavonoids.
Proanthocyanidins (PAs) and corresponding biosynthetic transcripts encoding
anthocyanidin reductase and leucoanthocyanidin reductase were most concentrated
in young fruit and localized predominantly to the inner fruit tissue containing
the seeds and placentae. Mean PA polymer length was seven to 8.5 subunits, linked
predominantly via B-type linkages, and was relatively constant throughout
development. Flavonol accumulation and localization patterns were similar to
those of the PAs, and the B-ring hydroxylation pattern of both was correlated
with flavonoid-3'-hydroxylase transcript abundance. By contrast, anthocyanins
accumulated late in maturation, which coincided with a peak in flavonoid-3-O
glycosyltransferase and flavonoid-3'5'-hydroxylase transcripts. Transcripts of
VcMYBPA1, which likely encodes an R2R3-MYB transcriptional regulator of PA
synthesis, were prominent in both phases of development. Furthermore, the
initiation of ripening was accompanied by a substantial rise in abscisic acid, a
growth regulator that may be an important component of the ripening process and
contribute to the regulation of blueberry flavonoid biosynthesis.
PMID- 22086429
TI - [Takotsubo cardiomyopathy as a complication of an epileptic status].
AB - INTRODUCTION: Cardiological complications are the most frequent cause of
mortality in the epileptic status. Takotsubo cardio-myopathy is a recently
reported condition that can appear in a number of medical emergencies, including
epileptic status. CASE REPORT: We present a case of Takotsubo cardiomyopathy
within the context of an epileptic status and we also review similar cases
reported in the literature. Special attention is given to the semiology and
aetiology of the epileptic seizures, patients' epidemiological data, the
alterations noted in the electrocardiogram and the complications that occurred.
The patient, a 43-year-old female, recovered completely both cardiologically and
neurologically, and did not suffer any relapses during the one-year follow-up.
CONCLUSION: Takotsubo cardiomyopathy is a severe, treatable complication that can
occur in the epileptic status.
PMID- 22086430
TI - [Neurosurgical techniques for the neuromodulation of pain].
AB - Neuromodulation for treating pain goes back to the times of the ancient
Egyptians, who applied natural electric currents to modulate the painful
sensation. Since then, this concept has been developed in parallel with the
scientific and technological development, and various forms of neuromodulation
with different indications and characteristics have originated. Chronic pain may
produce significant disability, which leads to important physical, social and
psychological consequences. Peripheral nerve, spinal cord, deep brain and motor
cortex stimulation are safe and effective techniques that control pain and
improve quality of life in selected patients.
PMID- 22086431
TI - [A vertebrobasilar infarct with a possible origin in the carotid artery].
PMID- 22086433
TI - Bilateral third nerve palsy with pupil involvement as the first manifestation of
multiple sclerosis.
PMID- 22086434
TI - [Collaboration between different links: one of the keys to the telestroke
system].
PMID- 22086435
TI - Impact of dietary fiber coatings on behavior of protein-stabilized lipid droplets
under simulated gastrointestinal conditions.
AB - Multilayer emulsions containing lipid droplets coated by lactoferrin (LF) -
anionic polysaccharide layers have improved resistance to environmental stresses
(such as pH, salt, and temperature), but their behavior within the
gastrointestinal tract (GIT) is currently unknown. The objective of this research
was therefore to monitor changes in the physicochemical properties and
digestibility of these systems under simulated GIT conditions. Primary emulsions
(5% corn oil, 0.5% LF) were prepared using a high-pressure homogenizer. Secondary
emulsions (5% corn oil, 0.5% LF, 0.5% polysaccharide) were prepared by
incorporating alginate, low methoxyl pectin (LMP) or high methoxyl pectin (HMP)
into primary emulsions. Emulsions were then subjected to simulated gastric fluid
(SGF) and simulated intestinal fluid (SIF) conditions in sequence. LF, LF-LMP and
LF-HMP emulsions were stable to droplet aggregation in the stomach but aggregated
in the small intestine, whereas LF-alginate emulsions aggregated in both the
stomach and small intestine. The presence of a dietary fiber coating around the
initial lipid droplets had little influence on the total extent of lipid
digestion in SIF, but LF-alginate emulsions had a slower initial digestion rate
than the other emulsions. These results suggest that the dietary fiber coatings
may become detached in the small intestine, or that they were permeable to
digestive enzymes. Pepsin was found to have little influence on the physical
stability or digestibility of the emulsions. The knowledge obtained from this
study is important for the design of delivery systems for encapsulation and
release of lipophilic bioactive ingredients.
PMID- 22086436
TI - How chromatin prevents genomic rearrangements: locus colocalization induced by
transcription factor binding.
AB - The loosening of chromatin structures gives rise to unrestricted access to DNA
and thus transcription factors (TFs) can bind to their otherwise masked target
sequences. Regions bound by the same set of TFs tend to be located in close
proximity and this might increase the probability of activating illegitimate
genomic rearrangements.
PMID- 22086437
TI - If at first you don't succeed: try, try again.
PMID- 22086440
TI - Nonpharmacological therapies for behavioral and cognitive symptoms of mild
cognitive impairment.
AB - OBJECTIVE: Evaluate research on nonpharmacological treatments for symptoms of
mild cognitive impairment (MCI). METHOD: We searched for relevant English
language articles published from 2000 to 2010 using PUBMED and PsycINFO. We
included nonpharmacological interventions for treating cognitive and behavioral
symptoms in persons with MCI other than cognitive/memory training, which has been
reviewed elsewhere. Effect sizes and hazard ratios were calculated when possible.
RESULTS: Current research points to the potential influence of behavioral
interventions on behavioral symptoms. Exercise/diet interventions may alleviate
cognitive deficits, especially cognitive speed and executive functioning, but
possibly not memory--a domain central to MCI. Results were limited by small
sample sizes, lack of rigorous methodology, short follow-ups, and the limited
number of published studies. DISCUSSION: Behavioral, diet, and exercise regimens
show some promise with respect to reducing behavioral and cognitive symptomology.
Rigorous research studies are needed to create more certainty about their
potential to complement drug and/or cognitive therapies.
PMID- 22086441
TI - Activation of C-Cl by ground-state aluminum atoms: an EPR and DFT investigation.
AB - The reaction of ground-state Al atoms with dichloromethane (CH(2)Cl(2)) in an
adamantane matrix at 77 K yielded two mononuclear Al species. The magnetic
parameters, extracted from the axial EPR spectrum of Species A/A' (g(1) = 2.0037,
g(2) = g(3) = 2.0030, a(Al,1) = 1307 MHz, a(Al,2) = a(Al,3) = 1273 MHz, a(35Cl) =
34 MHz and a(37Cl) = 28 MHz) were assigned to the Al-atom insertion product,
ClCH(2)AlCl. Density functional theory (DFT) calculations of the values of the Al
and Cl hyperfine interaction (hfi) of the Cl(1)-Cl(2)gauche conformer were in
close agreement with the experimental values of ClCH(2)AlCl. The second species,
B/B', had identical magnetic parameters to those of ClCH(2)AlCl with the
exception that the Al hfi was 15% smaller. Coordination of a ligand, possessing a
lone pair of electrons, to the Al atom of the insertion product, [ClCH(2)AlCl]:X,
could cause the a(Al) to decrease by 15%. Alternatively, it is possible that the
Cl(1)-Cl(2) anti conformer of ClCH(2)AlCl is also isolated in the matrix. Support
for the spectral assignments is given by calculation of the nuclear hfi of
[ClCH(2)AlCl]:H(2)O and the Cl(1)-Cl(2) anti conformer of ClCH(2)AlCl using a DFT
method. The potential energy hypersurface for an Al atom approaching CH(2)Cl(2),
calculated at the B3LYP level, suggests that Al atom abstraction of Cl forming
AlCl and CH(2)Cl is favoured in the gas phase. When produced in a matrix, the
close proximity of AlCl and CH(2)Cl could account for the formation of
ClCH(2)AlCl. EPR evidence was also found for the formation of the CHCl(2)
radical.
PMID- 22086438
TI - The cardiorenal syndrome in heart failure: cardiac? renal? syndrome?
AB - There has been increasing interest on the so-called cardiorenal syndrome (CRS),
defined as a complex pathophysiological disorder of the heart and kidneys whereby
acute or chronic dysfunction in one organ may induce acute or chronic dysfunction
in the other. In this review, we contend that there is lack of evidence
warranting the adoption of a specific clinical construct such as the CRS within
the heart failure (HF) syndrome by demonstrating that: (a) the approaches and
tools regarding the definition of kidney involvement in HF are suboptimal; (b)
development of renal failure in HF is often confounded by age, hypertension, and
diabetes; (c) worsening of renal function (WRF) in HF may be largely independent
of alterations in cardiac function; (d) the bidirectional association between HF
and renal failure is not unique and represents one of the several such
associations encountered in HF; and (e) inflammation is a common denominator for
HF and associated noncardiac morbidities. Based on these arguments, we believe
that dissecting one of the multiple bidirectional associations in HF and
constructing the so-called cardiorenal syndrome is not justified
pathophysiologically. Fully understanding of all morbid associations and not only
the cardiorenal is of great significance for the clinician who is caring for the
patient with HF.
PMID- 22086442
TI - Data from extension trials: denosumab and zoledronic acid.
AB - Osteoporosis and fractures that occur as a result of this condition pose a huge
public health problem to society and result in morbidity and mortality to
individuals. Because osteoporosis is often a result of aging, many people are not
aware that therapies exist to reduce the risk of fracture. Until recently, the
most common therapies used to treat osteoporosis, the oral bisphosphonates, had
an inconvenient and cumbersome mode of administration. Within the last 4 years,
two new parenteral antiresorptive drugs to treat osteoporosis were approved by
the US Food and Drug Administration. As treatment of osteoporosis may extend for
many years, the collection of long-term efficacy and safety data is warranted.
This paper discusses data from the extension trials of denosumab and zoledronic
acid.
PMID- 22086443
TI - Laparoscopic staging of early ovarian cancer: results of a multi-institutional
cohort study.
AB - BACKGROUND: Minimal access surgery to stage early ovarian cancer (EOC) is still
regarded as investigational among many gynecologic oncologists. Reporting outcome
data from large cohorts is currently the only practical way to further define the
appropriateness of minimally invasive surgery for EOC patients. We sought to
investigate the safety, adequacy, and outcome of laparoscopic staging of EOC by
using a multi-institutional sample. METHODS: Prospectively collected data in
three gynecologic oncology service databases were searched for EOC patients
undergoing laparoscopic staging. Surgical, pathologic, and oncologic outcome data
were analyzed. RESULTS: The study cohort consisted of 82 women. The mean
operative time was 263 +/- 81 minutes. The median estimated blood loss was 100
(range 20-3000) ml. The median number of pelvic and para-aortic lymph nodes
collected was 23 (3-39) and 13 (3-43), respectively. The disease was reclassified
to a higher stage in 21 women (25.6%). No conversion to laparotomy occurred, and
one patient had intraoperative hemorrhage requiring blood transfusion. Thirteen
patients (15.8%) experienced postoperative complications. The median follow-up
period was 28.5 (range 3-86) months. Overall survival and disease-free survival
for the entire cohort were 98.8% and 95.1%, respectively. In the subgroup of
patients who had reached or exceeded 3 years' follow-up (n = 34), 3-year overall
survival and 3-year disease-free survival were 97% and 91.2%, respectively.
CONCLUSIONS: When performed by appropriately skilled surgeons, laparoscopic
comprehensive staging of EOC seems feasible and adequate, with surgicopathologic
results that are reproducible in different practice settings.
PMID- 22086444
TI - Combined analysis of dihydropyrimidine dehydrogenase and human equilibrative
nucleoside transporter 1 expression predicts survival of pancreatic carcinoma
patients treated with adjuvant gemcitabine plus S-1 chemotherapy after surgical
resection.
AB - BACKGROUND: Although postoperative adjuvant chemotherapy for pancreatic ductal
adenocarcinoma (PDAC) improves survival in some patients, the effectiveness
varies by individual, and the results remain unsatisfying. The aim of this study
was to investigate whether intratumoral dihydropyrimidine dehydrogenase (DPD) and
human equilibrative nucleoside transporter 1 (hENT1) expression can predict the
survival of PDAC patients treated with adjuvant gemcitabine plus S-1 (GEM+S-1)
chemotherapy. METHODS: Intratumoral DPD and hENT1 expression were examined by
immunohistochemistry in 86 PDAC patients who received adjuvant GEM+S-1
chemotherapy after surgical resection (all R0 or R1). Relationships between
clinicopathologic factors, including DPD and hENT1 expression, and disease-free
or overall survival were evaluated by univariate and multivariate analyses.
RESULTS: DPD and hENT1 expression had no significant relationship with any other
clinicopathologic factors. A multivariate disease-free survival analysis revealed
that lymph node metastasis (hazard ratio [HR], 2.90: 95% confidence interval
[CI], 1.51-5.90; P = 0.001), DPD expression (HR 2.47; 95% CI 1.37-4.44; P =
0.003), and hENT1 expression (HR 2.55; 95% CI 1.37-4.64; P = 0.004) as
independent factors. Multivariate overall survival analysis also identified pT
factor (HR 3.47; 95% CI 1.08-15.8; P = 0.03), lymph node metastasis (HR 2.08; 95%
CI 1.01-4.57; P = 0.04), DPD expression (HR 1.98; 95% CI 1.06-3.71; P = 0.03),
and hENT1 expression (HR 2.18; 95% CI 1.10-4.19; P = 0.02) as independent
factors. CONCLUSIONS: Combined analysis of DPD and hENT1 expression predicts the
survival of PDAC patients treated with adjuvant GEM+S-1 chemotherapy.
PMID- 22086445
TI - Transient but not stable ZEB1 knockdown dramatically inhibits growth of malignant
pleural mesothelioma cells.
AB - BACKGROUND: The role of ZEB1, a master epithelial-to-mesenchymal transition gene,
in malignant pleural mesothelioma (MPM) is unclear. METHODS: The expression of
ZEB1, E-cadherin, vimentin, and epithelial cell adhesion molecule (EpCAM) in 18
MPM cell lines and a normal pleural mesothelial cell line MeT-5A was determined
by quantitative real-time polymerase chain reaction and Western blot testing. RNA
interference-mediated transient and/or stable knockdown of ZEB1 and EpCAM was
performed. Microarray expression analysis was performed with a TORAY-3D gene
chip. Growth was evaluated by colorimetric proliferation and colony formation
assays. Luciferase reporter assay was performed to access the effects of ZEB1
knockdown on EpCAM promoter activity. RESULTS: Most MPM cell lines exhibited
mesenchymal phenotype and expressed ZEB1. Transient ZEB1 knockdown suppressed
growth in all four cell lines studied (ACC-MESO-1, H2052, Y-MESO-8A, Y-MESO-29)
while stable ZEB1 knockdown suppressed growth only in Y-MESO-29. Genome-wide gene
expression analysis revealed that EpCAM was the most prominently up-regulated
gene by both transient and stable ZEB1 knockdown in ACC-MESO-1, with more marked
up-regulation in stable knockdown. We hypothesized that EpCAM up-regulation
counteracts the stable ZEB1 knockdown-induced growth inhibition in ACC-MESO-1.
Transient EpCAM knockdown suppressed growth dramatically in ACC-MESO-1 cells
expressing shZEB1 but only modestly in those expressing shGFP, supporting our
hypothesis. Luciferase reporter assay showed that ZEB1 knockdown resulted in
increased EpCAM promoter activity. EpCAM was also up-regulated in Y-MESO-29
expressing shZEB1, but this EpCAM up-regulation did not counteract ZEB1knockdown
induced growth suppression, suggesting that the counteracting effects of EpCAM
may be cellular context dependent. CONCLUSIONS: RNA interference-mediated ZEB1
knockdown may be a promising therapeutic strategy for MPM, but one has to
consider the possibility of diminished growth inhibitory effects of long-term
ZEB1 knockdown, possibly as a result of EpCAM up-regulation and/or other gene
expression changes resulting from ZEB1 knockdown.
PMID- 22086446
TI - Ethical considerations in clinical research.
PMID- 22086447
TI - Bortezomib-induced sensitization of malignant human glioma cells to vorinostat
induced apoptosis depends on reactive oxygen species production, mitochondrial
dysfunction, Noxa upregulation, Mcl-1 cleavage, and DNA damage.
AB - Glioblastomas are invasive tumors with poor prognosis despite current therapies.
Histone deacetylase inhibitors (HDACIs) represent a class of agents that can
modulate gene expression to reduce tumor growth, and we and others have noted
some antiglioma activity from HDACIs, such as vorinostat, although insufficient
to warrant use as monotherapy. We have recently demonstrated that proteasome
inhibitors, such as bortezomib, dramatically sensitized highly resistant glioma
cells to apoptosis induction, suggesting that proteasomal inhibition may be a
promising combination strategy for glioma therapeutics. In this study, we
examined whether bortezomib could enhance response to HDAC inhibition in glioma
cells. Although primary cells from glioblastoma multiforme (GBM) patients and
established glioma cell lines did not show significant induction of apoptosis
with vorinostat treatment alone, the combination of vorinostat plus bortezomib
significantly enhanced apoptosis. The enhanced efficacy was due to proapoptotic
mitochondrial injury and increased generation of reactive oxygen species. Our
results also revealed that combination of bortezomib with vorinostat enhanced
apoptosis by increasing Mcl-1 cleavage, Noxa upregulation, Bak and Bax
activation, and cytochrome c release. Further downregulation of Mcl-1 using shRNA
enhanced cell killing by the bortezomib/vorinostat combination. Vorinostat
induced a rapid and sustained phosphorylation of histone H2AX in primary GBM and
T98G cells, and this effect was significantly enhanced by co-administration of
bortezomib. Vorinostat/bortezomib combination also induced Rad51 downregulation,
which plays an important role in the synergistic enhancement of DNA damage and
apoptosis. The significantly enhanced antitumor activity that results from the
combination of bortezomib and HDACIs offers promise as a novel treatment for
glioma patients.
PMID- 22086450
TI - Comparing the performance of QuantiFERON-TB Gold and Mantoux test in detecting
latent tuberculosis infection among Iranian health care workers.
AB - OBJECTIVES: The risk of transmission of Mycobacterium tuberculosis from patients
with tuberculosis to health care workers (HCWs) has been well documented but
little is known about the prevalence of latent tuberculosis infection (LTBI) in
Iranian HCWs. The aim of this study was to determine the prevalence of LTBI among
HCWs by using IFNgamma-release assay and compare the results with those of
tuberculin skin test (TST). METHODS: Two hundred HCWs were evaluated with both
TST and QuantiFERON-TB Gold In Tube test (QFT-GIT). The obtained data were
analyzed by SPSS v.16 Software. RESULTS: The participants were 73 males and 127
females with the mean age of 34.36+/-8.26 years. TST was positive in 105 cases
(52.5%) and the QFT results were positive in 17 cases (8.5%). There was poor
agreement between the two tests (53%, kappa = 0.115). Induration diameter of TST
>= 10 mm and working duration >= 10 years were independent predictors for
positive QFT (p = 0.004). CONCLUSIONS: Due to the fact that BCG vaccination has
been administered routinely to all HCWs in Iran, specific tests should be
introduced for high risk groups. QFT thus seems to be more effective for LTBI
diagnosis than TST among HCWs with BCG immunization history.
PMID- 22086449
TI - Validation of the respiratory toxics exposure score (RTES) for chronic
obstructive pulmonary disease screening.
AB - OBJECTIVE: Our aim is to evaluate the validity of exhaled carbon monoxide (CO)
and of a newly-created score as markers of Chronic Obstructive Pulmonary Disease
(COPD). MATERIALS AND METHODS: The CO level was measured in a derivation
subsample of a cross-sectional study and linked to COPD diagnosis; its predictors
were evaluated, and a scale was constructed. It was evaluated in a validation
subsample and in a clinical setting. RESULTS: Individuals with COPD had higher CO
levels than healthy individuals. CO level significant predictors were cigarettes
per day, waterpipes per week, lower age, male gender, living close to diesel
exhaust, heating home with the use of diesel, and having indoor family smokers. A
score composed of CO predictors was able to significantly predict COPD (Ora = 4
7.5). CONCLUSIONS: Coupled with the clinical judgment of physicians, this scale
would be an excellent low-cost tool for screening COPD, in absence of spirometry.
PMID- 22086451
TI - Effect of regular physical activity on non-lipid (novel) cardiovascular risk
factors.
AB - OBJECTIVES: Cardiovascular - coronary heart disease, causing heart attack and
heart failure is a leading cause of disability and death worldwide. The most
important risk factors for CVD are well-established and physical activity has
long been considered the cornerstone of interventions and has shown extremely
important in reducing the burden of this disease. The aim of this study was to
examine the association between activity and inactivity and non-lipid CVD risk
factors in healthy military recruits. MATERIALS AND METHODS: Selected hormones
and variables such as testosterone, free testosterone, Vitamin D, homocysteine,
folic acid, with the inflammatory cytokines such as hsCRP, TNF-alpha and IL-6
were assessed in two groups (active = 50, inactive = 50), randomly matched by a
questionnaire. Relationships between some measured variables and CVD risk factors
were determined using simple correlation procedures. RESULTS: Physically active
subjects had significantly lower results of homocysteine (14.3+/-1.9 vs. 16.5+/
3.7, p < 0.000) and interleukin-6 (2.9.+/-5.3 vs. 6+/-8.2, p< 0.025) and tended
to have a nearly significantly higher free testosterone level (13.1+/-7.1 vs.
11+/-5.3, p = 0.08). Significant correlations were found between BMI, WC, tHcy,
and the corresponding risk factors; and also between hsCRP and IL-6, as well as
TT and FT. CONCLUSIONS: Reducing the risk factors of developing CVD events such
as homocysteine and interleukin-6, together with increasing free testosterone and
significantly preventing its progression, clearly serve to underscore the
beneficial properties of physical activity and to promote its effectiveness as a
support means for healthier lifestyles in the community and, particularly, among
military personnel.
PMID- 22086452
TI - Polish mother and child cohort study (REPRO_PL)--methodology of follow-up of the
children.
AB - BACKGROUND: A prospective cohort study design gives the opportunity for
identification, update of different exposures and their verification by biomarker
measurements. The aim of The Polish Mother and Child Cohort Study (REPRO_PL) is
to evaluate the impact of exposure to different environmental factors during
pregnancy and, after birth, on the pregnancy outcome, children's health and
neurodevelopment. MATERIALS AND METHODS: REPRO_PL cohort was established in 2007.
From the cohort of 1300 mother-child pairs, 300 children are followed-up until
they are two years old to asses the exposure, health status and neurodevelopment.
Children are examined twice: at one and two years of age by a pediatrician and a
psychologist/child development specialist. During each visit, detailed
questionnaire is conducted with the mothers and supplemented with the information
from the medical charts to have appropriate recognition of the child's health and
development. Additionally, the current health status of the child is checked and
his/her biometry is performed. A urine sample is collected from each child for
the verification of environmental tobacco smoke (ETS) and polycyclic aromatic
hydrocarbons (PAH) exposure. Some children have a blood sample collected for the
assessment of the lead and cadmium levels. Child's neurodevelopment is assessed
based on Bayley Scales for Infant and Toddler Development (Bayley-III). RESULTS
AND CONCLUSIONS: The results of the study will become available within the next
few years and will help to determine the impact of the environmental exposures on
children's health and neurodevelopment. REPRO_PL cohort is a middle-sized cohort,
very much focused on specific research questions with the potential for future
extension and cooperation.
PMID- 22086453
TI - Asbestos abatement workers versus asbestos workers: exposure and health-effects
differ.
PMID- 22086455
TI - The urea renaissance.
AB - Over the last decade the use of urea derivatives as useful reagents, catalysts,
and structural features in organic chemistry has increased rapidly. They now find
utility as hydrogen-bond donors in organocatalysts and anion transporters, as
important scaffolds in supramolecular chemistry, as lithiation directors,
amination substrates, and promoters of metalation, and as substrates for novel
rearrangement reactions. Highlighted herein is the remarkably rapid and recent
development of the chemistry of ureas, which for many years had been considered
unreactive, intractable, and of little value.
PMID- 22086457
TI - Living-donor liver transplantation for moderate or severe porto-pulmonary
hypertension accompanied by pulmonary arterial hypertension: a single-centre
experience over 2 decades in Japan.
AB - BACKGROUND: Candidates for orthotopic liver transplantation (OLT) often have
porto-pulmonary hypertension (PPHTN) with pulmonary arterial hypertension (PAH).
Poor outcomes of PPHTN contraindicate OLT. There are no guidelines for living
donor liver transplantation (LDLT) in PPHTN patients. METHODS: We present our
experiences of LDLT in six patients with moderate or severe PPHTN, along with our
institutional guidelines. Three had liver cirrhosis and three were non-cirrhotic.
Catheterization studies were undertaken before, during and after LDLT, and the
mean pulmonary arterial pressure (mPAP), cardiac output (CO), pulmonary vascular
resistance and total peripheral resistance (TPR) were monitored. RESULTS: The
results showed significant differences in CO and TPR between cirrhotic and non
cirrhotic patients before, during and after LDLT. Cirrhotic patients showed
systemic hyperdynamic state. Two cirrhotic patients showed poor responses to pre
transplant treatment, and continued to have increased PAH and poor clinical
courses after LDLT. LDLT has an advantage of flexible timing of LT. Currently in
our institution, PPHTN patients with mPAP <40 mmHg are registered for LDLT after
treatment and catheterization. However, LDLT is performed when mPAP is <=35 mmHg,
leading to improved outcomes. CONCLUSION: PPHTN patients with well-controlled
PAH, or secondary PAH resulting from porto-systemic shunts, may be appropriate
candidates for LDLT after careful considerations.
PMID- 22086458
TI - Application of direct thermal desorption gas chromatography time-of-flight mass
spectrometry for determination of nonpolar organics in low-volume samples from
ambient particulate matter and personal samplers.
AB - Direct thermal desorption and in-situ derivatization thermal desorption methods
in conjunction with gas chromatography time-of-flight mass spectrometry have been
characterized and evaluated for analysis of trace components from filters loaded
with ambient particulate matter (PM). The limits of quantification were in the
range of 7-24 pg for n-alkanes, 20 pg for hopanes, and 4-22 pg for polycyclic
aromatic hydrocarbons (PAH). The limit of quantification was defined as the
minimum amount of substance that conforms to the minimum distinguishable signal
plus 9 times the standard deviation of this background signal from PM-loaded
filters. The method has been successfully applied to low-volume samples from
ambient PM collected with stationary and personal samplers. Stationary samples
were collected in winter 2008 and 2010 in Augsburg, Germany. Sample aliquots of
0.2-0.3 m3 from stationary sampling were analyzed. High diurnal variation in
concentration and source contribution was found especially during periods with
low wind speed and low mixing layer height. High contributions of solid fuel
combustion (wood and coal) were found in evening and nighttime samples, leading
to peak PAH concentrations at midnight more than 10 times higher than at noon.
Finally, the method was applied to samples collected by means of a personal
sampler, i.e. a micro aethalometer, in Xi'an, China. Quantitative data on n
alkanes, hopanes, and PAH were obtained from sample volumes of 17 and 24 l. The
impact of different sources such as vehicular and biogenic emissions could be
distinguished.
PMID- 22086459
TI - Combinable poly(dimethyl siloxane) capillary sensor array for single-step and
multiple enzyme inhibitor assays.
AB - We describe a new method for fabricating a capillary-type sensor, called a
combinable poly(dimethyl siloxane) (PDMS) capillary (CPC) sensor. The method for
preparing the CPC simplifies enzyme inhibitor assays into a simple, single step
assay. The sample inhibitor solution is introduced by capillary action. This
triggers the spontaneous dissolution of physically adsorbed fluorescent
substrates, and the substrate mixes with the inhibitor. This is followed by
competitive reaction with insoluble enzyme to give a fluorescence response. CPC
is composed of a convex-shaped PDMS stick containing reagents immobilized in an
insoluble coating, and a concave-shaped PDMS stick containing reagents
immobilized in a soluble coating. Since the concave-shaped PDMS has a deeper
channel than the convex structure, combining these PDMS sticks is like closing
the zipper of a "freezer bag". This allows easy fabrication of "thin and long"
capillary structures containing different reagents inside the same capillary,
without the need for precise alignment. This method allows the immobilization of
two reactive reagents, such as enzyme and substrate required for a single step
assay, which are typically very difficult to immobilize using commercially
available conventional capillaries. Furthermore, by simply arraying various CPCs,
the CPC sensor allows multiple assays. Here, we carried out a single-step enzyme
inhibitor assay using the CPC. In addition, two independent CPCs were arrayed to
demonstrate multiple assaying of a protease inhibitor.
PMID- 22086460
TI - A comparative study on the B12N12, Al12N12, B12P12 and Al12P12 fullerene-like
cages.
AB - The stability, geometry and electronic structure of the title nanoclusters were
compared by using density functional theory (DFT) calculations. Their electrical
property analysis showed that the relative magnitude of the HOMO-LUMO gaps (eV)
that are average values from the calculated results with five different DFT
functionals is as follows:
B12N12(7:02)>>Al12N12(4.09)>B12P12(3.80)>Al12P12(3.39). Computing the standard
enthalpy and the Gibbs free energy of formation, it was found that the B(12)N(12)
structure is thermodynamically stable at 298 K and 1 atmosphere of pressure,
while the Al(12)N(12) structure may be stable at low temperatures. Due to
positive values of change of enthalpy and entropy of formation for both the
B(12)P(12) and Al(12)P(12) clusters, it seems that their formation from the
consisting atoms is not spontaneous at any temperature.
PMID- 22086461
TI - Aminoglycoside induced nephrotoxicity: molecular modeling studies of calreticulin
gentamicin complex.
AB - Gentamicin is a member of aminoglycoside group of broad spectrum antibiotics. It
impairs protein synthesis by binding to A site of the 30S subunit of bacterial
ribosomes. One of the main side effects of this drug is nephrotoxicity. The drug
is known to bind to calreticulin, a chaperone essential for the folding of
glycosylated proteins. We provide a detailed structural insight of the
calreticulin-gentamicin complex by molecular modeling and the binding of the drug
in the presence of explicit solvent was analyzed by molecular dynamics
simulation. The gentamicin molecule binds to the lectin site of the calreticulin
and lies in the concave channel formed by the long beta sheets. It makes
interactions with residues Tyr109, Asp125, Asp135, Asp317 and Trp319 which are
crucial for the chaperone function of the calreticulin. The superimposing of the
modeled complex with the only available crystal structure complex of calreticulin
with a tetrasaccharide (Glc(1)Man(3)) shows interesting features. First, the
rings of the gentamicin occupy the positions of glucose and the first two mannose
sugars of the tetrasaccharide molecule. Second, the oxygen atoms of the
glycosidic linkage of these two ligands have a positional deviation of 1.3 A. The
predicted binding constant of 16.9 MUM is in accordance with the previous kinetic
study experiments. The details therefore, strongly implicate gentamicin as a
competitive inhibitor of sugar binding with calreticulin.
PMID- 22086462
TI - Systematics-guided bioprospecting for bioactive microbial natural products.
AB - Advances in the taxonomic characterization of microorganisms have accelerated the
rate at which new producers of natural products can be understood in relation to
known organisms. Yet for many reasons, chemical efforts to characterize new
compounds from new microbes have not kept pace with taxonomic advances. That
there exists an ever-widening gap between the biological versus chemical
characterization of new microorganisms creates tremendous opportunity for the
discovery of novel natural products through the calculated selection and study of
organisms from unique, untapped, ecological niches. A systematics-guided
bioprospecting, including the construction of high quality libraries of marine
microbes and their crude extracts, investigation of bioactive compounds, and
increasing the active compounds by precision engineering, has become an efficient
approach to drive drug leads discovery. This review outlines the recent advances
in these issues and shares our experiences on anti-infectious drug discovery and
improvement of avermectins production as well.
PMID- 22086463
TI - Gene expression modulation by heat stress in Acidithiobacillus ferrooxidans LR.
AB - During bioleaching, Acidithiobacillus ferrooxidans is subjected to different
types of stress, including heat stress, which affect bacterial growth. In this
work, real time quantitative PCR was used to analyze the expression of heat shock
genes, as well as genes that encode proteins related to several functional
categories in A. ferrooxidans. Cells were submitted to long-term growth and heat
shock, both at 40 degrees C. The results showed that heat shock affected the
expression levels of most genes investigated, whilst long-term growth at 40
degrees C resulted in minor changes in gene expression, except for certain genes
related to iron transport, which were strongly down-regulated, suggesting that
the iron processing capability of A. ferrooxidans was affected by long-term
growth at 40 degrees C. A bioinformatic analysis of the genes' promoter regions
indicated a putative transcriptional regulation by the sigma(32) factor in 12 of
the 31 genes investigated, suggesting the involvement of other regulatory
mechanisms in the response of A. ferrooxidans to heat stress.
PMID- 22086464
TI - Sertraline inhibits formalin-induced nociception and cardiovascular responses.
AB - The objective of the present study was to determine the antihyperalgesic effect
of sertraline, measured indirectly by the changes of sciatic afferent nerve
activity, and its effects on cardiorespiratory parameters, using the model of
formalin-induced inflammatory nociception in anesthetized rats. Serum serotonin
(5-HT) levels were measured in order to test their correlation with the analgesic
effect. Male Wistar rats (250-300 g) were divided into 4 groups (N = 8/per
group): sertraline-treated group (Sert + Saline (Sal) and Sert + Formalin (Form);
3 mg.kg-1.day-1, ip, for 7 days) and saline-treated group (Sal + Sal and Sal +
Form). The rats were injected with 5% (50 uL) formalin or saline into the right
hind paw. Sciatic nerve activity was recorded using a silver electrode connected
to a NeuroLog apparatus, and cardiopulmonary parameters (mean arterial pressure,
heart rate and respiratory frequency), assessed after arterial cannulation and
tracheotomy, were monitored using a Data Acquisition System. Blood samples were
collected from the animals and serum 5-HT levels were determined by ELISA.
Formalin injection induced the following changes: sciatic afferent nerve activity
(+50.8 +/- 14.7%), mean arterial pressure (+1.4 +/- 3 mmHg), heart rate (+13 +/-
6.8 bpm), respiratory frequency (+4.6 +/- 5 cpm) and serum 5-HT increased to 1162
+/- 124.6 ng/mL. Treatment with sertraline significantly reduced all these
parameters (respectively: +19.8 +/- 6.9%, -3.3 +/- 2 mmHg, -13.1 +/- 10.8 bpm,
9.8 +/- 5.7 cpm) and serum 5-HT level dropped to 634 +/- 69 ng/mL (P < 0.05).
These results suggest that sertraline plays an analgesic role in formalin-induced
nociception probably through a serotonergic mechanism.
PMID- 22086465
TI - Effects of simultaneous exposure to stress and nicotine on nicotine-induced
locomotor activation in adolescent and adult rats.
AB - Preclinical studies have shown that repeated stress experiences can result in an
increase in the locomotor response to the subsequent administration of drugs of
abuse, a phenomenon that has been termed behavioral cross-sensitization.
Behavioral sensitization reflects neuroadaptive processes associated with drug
addiction and drug-induced psychosis. Although cross-sensitization between stress
and drug-induced locomotor activity has been clearly demonstrated in adult rats,
few studies have evaluated this phenomenon in adolescent rats. In the present
study, we determined if the simultaneous exposure to stress and nicotine was
capable of inducing behavioral sensitization to nicotine in adolescent and adult
rats. To this end, adolescent (postnatal day (P) 28-37) and adult (P60-67) rats
received nicotine (0.4 mg/kg, sc) or saline (0.9% NaCl, sc) and were immediately
subjected to restraint stress for 2 h once a day for 7 days. The control group
for stress was undisturbed following nicotine or saline injections. Three days
after the last exposure to stress and nicotine, rats were challenged with a
single dose of nicotine (0.4 mg/kg, sc) or saline and nicotine-induced locomotion
was then recorded for 30 min. In adolescent rats, nicotine caused behavioral
sensitization only in animals that were simultaneously exposed to stress, while
in adult rats nicotine promoted sensitization independently of stress exposure.
These findings demonstrate that adolescent rats are more vulnerable to the
effects of stress on behavioral sensitization to nicotine than adult rats.
PMID- 22086466
TI - MMP-1/PAR-1 signal transduction axis and its prognostic impact in esophageal
squamous cell carcinoma.
AB - The matrix metalloprotease-1 (MMP-1)/protease-activated receptor-1 (PAR-1) signal
transduction axis plays an important role in tumorigenesis. To explore the
expression and prognostic value of MMP-1 and PAR-1 in esophageal squamous cell
carcinoma (ESCC), we evaluated the expression of two proteins in resected
specimens from 85 patients with ESCC by immunohistochemistry. Sixty-two (72.9%)
and 58 (68.2%) tumors were MMP-1- and PAR-1-positive, respectively, while no
significant staining was observed in normal esophageal squamous epithelium. MMP-1
and PAR-1 overexpression was significantly associated with tumor node metastasis
(TNM) stage and regional lymph node involvement. Patients with MMP-1- and PAR-1
positive tumors, respectively, had poorer disease-free survival (DFS) than those
with negative ESCC (P = 0.002 and 0.003, respectively). Univariate analysis
showed a significant relationship between TNM stage [hazard ratio (HR) = 2.836,
95% confidence interval (CI) = 1.866-4.308], regional lymph node involvement (HR
= 2.955, 95%CI = 1.713-5.068), MMP-1 expression (HR = 2.669, 95%CI = 1.229
6.127), and PAR-1 expression (HR = 1.762, 95%CI = 1.156-2.883) and DFS.
Multivariate analysis including the above four parameters identified TNM stage
(HR = 2.035, 95%CI = 1.167-3.681), MMP-1 expression (HR = 2.109, 95%CI = 1.293
3.279), and PAR-1 expression (HR = 1.967, 95%CI = 1.256-2.881) as independent and
significant prognostic factors for DFS. Our data suggest for the first time that
MMP-1 and PAR-1 were both overexpressed in ESCC and are novel predictors of poor
patient prognosis after curative resection. The MMP-1/PAR-1 signal transduction
axis might be a new therapeutic target for future therapies tailored against
ESCC.
PMID- 22086467
TI - Postural balance in patients with social anxiety disorder.
AB - Body stability is controlled by the postural system and can be affected by fear
and anxiety. Few studies have addressed freezing posture in psychiatric
disorders. The purpose of the present study was to assess posturographic behavior
in 30 patients with social anxiety disorder (SAD) and 35 without SAD during
presentation of blocks of pictures with different valences. Neutral images
consisted of objects taken from a catalog of pictures, negative images were
mutilation pictures and anxiogenic images were related to situations regarding
SAD fears. While participants were standing on a force platform, similar to a
balance, displacement of the center of pressure in the mediolateral and
anteroposterior directions was measured. We found that the SAD group exhibited a
lower sway area and a lower velocity of sway throughout the experiment
independent of the visual stimuli, in which the phobic pictures, a stimulus
associated with a defense response, were unable to evoke a significantly more
rigid posture than the others. We hypothesize that patients with SAD when
entering in a situation of exposure, from the moment the pictures are presented,
tend to move less than controls, remaining this way until the experiment ends.
This discrete body manifestation can provide additional data to the
characterization of SAD and its differentiation from other anxiety disorders,
especially in situations regarding facing fear.
PMID- 22086468
TI - Endovascular stent placement for the treatment of nutcracker syndrome.
AB - A patient presented with recurrent macroscopic hematuria, persistent orthostatic
proteinuria and intermittent flank pain for 12 months. Doppler ultrasound,
computed tomography angiography and selective renal vein phlebography revealed
the compression of the left renal vein by the abdominal aorta. The patient was
diagnosed with nutcracker syndrome and underwent endovascular stent placement. No
intraoperative or postoperative complications occurred. The clinical symptoms
completely resolved 14 days after the operation. At a follow-up of 6 months, the
patient continued to be asymptomatic.
PMID- 22086469
TI - Is there a link between Escherichia coli septicemia and the onset of systemic
lupus erythematosus? Comment on: overlapping juvenile idiopathic arthritis and
systemic lupus erythematosus: a case report (Rheumatol Int. 2011 May; 31(5):695
698).
PMID- 22086470
TI - Cross-cultural adaptation, validation, and responsiveness of the Korean version
of the AUSCAN Osteoarthritis Index.
AB - The Australian/Canadian Osteoarthritis Hand Index (AUSCAN) is a patient self
reported 15-item questionnaire measuring the severity of hand osteoarthritis
symptoms in the respect of pain, stiffness, and function. In this study, we
developed a Korean version of the AUSCAN Index (K-AUSCAN) and confirmed its
reliability, validity, and responsiveness. The AUSCAN Index was translated into
Korean by 3 translators and translated back into English by 3 different
translators. In a group of 53 patients with clinical hand osteoarthritis (mean
age 58.3 +/- 7.6 years), validity was evaluated against other outcome measures,
including the Functional Index for Hand Osteoarthritis (FIHOA) and
Multidimensional Health Assessment Questionnaire (MDHAQ). Test-retest reliability
was assessed at a 2-weeks interval in 51 patients. Internal consistency of K
AUSCAN was evaluated by Cronbach's alpha. Responsiveness was measured by
standardized response mean (SRM). The test-retest reliability of K-AUSCAN yielded
intraclass correlation coefficient of 0.46 for pain, 0.58 for stiffness, and 0.67
for function. The internal consistency of K-AUSCAN was satisfactory with
Cronbach's alpha of 0.89 for pain and 0.93 for function. The K-AUSCAN index
showed good correlation with other measures (r (2) was 0.67 for K-AUSCAN pain and
MDHAQ pain; r (2) was 0.72 for K-AUSCAN function and FIHOA). The pain and
function of K-AUSCAN correlated substantially with each other and moderately with
stiffness subscale. The average SRM for K-AUSCAN pain, stiffness, and function
was -0.92, -0.48, and -0.84, respectively. The Korean version of the AUSCAN Index
is a valid, reliable, and responsive tool for the assessment of hand
osteoarthritis symptoms.
PMID- 22086471
TI - Ankylosing spondylitis is characterized by an increased turnover of several
different metalloproteinase-derived collagen species: a cross-sectional study.
AB - Ankylosing spondylitis (AS) is characterized by gradual cementation of the
vertebrae, a process that is described by excessive extracellular matrix
remodeling. Specific matrix metalloproteinase (MMP)-derived collagen fragments
are released to the circulation, and measurement of those might act as biomarkers
of ankylosis. The aim of the study was to investigate the diagnostic value of
five novel assays measuring different collagen species. Five newly developed
ELISAs measuring MMP-degraded collagen fragments in serum of 40 AS patients and
40 age-matched controls were measured: collagen type I (C1M), type II (C2M), type
III (C3M), type IV (C4M) and type VI (C6M) as well as the bone formation marker
osteocalcin. The levels of the five collagen neoepitopes were significantly
higher in AS patients, except for osteocalcin. Cartilage degradation (C2M) was
only significantly correlated with the basement membrane (C4M) in the AS
patients. In contrast, C3M was significantly correlated with all of the other
collagen markers. The highest diagnostic value was achieved when combining the
C2M, C3M and C6M markers, AUC 87% (P < 0.0001). Moreover, a combination of the
markers correlated with the clinical mSASS score (P = 0.004, R = 0.44). Novel and
unique biomarkers of tissue remodeling may provide diagnostic value and aid in
understanding of the AS pathology. Each of the biomarkers tells a unique story,
and by combining them in a panel there, we found a strong correlation with
mSASSS. We speculate that such panel will be a valuable tool for monitoring
patients as effect of treatment, for the prediction of responders and for
diagnostic purposes.
PMID- 22086472
TI - Evaluation of the mean platelet volume in children with familial Mediterranean
fever.
AB - To evaluate the Mean Platelet Volume (MPV) levels in children diagnosed with
familial Mediterranean fever (FMF), during attack and attack-free periods. The
records of a total of 117 children with FMF, diagnosed using the Tel-Hashomer
criteria, have been scanned. The study consisted of 53 patients during an attack
(group 1), 64 patients in attack-free period (group 2), and 57 healthy controls
(group 3). Erythrocyte sedimentation rate, C-reactive protein, white blood cell
count, platelet count, and MPV levels were retrospectively recorded. The MPV and
platelet values in FMF patients during attack (group 1) and FMF patients during
attack-free periods (group 2) have been found to be significantly higher than
those of the health control group (group 3). Positive correlation has been found
between the MPV and platelet values in Group 1 and the disease's severity score
(r = 0.224, and r = 0.268, respectively). Positive correlation (r = 0.528, and r
= 0.485, respectively) has been also identified between MPV and blood platelet
count in patients in Group 1 and 2. No correlation was found between the
Colchicine treatment period and MPV (r = -0.005). The MPV values in the complete
group of FMF diagnosed children have been found to be much higher compared to
those in healthy children. As a consequence, we consider the MPV value as a
useful marker that demonstrates the risk of early stage atherosclerosis in
children with FMF.
PMID- 22086473
TI - Spinal cord stimulation: a review.
AB - Spinal cord stimulation (SCS) is a safe and effective treatment of a variety of
chronic pain conditions. As our understanding of the mechanisms of action and
potential uses of SCS has evolved, clinical and technological advancements have
followed. This review provides an overview of potential mechanisms of action of
SCS, evidence for its effectiveness, potential complications, and highlights of
developing areas of interest.
PMID- 22086474
TI - Residents contributing to inpatient quality: blending learning and improvement.
AB - BACKGROUND: Quality improvement (QI) initiatives reduce medical errors and are an
important aspect of resident physician training. Many institutions have limited
funding and few QI experts, making it essential to develop effective programs
that require only modest resources. We describe a resident-led, hospitalist
facilitated limited root cause analysis (RCA) QI program developed to meet
training needs and institutional constraints. METHODS: We initiated a monthly
quality improvement conference (QIC) at the Mount Sinai Hospital in New York
City, New York. Before each conference, a third-year resident investigated a
patient care issue and completed a limited RCA. At the QIC, the findings were
presented to the Internal Medicine residents, followed by a chief resident and
hospitalist-facilitated group discussion. All proposed interventions were
recorded, and selected interventions were later implemented. The success of these
interventions in achieving permanent system-wide change or resident behavior
change was tracked. Residents' views on the conferences were solicited via an
anonymous questionnaire. RESULTS: Twenty conferences were held over the first 22
months of the program. Twenty-five (54%) of the 46 suggested interventions were
initiated. Eighteen (72%) attempted interventions resulted in system-wide change
or resident behavior change. Fifty-three residents evaluated the quality of the
conferences. The majority believed the conferences were high quality (98%) and
led to patient care improvements (96%). CONCLUSIONS: Resident-led modified RCAs
are an effective method of integrating QI efforts into resident training. As
front line providers, residents are uniquely positioned to identify and implement
system changes that benefit patients. Conferences were implemented without
overburdening facilitators or participants.
PMID- 22086475
TI - Scintigraphic imaging in Tako-Tsubo cardiomyopathy.
AB - Tako-Tsubo cardiomyopathy (TTC, stress cardiomyopathy) is more common in elderly
women and its symptoms can be deceptively similar to those of acute myocardial
infarction. Although coronary arteries appear normal on coronary angiograms, a
shape like a Tako-Tsubo (a Japanese fishing pot for trapping octopuses) can be
observed on left ventriculogram. TTC is generally considered to be a trigger for
sudden cardiac death. The onset mechanism of TTC is still controversial.
Catecholamine cardiotoxicity and/or coronary circulation disturbance due to
coronary microvascular spasm and/or neurogenic stunned myocardium as a result of
autonomic imbalance are considered to be the most likely causes. In this review,
we assess the usefulness of myocardial scintigraphic imaging in TTC patients on
the basis of the results obtained from earlier studies and case reports in order
to establish a deeper understanding of the pathophysiological mechanism of this
syndrome.
PMID- 22086476
TI - Coincidence of coronary artery disease and Tako-Tsubo cardiomyopathy.
AB - Tako-Tsubo cardiomyopathy (TTC) predominantly affects elderly people with a high
prevalence of cardiovascular risk factors. Therefore, one would expect to
encounter incidental coronary artery disease in a significant number of cases. In
fact, the prevalence of mild coronary artery disease (CAD), by angiography, has
been reported to be in the range of 30%-60%. Similarly, more severe stenotic
lesions in at least one coronary vessel were incidentally found in 10%-35% of
patients with the disease. Using intravascular ultrasound in a series of 10
patients with TTC, coronary atherosclerosis was demonstrable in all patients,
although five patients had normal coronary angiograms. Therefore, TTC and CAD are
not mutually exclusive disease entities. The incidental finding of coronary
lesions, even if significant, should not automatically lead to a dismissal of the
diagnosis of TTC. Rather, a case-by-case approach using additional imaging
modalities should be endorsed.
PMID- 22086477
TI - [Historical and current pathophysiological concepts of stress (Tako-Tsubo)
cardiomyopathy].
AB - Tako-Tsubo cardiomyopathy (TTC), also referred to as stress cardiomyopathy (SCM),
was first described in the 1990s and is characterized by transient left
ventricular dysfunction. Its incidence represents 1-2% of all acute coronary
syndromes (ACS). In most cases extreme emotional or physical stress precedes this
syndrome. The majority of patients affected are postmenopausal women. Since its
first description, various hypotheses regarding the pathophysiology of TTC have
been discussed. From a historical perspective, coronary vasospasm has often been
proposed as a possible cause of this disorder. However, reviews from numerous
registries were able to demonstrate that coronary vasospasm plays only a minor
role in the pathogenesis of TTC. Several groups showed disturbances in myocardial
microcirculation and energy metabolism in the acute phase of TTC. Nevertheless,
with regard to the data currently available, it cannot be differentiated whether
these changes are the cause or rather the result of TTC. However, recent concepts
include an excessive catecholamine overload and morphological changes which are
unequivocally documented in TTC. The relation between elevated catecholamine
levels and myocardial dysfunction analogous to TTC could be confirmed in animal
experiments.In summary, it can be assumed that TTC is caused by an excessive
cardiotoxic release of catecholamines. Ventricular dysfunction can be explained
by increased numbers of beta-adrenergic receptors in the apex, leading to greater
vulnerability to catecholamine overload. Individual anatomical differences in the
sympathoadrenergic system and distribution from beta-adrenergic receptors are
presumably responsible for the interindividual occurrence of wall motion
abnormalities in TTC.
PMID- 22086478
TI - [Complications during exercise testing].
AB - Exercise electrocardiogram forms the basis for diagnosis of ischemia in coronary
heart disease. Blood pressure behavior, physical fitness, training heart rate and
possible cardiac arrhythmias can additionally be assessed using bicycle ergometry
or treadmill testing. When the indications for and contraindications to exercise
testing (either bicycle ergometry or treadmill testing) are closely observed,
serious complications are rare. However, it is important that the treating
physician is aware of and able to recognize possible complications. The present
article discusses possible cardiovascular complications and their incidence.
PMID- 22086479
TI - [Cost-effectiveness of study nurses in the management of patients with heart
failure. A systematic review].
AB - BACKGROUND AND PURPOSE: Heart failure is currently one of the most common and
cost-intensive diseases. Furthermore, high morbidity and mortality are
distinctive for this disease. Therefore, new treatment programs are increasingly
developed; especially the care of heart failure patients by specialized nurses
(study nurses) represents a frequent new concept. This review gives a systematic
overview of the cost-effectiveness of new treatment concepts with study nurses in
comparison to the conventional care of heart failure. METHODS: A systematic
literature search in MEDLINE was performed for the period from 1995 till April
2008. The search strategy included terms from three essential areas relating to
the working subject: twelve search keys with regard to the clinical picture, 21
words concerning the intervention with study nurses, and 27 terms with reference
to health economics. The literature selection was carried out on the basis of a
priori defined in- and exclusion criteria. Economic evaluations based on
randomized controlled trials with a study duration of at least 6 months which
were published in English or German were enclosed. An extraction of the relevant
data as well as a qualitative synthesis of information were conducted. RESULTS: A
total of 13 studies were identified. With five of nine of the enclosed
publications, a statistically significant reduction of the number of all-cause
rehospitalizations was reported. Two of twelve publications showed a
statistically significant decrease in mortality in favor of the intervention
group. Twelve of 13 publications only reported the costs and effects of both
groups separately. For the five of nine publications with significant reductions
of rehospitalization, an own calculation of the incremental cost-effectiveness
ratio (ICER) could be carried out based on the cost and effect data. It turned
out an ICER of costs at the rate of 490 Euros up to savings of 7,330 Euros per
prevented rehospitalization. CONCLUSION: This systematic review shows an
international trend that concepts for the care of patients with heart failure
that involve study nurses are cost-effective. For the German context there are no
comparable data available.
PMID- 22086480
TI - Real-time three-dimensional TEE guidance of a paravalvular mitral valve leak
closure procedure.
PMID- 22086482
TI - Minds on the blink: the relationship between inattentional blindness and
attentional blink.
AB - Failures of conscious visual awareness occur when specific task demands prevent
an observer from detecting a stimulus that would otherwise be clearly visible.
Two examples are inattentional blindness (IB) and attentional blink (AB). IB is
the failure to detect an unexpected stimulus when attention is otherwise engaged.
AB describes the inability to detect a second target that is presented within 180
500 ms of the first target. Previous research has suggested that similar
cognitive processes underlie both IB and AB; however, they are distinct
phenomena, and no evidence has directly linked the two. We tested the same group
of observers on an IB task and an AB task. Consistent with our hypotheses, we
found that "non-noticers" who failed to detect an unexpected stimulus in the IB
task also demonstrated a larger AB effect. This suggests that some observers may
be more generally susceptible to failures of conscious visual awareness,
regardless of specific context.
PMID- 22086484
TI - A case of acquired hemophilia A with massive hemothorax.
AB - Acquired hemophilia A (AHA) is an uncommon but potentially life-threatening
hemorrhagic disorder caused by the development of an inhibitor against
coagulation factor VIII (FVIII). AHA is very rare, affecting approximately 1 in 1
million individuals. However, the incidence may actually be higher, because
diagnosis is difficult and the disease can be overlooked. We report a case of an
80-year-old man who presented with sudden onset of severe hemothorax. The patient
was diagnosed with presumed AHA based on acute onset of bleeding symptoms and
unexplained isolated prolonged activated partial thromboplastin time. Diagnosis
was definitely established by demonstrating a decrease in FVIII activity,
presence of FVIII inhibitor activity, and normal von Willebrand factor. The
patient was successfully treated with recombinant activated coagulation factor
VII and transcatheter artery embolization of the intercostal arteries.
PMID- 22086485
TI - Automatic motion and noise artifact detection in Holter ECG data using empirical
mode decomposition and statistical approaches.
AB - We present a real-time method for the detection of motion and noise (MN)
artifacts, which frequently interferes with accurate rhythm assessment when ECG
signals are collected from Holter monitors. Our MN artifact detection approach
involves two stages. The first stage involves the use of the first-order
intrinsic mode function (F-IMF) from the empirical mode decomposition to isolate
the artifacts' dynamics as they are largely concentrated in the higher
frequencies. The second stage of our approach uses three statistical measures on
the F-IMF time series to look for characteristics of randomness and variability,
which are hallmark signatures of MN artifacts: the Shannon entropy, mean, and
variance. We then use the receiver-operator characteristics curve on Holter data
from 15 healthy subjects to derive threshold values associated with these
statistical measures to separate between the clean and MN artifacts' data
segments. With threshold values derived from 15 training data sets, we tested our
algorithms on 30 additional healthy subjects. Our results show that our
algorithms are able to detect the presence of MN artifacts with sensitivity and
specificity of 96.63% and 94.73%, respectively. In addition, when we applied our
previously developed algorithm for atrial fibrillation (AF) detection on those
segments that have been labeled to be free from MN artifacts, the specificity
increased from 73.66% to 85.04% without loss of sensitivity (74.48%-74.62%) on
six subjects diagnosed with AF. Finally, the computation time was less than 0.2 s
using a MATLAB code, indicating that real-time application of the algorithms is
possible for Holter monitoring.
PMID- 22086486
TI - Endoscope shaft-rigidity control mechanism: "FORGUIDE".
AB - Recent developments in flexible endoscopy and other fields of medical technology
have raised the need for compact slender shafts that can be made rigid and
compliant at will. A novel compact mechanism, named FORGUIDE, with this
functionality was developed. The FORGUIDE shaft rigidifies due to friction
between a ring of cables situated between a spring and an inflated tube. A
mathematical model for the FORGUIDE mechanism working principle was made and used
to obtain understanding of this mechanism, predict the maximum rigidity of a
FORGUIDE shaft design, and tune its design variables. The mathematical model gave
suggestions for significant performance improvement by fine-tuning the design. A
prototype FORGUIDE shaft was built and put to a series of bench tests. These
tests showed that the FORGUIDE mechanism provides a reliable and simple way to
control the rigidity of a flexible shaft.
PMID- 22086487
TI - EIT forward problem parallel simulation environment with anisotropic tissue and
realistic electrode models.
AB - Electrical impedance tomography (EIT) is an imaging technology based on impedance
measurements. To retrieve meaningful insights from these measurements, EIT relies
on detailed knowledge of the underlying electrical properties of the body. This
is obtained from numerical models of current flows therein. The nonhomogeneous
and anisotropic electric properties of human tissues make accurate modeling and
simulation very challenging, leading to a tradeoff between physical accuracy and
technical feasibility, which at present severely limits the capabilities of EIT.
This work presents a complete algorithmic flow for an accurate EIT modeling
environment featuring high anatomical fidelity with a spatial resolution equal to
that provided by an MRI and a novel realistic complete electrode model
implementation. At the same time, we demonstrate that current graphics processing
unit (GPU)-based platforms provide enough computational power that a domain
discretized with five million voxels can be numerically modeled in about 30 s.
PMID- 22086488
TI - Integrative deep sequencing of the mouse lung transcriptome reveals differential
expression of diverse classes of small RNAs in response to respiratory virus
infection.
AB - We previously reported widespread differential expression of long non-protein
coding RNAs (ncRNAs) in response to virus infection. Here, we expanded the study
through small RNA transcriptome sequencing analysis of the host response to both
severe acute respiratory syndrome coronavirus (SARS-CoV) and influenza virus
infections across four founder mouse strains of the Collaborative Cross, a
recombinant inbred mouse resource for mapping complex traits. We observed
differential expression of over 200 small RNAs of diverse classes during
infection. A majority of identified microRNAs (miRNAs) showed divergent changes
in expression across mouse strains with respect to SARS-CoV and influenza virus
infections and responded differently to a highly pathogenic reconstructed 1918
virus compared to a minimally pathogenic seasonal influenza virus isolate. Novel
insights into miRNA expression changes, including the association with pathogenic
outcomes and large differences between in vivo and in vitro experimental systems,
were further elucidated by a survey of selected miRNAs across diverse virus
infections. The small RNAs identified also included many non-miRNA small RNAs,
such as small nucleolar RNAs (snoRNAs), in addition to nonannotated small RNAs.
An integrative sequencing analysis of both small RNAs and long transcripts from
the same samples showed that the results revealing differential expression of
miRNAs during infection were largely due to transcriptional regulation and that
the predicted miRNA-mRNA network could modulate global host responses to virus
infection in a combinatorial fashion. These findings represent the first
integrated sequencing analysis of the response of host small RNAs to virus
infection and show that small RNAs are an integrated component of complex
networks involved in regulating the host response to infection. IMPORTANCE: Most
studies examining the host transcriptional response to infection focus only on
protein-coding genes. However, mammalian genomes transcribe many short and long
non-protein-coding RNAs (ncRNAs). With the advent of deep-sequencing
technologies, systematic transcriptome analysis of the host response, including
analysis of ncRNAs of different sizes, is now possible. Using this approach, we
recently discovered widespread differential expression of host long (>200
nucleotide [nt]) ncRNAs in response to virus infection. Here, the samples
described in the previous report were again used, but we sequenced another
fraction of the transcriptome to study very short (about 20 to 30 nt) ncRNAs. We
demonstrated that virus infection also altered expression of many short ncRNAs of
diverse classes. Putting the results of the two studies together, we show that
small RNAs may also play an important role in regulating the host response to
virus infection.
PMID- 22086489
TI - Assembly of xylanases into designer cellulosomes promotes efficient hydrolysis of
the xylan component of a natural recalcitrant cellulosic substrate.
AB - In nature, the complex composition and structure of the plant cell wall pose a
barrier to enzymatic degradation. Nevertheless, some anaerobic bacteria have
evolved for this purpose an intriguing, highly efficient multienzyme complex, the
cellulosome, which contains numerous cellulases and hemicellulases. The rod-like
cellulose component of the plant cell wall is embedded in a colloidal blend of
hemicelluloses, a major component of which is xylan. In order to enhance
enzymatic degradation of the xylan component of a natural complex substrate
(wheat straw) and to study the synergistic action among different xylanases, we
have employed a variation of the designer cellulosome approach by fabricating a
tetravalent complex that includes the three endoxylanases of Thermobifida fusca
(Xyn10A, Xyn10B, and Xyn11A) and an Xyl43A beta-xylosidase from the same
bacterium. Here, we describe the conversion of Xyn10A and Xyl43A to the
cellulosomal mode. The incorporation of the Xyl43A enzyme together with the three
endoxylanases into a common designer cellulosome served to enhance the level of
reducing sugars produced during wheat straw degradation. The enhanced synergistic
action of the four xylanases reflected their immediate juxtaposition in the
complex, and these tetravalent xylanolytic designer cellulosomes succeeded in
degrading significant (~25%) levels of the total xylan component of the wheat
straw substrate. The results suggest that the incorporation of xylanases into
cellulosome complexes is advantageous for efficient decomposition of recalcitrant
cellulosic substrates--a distinction previously reserved for cellulose-degrading
enzymes. IMPORTANCE: Xylanases are important enzymes for our society, due to
their variety of industrial applications. Together with cellulases and other
glycoside hydrolases, xylanases may also provide cost-effective conversion of
plant-derived cellulosic biomass into soluble sugars en route to biofuels as an
alternative to fossil fuels. Xylanases are commonly found in multienzyme
cellulosome complexes, produced by anaerobic bacteria, which are considered to be
among the most efficient systems for degradation of cellulosic biomass. Using a
designer cellulosome approach, we have incorporated the entire xylanolytic system
of the bacterium Thermobifida fusca into defined artificial cellulosome
complexes. The combined action of these designer cellulosomes versus that of the
wild-type free xylanase system was then compared. Our data demonstrated that
xylanolytic designer cellulosomes displayed enhanced synergistic activities on a
natural recalcitrant wheat straw substrate and could thus serve in the
development of advanced systems for improved degradation of lignocellulosic
material.
PMID- 22086491
TI - Feasibility and efficacy of an 8-week progressive home-based strengthening
exercise program in patients with osteoarthritis of the hip and/or total hip
joint replacement: a preliminary trial.
AB - The feasibility and efficacy of an additional progressive home-based
strengthening exercise program (PHSEP) on subjects that already attended
supervised institutional exercise therapy was investigated. Thirty-six hip
patients with hip osteoarthritis (OA) and/or total hip replacement in at least
one hip joint were randomly assigned to an intervention group (IG) or a control
group (CG). IG (18 patients, mean age 64.9, standard deviation (SD) 7.5) followed
an 8-week PHSEP in addition to their weekly institutional exercise therapy, while
CG (18 patients, mean age 64.7, SD 9) solely continued the weekly institutional
exercise sessions. Before and after the intervention period, strength of hip
muscles was quantified applying isokinetic concentric and isometric measurements,
and health-related quality of life was monitored using the SF36 questionnaire.
Adherence to the exercise program was evaluated using exercise logs. The PHSEP
was feasible for all subjects in IG with an adherence of 99%. Exercise logs
reported that pain resulting from the PHSEP was low. Increased strength in
isokinetic concentric hip adduction (13%) and isometric hip abduction (9%),
adduction (12%), and flexion (7%) was found in IG in comparison to CG. The
results of the SF36 did not change during the intervention period. Consequently,
supervised institutional exercise therapy supplemented by a PHSEP could increase
hip muscle strength in patients with hip OA and/or total hip replacement.
However, further research should evaluate the optimal frequency and duration of
such supplementary sessions and their potential to increase physical function and
reduce pain.
PMID- 22086492
TI - Application of IFT and SPSA to servo system control.
AB - This paper treats the application of two data-based model-free gradient-based
stochastic optimization techniques, i.e., iterative feedback tuning (IFT) and
simultaneous perturbation stochastic approximation (SPSA), to servo system
control. The representative case of controlled processes modeled by second-order
systems with an integral component is discussed. New IFT and SPSA algorithms are
suggested to tune the parameters of the state feedback controllers with an
integrator in the linear-quadratic-Gaussian (LQG) problem formulation. An
implementation case study concerning the LQG-based design of an angular position
controller for a direct current servo system laboratory equipment is included to
highlight the pros and cons of IFT and SPSA from an application's point of view.
The comparison of IFT and SPSA algorithms is focused on an insight into their
implementation.
PMID- 22086490
TI - Genome sequencing reveals a phage in Helicobacter pylori.
AB - Helicobacter pylori chronically infects the gastric mucosa in more than half of
the human population; in a subset of this population, its presence is associated
with development of severe disease, such as gastric cancer. Genomic analysis of
several strains has revealed an extensive H. pylori pan-genome, likely to grow as
more genomes are sampled. Here we describe the draft genome sequence (63 contigs;
26* mean coverage) of H. pylori strain B45, isolated from a patient with gastric
mucosa-associated lymphoid tissue (MALT) lymphoma. The major finding was a 24.6
kb prophage integrated in the bacterial genome. The prophage shares most of its
genes (22/27) with prophage region II of Helicobacter acinonychis strain Sheeba.
After UV treatment of liquid cultures, circular DNA carrying the prophage
integrase gene could be detected, and intracellular tailed phage-like particles
were observed in H. pylori cells by transmission electron microscopy, indicating
that phage production can be induced from the prophage. PCR amplification and
sequencing of the integrase gene from 341 H. pylori strains from different
geographic regions revealed a high prevalence of the prophage (21.4%).
Phylogenetic reconstruction showed four distinct clusters in the integrase gene,
three of which tended to be specific for geographic regions. Our study implies
that phages may play important roles in the ecology and evolution of H. pylori.
IMPORTANCE: Helicobacter pylori chronically infects the gastric mucosa in more
than half of the human population, and while most of the infected individuals do
not develop disease, H. pylori infection doubles the risk of developing gastric
cancer. An abundance and diversity of viruses (phages) infect microbial
populations in most environments and are important mediators of microbial
diversity. Our finding of a 24.6-kb prophage integrated inside an H. pylori
genome and the observation of circular integrase gene-containing DNA and phage
like particles inside cells upon UV treatment demonstrate that we have discovered
a viable H. pylori phage. The additional finding of integrase genes in a large
proportion of screened isolates of diverse geographic origins indicates that the
prevalence of prophages may have been underestimated in H. pylori. Since phages
are important drivers of microbial evolution, the discovery should be important
for understanding and predicting genetic diversity in H. pylori.
PMID- 22086494
TI - Manual matching of perceived surface orientation is affected by arm posture:
evidence of calibration between proprioception and visual experience in near
space.
AB - Proprioception of hand orientation (orientation production using the hand) is
compared with manual matching of visual orientation (visual surface matching
using the hand) in two experiments. In experiment 1, using self-selected arm
postures, the proportions of wrist and elbow flexion spontaneously used to orient
the pitch of the hand (20 and 80%, respectively) are relatively similar across
both manual matching tasks and manual orientation production tasks for most
participants. Proprioceptive error closely matched perceptual biases previously
reported for visual orientation perception, suggesting calibration of
proprioception to visual biases. A minority of participants, who attempted to use
primarily wrist flexion while holding the forearm horizontal, performed poorly at
the manual matching task, consistent with proprioceptive error caused by
biomechanical constraints of their self-selected posture. In experiment 2,
postural choices were constrained to primarily wrist or elbow flexion without
imposing biomechanical constraints (using a raised forearm). Identical relative
offsets were found between the two constraint groups in manual matching and
manual orientation production. The results support two claims: (1) manual
orientation matching to visual surfaces is based on manual proprioception and (2)
calibration between visual and proprioceptive experiences guarantees relatively
accurate manual matching for surfaces within reach, despite systematic visual
biases in perceived surface orientation.
PMID- 22086493
TI - Roles of amyloid precursor protein family members in neuroprotection, stress
signaling and aging.
AB - The roles of amyloid precursor protein (APP) family members in normal brain
function are poorly understood. Under physiological conditions the majority of
APP appears to be processed along the non-amyloidogenic pathway leading to the
formation of the secreted N-terminal APP fragment sAPPalpha. This cleavage
product of APP has been implicated in several physiological processes such as
neuroprotection, synaptic plasticity, neurite outgrowth and synaptogenesis. In
this review we focus on the role of APP family members in neuroprotection and
summarize the cellular and molecular mechanisms which are believed to mediate
this effect. We propose that a reduction of APP processing along the non
amyloidogenic pathway during brain aging could result in an enhanced
susceptibility of neurons to cellular stress and could contribute to
neurodegeneration in Alzheimer's disease.
PMID- 22086495
TI - On the opportunistic nature of transcription and replication initiation in the
metazoan genome.
AB - Cellular identity and its response to external or internal signalling variations
are encoded in a cell's genome as regulatory information. The genomic regions
that specify this type of information are highly variable and degenerated in
their sequence determinants, as it is becoming increasingly evident through the
application of genome-scale methods to study gene expression. Here, we speculate
that the same scenario applies to the regulatory regions controlling where DNA
replication starts in the metazoan genome. We propose that replication origins
cannot be defined as unique genomic features, but rather that DNA synthesis
initiates opportunistically from accessible DNA sites, making cells highly robust
and adaptable to environmental or developmental changes.
PMID- 22086496
TI - Assessment of the transforming potential of novel anaplastic lymphoma kinase
point mutants.
AB - Anaplastic lymphoma kinase (ALK) has emerged as an important oncogene in a number
of human malignancies ranging from non-Hodgkin lymphoma to neuroblastoma. In the
former case, ALK is activated as a consequence of a chromosomal translocation and
in the latter due to point mutations. In both cases the transforming potential of
these oncogenic forms of ALK have been shown in vitro employing traditional
cellular transformation assays including 3T3 foci formation. We reasoned that
other ALK mutants which have been identified by the Cancer Genome Project may
likewise possess transformation potential. We have selected seven ALK mutants
identified in cell lines representative of a variety of human cancers based on
position within the ALK protein, zygosity and frequency of detection including
R1192Q, K1525E, C1021Y, R412C, A1252V, D1311A, K1518N and have compared their
transformation capability in comparison to the published neuroblastoma-associated
F1174L ALK mutant when expressed in immortalized p53(-/-) murine embryonic
fibroblasts. Whilst the F1174L mutant reproducibly drives foci formation in
vitro, the other ALK mutants fail in this task. Furthermore, apart from the
F1174L ALK mutant, the ALK protein is not phosphorylated on tyrosine residue 1604
suggesting that they are kinase-inactive in this cellular context. We conclude
that not all ALK mutants have transformation potential and may represent
"passenger" mutations in the evolution of cancer.
PMID- 22086497
TI - Inappropriate and excessive guilt: instrument validation and developmental
differences in relation to depression.
AB - Inappropriate or excessive guilt is listed as a symptom of depression by the
American Psychiatric Association (1994). Although many measures of guilt have
been developed, definitional and operational problems exist, especially in the
application of such measures in childhood and adolescence. To address these
problems, the current study introduces the Inappropriate and Excessive Guilt
Scale (IEGS), assesses its validity for use with children and adolescents, and
tests its relation to depression across development. From a sample of 370
children between 7 and 16 years old, results provided (1) evidence that items
designed to assess inappropriate and excessive guilt converged onto a single
underlying factor, (2) support for the convergent, discriminant, and construct
validity of the IEGS in a general youth population, and (3) evidence of
incremental validity of the IEGS over-and-above other measures of guilt. Results
also supported the hypothesis that inappropriate and excessive guilt as well as
negative cognitive errors become less normative and more depressotypic with age.
PMID- 22086498
TI - Flexible planar microfluidic chip employing a light emitting diode and a PIN
photodiode for portable flow cytometers.
AB - Detection of fluorescence particles is a key method of flow cytometry. We
evaluate the performance of a design for a microfluidic fluorescence particle
detection device. Due to the planar design with low layer thicknesses, we avoid
optical components such as lenses or dichroic mirrors and substitute them with a
shadow mask and colored film filters. A commercially available LED is used as the
light source and a PIN-photodiode as detector. This design approach reduces
component cost and power consumption and enables supplying the device with power
from a standard USB port. From evaluation of this design, we obtain a maximum
particle detection frequency of up to 600 particles per second at a sensitivity
of better than 4.7 * 10(5) MESF (molecules of equivalent soluble fluorochrome)
measured with particles for FITC sensitivity calibration. Lowering the flow rate
increases the instrument sensitivity by an order of magnitude enabling the
detection of particles with 4.5 * 10(4) MESF.
PMID- 22086499
TI - Freiburg neuropathology case conference: cystic mass lesions of the temporal and
occipital lobes in an elderly patient.
PMID- 22086500
TI - JUDOCA: junction detection operator based on circumferential anchors.
AB - In this paper, we propose an edge-based junction detector. In addition to
detecting the locations of junctions, this operator specifies their orientations
as well. In this respect, a junction is defined as a meeting point of two or more
ridges in the gradient domain into which an image can be transformed through
Gaussian derivative filters. To accelerate the detection process, two binary edge
maps are produced; a thick-edge map is obtained by imposing a threshold on the
gradient magnitude image, and another thin-edge map is obtained by calculating
the local maxima. Circular masks are centered at putative junctions in the thick
edge map, and the so-called circumferential anchors or CA points are detected in
the thin map. Radial lines are scanned to determine the presence of junctions.
Comparisons are made with other well-known detectors. This paper proposes a new
formula for measuring the detection accuracy. In addition, the so-called junction
coordinate systems are introduced. Our operator has been successfully used to
solve many problems such as wide-baseline matching, 3-D reconstruction, camera
parameter enhancing, and indoor and obstacle localization.
PMID- 22086501
TI - Rotation-invariant image and video description with local binary pattern
features.
AB - In this paper, we propose a novel approach to compute rotation-invariant features
from histograms of local noninvariant patterns. We apply this approach to both
static and dynamic local binary pattern (LBP) descriptors. For static-texture
description, we present LBP histogram Fourier (LBP-HF) features, and for dynamic
texture recognition, we present two rotation-invariant descriptors computed from
the LBPs from three orthogonal planes (LBP-TOP) features in the spatiotemporal
domain. LBP-HF is a novel rotation-invariant image descriptor computed from
discrete Fourier transforms of LBP histograms. The approach can be also
generalized to embed any uniform features into this framework, and combining the
supplementary information, e.g., sign and magnitude components of the LBP,
together can improve the description ability. Moreover, two variants of rotation
invariant descriptors are proposed to the LBP-TOP, which is an effective
descriptor for dynamic-texture recognition, as shown by its recent success in
different application problems, but it is not rotation invariant. In the
experiments, it is shown that the LBP-HF and its extensions outperform
noninvariant and earlier versions of the rotation-invariant LBP in the rotation
invariant texture classification. In experiments on two dynamic-texture databases
with rotations or view variations, the proposed video features can effectively
deal with rotation variations of dynamic textures (DTs). They also are robust
with respect to changes in viewpoint, outperforming recent methods proposed for
view-invariant recognition of DTs.
PMID- 22086502
TI - Spectral-spatial classification of hyperspectral data based on a stochastic
minimum spanning forest approach.
AB - In this paper, a new method for supervised hyperspectral data classification is
proposed. In particular, the notion of stochastic minimum spanning forest (MSF)
is introduced. For a given hyperspectral image, a pixelwise classification is
first performed. From this classification map, M marker maps are generated by
randomly selecting pixels and labeling them as markers for the construction of
MSFs. The next step consists in building an MSF from each of the M marker maps.
Finally, all the M realizations are aggregated with a maximum vote decision rule
in order to build the final classification map. The proposed method is tested on
three different data sets of hyperspectral airborne images with different
resolutions and contexts. The influences of the number of markers and of the
number of realizations M on the results are investigated in experiments. The
performance of the proposed method is compared to several classification
techniques (both pixelwise and spectral-spatial) using standard quantitative
criteria and visual qualitative evaluation.
PMID- 22086503
TI - Epidermal growth factor receptor and insulinlike growth factor 1 receptor
expression predict poor survival in pancreatic ductal adenocarcinoma.
AB - BACKGROUND: The aim of this study was to evaluate the expression of epidermal
growth factor receptor (EGFR) and insulinlike growth factor 1 receptor (IGF-1R)
proteins and IGF-1R gene copy numbers in pancreatic ductal adenocarcinoma in
relation to patients' characteristics and prognosis. METHODS: Immunohistochemical
staining was performed on formalin-fixed paraffin-embedded tissue derived from
tumor specimens recovered during surgery. Slides were evaluated for membranous
EGFR and IGF-1R staining using both the HercepTest and the semiquantitative H
score systems. Chromogenic in situ hybridization was performed to quantify IGF-1R
gene copy number. The primary outcome was the association between EGFR
expression, IGF-1R expression-in both neoplastic epithelial and stromal cells-or
IGF-1R gene copy number and overall survival. Secondary outcomes included
associations between EFGR and IGF-1R expression and pathologic variables.
RESULTS: A total of 105 patients were included. EGFR expression was present in
30.4% of cases and was associated with lymph node metastasis (P = .038). IGF-1R
was overexpressed in 53% of tumors and correlated with higher tumor grade (P =
.033). High membranous expression of EGFR (P < .001) and/or IGF-1R (P = .004),
the cytoplasmic detection of EGFR (P = .027), and high expression levels of IGF
1R in the tumoral stroma (P < .001) were all associated with shorter overall
survival, being significantly better in patients who simultaneously do not
express membranous EGFR or stromal IGF-1R. CONCLUSIONS: EGFR and IGF-1R
expression, in neoplastic and stromal cells, seems to be an important prognostic
factor.
PMID- 22086504
TI - Eggplant mild leaf mottle virus (EMLMV), a new putative member of the genus
Ipomovirus that harbors an HC-Pro gene.
AB - Since 2003, a new viral disease of eggplant (Solanum melongena L.) has been
spreading in fields in the Jordan and Arava Valleys, Israel. The symptoms of this
disease include mild leaf mottling and varying degrees of fruit distortion. This
disease can be transmitted by mechanical sap inoculation, as well as by the
whitefly Bemisia tabaci (Homoptera, Aleyrodidae) and has been tentatively named
eggplant mild leaf mottle virus (EMLMV). Our study aimed to determine the
complete sequence and genome organization of EMLMV. The extracted viral RNA was
subjected to SOLiD next-generation sequence analysis and used as a template for
reverse transcription synthesis, which was followed by ds-cDNA synthesis or PCR
amplification. The ssRNA genome of EMLMV includes 9,280 nucleotides, excluding a
3' terminal poly-adenylated tail. The genome includes a putative single, large
open reading frame (ORF) that encodes a polyprotein of 3,011 amino acids, a short
overlapping ORF of PIPO protein comprised of 71 amino acids and 5' and 3' non
coding regions of 108 and 136 nucleotides, respectively. The deduced amino acid
sequence of the EMLMV polyprotein is relatively close to that of sweet potato
mild mottle virus (SPMMV), with 37% shared sequence identity. Among the four
ipomoviruses, only SPMMV and the putative genus member EMLMV contain a helper
component-proteinase (HC-Pro) gene. Like SPMMV-HC-Pro, EMLMV-HC-Pro also contains
the highly conserved PTK domain that is thought to be involved in the aphid
assisted transmission of potyviruses.
PMID- 22086505
TI - Evolutionary analysis of human-origin influenza A virus (H3N2) genes associated
with the codon usage patterns since 1993.
AB - This study investigated genetic variations in eight major genes (hemagglutinin,
HA; neuraminidase, NA; matrix protein, MP; non-structural protein, NS;
nucleoprotein, NP; polymerase, PA; PA basic protein 1, PB1; and PA basic protein
2, PB2) of the influenza A virus subtype H3N2 (A/H3N2) to determine the
evolutionary pattern in codon bias. A total of 6,881 sequences isolated between
1993 and 2010 were used. The relative synonymous codon usage (RSCU) and G+C%
content at the three codon positions were analyzed by calculating the codon
substitution patterns were analyzed by calculating the percentage of synonymously
substituted codons (SSCs) and that of codons substituted to the same codon within
each synonymous codon group (EMC) between 1993 and subsequent years. In the
multivariate analysis of RSCU, we observed directional changes in HA, NA, PB1,
and PB2, and these changes were significantly correlated with the variation in
the G+C contents at the first (GC(1st)) and second (GC(2nd)) codon positions over
time. These directional changes in HA and NA appear to affect their antigenic
characteristics by altering their SSCs gradually, and NP, PA, PB1, and PB2 genes
also continuously changed their substitution patterns by accumulating the
decrements of EMC values over a long term. Our findings suggest that, in human
populations, A/H3N2 viruses have gradually changed their SSCs in two external
genes, HA and NA, and that these accumulated alteration patterns may result in
the antigenic changes over time. Moreover, A/H3N2 viruses also appear to change
synonymous codon usage patterns in NP, PA, PB1, and PB2 genes by accumulating
decrements in EMCs within synonymous codon groups over time.
PMID- 22086506
TI - Complications in brief: Anterior cruciate ligament reconstruction.
AB - When performing reconstruction of the ACL, the major complications that can arise
include missed concomitant injuries, tunnel malposition, patellar fracture, knee
stiffness, and infection. We review the complications that can occur as a result
of errors made before, during, and after surgery.
PMID- 22086507
TI - Combined anterior-posterior surgery is the most important risk factor for
developing proximal junctional kyphosis in idiopathic scoliosis.
AB - BACKGROUND: Several studies have identified risk factors for proximal junctional
kyphosis (PJK) after instrumentation for scoliosis, but the relative risks are
unclear. QUESTIONS/PURPOSES: We identified risk factors for PJK in idiopathic
scoliosis and determined their relative risks in a predictive model. METHODS: We
retrospectively reviewed the charts of all 249 patients with idiopathic scoliosis
who underwent surgery from 1996 to 2008. We compared those who developed PJK to
those who did not. We identified risk factors for PJK and performed univariate
and multivariate analyses to determine independent risk factors. We then used a
Cox proportional-hazards model to evaluate the time to the development of PJK.
The minimum followup time was 1.5 years (mean, 4 years; range, 1.5-9 years).
RESULTS: The incidence of PJK in our series of patients with idiopathic scoliosis
was 17%. There was no difference in Scoliosis Research Society-22 scores between
patients without and with junctional kyphosis. Independent risk factors included
proximal fusion to T1 through T3 and sagittal sacral vertical line, while in the
Cox model a combined anterior-posterior approach surgery was the most important
risk factor. CONCLUSIONS: Patients with a T1 through T3 upper instrumented level,
combined anterior-posterior surgery, and increased sagittal sacral vertical line
difference had a higher likelihood of developing PJK. Of these risk factors,
anterior-posterior surgery was the strongest risk factor. LEVEL OF EVIDENCE:
Level III, prognostic study. See Guidelines for Authors for a complete
description of levels of evidence.
PMID- 22086508
TI - Roles of neuronal nitric oxide synthase, oxidative stress, and propofol in N
methyl-D-aspartate-induced dilatation of cerebral arterioles.
AB - BACKGROUND: It remains unclear whether N-methyl-D-aspartate (NMDA) receptors
contribute to cerebral parenchymal vasodilatation, and any effects of clinically
used anaesthetics on the dilatation. The present study was designed to examine
whether NMDA induces neuronal nitric oxide synthase (NOS)-mediated dilatation, in
the cerebral parenchymal arterioles, and whether propofol and superoxide modulate
the dilatation in relation to the NMDA receptor activation. METHODS: The cerebral
parenchymal arterioles within rat brain slices were monitored by a computer
assisted microscopy, and the vasodilatation in response to NMDA (10(-7) to 10(-5)
M) was evaluated. Immunofluorescence analysis to neuronal and endothelial NOS and
measurement of levels of superoxide and nitric oxide within the arteriole were
simultaneously performed. RESULTS: Propofol, an NMDA receptor antagonist MK801,
and a neuronal NOS antagonist S-methyl-l-thiocitrulline (SMTC) reduced NMDA
induced dilation, whereas a superoxide inhibitor, Tiron, and NADPH oxidase
inhibitor, gp91ds-tat, augmented NMDA-induced dilatation. Immunofluorescence
analysis revealed distribution of neuronal NOS in both endothelial and smooth
muscle cells in addition to neuronal cells. NMDA-induced superoxide and nitric
oxide within the parenchymal arterioles. The increased superoxide within the
arteriole was similarly inhibited by MK801, SMTC, gp91ds-tat, propofol, and a
neuronal NOS antagonist vinyl-l-NIO, whereas the level of nitric oxide was
reduced by MK801, SMTC, propofol, and vinyl-l-NIO, and it was augmented by gp91ds
tat. CONCLUSIONS: NMDA dilates cerebral parenchymal arterioles possibly via
neuronal NOS activation, whereas it produces superoxide via NADPH oxidase. In
these arterioles, propofol reduces both the dilatation and superoxide production
in response to NMDA.
PMID- 22086509
TI - Comparison of thromboelastometry (ROTEM(r)) with standard plasmatic coagulation
testing in paediatric surgery.
AB - BACKGROUND: Thromboelastometry (ROTEM((r))) might be useful to detect
intraoperative coagulation disorders early in major paediatric surgery. This
observational trial compares this technique to standard coagulation tests.
METHODS: Intraoperative blood sampling was obtained in children undergoing
elective major surgery. At each time point, standard coagulation tests [activated
partial thromboplastin time (aPTT), prothrombin time (PT), and fibrinogen level]
and ROTEM((r)) analyses (InTEM, ExTEM, and FibTEM) were performed simultaneously
by trained hospital laboratory staff. RESULTS: A total of 288 blood samples from
50 subjects were analysed. While there was a poor correlation between PT and aPTT
to ExTEM clotting time (CT) and InTEM CT, respectively, a good correlation was
detected between PT and aPTT to clot formation time, and a very good correlation
between fibrinogen level and FibTEM assay (r=0.882, P<0.001). Notably, 64% of PT
and 94% of aPTT measurements were outside the reference range, while impaired CT
was observed in 13% and 6.3%, respectively. Standard coagulation test results
were available after a median of 53 min [inter-quartile range (IQR): 45-63 min],
whereas 10 min values of ROTEM((r)) results were available online after 23 min
(IQR: 21-24 min). CONCLUSIONS: PT and aPTT cannot be interchangeably used with
ROTEM((r)) CT. Based on the results of ROTEM((r)), recommended thresholds for PT
and aPTT might overestimate the need for coagulation therapy. A good correlation
was found between the fibrinogen level and the FibTEM assay. In addition,
ROTEM((r)) offered faster turnaround times.
PMID- 22086510
TI - Randomized, prospective, observational simulation study comparing residents'
needle-guided vs free-hand ultrasound techniques for central venous catheter
access.
AB - BACKGROUND: Short-axis ultrasound-guided placement of central venous catheters
(CVCs) is widely accepted as safe practice. However, utilizing the long-axis
approach could further improve safety, as it allows for better visualization of
the needle as it is advanced to the target vessel. However, the long-axis
approach has not widely been used due to the technical difficulty. Recently, a
new needle guidance device has become available to aid in the long-axis approach.
We hypothesized that the use of a needle guide paired with the long-axis approach
would facilitate puncture of the target vessel in a simulation model more
effectively than similar free-hand techniques. METHODS: A prospective
observational study of anaesthesia residents using a CVC partial-task training
device was conducted. Each resident performed needle puncture of the target
vessel with three different techniques, assigned in random order: short-axis free
hand (S-FH), long-axis free hand (L-FH), and long-axis needle guide (NG). To
prove the effectiveness of the needle guide, the fraction of time the needle tip
remained in view of the ultrasound was recorded and compared. Time required for
completing the task and the number of needle sticks and needle re-directions were
compared. RESULTS: Thirty-three residents participated in the study. The fraction
of time the needle tip remained in view of the ultrasound was significantly
higher for the residents using NG [0.90 (0.10)] compared with residents using the
other techniques [L-FH: 0.36 (0.20), S-FH: 0.18 (0.10)] (P<0.001). For each
resident, the use of the needle guide in the long-axis approach increased
visualization by 352 (276)% compared with that of L-FH and by 1028 (1804) %
compared with that of S-FH. There was no significant difference in time required
to puncture the target between NG [23.7 (14.6) s] and L-FH [30.3 (36.5) s]
(P=0.21); however, both were significantly longer than S-FH [17.0 (13.3) s]
(P=0.012). The numbers of needle sticks and of needle re-directions did not
differ among the groups. CONCLUSIONS: The needle guide device used in the long
axis approach improved the needle visualization compared with free-hand
techniques. The needle guide used in the long-axis technique, however, did not
facilitate puncture of the target vessel in this simulation model when compared
with free-hand techniques.
PMID- 22086511
TI - Elevated vancomycin trough is not associated with nephrotoxicity among inpatient
veterans.
AB - BACKGROUND: Vancomycin troughs of 15-20 mg/L are recommended in the treatment of
invasive staphylococcal disease, higher levels than previously recommended.
OBJECTIVE/SETTING: We sought to determine if there was an association between
vancomycin trough and nephrotoxicity, defined as 0.5 mg/L or 50% increase in
serum creatinine, at a large Veterans Affairs medical center. PATIENTS AND
METHODS: We reviewed records of 348 inpatients at our institution who received
>=5 days of vancomycin during 2 time periods when vancomycin dosing protocols
differed (May 2005-April 2006 and January 2007-December 2007). Potential risk
factors for nephrotoxicity were collected prior to nephrotoxicity onset, and all
patients with nephrotoxicity events occurring within 5 days of starting
vancomycin were excluded. RESULTS: Overall incidence of nephrotoxicity was 31/348
patients (8.9%). A similar percentage of patients experienced nephrotoxicity in
2005-2006 versus 2007 (16/201 vs 15/147, respectively; P = 0.57), despite a rise
in mean (9.7 mg/L in 2005-2006 vs 13.2 mg/L in 2007; P < 0.0001) and highest
(11.8 mg/L in 2005-2006 vs 15.7 mg/L in 2007; P < 0.0001) vancomycin trough
levels achieved. In a multivariate logistic regression model, only receipt of
intravenous contrast dye was significantly associated with nephrotoxicity (OR
4.01, P < 0.001), though there was a trend toward an association between maximum
vancomycin trough >=15 mg/L and nephrotoxicity (OR 2.05, P = 0.082). Overall
reversibility of nephrotoxicity either prior to or within 72 hours of vancomycin
discontinuation was 77.8%. CONCLUSIONS: We conclude that nephrotoxicity, with
higher trough levels occurring at >=5 days of vancomycin therapy, was uncommon at
our institution and typically reversible.
PMID- 22086512
TI - PROP1 and CTNNB1 expression in adamantinomatous craniopharyngiomas with or
without beta-catenin mutations.
AB - INTRODUCTION: Activating mutations in exon 3 of the beta-catenin gene are
involved in the pathogenesis of adamantinomatous craniopharyngiomas. Recently,
the interaction between beta-catenin and PROP1 has been shown to be responsible
for pituitary cell lineage determination. We hypothesized that dysregulated PROP1
expression could also be involved in the pathogenesis of craniopharyngiomas
OBJECTIVES: To determine whether dysregulated gene expression was responsible for
tumor pathogenesis in adamantinomatous craniopharyngiomas, the beta-catenin gene
was screened for mutations, and the expression of the beta-catenin gene and PROP1
was evaluated. beta-catenin gene was amplified and sequenced from 14 samples of
adamantinomatous craniopharyngiomas. PROP1 and beta-catenin gene expression was
assessed by real-time RT-PCR from 12 samples, and beta-catenin
immunohistochemistry was performed on 11 samples. RESULTS: Mutations in the beta
catenin gene were identified in 64% of the adamantinomatous craniopharyngiomas
samples. Evidence of beta-catenin gene overexpression was found in 71% of the
tumors with beta-catenin mutations and in 40% of the tumors without mutations,
and beta-catenin immunohistochemistry revealed a nuclear staining pattern for
each of the analyzed samples. PROP1 expression was undetectable in all of the
tumor samples. CONCLUSION: We found evidence of beta-catenin gene overexpression
in the majority of adamantinomatous craniopharyngiomas, and we also detected a
nuclear beta-catenin staining pattern regardless of the presence of a beta
catenin gene mutation. These results suggest that WNT signaling activation plays
an important role in the pathogenesis of adamantinomatous craniopharyngiomas.
Additionally, this study was the first to evaluate PROP1 expression in
adamantinomatous craniopharyngiomas, and the absence of PROP1 expression
indicates that this gene is not involved in the pathogenesis of this tumor, at
least in this cohort.
PMID- 22086513
TI - Autologous stem cell transplantation improves quality of life in economically
challenged, Brazilian multiple myeloma patients.
AB - OBJECTIVES: 1) To characterize the impact of multiple myeloma on the quality of
life of patients treated in two public institutions in Sao Paulo State, Brazil,
using a generic Short Form 36 Health Survey and a questionnaire specific for
oncologic patients (QLQ-C30) upon diagnosis, after the clinical treatment, and at
day +100 after autologous stem cell transplantation; 2) to evaluate whether
autologous stem cell transplantation can improve the quality of life of our
economically challenged population aside from providing a clinical benefit and
disease control. METHODS: We evaluated 49 patients with multiple myeloma (a total
of 70 interviews) using the two questionnaires. The scores upon diagnosis, post
treatment/pre-autologous stem cell transplantation, and at D+100 were compared
using ANOVA (a comparison of the three groups), post hoc tests (two-by-two
comparisons of the three groups), and paired t-tests (the same case at two
different times). RESULTS: Of the included patients, 87.8% had a family budget
under US $600 (economic class C, D, or E) per month. The generic Short Form 36
Health Survey questionnaire demonstrated that physical function, role-physical,
and bodily pain indices were statistically different across all three groups,
favoring the D+100 autologous stem cell transplantation group (ANOVA). The
questionnaire specific for oncologic patients, the QLQ-C30 questionnaire,
confirmed what had been demonstrated by the Short Form 36 Health Survey with
respect to physical function and bodily pain, with improvements in role
functioning, fatigue, and lack of appetite and constipation, favoring the D+100
autologous stem cell transplant group (ANOVA). The post hoc tests and paired t
tests confirmed a better outcome after autologous stem cell transplantation
CONCLUSION: The questionnaire specific for cancer patients seems to be more
informative than the generic Short Form 36 Health Survey questionnaire and
reflects the real benefit of autologous stem cell transplantation in the quality
of life of multiple myeloma patients in two public Brazilian institutions that
provide assistance for economically challenged patients.
PMID- 22086514
TI - Association between participation in community groups and being more physically
active among older adults from Florianopolis, Brazil.
AB - OBJECTIVE: In Brazil, older adults frequently participate in community groups.
However, the influence of this participation on physical activity levels has not
been fully investigated. It is known that both regular physical activity and
social support are beneficial for health. The aim of this study is to evaluate
the association between participation in community groups and physical activity
among older adults from Florianopolis, Brazil. METHODS: The sample consisted of
1062 adults with a mean age of 71.9 (+/-7.6) years. Among these individuals, 293
subjects participated in community groups and 769 did not. A questionnaire to
collect sociodemographic data and the long version of the International Physical
Activity Questionnaire were used for the assessment. RESULTS: The prevalence of
active older adults was 66.6% among participants in community groups and 58.4%
among non-participants. Participation in these groups was significantly
associated with being more physically active in the transportation and domestic
domains, but with being less physically active in the leisure-time domain. Some
changes in these associations were observed when the sample was stratified by
age, gender, body mass index, and health status. With respect to total physical
activity, participation in community groups was associated with being more
physically active in only two strata (subjects younger than 70 years and women).
CONCLUSION: The results of this study indicate that older adults who participate
in community groups are characterized by a greater probability of being more
physically active. However, longitudinal studies are needed to determine whether
participation in community groups facilitates the adoption of physically active
behavior.
PMID- 22086515
TI - Gender differences, polypharmacy, and potential pharmacological interactions in
the elderly.
AB - OBJECTIVE: This study aims to analyze pharmacological interactions among drugs
taken by elderly patients and their age and gender differences in a population
from Porto Alegre, Brazil. METHODS: We retrospectively analyzed the database
provided by the Institute of Geriatric and Gerontology, Porto Alegre, Brazil. The
database was composed of 438 elderly and includes information about the patients'
disease, therapy regimens, utilized drugs. All drugs reported by the elderly
patients were classified using the Anatomical Therapeutic and Chemical
Classification System. The drug-drug interactions and their severity were
assessed using the Micromedex(r) Healthcare Series. RESULTS: Of the 438 elderly
patients in the data base, 376 (85.8%) used pharmacotherapy, 274 were female, and
90.4% of females used drugs. The average number of drugs used by each individual
younger than 80 years was 3.2+/-2.6. Women younger than 80 years old used more
drugs than men in the same age group whereas men older than 80 years increased
their use of drugs in relation to other age groups. Therefore, 32.6% of men and
49.2% of women described at least one interaction, and 8.1% of men and 10.6% of
women described four or more potential drug-drug interactions. Two-thirds of drug
drug interactions were moderate in both genders, and most of them involved
angiotensin-converting enzyme inhibitor, non-steroidal anti-inflammatory, loop
and thiazide diuretics, and beta-blockers. CONCLUSION: Elderly patients should be
closely monitored, based on drug class, gender, age group and nutritional status.
PMID- 22086516
TI - Non-small cell lung cancer in never smokers: a clinical entity to be identified.
AB - OBJECTIVES: It has been recognized that patients with non-small cell lung cancer
who are lifelong never-smokers constitute a distinct clinical entity. The aim of
this study was to assess clinical risk factors for survival among never-smokers
with non-small cell lung cancer. METHODS: All consecutive non-small cell lung
cancer patients diagnosed (n = 285) between May 2005 and May 2009 were included.
The clinical characteristics of never-smokers and ever-smokers (former and
current) were compared using chi-squared or Student's t tests. Survival curves
were calculated using the Kaplan-Meier method, and log-rank tests were used for
survival comparisons. A Cox proportional hazards regression analysis was
evaluated by adjusting for age (continuous variable), gender (female vs. male),
smoking status (never- vs. ever-smoker), the Karnofsky Performance Status Scale
(continuous variable), histological type (adenocarcinoma vs. non-adenocarcinoma),
AJCC staging (early vs. advanced staging), and treatment (chemotherapy and/or
radiotherapy vs. the best treatment support). RESULTS: Of the 285 non-small cell
lung cancer patients, 56 patients were never-smokers. Univariate analyses
indicated that the never-smoker patients were more likely to be female (68% vs.
32%) and have adenocarcinoma (70% vs. 51%). Overall median survival was 15.7
months (95% CI: 13.2 to 18.2). The never-smoker patients had a better survival
rate than their counterpart, the ever-smokers. Never-smoker status, higher
Karnofsky Performance Status, early staging, and treatment were independent and
favorable prognostic factors for survival after adjusting for age, gender, and
adenocarcinoma in multivariate analysis. CONCLUSIONS: Epidemiological differences
exist between never- and ever-smokers with lung cancer. Overall survival among
never-smokers was found to be higher and independent of gender and histological
type.
PMID- 22086517
TI - M2-polarized tumor-associated macrophages are associated with poor prognoses
resulting from accelerated lymphangiogenesis in lung adenocarcinoma.
AB - OBJECTIVES: Tumor-associated macrophages have been implicated in promoting tumor
growth, progression and metastasis. However, the activated phenotype (M1 or M2)
of tumor-associated macrophages remains unknown in solid tumors. Therefore, this
study examined the density and prognostic significance of M2-polarized tumor
associated macrophages in lung adenocarcinoma. METHODS: Tumor specimens from 65
lung adenocarcinoma patients were assessed by ELISA for Th1/Th2 cytokine
concentrations. The activated phenotype (M1 or M2) of tumor-associated
macrophages was determined utilizing immunofluorescence staining. Additionally,
to evaluate lymphangiogenesis, peritumoral lymphatic microvessel density was
measured using D2-40. The correlation between tumor-associated macrophage subtype
and overall patient survival was analyzed using the Kaplan-Meier method and
compared using the log-rank test. RESULTS: A shift toward Th2 cytokine expression
was detected within lung adenocarcinoma microenvironments. Approximately 79.71+/
16.27% of tumor-associated macrophages were M2 polarized; the remaining 20.35+/
5.31% were M1 polarized. The infiltration of M2-polarized macrophages was
significantly associated with P-TNM staging and lymph node metastasis. The
peritumoral lymphatic microvessel density was significantly higher in the high M2
polarized tumor-associated macrophage group than in the low M2-polarized tumor
associated macrophage group. A significant difference in overall patient survival
was detected not only between patients with tumors with high and low macrophage
counts but also between patients with tumors with high and low counts of M2
polarized macrophages. CONCLUSION: Tumor-associated macrophages in lung
adenocarcinoma have an M2-polarized subtype and are associated with poor
prognoses, perhaps resulting from accelerated lymphangiogenesis and lymph node
metastasis.
PMID- 22086518
TI - A negative expiratory pressure test during wakefulness for evaluating the risk of
obstructive sleep apnea in patients referred for sleep studies.
AB - OBJECTIVE: Obstructive sleep apnea is characterized by increased upper airway
collapsibility during sleep. The present study investigated the use of the
negative expiratory pressure test as a method to rule out obstructive sleep
apnea. METHODS: Flow limitation was evaluated in 155 subjects. All subjects
underwent a diurnal negative expiratory pressure test and a nocturnal sleep
study. The severity of sleep apnea was determined based on the apnea-hypopnea
index. Flow limitation was assessed by computing the exhaled volume at 0.2, 0.5,
and 1.0 s (V0.2, V0.5, and V1.0, respectively) during the application of a
negative expiratory pressure and expressed as a percentage of the previous
exhaled volume. Receiver-operating characteristic curves were constructed to
identify the optimal threshold volume at 0.2, 0.5, and 1.0 s for obstructive
sleep apnea detection. RESULTS: Mean expiratory volumes at 0.2 and 0.5 s were
statistically higher (p <0.01) in healthy subjects than in all obstructive sleep
apneic groups. Increasing disease severity was associated with lower expiratory
volumes. The V0.2 (%) predictive parameters for the detection of sleep apnea were
sensitivity (81.1%), specificity (93.1%), PPV (98.1%), and NPV (52.9%).
Sensitivity and NPV were 96.9% and 93.2%, respectively, for moderate-to-severe
obstructive sleep apnea, and both were 100% for severe obstructive sleep apnea.
CONCLUSION: Flow limitation measurement by V 0.2 (%) during wakefulness may be a
very reliable method to identify obstructive sleep apnea when the test is
positive and could reliably exclude moderate and severe obstructive sleep apnea
when the test is negative. The negative expiratory pressure test appears to be a
useful screening test for suspected obstructive sleep apnea.
PMID- 22086519
TI - The characteristics of stress cardiomyopathy in an ethnically heterogeneous
population.
AB - OBJECTIVES: Stress cardiomyopathy is a cardiac syndrome that is characterized by
transient left ventricular systolic dysfunction in the absence of obstructive
coronary artery disease. Its epidemiology has been described in homogeneous
Asian, Caucasian and Black populations, but its characteristics in heterogeneous
populations are poorly understood. Our aim was to assess the characteristics of
stress cardiomyopathy in a heterogeneous population that included a large
percentage of Hispanics. METHODS: We reviewed 59 consecutive cases of stress
cardiomyopathy that were confirmed by coronary angiography and were in agreement
with the Mayo Clinic diagnostic criteria. RESULTS: The mean age of the patients
was 74 years (range, 39-91 years), and 37 patients were female (62.7%). Twenty
nine patients (49.2%) were Latino/Hispanic, 26 (44%) were Caucasian, 3 (5%) were
Asian, and 1 patient (1.7%) was Black. The most common chief symptom was dyspnea,
followed by chest pain and an absence of symptoms in 54.2, 28.8, and 18.6% of the
patients, respectively. The primary EKG abnormalities consisted of a T wave
inversion, an ST segment elevation, and ST segment depression in 69.5%, 25.4%,
and 15.3% of the patients, respectively. The stressor event was identified in 90%
of the cases. In 32 cases (54%), the stressor event was physical stress or a
medical illness, and in 21 cases (35.6%), the stressor event was emotional
stress. The in-hospital mortality rate was 8.5%. CONCLUSIONS: In our
heterogeneous study population, stress cardiomyopathy presented with a 3:2 female
to-male ratio, and dyspnea was the most common chief complaint. Stress
cardiomyopathy exhibited a T wave inversion as the primary EKG abnormality. These
findings differ from previous cases that have been reported, and further studies
are needed.
PMID- 22086520
TI - Preoperative nodal staging of non-small cell lung cancer using 99mTc-sestamibi
spect/ct imaging.
AB - OBJECTIVES: The proper nodal staging of non-small cell lung cancer is important
for choosing the best treatment modality. Although computed tomography remains
the first-line imaging test for the primary staging of lung cancer, its
limitations for mediastinum nodal staging are well known. The aim of this study
is to evaluate the accuracy of hybrid single-photon emission computed tomography
and computed tomography using 99mTc-sestamibi in the nodal staging of patients
with non-small cell lung cancer and to identify potential candidates for surgical
treatment. METHODS: Prospective data were collected for 41 patients from December
2006 to February 2009. The patients underwent chest computed tomography and
single-photon emission computed tomography/computed tomography examinations with
99mTc-sestamibi within a 30-day time period before surgery. Single-photon
emission computed tomography/computed tomography was considered positive when
there was focal uptake of sestamibi in the mediastinum, and computed tomography
scan when there was lymph nodes larger than 10 mm in short axis. The results of
single-photon emission computed tomography and computed tomography were
correlated with pathology findings after surgery. RESULTS: Single-photon emission
computed tomography/computed tomography correctly identified six out of 19 cases
involving hilar lymph nodes and one out of seven cases involving nodal metastases
in the mediastinum. The sensitivity, specificity, positive predictive value, and
negative predictive value for 99mTc-sestamibi single-photon emission computed
tomography/computed tomography in the hilum assessment were 31.6%, 95.5%, 85.7%,
and 61.8%, respectively. The same values for the mediastinum were 14.3%, 97.1%,
50%, and 84.6%, respectively. For the hilar and mediastinal lymph nodes, chest
tomography showed sensitivity values of 47.4% and 57.1%, specificity values of
95.5% and 91.2%, positive predictive values of 90% and 57.1% and negative
predictive values of 67.7% and 91.2%, respectively. CONCLUSION: Single-photon
emission computed tomography/computed tomography with 99mTc-sestamibi showed very
low sensitivity and accuracy for the nodal staging of patients with non-small
cell lung cancer, despite its high level of specificity. In addition, the
performance of single-photon emission computed tomography/computed tomography
added no relevant information compared to computed tomography that would justify
its use in the routine preoperative staging of non-small cell lung carcinoma.
PMID- 22086521
TI - Assessment of symptoms of urinary incontinence in women with polycystic ovary
syndrome.
AB - OBJECTIVES: The pelvic floor muscles are sensitive to androgens, and due to
hyperandrogenism, women with polycystic ovary syndrome can have increased mass in
these muscles compared to controls. The aim of this study is to compare reports
of urine leakage and quality of life between women with and without polycystic
ovary syndrome. METHODS: One hundred thirteen 18-to 40-year-old nulliparous women
with polycystic ovary syndrome or without the disease (controls) were recruited
at the University Hospital of School Medicine of Sao Paulo University at Ribeirao
Preto City, Brazil. The subjects were not taking any hormonal medication, had not
undergone previous pelvic surgery and did not exercise their pelvic floor
muscles. The women were divided into the following four groups: I-polycystic
ovary syndrome with normal body mass index (n = 18), II-polycystic ovary syndrome
with body mass index >25 (n = 32), III-controls with normal body mass index (n =
29), and IV-controls with Body Mass Index >25 (n = 34). Quality of life was
evaluated using the SF-36 questionnaire, and the subjects with urinary complaints
also completed the International Consultation on Incontinence Questionnaire Short
Form to evaluate the severity of their urinary incontinence. RESULTS: The replies
to the International Consultation on Incontinence Questionnaire Short Form
revealed a significant difference in urinary function between groups, with 24% of
the subjects in group IV reporting urinary incontinence. The mean scores for the
SF-36 questionnaire revealed that group II had the lowest quality of life.
CONCLUSIONS: The control obese group (IV) reported a higher prevalence of urinary
incontinence. There was no difference in the reported frequency of urine loss
between the polycystic ovary syndrome and control groups with normal body mass
index or between the polycystic ovary syndrome and control groups with body mass
index >25.
PMID- 22086522
TI - The validity and reliability of the Portuguese versions of three tools used to
diagnose delirium in critically ill patients.
AB - OBJECTIVES: The objectives of this study are to compare the sensitivity and
specificity of three diagnostic tools for delirium (the Intensive Care Delirium
Screening Checklist, the Confusion Assessment Method for Intensive Care Units and
the Confusion Assessment Method for Intensive Care Units Flowsheet) in a mixed
population of critically ill patients, and to validate the Brazilian Portuguese
Confusion Assessment Method for Intensive Care Units. METHODS: The study was
conducted in four intensive care units in Brazil. Patients were screened for
delirium by a psychiatrist or neurologist using the Diagnostic and Statistical
Manual of Mental Disorders. Patients were subsequently screened by an intensivist
using Portuguese translations of the three tools. RESULTS: One hundred and
nineteen patients were evaluated and 38.6% were diagnosed with delirium by the
reference rater. The Confusion Assessment Method for Intensive Care Units had a
sensitivity of 72.5% and a specificity of 96.2%; the Confusion Assessment Method
for Intensive Care Units Flowsheet had a sensitivity of 72.5% and a specificity
of 96.2%; the Intensive Care Delirium Screening Checklist had a sensitivity of
96.0% and a specificity of 72.4%. There was strong agreement between the
Confusion Assessment Method for Intensive Care Units and the Confusion Assessment
Method for Intensive Care Units Flowsheet (kappa coefficient = 0.96) CONCLUSION:
All three instruments are effective diagnostic tools in critically ill intensive
care unit patients. In addition, the Brazilian Portuguese version of the
Confusion Assessment Method for Intensive Care Units is a valid and reliable
instrument for the assessment of delirium among critically ill patients.
PMID- 22086523
TI - Do omega-3 fatty acids prevent atrial fibrillation after open heart surgery? A
meta-analysis of randomized controlled trials.
AB - OBJECTIVES: N-3 polyunsaturated fatty acids have been proposed as a novel
treatment for preventing postoperative atrial fibrillation due to their potential
anti-inflammatory and anti-arrhythmic effects. However, randomized studies have
yielded conflicting results. The objective of this study is to review randomized
trials of N-3 polyunsaturated fatty acid use for postoperative atrial
fibrillation. METHODS: Using the CENTRAL, PUBMED, EMBASE, and LILACS databases, a
literature search was conducted to identify all of the studies in human subjects
that reported the effects of N-3 polyunsaturated fatty acids on the prevention of
postoperative atrial fibrillation in cardiac surgery patients. The final search
was performed on January 30, 2011. There was no language restriction, and the
search strategy only involved terms for N-3 polyunsaturated fatty acids (or fish
oil), atrial fibrillation, and cardiac surgery. To be included, the studies had
to be randomized (open or blinded), and the enrolled patients had to be >=18
years of age. RESULTS: Four randomized studies (three double-blind, one open
label) that enrolled 538 patients were identified. The patients were
predominantly male, the mean age was 62.3 years, and most of the patients
exhibited a normal left atrial size and ejection fraction. N-3 polyunsaturated
fatty acid use was not associated with a reduction in postoperative atrial
fibrillation. Similar results were observed when the open-label study was
excluded. CONCLUSIONS: There is insufficient evidence to suggest that treatment
with N-3 polyunsaturated fatty acids reduces postoperative atrial fibrillation.
Therefore, their routine use in patients undergoing cardiac surgery is not
recommended.
PMID- 22086524
TI - The ADA*2 allele of the adenosine deaminase gene (20q13.11) and recurrent
spontaneous abortions: an age-dependent association.
AB - OBJECTIVE: Adenosine deaminase acts on adenosine and deoxyadenosine metabolism
and modulates the immune response. The adenosine deaminase G22A polymorphism
(20q.11.33) influences the level of adenosine deaminase enzyme expression, which
seems to play a key role in maintaining pregnancy. The adenosine deaminase 2
phenotype has been associated with a protective effect against recurrent
spontaneous abortions in European Caucasian women. The aim of this study was to
investigate whether the G22A polymorphism of the adenosine deaminase gene is
associated with recurrent spontaneous abortions in Brazilian women. METHODS: A
total of 311 women were recruited to form two groups: G1, with a history of
recurrent spontaneous abortions (N = 129), and G2, without a history of abortions
(N = 182). Genomic DNA was extracted from peripheral blood with a commercial kit
and PCR-RFLP analysis was used to identify the G22A genetic polymorphism.
Fisher's exact test and odds ratio values were used to compare the proportions of
adenosine deaminase genotypes and alleles between women with and without a
history of recurrent spontaneous abortion (p<0.05). The differences between mean
values for categorical data were calculated using unpaired t tests. The Hardy
Weinberg equilibrium was assessed with a chi-square test. RESULTS: Statistically
significant differences were identified for the frequencies of adenosine
deaminase genotypes and alleles between the G1 and G2 groups when adjusted for
maternal age. CONCLUSIONS: The results suggest that the adenosine deaminase *2
allele is associated with a low risk for recurrent spontaneous abortions, but
this association is dependent on older age.
PMID- 22086525
TI - Revisiting stapled and handsewn loop ileostomy closures: a large retrospective
series.
AB - OBJECTIVE: To compare the surgical outcomes of stapled and handsewn closures in
loop ileostomies. METHODS: The data of 225 patients requiring loop ileostomies
from 2002 to 2007 were retrospectively evaluated. The patients underwent partial
small-bowel resections and either handsewn or stapled anastomoses for the
ileostomy closures. They were followed up postoperatively with routine surgical
examinations. RESULTS: The study group consisted of 124 men and 101 women with a
mean age of 49.12 years. The ileostomy closure was performed with handsewn in 129
patients and with stapled in 96 patients. The mean time to the first
postoperative flatus was 2.426 days in the handsewn group and 2.052 days in the
stapled group (p <0.05). The mean time to the first postoperative defecation was
3.202 days in the handsewn group and 2.667 days in the stapled group (p <0.05).
The mean duration of patient hospital stay was 8.581 days for the handsewn group
and 6.063 days for the stapled group (p <0.05). CONCLUSIONS: Patients who
underwent ileostomy closure with stapled recovered faster in the postoperative
period and required shorter hospital stays than those whose closures were
performed with handsewn. In our opinion, stapled should be considered the gold
standard for loop ileostomy closures.
PMID- 22086526
TI - Development and analysis of a low-cost screening tool to identify and classify
hearing loss in children: a proposal for developing countries.
AB - OBJECTIVE: A lack of attention has been given to hearing health in primary care
in developing countries. A strategy involving low-cost screening tools may fill
the current gap in hearing health care provided to children. Therefore, it is
necessary to establish and adopt lower-cost procedures that are accessible to
underserved areas that lack other physical or human resources that would enable
the identification of groups at risk for hearing loss. The aim of this study was
to develop and analyze the efficacy of a low-cost screening tool to identify and
classify hearing loss in children. METHODS: A total of 214 2-to-10 year-old
children participated in this study. The study was conducted by providing a
questionnaire to the parents and comparing the answers with the results of a
complete audiological assessment. Receiver operating characteristic (ROC) curves
were constructed, and discriminant analysis techniques were used to classify each
child based on the total score. RESULTS: We found conductive hearing loss in
39.3% of children, sensorineural hearing loss in 7.4% and normal hearing in
53.3%. The discriminant analysis technique provided the following classification
rule for the total score on the questionnaire: 0 to 4 points - normal hearing; 5
to 7 points - conductive hearing loss; over 7 points - sensorineural hearing
loss. CONCLUSION: Our results suggest that the questionnaire could be used as a
screening tool to classify children with normal hearing or hearing loss and
according to the type of hearing loss based on the total questionnaire score.
PMID- 22086527
TI - Mechanical evaluation of the resistance and elastance of post-burn scars after
topical treatment with tretinoin.
AB - OBJECTIVE: After burn injuries, scarred skin lacks elasticity, especially in
hypertrophic scars. Topical treatment with tretinoin can improve the appearance
and quality of the skin (i.e., texture, distensibility, color, and hydration).
The objective of this prospective study was to examine the effects of treatment
with 0.05% tretinoin for one year on the biomechanical behavior and histological
changes undergone by facial skin with post-burn scarring. SETTING: Tertiary,
Institutional. METHOD: Fifteen female patients who had suffered partial thickness
burns with more than two years of evolution were selected. Skin biopsies were
obtained initially and after one year of treatment. The resistance and elastance
of these skin biopsies were measured using a mechanical oscillation analysis
system. The density of collagen fibers, elastic fibers, and versican were
determined using immunohistochemical analysis. RESULTS: Tretinoin treatment
significantly lowered skin resistance and elastance, which is a result that
indicates higher distensibility of the skin. However, tretinoin treatment did not
significantly affect the density of collagen fibers, elastic fibers, or versican.
CONCLUSION: Topical tretinoin treatment alters the mechanical behavior of post
burn scarred skin by improving its distensibility and thus leads to improved
quality of life for patients.
PMID- 22086528
TI - Restless legs syndrome in subjects with a knee prosthesis: evidence that symptoms
are generated in the periphery.
AB - OBJECTIVE: There are no data adressing the prevalence of restless legs syndrome
in subjects who have knee prosthesis. Therefore, we conducted a cross-sectional
survey of subjects who underwent knee prosthesis surgery. METHOD: A total of 107
subjects (30 male, 77 female) were interviewed over the telephone regarding
restless legs syndrome symptoms. If the patients exhibited symptoms of the
syndrome, we conducted face-to-face interviews. Lastly, a therapeutic test with
pramipexole was proposed for each subject. RESULTS: In our cohort, 7 males (23%)
and 30 females (39%) had restless legs syndrome. Of these, 6 males and 23 females
were submitted to face-to-face-interview. Of the males, 5 (83%) had restless legs
after the knee surgery-exclusively in the operated leg- and reported no family
restless legs history. One man had a prior case of bilateral restless legs
syndrome, a positive family history and claimed exacerbation of symptoms in the
operated leg. Among the females, 16 (69%) had restless legs prior to surgery. A
total of 10 female patients reported bilateral symptoms, with fewer symptoms in
the operated leg, while 6 displayed a worse outcome in the operated leg. The 7
females (31%) without restless legs prior to surgery and without a family history
experienced symptoms only in the operated leg. All subjects responded favorably
to the pramipexole therapeutic test. CONCLUSION: Our results suggest that
secondary unilateral restless legs syndrome may ensue from knee prosthesis
surgery and that the symptoms are generated in the peripheral nervous system.
PMID- 22086529
TI - Vimentin and laminin are altered on cheek pouch microvessels of streptozotocin
induced diabetic hamsters.
AB - OBJECTIVE: Normal endothelial cells respond to shear stress by elongating and
aligning in the direction of fluid flow. Hyperglycemia impairs this response and
contributes to microvascular complications, which result in deleterious effects
to the endothelium. This work aimed to evaluate cheek pouch microvessel
morphological characteristics, reactivity, permeability, and expression of
cytoskeleton and extracellular matrix components in hamsters after the induction
of diabetes with streptozotocin. METHODS: Syrian golden hamsters (90-130 g) were
injected with streptozotocin (50 mg/kg, i.p.) or vehicle either 6 (the diabetes
mellitus 6 group) or 15 (the diabetes mellitus 15 group) days before the
experiment. Vascular dimensions and density per area of vessels were determined
by morphometric and stereological measurements. Changes in blood flow were
measured in response to acetylcholine, and plasma extravasation was measured by
the number of leakage sites. Actin, talin, alpha-smooth muscle actin, vimentin,
type IV collagen, and laminin were detected by immunohistochemistry and assessed
through a semiquantitative scoring system. RESULTS: There were no major
alterations in the lumen, wall diameters, or densities of the examined vessels.
Likewise, vascular reactivity and permeability were not altered by diabetes. The
arterioles demonstrated increased immunoreactivity to vimentin and laminin in the
diabetes mellitus 6 and diabetes mellitus 15 groups. DISCUSSION: Antibodies
against laminin and vimentin inhibit branching morphogenesis in vitro. Therefore,
laminin and vimentin participating in the structure of the focal adhesion may
play a role in angiogenesis. CONCLUSIONS: Our results indicated the existence of
changes related to cell-matrix interactions, which may contribute to the
pathological remodeling that was already underway one week after induction of
experimental diabetes.
PMID- 22086530
TI - Impact of Plasma-Lyte pH 7.4 on acid-base status and hemodynamics in a model of
controlled hemorrhagic shock.
AB - OBJECTIVE: Intravenous infusion of crystalloid solutions is a cornerstone of the
treatment of hemorrhagic shock. However, crystalloid solutions can have variable
metabolic acid-base effects, perpetuating or even aggravating shock-induced
metabolic acidosis. The aim of this study was to compare, in a controlled volume
driven porcine model of hemorrhagic shock, the effects of three different
crystalloid solutions on the hemodynamics and acid-base balance. METHODS:
Controlled hemorrhagic shock (40% of the total blood volume was removed) was
induced in 18 animals, which were then treated with normal saline (0.9% NaCl),
Lactated Ringer's Solution or Plasma-Lyte pH 7.4, in a blinded fashion (n = 6 for
each group). Using a predefined protocol, the animals received three times the
volume of blood removed. RESULTS: The three different crystalloid infusions were
equally capable of reversing the hemorrhage-induced low cardiac output and
anuria. The Lactated Ringer's Solution and Plasma-Lyte pH 7.4 infusions resulted
in an increased standard base excess and a decreased serum chloride level,
whereas treatment with normal saline resulted in a decreased standard base excess
and an increased serum chloride level. The Plasma-Lyte pH 7.4 infusions did not
change the level of the unmeasured anions. CONCLUSION: Although the three tested
crystalloid solutions were equally able to attenuate the hemodynamic and tissue
perfusion disturbances, only the normal saline induced hyperchloremia and
metabolic acidosis.
PMID- 22086531
TI - An overview of recently published medical papers in Brazilian scientific
journals.
AB - A brief review intended as information to the readership of Clinics on papers
recently published under various medical headings in Brazilian scientific
journals recently indexed or about to be indexed in ISI-THOMSON Journal Citation
Reports. Journals covered in this review are Acta Ortopedica Brasileira, Arquivos
Brasileiros de Cardiologia, Jornal Brasileiro de Pneumologia, Revista Brasileira
de Cirurgia Cardiovascular and Revista da Associacao Medica Brasileira.
PMID- 22086532
TI - Immunoglobulin G4-related systemic sclerosing disease in a patient with
sclerosing cholangitis, inflammatory pseudotumors of the lung and multiple
radiological patterns: a case report.
PMID- 22086533
TI - The best approach for diagnosing primary sclerosing cholangitis.
PMID- 22086534
TI - Primary Sjogren's syndrome in children: is a family approach indicated?
PMID- 22086535
TI - Unusual presentation of multiple aneurysms of the ascending aorta.
PMID- 22086537
TI - Aluminum-induced oxidative stress and changes in antioxidant defenses in the
roots of rice varieties differing in Al tolerance.
AB - The effects of aluminum (Al) on root elongation, lipid peroxidation, hydrogen
peroxide (H(2)O(2)) accumulation, antioxidant levels, antioxidant enzymatic
activity, and lignin content in the roots of the Al-tolerant rice variety azucena
and the Al-sensitive variety IR64 were investigated. Treatment with Al induced a
greater decrease in root elongation and a greater increase in H(2)O(2) and lipid
peroxidation as determined by the total thiobarbituric acid-reactive substance
(TBARS) level in IR64 than in azucena. Azucena had significantly higher levels of
superoxide dismutase, ascorbate peroxidase, glutathione reductase, and
glutathione peroxidase GSH POD activity compared with IR64. The concentrations of
reduced glutathione (GSH) and ascorbic acid, and the GSH/GSSG ratio (reduced vs.
oxidized glutathione) were also higher in azucena than in IR64 in the presence of
Al. The addition of 1 mg/L GSH improved root elongation in both varieties and
decreased H(2)O(2) production under Al stress. By contrast, treatment with
buthionine sulfoximine, a specific inhibitor of GSH synthesis, decreased root
elongation in azucena and stimulated H(2)O(2) production in both varieties.
Moreover, Al treatment significantly increased the cytoplasmic activity of
peroxidase (POD) as well as the levels of POD bound ionically and covalently to
cell walls in the Al-sensitive variety. The lignin content was also increased.
Treatment with exogenous H(2)O(2) also increased the lignin content and decreased
root elongation in IR64. These results suggest that Al induces lignification in
the roots of Al-sensitive rice varieties, probably through an increase in
H(2)O(2) accumulation.
PMID- 22086538
TI - Syrinx resolution after posterior fossa decompression in patients with scoliosis
secondary to Chiari malformation type I.
AB - INTRODUCTION: Description of syrinx resolution after posterior fossa
decompression (PFD) in patients with scoliosis secondary to Chiari malformation
type I (CMI) and syringomyelia (SM) has been rarely reported in the literature.
This study was performed to investigate the outcome of PFD in patients with
scoliosis secondary to CMI and to identify potential predictive factors for
better outcome after PFD. MATERIAL AND METHODS: Patients with scoliosis secondary
to CMI and SM, who had undergone PFD during the period 2000 through 2009, were
recruited. Inclusion criteria were (1) age <= 18 years, (2) diagnosis of SM
associated with CMI, (3) scoliosis as the first complaint, (4) having undergone
preoperative and follow-up magnetic resonance imaging (MRI). Patients with
acquired CMI anomalies or who had received syringosubarachnoid shunting were
excluded. The maximal S/C ratio and syrinx length were measured to evaluate
syrinx resolution after PFD. A 20% decrease in S/C ratio or length at the latest
follow-up was defined as a significant radiographic improvement and complete
resolution was used to describe the syrinx disappearing after PFD. RESULTS: 44
patients were recruited. Follow-up MRI was conducted for all 44 patients at 6 +/-
3 months postoperatively, for 37 patients at 2 years +/- 3 months, for 26
patients at 4 years +/- 3 months, and for 15 patients at 6 years +/- 3 months.
97.7% (43 of 44) of patients showed significant radiographic improvement by MRI.
The distance of tonsillar descent (mm) was correlated significantly with the
surgical outcome (r = 0.116, P = 0.013). Significant improvement was observed
within 6 months postoperatively, with continued slow improvement after that.
CONCLUSION: Syringes showed significant improvement after PFD in most patients
with scoliosis secondary to CMI. Resolution generally occurred within 6 months
follow-up and continued at a slow rate for several years. In addition, the
severity of tonsillar descent is a potential predictor for better improvement
after standard PFD.
PMID- 22086539
TI - CT evaluation of upper thoracic spine for surgical application of transarticular
screw placement.
AB - INTRODUCTION: Although pedicle screw fixation has been increasingly used in the
upper thoracic spine in recent years, controversies exist about the safety and
complications such as nerve or vascular intrusion associated with the technique.
In this study, an alternative method of transarticular screw fixation was
validated. MATERIALS AND METHODS: Morphometric analysis was performed on computed
tomography (CT) scans of the upper thoracic zygapophysial joints of C7, T1, T2
and T3 in 20 male and 20 female patients in the axial and sagittal planes. The
degree of screw angulation was recorded in the sagittal and axial planes and the
screw length was measured at the spinal level from C7 to T3. RESULTS: The
smallest medial-lateral diameter and anterior-posterior diameter of IAP was found
at T3 in the female patients and C7 in the male patients. The screw trajectory
length ranged from 14.9 to 20.5 mm in all patients. All the above measurements
were significantly different between male and female patients at all levels (P <
0.05). The mean value of screw trajectory angle was 19.3 degrees -20.1 degrees
in the axial plane and 44.3 degrees -45.7 degrees in the sagittal plane. There
was no statistically significant difference (P > 0.05) between male and female
patients in the axial and sagittal angles. CONCLUSION: The morphometric data of
C7-T3 zygapophysial joints indicate the suitable screw diameter and screw length
for this technique. Transarticular screw fixation proved to be a potentially safe
alternative to pedicle screw fixation in this region.
PMID- 22086540
TI - Meter-long and robust supramolecular strands encapsulated in hydrogel jackets.
PMID- 22086542
TI - Initialization independent clustering with actively self-training method.
AB - The results of traditional clustering methods are usually unreliable as there is
not any guidance from the data labels, while the class labels can be predicted
more reliable by the semisupervised learning if the labels of partial data are
given. In this paper, we propose an actively self-training clustering method, in
which the samples are actively selected as training set to minimize an estimated
Bayes error, and then explore semisupervised learning to perform clustering.
Traditional graph-based semisupervised learning methods are not convenient to
estimate the Bayes error; we develop a specific regularization framework on graph
to perform semisupervised learning, in which the Bayes error can be effectively
estimated. In addition, the proposed clustering algorithm can be readily applied
in a semisupervised setting with partial class labels. Experimental results on
toy data and real-world data sets demonstrate the effectiveness of the proposed
clustering method on the unsupervised and the semisupervised setting. It is
worthy noting that the proposed clustering method is free of initialization,
while traditional clustering methods are usually dependent on initialization.
PMID- 22086543
TI - A smart health monitoring chair for nonintrusive measurement of biological
signals.
AB - We developed nonintrusive methods for simultaneous electrocardiogram,
photoplethysmogram, and ballistocardiogram measurements that do not require
direct contact between instruments and bare skin. These methods were applied to
the design of a diagnostic chair for unconstrained heart rate and blood pressure
monitoring purposes. Our methods were operationalized through capacitively
coupled electrodes installed in the chair back that include high-input impedance
amplifiers, and conductive textiles installed in the seat for capacitive driven
right-leg circuit configuration that is capable of recording electrocardiogram
information through clothing. Photoplethysmograms were measured through clothing
using seat mounted sensors with specially designed amplifier circuits that vary
in light intensity according to clothing type. Ballistocardiograms were recorded
using a film type transducer material, polyvinylidenefluoride (PVDF), which was
installed beneath the seat cover. By simultaneously measuring signals, beat-to
beat heart rates could be monitored even when electrocardiograms were not
recorded due to movement artifacts. Beat-to-beat blood pressure was also
monitored using unconstrained measurements of pulse arrival time and other
physiological parameters, and our experimental results indicated that the
estimated blood pressure tended to coincide with actual blood pressure
measurements. This study demonstrates the feasibility of our method and device
for biological signal monitoring through clothing for unconstrained long-term
daily health monitoring that does not require user awareness and is not limited
by physical activity.
PMID- 22086544
TI - Designer peptide surfactants stabilize diverse functional membrane proteins.
AB - Multi-spanning integral membrane proteins, including G-protein coupled receptors
(GPCR), ion channels, and ion transporters, comprise a major class of drug
targets. However, despite their vital importance, most molecular structures of
membrane proteins remain elusive. This is largely due to lack of effective
materials and methods to stabilize their functional conformation for sufficient
time. Thus finding optimal surfactants and developing new approaches to study
fundamental properties of unstable membrane proteins is urgently needed. In this
tutorial review we summarize designer peptides with surfactant properties and
their usefulness to stabilize membrane proteins. These peptide surfactants
present new opportunities for the stabilization and characterization of diverse
membrane proteins. Previous studies on the interaction between surfactant
peptides and membrane proteins revealed strategies to design new peptides tailor
made for the stabilization of specific proteins. We review examples of
solubilization, purification, long-term stabilization of membrane proteins, and
the design principles of peptide sequences. We discuss future trends for
exploiting spatial features, thermodynamic parameters, and self-assembling
properties to create peptide surfactant structures to facilitate the
characterization of diverse membrane proteins.
PMID- 22086546
TI - Biomechanical investigation of the stabilization principle of the Latarjet
procedure.
AB - PURPOSE: The purpose of the study was to determine the biomechanical status of
the different components of the Latarjet procedure. The anterior capsule
reconstruction with the transferred coracoacromial ligament (CAL) and the
necessity of an intact subscapularis tendon were of particular interest. We
hypothesized that the anterior capsule reconstruction will have a significant
effect and that the Latarjet procedure will lose its stabilizing effect if the
subscapularis tendon is torn. METHODS: Stability testing of 12 human shoulder
specimens was performed. After testing of the intact joint, a combined anterior
glenoid and capsule defect was set arthroscopically. Then the Latarjet procedure
was performed using an open approach and tested with and without loading of the
conjoint tendons (10 N). Afterwards, the specimens were distributed into two
groups and the Latarjet technique was reduced stepwise: dissection of the CAL,
dissection of the conjoint tendons (group A); reduction of the coracoid segment,
dissection of the subscapularis tendon (group B). Biomechanical testing was
performed for each condition in two positions: 60 degrees of glenohumeral
abduction with neutral rotation and with 60 degrees of external rotation; each
with a passive humerus load of 30 N in the anterior, inferior and anteroinferior
direction. RESULTS: The Latarjet technique with load applied to the conjoint
tendons significantly reduced translation compared with the defect condition for
all tested positions in all directions. In group A, the CAL-dissection led to a
significant increase of anterior translation (+5.0 mm, p = 0.003) and inferior
translation (+7.3 mm, p = 0.025) in neutral rotation and of anterior translation
in 60 degrees of external rotation (+4.4 mm, p = 0.034). In group B, the
reduction of the coracoid bone down to the coracoid tip resulted in a significant
increase of only the anterior translation in abduction and 60 degrees of
external rotation (+4.5 mm, p = 0.05). In contrast, the detachment of the
subscapularis tendon led to a significant increase of translation in all testing
positions except the inferior direction in the neutral rotation. CONCLUSIONS: We
found the anterior capsule reconstruction to represent a significant contribution
to the stabilizing effect of the Latarjet procedure, whereas a deficiency of the
subscapularis tendon eliminates its effect. CLINICAL RELEVANCE: We recommend to
perform the Latarjet technique with an anterior capsule reconstruction (e.g. CAL
transfer) and with a transfer of the coracoid bone block rather than a
transposition of the coracoid tip. Furthermore, we were able to show that an
intact subscapularis tendon is a necessary prerequisite for a reliable
stabilization.
PMID- 22086547
TI - Unexpected injury of the orthopaedic surgeon: a case report of a hammer splinter.
AB - Sharps injuries have become one of the most important occupational injuries and
they are common during surgery, with rates between 1.7 and 6.9% of all surgical
procedures. This case report, however, revealed an extremely rare and unexpected
condition, which could not be prevented by the reasonable safety precautions
against injury. Closed reduction and closed intramedullary fixation was planned
for the patient with humeral shaft fracture. While advancing the nail by
hammering a piece of metal detached. A short time following the commencement of
the procedure, the surgeon who was performing the operation felt a sudden severe
pain in the neck. A radio-opaque intensity in the cervical region was detected on
X-rays. There was a piece of metal from the hammer. The risk encountered in the
present case comprises a condition, the prevention of which is probably
impossible with the frequently utilized preventive measures against injuries. For
this reason, the operating room team and in particular, the surgeon, should be
careful about possible expected injuries, as well as the unexpected ones. Sharps
injuries continue to be a serious concern for all healthcare workers. In some
studies however, reporting of sharps injuries by healthcare workers remains a
problem with reporting levels cited as low as 15% and as high as 90% (Kerr H-L,
Stewart N Ann R Coll Surg Engl 91:430-432, [6]). Guo et al. pointed out the most
recent sharps injuries at work, and syringe needles was by far the most important
items causing injuries, followed by glass products, suture needles, and
intravenous catheters.
PMID- 22086545
TI - Visual object categorization in birds and primates: integrating behavioral,
neurobiological, and computational evidence within a "general process" framework.
AB - Previous comparative work has suggested that the mechanisms of object
categorization differ importantly for birds and primates. However, behavioral and
neurobiological differences do not preclude the possibility that at least some of
those mechanisms are shared across these evolutionarily distant groups. The
present study integrates behavioral, neurobiological, and computational evidence
concerning the "general processes" that are involved in object recognition in
vertebrates. We start by reviewing work implicating error-driven learning in
object categorization by birds and primates, and also consider neurobiological
evidence suggesting that the basal ganglia might implement this process. We then
turn to work with a computational model showing that principles of visual
processing discovered in the primate brain can account for key behavioral
findings in object recognition by pigeons, including cases in which pigeons'
behavior differs from that of people. These results provide a proof of concept
that the basic principles of visual shape processing are similar across distantly
related vertebrate species, thereby offering important insights into the
evolution of visual cognition.
PMID- 22086548
TI - More effective antimicrobial mastoparan derivatives, generated by 3D-QSAR-Almond
and computational mutagenesis.
AB - Antimicrobial peptides are drugs used against a wide range of pathogens which
present a great advantage: in contrast with antibiotics they do not develop
resistance. The wide spectrum of antimicrobial peptides advertises them in the
research and pharmaceutical industry as attractive starting points for obtaining
new, more effective analogs. Here we predict the antimicrobial activity against
Bacillus subtilis (expressed as minimal inhibitory concentration values) for 33
mastoparan analogs and their new derivatives by a non-aligned 3D-QSAR
(quantitative structure-activity relationship) method. We establish the
contribution to antimicrobial activity of molecular descriptors (hydrophobicity,
hydrogen bond donor and steric), correlated with contributions from the membrane
environment (sodium, potassium, chloride). Our best QSAR models show significant
cross-validated correlation q(2) (0.55-0.75), fitted correlation r(2) (greater
than 0.90) coefficients and standard error of prediction SDEP (less than 0.250).
Moreover, based on our most accurate 3D-QSAR models, we propose nine new
mastoparan analogs, obtained by computational mutagenesis, some of them predicted
to have significantly improved antimicrobial activity compared to the parent
compound.
PMID- 22086549
TI - Catalyzing denitrification of Paracoccus versutus by immobilized 1,5
dichloroanthraquinone.
AB - The accelerating effect of non-dissolved redox mediator (1,5
dichloroanthraquinone) on the biological denitrification was investigated in this
paper using 1,5-dichloroanthraquinone immobilized by calcium alginate (CA) and a
heterotrophic denitrification bacterium of Paracoccus versutus (GU111570). The
results suggested that the denitrification rate was enhanced 2.1 fold by 25 mmol
l(-1) 1,5-dichloroanthraquinone of this study, and a positive correlation was
found for the denitrification rate and 1,5-dichloroanthraquinone concentrations
from 0 to 25 mmol l(-1). According to the change characteristic of NO(3) (-) and
NO(2) (-) during the denitrification process, the tentative accelerating
mechanism of the denitrification by redox mediators was put forward, and redox
mediator might play the role of reduced cofactors like NADH, N(A)DH and SDH, or
the similar ubiquinol/ubiquinone (Q/QH(2)) role during the denitrification
process.
PMID- 22086550
TI - Effect of erythropoiesis-stimulating agents in acute ST-segment elevation
myocardial infarction: a systematic review.
AB - PURPOSE: Current evidence suggests that erythropoiesis-stimulating agents (ESAs),
including erythropoietin and darbepoetin, may have a direct cardio-protective
effect. However, randomized controlled trials (RCTs) assessing the efficacy and
safety of ESAs in patients with acute ST-segment elevation myocardial infarction
(STEMI) have yielded heterogeneous results. Here, we performed a meta-analysis of
RCTs to assess whether the administration of ESAs can improve cardiac functional
parameters, such as left ventricular ejection fraction (LVEF), left ventricular
end-systolic volume (LVESV), and left ventricular end-diastolic volume (LVEDV),
and attenuate infarct size in patients with acute STEMI. METHODS AND RESULTS: The
PubMed, EBSCO, EMBASE, and Cochrane Central Register of Controlled Trials
databases were searched for relevant RCT studies on ESAs published before May 13,
2011. A total of nine RCTs involving 1,244 participants were identified. The
original data of these studies were aggregated using fixed effect models.
Compared with controls, the administration of ESAs showed a slight but
significant improvement in LVEF (1.38%; 95% confidence interval 0.38-2.37%; p =
0.007). However, no significant improvement in LVEDV, LVESV, and infarct size was
observed, and no increase in all-cause severe adverse effect was indicated.
CONCLUSIONS: Our meta-analysis indicates that the superiority of ESAs over
conventional therapy in patients with acute STEMI is limited using current
procedures. However, there is evidence to suggest that the timing and dosing of
ESA administration may be optimized. Moreover, the long-term cardio-protective
effect of ESAs in this patient population may be beneficial and worth exploring.
PMID- 22086551
TI - Effects of cage mesh on pointing: hand shapes in chimpanzees (Pan troglodytes).
AB - It has been speculated that cage mesh exerts a shaping influence on reaching
behavior by captive apes, which is then misconstrued as pointing by human
observers. Although this notion is clearly falsified by the pointing of captive
language-trained apes-who point in the absence of intervening cage mesh
nevertheless, the degree to which cage mesh might influence pointing hand shapes
by captive great apes in other housing environments remains relatively
unexplored. We examined 259 pointing gestures displayed in archival footage from
over 18 h of observation by three nonlanguage-trained chimpanzees housed at a
biomedical research center. We coded points in relation to how close to the
boundaries of the diamond-shaped cage mesh their points were displayed. We found
that points with the whole hand were significantly more likely to be displayed
away from the mesh boundaries, relative to points with the index finger or other
single-digit points. However, points of each hand shape were displayed at each
location, demonstrating that these physical parameters do not fully account for
the number of fingers extended while pointing by chimpanzees.
PMID- 22086552
TI - Durable remission with salvage second autotransplants in patients with multiple
myeloma.
AB - BACKGROUND: High-dose chemotherapy with autologous hematopoietic cell transplant
(auto-HCT) has been shown to improve survival in patients with newly diagnosed
multiple myeloma. However, the role of salvage auto-HCT for relapsed patients,
particularly in the era of novel therapeutics, is not well defined. METHODS: The
authors performed a retrospective analysis of all 44 myeloma patients (24 men, 20
women) who received a second auto-HCT as salvage between January 3, 1992 and
November 4, 2008 at The University of Texas MD Anderson Cancer Center. RESULTS:
Median interval between the first and salvage auto-HCT was 30 months (range, 2-78
months). Median age at salvage HCT was 54 years (range, 38-73 years), and median
number of salvage treatment regimens was 2 (range, 0-5). Eleven (25%) patients
had high-risk chromosomal abnormalities on conventional cytogenetic studies
between diagnosis and salvage auto-HCT. Ten patients (23%) experienced grade 3 or
higher nonhematologic toxicity after the salvage auto-HCT. One patient died
within 100 days, for a treatment-related mortality of 2%. Best responses after
salvage chemotherapy + salvage auto-HCT were as follows: complete response (CR) +
near CR, 11%; partial response, 79%; overall response rate, 90%. Eighteen (41%)
patients received post auto-HCT maintenance therapy. Median follow-up from
salvage HCT was 41 months. Kaplan-Meier estimates of median progression-free
survival (PFS) and overall survival (OS) from time of salvage auto-HCT were 12.3
and 31.7 months, respectively. Median OS from the time of diagnosis was 75
months. In a fitted Bayesian multivariate model, shorter time to progression
after first auto-HCT, greater number of prior therapies, African American race,
and immunoglobulin G subtype were significantly associated with worse OS.
CONCLUSIONS: In selected myeloma patients, a second auto-HCT for salvage therapy
is well tolerated, with acceptable toxicity. The overall response rate and PFS
are comparable to other salvage regimens.
PMID- 22086553
TI - P2X receptor antagonists for pain management: examination of binding and
physicochemical properties.
AB - Enhanced sensitivity to noxious stimuli and the perception of non-noxious stimuli
as painful are hallmark sensory perturbations associated with chronic pain. It is
now appreciated that ATP, through its actions as an excitatory neurotransmitter,
plays a prominent role in the initiation and maintenance of chronic pain states.
Mechanistically, the ability of ATP to drive nociceptive sensitivity is mediated
through direct interactions at neuronal P2X3 and P2X2/3 receptors. Extracellular
ATP also activates P2X4, P2X7, and several P2Y receptors on glial cells within
the spinal cord, which leads to a heightened state of neural-glial cell
interaction in ongoing pain states. Following the molecular identification of the
P2 receptor superfamilies, selective small molecule antagonists for several P2
receptor subtypes were identified, which have been useful for investigating the
role of specific P2X receptors in preclinical chronic pain models. More recently,
several P2X receptor antagonists have advanced into clinical trials for
inflammation and pain. The development of orally bioavailable blockers for ion
channels, including the P2X receptors, has been traditionally difficult due to
the necessity of combining requirements for target potency and selectivity with
suitable absorption distribution, metabolism, and elimination properties. Recent
studies on the physicochemical properties of marketed orally bioavailable drugs,
have identified several parameters that appear critical for increasing the
probability of achieving suitable bioavailability, central nervous system
exposure, and acceptable safety necessary for clinical efficacy. This review
provides an overview of the antinociceptive pharmacology of P2X receptor
antagonists and the chemical diversity and drug-like properties for emerging
antagonists of P2X3, P2X2/3, P2X4, and P2X7 receptors.
PMID- 22086555
TI - Inhibition of beta-amyloid peptide aggregation by multifunctional carbazole-based
fluorophores.
PMID- 22086554
TI - Using antibodies against P2Y and P2X receptors in purinergic signaling research.
AB - The broad expression pattern of the G protein-coupled P2Y receptors has
demonstrated that these receptors are fundamental determinants in many
physiological responses, including neuromodulation, vasodilation, inflammation,
and cell migration. P2Y receptors couple either G(q) or G(i) upon activation,
thereby activating different signaling pathways. Ionotropic ATP (P2X) receptors
bind extracellular nucleotides, a signal which is transduced within the P2X
protein complex into a cation channel opening, which usually leads to
intracellular calcium concentration elevation. As such, this family of proteins
initiates or shapes several cellular processes including synaptic transmission,
gene expression, proliferation, migration, and apoptosis. The ever-growing range
of applications for antibodies in the last 30 years attests to their major role
in medicine and biological research. Antibodies have been used as therapeutic
tools in cancer and inflammatory diseases, as diagnostic reagents (flow
cytometry, ELISA, and immunohistochemistry, to name a few applications), and in
widespread use in biological research, including Western blot,
immunoprecipitation, and ELISPOT. In this article, we will showcase several of
the advances that scientists around the world have achieved using the line of
antibodies developed at Alomone Labs for P2Y and P2X receptors.
PMID- 22086556
TI - Starting a new life: sperm PLC-zeta mobilizes the Ca2+ signal that induces egg
activation and embryo development: an essential phospholipase C with implications
for male infertility.
AB - We have discovered that a single sperm protein, phospholipase C-zeta (PLCzeta),
can stimulate intracellular Ca(2+) signalling in the unfertilized oocyte ('egg')
culminating in the initiation of embryonic development. Upon fertilization by a
spermatozoon, the earliest observed signalling event in the dormant egg is a
large, transient increase in free Ca(2+) concentration. The fertilized egg
responds to the intracellular Ca(2+) rise by completing meiosis. In mammalian
eggs, the Ca(2+) signal is delivered as a train of long-lasting cytoplasmic
Ca(2+) oscillations that begin soon after gamete fusion and persist beyond the
completion of meiosis. Sperm PLCzeta effects Ca(2+) release from egg
intracellular stores by hydrolyzing the membrane lipid PIP(2) and consequent
stimulation of the inositol 1,4,5-trisphosphate (InsP(3) ) receptor Ca(2+)
signalling pathway, leading to egg activation and early embryogenesis. Recent
advances have refined our understanding of how PLCzeta induces Ca(2+)
oscillations in the egg and also suggest its potential dysfunction as a cause of
male infertility.
PMID- 22086558
TI - Genetic variants of a BH3-only pro-apoptotic gene, PUMA, and risk of HPV16
associated squamous cell carcinoma of the head and neck.
AB - P53 up-regulated modulator of apoptosis (PUMA) is a critical factor in the
intrinsic apoptotic pathway. Through PUMA-dependent mechanisms, human
papillomavirus 16 (HPV16) oncoprotein may affect apoptosis by E6-mediated p53
degradation. To examine whether the PUMA variants modify the association between
HPV16 serology and risk of squamous cell carcinoma of the head and neck (SCCHN),
we genotyped two polymorphisms in the PUMA promoter (rs3810294 and rs2032809) in
380 cases and 335 cancer-free controls of non-Hispanic Whites, who were frequency
matched by age (+/-5 yr), sex, smoking, and drinking status. We found that each
individual polymorphism had only a modest impact on risk of SCCHN, particularly
in oropharyngeal cancer for rs3810294 and non-oropharyngeal cancer for rs2032809.
After we stratified the individuals by HPV16 serology, and used those with the
corresponding common homozygous genotype and HPV16 seronegativity as the
reference group, for each polymorphism we found that the risk of SCCHN associated
with HPV16 seropositivity was higher among those with variant genotypes than
those with the corresponding common homozygous genotype. Notably, this effect
modification was particularly pronounced in several subgroups including never
smokers, never drinkers, younger patients, and patients with oropharyngeal
cancer. Furthermore, we also characterized the functional relevance of the two
polymorphisms to explore the genotype-phenotype correlation. Our results
suggested that the PUMA promoter polymorphisms may be a biomarker for risk of
HPV16-associated SCCHN, particularly in never smokers, never drinkers, younger
patients, and patients with oropharyngeal cancer. Larger studies are needed to
validate our findings.
PMID- 22086560
TI - Quantitative assessment of tumour associated neovascularisation in patients with
liver cirrhosis and hepatocellular carcinoma: role of dynamic-CT perfusion
imaging.
AB - OBJECTIVE: To determine the value of perfusion computed tomography (CT-p) in the
quantitative assessment of tumour-related neoangiogenesis processes in patients
with hepatocellular carcinoma (HCC). MATERIALS AND METHODS: Fifty-two biopsy
proven HCC lesions were examined with dynamic CT investigations during injection
of 50 mL of contrast agent (350 mgI/mL). A dedicated perfusion software which
generated a quantitative map of arterial and portal perfusion by means of a
colour scale was employed. The following parameters related to the blood
microcirculation and tissue perfusion were calculated: hepatic perfusion (Perf),
tissue blood volume (BV), hepatic perfusion index (HPI), arterial perfusion (AP),
portal perfusion (PP), and time to peak (TTP). Perfusion parameters were
statistically analysed, comparing neoplastic lesions with cirrhotic parenchyma.
RESULTS: Perf, BV, HPI and AP values were higher (P < 0.001), whereas PP and TTP
were lower (P < 0.001) in HCC relative to the surrounding liver. No significant
correlation was found between perfusion parameters and HCC grade. Values of
perfusion parameters in the cirrhotic liver of patients with and without HCC were
not significantly different. CONCLUSIONS: Our results suggest that CT-p can help
in non-invasive quantification of tumour blood supply, related to the formation
of new arterial structures (neoangiogenesis), which are essential for tumour
growth. KEY POINTS: Perfusion computed tomography (CT) enables depiction of
tumour vascular physiology. Perfusion CT is non-invasive and is now quick to
perform and analyse. Quantitative measurements of hepatic perfusion provide
important information about hepatocellular carcinoma (HCC). Such perfusion CT
data may help in the determination of the outcome of HCC. Perfusion CT can act as
an in-vivo biomarker of tumour-related angiogenesis.
PMID- 22086561
TI - Tumour heterogeneity in non-small cell lung carcinoma assessed by CT texture
analysis: a potential marker of survival.
AB - PURPOSE: To establish the potential for tumour heterogeneity in non-small cell
lung cancer (NSCLC) as assessed by CT texture analysis (CTTA) to provide an
independent marker of survival for patients with NSCLC. MATERIALS AND METHODS:
Tumour heterogeneity was assessed by CTTA of unenhanced images of primary
pulmonary lesions from 54 patients undergoing (18)F-fluorodeoxyglucose (FDG) PET
CT for staging of NSCLC. CTTA comprised image filtration to extract fine, medium
and coarse features with quantification of the distribution of pixel values
(uniformity) within the filtered images. Receiver operating characteristics
identified thresholds for PET and CTTA parameters that were related to patient
survival using Kaplan-Meier analysis. RESULTS: The median (range) survival was
29.5 (1-38) months. 24, 10, 14 and 6 patients had tumour stages I, II, III and IV
respectively. PET stage and tumour heterogeneity assessed by CTTA were
significant independent predictors of survival (PET stage: Odds ratio 3.85, 95%
confidence limits 0.9-8.09, P = 0.002; CTTA: Odds ratio 56.4, 95% confidence
limits 4.79-666, p = 0.001). SUV was not a significantly associated with
survival. CONCLUSION: Assessment of tumour heterogeneity by CTTA of non-contrast
enhanced images has the potential for to provide a novel, independent predictor
of survival for patients with NSCLC. KEY POINTS: Computed tomography is a routine
staging procedure in non-small cell lung cancer. CT texture analysis (CTTA) can
quantify heterogeneity within these lung tumours. CTTA seems to offer a novel
independent predictor of survival for NSCLC. CTTA could contribute to disease
risk-stratification for patients with NSCLC.
PMID- 22086562
TI - Diagnosis by treatment.
PMID- 22086563
TI - Maximum saccharification of cellulose complex by an enzyme cocktail supplemented
with cellulase from newly isolated Aspergillus fumigatus ECU0811.
AB - Either the natural biodegradation process or the industrial hydrolytic process
requires synergistic interactions between various cellulases. However, it is
sometimes impeded by low hydrolytic rate of existing cellulases and the lack of
accessory enzymes. Herein, the ability of a commercial cellulase (Spezyme CP,
from Genencor) to degrade steam explosion-pretreated corn stover was
significantly improved. Firstly, a fungal cellulase producer, Aspergillus
fumigatus ECU0811, was isolated from hundreds of soil samples. A 96-deep-well
microscale-based platform was developed here to reduce the labor-intensive
screening work and proved to be consistent with macroscale screening work. After
optimization of fermentation, 3% corn cob could induce A. fumigatus ECU0811 to
yield the highest cellulase production. Based on the high activities of beta
glucosidase and xylanase by A. fumigatus ECU0811, 0.91 and 125 U/mg protein,
respectively, an enzyme cocktail was composed with a fixed dosage of Spezyme CP
(CPCel) at 14.2 filter paper units (FPU)/g glucan and varied dosages of A.
fumigatus cellulase (AFCel). Consequently, the glucan-to-glucose conversion of
corn stover was increased from 25.6% in the presence of CPCel at a dosage of 14.2
FPU/g glucan to 99.5% in the presence of the enzyme cocktail (14.2 FPU CPCel plus
1.21 FPU AFCel per gram of glucan). On the other side, it reduced the total
protein amount of CPCel by as much as tenfold, which extremely improved the
hydrolytic rate of Spezyme CP and reduced its dosage.
PMID- 22086564
TI - Characterization of a thermostable family 1 Glycosyl Hydrolase enzyme from
Putranjiva roxburghii seeds.
AB - A 66-kDa thermostable family 1 Glycosyl Hydrolase (GH1) enzyme with beta
glucosidase and beta-galactosidase activities was purified to homogeneity from
the seeds of Putranjiva roxburghii belonging to Euphorbiaceae family. N-terminal
and partial internal amino acid sequences showed significant resemblance to plant
GH1 enzymes. Kinetic studies showed that enzyme hydrolyzed p-nitrophenyl beta-D:
glucopyranoside (pNP-Glc) with higher efficiency (K (cat)/K (m) = 2.27 x 10(4)
M(-1) s(-1)) as compared to p-nitrophenyl beta-D: -galactopyranoside (pNP-Gal; K
(cat)/K (m) = 1.15 x 10(4) M(-1) s(-1)). The optimum pH for beta-galactosidase
activity was 4.8 and 4.4 in citrate phosphate and acetate buffers respectively,
while for beta-glucosidase it was 4.6 in both buffers. The activation energy was
found to be 10.6 kcal/mol in the temperature range 30-65 degrees C. The enzyme
showed maximum activity at 65 degrees C with half life of ~40 min and first
order rate constant of 0.0172 min(-1). Far-UV CD spectra of enzyme exhibited
alpha, beta pattern at room temperature at pH 8.0. This thermostable enzyme with
dual specificity and higher catalytic efficiency can be utilized for different
commercial applications.
PMID- 22086565
TI - Enhanced beta-galactosidase production from whey powder by a mutant of the
psychrotolerant yeast Guehomyces pullulans 17-1 for hydrolysis of lactose.
AB - In order to isolate beta-galactosidase overproducers of the psychrotolerant yeast
Guehomyces pullulans 17-1, its cells were mutated by using nitrosoguanidine
(NTG). One mutant (NTG-133) with enhanced beta-galactosidase production was
obtained. The mutant grown in the production medium with 30.0 g/l lactose and 2.0
g/l glucose could produce more beta-galactosidase than the same mutant grown in
the production medium with only 30.0 g/l lactose while beta-galactosidase
production by its wild type was sensitive to the presence of glucose in the
medium. It was found that 40.0 g/l of the whey powder was the most suitable for
beta-galactosidase production by the mutant. After optimization of the medium and
cultivation conditions, the mutant could produce 29.2 U/ml of total beta
galactosidase activity within 132 h at the flask level while the mutant could
produce 48.1 U/ml of total beta-galactosidase activity within 144 h in 2-l
fermentor. Over 77.1% of lactose in the whey powder (5.0% w/v) was hydrolyzed in
the presence of the beta-galactosidase activity of 280 U/g of lactose within 9 h
while over 77.0% of lactose in the whey was hydrolyzed in the presence of beta
galactosidase activity of 280 U/g of lactose within 6 h. This was the first time
to show that the beta-galactosidase produced by the psychrotolerant yeast could
be used for hydrolysis of lactose in the whey powder and whey.
PMID- 22086566
TI - Occupational exposure to benzene and chromosomal structural aberrations in the
sperm of Chinese men.
AB - BACKGROUND: Benzene is an industrial chemical that causes blood disorders,
including acute myeloid leukemia. We previously reported that occupational
exposures near the U.S. Occupational Safety and Health Administration permissible
exposure limit (8 hr) of 1 ppm was associated with sperm aneuploidy. OBJECTIVE:
We investigated whether occupational exposures near 1 ppm increase the incidence
of sperm carrying structural chromosomal aberrations. METHODS: We applied a sperm
fluorescence in situ hybridization assay to measure frequencies of sperm carrying
partial chromosomal duplications or deletions of 1cen or 1p36.3 or breaks within
1cen-1q12 among 30 benzene-exposed and 11 unexposed workers in Tianjin, China, as
part of the China Benzene and Sperm Study (C-BASS). Exposed workers were
categorized into low-, moderate-, and high-exposure groups based on urinary
benzene (medians: 2.9, 11.0, and 110.6 ug/L, respectively). Median air benzene
concentrations in the three exposure groups were 1.2, 3.7, and 8.4 ppm,
respectively. RESULTS: Adjusted incidence rate ratios (IRRs) and 95% confidence
intervals (CIs) for all structural aberrations combined were 1.42 (95% CI: 1.10,
1.83), 1.44 (95% CI: 1.12, 1.85), and 1.75 (95% CI: 1.36, 2.24) and for deletion
of 1p36.3 alone were 4.31 (95% CI: 1.18, 15.78), 6.02 (95% CI: 1.69, 21.39), and
7.88 (95% CI: 2.21, 28.05) for men with low, moderate, and high exposure,
respectively, compared with unexposed men. Chromosome breaks were significantly
increased in the high-exposure group [IRR 1.49 (95% CI: 1.10, 2.02)].
CONCLUSIONS: Occupational exposures to benzene were associated with increased
incidence of chromosomally defective sperm, raising concerns for worker
infertility and spontaneous abortions as well as mental retardation and inherited
defects in their children. Our sperm findings point to benzene as a possible risk
factor for de novo 1p36 deletion syndrome. Because chromosomal aberrations in
sperm can arise from defective stem cells/spermatogonia, our findings raise
concerns that occupational exposure to benzene may have persistent reproductive
effects in formerly exposed workers.
PMID- 22086567
TI - Hidden renal dysfunction causes increased in-hospital mortality risk after
coronary artery bypass graft surgery.
AB - INTRODUCTION AND OBJECTIVES: Preoperative chronic renal dysfunction is an
independent predictor of mortality in cardiac surgery. As normal range serum
creatinine is not representative of normal renal function, we compared mortality
rates, total hospital stay and post-surgical hospital stay for patients who
underwent isolated coronary artery bypass surgery with serum creatinine <
1.5mg/dL as to their estimated creatinine clearance, normal or impaired. METHODS:
In 4,765 patients submitted to coronary artery bypass surgery between
January/1996 and June/2004, the creatinine clearance was estimated by the
Cockroft-Gault equation. Impaired renal function was considered as a creatinine
clearance <60 mL/min/1.73 m2 (chronic renal disease stage 3 - National Kidney
Foundation-USA). In hospital mortality, total hospital stay, and post-surgical
hospital stay were compared. RESULTS: 4,688 patients had the required data, and
4,403 presented serum creatinine < 1.5 mg/dL - 3,177 with creatinine clearance >
60 mL/min (Group A), and 1,226 with <60 mL/min (Group B). Group B patients had
significantly higher total hospital stay and post-surgical hospital stay than
those in Group A (respectively 2.85 and 1.79 more days--P < 0.0001). Relative
risk of in-hospital death was 2.09 to Group B (95%CI:1.54-2.84) when compared to
Group A. CONCLUSIONS: More than one quarter of the patients with serum creatinine
<1.5 mg/dL had creatinine clearance <60 mL/min. This expressive number of
patients, that would not have their renal dysfunction detected by the serum
creatinine parameter alone, had double the risk of death, longer total hospital
stay and post-surgical hospital stay than the other patients with serum
creatinine < 1.5mg/dL.
PMID- 22086568
TI - Outcomes of patients subjected to aortic valve replacement surgery using
mechanical or biological prostheses.
AB - OBJECTIVE: This paper evaluates outcomes in patients subjected to surgery for
replacement of the aortic valve using biological or mechanical substitutes, where
selection of the type of prosthesis is relevant. METHODS: Three hundred and one
patients, randomly selected, who had been subjected to aortic valve replacement
surgery between 1990 and 2005, with a maximum follow-up period of 20 years.
RESULTS: Survival at 5, 10 and 15 years after surgery using mechanical substitute
was 83.9%, 75.4% and 60.2% and, for biological substitute, was 89.3%, 70.4% and
58.4%, respectively (P = 0.939). Factors associated with death were: age,
obesity, pulmonary disease, arrhythmia, bleeding and aortic valve failure.
Probability free of reoperation for these patients at 5, 10 and 15 years after
surgery using mechanical substitute was 97.9%, 95.8% and 95.8% and, for those
using bioprostheses, was 94.6%, 91.0% and 83.3%, respectively (P = 0.057).
Factors associated with reoperation were: renal failure, prosthesis endocarditis
and age. Probability free of bleeding events at 5, 10 and 15 years after surgery
using mechanical substitute was 94.5%, 91.7% and 91.7% and, for bioprostheses,
was 98.6%, 97.8% and 97.8%, respectively (P = 0.047). Factors associated with
bleeding events were: renal failure and mechanical prostheses. CONCLUSIONS: The
authors have concluded that: 1) mortality was statistically similar in the
groups; 2) patient characteristics at baseline were a major determinant of late
mortality after surgery; 3) there was a tendency toward reoperation in the
bioprostheses group; 4) patients using mechanical prosthesis had more bleeding
events as time passed; 5) data presented in this paper is in accordance with
current literature.
PMID- 22086569
TI - Transcatheter aortic valve implantation: results of the current development and
implantation of a new Brazilian prosthesis.
AB - OBJECTIVE: Aortic valve replacement is a routine procedure with acceptable risk,
but in some cases, such risk can justify contraindication. Minimally invasive
transcatheter aortic valve implantation has emerged as an alternative, with lower
morbidity and mortality. The aim of this study was clinical, safety and efficacy
assessment. METHODS: Thirty-three high risk patients underwent transcatheter
balloon expandable aortic valve implantation. Mean Logistic EuroScore risk was
39.30% and STS score 30.28%. Eight patients presented with dysfunctional
bioprosthesis, remaining ones presented calcified aortic stenosis. Procedures
were performed in a hybrid OR under fluoroscopic and echocardiography guidance.
Using a left minithoracotomy the prosthesis were implanted trough the ventricular
apex under rapid ventricular pacing or hemorrhagic shock. Echocardiographic and
angiographic controls were performed. RESULTS: Implant was feasible in 30 cases.
Three conversions occured. There was only one case of operative death. Median
transvalvular aortic gradient reduced from 43.58 mmHg to 10.54 mmHg. Left
ventricular function improved in the first 7 postoperative days. Paravalvular
aortic regurgitation was mild and present in 30.30%. One case presented major
vascular complication and another one permanent pacemaker implant. One major
stroke case occurred. Overall 30-day mortality was 18.18%. CONCLUSION: The
transapical implantation of catheter mounted bioprosthesis is a safe procedure
with acceptable midterm results. Long term follow-up with increased sample power
is mandatory in order to access hemodynamic, life quality and survival.
PMID- 22086570
TI - Transcatheter implantation of self-expandable valved prosthesis in outlet right
ventricle an experimental study in pigs.
AB - INTRODUCTION: Patients with congenital heart disease who underwent pulmonary
valvotomy or surgery to open the pulmonary valve ring are prone to develop
residual pulmonary insufficiency or stenosis that may lead to right heart failure
with clinical deterioration. These children require multiple interventions
throughout their lives, which impose a high rate of morbidity and mortality.
OBJECTIVE: To develop a less invasive technique for implantation of a valved
prosthesis through the right ventricle. METHODS: The valved prosthesis consists
of an auto expanding metal stent built with nitinol, surrounded with polyester,
where the three leaflets of bovine pericardium were mounted. Twelve pigs were
used to perform the implants. Echocardiographic control was performed immediately
after implantation and one, four, eight and 12 weeks. RESULTS: One animal showed
reflux of moderate to severe and three mild reflux. Transvalvular gradients
measured before implantation ranged from 3 to 6 mmHg and that soon after the
implant was increased, ranging from 7 to 45 mmHg. There was a decrease in these
gradients during follow up and in only four of the twelve animals the gradients
were above 20 mmHg. Thrombus formation occurred in the prosthesis of six animals,
and this was the most frequent complication. CONCLUSION: These findings highlight
the need for studies with the use of anticoagulants and antiplatelet, an attempt
to reduce this event. The study aims to contribute for the start of the use of
prosthetic heart valves that could be implanted through minimally invasive
techniques without the use of cardiopulmonary bypass.
PMID- 22086571
TI - Influence of respiratory muscle strength in evolution of patients with heart
failure after cardiac surgery.
AB - OBJECTIVE: To investigate the influence of preoperative respiratory muscle
strength in postoperative pulmonary complications in patients with heart failure
undergoing cardiac surgery. METHODS: From March 2009 to September 2010, 40
patients admitted to the cardiology service of the Fundacao de Beneficencia
Hospital de Cirurgia were divided into two groups according to the values of
maximal inspiratory pressure measured by manometer: Group A (n = 21), composed of
patients with normal respiratory muscle strength, and Group B (n = 19), patients
with reduced strength. After pre-operative evaluation, all patients underwent the
surgical procedure and followed until hospital discharge by the same researcher,
who recorded on data collection especially its evolution for the presence of
pulmonary complications after surgery, which was divided general and specific.
RESULTS: 19% of patients in group A and 31.6% of patients in group B had
pulmonary complications overall, this difference was not statistically
significant (P = 0.29). Regarding the presence of specific complications, group A
was 14.3% and 10.5% group B (P = 0.55). There was also no difference in the days
of ICU stay and total (ICU + ward) between groups. CONCLUSION: In this study,
preoperative respiratory muscle dysfunction does not seem to influence the
evolution of heart failure patients for the presence of pulmonary complications
after cardiac surgery.
PMID- 22086572
TI - Atrioventricular block in the postoperative period of heart valve surgery:
incidence, risk factors and hospital evolution.
AB - INTRODUCTION: Disturbances of the cardiac conduction system are potential
complications after cardiac valve surgery. OBJECTIVES: This study was designed to
investigate the association between perioperative factors and atrio-ventricular
block, the need for temporary cardiac artificial pacing and, if necessary,
permanent pacemaker implantation after cardiac valve surgery. METHODS:
Retrospective analysis of the Cardiac Surgery Database - Hospital Sao
Lucas/PUCRS. The data are collected prospectively and analyzed retrospectively.
RESULTS: Between January 1996 and December 2008 were included 1102 valve surgical
procedures: 718 aortic valves (65.2%), 407 (36.9%) mitral valve and 190 (17.2%)
coronar artery bypass grafting combined with valve repair and 23 (2.1%) aortic
and mitral combined surgery. 187 patients (17%) showed clinical and
electrocardiographic pattern of atrio-ventricular block requiring artificial
temporary pacing. Of these, 14 patients (7.5%) required permanent pacemaker
implantation (1.27% of the total valve surgery patients). Multivariate analysis
showed association of the incidence of atrio-ventricular block and temporary
pacing with mitral valve surgery (OR 1,76; CI 95% 1.08-2.37; P = 0.002),
implantation of bioprosthetic devices (OR 1.59; CI 95% 1.02-3.91; P = 0,039), age
over 60 years (OR 1.99; CI 95% 1.352.85; P < 0.001), prior use of anti-arrhythmic
drugs (OR 1.86; CI 95% 1.04-3.14; P = 0.026) and previous use of b-blocker (OR
1.76; CI 95% 1.25-2.54; P = 0.002). Remarkably the presence of atrio-ventricular
block did not significantly show association with increased mortality, but
significantly prolonged (P < 0.0001) hospital length-of-stay and, therefore,
hospital costs. CONCLUSIONS: Our study presents a group of predictive factors
referring to a specific patient profile by which high risk of atrio-ventricular
block and the need of temporary cardiac pacing after cardiac valve surgery it is
determined.
PMID- 22086573
TI - The use of inhibitors of angiotensin-converting enzyme and its relation to events
in the postoperative period of CABG.
AB - BACKGROUND: Angiotensin-converting enzyme (ACE) inhibitors reduce the chance of
death, myocardial infarction (MI) and cerebrovascular accident (CVA) in patients
with coronary disease. However there is no consensus as to its indication in
patients undergoing coronary artery bypass grafting (CABG). OBJECTIVE: To assess
the relationship between preoperative use of ACE inhibitors and clinical outcomes
after CABG. METHODS: Retrospective cohort study. We included data from 3,139
consecutive patients undergoing isolated CABG in Brazilian tertiary care hospital
between January 1996 and December 2009. Follow-up was until discharge or death.
Clinical outcomes after surgery were analyzed between users and nonusers of ACE
inhibitors preoperatively. RESULTS: Fifty-two percent (n=1,635) of patients
received ACE inhibitors preoperatively. The use of ACE inhibitors was an
independent predictor of need for inotropic support (OR 1.24, 95% CI 1.01 to
1.47, P = 0.01), acute renal failure (OR 1.23, 95% CI 1.01 to 1.73, P = 0.04) and
progression to atrial fibrillation (OR 1.32, 95% CI 1.02 to 1.7, P = 0.03)
postoperatively. The mortality rate among patients receiving or not preoperative
ACE inhibitors was similar (10.3% vs. 9.4%, P = 0.436), as well as the incidence
of myocardial infarction and stroke (15.6% vs. 15.0%, P = 0.694 and 3.4% vs.
3.5%, P = 0.963, respectively). CONCLUSION: The use of preoperative ACE
inhibitors was associated with increased need for inotropic support and higher
incidence of acute renal failure and postoperative atrial fibrillation, not
associated with increased rates of myocardial infarction, stroke or death.
PMID- 22086574
TI - Long-term evolution of mitral commissurotomy in rheumatic patients with low
echocardiographic score.
AB - INTRODUCTION: The good results of open mitral commissurotomy are well known and
there is a hypothesis that it could provide better results in patients selected
by echocardiographic score. OBJECTIVE: The purpose of this study is to analyze
the late results with open mitral commissurotomy in patients selected by score
and to identify variables influencing these results. METHODS: From January 1990
to August 1994, 50 patients were submitted to open mitral commissurotomy due to
rheumatic mitral stenosis in Heart Institute of University of Sao Paulo Medical
School. Patients with age < 60 years, in functional class II, III or IV (New York
Heart Association) and echocardiographic score 9 were included. The mean age was
32.7 +/- 8.3 years and 41 patients (82%) were female. The functional class was II
in three patients (6%), III in 46 (92%) and IV in one (2%). Forty six patients
(92%) were in sinus rhythm and four (8%) were in atrial fibrillation. The mean
mitral valve area was 0.9 +/- 0.2 cm2. RESULTS: There was no hospital mortality.
There were two late deaths, one related to valve disease. Actuarial survival was
95.5 +/- 3.1 %, freedom from reoperation was 62.3 +/- 11,8% and freedom from
tromboembolism was 88,2 +/- 5,0% in 18 years. There was no endocarditis. The
grade of the echocardiographic score had no significant influence on the
reoperations in late evolution. CONCLUSION: Open mitral commissurotomy presented
excelent long term results in rheumatic patients with low echocardiographic
score.
PMID- 22086575
TI - Validation of MagedanzSCORE as a predictor of mediastinitis after coronary artery
bypass graft surgery.
AB - OBJECTIVE: The aim of this study is to evaluate the applicability of a new score
for predicting mediastinitis - MagedanzSCORE - in patients undergoing coronary
artery bypass graft (CABG) surgery in the Division of Cardiovascular Surgery of
Pronto Socorro Cardiologico de Pernambuco - PROCAPE. METHODS: Retrospective study
involving 500 patients operated between May/2007 and April/2010. The registers
contained all the information used to calculate the MagedanzSCORE. The outcome of
interest was mediastinitis. We calculated sensitivity, specificity, positive
predictive value, negative predictive value, concordance and accuracy. The
accuracy of the model was evaluated by ROC (receiver operating characteristic)
curve. RESULTS: The incidence of mediastinitis was 5.6%, with a lethality rate of
32.1%. In univariate analysis, the five variables of the MagedanzSCORE were
predictors of postoperative mediastinitis: chronic obstructive pulmonary disease
(OR 6.42; 95.0% CI 2.76-14.96; P<0.001), obesity (OR 3.06; 95.0% CI 1.32-7.09;
P=0.009), surgical reintervention (OR 82.40; 95.0% CI 30.40-223.30; P<0.001),
multiple transfusion (OR 3.33; 95.0% CI 1.52-7.29; P=0.003) and stable angina
class IV or unstable (OR 2.59; 95.0% CI 1.19-7.64; P=0.016) according to Canadian
Cardiovascular Society. The score had a sensitivity of 96.4%, specificity of
90.0%, positive predictive value of 36.5%, negative predictive value of 99.8% and
90.4% concordance. The accuracy measured by the area under the ROC curve was
96.2% (95.0% CI 94.5%-97.9%). CONCLUSIONS: The MagedanzSCORE proved to be a
simple and objective index, revealing a satisfactory predictor of development of
postoperative mediastinitis in patients undergoing CABG surgery at our
institution.
PMID- 22086576
TI - Transforming growth factor-beta/Smad signaling function in the aortopathies.
AB - OBJECTIVES: Transforming growth factor (TGF)-beta/Smad signaling pathway in
aortic dissection patients and normal subjects has not been previously described.
The present study was designed to evaluate the TGF-beta/Smad signaling
expressions in the patients with acute type A aortic dissection in comparison
with those in the patients with thoracic aortic aneurysm and with coronary artery
disease, and (or) the healthy subjects. METHODS: Consecutive surgical patients
for acute type A aortic dissection (20 patients), aortic aneurysm (nine patients)
or coronary artery disease (20 patients) were selected into this study. Blood
samples (4 ml) were obtained from the right radial arterial indwelling catheter
after systemic heparinization prior to the start of cardiopulmonary bypass in the
operating room. Twenty-one young healthy volunteers without underlying health
issues who donated forearm venous blood samples (4 ml) were taken as control. The
surgical specimens of the aortic tissues were obtained immediately after they
were severed during the operations of the replacement of the aorta in the
patients with aortic dissection or aortic aneurysm. In patients receiving
coronary artery bypass grafting, the tiny aortic tissues were taken when the
punch holes of the proximal anastomosis on the anterior wall of the ascending
aorta were made. The aortic tissues were for RNA, protein, or supernatant
preparations until detection of TGF-beta1 mRNA by quantitative real-time reverse
transcription polymerase chain reaction, of TGF-beta1, TGF-beta receptor I,
Smad2/3, Smad4 and Smad7 by Western blot, and of TGF-beta1 by enzyme-linked
immunosorbent assay, respectively. In particular, the linear correlations of the
relative grayscales between different proteins of each group, and those
correlations between the quantitative TGF-beta1 by enzyme-linked immunosorbent
assay and the time interval from the onset to surgery or the maximal dimensions
of the aorta of the aortic dissection group were assessed. RESULTS: Quantitative
real-time reverse transcription polymerase chain reaction showed that TGF-beta1
mRNA were upregulated in all surgical groups (1.59 +/- 0.33 vs. 1.45 +/- 0.34 vs.
1.48 +/- 0.48, P > 0.05). Western blot revealed that the expressions of TGF
beta1, TGF-beta receptor I, Smad2/3, Smad4 and Smad7 were positive in the aortic
tissues of all three investigated groups. Of the quantitative relative
grayscales, a significant reverse correlation was noted between TGF-beta1 and
Smad2/3 (Y = -0.8552X + 1.6417, r = -0.759, P < 0.0001), and a close direct
correlation between Smad4 and Smad7 (Y = 0.5905X + 0.2805, r = 0.781, P < 0.0001)
in the Aortic Dissection Group. In the Aortic Aneurysm Group, Smad4 and Smad7
were also closely correlated (Y = 0.5228X + 0.1642, r = 0.727, P = 0.026), and in
the Coronary Artery Disease Group, TGF-beta1 and Smad7 were much significantly
correlated (Y = 0.5301X + 0.5758, r = 0.917, P = 0.004). By enzyme-linked
immunosorbent assay, TGF-beta1 level of the aortic tissue was lower in the aortic
dissection than in the aortic aneurysm and coronary artery disease groups with no
statistical significance (319.52 +/- 129.21 pg/mg protein vs. 324.09 +/- 49.70
pg/mg protein vs. 304.15 +/- 29.39 pg/mg protein, P > 0.05). The plasma TGF-beta1
levels were 1158.30 +/- 11.54 pg/ ml, 1170.27 +/- 8.26 pg/ml, 1225.00 +/- 174.42
pg/mL and 1160.25 +/- 13.01 pg/mL in the four groups, respectively, showing
significant intergroup differences (P < 0.05). No significant correlation was
found between the aortic or plasma TGF-beta1 levels and the time interval from
the onset to surgery or the maximal dimensions of the aorta in the patients of
the aortic dissection group. CONCLUSIONS: Aortic dissection, aortic aneurysm and
atheroslerosis might be associated with an enhanced TGF beta/Smad signaling
function, with aortic dissection exhibiting a less prominent upregulation. It
might have implications for downstream signal activation presumably translating
into matrix degradation in the condition of aortic dissection in comparison to
matrix deposition in aortic aneurysm and coronary artery disease.
PMID- 22086577
TI - Plasmatic vasopressin in patients undergoing conventional infra-renal abdominal
aorta aneurysm repair.
AB - OBJECTIVES: To evaluate plasmatic arginine vasopressin (AVP) levels in patients
undergoing scheduled conventional abdominal aortic aneurysm (AAA) repair.
METHODS: Plasmatic AVP concentrations were measured by radioimmunoassay in 22 non
consecutive adult patients undergoing infra-renal AAA repair. They were under
combined general and epidural anesthesia at the following time frames: 1--pre
operative (T0); 2--2h (T1) and 6h (T2) after the surgical procedure; 3--in the
morning at the first (T3), second (T4) and third (T5) post-operative days. Some
clinical and laboratory variables were also recorded. RESULTS: The mean age of
patients was 68 +/- 10 years; 17 were males. Plasmatic AVP (mean +/- SD; pg/mL)
was within the normal range at T0 (1.4 +/- 0.7; baseline), increasing
significantly at T1 (62.6 +/- 62.9; P<0.001) and at T2 (31.5 +/- 49.7; P<0.001),
with a progressive fall, returning to basal levels at T5 (2.1 +/- 3.8; P = NS).
Positive and statistically significant correlations were found between AVP and
glycemia, serum lactate and white blood cells counts, but not with systemic
arterial pressure or plasma osmolarity during the postoperative period.
CONCLUSIONS: Considering that no correlations were found between AVP levels and
hemodynamic or plasmatic osmolarity variations in AAA repair, it seems that
stress response is mainly secondary to noxious stimulation mediated by the
autonomic nervous system that is not completely blocked by anesthetics.
PMID- 22086578
TI - Parents' knowledge of infective endocarditis in children with congenital heart
disease.
AB - INTRODUCTION: The guidelines to prophylaxis of infectious endocarditis changed,
but many congenital heart diseases continue to be considered as high risk for the
development of the disease. OBJECTIVE: To evaluate the knowledge of parents or
guardians of children and adolescents with congenital heart disease seen at a
referral center in Rio Grande do Sul, Brazil on infective endocarditis and its
prevention. METHODS: Cross-sectional study with 90 patients with congenital heart
defects in regular outpatient treatment. The parents' knowledge was assessed
using a specific questionnaire and other data were obtained through medical
records. RESULTS: The median age of patients was 5.6 years (3 months -14 years),
being 57,7% males. The median follow-up time in service was 3.49 years (1.20
7.38). The years of formal schooling of the parents had a mean of 7.67 +/- 3.25
years. According to the score previously established, the knowledge of the
interviewed parents was considered satisfactory in 37.7%, regular in 33.3% and
unsatisfying in 28,8%. There was significant correlation between the index of
parents' knowledge and monitoring of children at service (r=0.584; P=0.796).
There was no correlation between parents' education and knowledge of them
(r=0.028; P=0.796). CONCLUSION: The parents' knowledge about endocarditis and its
prevention was inadequate, requiring greater attention to the orientations passed
in consultations.
PMID- 22086579
TI - Decellularization as an anticalcification method in stentless bovine pericardium
valve prosthesis: a study in sheep.
AB - OBJECTIVE: The objective was to analyze the decellularization process with SDS in
glutaraldehyde-preserved bovine pericardium as an anticalcification method in a
circulatory sheep model. METHODS: The valved tubs were implanted in pulmonary
artery position in sheep by 180 days. The animals were divided in two groups of 8
animals: control group--glutaraldehyde-preserved bovine pericardium and the study
group--decellularized bovine pericardium with 0,1% SDS and glutaraldehyde
preserved. After explantation the tubs were analized by x-ray macroscopy,
hematoxilin-eosin, alizarin-red and Russel-Movatz pentacromic histology. The
calcium content was measured by flame atomic absorption spectrometry. RESULTS:
There was no early mortality, but two animals in each group died during the
study. All cusps in the control group were severely calcified and in some points
in the conduits, while the decellularized group did not show macroscopic
calcification. Data were proved by x-ray and histologycal exams. The matrix was
preserved in histologycal analysis in decellularized group, without gross
calcification. The wall conduits calcium content was 35,25 +/- 42,13 ug/mg in the
control group versus 15,75 +/- 10,44 ug/mg in the decellularized one: in the
cusps was 264,4 +/- 126,16 ug/mg in control group versus 94,29 +/- 27,05 ug/mg in
decellularized group (P = 0,009). CONCLUSION: The decellularization with 0.1% SDS
was effective as an anticalcification method in bovine pericardial grafts
implanted in a sheep circulatory model for 180 days.
PMID- 22086580
TI - Adiponectin expression in epicardial adipose tissue after percutaneous coronary
intervention with bare-metal stent.
AB - BACKGROUND: The classical view of adipose tissue as a passive reservoir for
energy storage is no longer valid. In the past decade, adipose tissue has been
shown to have endocrine functions and the most abundant peptide secreted by
adipocytes is adiponectin. Pericardial adipose tissue (PAT) is distributed around
coronary arteries and endovascular injury, caused by the presence of
intracoronary bare-metal stent (BMS), could promote inflammatory changes in the
periadvential fat, contributing to vascular restenosis. OBJECTIVE: We sought to
determine gene expression of inflammatory mediator in pericardial adipose tissue
after bare-metal stent implantation and vascular restenosis that had been
referred to operative treatment. METHODS: Paired samples of PAT were harvested at
the time of elective coronary artery bypass surgery (CABG) in 11 patients (n =
22), one sample was obtained of the tissue around BMS area and another sample
around coronary artery without stent. Local expression of adiponectin was
determined by real-time polymerase chain reaction (RT-PCR) using Taq DNA
polymerase. RESULTS: In two samples, there was no gene expression of adiponectin.
We are able to identify adiponectin in 20 samples, however, the pattern of gene
expression were heterogeneous.We did not notice specificity when we compared PAT
obtained near BMS area or far from BMS area. CONCLUSION: There were no
correlation between adiponectin gene expression and presence of BMS.
PMID- 22086581
TI - Pantoprazole provides myocardial protection similar to ischemic preconditioning:
experimental study of isolated hearts of rats.
AB - OBJECTIVE: To evaluate pantoprazole effect in the functional recovery of isolated
hearts of rats, submitted to ischemia and reperfusion with and without ischemic
preconditioning. METHODS: In four groups of eight Wistar breed rats, the hearts
were removed after anesthesia and perfused with Krebs-Henseleit solution (95% O2,
5% CO2, 37 oC). GI, GII, GIII and GIV hearts were submitted to ischemia (20 min)
and reperfusion (30 min). In GII and GIV, preconditioning was performed with 5
min of ischemia and 5 min of reperfusion before 20 min of the ischemia period
induction. In GIII and GIV pantoprazole 100 mg was done before a 20 min-period of
ischemia induction. Heart Rate (HR), Coronary Flow (CoF), Systolic Pressure (SP),
+dP/dt and -dP/dt were registered before (t0) and after reperfusion (t30).
Kruskal-Wallis (P<0.05) test was used. RESULTS: There were no differences
(P>0.05) between groups among HR and CoF values. Differences occurred between
groups, I and II, III and IV at t30 with SP reduced for 32% mean value in GI, 65%
GII, 65% GIII, and 73% GIV; The t30 + dP/dtmax were 34% in GI, 61% GII, 63% GIII
and 72% GIV. The t30 -dP/dtmax were GI 28%, GII 63%, GIII 75 % and GIV 75%;
(P<0.05). There were no significant differences in the SP, +dP/dtmax, and
dP/dtmax between Groups II, III and IV results. CONCLUSIONS: The administration
of pantoprazole before induction of ischemia significantly protected the
myocardial functional recovery with the results of SP, + dP / dtmax and dP/dtmax
similar to the ischemic preconditioning against ischemia-reperfusion.
PMID- 22086582
TI - Absence of arteriosclerosis in intramyocardial coronary arteries: a mystery to be
solved?
AB - Several studies show that portions of intramyocardial coronary arteries are
spared of arteriosclerosis, involving morphological, embryological, biochemical
and pathophysiological aspects. Endothelial function is significantly affected in
the segment of transition, as estimated by the vasoactive response to Ach. These
findings suggest that myocardial bridge can provide protection against
arteriosclerosis by counteracting the negative effects of endothelial
dysfunction. The intramyocardial portion's protection phenomenon deserves further
scientific research on all research fronts. Improved morphological, biomechanical
and especially physiological and embryological knowledge may be the key to a
future window of opportunity for chronic arterial disease therapy and prevention.
In addition, this review discusses possible therapeutic approaches for
symptomatic coronary ischemia caused by myocardial bridges.
PMID- 22086583
TI - Surgical treatment of atrial fibrillation: integrative review.
AB - When atrial fibrillation (AF) is present in pre-operative open heart surgeries,
including coronary artery bypass grafting and valve operations, it represents an
independent risk factor for cardiac events and reduced survival. Due to the
complexity of the subject, especially when evaluating success rates (reversion
and maintenance of normal sinus rhythm), a consensus statement was recently
proposed by the International Society of Minimally Invasive Cardiothoracic
Surgery (ISMICS), in order to determine whether the intraoperative ablation of AF
promotes favorable clinical outcomes in patients undergoing other cardiac
operations in comparison with individual operations without ablation. The aim of
this paper is to present published data which has contributed to consensus
statement and can be useful as update source. The integrative review was the
methodology that provides synthesis of knowledge and applicability of results of
significant studies about presented topic.
PMID- 22086584
TI - Respiratory physiotherapy in the pre and postoperative myocardial
revascularization surgery.
AB - The cardiovascular diseases are among the main death causes in the developed
world. They have been increasing epidemically in the developing countries. In
spite of several alternatives for the treatment of the coronary artery disease;
the surgery of the myocardial revascularization is an option with proper
indications of medium and long-term with good results. It provides the remission
of the angina symptoms contributing to the increase of the expectation and
improvement of the life quality. Most of patients undergoing myocardial
revascularization surgery develop postoperative lung dysfunction with important
reduction of the lung volumes, damages in the respiratory mechanism, decrease in
the lung indulgence and increase of the respiratory work. The reduction of
volumes and lung capacities can contribute to alterations in the gas exchanges,
resulting in hypoxemia and decrease in the diffusion capacity. Taking this into
account, the Physiotherapy has been requested more and more to perform in the pre
as well as in the postoperative period of this surgery. This study aimed at
updating the knowledge regarding the respiratory physiotherapy performance in the
pre and postoperative period of the myocardial revascularization surgery
enhancing the prevention of lung complications. The Physiotherapy uses several
techniques in the preoperative period; such as: the incentive spirometry,
exercises of deep breathing, cough, inspiratory muscle training, earlier
ambulation and physiotherapeutic orientations. While in the postoperative period,
the objective is the treatment after lung complications took place, performed by
means of physiotherapeutic maneuvers and noninvasive respiratory devices, aiming
at improving the respiratory mechanism, the lung reexpansion and the bronchial
hygiene. Respiratory physiotherapy is an integral part in the care management of
the patient with cardiopathy, either in the pre or in the postoperative period,
since it contributes significantly to a better prognosis of these patients with
the use of specific techniques.
PMID- 22086585
TI - Near-fatal pulmonary embolism in an experimental model: hemodynamic, gasometric
and capnographic variables.
AB - INTRODUCTION: Experimental studies on pulmonary embolism (PE) are usually
performed under mechanical ventilation. Most patients with suspicion of PE enter
the Emergency Services in spontaneous breathing and environmental air. Thus,
under these conditions, measurements of hemodynamic, gasometric and capnographic
variables contribute largely to a more specific comprehension of cardiopulmonary
and gasometric alterations in the acute phase of the disease. Studies which
evaluated animals under conditions are lacking. OBJECTIVE: This study aimed to
submit animals under spontaneous ventilation and without supplemental oxygen to
PE. METHODS: PE was induced in six pigs using autologous blood clots, and
cardiorespiratory and gasometric records were performed before and after PE. The
values of "near fatal" mean pulmonary arterial pressure (MPAP) were previously
determined. RESULTS: The presence of obstructive shock could be evidenced by
increased MPAP (from 17.8 +/- 3.5 to 41.7 +/- 3.3 mmHg) (P<0.0001) and decreased
cardiac output (from 4.9 +/- 1.0 to 2.7 +/- 1.0 L/min) (P<0.003). Consequently,
metabolic acidosis occurred (Lac art) (from 2.4 +/- 0.6 to 5.7 +/- 1.8
mmol/L)(P<0.0001). It was observed hypoxemia (from 73.5 +/- 12.7 to 40.3 +/- 4.6
mmHg) (P<0.0001); however, PaCO2 did not vary (from 44.9 +/- 4.4 to 48.2 +/- 6.0
mmHg) (NS). There were significant increases in both P(a-et)CO2 (from 4.8 +/- 2.8
to 37.2 +/- 5.8 mmHg) and P(A-a)O2 (from 8.2 +/- 8.9 to 37.2 +/- 10.3 mmHg) (both
P<0.0001). There was also a significant increase in the total alveolar minute
volume (from 4.0 +/- 0.9 to 10.6 +/- 2.9 L/min) (P<0.0001). CONCLUSIONS: In this
model, the near fatal MPAP was from 2 to 2.5 times the basal MPAP; and the
capnographic variables, associated with arterial and venous gasometry, showed
effective in discriminating an acute obstructive profile.
PMID- 22086586
TI - Experimental model of myocardial infarction induced by isoproterenol in rats.
AB - OBJECTIVE: To evaluate and validate, in our laboratory, the essay of myocardial
infarction induced by isoproterenol in rats by means of analysis of
hematological, biochemical, oxidative stress markers and histopathological
parameters. METHODS: Thirty young, male, Wistar rats (145 to 230 g) were randomly
allocated in two groups: Sham group, which underwent a virtual myocardial
infarction induction, and the Infarction group, which underwent a myocardial
infarction induction with isoproterenol. The administrations for the infarction
induction were performed during two consecutive days and a 24-hour interval
between them. Twenty-four hours after the last administration, rats from both
groups were anesthetized and sacrificed for blood sample collection to evaluate
complete blood count (CBC) and biochemical parameters (SGOT, SGPT, troponin I,
urea and creatinin), obtain myocardial fragments for oxidative stress markers
analyses (catalase activity and glutathione concentrations) as well as
histopathological examinations. RESULTS: There were no death cases in the Sham
group, while the mortality rate in the Infarction group was 25%. Myocardial
infarction induction with isoproterenol raised leukocytes and neutrophils counts,
SGOT, troponin I and urea concentrations, reduced catalase enzyme activity and
glutathione concentrations in the myocardium and let to histopathological
concentrations as well. It did not exert alterations in terms of hemoglobin, SGPT
and creatinin concentrations. CONCLUSIONS: The isoproterenol-induced myocardial
infarction essay in rats was adequately reproduced in our laboratory, causing
alterations in hematological, biochemical, oxidative stress markers and
histopathological parameters.
PMID- 22086587
TI - Endovascular repair of ascending aorta and coronary stent implantation.
AB - Endovascular treatment of ascending aorta pseudoaneurysms with coronary stents
implantation at the same procedure was feasible, although longer followup is
necessary.
PMID- 22086588
TI - Terminal ischemic cardiomyopathy associated with complication of stenting in the
treatment of acute myocardial infarction.
AB - A serious complication such as dissection of the left main coronary artery, with
significant reduction in coronary blood flow by the true light, requires quick
action. Therefore, the immediate choice of stent with appropriate length and size
to treat the complication is necessary.
PMID- 22086589
TI - Geli Putty(r): a new alternative on sternal hemostasis in cardiac surgery.
AB - We present a gelatin that fills the medullary of sternum providing effective
hemostasis plus protection for each sternal end.
PMID- 22086590
TI - Lymphoscintigraphic changes after harvesting of the saphenous vein for coronary
artery bypass graft.
AB - Bridged incisions do not eliminate lesions to the lymphatic vessels and so the
identification of risk factors associated to lymphatic lesions is important.
PMID- 22086591
TI - Effects of cryopreservation and/or decellularization on extracellular matrix of
porcine valves.
AB - The objective of this study was to evaluate the morphology of decellularized
and/or cryopreserved porcine pulmonary valves, to determine a solution capable of
completely remove the cells without damaging the extracellular matrix. Porcine
pulmonary valves were incubated for 24 hs in sodium deoxicholate 1% or sodium
dodecyl sulfate 0.1 and 0.3%, with or without associated cryopreservation.
Evaluation was done with optical microscopy (Hematoxilin-Eosin, Acetic Orcein and
Gomori) and with morphometric analysis. The effectiveness of the solutions was
variable, but the best results were obtained with the sodium dodecyl sulfate
solution 0.1%.
PMID- 22086592
TI - Multiple left atrial myxoma: case report.
AB - Primary cardiac tumors are infrequent, with an incidence between 0.001% and 0.2%,
mostly comprising benign histological characteristics in 75% of these cases.
Myxomas account for approximately 50% of these neoplasms. As regards location, 75
80% of myxomas are in the left atrium, 18% in the right atrium, and more rarely
in the ventricles. We report a case of a patient in functional class (FC) IV New
York Heart Association (NYHA) and postoperative histological diagnosis of
multilobular myxoma originating in the posterior left atrial wall. Clinical
evaluation 3 months after surgery suggested NYHA functional class I and
echocardiographic absence of intracardiac masses.
PMID- 22086593
TI - Calcified amorphous tumor of the heart: case report.
AB - Calcified amorphous tumor of the heart consists of a cardiac mass of rare
nonneoplastic nature that mimics malignancy and causes symptoms due to
obstruction or embolization of calcific fragments. We present a case of tumor 17
year-old young, male, in tricuspid valve, with classic pathological findings. It
was preferred to approach for classic median esternotomy, installation of the
circuit of extracorporal circulation and right atriotomy, exereses of tumor,
DeVega's plasty in tricuspid valve and bicuspidization. The amatomopathological
study demonstrated presence of extensive calcification and metaplastic bone
areas. The patient had an uneventful hospitalization.
PMID- 22086594
TI - A large pericardial cyst presenting with compression of the right-side cardiac
chambers.
AB - Pericardial cysts are rare, usually congenital. Cysts frequently occur in the
right cardiophrenic angle and their diagnosis is usually suspected after an
abnormal chest X ray. The present case report shows a case of pericardial cyst
with atypical radiographic aspect in an athletic patient who presented clinical
with symptoms of right ventricular failure. The diagnosis was suggested by
echocardiogram and subsequently was confirmed by pathologic examination.
PMID- 22086595
TI - Migration of a Kirschner wire into the thoracic ascendent aorta artery.
AB - The orthopedic metallic pins and wires migration for the chest cavity is uncommon
and rarely reported in medical literature although it is potentially lethal,
especially when they reach the heart or mediastinum great vessels. We reported a
case of Kirschner wire withdrawal, for right postero-lateral thoracotomy, which
were transfixating ascendent thoracic aorta artery, in its due to its migration
of left clavicle, where it was placed to fix an occurred fracture 10 years
before.
PMID- 22086596
TI - Checklist in pediatric cardiac surgery in Brazil: an useful and necessary
adaptation of the Quality Improvement Collaborative International Congenital
Heart Surgery in Developing Countries.
PMID- 22086597
TI - Cognitive dysfunction after cardiac surgery: a relevant issue.
PMID- 22086598
TI - After the impact factor, the DOI.
PMID- 22086599
TI - Myocardial revascularization without cardiopulmonary bypass: historical
background and thirty-year experience.
PMID- 22086600
TI - Terminal ischemic cardiomyopathy associated to stent extrusion to the aortic
lumen.
PMID- 22086601
TI - Quality of valve prostheses: are we treating our patients well in the Brazilian
National Health System?
PMID- 22086603
TI - CS-US interval determines the transition from overshadowing to potentiation with
flavor compounds.
AB - The present series of five flavor aversion experiments with rat subjects examined
compound conditioning at varying CS-US intervals. Using a taste-taste design,
Experiments 1A and 1B demonstrated overshadowing at a 0-min CS-US interval and
potentiation at a 120-min CS-US interval, and these effects occurred with both
tastes of the compound. Experiment 2 showed that the aversion to a single element
is reduced when the CS-US interval is increased to 120 min, but the aversion for
a compound taste is not. Experiments 3A and 3B explored odor + taste compound
conditioning; the results demonstrated odor potentiation across the trace
interval and a transition from taste overshadowing to taste potentiation.
Collectively, the data show that the change from overshadowing to potentiation
was not due to changes in the aversions produced by compound conditioning but,
instead, was due to a more rapid loss of conditionability across a trace interval
prior to the US in single-element conditioning. These experiments suggest that
following compound conditioning, the aversion to each element represents
generalization decrement from the configured compound, but the designation of
overshadowing or potentiation actually depends on the status of conditioning in
the single-element control.
PMID- 22086602
TI - RNA helicase DDX5 regulates microRNA expression and contributes to cytoskeletal
reorganization in basal breast cancer cells.
AB - RNA helicase DDX5 (also p68) is involved in all aspects of RNA metabolism and
serves as a transcriptional coregulator, but its functional role in breast cancer
remains elusive. Here, we report an integrative biology study of DDX5 in breast
cancer, encompassing quantitative proteomics, global MicroRNA profiling, and
detailed biochemical characterization of cell lines and human tissues. We showed
that protein expression of DDX5 increased progressively from the luminal to basal
breast cancer cell lines, and correlated positively with that of CD44 in the
basal subtypes. Through immunohistochemistry analyses of tissue microarrays
containing over 200 invasive human ductal carcinomas, we observed that DDX5 was
up-regulated in the majority of malignant tissues, and its expression correlated
strongly with those of Ki67 and EGFR in the triple-negative tumors. We
demonstrated that DDX5 regulated a subset of MicroRNAs including miR-21 and miR
182 in basal breast cancer cells. Knockdown of DDX5 resulted in reorganization of
actin cytoskeleton and reduction of cellular proliferation. The effects were
accompanied by up-regulation of tumor suppressor PDCD4 (a known miR-21 target);
as well as up-regulation of cofilin and profilin, two key proteins involved in
actin polymerization and cytoskeleton maintenance, as a consequence of miR-182
down-regulation. Treatment with miR-182 inhibitors resulted in morphologic
phenotypes resembling those induced by DDX5 knockdown. Using bioinformatics tools
for pathway and network analyses, we confirmed that the network for regulation of
actin cytoskeleton was predominantly enriched for the predicted downstream
targets of miR-182. Our results reveal a new functional role of DDX5 in breast
cancer via the DDX5->miR-182->actin cytoskeleton pathway, and suggest the
potential clinical utility of DDX5 and its downstream MicroRNAs in the
theranostics of breast cancer.
PMID- 22086604
TI - Further delineation of pontocerebellar hypoplasia type 6 due to mutations in the
gene encoding mitochondrial arginyl-tRNA synthetase, RARS2.
AB - Pontocerebellar hypoplasia type 6 (PCH6) (MIM #611523) is a recently described
disorder caused by mutations in RARS2 (MIM *611524), the gene encoding
mitochondrial arginyl-transfer RNA (tRNA) synthetase, a protein essential for
translation of all mitochondrially synthesised proteins. This case confirms that
progressive cerebellar and cerebral atrophy with microcephaly and complex
epilepsy are characteristic features of PCH6. Additional features of PCH subtypes
2 and 4, including severe dystonia, optic atrophy and thinning of the corpus
callosum, are demonstrated. Congenital lactic acidosis can be present, but
respiratory chain dysfunction may be mild or absent, suggesting that disordered
mitochondrial messenger RNA (mRNA) translation may not be the only mechanism of
impairment or that a secondary mechanism exists to allow some translation. We
report two novel mutations and expand the phenotypic spectrum of this likely
underdiagnosed PCH variant, where recognition of the characteristic
neuroradiological phenotype could potentially expedite genetic diagnosis and
limit invasive investigations.
PMID- 22086606
TI - Unexpected electron transfer in cryptochrome identified by time-resolved EPR
spectroscopy.
AB - Subtle differences in the local sequence and conformation of amino acids can
result in diversity and specificity in electron transfer (ET) in proteins,
despite structural conservation of the redox partners. For individual ET steps,
distance is not necessarily the decisive parameter; orientation and solvent
accessibility of the ET partners, and thus the stabilization of the charge
separated states, contribute substantially.
PMID- 22086607
TI - The safe gate to the posterior paranasal sinuses: reassessing the role of the
superior turbinate.
AB - Surgery of the posterior ethmoid and sphenoid sinuses can be challenging. In
1999, a technique was described for identification of the superior turbinate and
utilizing it as a landmark in endoscopic posterior ethmoidectomy and
sphenoidotomy. Although this was more than a decade ago, it has not been
supported by further studies. In our practice, we have routinely adopted this
technique, and have modified it to allow further orientation during endoscopic
surgery of the posterior sinuses. To describe a review of our technique, and to
prospectively assess the value of the superior turbinate as a useful landmark
during endoscopic posterior ethmoidectomy and sphenoidotomy. Fifty patients
listed for endoscopic posterior ethmoidectomy with or without sphenoidotomy were
included in a prospective study utilising our surgical technique. Data were
collated for the success or failure of identification of the landmarks, and for
any complications during the surgery. A total of 93 sides of endoscopic posterior
ethmoidectomy and 73 sides of endoscopic sphenoidotomy were performed. The
superior turbinate was identified in 100% of the cases. The coronal part of the
superior turbinate basal lamella was identified in 60.22% of the cases, and the
axial part in 88.17% of the cases. The natural sphenoid ostium was identified
medial to the posterior part of the superior turbinate in 98.63% of the cases.
The axial part of the superior turbinate basal lamella was a constant landmark
for the level of the sphenoid ostium. The number of transverse septae between the
axial part of the superior turbinate basal lamella and the skull base was
studied, and was found never to exceed one septum. No major complications were
recorded. One case of small posterior septal perforation was detected with no
post-operative effects. Our study represents the first report of identifying the
two parts of the superior turbinate basal lamella intra-operatively. It also
represents the first report of using the axial basal lamella of the superior
turbinate as a landmark for the level of the sphenoid sinus ostium, as well as a
landmark for the level of the skull base. The superior turbinate represents a
constant landmark for performing a safe posterior ethmoidectomy and
sphenoidotomy.
PMID- 22086609
TI - Hospice eligibility in patients who died in a tertiary care center.
AB - BACKGROUND: Hospice is a service that patients, families, and physicians find
beneficial, yet a majority of patients die without receiving hospice care. Little
is known about how many hospitalized patients are hospice eligible at the time of
hospitalization. METHODS: Retrospective chart review was used to examine all
adult deaths (n = 688) at a tertiary care center during 2009. Charts were
selected for full review if the death was nontraumatic and the patient had a
hospital admission within 12 months of the terminal admission. The charts were
examined for hospice eligibility based on medical criteria, evidence of a hospice
discussion, and hospice enrollment. RESULTS: Two hundred nine patients had an
admission in the year preceding the terminal admission and a nontraumatic death.
Sixty percent were hospice eligible during the penultimate admission. Hospice
discussions were documented in 14% of the hospice-eligible patients. Patients who
were hospice eligible had more subspecialty consults on the penultimate admission
compared to those not hospice eligible (P = 0.016), as well as more overall
hospitalizations in the 12 months preceding their terminal admission (P =
0.0003), and fewer days between their penultimate admission and death (P =
0.001). CONCLUSION: The majority of terminally ill inpatients did not have a
documented discussion of hospice with their care provider. Educating physicians
to recognize the stepwise decline of most illnesses and hospice admission
criteria will facilitate a more informed decision-making process for patients and
their families. A consistent commitment to offer hospice earlier than the
terminal admission would increase access to community or home-based care,
potentially increasing quality of life.
PMID- 22086608
TI - Cytochrome c signalosome in mitochondria.
AB - Cytochrome c delicately tilts the balance between cell life (respiration) and
cell death (apoptosis). Whereas cell life is governed by transient electron
transfer interactions of cytochrome c inside the mitochondria, the cytoplasmic
adducts of cytochrome c that lead to cell death are amazingly stable.
Interestingly, the contacts of cytochrome c with its counterparts shift from the
area surrounding the heme crevice for the redox complexes to the opposite
molecule side when the electron flow is not necessary. The cytochrome c
signalosome shows a higher level of regulation by post-translational
modifications-nitration and phosphorylation-of the hemeprotein. Understanding
protein interfaces, as well as protein modifications, would puzzle the
mitochondrial cytochrome c-controlled pathways out and enable the design of novel
drugs to silence the action of pro-survival and pro-apoptotic partners of
cytochrome c.
PMID- 22086610
TI - Examination of CD26/DPPIV, p53, and PTEN expression in thyroid follicular
adenoma.
AB - Tumor cytology has proven to be inadequate for precise diagnosis of thyroid
follicular adenoma. This suggests the need for a molecular approach for its
diagnosis. Expression of CD26/DPPIV (dipeptidyl peptidas IV), p53, and PTEN was
analyzed in smears or sections obtained from 19 patients with histologically
proven thyroid follicular adenoma. Papanicolaou staining, CD26/DPPIV activity
staining, and HE staining were performed and the specimens were observed
morphologically. Immunohistochemical analysis using antibodies against p53 and
PTEN was performed. Genetic mutation of PTEN exons was performed using the laser
capture microdissection method. The nuclear area of the CD26/DPPIV-positive cells
was significantly larger than that of the CD26/DPPIV-negative cells. p53
expression was not observed any specimen. PTEN expression was observed in 18 of
19 cases. DNA sequence analysis did not reveal mutations in exons 5-9 of PTEN in
the immunohistochemically PTEN-negative case. In accordance with our previous
reports, we found that observation of concomitant CD26-positive and PTEN-negative
status in cases of follicular adenoma suggests a state close to follicular
carcinoma or progression to cancer, thus warranting careful follow-up.
PMID- 22086611
TI - Quercetin-3-methyl ether inhibits lapatinib-sensitive and -resistant breast
cancer cell growth by inducing G(2)/M arrest and apoptosis.
AB - Lapatinib, an oral, small-molecule, reversible inhibitor of both EGFR and HER2,
is highly active in HER2 positive breast cancer as a single agent and in
combination with other therapeutics. However, resistance against lapatinib is an
unresolved problem in clinical oncology. Recently, interest in the use of natural
compounds to prevent or treat cancers has gained increasing interest because of
presumed low toxicity. Quercetin-3-methyl ether, a naturally occurring compound
present in various plants, has potent anticancer activity. Here, we found that
quercetin-3-methyl ether caused a significant growth inhibition of lapatinib
sensitive and -resistant breast cancer cells. Western blot data showed that
quercetin-3-methyl ether had no effect on Akt or ERKs signaling in resistant
cells. However, quercetin-3-methyl ether caused a pronounced G(2)/M block mainly
through the Chk1-Cdc25c-cyclin B1/Cdk1 pathway in lapatinib-sensitive and
resistant cells. In contrast, lapatinib produced an accumulation of cells in the
G(1) phase mediated through cyclin D1, but only in lapatinib-sensitive cells.
Moreover, quercetin-3-methyl ether induced significant apoptosis, accompanied
with increased levels of cleaved caspase 3, caspase 7, and poly(ADP-ribose)
polymerase (PARP) in both cell lines. Overall, these results suggested that
quercetin-3-methyl ether might be a novel and promising therapeutic agent in
lapatinib-sensitive or -resistant breast cancer patients.
PMID- 22086612
TI - Pt and Pd in sediments from the Pearl River Estuary, South China: background
levels, distribution, and source.
AB - PURPOSE: This study assessed the concentrations of platinum (Pt) and palladium
(Pd) in surface sediments and sedimentary cores collected from the Pearl River
Estuary with a view of evaluating the distribution, background levels, possible
sources, and contamination level of anthropogenic Pt and Pd. MATERIALS AND
METHODS: Thirty-six samples of surface sediments and 12 samples from sedimentary
cores were collected. Al(2)O(3) was analyzed on fused glass disks by X-ray
fluorescence spectrometer. Heavy metal elements were measured by inductively
coupled plasma-mass spectrometry. Pt and Pd were separated from the sample matrix
by anion exchange chromatography and subsequent solvent extraction after samples
had been digested in Carius tubes using aqua regia. The analysis of Pt and Pd was
performed by isotopic dilution-inductively coupled plasma-mass spectrometry.
RESULTS AND DISCUSSION: Pt and Pd concentrations in surface sediments were 0.28
2.11 and 0.39-38.30 ng/g, respectively, and Pt and Pd concentrations in
sedimentary cores were 0.19-1.18 and 0.15-1.76 ng/g, respectively. Background
values of Pt and Pd were 0.20-1.17 and 0.10-1.34 ng/g, respectively. The spatial
distribution of the enrichment factor differed between Pt and Pd in surface
sediments. Down-core variations in Pt, Pd, and other heavy metal elements were
similar in all cases and were related to sediment type. CONCLUSIONS: Some of the
Pt and Pt in surface samples were derived from anthropogenic emissions. Pt and Pd
were delivered to the sediment by fluvial input. In addition to vehicle exhaust
catalysts, Pt and Pd were derived from other sources (e.g., industrial process).
An important post-burial remobilization process of Pt and Pd is likely to be
particle mixing by billows caused by typhoon.
PMID- 22086613
TI - Unilateral basal-ganglia involvement likely due to valproate-induced
hyperammonemic encephalopathy.
AB - A male child suffering from generalized tonic clonic epilepsy, on treatment with
valproate, developed fulminant hepatic failure, hyperammonemia and encephalopathy
due to drug toxicity. The most extraordinary feature was his MRI (FLAIR image) of
brain which showed unilateral hyperintensities in right putamen and caudate
nucleus. The patient recovered on withdrawal of valproate with mild residual left
sided athetotic movements during remission. Repeat investigation confirmed an
improved MRI imaging and normalised blood ammonia levels. The case report is
unique because of unilateral involvement of basal ganglia due to valproate
induced encephalopathy.
PMID- 22086614
TI - Phase 2 trial of irinotecan and thalidomide in adults with recurrent anaplastic
glioma.
AB - BACKGROUND: Therapeutic options for patients with anaplastic gliomas (AGs) are
limited despite better insights into glioma biology. The authors previously
reported improved outcome in patients with recurrent glioblastoma treated with
thalidomide and irinotecan compared with historical controls. Here, results of
the AG arm of the study are reported, using this drug combination. METHODS:
Adults with recurrent AG previously treated with radiation therapy, with
Karnofsky performance score >=70, adequate organ function and not on enzyme
inducing anticonvulsants were enrolled. Treatment was in 6-week cycles with
irinotecan at 125 mg/m(2) weekly for 4 weeks followed by 2 weeks off, and
thalidomide at 100 mg daily increased to 400 mg/day as tolerated. The primary
endpoint was progression-free survival rate at 6 months (PFS-6), and the
secondary endpoints were overall survival (OS) and response rate (RR). RESULTS:
In 39 eligible patients, PFS-6 for the intent-to-treat population was 36% (95%
confidence interval [CI] = 21%, 53%), median PFS was 13 weeks (95% CI = 6%, 28%)
and RR was 10%(95% CI = 3%, 24%). Radiological findings included 2 complete and 2
partial responses and 17 stable disease. Median OS from study registration was 62
weeks, (95% CI = 51, 144). Treatment-related toxicities (grade 3 or higher)
included neutropenia, diarrhea, nausea, and fatigue; 6 patients experienced
venous thromboembolism. Four deaths were attributable to treatment-related
toxicities: 1 from pulmonary embolism, 2 from colitis, and 1 from urosepsis.
CONCLUSIONS: The combination of thalidomide and irinotecan did not achieve
sufficient efficacy to warrant further investigation against AG, although a
subset of patients experienced prolonged PFS/OS. A trial of the more potent
thalidomide analogue, lenalidomide, in combination with irinotecan against AG is
currently ongoing.
PMID- 22086615
TI - Early cumulus cell removal could reduce the available embryo rate in human IVF.
AB - PURPOSE: This study was designed to compare cumulus cell removal at different
times and to evaluate their effects on embryo developmental potential and the
outcomes of in vitro fertilization (IVF). METHODS: We retrieved 606 IVF cycles
with standard long down regulation protocol from January 2010 to December 2010.
These cycles were divided into two groups: group A, 364 cycles with high risk of
fertilization failure, whose cumulus cells were removed 4 h after short gamete
coincubation; group B, 242 cycles as control, whose cumulus cells removal were
performed 17 to 18 h after insemination. The epidemiological, clinical,
laboratory factors and the outcomes of these cycles were analyzed. RESULTS: The
available embryo rate of group A was significantly lower (P = 0.002). There were
no significant differences in other laboratory parameters and outcomes between
the two groups (P > 0.05). CONCLUSIONS: For patients with high risk of
fertilization failure, there could be a risk of compromising the rate of
available embryos, if fertilization is judged by the presence of 2 PB by cumulus
cell removal only 4 h post-insemination. Therefore, this strategy is not
recommended to all IVF cycles and future studies are needed to confirm its
reliability.
PMID- 22086616
TI - Different ovarian response by age in an anti-Mullerian hormone-matched group
undergoing in vitro fertilization.
AB - BACKGROUND: Recently, serum anti-Mullerian hormone (AMH) has been used as a good
marker of ovarian response during in vitro fertilization (IVF). However, in the
clinical setting, we felt that ovarian response was clearly different by age with
the same AMH level. Then in this study we evaluated the relationship between
serum AMH, age and parameters related to ovarian response and compared these
parameters in regard to age within serum AMH-matched group. METHODS AND RESULTS:
The relationship of these parameters were evaluated retrospectively in patients
undergoing their first IVF cycle under a GnRH agonist flare up protocol (n = 456)
between October 2008 and October 2010 in our clinic. To understand the relations
between variables described above, principal component analysis (PCA) was
performed. PCA revealed patients' age was at the different dimension from serum
AMH and other variables. Therefore at first we segregated all patients into Low,
Normal and High responder groups by their serum AMH using cut-off value of
receiver operator characteristics curve analysis. Secondary, we divided each
responder group into four subgroups according to patients' age. The high aged
subgroups required a significantly higher dose of gonadotropin and a longer
duration of stimulation; however, they had significantly lower peak E2 and a
smaller number of total oocytes as well as M2 oocytes compared to the low aged
subgroups. CONCLUSIONS: The influence of aging on the ovarian response was
clearly seen in all groups; the ovarian response tended to decrease as patients'
age increased with the same AMH level. Therefore serum AMH in combination with
age is a better indicator than AMH alone.
PMID- 22086617
TI - Chemistry and physics of a single atomic layer: strategies and challenges for
functionalization of graphene and graphene-based materials.
AB - Graphene has attracted great interest for its superior physical, chemical,
mechanical, and electrical properties that enable a wide range of applications
from electronics to nanoelectromechanical systems. Functionalization is among the
significant vectors that drive graphene towards technological applications. While
the physical properties of graphene have been at the center of attention, we
still lack the knowledge framework for targeted graphene functionalization. In
this critical review, we describe some of the important chemical and physical
processes for graphene functionalization. We also identify six major challenges
in graphene research and give perspectives and practical strategies for both
fundamental studies and applications of graphene (315 references).
PMID- 22086618
TI - [Health economic evaluations: bringing together academia and policy].
PMID- 22086619
TI - [Evaluation of social and sanitary interventions: appraising the results to guide
decisions].
PMID- 22086620
TI - [Cost-utility of the vaccine against the Human Papiloma Virus in Peruvian women].
AB - OBJECTIVES: To estimate the cost-utility of the vaccine against the Human
Papiloma Virus (HPV) in peruvian women after the application of the vaccine at 10
years of age. MATERIALS AND METHODS: A cost-utility analysis was performed using
the Markov's hidden model in a hypothetical cohort of peruvian women, based on
the information on epidemiological parameters, costs associated to uterine
cervical cancer (UCC) and the efficacy and costs of the vaccine against the HPV.
The vaccination costs were estimated from the Peruvian Ministry of Health
perspective and were compared against the quality-adjusted life years (QALYs),
using a discount rate of 5%. RESULTS: The annual cost of the vaccination was USD
16,861,490, for the Papanicoau screening it was USD 3,060,793 and the costs
associated to the UCC were USD 15,580,000. The incremental cost utility ratio
(ICUR) was 6,775 USD/QALY. CONCLUSIONS: Vaccination against HPV can be cost
utility compared to not vaccinating.
PMID- 22086621
TI - [Cost analysis of rapid methods for diagnosis of multidrug resistant tuberculosis
in different epidemiologic groups in Peru].
AB - OBJECTIVES: To evaluate the costs of three methods for the diagnosis of drug
susceptibility in tuberculosis, and to compare the cost per case of Multidrug
resistant tuberculosis (MDR TB) diagnosed with these (MODS, GRIESS and Genotype
MTBDR plus(r)) in 4 epidemiologic groups in Peru. MATERIALS AND METHODS: In the
basis of programmatic figures, we divided the population in 4 groups: new cases
from Lima/Callao, new cases from other provinces, previously treated patients
from Lima/Callao and previously treated from other provinces. We calculated the
costs of each test with the standard methodology of the Ministry of Health, from
the perspective of the health system. Finally, we calculated the cost per patient
diagnosed with MDR TB for each epidemiologic group. RESULTS: The estimated costs
per test for MODS, GRIESS, and Genotype MTBDR plus(r) were 14.83. 15.51 and
176.41 nuevos soles respectively (the local currency, 1 nuevos sol=0.36 US
dollars for August, 2011). The cost per patient diagnosed with GRIESS and MODS
was lower than 200 nuevos soles in 3 out of the 4 groups. The costs per diagnosed
MDR TB were higher than 2,000 nuevos soles with Genotype MTBDR plus(r) in the two
groups of new patients, and lower than 1,000 nuevos soles in the group of
previously treated patients. CONCLUSIONS: In high-prevalence groups, like the
previously treated patients, the costs per diagnosis of MDR TB with the 3
evaluated tests were low, nevertheless, the costs with the molecular test in the
low- prevalence groups were high. The use of the molecular tests must be
optimized in high prevalence areas.
PMID- 22086622
TI - [Cost utility of renal transplant vs. hemodialysis in the treatment of end stage
chronic kidney failure in a Peruvian hospital].
AB - OBJECTIVES: To assess and compare the cost utility of the cadaveric donor renal
transplant (CDRT) at the Hospital Nacional Guillermo Almenara Irigoyen between
2000 to 2001, against haemodialysis (HD), 5 years after treatment initiation.
MATERIALS AND METHODS: A cost utility study design was used, which evaluated
every patient continuing treatment after 5 years of having the CDRT done, and 2
controls consisting in patients having received HD for 5 years, matched by age,
sex and disease duration. The costs of each procedure and their Quality-adjusted
life years (QALY's) were evaluated using the questionnaire of quality of life SF
36v2(TM), finally calculating the cost utility (CU) and incremental cost utility
(ICU) ratios. RESULTS: Fifty-eight CDRT were performed between 2000-1. Five years
later, 17 (29%) patients died and only 27 (47%) continued the treatment after
CDRT. Out of the 31 patients (53%) having treatment failures, 26% rejected the
transplant, 55% presented a complication and 19% were irregular. The mean SF
36v2(TM) scores obtained by the CDRT and HD patients were 95+/-12 and 87+/-18
points, respectively. The QALYs obtained by the CDRT and HD groups were 251 and
229 points, respectively; the CU ratios for the CDRT and HD were USD 11,984 and
USD 9,243; and the ICU ratio for the period was USD 40,669. CONCLUSIONS: CDRTs
performed during the years 2000-1 at the HNGAI, were 5 years later surprisingly
less cost effective than the HD and CDRT's performed at year 2000 had a lower
incremental cost utility ratio that those performed the 2001, probably because of
the highest rate of irregular treatment.
PMID- 22086623
TI - [Hip fracture in older adults: prevalence and costs in two hospitals. Tabasco,
Mexico, 2009].
AB - OBJECTIVES: To determine hip fracture prevalence and direct healthcare costs in
elderly users of the reference hospitals of the Mexican Institute of Social
Insurance (IMSS by spanish initials) and Mexican Oils (PEMEX by spanish
initials), from Villahermosa, Tabasco, Mexico, during 2009. MATERIALS AND
METHODS: This is a cross-sectional study. The information was based on the
registers of surgical interventions and institutional reports of the elderly
inpatients who had a registered attention in their institution. Descriptive
statistical analysis was performed considering the following variables: age,
gender, hip fracture type, occurrence month, direct healthcare cost. RESULTS: Out
of 10,765 records of hospitalized elderly, 57 hip fracture cases were found (33
in the IMSS and 24 in PEMEX). Hip fracture prevalence was 0.5%, (IMSS 1.1% and
PEMEX 0.3%), being more frequent in women and older than 69. The most frequent
fracture type was the femur neck one (78.9%). The estimated cost of healthcare in
the hospital per patient was USD 5,803 in the IMSS and USD 11,800 in PEMEX.
CONCLUSIONS: The hip fracture prevalence was higher in the IMSS users. Estimated
healthcare costs per patient were higher than the reported in other institutions
of the of the mexican health national system.
PMID- 22086624
TI - [Identification of Leishmania species in patients and phlebotomines in
transmission areas in a region of Peru].
AB - OBJECTIVES: To identify the species of Leishmania present in the skin lesions of
patients and Lutzomyias living in endemic areas of La Libertad, Peru. MATERIALS
AND METHODS: Molecular methods based on PCR and RFLP were used, which allowed to
have efficient data with small amounts of samples (small specimens), due to their
high sensitivity and ease of application in the field work. RESULTS: The results
of PCR of clinical samples of patients and insect vectors showed the presence of
Leishmania (V.) peruviana as a major causative agent of andean leishmaniasis
transmitted by Lutzomyia peruensis. The presence of Leishmania (V.) guyanensis in
Lutzomyia ayacuchensis, was found as well. CONCLUSIONS: The presence of L. (V.)
peruviana and L. (V.) guyanensis in the Andean areas under study was found. These
findings remark the need of a wider research about the geographical distribution
of L. (V.) guyanensis and clinical features related to the infection in endemic
areas of cutaneous leishmaniasis.
PMID- 22086625
TI - [Western blot technique standardization of the diagnosis of human fasciolosis
using Fasciola hepatica excreted-secreted antigens].
AB - OBJECTIVES: To evaluate the performance of the enzyme-linked
immunoelectrotransfer blot assay (EITB, Western blot) using excretory/secretory
antigens from adult forms of Fasciola hepatica (Fh E/S Ag) for the diagnosis of
human fasciolosis. MATERIALS AND METHODS: Antigens were obtained after 18 hours
of incubation in culture medium Minimum Essential Eagle, prepared at a protein
concentration of 0.15 ug/uL and run against a pool of sera of patients with
proven fasciolosis (confirmed by the finding of parasite eggs in the stool
microscopy). Antigens of 10, 12, 17, 23, 27, 30, 36, 43, 66 and 136 kDa were
detected and used to develop the Western blot technique. The sensitivity was
evaluated using sera from 67 fasciolosis patients, and the specificity using sera
from 57 patients with other parasitic diseases, and 10 from healthy individuals.
RESULTS: Out of the 67 sera, 64 reacted with the 23 kDa band and 61 with the one
of 17 kDa. These two bands were not detected in sera from patients with other
parasitic diseases or in those from healthy volunteers and thus could be
considered specific and diagnostic. CONCLUSIONS: The sensitivity of the test,
using the bands of 17 and 23 kDa, was 95.5% for positive reactions to at least
one of these two bands, being its specificity 100% with a positive predictive
value of 100% and negative predictive value of 95.71%.
PMID- 22086626
TI - [Internet addiction: development and validation of an instrument in adolescent
scholars in Lima, Peru].
AB - OBJECTIVES: To develop and validate an instrument to assess Internet Addiction
(IA) phenomenon in adolescents of Metropolitan Lima. MATERIALS AND METHODS: We
performed an observational analytical study, including a sample of 248 high
school adolescent students. In order to evaluate the IA, we constructed the
questionnaire: "Scale for Internet Addiction of Lima" (SIAL), which assesses
symptoms and dysfunctional characteristics. The resulting items were submitted to
experts' judgment, finally obtaining a 11-item scale. RESULTS: The mean age was
14 years old. The psychometric analysis of the instrument showed a Cronbach'
Alpha Coefficient of 0.84, with values of item-total correlation ranging from
0.45 to 0.59. The dimensional analysis yielded a two-dimensional structure that
explained up to 50.7% of the total variance. The bi-dimensional data analysis
revealed a significant association (p<0,001) between Dimension I (symptoms of IA)
and the weekly time spent on the Internet, male sex, past history of bad behavior
in school and plans for the future. Dimension II (dysfunction due to IA) had a
significant association to past history of bad behavior, plans for the future
(p<0,001) and missing school without valid reasons. CONCLUSIONS: The SIAL showed
a good internal consistency, with moderate and significant inter-item
correlations. The findings show that addiction has a dynamic role, which
evidences a problem generated in family patterns and inadequate social networks.
PMID- 22086627
TI - [Bibliometric analysis of scientific production about HIV/AIDS in Peru 1985
2010].
AB - OBJECTIVE: To analyze the evolution of published scientific articles on HIV/AIDS
in Peru. METHODS: A bibliometric analysis of papers on HIV/AIDS published in
journals indexed in MEDLINE, SciELO and LILACS until October 2010. We selected
research papers fully developed in Peru, and multicenter studies with
participating Peruvian sites. RESULTS: We identified 257 publications on
HIV/AIDS, showing an increase since 2003. The average publication delay was 2.8+/
1.8 years. Only 94 (36.6 %) articles were published in Spanish. The most studied
areas were epidemiology (36.6 %) and clinical topics (35.8 %). The cross
sectional design was the most frequent (56.8 %) followed by case series.
According to the WHO classification, studies to learn more about the disease and
risk factors predominated (85.6 %) and according to the intervention areas, 46.7
% focused on diagnosis and treatment. Most studies were conducted in Lima (65.9
%). 48.2 % of studies focused on people living with HIV/AIDS. Finally, Revista
Peruana de Medicina Experimental y Salud Publica was the journal that published
most articles on HIV/AIDS (9.7 %). CONCLUSIONS: We found a growth in scientific
production on HIV/AIDS in Peru; however, we believe that the research undertaken
was not based on an agreed national agenda or national research priorities, which
might have limitted its dissemination and application.
PMID- 22086628
TI - [Psychometric validation of the International Index of Erectile Function in
patients with erectile dysfunction in Peru].
AB - OBJECTIVES: To perform the psychometric validation of the International Index of
Erectile Function (IIEF) in patients with erectile dysfunction. MATERIALS AND
METHODS: Prospective case series to evaluate the validity and the reliability of
the scale. RESULTS: More than 90 % of experts considered that the IIEF questions
evaluated the domains and the construct (content validity). There was a perfect
concordance between the expert evaluation and the IIEF results (criteria
validity, Kappa = 1). Significant differences were found in the score media by
domains between patients with and without ED but when severity was evaluated,
only differences in the erectile function domain were found (discriminatory
validity). The Chronic Prostatitis Symptom Index (ISPC) and the IIEF showed
divergent results (Kappa < 0.01, p = 0.44) in relation with the severity and the
total scores showed no correlation (divergent validity) (r(s) = -0.22, p = 0.16).
The correlation coefficients by domains were mild to moderate and the correlation
between the total scores was high (rs = 0.8, p < 0.001) (test retest
repeatability). A high degree of internal consistency with Cronbach's alpha
values > 0.8 in the five domains was found. CONCLUSIONS: The cross-culturally
validated IIEF in Peru is a valid and reliable instrument for its local use in
the fields of clinics and research.
PMID- 22086629
TI - [Maternal hemoglobin in Peru: regional differences and its association with
adverse perinatal outcomes].
AB - OBJECTIVES: To evaluate hemoglobin (Hb) levels in pregnant women from different
geographical regions from Peru; to establish anemia and erythrocytocis rates and
to establish the role of Hb on adverse perinatal outcomes using the Perinatal
Information System (PIS) database of Peruvian Ministry of Health. MATERIALS AND
METHODS: Data were obtained from 379,816 births of 43 maternity care units
between 2000 and 2010. Anemia and erythrocytocis rates were determined in each
geographical region as well as rates of adverse perinatal outcomes. To analyze
data the STATA program (version 10.0,Texas, USA) was used. The results were
considered significant at p<0.01. RESULTS: Mild anemia rate was higher in the
coast (25.8%) and low forest (26.2%). Moderate/severe anemia rate in low forest
was 2.6% and at the coast was 1.0%. In the highland, the highest rate of
moderate/severe anemia was in the southern highlands (0.6%). The highest rate of
erythrocytocis was found in the central highland (23.7%), 11.9% in the southern
highland and 9.5% in the north highland. Severe anemia and erythrocytocis were
associated with adverse perinatal outcomes. CONCLUSIONS: There are differences by
Peruvian geographical region in anemia rates. In the central highlands were found
the highest rates of erythrocytocis due to hypoxia effect in the high altitudes;
however in the southern highlands, erythrocytocis was lower. Severe anemia and
erythrocytosis were associated with increased adverse perinatal outcomes.
PMID- 22086630
TI - [Results of the implementation of three national guidelines for the prevention of
HIV vertical transmission in Instituto Nacional Materno Perinatal. Lima, Peru].
AB - A retrospective analysis is performed in three successive periods between the
years 1996 and 2009, in order to evaluate the impact of the implementation of
three national guidelines for the prevention of the vertical transmission of HIV.
275 births were included in 13 years. Significant statistical differences were
found in the percentage of HIV cases in the children exposed to the virus between
the three periods: 15% during the period in which only zidovudine (AZT) was
administered to the pregnant woman, 6.4% during the second period (administration
of AZT to the pregnant woman not fulfilling HAART initiation criteria and HAART
to those fulfilling criteria for this treatment), and 4% during the third period
in which HAART was applied to all pregnant women with HIV infection. 95% of
pregnant women ended their pregnancy by cesarean section and the 100% of children
received infant formula. Changes made in national guidelines have produced a
positive impact in the decrease of HIV infected children in the Instituto
Nacional Materno Perinatal in Peru.
PMID- 22086631
TI - [Cluster of multidrug-resistant tuberculosis cases in a school of the district of
Ica, Peru].
AB - We describe the evolution and features of a cluster of Multidrug-resistant
tuberculosis (MDR TB) cases that occurred in 2001, in a school located in a sub
urban area of the district of Ica, Peru. We identified 15 students related before
becoming infected with tuberculosis. The mean age of the cluster was 15 years. A
total of 12 students were MDR-TB cases and 7 were drug-resistant to 5 first-line
drugs (RHEZS). Five out of the 15 cases received at least 3 different anti
tuberculosis treatment schemes. The average treatment duration was 37 months
(minimum 21 and maximum 59 months). A total of 13 cases recovered and 2 died.
This study describes a cluster of MDR -TB cases in an educational facility, which
due to the epidemiological link and time presentation, is probably an outbreak of
MDR TB with a satisfactory outcome after prolonged treatment.
PMID- 22086632
TI - [Preliminary construction of a questionnaire about knowledge of HIV/AIDS in
Colombian veterans].
AB - In order to identify the level of knowledge about HIV/AIDS in Colombia veterans
of war in the year 2009, a questionnaire was designed, built and validated, using
a mixed design, for which three stages were followed: 1) Bibliographic review and
construction of items of the questionnaire using a focus group, 2) Evaluation of
content validity by a pannel of experts, 3) APLICACION of the final
questionnaire, we selected non-randomly 323 people who were part of group of
veterans in Colombia, and 4) Validation of the questionnaire through the
evaluation of internal consistency and principal component analysis. We found
that the questionnaire explored three factors: forms of infection, inadequate
beliefs, and HIV prevention, which accounted for 52% of the variance. The survey
showed adequate internal consistency values (Cronbach's alpha = 0.77). These
results suggest the use of the questionnaire to assess knowledge level related to
the form of infection, inaccurate beliefs and prevention of HIV-AIDS in this
population.
PMID- 22086633
TI - [Sporotrichosis in patients attending a reference center in Abancay, Peru].
AB - A descriptive study was conducted between the months of May and August 2008 in
Santa Teresa Medical Center, Abancay. Of 33 patients clinically suspected with
Sporotrichosis: 20 patients were identified with Sporothrix schenckii. 11 (55%)
of patients were males. Children between 0 and 14 years were most affected. 60%
of the lesions were fixed cutaneous being more frequent in the head and neck
(40%). 55% had lessions for less than one month. In pediatric population with
involvement of head and neck, Sporotrichosis should be considered in the
differential diagnosis of skin lesions of patients from endemic areas.
PMID- 22086634
TI - [Seroprevalence of viral hepatitis B in university students in Abancay, Peru].
AB - To determine the prevalence of serological markers of viral hepatitis B in
university students of the city of Abancay, we performed a cross-sectional study
on 240 students from three universities, from January to October 2010. Informed
consent was requested to every student, an epidemiological record was filled, and
a venous blood sample was drawn to determine the presence of HBsAg, total anti -
HBcAg, anti - HBe, HBeAg and IgM Anti - HBc by ELISA. A prevalence of 2.5% (six
positive samples) was found for HBsAg and of 28.3% (68 positive samples) for anti
- HbcAg antibodies. The male sex was associated with the presence of anti - HBcAg
(OR = 2.0, 95% CI, 1.2 to 3.6). We did not found HBeAg or IgM anti - HBc,
however, the 6 HBsAg carriers were anti - HBe positive. In conclusion hepatitis B
infection is still a public health problem in Abancay, with a significant
prevalence in university students.
PMID- 22086635
TI - [Basic principles and methodological considerations of health economic
evaluations].
AB - Health Economics is an essential instrument for health management, and economic
evaluations can be considered as tools assisting the decision-making process for
the allocation of resources in health. Currently, economic evaluations are
increasingly being used worldwide, thus encouraging evidence-based decision
making and seeking efficient and rational alternatives within the framework of
health services activities. In this review, we present an overview and define the
basic types of economic evaluations, with emphasis on complete Economic
Evaluations (EE). In addition, we review key concepts regarding the perspectives
from which EE can be conducted, the types of costs that can be considered, the
time horizon, discounting, assessment of uncertainty and decision rules. Finally,
we describe concepts about the extrapolation and spread of economic evaluations
in health.
PMID- 22086636
TI - [Synthesis studies as the basis for economic evaluations in health: the need for
their quality appraisal].
AB - Synthesis studies (SS): systematic review and meta-analysis are the basis for
developing Health Economic Evaluations (HEE). SS allow us to obtain parameters
for estimating probabilities and effectiveness from the combination of the
results of primary studies, and, as they include in their methodology the
selection, evaluation, systematization and synthesis processes, they are
considered the first level of hierarchy in scientific evidence. Nevertheless,
they can be prone to bias and methodological failures that can affect the
validity of their results. This article initially presents the relevance of the
randomization in the hierarchic classification of research designs, then it
reviews the main factors affecting the validity of the SS, emphasising the
publication bias, the heterogeneity and the inclusion of primary studies with
main objective differing from the one of the SS. Moreover, it presents individual
studies like a valid alternative for the development of a SS. The conclusion is
that one of the key aspects in a SS is the correct evaluation of the study types
and the objective evaluation of their quality, being these primary or secondary.
PMID- 22086637
TI - [Economic evaluations of health technologies: a global perspective for their
implementation in Latin America].
AB - Phenomena as the progressive increase of health expenditure and the population
aging have lead many countries to consider economic methodologies in order to
obtain bigger sanitary benefits in contexts of limited resources. This article
describes the basic components to consider in a health technology assessment , it
analyses the process of decision making with cost-effectiveness analysis and
reports how this methodology has been widely implemented in Latin America and the
rest of the world.
PMID- 22086638
TI - [Budgetary impact analysis in health: update with a model using a generic
approach].
AB - Budgetary Impact Analysis (BIA) applied to health care can be defined as the
estimate of the net financial costs that a given intervention would represent for
a health care institution given the case it was covered. Routinely, BIAs are used
to decide the inclusion or exclusion of drugs in therapeutic schemes; actually,
the increased use of BIAs have raised awareness about the fact that health
economic evaluations represent a partial view in the analysis of the consequences
of incorporating health technologies. This paper seeks to identify the
determinants and components of BIA, and to describe the development of a
spreadsheet model that enables us to assess the Budget impact of any health
technology and perform estimations with differing degrees of complexity. Its
design explicitly adapts to the user skills and gaps in information, thus seeking
to promote the development of these tools in the management fields in our
countries.
PMID- 22086639
TI - [Health technology assessment: experience in the Ministry of Health of Brazil].
AB - This article aims to describe the main activities carried out by the Ministry of
Health of Brazil (MHB) for the development of Health Technology Assessments
(HTA). It is a description based on the MHB efforts and strategies to strengthen
this area in the last years. Five are the lines of action put in place for that
purpose: (i) adoption of a National Policy for the Management of Health
Technology, (ii) supporting the decision making process in the Unified Health
System, (iii ) promotion of the performance of studies on HTA, (iv) training of
strategic human resources, and (v) institutional coordination at national and
international levels. However, challenges remain to achieve a more effective
structure for HTA in Brazil, like the creation of a government institution with
greater administrative flexibility, among others.
PMID- 22086640
TI - [Chromomycosis: report of a disabling case].
AB - Chromomycosis is a deep subcutaneous mycosis caused by different dymorphic fungi
species that normally live in vegetal debris. We report the case of a 51 year-old
patient that six years previous to the evaluation worked making roof tiles in
Madre de Dios, Peru; where he presented an initial papular lesion in a leg, which
continued expanding until the 4 limbs were affected with disabling verrucous
lesions. Fumagoid cells were found in the skin biopsy. The patient was
hospitalized and received topical cleaning, antibiotics and terbinafine. He was
discharged two months later with clinical improvement.
PMID- 22086641
TI - [Cayetano Heredia (1797-1861)].
PMID- 22086642
TI - [Tegumentary leishmaniosis, a look at a neglected tropical disease].
PMID- 22086643
TI - [Estimation of chronic co-morbidity on health costs in elderly patients with
neurological disorders].
PMID- 22086644
TI - [Etiologic confirmation of the first two cases of human hantavirosis in Peru].
PMID- 22086645
TI - [Challenges to new regulations of pharmaceutical products in Peru].
PMID- 22086646
TI - [Health situation in Peru: the pending agenda].
PMID- 22086647
TI - Ubiquitous expression of selenoprotein N transcripts in chicken tissues and early
developmental expression pattern in skeletal muscles.
AB - Previous results revealed a ubiquitous expression pattern of selenoprotein N
(SelN, SEPN1) in humans, zebrafish, and mouse, suggesting that it plays a
potential role during the embryogenesis of these species. However, no information
is known about the tissue distribution of SelN and mRNA expression analysis in
the muscle tissues during development in birds. We analyzed the mRNA expression
of SelN in 26 different tissues of 90-day-old chickens and the expression of SelN
in the muscle tissues of 12-day-old chicken embryos and 15-month-old adult
chickens by quantitative real-time PCR. The results showed that SelN transcripts
were expressed widely in the chicken tissues. Moreover, the expression of SelN
mRNA in skeletal muscles was present at a high level in whole embryos and at a
lower level in postnatal stages. However, the expression of SelN mRNA in cardiac
muscle showed a different expression pattern compared with skeletal muscles. Our
data indicate that the expression of the SelN gene in chicken is ubiquitous,
suggesting a role of SelN in the development of chick embryo skeletal muscles.
PMID- 22086648
TI - The relationship between parental knowledge and monitoring and child and
adolescent conduct problems: a 10-year update.
AB - Inadequate parental monitoring is widely recognized as a risk factor for the
development of child and adolescent conduct problems. However, previous studies
examining parental monitoring have largely measured parental knowledge and not
the active methods used by parents to track the activities and behavior of their
children. The seminal work of Stattin and Kerr (Child Dev 71:1072-1085, 2000;
Kerr and Stattin in Dev Psychol 36:366-380, 2000) has challenged the field to
reinterpret the construct of parental monitoring, focusing on the active
components of this parenting behavior. As a result, this area of research has
witnessed a resurgence of activity. The goal of the current paper is to review
the evidence regarding the relationship between parental knowledge and monitoring
and child and adolescent conduct problems that has accumulated during the past
decade. Forty-seven studies published between 2000 and 2010 were identified by
searching major databases and bibliographies and were included in this review.
This paper will examine the following areas: (a) "parental monitoring" as
"parental knowledge"; (b) parental knowledge as driven by child disclosure; (c)
the relationship between parental knowledge and monitoring and child and
adolescent conduct problems; (d) bidirectional associations between parental
knowledge and monitoring and child and adolescent conduct problems; (e)
contextual influences on parental knowledge and monitoring; (f) antecedents of
parental knowledge and monitoring; (g) clinical implications of research on
parental knowledge and monitoring; and (h) limitations of existing research and
future directions.
PMID- 22086649
TI - Readers' use of source information in text comprehension.
AB - In two experiments, we examined the role of discrepancy on readers' text
processing of and memory for the sources of brief news reports. Each story
included two assertions that were attributed to different sources. We manipulated
whether the second assertion was either discrepant or consistent with the first
assertion. On the basis of the discrepancy-induced source comprehension (D-ISC)
assumption, we predicted that discrepant stories would promote deeper processing
and better memory for the sources conveying the messages, as compared to
consistent stories. As predicted, readers mentioned more sources in summaries of
discrepant stories, recalled more sources, made more fixations, and displayed
longer gaze times in source areas when reading discrepant than when reading
consistent stories. In Experiment 2, we found enhanced memory for source-content
links for discrepant stories even when intersentential connectors were absent,
and regardless of the reading goals. Discussion was focused on discrepancies as
one mechanism by which readers are prompted to encode source-content links more
deeply, as a method of integrating disparate pieces of information into a
coherent mental representation of a text.
PMID- 22086650
TI - Bilingual recognition memory: stronger performance but weaker levels-of
processing effects in the less fluent language.
AB - The effects of bilingual proficiency on recognition memory were examined in an
experiment with Spanish-English bilinguals. Participants learned lists of words
in English and Spanish under shallow- and deep-encoding conditions. Overall, hit
rates were higher, discrimination greater, and response times shorter in the
nondominant language, consistent with effects previously observed for lower
frequency words. Levels-of-processing effects in hit rates, discrimination, and
response time were stronger in the dominant language. Specifically, with shallow
encoding, the advantage for the nondominant language was larger than with deep
encoding. The results support the idea that memory performance in the nondominant
language is impacted by both the greater demand for cognitive resources and the
lower familiarity of the words.
PMID- 22086651
TI - Correlation between psychological distress and C-reactive protein : Comment on
Puustinen et al., "Psychological distress and C-reactive protein: do health
behaviours and pathophysiological factors modify the association?" (Eur Arch
Psychiatry Clin Neurosci 2011;261:277-84).
PMID- 22086653
TI - The Journal of Computer-Aided Molecular Design: a bibliometric note.
AB - Summarizes the articles in, and the citations to, volumes 2-24 of the Journal of
Computer-Aided Molecular Design. The citations to the journal come from almost
2000 different sources that span a very wide range of academic subjects, with the
most heavily cited articles being descriptions of software systems and of
computational methods.
PMID- 22086652
TI - Computational and experimental studies of the interaction between phospho
peptides and the C-terminal domain of BRCA1.
AB - The C-terminal domain of BRCA1(BRCT) is involved in the DNA repair pathway by
recognizing the pSXXF motif in interacting proteins. It has been reported that
short peptides containing this motif bind to BRCA1(BRCT) in the micromolar range
with high specificity. In this work, the binding of pSXXF peptides has been
studied computationally and experimentally in order to characterize their
interaction with BRCA1(BRCT). Elucidation of the contacts that drive the protein
ligand interaction is critical for the development of high affinity small
molecule BRCA1 inhibitors. Molecular dynamics (MD) simulations revealed the key
role of threonine at the peptide P+2 position in providing structural rigidity to
the ligand in the bound state. The mutation at P+1 had minor effects. Peptide
extension at the N-terminal position with the naphthyl amino acid exhibited a
modest increase in binding affinity, what could be explained by the dispersion
interaction of the naphthyl side-chain with a hydrophobic patch. Three in silico
end-point methods were considered for the calculation of binding free energy. The
Molecular Mechanics Poisson-Boltzmann Surface Area and the Solvated Interaction
Energy methods gave reasonable agreement with experimental data, exhibiting a
Pearlman predictive index of 0.71 and 0.78, respectively. The MM-quantum
mechanics-surface area method yielded improved results, which was characterized
by a Pearlman index of 0.78. The correlation coefficients were 0.59, 0.61 and
0.69, respectively. The ability to apply a QM level of theory within an end-point
binding free energy protocol may provide a way for a consistent improvement of
accuracy in computer-aided drug design.
PMID- 22086654
TI - Comparative analyses of overall survival in patients with anaplastic lymphoma
kinase-positive and matched wild-type advanced nonsmall cell lung cancer.
AB - BACKGROUND: The purpose of this study was to investigate the overall survival
(OS) of patients with advanced ALK-positive nonsmall cell lung cancer (NSCLC) who
were managed in the pre-ALK inhibitor era and to compare their survival with that
of a matched case cohort of ALK wild-type (WT) patients. METHODS: Data from 1166
patients who had stage IIIB/IV NSCLC with nonsquamous histology were collected
from the NSCLC database of Seoul National University Hospital between 2003 and
2009. ALK fluorescence in situ hybridization (FISH) was used to analyze 262
patients who either had the WT epidermal growth factor receptor (EGFR) or were
nonresponders to previous EGFR tyrosine kinase inhibitor (TKI) therapy. Overall
survival (OS) was compared between 3 groups: 1) ALK-positive patients, 2) EGFR
mutation-positive patients, and 3) ALK-WT/EGFR-WT patients. Progression-free
survival (PFS) after first-line chemotherapy and EGFR TKIs also was analyzed.
RESULTS: Twenty-three patients were ALK-positive according to FISH analysis and
did not receive ALK inhibitors during follow-up. The median OS for ALK-positive
patients, EGFR mutation-positive patients, and WT/WT patients was 12.2 months,
29.6 months, and 19.3 months, respectively (vs EGFR mutation-positive patients, P
= .001; vs WT/WT, P = .127). The PFS after first-line chemotherapy for the 3
groups was not different. However, the PFS for patients who received EGFR TKIs
was shorter in ALK-positive patients compared with the other 2 groups (vs EGFR
mutation-positive patients, P < .001; vs WT/WT, P < .021). CONCLUSIONS: In the
pre-ALK inhibitor era, ALK-positive patients experienced the shortest survival,
although it did not differ statistically from that of WT/WT patients. Although
their responses to platinum-based chemotherapy were not different from comparator
groups, ALK-positive patients were even more resistant to EGFR TKI treatment than
WT/WT patients.
PMID- 22086656
TI - Intein-mediated construction of a library of fluorescent Rab GTPase probes.
AB - Rab GTPases play a key role in the regulation of membrane trafficking. Post
translational geranylgeranylation is critical for their biological activity and
is conferred by Rab geranylgeranyl transferease (RabGGTase), together with an
accessory factor, Rab escort protein (REP). Mechanistic studies of Rab
prenylation and identification of RabGGTase inhibitors require sensitive
reporters of Rab prenylation. In the present work, a combination of protein
engineering and expressed protein ligation was used to construct a library of
semisynthetic Rab7 fluorescent conjugates. In order to avoid synthesis of a large
number of fluorescently labeled peptides, we developed a strategy that combined
thiol-reactive dye-labeling of cysteine with in vitro protein ligation.
Application of this strategy required optimization of labeling and ligation
conditions to promote thiol labeling and disfavor intramolecular cyclization.
Using this approach, we constructed 46 fluorescent sensors with different
spectral properties that reported on the interaction of Rab7 with RabGGTase, REP
1, and the overall prenylation reaction. Two constructs, Rab7Delta3CCK(NBD) and
Rab7Delta2SCCC-dans, displayed 2.5- and 1.5-fold increase in fluorescence,
respectively, upon prenylation. Moreover, dansyl-, NBD (4-nitro-benzofurazan)-, I
BA-, and I-SO-labeled Rab7 conjugates exhibited two- to tenfold change in
fluorescence upon binding to REP or RabGGTase. These fluorescent sensors allowed
us to monitor Rab prenylation in real time and to investigate the assembly of Rab
REP binary and Rab-REP-RabGGTase ternary complexes.
PMID- 22086655
TI - BK virus infection in human immunodeficiency virus-infected patients.
AB - The aim of this study is to evaluate the prevalence of BK virus (BKV) infection
in HIV-positive patients receiving highly active antiretroviral therapy (HAART)
in our hospital. The presence of BKV was analysed in urine and plasma samples
from 78 non-selected HIV-infected patients. Clinical data were recorded using a
pre-established protocol. We used a nested PCR to amplify a specific region of
the BKV T-large antigen. Positive samples were quantified using real-time PCR.
Mean CD4 count in HIV-infected patients was 472 cells/mm3 and median HIV viral
load was <50 copies/mL. BKV viraemia was detected in only 1 HIV-positive patient,
but 57.7% (45 out of 78) had BKV viruria, which was more common in patients with
CD4 counts>500 cells/mm3 (74.3% vs 25.7%; p=0.007). Viruria was present in 21.7%
of healthy controls (5 out of 23 samples, p=0.02). All viral loads were low (<100
copies/mL), and we could not find any association between BKV infection and renal
or neurological manifestations. We provide an update on the prevalence of BKV in
HIV-infected patients treated with HAART. BKV viruria was more common in HIV
infected patients; however, no role for BKV has been demonstrated in this
population.
PMID- 22086657
TI - Facet-mediated photodegradation of organic dye over hematite architectures by
visible light.
PMID- 22086658
TI - Pemetrexed induces both intrinsic and extrinsic apoptosis through ataxia
telangiectasia mutated/p53-dependent and -independent signaling pathways.
AB - Pemetrexed, a new-generation antifolate, has demonstrated promising single-agent
activity in front- and second-line treatments of non-small cell lung cancer.
However, the molecular mechanism of pemetrexed-mediated antitumor activity
remains unclear. The current study shows that pemetrexed induced DNA damage and
caspase-2, -3, -8, and -9 activation in A549 cells and that treatment with
caspase inhibitors significantly abolished cell death, suggesting a caspase
dependent apoptotic mechanism. The molecular events of pemetrexed-mediated
apoptosis was associated with the activation of ataxia telangiectasia mutated
(ATM)/p53-dependent and -independent signaling pathways, which promoted intrinsic
and extrinsic apoptosis by upregulating Bax, PUMA, Fas, DR4, and DR5 and
activating the caspase signaling cascade. Supplementation with dTTP allowed
normal S-phase progression and rescued apoptotic death in response to pemetrexed.
Overall, our findings reveal that the decrease of thymidylate synthase and the
increase of Bax, PUMA, Fas, DR4, and DR5 genes may serve as biomarkers for
predicting responsiveness to pemetrexed.
PMID- 22086659
TI - Blood pressure and TNF-alpha act synergistically to increase leucocyte CD11b
adhesion molecule expression in the BELFAST study: implications for better blood
pressure control in ageing.
AB - Hypertension, a key risk factor for stroke, cardiovascular disease and dementia,
is associated with chronic vascular inflammation, and although poorly understood,
putative mechanisms include pro-inflammatory responses induced by mechanical
stretching, with cytokine release and associated up-regulated expression of
adhesion molecules. Because blood pressure increases with age, we measured
baseline and tumour necrosis alpha (TNF-alpha)-stimulated CD11b/CD18 adhesion
molecule expression on leucocytes to assess any association between the two. In
38 subjects (mean age 85 years), consecutively enrolled from Belfast Elderly
Longitudinal Free-Living Aging Study (BELFAST), baseline and TNF-alpha-stimulated
CD11b/CD18 expression on separated monocytes and neutrophils increased with
systolic blood pressure >120 mmHg (p = 0.05) and for lymphocytes, with diastolic
blood pressure >80 mmHg (p < 0.05).These findings show increased potential
stickiness of intravascular cells with increasing blood pressure which is
accentuated by TNF-alpha, and suggest mechanistic reasons why better hypertension
control is important.
PMID- 22086660
TI - Changes in depressive symptoms, social support, and loneliness over 1 year after
a minimum 3-month videoconference program for older nursing home residents.
AB - BACKGROUND: A 3-month videoconference interaction program with family members has
been shown to decrease depression and loneliness in nursing home residents.
However, little is known about the long-term effects on residents' depressive
symptoms, social support, and loneliness. OBJECTIVE: The purpose of this
longitudinal quasi-experimental study was to evaluate the long-term effectiveness
of a videoconference intervention in improving nursing home residents' social
support, loneliness, and depressive status over 1 year. METHODS: We purposively
sampled 16 nursing homes in various areas of Taiwan. Elderly residents (N = 90)
of these nursing homes meeting our inclusion criteria were divided into an
experimental (n = 40) and a comparison (n = 50) group. The experimental group
received at least 5 minutes/week for 3 months of videoconference interaction with
their family members in addition to usual family visits, and the comparison group
received regular family visits only. Data were collected in face-to face
interviews on social support, loneliness, and depressive status using the Social
Support Behaviors Scale, University of California Los Angeles Loneliness Scale,
and Geriatric Depression Scale, respectively, at four times (baseline, 3 months,
6 months, and 12 months after baseline). Data were analyzed using the generalized
estimating equation approach. RESULTS: After the videoconferencing program,
participants in the experimental group had significantly lower mean change in
instrumental social support scores at 6 months (-0.42, P = .03) and 12 months (
0.41, P = .03), and higher mean change in emotional social support at 3 (0.74, P
< .001) and 12 months (0.61, P = .02), and in appraisal support at 3 months
(0.74, P = .001) after adjusting for confounding variables. Participants in the
experimental group also had significantly lower mean loneliness and depressive
status scores at 3 months (-5.40, P < .001; -2.64, P < .001, respectively), 6
months (-6.47, P < .001; -4.33, P < .001), and 12 months (-6.27, P = .001; -4.40,
P < .001) compared with baseline than those in the comparison group. CONCLUSION:
Our videoconference program had a long-term effect in alleviating depressive
symptoms and loneliness for elderly residents in nursing homes. This intervention
also improved long-term emotional social support and short-term appraisal
support, and decreased residents' instrumental social support. However, this
intervention had no effect on informational social support.
PMID- 22086661
TI - Improved chemical energy component analysis.
AB - An improved SCF energy decomposition scheme is proposed in which a special
treatment is introduced for those "ionic" one-center electron-electron repulsion
energy contributions which arise from the use of doubly filled bonding orbitals.
These terms characterize the bonding pattern rather than the state of the atoms,
therefore they are attributed to the bonds and are redistributed between them in
accord with the bond orders. This permits one to solve the dilemma which we had
with the previous decomposition schemes, and obtain very "chemical" one- and two
center energy components, characterizing well the bonding situation in different
molecules.
PMID- 22086662
TI - Prognostic value of tumour necrosis and host inflammatory responses in colorectal
cancer.
AB - BACKGROUND: Tumour necrosis is a marker of poor prognosis in some tumours but the
mechanism is unclear. This study examined the prognostic value of tumour necrosis
and host inflammatory responses in colorectal cancer. METHODS: This was a
retrospective study of patients undergoing potentially curative resection of
colorectal cancer at a single surgical institution over a 10-year period.
Patients who underwent preoperative radiotherapy were excluded. The systemic and
local inflammatory responses were assessed using the modified Glasgow Prognostic
Score and Klintrup-Makinen criteria respectively. Original tumour sections were
retrieved and necrosis graded as absent, focal, moderate or extensive.
Associations between necrosis and clinicopathological variables were examined,
and multivariable survival analyses carried out. RESULTS: A total of 343 patients
were included between 1997 and 2007. Tumour necrosis was graded as absent in 32
(9.3 per cent), focal in 166 (48.4 per cent), moderate in 101 (29.4 per cent) and
extensive in 44 (12.8 per cent). There were significant associations between
tumour necrosis and anaemia (P = 0.022), white cell count (P = 0.006), systemic
inflammatory response (P < 0.001), local inflammatory cell infiltrate (P =
0.004), tumour node metastasis (TNM) stage (P = 0.015) and Petersen Index (P =
0.003). On univariable survival analysis, tumour necrosis was associated with
cancer-specific survival (P < 0.001). On multivariable survival analysis, age
(hazard ratio (HR) 1.29, 95 per cent confidence interval 1.00 to 1.66), systemic
inflammatory response (HR 1.74, 1.27 to 2.39), low-grade local inflammatory cell
infiltrate (HR 2.65, 1.52 to 4.63), TNM stage (HR 1.55, 1.02 to 2.35) and high
risk Petersen Index (HR 3.50, 2.21 to 5.55) were associated with reduced cancer
specific survival. CONCLUSION: The impact of tumour necrosis on colorectal cancer
survival may be due to close associations with the host systemic and local
inflammatory responses.
PMID- 22086663
TI - Square planar coordinate iron oxides.
AB - We will provide an overview of the synthesis, structures, chemical and physical
properties of novel iron oxides bearing FeO(4) square planar coordination, such
as SrFeO(2) and Sr(3)Fe(2)O(5). The preparation of these materials relies on
topotactic low-temperature reduction using metal hydrides. For instance, a simple
3D perovskite structure SrFeO(3) converts to a 2D structure SrFeO(2)via
SrFeO(2.5). SrFeO(2) shows a remarkable stability against temperature and
chemical substitution (for both A- and B-sites) and also tolerates distortions of
square planes toward tetrahedra to adapt different A sites. Such structural
stability and flexibility arise from strong covalent interactions not only
through the in-plane Fe-O-Fe superexchange interactions but also through the out
of-plane Fe-Fe direct exchange interactions, and explains why SrFeO(2) exhibits
magnetic order far beyond room temperature. The application of pressure on
SrFeO(2) and Sr(3)Fe(2)O(5) further enhances the Fe-Fe direct exchange
interactions and eventually induces striking transitions at around 34 GPa: spin
state transition from S = 2 to S = 1, insulator-to-metal transition, and
antiferro-to-ferromagnetic transition. The high mobility of oxide ions at
relatively low temperatures, during the reduction and reoxidation reaction
process would offer an important challenge to tailor and design new solid oxide
fuel cells/membranes toward lowering working temperatures.
PMID- 22086664
TI - Voluntary consent in correctional settings: do offenders feel coerced to
participate in research?
AB - A major ethical concern in research with criminal offenders is the potential for
abuse due to coercive influences that may adversely affect offenders' capacity to
give voluntary consent to participate in research conducted in correctional
settings. Despite this concern, to date there have been almost no systematic
scientific investigations of the extent to which offenders themselves perceive
that coercion occurs in these settings or that it is likely to influence their
decisions about research participation. In a sample of over 600 ethnically
diverse men and women recruited from various prisons and community corrections
facilities in Texas and Florida, we used a vignette-based survey concerning a
hypothetical research project to measure and compare offenders' global
perceptions of coercive processes, as well as the differential salience and
perceived coercive influence of specific factors (e.g., coercion by other
inmates, inducements from staff). Somewhat surprisingly, across multiple outcome
measures our participants on average reported relatively little in the way of
significant coercive influences on their capacity to make voluntary decisions
concerning research participation. Implications and directions for future
research on coercive influences in offender research are discussed.
PMID- 22086665
TI - Medication-assisted treatment research with criminal justice populations:
challenges of implementation.
AB - Creating, implementing and evaluating substance abuse interventions, especially
medication-assisted treatments, for prisoners, parolees, and probationers with
histories of heroin addiction is an especially challenging endeavor because of
the difficulty in coordinating and achieving cooperation among diverse criminal
justice, substance abuse treatment, research, and social service agencies, each
with its own priorities and agenda. In addition, there are special rules that
must be followed when conducting research with criminal justice-involved
populations, particularly prisoners. The following case studies will explore the
authors' experience of over 10 years conducting pharmacotherapy research using
methadone, buprenorphine, and naltrexone with criminal justice populations. The
major obstacles and how they were overcome are presented. Finally,
recommendations are provided with regard to implementing and conducting research
with criminal justice populations.
PMID- 22086666
TI - Prevention of recurrent herpes labialis outbreaks through low-intensity laser
therapy: a clinical protocol with 3-year follow-up.
PMID- 22086667
TI - Fatal course of an autochthonous hepatitis E virus infection in a patient with
leukemia in Germany.
AB - An acute infection with hepatitis E virus (HEV) genotype 3 subtype c was
diagnosed in a patient with chronic lymphatic B-cell leukemia 6 weeks after the
infusion of donor lymphocytes. Despite intensive care the patient died 39 days
after admission due to pericardial effusion that was related to acute liver
failure. We suggest that diagnostic procedures for detection of HEV infection
should be seriously considered for the immunocompromised patient with elevated
liver enzymes in the absence of a travel history to HEV endemic countries.
PMID- 22086668
TI - Gambling and problem gambling among young adolescents in Great Britain.
AB - International evidence suggests that problem gambling tends to be 2-4 times
higher among adolescents as among adults and this proves to be true of Great
Britain according to the latest adolescent prevalence survey. 8,958 British
children (11-15) were surveyed in 201 schools during late 2008 and 2009. The
questionnaire included a standard screen, DSM-IV-MR-J, to test for problem
gambling. Our regression models explore influences of demographic, home and
school characteristics on probabilities (both unconditional and conditional on
being a gambler) of a child testing positive for problem gambling. More than 20%
of children participated in gambling and, of these, nearly 8% tested positive.
Age-group prevalence of problem gambling was 1.9%, compared with 0.6-0.9% in the
most recent official adult surveys. Boys were much more likely than girls to
gamble and to exhibit symptoms of problem gambling if they did. Generally, home
characteristics, particularly parental attitude and example, dominated school
characteristics in accounting for risks. Unanticipated findings included
significantly elevated probabilities of problem gambling among Asian children and
among children who live in a home without siblings. Child income was also a
potent predictor of gambling and problem gambling.
PMID- 22086669
TI - Extracapsular spread and adjuvant therapy in human papillomavirus-related, p16
positive oropharyngeal carcinoma.
AB - BACKGROUND: Extracapsular spread (ECS) is commonly used to justify adjuvant
chemotherapy in patients with head and neck cancer. The role of ECS as a
prognosticator and adjuvant therapy determinant in surgically resected, human
papillomavirus-related oropharyngeal squamous cell carcinoma (OPSCC), however,
has never been determined. METHODS: Of 210 oropharynx patients in a prospective
transoral laser microsurgery database, 152 patients who had p16-positive primary
OPSCC and pathologically positive necks were eligible for the study. ECS was
measured from routine reporting (ECS(report)) and by using a novel histologic
grading system (ECS(graded)). Proportional hazards models and matched analyses
were used to compare the impact of ECS and adjuvant therapy on disease-free
survival (DFS). Patients with and without graded ECS were matched for T-stage,
surgical margins, and adjuvant therapy. RESULTS: At a median follow-up of 43
months, the presence of ECS was not associated with poorer DFS in multivariate
analyses (ECS(report): hazard ratio [HR], 3.42; 95% confidence interval [CI],
0.45-25.88; P = .23; ECS(graded): HR, 2.54; 95% CI, 0.88-7.34; P = .09). T-stage
and high-grade ECS, ie soft tissue metastasis (STM(graded)) were prognostic.
Overall and in the presence of ECS or even STM, adjuvant CRT was not associated
with better DFS over radiotherapy alone (HR, 0.25; 95% CI, 0.06-1.13; P = .07).
In addition, matched analyses demonstrated no significant reduction in DFS for
the presence of ECS versus the absence of ECS or reduced DFS for the
administration of adjuvant radiotherapy alone versus CRT in ECS-positive
patients. CONCLUSIONS: Routinely reported ECS was not prognostic in this study.
Adjuvant CRT versus radiotherapy alone produced no improvement in DFS for ECS
positive patients. The authors propose that de-escalated adjuvant therapy should
be considered for patients with p16-positive OPSCC who undergo surgery and that
routinely reported ECS should not be used to justify adjuvant chemotherapy.
PMID- 22086670
TI - Generalized linear model for partially ordered data.
AB - Within the rich literature on generalized linear models, substantial efforts have
been devoted to models for categorical responses that are either completely
ordered or completely unordered. Few studies have focused on the analysis of
partially ordered outcomes, which arise in practically every area of study,
including medicine, the social sciences, and education. To fill this gap, we
propose a new class of generalized linear models--the partitioned conditional
model--that includes models for both ordinal and unordered categorical data as
special cases. We discuss the specification of the partitioned conditional model
and its estimation. We use an application of the method to a sample of the
National Longitudinal Study of Youth to illustrate how the new method is able to
extract from partially ordered data useful information about smoking youths that
is not possible using traditional methods.
PMID- 22086671
TI - Epoxyquinone formation catalyzed by a two-component flavin-dependent
monooxygenase involved in biosynthesis of the antibiotic actinorhodin.
AB - The biosynthetic gene cluster of the aromatic polyketide antibiotic actinorhodin
(ACT) in Streptomyces coelicolor A3(2) carries a pair of genes, actVA-ORF5 and
actVB, that encode a two-component flavin-dependent monooxygenase (FMO). Our
previous studies have demonstrated that the ActVA-ORF5/ActVB system functions as
a quinone-forming C-6 oxygenase in ACT biosynthesis. Furthermore, we found that
this enzyme system exhibits an additional oxygenation activity with
dihydrokalafungin (DHK), a proposed intermediate in the ACT biosynthetic pathway,
and generates two reaction products. These compounds were revealed to be
monooxygenated derivatives of kalafungin, which is spontaneously formed through
oxidative lactonization of DHK. Their absolute structures were elucidated from
their NMR spectroscopic data and by computer modeling and X-ray crystallography
as (5S,14R)-epoxykalafungin and (5R,14S)-epoxykalafungin, demonstrating an
additional epoxyquinone-forming activity of the ActVA-ORF5/ActVB system in vitro.
PMID- 22086672
TI - Quantitative determination of four compounds and fingerprint analysis in the
rhizomes of Drynaria fortunei (Kunze) J. Sm.
AB - A rapid, sensitive, and accurate reversed-phase high-performance liquid
chromatography with photodiode array detection method was developed for both
quantitative determination of four compounds (caffeic acid-4-O-beta-D
glucopyranoside, 5,7-dihydroxychromone-7-O-rutinoside, neoeriocitrin and
naringin) and fingerprint analysis of the rhizomes of Drynaria fortunei (Kunze)
J. Sm. The chromatographic separation was accomplished on an MZ-C18 column (4.6 *
250 mm, 5 MUm) using gradient elution with acetonitrile and 0.02% aqueous acetic
acid, at a flow rate of 1.0 mL min(-1), an operating temperature of 25 degrees C,
and a wavelength of 260 nm. The four compounds showed good regression
relationship (R (2) > 0.9990) within linear ranges, and their recoveries were in
the range of 98.11-102.23%. In the chromatographic fingerprint, thirteen common
peaks were found and selected as characteristic peaks to assess the consistency
of ten batches of the rhizomes of D. fortunei. The results indicate that the
method of multiple compounds determination in combination with chromatographic
fingerprint analysis is suitable for systematic quality evaluation of D.
fortunei.
PMID- 22086673
TI - Anti-inflammatory and anti-nociceptive effects of the ethanolic extracts of
Alkanna frigida and Alkanna orientalis.
AB - Alkanna species are used in Iranian traditional medicine for treatment of
rheumatoid arthritis and other inflammatory diseases. This study was designed to
evaluate the anti-inflammatory and anti-nociceptive effects of Alkanna frigida
and Alkanna orientalis ethanolic extracts via the carrageenan-induced paw edema
test and formalin test in rat and mouse, respectively. Ethanolic extracts of
plant root were prepared and were injected intraperitoneally 60 min before
carrageenan-induced inflammation or formalin-induced nociception at 100, 200 and
400 mg/kg. Anti-inflammatory effects of plants were monitored for 3 h after
carrageenan injection and anti-nociceptive effects were evaluated during the
first hour after formalin injection. Diclofenac, a well-known anti-inflammatory
and anti-nociceptive agent, was used as a positive control. Our results show
that, in contrast to Alkanna orientalis, ethanolic extract of Alkanna frigida
significantly decreases carrageenan-induced inflammation at 400 mg/kg, especially
3 h after inflammation induction. Both Alkanna frigida and Alkanna orientalis
ethanolic extracts possess a remarkable anti-nociceptive effect at each dose
(100, 200 and 400 mg/kg) in a dose-dependent manner during the first hour after
formalin injection.The present findings provide more evidence for the potential
anti-nociceptive effect of Alkanna sp. and the anti-inflammatory effect of
Alkanna frigida. It supports their traditional indication in the treatment of
pain and inflammatory-related diseases. These useful effects may result from the
inhibitory interaction of the plant ethanolic extract with cyclooxygenase-2
enzyme and the subsequent reduction in prostaglandin production.
PMID- 22086674
TI - Withania somnifera extract attenuates stem cell factor-stimulated pigmentation in
human epidermal equivalents through interruption of ERK phosphorylation within
melanocytes.
AB - We previously demonstrated that mitogen-activated protein kinase (MAPK)
signaling, including microphthalmia-associated transcription factor (MITF) and
cAMP response element-binding protein (CREB) phosphorylation, is a major pathway
involved in up-regulating melanogenesis within human melanocytes in several
hyperpigmentary disorders such as UVB melanosis and lentigo senilis. Recently, a
redox imbalance was shown to be closely linked to a variety of altered cellular
responses in which the precise balance between levels of oxidizing and reducing
equivalents that reflect the intracellular redox condition profoundly affects
intracellular signaling pathways, especially the MAPK pathway. To elucidate the
effects of redox balance regulation on epidermal pigmentation, we used an
antioxidant-rich extract of the herb Withania somnifera to assess its effect on
stem cell factor (SCF)-stimulated pigmentation in human epidermal equivalents and
analyzed its biological mechanism of action. Addition of the W. somnifera extract
(WSE) caused a marked reduction in SCF-stimulated pigmentation in a dose
dependent manner after 14 days of treatment, which was accompanied by a
significant decrease in eumelanin content. In WSE-treated human epidermal
equivalents, melanocyte-specific proteins (including tyrosinase) were
significantly suppressed at the gene and protein levels by WSE. Signaling
analysis with immunoblots revealed that in human melanocytes or human melanoma
cells treated with WSE, there was a marked deficiency in SCF-stimulated
phosphorylation of ERK, MITF and CREB, but not of Raf-1 and MEK. Since WSE had no
direct inhibitory effect on tyrosinase activity and no melano-cytotoxic effect on
melanocytes present in the human epidermal equivalents or on cultured human
melanocytes, the sum of these findings indicates that WSE attenuates SCF
stimulated pigmentation by preferentially interrupting ERK phosphorylation within
melanocytes and can serve as a therapeutic tool for SCF-associated
hyperpigmentary disorders.
PMID- 22086675
TI - Effect of silibinin in human colorectal cancer cells: targeting the activation of
NF-kappaB signaling.
AB - Chronic inflammation is one of the primary causes of colorectal cancer (CRC), and
major inflammatory pathways implicated in CRC are cyclooxygenase-2 (COX-2) and
iNOS; both regulated by nuclear factor-kappa B (NF-kappaB) suggesting that
inhibitors of these pathways could be ideal against CRC. Silibinin has shown
promising efficacy against various malignancies including CRC, and therefore here
we assessed whether silibinin targets NF-kappaB activation and associated
signaling as a mechanism of its anti-inflammatory and anti-cancer effects in CRC.
Our results indicated that silibinin treatment (50-200 uM) of human CRC SW480,
LoVo, and HT29 cells strongly inhibits tumor necrosis factor alpha-induced NF
kappaB activation together with decreased nuclear levels of both p65 and p50 sub
units. Silibinin also significantly increased IkappaBalpha level with a
concomitant decrease in phospho-IkappaBalpha, without any effect on TNFR1, TRADD,
and RIP2, indicating its inhibitory effect on IkappaB kinase alpha activity. Next
we assessed the effect of oral silibinin feeding on NF-kappaB pathway in SW480
(COX-2 negative) and LoVo (COX-2 positive) tumor xenografts in nude mice.
Together with its inhibitory efficacy on tumor growth and progression, silibinin
inhibited NF-kappaB activation in both xenografts. The protein levels of various
NF-kappaB-regulated molecules such as Bcl-2, COX-2, iNOS, VEGF, and MMPs were
also decreased by silibinin in both cell culture studies and xenograft analyses,
suggesting its potential to alter NF-kappaB transcriptional activity. Together,
these findings are highly significant in establishing for the first time that
silibinin suppresses CRC growth and progression possibly through its anti
inflammatory activity by interfering with NF-kappaB activation and thus has
potential against human CRC.
PMID- 22086676
TI - Magnetochiral effects in amphiphilic porphyrin J-aggregates.
AB - The detection of magnetochiral dichroism (MChD; CD: circular dichroism) in a
suspension of J-aggregate particles of an achiral amphiphilic nonmetalated
porphyrin renews the interest for porphyrins in supramolecular chemistry and
reinforces the concept that novel advanced materials can be obtained through self
assembly and auto-organization processes (see picture).
PMID- 22086677
TI - Understanding and controlling the interaction of nanomaterials with proteins in a
physiological environment.
AB - Nanomaterials hold promise as multifunctional diagnostic and therapeutic agents.
However, the effective application of nanomaterials is hampered by limited
understanding and control over their interactions with complex biological
systems. When a nanomaterial enters a physiological environment, it rapidly
adsorbs proteins forming what is known as the protein 'corona'. The protein
corona alters the size and interfacial composition of a nanomaterial, giving it a
biological identity that is distinct from its synthetic identity. The biological
identity determines the physiological response including signalling, kinetics,
transport, accumulation, and toxicity. The structure and composition of the
protein corona depends on the synthetic identity of the nanomaterial (size,
shape, and composition), the nature of the physiological environment (blood,
interstitial fluid, cell cytoplasm, etc.), and the duration of exposure. In this
critical review, we discuss the formation of the protein corona, its structure
and composition, and its influence on the physiological response. We also present
an 'adsorbome' of 125 plasma proteins that are known to associate with
nanomaterials. We further describe how the protein corona is related to the
synthetic identity of a nanomaterial, and highlight efforts to control protein
nanomaterial interactions. We conclude by discussing gaps in the understanding of
protein-nanomaterial interactions along with strategies to fill them (167
references).
PMID- 22086678
TI - Identification of cancer patients with Lynch syndrome: clinically significant
discordances and problems in tissue-based mismatch repair testing.
AB - Tissue-based microsatellite instability (MSI) analysis and immunohistochemistry
for DNA mismatch repair proteins are accepted screening tools to evaluate
patients with cancer for Lynch syndrome. These laboratory analyses are thus
important tools in cancer prevention. Quality assurance review was conducted to
identify test discordances and problems. These results were then analyzed in
conjunction with genetic testing outcomes. Six hundred and forty-six consecutive
tumors from 2002 to 2010 were examined. MSI-low tumors were excluded so that 591
tumors comprised the final analyses. Discordance was defined as a discrepancy
between immunohistochemical and MSI analysis. Problem was defined as
indeterminate or questionable immunohistochemical or MSI results. All results and
clinical and family histories were centrally reviewed by two pathologists and one
genetics counselor. Discordances and problems were identified in 23 of 591 (3.9%)
of the tumors. Twelve of 102 MSI-high carcinomas (11.8%) and one of 489
microsatellite stable tumors had discordant immunohistochemistry. Of these 13
tumors, 11 were from patients who had personal and/or family cancer histories
concerning for a germline mismatch repair gene mutation. In addition to
discordances, 10 tumors with problematic immunohistochemical profiles were
identified. Accurate evaluation of MSI was possible in all tumors. In summary,
concordance between immunohistochemistry and MSI was high, particularly for
tumors that are microsatellite stable. Greater frequency of test discordance was
identified in the tumors that were MSI-high. Thus, a major consequence of the use
of immunohistochemistry by itself as a screen is the failure to identify
colorectal and endometrial cancer patients who likely have Lynch syndrome.
PMID- 22086679
TI - N-nitroso-tris-chloroethylurea induces premalignant squamous dysplasia in mice.
AB - Squamous cell carcinoma (SCC) and premalignant endobronchial lesions have been
difficult to study in murine models. In this study, we evaluate the topical N
nitroso-tris-chloroethylurea (NTCU) murine SCC model, determine the extent to
which resulting premalignant airway dysplasia develops, discuss clinicopathologic
grading criteria in lesion progression, and confirm that immunohistochemical
(IHC) staining patterns are consistent with those observed in human endobronchial
dysplasia and SCC. Male and female FVB mice were treated biweekly with topical
NTCU (4, 8, or 40 mmol/L) or vehicle for 32 weeks. Following sacrifice, squamous
cell lesions were enumerated and categorized into the following groups: flat
atypia, low-grade dysplasia, high-grade dysplasia, and invasive SCC. The 40
mmol/L NTCU concentration produced the entire spectrum of premalignant dysplasias
and squamous cell carcinomas, but was associated with poor survival.
Concentrations of 4 and 8 mmol/L NTCU were better tolerated and produced only
significant levels of flat atypia. Squamous origin of the range of observed
lesions was confirmed with IHC staining for cytokeratin 5/6, p63, thyroid
transcription factor-1 (TTF-1), and Napsin-A. This study shows that topical
application of high-dose NTCU produces endobronchial premalignant lesions with
classic squamous characteristics and should allow for improved preclinical
evaluation of potential chemopreventive agents.
PMID- 22086680
TI - Organ specificity of the bladder carcinogen 4-aminobiphenyl in inducing DNA
damage and mutation in mice.
AB - Aromatic amines are a widespread class of environmental contaminants present in
various occupational settings and tobacco smoke. Exposure to aromatic amines is a
major risk factor for bladder cancer development. The etiologic involvement of
aromatic amines in the genesis of bladder cancer is attributable to their ability
to form DNA adducts, which upon eluding repair and causing mispairing during
replication, may initiate mutagenesis. We have investigated the induction of DNA
adducts in relation to mutagenesis in bladder and various nontarget organs of
transgenic Big Blue mice treated weekly (i.p.) with a representative aromatic
amine compound, 4-aminobiphenyl (4-ABP), for six weeks, followed by a six-week
recovery period. We show an organ-specificity of 4-ABP in inducing repair
resistant DNA adducts in bladder, kidney, and liver of carcinogen-treated
animals, which accords with the bioactivation pathway of this chemical in the
respective organs. In confirmation, we show a predominant and sustained mutagenic
effect of 4-ABP in bladder, and much weaker but significant mutagenicity of 4-ABP
in the kidney and liver of carcinogen-treated mice, as reflected by the elevation
of background cII mutant frequency in the respective organs. The spectrum of
mutations produced in bladder of 4-ABP-treated mice matches the known mutagenic
properties of 4-ABP-DNA adducts, as verified by the preponderance of induced
mutations occurring at G:C base pairs (82.9%), with the vast majority being G:C
>T:A transversions (47.1%). Our data support a possible etiologic role of 4-ABP
in bladder carcinogenesis and provide a mechanistic view on how DNA adduct-driven
mutagenesis, specifically targeted to bladder urothelium, may account for organ
specific tumorigenicity of this chemical.
PMID- 22086682
TI - Sutureless compression anastomosis with a biofragmentable anastomosis ring.
AB - BACKGROUND/AIMS: Many studies have reported the safety and effectiveness of
biofragmentable anastomotic rings (BARs). However, these devices are not widely
used, especially in Japan. Therefore, we considered the clinical benefits of BARs
and the reasons for their unpopularity. METHODOLOGY: We retrospectively examined
61 patients who underwent sigmoidectomy (34 patients) or high anterior resection
(HAR) (27 patients). The patients were divided into 4 groups: sigmoidectomy and
anastomosis with a BAR (SB group), sigmoidectomy and anastomosis with an end-to
end (EEA) stapler (SE group), HAR and anastomosis with a BAR (HARB group), and
HAR and anastomosis with an EEA stapler (HARE group). RESULTS: The time required
for anastomosis was significantly lower in the HARE group than in the HARB group.
The incidence of anastomotic stricture formation was significantly lower in the
HARB group, however the duration of hospitalization after surgery was
significantly longer in the HARB group rather than in the HARE group.
CONCLUSIONS: BARs are unpopular because of the long interval between surgery and
the passage of the device in the feces, and because compared to BARs, staplers
are easy to manipulate in the narrow pelvic space.
PMID- 22086681
TI - Metformin inhibits cell proliferation, migration and invasion by attenuating CSC
function mediated by deregulating miRNAs in pancreatic cancer cells.
AB - Pancreatic cancer is the fourth leading cause of cancer-related deaths in the
United States, which is, in part, due to intrinsic (de novo) and extrinsic
(acquired) resistance to conventional therapeutics, suggesting that innovative
treatment strategies are required for overcoming therapeutic resistance to
improve overall survival of patients. Oral administration of metformin in
patients with diabetes mellitus has been reported to be associated with reduced
risk of pancreatic cancer and that metformin has been reported to kill cancer
stem cells (CSC); however, the exact molecular mechanism(s) has not been fully
elucidated. In the current study, we examined the effect of metformin on cell
proliferation, cell migration and invasion, and self-renewal capacity of CSCs and
further assessed the expression of CSC marker genes and microRNAs (miRNA) in
human pancreatic cancer cells. We found that metformin significantly decreased
cell survival, clonogenicity, wound-healing capacity, sphere-forming capacity
(pancreatospheres), and increased disintegration of pancreatospheres in both
gemcitabine-sensitive and gemcitabine-resistant pancreatic cancer cells.
Metformin also decreased the expression of CSC markers,CD44, EpCAM,EZH2, Notch-1,
Nanog and Oct4, and caused reexpression of miRNAs (let-7a,let-7b, miR-26a, miR
101, miR-200b, and miR-200c) that are typically lost in pancreatic cancer and
especially in pancreatospheres. We also found that reexpression of miR-26a by
transfection led to decreased expression of EZH2 and EpCAM in pancreatic cancer
cells. These results clearly suggest that the biologic effects of metformin are
mediated through reexpression of miRNAs and decreased expression of CSC-specific
genes, suggesting that metformin could be useful for overcoming therapeutic
resistance of pancreatic cancer cells.
PMID- 22086683
TI - Preperitoneal approach for femoral hernia repair.
AB - BACKGROUND/AIMS: Although Lichtenstein's procedure is the standard procedure in
surgical hernia treatment, and the role of laparoscopic hernia repair is
constantly increasing, preperitoneal approach for femoral hernia repair should be
equally considered. METHODOLOGY: After the horizontal incision of transversal
fascia, preperitoneal space is visualized. The hernial sac is opened and its
content is placed in the abdominal cavity, or if there is a need, resection is
performed. Once the peritoneum is sutured, the iliopubic tract and Cooper's
ligament are bridged with two or three sutures in the medial portion of the
femoral ring. RESULTS: From 1998 to 2008, 94 patients were treated for femoral
hernia using the preperitoneal approach. Out of 94 participants, 86 were female.
Intestinal obstruction was present in 48 cases. Resection of the small intestine
or omentum was performed in 40 patients. There was no perioperative mortality. We
observed early postoperative complications in 4 patients. Following the
procedure, there was no recurrence of the femoral hernia. CONCLUSIONS: We found
that preperitoneal repair is the method of choice in surgical treatment of
femoral hernia. The surgical technique is simple and feasible, while fully
acknowledging the functional anatomy of the inguinofemoral region and the
etiology of the condition.
PMID- 22086684
TI - Elevated C-reactive protein level is associated with the tumor depth of invasion
in patients with operable colorectal carcinoma.
AB - BACKGROUND/AIMS: There is increasing evidence to show that the presence of raised
concentrations of serum C-reactive protein (CRP) is associated with poor survival
in patients with colorectal carcinoma. The CRP response with respect to tumor
characteristics in colorectal carcinoma was examined to identify factors that
might reflect a raised CRP level. METHODOLOGY: One hundred and eighty-one
patients who underwent elective colorectal resection were identified for
inclusion in this study. Eighteen patients with high CRP levels were compared to
a control group of 163 patients without high CRP levels, and the correlation
between preoperative CRP level and clinicopathological features in operable
colorectal carcinoma was investigated. RESULTS: The analysis of factors with
seeming potential to be associated with CRP levels following colorectal surgery,
disclosed that only the depth of tumor invasion, serum albumin and lymphovascular
invasion were of statistical significance. Multivariate subgroup analysis of the
association between these factors and CRP showed that depth of invasion and
albumin were independently associated with CRP. CONCLUSIONS: Our results suggest
that depth of tumor invasion is associated with an increase in CRP levels. CRP is
considered an important indicator of advanced local invasion in operable
colorectal surgery, if other inflammatory conditions are excluded.
PMID- 22086685
TI - Microsatellite instability in young patients with sporadic colorectal adenomas.
AB - BACKGROUND/AIMS: This study was designed to determine the prevalence of
microsatellite instability (MSI) among colorectal adenomas detected in patients
<= 40 years of age and to compare the prevalence of MSI in young (<= 40 years)
and older (>40 years) patients with colorectal adenomas. Additionally, we
attempted to identify the underlying cause of MSI in these patients. METHODOLOGY:
We prospectively tested for the presence of MSI using five NCI markers in samples
from the two patient groups. The frequency of MSI was compared and the underlying
causes of MSI were determined by methylation specific PCR and germ-line mutation
analysis for mismatch repair genes. RESULTS: The frequency of MSI was higher in
the <= 40 group than the >40 group (31.4% and 6.4%, respectively, p=0.0004). The
MSI-high pattern was also more prevalent in the <= 40 group than the >40 group
(15.7% and 2.5%, respectively, p=0.014). The hypermethylated hMLH1 gene was
demonstrated in 7/8 (87.5%) patients with MSI-high in the <= 40 group and in 1/2
(50.0%) patients with MSI-high in the >40 group. No study subject showed a
germline mutation of hMLH1 or hMSH2. CONCLUSIONS: MSI-high was more frequent in
young (<= 40 years) patients with colorectal adenoma than in older (>40 years)
patients. Hypermethylation of the hMLH1 gene appears to be an important cause of
MSI-high in these patients.
PMID- 22086686
TI - Prognostic significance of heat shock protein 110 expression and T lymphocyte
infiltration in esophageal cancer.
AB - BACKGROUND/AIMS: Heat shock proteins (HSPs) are well known as tumor rejection
antigens, most notable of which is HSP70. HSP110 is classified as a member of the
HSP70/DnaK superfamily. The objective of this study was to clarify the
clinicopathological and prognostic significance of Heat Shock Protein 110
expression and T lymphocyte infiltration in esophageal cancer. METHODOLOGY:
Immunohistochemical staining of HSP110, CD4 and CD8 were performed on surgical
specimens obtained from 124 patients with esophageal cancer. RESULTS: The
expression of HSP110 correlated inversely with depth of invasion (p<0.0001),
lymph node metastasis (p=0.0163), pathological stage (p<0.0001), lymphatic
invasion (p=0.0104), blood vessel invasion (p=0.0027), infiltrative growth
pattern (p=0.0368) and correlated positively with CD4+ T lymphocyte infiltration
(p=0.0018). Reduction of HSP110 expression was significantly correlated with poor
prognosis (p=0.0010). CONCLUSIONS: The present findings suggest that HSP110
expression and T lymphocyte infiltration is a significant prognostic factor for
esophageal cancer.
PMID- 22086687
TI - Therapeutic strategy for esophageal cancer based on solitary lymph node
metastasis.
AB - BACKGROUND/AIMS: It is essential to perform appropriate lymph node dissection in
esophageal cancer. However, it may be beneficial if lymph node dissection could
be minimized to reduce the surgical stress. METHODOLOGY: Between April 1992 and
March 2005, 121 esophageal cancer patients (42 patients with solitary lymph node
metastasis and 79 N0 patients) were enrolled. The survival time, distribution of
solitary lymph node metastasis and the pattern of recurrence were evaluated.
RESULTS: The distribution of solitary lymph node metastasis was extensively
observed in cervical, thoracic and abdominal cavities and the site of lymph node
recurrence could even be detected in non-regional lymph nodes in N0 patients. It
was difficult to predict the site of initial lymph node metastasis in patients
with esophageal cancer. CONCLUSIONS: Systemic lymph node dissection for regional
lymph nodes is recommended for resectable esophageal cancer as the concept of
sentinel lymph nodes has not yet been proven.
PMID- 22086688
TI - Influence of neutrophil elastase inhibitor on the postoperative course in
patients with esophageal cancer after transthoracic esophagectomy.
AB - BACKGROUND/AIMS: We evaluated influence of sivelestat sodium hydrate in the
clinical course after transthoracic esophagectomy. METHODOLOGY: Forty-two
consecutive patients with esophageal cancer underwent transthoracic
esophagectomy. Twenty-two patients were treated with sivelestat (sivelestat
group) and twenty patients were untreated (control group). Sivelestat (0.2
mg/(kg.h)-1) was continuously administered for 5 days since ICU admission.
Postoperative morbidity, duration of systemic inflammatory response syndrome
(SIRS) and mechanical ventilation, and the time to refilling were examined. The
level of serum white blood cells (WBC), C-reactive protein (CRP), aspartate
transaminase (AST), alanine aminotransferase (ALT), total bilirubin (TB) and the
PaO2/FiO2 ratio were measured. RESULTS: The durations of SIRS and mechanical
ventilation and the time to refilling were significantly shorter in the
sivelestat group than in the control group. Postoperative changes in the serum
WBC, CRP, AST and ALT levels were not significantly different between the groups;
however, serum TB level was significantly lower in the sivelestat group than in
the control group. The PaO2/FiO2 ratio at postoperative day 3 was significantly
higher in the former than in the latter. CONCLUSIONS: We demonstrated that
sivelestat might contribute to the improvement of acute lung injury,
hyperbilirubinemia and postoperative circulatory failure.
PMID- 22086689
TI - Long-term survival and improved quality of life after chemoradiotherapy to treat
esophageal small cell carcinoma: a report of two cases.
AB - In case 1, endoscopy revealed a submucosal tumor with central ulceration in the
esophagus of a 54- year-old man. A biopsy specimen revealed small cell carcinoma
without metastasis and the stage of the cancer was stage I (T2N0M0). Two cycles
of concurrent cisplatin, etoposide and radiotherapy resulted in an incomplete
response/stable disease. The tumor recurred and had metastasized to the brain,
lung, liver, lymph nodes of the mediastinum, abdomen and bones after six cycles.
Two cycles of irinotecan and cisplatin then elicited a complete response in the
primary esophageal lesion. However, progressive disease was identified in the
metastatic bone tumors. Despite two further cycles of therapy, he died 447 days
after the initial course. In case 2, a biopsy specimen of a tumor with central
ulceration in the esophagus of a 77-year old man with swallowing difficulty
indicated small cell carcinoma. The stage of the cancer was diagnosed as stage II
(T3N0M0). Two cycles of irinotecan, cisplatin and concurrent radiotherapy
elicited a complete response. However, the tumor metastasized to the brain and
the liver 644 days after starting treatment. Two cycles of carboplastin plus
irinotecan elicited a partial response in the metastatic tumors, but he died 988
days after starting chemotherapy.
PMID- 22086690
TI - Prognostic factors in gastrointestinal perforation.
AB - BACKGROUND/AIMS: Postoperative complications associated with gastrointestinal
(GI) perforation may lead to a poor prognosis. The goal of the study was to
identify factors required for the establishment of appropriate perioperative
procedures in such cases. METHODOLOGY: The subjects were 51 patients with GI
perforation treated from July 2007 to June 2008 in six hospitals in the
Minamikawachi district. RESULTS: The perforation sites were the large intestine
in 22 cases, small intestine in 15, stomach in 7 and duodenum in 7. Postoperative
complications developed in 25 cases (49%), including infection in 20 and
respiratory dysfunction in 13. Hospital mortality was 25% and the major causes of
death were infection and respiratory dysfunction. The mortality was 52% and 0% in
patients with and without postoperative complications, respectively. The
mortality was 69% in the 13 patients with postoperative respiratory dysfunction
compared to 11% for patients without respiratory dysfunction. Of the 7 patients
with large intestine perforation, 4 were treated with sivelestat sodium. These 4
patients had a high mean SOFA score (11.5+/-1.3), but 2 out of 4 survived.
CONCLUSIONS: Postoperative complications occurred in approximately half of the
patients with GI perforation and were associated with a poor prognosis.
Prevention of respiratory dysfunction is particularly important for an
improvement of outcome.
PMID- 22086691
TI - Prognostic impact of lymph node metastasis along the left gastric artery in
gastric cancer.
AB - BACKGROUND/AIMS: This present study investigated a prognostic impact of the lymph
nodes along left gastric artery metastases in gastric cancer patients.
METHODOLOGY: In this study we analyzed 189 patients with N1 and N2 (Japanese
Classification for Gastric Cancer: JCGC) metastasis. The N2 patients were divided
into two subgroups; patients with N1 + metastases along left gastric artery
(No.7) (only No.7-positive N2), and the remaining N2 patients (Remaining N2). We
compared the clinicopathological factors and prognoses among these patient
groups. RESULTS: Patients in the Only No.7-positive N2 subgroup showed a better
survival curve than the Remaining N2 subgroup although the difference was not
significant. In TNM-N1 (six or less), the survival curves of Only No.7-positive
N2 were better than those of Remaining N2. However, the Only No.7-positive N2 and
Remaining N2 subgroups both showed similar survival outcomes for TNM-N2 (seven or
more). CONCLUSIONS: The prognostic impact of lymph node metastases along the left
gastric artery was found to be rather close to JCGC N1 (peri-gastric nodes) than
JCGC N2 in patients with gastric cancers, especially in cases in which the total
number of positive lymph nodes was six or less.
PMID- 22086692
TI - Acute respiratory distress syndrome after gastrointestinal surgery.
AB - BACKGROUND/AIMS: Acute respiratory distress syndrome (ARDS) occasionally occurs
after gastrointestinal surgery involving severe inflammation such as diffuse
peritonitis. Management of this condition has been difficult and effective
therapies have not yet been established. In the present study the management for
ARDS after gastrointestinal surgery was evaluated. METHODOLOGY: A total of 15
patients developed ARDS after gastrointestinal operations performed in our
institution. The mean patient age was 75.4+/-11.1 years. Onset of ARDS occurred
<=24 hours postoperatively in 12 patients and 3- 11 days postoperatively in 3
patients. Treatment for ARDS comprised continuous hemodiafiltration (CHDF), high
dose glucocorticoid therapy or administration of a neutrophil elastase inhibitor
(sivelestat). RESULTS: Four patients died 3-45 days after onset of ARDS
(mortality rate, 26.6%). CHDF was performed in 12 patients, 8 patients received
highdose glucocorticoid therapy and 11 patients received sivelestat. No
differences in severity scores and clinical data were noted between survivors and
non-survivors. PaO2/FiO2 ratio was significantly lower in non-survivors than in
survivors from 5 days after starting treatment, whereas no difference was
apparent at the onset of ARDS. CONCLUSIONS: Multimodal therapies for ARDS were
effective. Longitudinal fluctuation in PaO2/ FiO2 ratio after starting treatment
appears to offer a prognostic factor for ARDS.
PMID- 22086693
TI - Assessment of the ablated area after radiofrequency ablation by the spread of
bubbles: comparison with virtual sonography with magnetic navigation.
AB - BACKGROUND/AIMS: The purpose of this study was to investigate whether bubble
images after radiofrequency ablation (RFA) can predict the ablated area.
METHODOLOGY: The spread of bubbles 5 minutes after RFA were compared with the
unenhanced area of virtual sonography with magnetic navigation in two RFA
methods: expandable needle and cool-tip needle. RESULTS: Thirty-one
hepatocellular carcinoma nodules were treated by RFA with either an expandable
needle or cool-tip needle (n=14 and n=17, respectively) and examined. In the 14
nodules treated by expandable needle, bubble images (puncture direction; r=0.833,
p=0.0002, perpendicular direction; r=0.803, p=0.0005) were closely correlated
with the unenhanced area of virtual sonography. On the other hand, in 17 nodules
treated by cool-tip needle, there was no correlation between the bubble images
and virtual sonography (puncture direction; r=0.590, p=0.0127, perpendicular
direction; r=0.342, p=0.180). CONCLUSIONS: The observation of bubbles with the
expandable needle can accurately predict the ablated area and is helpful for
assessing local control of RFA.
PMID- 22086694
TI - Clinical significance of core gene mutations during the course of genotype C
chronic hepatitis B virus infection.
AB - BACKGROUND/AIMS: The aim of this study was to investigate the association of HBV
core gene mutations with disease severity in HBV-infected patients. METHODOLOGY:
We included 249 genotype C HBV infected patients: 39 asymptomatic carriers (AC),
68 with chronic hepatitis (CH), 75 with liver cirrhosis (LC), and 67 with HCC.
HBV DNA was extracted from patient sera and the HBV core gene was analyzed by PCR
and sequencing. RESULTS: The overall frequency of a codon substitution, which was
caused by a missense mutation in the HBV core region, was 4.5+/-9.0/patient. The
codon substitutions were predominantly clustered in the mid-core regions; 22.3%
of codon substitutions were found in codons 13, 87, 97 and 130. The rate of
substitution for codon 13 was higher in CH and LC than in AC. For codons 87 and
130, AC had a lower substitution rate compared to the other 3 groups. The
substitution rate for codon 97 was higher in CH and HCC than in AC. CONCLUSIONS:
Core gene mutations were frequently detected during the course of chronic HBV
infection, and some mutational hot spots were correlated with severe forms of
disease. Thus, these mutations might play a pathophysiological role in the
disease progression in HBV infected patients.
PMID- 22086695
TI - Pegylated interferon alfa-2B for chronic delta hepatitis: 12 versus 24 months.
AB - BACKGROUND/AIMS: The aim of this study was to evaluate the efficacy of pegylated
interferon (PEG-IFN) alfa-2b for short (one year) and long (two years) terms of
treatment for chronic hepatitis D. METHODOLOGY: Eighteen patients with chronic
hepatitis D were administered PEG-IFN alfa-2b 1.5MUg/kg twice weekly for 1 month,
after which they were randomly assigned (2:1) to receive PEG-IFN alfa-2b
1.5MUg/kg/wk for an additional 23 months (n=11; group 1) or 11 months (n=7; group
2). All patients were followed-up for 6 months after completing therapy. RESULTS:
In group 1, there was no significant difference between HDV-RNA and ALT levels at
follow-up compared with baseline (p=0.219 and p=0.624, respectively). However, in
group 2, HDVRNA levels, but not ALT levels, were significantly lower at the end
of follow-up (EOF) than at baseline (p=0.016 and p=0.237, respectively). Three
patients, all in group 2, had undetectable hepatitis B surface antigen (HBsAg) at
the end of followup (EOF). However, there was no patient who had undetectable
HBsAg in group I (p=0.043). There were statistical differences for all 18
patients in terms of baseline levels of HDV-RNA compared to end of treatment
(EOT) (p=0.021) and EOF (p=0.003). CONCLUSIONS: Extending therapy from 12 to 24
months conferred no additional advantage in terms of HDV-RNA suppression and ALT
normalisation.
PMID- 22086696
TI - HCC influence on patient survival after liver transplantation for HDV cirrhosis.
AB - BACKGROUND/AIMS: The effect of hepatocellular cancer (HCC) in patients
transplanted for hepatitis B and D virus (HB/DV) cirrhosis is not well studied.
Our aim was to study the long-term survival outcomes of patients who underwent
liver transplantation for HB/DV cirrhosis with and without HCC. METHODOLOGY: A
total of 231 primary, adult, single- organ liver transplants were performed from
1990 to 2007. HB/DV was the cause of cirrhosis in 36 patients. Nine patients died
during the first 3 postoperative months from surgical complications. The study
group comprised the remaining 27 patients. The median follow-up was 1515 days.
RESULTS: The mean patient survival was 3760 days (95% CI: 3013-4507). Six
patients were diagnosed with HCC. The mean patient survival was 3011 days (95%
CI: 2344-3679) and 4036 days (95% CI: 3002-5070) for recipients without and with
HCC, respectively. For the same groups, the incidence of microbial infections was
61.9% and 33.3%, respectively (p=0.219). HCC has not recurred in any of the six
patients. CONCLUSIONS: The mean long-term survival after liver transplantation
for HB/DV and HCC surpassed 11 years. The superior survival of HCC patients is
difficult to explain. The increased number (almost double) of microbial
infections in the non- HCC population might be held accountable.
PMID- 22086697
TI - Drainage patterns of right and accessory hepatic veins: anatomical-functional
classification derived from 3-dimensional CT reconstructions.
AB - BACKGROUND/AIMS: Inadequate knowledge of the right (RHV) and accessory (IHV)
hepatic 'venous drainage' territories can lead to severe postoperative venous
congestion after right graft live donor liver transplantation. The purpose of our
study was to define the anatomical-functional RHV and IHV drainage territories.
METHODOLOGY: One hundred and forty consecutive live liver donor candidates were
evaluated by means of 3-D CT reconstructions and 3-D virtual hepatectomies. Three
RHV/IHV drainage patterns were identified and 'risky' configurations for right
graft resections were defined. RESULTS: Livers with 'small' IHV drainage volumes
(90.1+/-63.2mL) had dominant type IRHV/ IHV or non-dominant type III-RHV/IHV
total liver (TL) complexes. All other cases had 'large' IHV volumes (294.7+/
115.5mL, p<0.001) with dominant type II-RHV/IHV TL complexes. Loss of IHV
drainage volume (such as with no IHV reconstruction) in these cases was
associated with a 'dominance transition' from right (RHV) to middle (MHV) hepatic
veins, placing the grafts at 'high risk' for venous congestion. CONCLUSIONS: Type
II-RHV/IHV complexes with large IHV drainage volumes are at 'high risk' for
venous congestion in live donor liver transplantation.
PMID- 22086698
TI - Postoperative infectious and non-infectious complications after hepatectomy for
hepatocellular carcinoma.
AB - BACKGROUND/AIMS: Hepatic resection for hepatocellular carcinoma (HCC) is
associated with a relatively high morbidity rate. This study investigated risk
factors for morbidity after resection of HCC that were related to perioperative
management and operative techniques. METHODOLOGY: Five hundred and thirty HCC
patients who underwent hepatectomy between 1992 and 2008 were divided into three
groups: 51 patients with infectious complications during their hospital stay
(infectious group), 67 patients with non-infectious complications (non-infectious
group) and 412 patients without complications who were discharged within 21 days
after hepatectomy (uncomplicated group). RESULTS: Non-infectious complications
decreased significantly over time. Although infectious complications also
decreased, the change was not significant. The overall survival rate of the
groups with complications was significantly worse than that of the uncomplicated
group (p<0.0005). Univariate and multivariate analyses showed that an operating
time >300min and bile leakage were independent risk factors for infectious
complications, while a platelet count <=13x104/mL, cirrhosis and operative blood
loss >1,000mL were risk factors for non-infectious complications. CONCLUSIONS: To
achieve zero morbidity, it is important to avoid bile leakage and minimize blood
loss during resection of HCC in patients with cirrhosis.
PMID- 22086699
TI - Effect of beta -catenin siRNA on proliferation and apoptosis of hepatoma cell
line SMMC-7721 and HepG-2.
AB - BACKGROUND/AIMS: The aim of this study was to investigate the effect of
expression of siRNA beta- catenin silent gene on cell cycle and apoptosis of
different hepatoma cells. METHODOLOGY: The effect of the beta-catenin-siRNA
recombinant plasmid on the growth of different hepatoma cells was observed by MTT
assays; and the effect of the recombinant plasmid on proliferation and apoptosis
of different hepatoma cells was detected through flow cytometry. RESULTS:
Transfection of the beta-catenin-siRNA recombinant plasmid into hepatoma cells
significantly inhibited beta-catenin expression at protein and mRNA levels
(p<0.05) as shown by western blotting and RT-PCR, respectively. The MTT assay
showed that beta-catenin-siRNA can significantly inhibit growth of hepatoma
cells. The results of flow cytometry showed that the growth of different hepatoma
cells was blocked at G0/G1 phase and compared with the control group there was a
significant difference (p<0.05). Flow cytometry also showed that apoptosis of
different hepatoma cells, compared with the control group, was significantly
different (p<0.05). CONCLUSIONS: Inhibition of beta-catenin in hepatoma cells can
inhibit growth and promote apoptosis, and there was difference in the effect on
proliferation and apoptosis between different hepatoma cells.
PMID- 22086700
TI - Delayed bile leak with avastin after liver resection for metastatic colorectal
cancer.
AB - Chemotherapy for metastatic colorectal cancer is constantly advancing. Its use in
the adjuvant and neoadjuvant setting is also increasing. However, while long-term
survival is improving, clinicians must be aware of the possible adverse events
that can occur when treating with adjuvant chemotherapy and liver resection. We
present a case of a life-threatening delayed bile leak following a liver
resection for metastatic colorectal cancer in association with adjuvant treatment
with bevacizumab. A 53-year-old man was treated with neoadjuvant bevacizumab
followed by liver resection for metastatic colorectal cancer. He made an
uneventful recovery. Forty-three days post-surgery he received bevacizumab and
developed acute life-threatening bile leaks from the cut surface of the liver. He
spent a total of 65 days in hospital, and required ERCP repeatedly and eventually
had a repeat liver resection to resolve the bile leak. This case reports a
possible association between bevacizumab and a life threatening delayed bile leak
following liver resection.
PMID- 22086701
TI - Laparoscopic cystogastrostomy via the posterior approach for pancreatic
pseudocyst drainage.
AB - BACKGROUND/AIMS: The treatment of pancreatic pseudocysts is still being debated.
Laparoscopic treatment of pancreatic pseudocysts enables definitive drainage with
faster recovery. Moreover, the best drainage technique for pseudocysts located
adjacent to the posterior gastric wall is pseudocystgastrostomy. Although,
drainage via the anterior approach has been frequently reported, reports on the
posterior approach are rare. Here, we evaluated the efficacy of the posterior
approach technique for pancreatic pseudocyst drainage. METHODOLOGY: We
retrospectively studied 28 patients who underwent cystogastrostomy for pancreatic
pseudocysts: they were divided into the conventional cystogastrostomy group (CCG
group) and the laparoscopic cystogastrostomy via the posterior approach group
(LCGP group). RESULTS: The mean operative time was significantly shorter, blood
loss was significantly reduced, and the duration of hospitalization after surgery
was significantly shorter in the LCGP group than in the CCG group. Recurrence was
observed in 1 patient (5.6%) in the CGP group; it was an asymptomatic recurrence
that did not require additional treatment. In contrast, there was no recurrence
in the LCGP group patients. CONCLUSIONS: We think that our technique of
performing laparoscopic cystogastrosotmy via the posterior approach is easy and
feasible for pancreatic pseudocyst drainage.
PMID- 22086702
TI - Molecular profile of apomucin and p53 protein as predictors of malignancy in
intraductal papillary mucinous neoplasms of the pancreas.
AB - BACKGROUND/AIMS: Invasive intraductal papillary mucinous neoplasms (IPMNs) of the
pancreas show poor prognosis similar to ductal adenocarcinomas. The aim of this
study was to evaluate the molecular indicators of invasion and risk factors of
recurrence of IPMNs. METHODOLOGY: For 46 curative resections of IPMNs, we
analyzed the expression of apomucin antigens (MUC1, MUC2 and MUC5AC), p53 and
Ki67 using resected specimens. RESULTS: All 46 IPMNs were classified into 4
groups; MUC1+/p53+, MUC1+/p53-, MUC2+ and MUC1-/MUC2-. The incidence of MUC1
expression increased according to the grade of dysplasia and all of 5 invasive
carcinomas expressed MUC1. None of the invasive carcinoma, but almost half of
IPMNs of non-invasive carcinoma and sever dysplasia expressed MUC2. Additionally,
p53 expression was limited to invasive IPMNs and a non-invasive IPMN which
recurred after the operation. The Ki67 labeling index was increased according to
the grade of dysplasia and was highest in the MUC1+/p53+ group. In the MUC2+
cases, Ki67 labeling index was significantly higher than that in the MUC1-/MUC2-
cases. MUC5AC was expressed in all IPMNs. CONCLUSIONS: The expression of MUC1,
MUC2 and p53 might be indicators of malignancy and the expressions of MUC1 and
p53 were the predictors of tumor invasion and recurrence.
PMID- 22086703
TI - Modified Cattell's reconstruction with pancreaticogastrostomy following pylorus
preserving pancreaticoduodenectomy for a patient with gastroptosis.
AB - Gastroptosis is a condition in which the stomach is enlarged and located in an
abnormally low position, which impedes normal stomach function. A patient with
gastroptosis has equivocal complaints such as nausea, stomach fullness and
abdominal pain. Pylorus-preserving pancreaticoduodenectomy (PPPD) has a better
outcome than the Whipple procedure in terms of operative mortality and morbidity,
and postoperative nutritional state. However, delayed gastric emptying (DGE) is
frequently observed after PPPD. If PPPD is performed for a patient with
gastroptosis, the risk of postsurgical DGE may increase. Therefore, we have
developed a modified Cattell's reconstruction with pancreaticogastrostomy (PG)
after PPPD to prevent DGE in a patient with gastroptosis and adenocarcinoma of
the ampulla of Vater.
PMID- 22086704
TI - Robotic central pancreatectomy with pancreaticogastrostomy (transgastric
approach) in a solid pseudopapillary tumor of the pancreas.
AB - Function preserving minimal invasive pancreatectomy is thought to be ideal
approach for benign and borderline malignant tumors of the pancreas because these
tumors can be expected for longterm survival. Pancreatic tumor in the neck of the
pancreas is a challenging issue. Pancreaticoduodenectomy and distal
pancreatectomy with/without splenectomy are the usual modes of surgery for them.
Central pancreatectomy is a rare surgical procedure, even in open surgery. With
the development of laparoscopic experiences, a few cases of laparoscopic central
pancreatectomy have begun to be reported, but they are believed to be still
demanding tremendous laparoscopic skills and experiences. However, advancements
in technology have encouraged surgeons to overcome the limitations of
conventional laparoscopic surgery. Herein, we report a case of robot-assisted
central pancreatectomy with pancreaticogastrostomy (transgastric approach). Wrist
like movements of effector instruments and stable 3-D visualization provided by
the robot surgical system are believed to enhance the precise and safe
laparoscopic performance. More experiences need to be accumulated in order to
evaluate the real value of robot pancreatic surgery.
PMID- 22086705
TI - Successful neoadjuvant treatment with radiochemotherapy and systemic chemotherapy
for the locally advanced pancreatic head cancer: report of a case.
AB - A 49-year-old man was admitted to the hospital for the upper abdominal pain and
was diagnosed as unresectable pancreatic head cancer because of the invasion
around the superior mesenteric artery. He was treated with radiochemotherapy,
followed by systemic gemcitabine alone for 3 courses. He was further treated with
systemic gemcitabine plus S-1 combination therapy for 5 courses. CT examination
after these treatments showed a dramatic reduction of the tumor at the head of
the pancreas and a pancreatoduodenectomy was performed. Pathologically, there was
no residual malignant tumor. He has had no recurrent tumor up until now. Several
studies of gemcitabine plus S-1 combination therapy show higher response rates
for unresectable tumors. The current case indicates the effectiveness of the
radiochemotherapy and gemcitabine plus S-1 combination therapy for locally
advanced pancreatic head cancer as a neoadjuvant setting. We consider that
multidisciplinary treatment including gemcitabine plus S-1 therapy may prolong
the survival time by curative operation.
PMID- 22086706
TI - Prognostic significance of CD83 positive tumor-infiltrating dendritic cells and
expression of TGF-beta 1 in human gastric cancer.
AB - BACKGROUND/AIMS: In this study we analyzed the significance of CD1a and CD83
positive tumor infiltrating dendritic cells (TIDCs) and the expression of TGF
beta1 in gastric cancer tissue, and their relationship with disease progression
and prognosis of patients. METHODOLOGY: The immunohistochemical expression of
CD1a, CD83 and TGF-beta1, was evaluated in 55 patients with gastric cancer and
followed-up for five years. RESULTS: We found tumor infiltration with CD1a and
CD83 positive DCs in all 55 cases and cytoplasmic TGF-beta1 immunoreactivity in
tumor cells in 76.4% of cases. TGF-beta1 expression correlated to low CD83
positive DCs in 100% of the samples (chi2=7.66; p=0.022). Low CD83 positive DCs
in tumor border (chi2=15.38; p<0.001) was also observed in 100% of tumors with
TGF-beta1 expression. The number of CD1a and CD83 positive TIDCs in the tumor
border was inversely correlated with positive lymph node metastases (chi2=6.64;
p=0.036 and chi2=6.44; p<0.04, respectively). Patients with a low number of tumor
infiltrating CD83 positive DCs had shorter survival rates (p=0.022) and patients
with TGF-beta1 expression had a worse prognosis after surgical therapy (p=0.017).
CONCLUSIONS: Our results suggest that tumor infiltration with DCs may be of great
importance in initiating the primary anti-tumor immune response. In patients with
resectable gastric cancer, the grade of TIDCs and TGF-beta1 expression could be a
useful predictor of prognosis.
PMID- 22086707
TI - Laparoscopic transhiatal approach for cardiac cancer with lower esophageal
invasion for patients with compromised respiratory function.
AB - BACKGROUND/AIMS: The thoracoabdominal approach with extended abdominal incision
and a left thoracotomy is the traditional approach for the treatment of cardiac
cancer with lower esophageal invasion. However, this procedure entails high
morbidity and mortality. We evaluated the effectiveness of the laparoscopic
transhiatal approach without a thoracotmy. METHODOLOGY: We retrospectively
analyzed the data obtained from 40 patients who underwent total gastrectomy with
lower esophagectomy for cardiac cancer with lower esophageal invasion. These
patients were divided into 2 groups: patients who underwent surgery via the
conventional thoracoabdominal approach with extended abdominal incision and a
left thoracotomy group (CTA group) and patients who underwent surgery via the
laparoscopic transhiatal approach without a thoracotomy group (LTH group).
RESULTS: All the LTH group patients were successfully treated without a
thoracotomy. The 1- second forced expiratory volume was significantly lower in
LTH group than in CTA group. No difference was observed between the groups with
respect to the mean length of resected esophagus. The LTH group patients did not
develop postoperative pneumonia. CONCLUSIONS: The laparoscopic transhiatal
approach is safe and feasible for the resection of gastric cardiac cancer with
lower esophageal invasion in patients with compromised respiratory function.
PMID- 22086708
TI - Patellar tilt correlates with vastus lateralis: vastus medialis activation ratio
in maltracking patellofemoral pain patients.
AB - Patellofemoral (PF) pain is a common ailment of the lower extremity. A theorized
cause for pain is patellar maltracking due to vasti muscle activation imbalance,
represented as large vastus lateralis:vastus medialis (VL:VM) activation ratios.
However, evidence relating vasti muscle activation imbalance to patellar
maltracking is limited. The purpose of this study was to investigate the
relationship between VL:VM activation ratio and patellar tracking measures,
patellar tilt and bisect offset, in PF pain subjects and pain-free controls. We
evaluated VL:VM activation ratio and VM activation delay relative to VL
activation in 39 PF pain subjects and 15 pain-free controls during walking. We
classified the PF pain subjects into normal tracking and maltracking groups based
on patellar tilt and bisect offset measured from weight-bearing magnetic
resonance imaging. Patellar tilt correlated with VL:VM activation ratio only in
PF pain subjects classified as maltrackers. This suggests that a clinical
intervention targeting vasti muscle activation imbalance may be effective only in
PF pain subjects classified as maltrackers.
PMID- 22086709
TI - Diabetes Cured by Pancreaticoduodenectomy: A Case Report of Glucagonoma
Masquerading as Carcinoma of the Head of Pancreas.
PMID- 22086710
TI - Primary Malignant Gastrointestinal Stromal Tumor (GIST) of the Gallbladder:
Report of a Case.
PMID- 22086711
TI - [Assessment of the psychic sequelae of traumatisation].
AB - This article deals with the question why experts quite frequently arrive at
totally opposite judgements in clinical psychotraumatological expert opinions.
This often leads to intense controversially conducted arguments resulting in
lengthy proceedings. The reasons for this will be presented for two cases taken
from expert opinions issued for the "Soziales Entschadigungs- und Auslanderrecht"
(Laws for Social Reparations and Foreigners). A brief synopsis on the legal
background will be given and solutions suggested.
PMID- 22086712
TI - [Psychosocial functioning of adults with late diagnosed autism spectrum disorders
-a retrospective study].
AB - BACKGROUND: The first time diagnosis of autism spectrum disorder (ASD) after
passing childhood and adolescence is still considered a rare event. However, in
recent years an increasing demand for diagnostic clarifications with suspected
ASD in adulthood challenges this view. There is insufficient knowledge about the
neuropsychological characterisation and psychosocial outcome of this adult
subgroup in the autistic spectrum. AIM: To determine the psychosocial functioning
(living status, partnerships, level of education, psychiatric history) of adult
patients with late diagnosed ASD. METHODS: In a retrospective study, a chart
review was conducted on 178 consecutively diagnosed individuals at a specialised
outpatient clinic for adults with ASD. Global ratings of psychosocial
functioning, assessment of psychiatric history and neuropsychological and
psychopathological investigations were evaluated. RESULTS: The majority of
patients (92 %) diagnosed with ASD suffered from high-functioning autism
(HFA)/Asperger syndrome (AS) according to the criteria of ICD-10 (F84.5). The
gender ratio was 2:1 favouring males. Mean age at diagnosis (34.1 +/- 9.5 years),
general intelligence (HAWIE-R, global-IQ 115 +/- 20) and self-rated autistic
symptoms (autism spectrum quotient [AQ] 39 +/- 6) were not discriminative to
gender. The psychiatric history revealed a lifetime consultation rate of 78 %,
most frequently with depression (50 %). The self-report instrument Beck
depression inventory (BDI) identified 30 % of individuals presenting with
depressive symptoms in clinical relevant intensity (BDI > 17). Achievement of an
independent living status was reported by 68 % of individuals, 58 % reported
about current or past intimate partnerships and almost two-thirds of the patients
had achieved a higher educational status. DISCUSSION: The majority of ASD
diagnosed late in lifetime turned out to be HFA/AS, presenting with high
psychosocial adjustment with regard to independent living, educational status and
partnerships. The high level of global intelligence supports the hypothesis of
cognitively compensated autistic disturbances leading to the diagnosis comparably
late in lifetime. The lifetime rate of psychiatric consultations is high,
reflecting the importance to consider a diagnosis of ASD even late in life.
PMID- 22086713
TI - [Images of the mind--what brain pictures really show].
AB - The use of imaging procedures brings with it legal consequences at different
levels and in various relationships. As far as the generation of knowledge by
means of imaging procedures is concerned, the problem of so-called incidental
findings even now already demands a legally sound management in daily routine.
Similarly, the use of imaging procedures in ongoing legal proceedings is of
current relevance in cases when expert witnesses make use of the appropriate
technologies for the formulation of their expertise. In contrast, lie detectors
are far from any practical utility for forensic purposes. The major challenge in
the next few years will be to investigate the scientific-medical knowledge gained
from brain research concerning possible legal implications and to develop on this
basis a practice-relevant and, if at all possible, proactive regulatory model.
PMID- 22086714
TI - MicroCT detection of gunshot residue in fresh and decomposed firearm wounds.
AB - Gunshot residue (GSR) evidence may be altered or obscured by after-death events
such as putrefaction, autolysis, and/or damage by animals. The present study
aimed at evaluating and comparing the amount and differential distribution of GSR
utilizing microcomputed tomography (microCT) analysis of fresh and decomposed
gunshot wounds. A total of 60 experimental shootings at three different firing
distances (5, 15, and 30 cm) were performed on human calves surgically amputated
for medical reasons. Thirty specimens (10 for each tested distance) were
immediately formalin-fixed, while the other 30 specimens were enclosed in a
cowshed for 15 days, before formalin fixation (air temperature ranging from 11
degrees C to 38 degrees C). MicroCT analysis with three-dimensional image
reconstruction detected GSR particles in all the investigated entrance wounds. In
fresh specimens, GSR was concentrated on the skin surface around the entrance
hole and in the epidermis and dermis layers around the cavity, while in
decomposed specimens, the high density particles were detected only in the dermis
layer. No GSR was detected in exit wounds of both fresh and decomposed specimens
regardless of the tested firing distance. Statistical analysis demonstrated that
also in decomposed wounds the amount of GSR roughly correlated with the distance
from which the gun was fired, exhibiting, however, a higher variability than in
fresh samples. The obtained results suggest that microCT analysis can be a valid
screening tool for differentiating decomposed entrance and exit gunshot wounds.
PMID- 22086715
TI - Learning to fit in: an exploratory study of general perceived self efficacy in
selected refugee groups.
AB - As self efficacy beliefs help determine an individual's response to challenging
situations, we explored the impact of the refugee experience on efficacy beliefs
and their contribution to resettlement. General self efficacy (GSE) was assessed
in 186 resettled Afghan and Kurdish refugees against a range of personal and
temporal variables. Although no differences in GSE in relation to temporal
factors were noted, significant relationships between self efficacy, lower
psychological distress and higher subjective well being were evident. The
findings suggest that GSE, because of its positive association with mental health
and well being, is a variable worthy of further examination in refugees. In
addition to ensuring a supportive environment for learning English, proactive
employment strategies should be encouraged. Further research examining the use of
successful refugee role models to promote self efficacy, enhance motivation for
learning and ensure newly arrived refugees view resettlement as a challenge,
rather than a threat, is recommended.
PMID- 22086717
TI - Astragaloside IV attenuates complement membranous attack complex induced podocyte
injury through the MAPK pathway.
AB - Membranous nephropathy (MN) is the most common cause of idiopathic nephrotic
syndrome in adults and the cause is known to be due to the injury of podocytes
located in the glomeruli. Astragalus membranaceus has been used for the treatment
of patients with MN in China for a long time. The beneficial effect of Astragalus
membranaceus on proteinuria of patients with MN has been well documented.
However, the mechanism of astragalus membranaceu in alleviation of MN is still
not completely understood. Therefore, in the current study, we employed a
podocyte injury model induced by complement membranous attack complex to examine
the mechanism of astragalus membraneceus in the treatment of MN. We found that
complement membranous attack complex could increase lactate dehydrogenase (LDH)
release from podocytes and astragaloside IV (AS-IV) could prevent LDH release
from podocytes in a time- and dose-dependent pattern. Moreover, AS-IV restored
podocyte morphology and cytoskeleton loss induced by complement membranous attack
complex. Furthermore, AS-IV was able to reduce phosphorylation of JNK and ERK1/2
induced by complement membranous attack complex. In conclusion, the mechanism of
Astragalus membranaceus in the treatment of MN may be related to its attenuation
of podocyte injury through regulation of cytoskeleton and mitogen activated
protein kinase.
PMID- 22086716
TI - Outcome-dependent sampling for longitudinal binary response data based on a time
varying auxiliary variable.
AB - Outcome-dependent sampling (ODS) study designs are commonly implemented with rare
diseases or when prospective studies are infeasible. In longitudinal data
settings, when a repeatedly measured binary response is rare, an ODS design can
be highly efficient for maximizing statistical information subject to resource
limitations that prohibit covariate ascertainment of all observations. This
manuscript details an ODS design where individual observations are sampled with
probabilities determined by an inexpensive, time-varying auxiliary variable that
is related but is not equal to the response. With the goal of validly estimating
marginal model parameters based on the resulting biased sample, we propose a semi
parametric, sequential offsetted logistic regressions (SOLR) approach. The SOLR
strategy first estimates the relationship between the auxiliary variable and the
response and covariate data by using an offsetted logistic regression analysis
where the offset is used to adjust for the biased design. Results from the
auxiliary variable model are then combined with the known or estimated sampling
probabilities to formulate a second offset that is used to correct for the biased
design in the ultimate target model relating the longitudinal binary response to
covariates. Because the target model offset is estimated with SOLR, we detail
asymptotic standard error estimates that account for uncertainty associated with
the auxiliary variable model. Motivated by an analysis of the BioCycle Study
(Gaskins et al., Effect of daily fiber intake on reproductive function: the
BioCycle Study. American Journal of Clinical Nutrition 2009; 90(4): 1061-1069)
that aims to describe the relationship between reproductive health (determined by
luteinizing hormone levels) and fiber consumption, we examine properties of SOLR
estimators and compare them with other common approaches.
PMID- 22086718
TI - Long-term follow-up of Barrett's epithelium: medical versus antireflux surgical
therapy.
AB - BACKGROUND: Barrett's esophagus (BE) is the most serious complication of GERD. In
BE patients, this observational study compares the effects of antireflux surgery
versus antisecretory medical therapy. METHODS: Overall, 89 BE patients (long BE =
45; short BE = 44) were considered: 45 patients underwent antireflux surgery and
44 underwent medical therapy. At both initial and follow-up endoscopy, symptoms
were assessed using a detailed questionnaire; BE phenotypic changes [intestinal
metaplasia (IM) presence/type, Cdx2 expression] were assessed by histology (H&E),
histochemistry (HID), and immunohistochemistry. Surgical failures were defined as
follows: (1) abnormal 24-h pH monitoring results after surgery, (2)
endoscopically evident recurrent esophagitis, and (3) recurrent hiatal hernia or
slipped fundoplication on endoscopy or barium swallow. RESULTS: Reversion of IM
was observed in 12/44 SSBE and 0/45 LSBE patients (p < 0.01). Reversion was more
frequently observed after effective antireflux surgery than after medical
treatment (p = 0.04). In patients with no further evidence of IM after therapy,
Cdx2 expression was also absent (p = 0.02). The extent of IM was reduced, and the
IM phenotype improved in SSBE patients after surgery. CONCLUSIONS: Patients with
short BE (but not those with long BE) may benefit from surgically reducing the
esophagus' exposure to GE reflux; among these patients, successful surgery
carries a higher IM reversion rate than medical treatment.
PMID- 22086719
TI - Influence of chemical and structural properties of functionalized polythiophene
based layers on electrochemical sensing of atrazine.
AB - Sensitive layers based on conducting homopolymer [poly(3,4
ethylenedioxythiophene), denoted PEDOT] and copolymers [molecularly imprinted and
non-imprinted poly(EDOT-co-3-thiophene acetic acid), denoted MICP and NICP,
respectively] are electrosynthesized on gold substrates and used for the
electrochemical detection of atrazine. These layers are characterized by cyclic
voltammetry, ATR-FTIR spectroscopy, optical profilemetry, and AFM microscopy in
order to study the effect of the chemical functionalities and of the structural
properties of these conducting polymers on the physical chemistry of the
interaction with atrazine targets and with the aim to improve the sensitivity of
the recognition process. In particular, due to the presence in their backbones of
preshaped functionalized cavities which keep the molecular memory of the targets,
MICP layers show remarkable sensitivity, a low detection limit (10(-9) mol L(
1)), and a large linear range of detection (10(-8) to 10(-4) mol L(-1)), as
demonstrated by square-wave voltammetry.
PMID- 22086720
TI - Sirtuin 1 (SIRT1): the misunderstood HDAC.
AB - The sirtuin family of NAD-dependent histone deacetylases (HDACs) consists of
seven mammalian proteins, SIRT1-7. Many of the sirtuin isoforms also deacetylate
nonhistone substrates, such as p53 (SIRT1) and alpha-tubulin (SIRT2). The sirtuin
literature focuses on pharmacological activators of SIRT1 (e.g., resveratrol,
SRT1720), proposed as therapeutics for diabetes, neurodegeneration, inflammation,
and others. However, many of the SIRT1 activator results may have been due to
artifacts in the assay methodology (i.e., use of fluorescently tagged
substrates). A biological role for SIRT1 in cancer has been given less scrutiny
but is no less equivocal. Although proposed initially as an oncogene, we present
herein compelling data suggesting that SIRT1 is indeed a context-specific tumor
suppressor. For oncology, SIRT1 inhibitors (dual SIRT1/2) are indicated as
potential therapeutics. A number of sirtuin inhibitors have been developed but
with mixed results in cellular systems and animal models. It is unclear whether
this has been due to poorly understood model systems, signalling redundancy,
and/or inadequately potent and selective tool compounds. This review provides an
overview of recent developments in the field of SIRT1 function. While focusing on
oncology, it aims to shed light on new concepts of expanding the selectivity
spectrum, including other sirtuins such as SIRT2.
PMID- 22086721
TI - A high-content subtractive screen for selecting small molecules affecting
internalization of GPCRs.
AB - G-protein-coupled receptors (GPCRs) are pivotal in cellular responses to the
environment and are common drug targets. Identification of selective small
molecules acting on single GPCRs is complicated by the shared machinery coupling
signal transduction to physiology. Here, we demonstrate a high-content screen
using a panel of GPCR assays to identify receptor selective molecules acting
within the kinase/phosphatase inhibitor family. A collection of 88 kinase and
phosphatase inhibitors was screened against seven agonist-induced GPCR
internalization cell models as well as transferrin uptake in human embryonic
kidney cells. Molecules acting on a single receptor were identified through
excluding pan-specific compounds affecting housekeeping endocytosis or disrupting
internalization of multiple receptors. We identified compounds acting on a sole
GPCR from activities in a broad range of chemical structures that could not be
easily sorted by conventional means. Selective analysis can therefore rapidly
select compounds selectively affecting GPCR activity with specificity to one
receptor class through high-content screening.
PMID- 22086722
TI - Screening, identification, and characterization of mechanistically diverse
inhibitors of the Mycobacterium tuberculosis enzyme, pantothenate kinase (CoaA).
AB - The authors describe the discovery of anti-mycobacterial compounds through
identifying mechanistically diverse inhibitors of the essential Mycobacterium
tuberculosis (Mtb) enzyme, pantothenate kinase (CoaA). Target-driven drug
discovery technologies often work with purified enzymes, and inhibitors thus
discovered may not optimally inhibit the form of the target enzyme predominant in
the bacterial cell or may not be available at the desired concentration.
Therefore, in addition to addressing entry or efflux issues, inhibitors with
diverse mechanisms of inhibition (MoI) could be prioritized before hit-to-lead
optimization. The authors describe a high-throughput assay based on protein
thermal melting to screen large numbers of compounds for hits with diverse MoI.
Following high-throughput screening for Mtb CoaA enzyme inhibitors, a
concentration-dependent increase in protein thermal stability was used to
identify true binders, and the degree of enhancement or reduction in thermal
stability in the presence of substrate was used to classify inhibitors as
competitive or non/uncompetitive. The thermal shift-based MoI assay could be
adapted to screen hundreds of compounds in a single experiment as compared to
traditional biochemical approaches for MoI determination. This MoI was confirmed
through mechanistic studies that estimated K(ie) and K(ies) for representative
compounds and through nuclear magnetic resonance-based ligand displacement
assays.
PMID- 22086723
TI - A cell-based high-throughput screening assay to measure cellular histone h3 lys27
trimethylation with a modified dissociation-enhanced lanthanide fluorescent
immunoassay.
AB - Histone proteins are subject to several modifications, including phosphorylation,
acetylation, methylation, sumoylation, and ubiquitination. These
posttranslational modifications play critical roles in chromatin structure and
gene transcription. Because of their involvement in the progression of a variety
of diseases, histone modifications are attracting increased attention. We report
herein a high-throughput DELFIA assay to quantify H3K27me3 in the prostate cancer
cell line, PC3. Using a high binding MaxiSorp plate, we were able to eliminate
the need for the capture antibody. We also developed an effective method, a
combination of "freeze-thaw" and 0.2 N HCl, to extract histone proteins in PC3
cells cultured in a 384-well plate. To compensate for cell viability change, we
normalized H3K27me3 signal to the total amount of H3 in each sample well. As a
result, we show that the assay has a good dynamic range with a robust assay
window. Using a methlytransferase inhibitor, DZNep, we show that the change of
H3K27me3 signal is target specific. This method simplifies the logistics in
screening and profiling and reduces the cost per well to an acceptable level for
high-throughput screening. The findings presented here should be applicable to
other assays involving binding and extraction of histone proteins.
PMID- 22086724
TI - Common seed analysis to identify off-target effects in siRNA screens.
AB - Genome-scale small interfering RNA (siRNA) screens have become an increasingly
popular approach to new target identification and pathway elucidation. However,
the large data sets generated from siRNA screens have demonstrated high false
positive rates and the requirement for extensive experimental triage to
distinguish true hits. A number of groups have independently reported the
presence of siRNAs with identical seed sequences among their top screening hits.
Based on these observations, we have developed a comprehensive technique for
detecting and visualizing seed-based off-target effects in siRNA screening data.
This is accomplished by analyzing the behavior of siRNAs that share identical
seed sequences, which we refer to as common seed analysis (CSA). By applying
these techniques to primary screening data of the Wnt pathway, we identify 158
distinct seed sequences that have a statistically significant effect on the
assay. The promiscuous seed sequences identified in this manner can then be
discounted in the analysis of follow-up experiments using single siRNAs. The
ability to detect off-target effects when sufficient numbers of siRNAs share a
common seed has significant implications for the design of siRNA screening
experiments, data analysis, hit selection, and library design.
PMID- 22086725
TI - An efficient high-throughput screening method for MYST family acetyltransferases,
a new class of epigenetic drug targets.
AB - Epigenetic aberrations are increasingly regarded as key factors in cancer
progression. Recently, deregulation of histone acetyltransferases (HATs) has been
linked to several types of cancer. Monocytic leukemia zinc finger protein (MOZ)
is a member of the MYST family of HATs, which regulate gene expression in cell
proliferation and differentiation. Deregulation of these processes through
constitutively active MOZ fusion proteins gives rise to the formation of leukemic
stem cells, rendering MOZ an excellent target for treating myeloid leukemia. The
authors implemented a hit discovery campaign to identify small-molecule
inhibitors of MOZ-HAT activity. They developed a robust, homogeneous assay
measuring the acetylation of synthetic histone peptides. In a primary screening
campaign testing 243 000 lead-like compounds, they identified inhibitors from
several chemical classes. Secondary assays were used to eliminate assay
interfering compounds and prioritize confirmed hits. This study establishes a new
high-throughput assay for HAT activity and could provide the foundation for the
development of a new class of drugs for the treatment of leukemias.
PMID- 22086726
TI - Primary and secondary drug screening assays for Friedreich ataxia.
AB - Friedreich ataxia (FRDA) is an autosomal recessive neuro- and cardiodegenerative
disorder for which there are no proven effective treatments. FRDA is caused by
decreased expression and/or function of the protein frataxin. Frataxin chaperones
iron in the mitochondrial matrix for the assembly of iron-sulfur clusters (ISCs),
which are prosthetic groups critical for the function of the Krebs cycle and the
mitochondrial electron transport chain (ETC). Decreased expression of frataxin or
the yeast frataxin orthologue, Yfh1p, is associated with decreased ISC assembly,
mitochondrial iron accumulation, and increased oxidative stress, all of which
contribute to mitochondrial dysfunction. Using yeast depleted of Yfh1p, a high
throughput screening (HTS) assay was developed in which mitochondrial function
was monitored by reduction of the tetrazolium dye WST-1 in a growth medium with a
respiration-only carbon source. Of 101 200 compounds screened, 302 were
identified that effectively rescue mitochondrial function. To confirm activities
in mammalian cells and begin understanding mechanisms of action, secondary
screening assays were developed using murine C2C12 cells and yeast mutants
lacking specific complexes of the ETC, respectively. The compounds identified in
this study have potential relevance for other neurodegenerative disorders
associated with mitochondrial dysfunction, such as Parkinson disease.
PMID- 22086727
TI - Phosphorescent mesomorphic dyads based on tetraacetylethane complexes of
iridium(III).
PMID- 22086728
TI - Promoting cancer screening within the patient centered medical home.
AB - While consensus has grown that primary care is the essential access point in a
high-performing health care system, the current model of primary care
underperforms in both chronic disease management and prevention. The Patient
Centered Medical Home model (PCMH) is at the center of efforts to reinvent
primary care practice, and is regarded as the most promising approach to
addressing the burden of chronic disease, improving health outcomes, and reducing
health spending. However, the potential for the medical home to improve the
delivery of cancer screening (and preventive services in general) has received
limited attention in both conceptualization and practice. Medical home
demonstrations to date have included few evidence-based preventive services in
their outcome measures, and few have evaluated the effect of different payment
models. Decreasing use of hospitals and emergency rooms and an emphasis on
improving chronic care represent improvements in effective delivery of
healthcare, but leave opportunities for reducing the burden of cancer untouched.
Data confirm that what does or does not happen in the primary care setting has a
substantial impact on cancer outcomes. Insofar as cancer is the leading cause of
death before age 80, the PCMH model must prioritize adherence to cancer screening
according to recommended guidelines, and systems, financial incentives, and
reimbursements must be aligned to achieve that goal. This article explores
capacities that are needed in the medical home model to facilitate the
integration of cancer screening and other preventive services. These capacities
include improved patient access and communication, health risk assessments,
periodic preventive health exams, use of registries that store cancer risk
information and screening history, ability to track and follow up on tests and
referrals, feedback on performance, and payment models that reward cancer
screening.
PMID- 22086730
TI - Boiling peanut Ara h 1 results in the formation of aggregates with reduced
allergenicity.
AB - SCOPE: Roasting rather than boiling and Maillard modifications may modulate
peanut allergenicity. We investigated how these factors affect the allergenic
properties of a major peanut allergen, Ara h 1. METHODS AND RESULTS: Ara h 1 was
purified from either raw (N-Ara h 1) or roasted (R-Ara h 1) peanuts. Boiling (100
degrees C 15 min; H-Ara h 1) resulted in a partial loss of Ara h 1 secondary
structure and formation of rod-like branched aggregates with reduced IgE-binding
capacity and impaired ability to induce mediator release. Glycated Ara h 1 (G-Ara
h 1) formed by boiling in the presence of glucose behaved similarly. However, H-
and G-Ara h1 retained the T-cell reactivity of N-Ara h 1. R-Ara h 1 was
denatured, comprised compact, globular aggregates, and showed no evidence of
glycation but retained the IgE-binding capacity of the native protein.
CONCLUSION: Ara h 1 aggregates formed by boiling were morphologically distinct
from those formed by roasting and had lower allergenic activity. Glycation had no
additional effect on Ara h 1 allergenicity compared with heating alone. Taken
together with published data on the loss of Ara h 2/6 from boiled peanuts, this
supports the hypothesis that boiling reduces the allergenicity of peanuts.
PMID- 22086731
TI - Reply to: MRI resolution enhancement: how useful are shifted images obtained by
changing the demodulation frequency?
PMID- 22086733
TI - 14.1 T whole body MRI for detection of mesoangioblast stem cells in a murine
model of Duchenne muscular dystrophy.
AB - Noninvasive imaging procedures will be important for stem cell therapy for
muscular dystrophy (MD). Mesoangioblasts regenerate muscle in animal models of
muscular dystrophy. In this study, superparamagnetic iron oxide nanoparticles
were used to visualize mesoangioblasts in vivo with MRI. Mesoangioblasts
incorporated superparamagnetic iron oxide without transfection reagents, and cell
differentiation was not negatively impacted. A custom-built radiofrequency coil
with an adjustable field of view and 14.1 T magnet were used for whole-body MRI
of mice. High-resolution images of mesoangioblasts in skeletal and cardiac muscle
of Mdx mice were obtained following local delivery. Labeled cells were verified
by Prussian blue staining and dystrophin expression, indicating that the wild
type mesoangioblasts survived and differentiated in muscle. Iron-labeled cells
were detected with MRI in vivo 6 months following intracardiac injection but were
determined to be activated macrophages. Iron-labeled cells were not detected by
MRI following systemic delivery but were present in skeletal and cardiac muscle,
visualized by Prussian blue staining. Systemically delivered mesoangioblasts were
detected in lungs by Prussian blue staining and DiI but not by MRI in our study.
MRI may be useful for short-term tracking of mesoangioblasts delivered locally
but not for long-term monitoring or detection after systemic delivery.
PMID- 22086735
TI - Can ex vivo evaluation (testing) predict the sensitivity of CLL cells to therapy
with purine analogs in conjunction with an alkylating agent? A comparison of in
vivo and ex vivo responses to treatment.
AB - Malfunctions in the regulation of apoptosis cause the accumulation of malignant,
long-lived B CD19+/CD5+ cells in chronic lymphocytic leukemia (CLL). The primary
goal in CLL therapy is to overcome resistance to apoptosis and efficiently
trigger programmed cell death in leukemic cells. This study demonstrated that the
in vivo responses of malignant cells from CLL patients after administration of
purine analogs (cladribine/fludarabine) with cyclophosphamide vary significantly.
For comparative purposes, the sensitivity of leukemic cells obtained from the
same CLL patients to conventional purine analogs and the selective CDK inhibitor
R-roscovitine (ROSC) was determined, with and without the addition of an
alkylating agent, prior to the onset of in vivo therapy. The kinetics and rate of
spontaneous and drug-induced apoptosis of CLL cells under ex vivo conditions
differed significantly between patients, mirroring the variability observed
during in vivo treatment. Interestingly, individual patients' leukemic cells were
comparably sensitive to the drugs under both conditions. Of the drugs examined,
ROSC exerted the highest therapeutic efficacy under ex vivo conditions. Our
results indicate that ex vivo testing might be useful for identifying the most
potent first-line therapeutic regimen for specific CLL patients and possibly for
the design of therapies tailored for individual CLL patients.
PMID- 22086736
TI - Prognostic significance of phosphorylated RON in esophageal squamous cell
carcinoma.
AB - Esophageal squamous cell carcinoma (ESCC) is the most common type of esophageal
cancer. RON is a transmembrane receptor overexpressed in various cancers;
however, the clinical significance of its phosphorylated form (pRON) is not fully
deciphered. This report is the first to investigate the expression and clinical
significance of pRON in human ESCC. Quantitative polymerase chain reaction
revealed an up-regulation of RON mRNA in 70% (7/10) of ESCC tissues when compared
to the adjacent nontumor tissues. An overexpression of pRON protein was found in
most of the ESCC cell lines studied (4/5) when compared to two non-neoplastic
esophageal epithelial cells using immunoblot. In 64 ESCC tissues, pRON was
localized at the cell membrane, cytoplasm and nucleus in 15 (23.4%), 63 (98.4%)
and 61 (95.3%) cases using immunohistochemistry. Patients having high expression
of cytoplasmic pRON significantly associated with shorter median survival when
compared to those with low expression (25.41 months vs. 14.43 months), suggesting
cytoplasmic pRON as a potential marker for poor prognosis in ESCC patients.
PMID- 22086737
TI - Reduced expression of activin receptor-like kinase 7 in breast cancer is
associated with tumor progression.
AB - To explore the clinical implication of activin receptor-like kinase 7 (ALK7)
expression in breast cancer, we evaluated its protein level in six kinds of human
breast tissue samples, including adjacent normal tissues, adenosis, breast
fibroadenoma, ductal carcinoma in situ (DCIS), invasive ductal carcinoma (IDC),
and lymph node metastases (LNM). Immunohistochemical analyses showed that ALK7
was more frequently and much more intensely expressed in adjacent normal tissues,
adenosis, and fibroadenoma tissues than in malignant tissues (DCIS, IDC, and
LNM). Furthermore, the ALK7 expression in primary tumors and the corresponding
LNM was evaluated in parallel samples from 60 patients with IDC. Results showed
that the ALK7 expression status in primary tumors and LNM was concordant in 53
patients (88%), suggesting that ALK7 expression was retained in LNM. Moreover,
our results suggested that ALK7 expression inversely correlated with the tumor
grade (P=0.009) and clinical stage (P=0.004) in IDC significantly. Finally, the
effect of activin-ALK7 pathway on the breast cancer cell growth was elucidated,
and results revealed that overexpression of ALK7 could restore the inhibitory
effect of activin B on the growth of ALK7-negative breast cancer cell line, ZR-75
30. These findings provide the evidence that the reduction or lack of ALK7
expression may account for the loss of its ligand sensitivity of breast cancer
cells, thereby leading to breast tumor progression.
PMID- 22086738
TI - Understanding the impact of deep brain stimulation on ambulatory activity in
advanced Parkinson's disease.
AB - Whilst deep brain stimulation of the subthalamic nucleus (DBS-STN) improves the
motor symptoms of Parkinson's disease (PD), its effect on daily activity is
unknown. We aimed to quantify changes in ambulatory activity following DBS-STN in
advanced PD using novel accelerometry based measures that describe changes to the
volume and pattern of walking. Seventeen participants with advanced PD were
measured over a 7-day period using an activPAL (TM) activity monitor. Data were
collected 6 weeks before and 6 months after surgery and included measures that
describe the volume and pattern of ambulatory activity (number of steps per day,
accumulation, diversity and variability of walking time), alongside standard
measures for disease severity, freezing of gait, gait speed, and extended
activities of daily living. Activity outcomes were compared pre- and 6 months
post-surgery using linear mixed models and correlated with standard outcomes. The
results of this study are despite significant improvements in motor symptoms
after surgery, the volume of ambulatory activity (total number of steps per day)
did not change (P = 0.468). However, significant increases in length and
variability of walking bouts emerged, suggesting improvements in diversity and
flexibility of walking patterns. Motor severity and extended activities of daily
living scores were significantly correlated with walking bout variability but not
with volume of walking. Thus, the conclusions are reduction in motor symptom
severity after DBS-STN translated into selective improvements in daily activity.
Novel measures derived from accelerometry provide a discrete measure of
performance and allow closer interpretation of the impact of DBS-STN on real
world activity.
PMID- 22086739
TI - Integrating hospital information systems in healthcare institutions: a mediation
architecture.
AB - Many studies have examined the integration of information systems into healthcare
institutions, leading to several standards in the healthcare domain (CORBAmed:
Common Object Request Broker Architecture in Medicine; HL7: Health Level Seven
International; DICOM: Digital Imaging and Communications in Medicine; and IHE:
Integrating the Healthcare Enterprise). Due to the existence of a wide diversity
of heterogeneous systems, three essential factors are necessary to fully
integrate a system: data, functions and workflow. However, most of the previous
studies have dealt with only one or two of these factors and this makes the
system integration unsatisfactory. In this paper, we propose a flexible, scalable
architecture for Hospital Information Systems (HIS). Our main purpose is to
provide a practical solution to insure HIS interoperability so that healthcare
institutions can communicate without being obliged to change their local
information systems and without altering the tasks of the healthcare
professionals. Our architecture is a mediation architecture with 3 levels: 1) a
database level, 2) a middleware level and 3) a user interface level. The
mediation is based on two central components: the Mediator and the Adapter. Using
the XML format allows us to establish a structured, secured exchange of
healthcare data. The notion of medical ontology is introduced to solve semantic
conflicts and to unify the language used for the exchange. Our mediation
architecture provides an effective, promising model that promotes the integration
of hospital information systems that are autonomous, heterogeneous, semantically
interoperable and platform-independent.
PMID- 22086740
TI - Report of a case of steroid-induced psychosis and inappropriate sexual behaviour
in an adolescent.
AB - We present the case of an acute steroid-induced psychosis in a 17-year-old boy
who presented with inappropriate sexual behaviour. Quetiapine treatment was
initiated at an initial dosage of 300 mg/day. The dosage was increased to 900
mg/day on the fourth day of the treatment. The patient's symptoms began to
improve in the second week and were resolved by the fourth week of quetiapine
treatment. Steroid use may result in a psychosis, including inappropriate sexual
behaviour. Clinicians should be very cautious while administering these drugs and
should consider and monitor side effects carefully.
PMID- 22086742
TI - Venlafaxin-associated post-ictal asystole during electroconvulsive therapy.
AB - While post-stimulus asystoles occur quite often during electroconvulsive therapy
(ECT) post-ictal or post-seizure sinus bradycardias or even asystoles are rare
events. We report the case of an 82-year-old female patient with a current major
depressive episode, who developed the rare event of a post-ictal asystole of 6 s
and 4 ventricular escape beats during ECT. In the past this patient with a
bipolar disorder and mild Alzheimer's disease had already been frequently treated
with ECT with good success and no adverse events. Relevant comedication was
venlafaxin, quetiapine, donepezil and clonidine, anesthesia was performed with
ketamine and succinylcholine. Concurrent medication was completely unchanged
compared to previous ECT sessions with the exception of venlafaxine, presumably
at high serum levels. In summary, in line with some already existing reports, we
expect the noradrenergic action of venlafaxin to have contributed substantially
to the post-ictal asystole and want to indicate that the combination of ECT and
venlafaxin might be harmful--especially in the elderly population.
PMID- 22086741
TI - Coprescription of levodopa with antipsychotics in a population of 84,596
psychiatric inpatients from 1994 to 2008.
AB - INTRODUCTION: Patients on levodopa therapy frequently require additional
antipsychotic pharmacotherapy. However, consideration must be given to
antagonistic interactions on dopamine receptors between levodopa and
antipsychotics, and efficacy and safety of such combinations. We therefore aimed
to explore the practice and rationale of coprescription between levodopa and
antipsychotics in psychiatric patients. METHODS: A descriptive retrospective
study based on cross-sectional prescription data repeatedly collected from
psychiatric inpatients through the international Drug Safety in Psychiatry (AMSP)
program between 1994 and 2008 was undertaken. RESULTS: Within a population of 84
596 psychiatric patients the prevalence of levodopa therapy was 1.0% (n=886).
Among those patients on levodopa therapy 59.6% (n=528) also received
antipsychotics. Quetiapine coprescription increased after its first marketing in
2000 to 45.9% in 2008. Coprescription of clozapine and olanzapine decreased from
up to 25 and 22%, respectively, before to less than 10% after the introduction of
quetiapine. Coprescribing of other antipsychotics remained approximately stable
with average prevalences between 6 and less than 1%. DISCUSSION: Quetiapine has
now replaced clozapine as the most frequently coprescribed neuroleptic in
psychiatric patients with levodopa therapy. This is in accordance with recent
data indicating a low potential for clinically relevant interactions with
levodopa and efficacy against psychosis in levodopa-treated patients. The
combined use of antipsychotics other than quetiapine and clozapine with levodopa
is less common and generally not supported by appropriate evidence.
PMID- 22086743
TI - Olanzapine-induced anaphylactic shock: a case report.
AB - Olanzapine is a second-generation antipsychotic, which is also used as a mood
stabilizer. We report a case of a 33-year-old psychiatric patient, with bipolar
affective disorder, who developed anaphylaxis as a late reaction to olanzapine.
This case report shows the possibility, although rare, of a severe late
anaphylactic reaction to olanzapine.
PMID- 22086744
TI - Therapeutic drug monitoring of children and adolescents treated with fluoxetine.
AB - INTRODUCTION: Information about therapeutic serum levels of fluoxetine (FLX) and
its major metabolite norfluoxetine (NORFLX) in children and adolescents is
scarce. METHODS: Therapeutic drug monitoring (TDM) of FLX was routinely performed
in 71 subjects treated for a major depressive disorder (MDD) (10-60 mg/d FLX,
median: 20 mg/d). Correlations between serum concentration and dosage, age,
gender, smoking habits and adverse events were analysed. RESULTS: Serum
concentrations of the active moiety (FLX + NORFLX) ranged from 21 to 613 ng/mL
(mean concentration of 213 +/- 118 ng/mL, median: 185 ng/mL). High inter
individual variability in serum concentrations of the active moiety of FLX at
each dosage level was observed and no relationship between serum concentration
and clinical outcome was found. Apart from smoking, none of the factors tested
had a significant eff ect on the serum concentration. DISCUSSION: It was shown
that serum concentrations of the active moiety of FLX in children and adolescents
seem to be similar to those in adults, with a high level of inter-individual
variation. The proportion of patients who showed benefits from treatment with a
dose of 20 mg/d FLX was high.
PMID- 22086745
TI - Pregabalin: dose-response relationship in generalized anxiety disorder.
AB - INTRODUCTION: Pregabalin is a structural analogue of the inhibitory
neurotransmitter GABA. Although pregabalin has demonstrated efficacy in the
treatment of generalized anxiety disorder (GAD) in a number of randomized
controlled trials, there is little published data on the optimal dose for
treating this condition. The objective of the current study was to examine the
dose-response relationship for treatment of GAD with pregabalin. METHOD: The
current study pools results from previous fixed-dose treatment trials of GAD with
pregabalin and uses curve-fitting statistical procedures to generate curvilinear
regression lines as a synthesis of previous dose-response information. RESULTS:
Peak reduction in anxiety symptoms was observed to occur at a dose of
approximately 450 mg/day, with a small reduction in treatment effect beyond this
dose. Treatment effect on psychic anxiety symptoms reached a peak at
approximately 400 mg, with a reduction in efficacy beyond this dose. Somatic
anxiety symptoms showed continued increase in therapeutic effect up to the
maximum dose of 600 mg/day. DISCUSSION: Implications for psychiatric practice,
limitations of the methodology and avenues for future research are discussed.
PMID- 22086746
TI - Does pain improve earlier than mood in depressed patients with painful physical
symptoms treated with duloxetine?
AB - INTRODUCTION: In depressed patients tricyclic antidepressants and selective
serotonin and noradrenaline reuptake inhibitors can reduce not only depressive,
but also painful physical symptoms. We investigated whether under treatment with
duloxetine pain improves earlier than mood. METHODS: Data were obtained within a
prospective 6-month multi-centre naturalistic study in adult out-patients with
depressive episodes treated with duloxetine (fl exible doses: 30-120 mg/day).
Pain and mood were assessed daily by visual analogue scales. For responders (n =
622) "time to 50 % pain response" and "time to 50 % mood response" were
determined by counting the earliest day between day 0 and 27, at which the
patient achieved 50 % improvement. RESULTS: Mean time to 50 % pain response (mean
6.3 days, SD 5.3) was significantly shorter than time to 50 % mood response (mean
7.6 days, SD 6.0, mean difference 1.3 days, SD 6.4; p < 0.0001). DISCUSSION: In
duloxetine-responders to both pain and mood, self-rated pain improved slightly
earlier than self-rated mood. The short temporal dissociation between pain and
mood improvement might be explained by an earlier conscious perception of pain
than mood changes.
PMID- 22086747
TI - Efficacy and tolerability of a once daily formulation of Ginkgo biloba extract
EGb 761(r) in Alzheimer's disease and vascular dementia: results from a
randomised controlled trial.
AB - INTRODUCTION: A 24-week randomised controlled trial was conducted to assess the
efficacy of a 240 mg once-daily preparation of Ginkgo biloba extract EGb 761(r)
in 404 outpatients >= 50 years diagnosed with mild to moderate dementia (SKT 9
23), Alzheimer's disease (AD) or vascular dementia (VaD), with neuropsychiatric
features (NPI total score >= 5). METHODS: Separate analyses were performed for
diagnostic subgroups (probable or possible AD; VaD). RESULTS: 333 patients were
diagnosed with AD and 71 with VaD. EGb 761(r) treatment was superior to placebo
with respect to the SKT total score (drug-placebo differences: 1.7 for AD,
p<0.001, and 1.4 for VaD, p<0.05) and the NPI total score (drug-placebo
differences: 3.1 for AD, p<0.001 and 3.2 for VaD, p<0.05). Significant drug
placebo differences were found for most secondary outcome variables with no major
differences between AD and VaD subgroups. Rates of adverse events in EGb 761(r)
and placebo groups were essentially similar. CONCLUSION: EGb 761(r) improved
cognitive functioning, neuropsychiatric symptoms and functional abilities in both
types of dementia.
PMID- 22086748
TI - The serotonin transporter promoter polymorphism (5-HTTLPR) affects the relation
between antidepressant serum concentrations and effectiveness in major
depression.
AB - INTRODUCTION: Both the serotonin transporter promotor polymorphism (5-HTTLPR) and
serum concentrations of SSRIs have been shown to affect response to SSRIs.
Results, however, are inconsistent. The aim of this study was to investigate
whether remission or response to SSRIs is influenced by an interaction of 5
HTTLPR and SSRI serum concentrations. METHODS: 49 patients with major depression
and SSRI treatment were genotyped for the 5-HTTLPR locus including the rs25531.
Drug serum concentrations and depression severity were measured weekly. RESULTS:
Logistic regression analysis revealed a significant association between 5-HTTLPR,
SSRI serum concentrations and response to treatment. A favourable treatment
outcome correlated with SSRI serum concentration in 5-HTTLPR-L(A) allele carriers
(r2 = 34.3 %; p = 0.001), but not in S/L(G)-allele carriers (p = 0.31).
DISCUSSION: In the group of L(A) allele carriers, those MDD patients with a high
antidepressant serum concentrations responded better to treatment than patients
with a low serum concentration. We conclude that the 5-HTTLPR might affect
reponse to SRRI subject to serum concentrations. If replicated this might be a
starting point for prospective clinical trials.
PMID- 22086749
TI - Risperidone and olanzapine versus another first generation antipsychotic in
patients with schizophrenia inadequately responsive to first generation
antipsychotics.
AB - OBJECTIVE: This study compares the efficacy of risperidone and olanzapine to that
of first-generation antipsychotics (FGAs) in patients with schizophrenia, who
failed to show a response to initial trials of FGAs. METHOD: This study was an 8
week treatment, randomized, rater-blind, active-control study with 3 treatment
arms. 48 patients, who showed inadequate response to 1 FGA, were enrolled and
randomized into risperidone, olanzapine, or FGA (haloperidol or trifluoperazine)
groups. They were blindly assessed with the Positive and Negative Syndrome Scale
(PANSS), the Clinical Global Impression Scale-Severity, and the Extrapyramidal
Symptom Rating Scale (ESRS) at baseline and biweekly. RESULTS: All 3 groups
demonstrated a significant decrease in the PANSS total, positive, and general
scores from baseline to endpoint (p-values range from 0.003 to 0.021). There were
no significant differences among the 3 groups in score changes. The olanzapine
group had significant score reductions than the risperidone and FGAs groups in
terms of the ESRS subjective total score and did not experience a significant
increase in the dose of anticholinergics. The FGA group demonstrated that
extrapyramidal syndrome (EPS) worsened under an increased dosage of anti-EPS
drugs. Olanzapine was associated with significant body weight gain (2.69 +/- 4.0
kg, p=0.026), but there were no significant group differences on weight gain.
CONCLUSIONS: Haloperidol or trifluoperazine demonstrated similar efficacy as
risperidone or olanzapine for patients with schizophrenia who had failed their
first trial with a FGA. Related double-blind, fixed dose studies with a larger
sample size are needed to confirm the results of our study.
PMID- 22086750
TI - Estimation of dynamic treatment strategies for maintenance therapy of children
with acute lymphoblastic leukaemia: an application of history-adjusted marginal
structural models.
AB - Childhood acute lymphoblastic leukaemia is treated with long-term intensive
chemotherapy. During the latter part of the treatment, the maintenance therapy,
the patients receive oral doses of two cytostatics. The doses are tailored to
blood counts measured on a weekly basis, and the treatment is therefore highly
dynamic. In 1992-1996, the Nordic Society of Paediatric Haematology and Oncology
(NOPHO) conducted a randomised study (NOPHO-ALL-92) to investigate the effect of
a new and more sophisticated dynamic treatment strategy. Unexpectedly, the new
strategy worsened the outcome for the girls, whereas there were no treatment
differences for the boys. There are as yet no general guidelines for optimising
the treatment. On basis of the data from this study, our goal is to formulate an
alternative dosing strategy. We use recently developed methods proposed by van
der Laan et al. to obtain statistical models that may be used in the guidance of
how the physicians should assign the doses to the patients to obtain the target
of the treatment. We present a possible strategy and discuss the reliability of
this strategy. The implementation is complicated, and we touch upon the
limitations of the methods in relation to the formulation of alternative dosing
strategies for the maintenance therapy.
PMID- 22086751
TI - Stearylated INF7 peptide enhances endosomal escape and gene expression of
PEGylated nanoparticles both in vitro and in vivo.
AB - We previously reported on a stearylated INF7 peptide (str-INF7), which enhances
the endosomal escape of an octaarginine (R8)-modified liposomal particle
encapsulating plasmid DNA (pDNA) in a fusion-independent manner. This study
examined whether this peptide derivative enhanced the endosomal escape and gene
expression of PEGylated liposomes encapsulating pDNA. We used a PEGylated, R8
modified multifunctional envelope-type nanodevice (R8-MEND) as a model for
PEGylated liposomes. Polyethylene glycol 2000 (PEG2000) attached to two different
anchors, distearoylphosphatidylethanolamine (DSPE-PEG) or
dimyristoylphosphatidylethanolamine (DMPE-PEG), was used to modify the R8-MEND in
the presence or absence of two different concentrations of str-INF7. Modification
of the PEGylated R8-MEND with str-INF7 resulted in luciferase gene expression
levels in HeLa cells that were 73-fold and 24-fold higher than the corresponding
value for an unmodified MEND in the case of DSPE-PEG and DMPE-PEG, respectively.
The endosomal escape of the PEGylated R8-MEND was improved by str-INF7, as
confirmed by confocal laser scanning microscopy. Furthermore, modification with
str-INF7 enhanced the hepatic gene expression of the R8-MEND modified with DSPE
PEG and DMPE-PEG by 95-fold and 1885-fold, respectively, after intravenous
injection in mice. Collectively, these data demonstrate that str-INF7 can be a
useful device for enhancing the endosomal escape even for PEGylated liposomes
encapsulating pDNA.
PMID- 22086752
TI - Providing cancer care: individual or team sport?
PMID- 22086753
TI - From the editors' desk: realizing the dream: mentorship in academic medicine.
PMID- 22086754
TI - Older homeless adults: can we do more?
PMID- 22086755
TI - Arago's best paper.
AB - The year 2011 is the bicentennial of Francois Arago's discovery of optical
rotation. The immediate usurpation of the study of optical activity by Jean
Baptiste Biot led to the first well-known judgments of the arrangements of atoms
in space. Scientists are less aware that Arago achieved something far greater
than his contributions to optics, by signing the 1848 decree that abolished
slavery throughout the French Empire. Opposing attitudes of Arago and Biot toward
abolition, foreshadowed in their early rift over optical rotation, were
surprisingly exposed in mid-century developments in chiroptics. As shown in a
recent book by Levitt, Arago sought a reinvention of the whole colonial
plantation system consistent with Republican principles, while Biot tried to
place the cane sugar industry and slave-based economy on the quantitative
foundation of saccharimetry. A reevaluation of the circumstances of abolition can
celebrate both societal evolution and optical rotation on the 200th birthday of
the latter. Episodes from Arago's life that arguably created his predisposition
toward abolition are emphasized: He was imprisoned several times as a young man
and knew the loss of liberty, his brother Jacques witnessed slavery in Brazil and
advocated abolition in travel books prepared with Francois, and finally, in
writing the biography of the Marquis de Condorcet, the spirit behind the first,
albeit impermanent French abolition of slavery in 1794, Arago found proof of
concept for his comparable challenge. Curiously, the measurement of the optical
rotation of crystals and sugar, the foci of Arago and Biot, respectively, remain
among the greatest challenges in polarimetry. Current developments are reviewed
with respect to chiroptical anisotropy and in vivo glucose detection driven by
the pandemic of diabetes, a disease diagnosed polarimetrically by Biot that
claimed the life of Arago.
PMID- 22086756
TI - One-pot synthesis of isoquinolinium salts by rhodium-catalyzed C-H bond
activation: application to the total synthesis of oxychelerythrine.
PMID- 22086757
TI - Safety and efficacy of olanzapine monotherapy in treatment-resistant bipolar
mania: a 12-week open-label study.
AB - OBJECTIVE: To examine the safety and efficacy of olanzapine monotherapy in
treatment-resistant bipolar mania. METHOD: Subjects (n = 18) who were acutely
manic, did not respond to lithium, anticonvulsants, and neuroleptics, and/or had
intolerable side effects to them in previous manic episodes were openly treated
with olanzapine monotherapy (5-40 mg/d) for 12 weeks. The primary and secondary
outcomes included the change from baseline to endpoint in Young Mania Rating
Scale (YMRS) total score, Clinical Global Impression for Bipolar Disorder
Severity Scale (CGI-S), 17-item Hamilton Depression Rating Scale (HAM-D) and
Positive and Negative Syndrome Scale (PANSS), and response and remission rate.
RESULTS: The mean change in YMRS total score from baseline to endpoint was -23.3
+/- 8.4 (p < 0.001). Fifteen (88.5%) patients achieved response (>=50% reduction
in YMRS total score) and 14 (77.8%) achieved remission (YMRS total score <=9 at
endpoint). Mean changes from baseline to endpoint in CGI-S for mania and PANSS
total score were significant, but not the changes in HAM-D total score or CGI-S
for depression. The most common adverse events were sedation, self-reported
weight gain, >=7% increase in body weight, dizziness, and akathisia. CONCLUSIONS:
These preliminary results suggest that olanzapine monotherapy is effective and
relatively safe in patients with treatment-resistant bipolar mania. Randomized,
double-blind, placebo-controlled study is warranted.
PMID- 22086758
TI - The protective role of natural phytoalexin resveratrol on inflammation, fibrosis
and regeneration in cholestatic liver injury.
AB - Liver injuries can trigger a cascade of inflammatory responses and as a result,
initiate the process of hepatic regeneration and fibrogenesis. Resveratrol (RSV)
has multiple health-promoting benefits. This study evaluated the potential
protective effects and mechanism of RSV as related to cholestatic liver injury.
RSV was given (4 mg/kg/day, i.p.) for either 3 days or 7 days after bile duct
ligation (BDL) injury. RSV significantly reduced serum ALT, AST but not T-bil on
Day 3. At this early stage of injury, RSV significantly reduced TNF-alpha and IL
6 mRNA and decreased the number of Kupffer cells (CD68(+) ) recruited in the
injured liver. RSV decreased hepatic fibrosis and reduced collagen Ialpha1 and
TIMP-1 mRNA on Day 7. At the later stages of injury, RSV increased the number of
Ki67(+) hepatocytes indicating that RSV promoted hepatocyte proliferation.
Additionally, it resulted in decreased expression of 4-hydroxynonenal and
increased expression of the hepatocyte growth factor protein and mRNA in the RSV
treated BDL group. Meanwhile, RSV reduced the mortality rate of BDL mice. In
conclusion, RSV attenuated inflammation and reduced Kupffer cells activation. RSV
decreased fibrosis and promoted hepatocyte regeneration, which increased the
survival of BDL mice. RSV was beneficial for the treatment of cholestatic liver
injury.
PMID- 22086759
TI - Morphologic and functional association of retinal layers beneath the epiretinal
membrane with spectral-domain optical coherence tomography in eyes without
photoreceptor abnormality.
AB - PURPOSE: To access the differential thickening of retinal layers in the
epiretinal membrane (ERM) and to determine their correlation with visual acuity.
METHODS: Prospective and comparative case series of 22 patients, each of whom has
one unilateral ERM eye and one normal eye. The eyes with abnormal photoreceptor
layer by OCT images were excluded. Spectral-domain optical coherence tomography
(SD-OCT) images were generated with Spectralis OCT (Heidelberg Engineering,
Heidelberg, Germany). The thicknesses were obtained by a volume scan program
using manual segmentation of the total retina, inner retina, inner nuclear layer
(INL), outer plexiform layer (OPL), and outer nuclear layer (ONL) in the fovea
(1.0 mm diameter) and the parafovea (3.0 mm diameter). The thickness and the
proportion of each retinal layer and their relationship with visual acuity were
analyzed. RESULTS: All retinal layers of ERM eyes were thicker than the patients'
normal eyes (P < 0.05). In the fovea, the levels of thickness difference of inner
retina (240.38%) and INL (266.26%) were significantly higher than total retina
(126.7%). Visual acuity was not correlated with the retinal thickness in both ERM
eyes and normal eyes (P > 0.05). However, significant correlations between visual
acuity difference with retinal thickness difference were found in the total
retina (rho = 0.450, P = 0.046) and the inner retina (rho = 0.602, P = 0.005) of
the fovea, as well as in the inner retina (rho = 0.468, P = 0.037) and the INL
(rho = 0.466, P = 0.039) of the parafovea. When we convert each retinal thickness
into a proportion, in the foveal area, the percentages of thickness of the inner
retina and the INL of ERM eye were significantly higher than that of the normal
eye (P < 0.05), whereas that of the ONL was significantly lower (P < 0.05). In
the parafoveal area, the percentage of thickness of the inner retina of the ERM
eye was significantly higher than that of the normal eye (P < 0.05), whereas that
of ONL was significantly lower (P < 0.05). CONCLUSIONS: Idiopathic ERM affects
the volume of all retinal layers. Inner retina had the most variability of
thickness and is strongly associated with visual acuity changes in the case of
intact photoreceptor layer.
PMID- 22086761
TI - A latent class method for the selection of prototypes using expert ratings.
AB - Latent class analysis can be applied to the outcomes of expert ratings to select
objects or subjects that are regarded as prototypical of a category in an ordinal
classification system. During a pilot study, Monte Carlo simulations demonstrated
that the probability of correct selection is larger when using latent class
analysis than when using methods that rely on agreement statistics. Further
improvements in the latent class results can also be achieved by applying affine
transformations to latent class estimates of sensitivity and specificity. An
application is presented that involves the selection of prototypical radiographs.
PMID- 22086760
TI - Effect of lipophilicity on the bioavailability of drugs after percutaneous
administration by dissolving microneedles.
AB - To elucidate drug lipophilicity effects on the bioavailability (BA) of drugs from
skin after administration by dissolving microneedles, nine compounds with
different lipophilicity indexes (log p value) were formulated into two-layered
dissolving microneedles and administered percutaneously to rat skin: desmopressin
(DDAVP), sumatriptan (ST), fluorescein (FL), granisetron (GRN), pindolol (PDL),
pravastatin (PRV), rhodamine 123 (Rho), rifampicin (RFP), and salmeterol (SLM).
Plasma drug concentrations were measured using liquid chromatography-tandem mass
spectrometry and spectrofluorometry. In vivo dissolution and diffusion in both
horizontal and vertical directions of FL and RH in the skin were studied using
fluorescence microscopy. Respective BAs were 95.1 +/- 7.9% (DDAVP), 84.2 +/- 2.7%
(ST), 82.3 +/- 7.2% (FL), 82.7 +/- 6.7% (GRN), 71.6 +/- 3.8% (PDL), 63.6 +/- 7.5%
(PRV), 53.7 +/- 8.3% (Rho), 46.2 +/- 6.1% (RFP), and 38.4 +/- 2.7% (SM). BA
decreased as the lipophilicity index, log p value, of the drug increased from
1.95 to 1.73. The respective remaining percentages in skin tissue were 1.4 +/-
0.7% (DDAVP), 0.9 +/- 0.1% (ST), 1.0 +/- 0.2% (FL), 3.4 +/- 1.2% (GRN), 14.5 +/-
3.7% (PDL), 23.4 +/- 5.2% (PRV), 32.2 +/- 6.0% (Rho), 40.7 +/- 4.9% (RFP), and
40.6 +/- 5.1% (SLM), dependent on log p. Fluorescence microscopy showed no FL or
Rho in skin tissue within 4 and 24 h after administration, respectively. The BA
of drugs delivered by dissolving microneedles depends on the drug solubility in
the skin epidermis and dermis.
PMID- 22086762
TI - [Modeling employee stress in psychiatric rehabilitation--effects of personal and
organizational factors].
AB - Increasing stress-associated health problems in Germany often are attributed to
problems on the job, in particular to rising work demands. The study includes
several stress predictors from other results and from literature in one
predictive model for the field of work of "psychiatric rehabilitation".A cross
sectional design was used to measure personal and organizational variables with
quantitative standard questionnaires as self-ratings from n=243 pedagogically
active employees from various professions. Overall stress and job stress were
measured with different instruments.The sample showed above-average overall
stress scores along with below-average job stress scores. The multivariate
predictive model for explaining the heightened stress shows pathogenetic and
salutogenetic main effects for organizational variables such as "gratification
crisis" and personal variables such as "occupational self-efficacy expectations"
as well as an interaction of both types of variables. There are relevant gender
specific results concerning empathy and differences between professions
concerning the extent of occupational self-efficacy.The results are a matter of
particular interest for the practice of workplace health promotion as well as for
social work schools, the main group in our sample being social workers.
PMID- 22086763
TI - Rhodiola rosea extract protects human cortical neurons against glutamate and
hydrogen peroxide-induced cell death through reduction in the accumulation of
intracellular calcium.
AB - The aim of this study was to investigate the neuroprotective effects of a
titolated extract from Rhodiola rosea L. (RrE) and of salidroside (Sa), one of
the major biologically active compounds extracted from this medicinal plant,
against oxidative stressor hydrogen peroxide (H2O2) and glutamate (GLU)-induced
cell apoptosis in a human cortical cell line (HCN 1-A) maintained in culture. The
results obtained indicate that exposure of differentiated HCN 1-A neurons to GLU
or H2O2 resulted in concentration-dependent cell death. A 24 h pre-treatment with
RrE significantly increased cell survival and significantly prevented the plasma
membrane damage and the morphological disruption caused by GLU or H2O2,
indicating that neurons treated with RrE were protected from the neurotoxicity
induced by the oxidative stressor used. In addition, RrE significantly reduced
H2O2 or GLU-induced elevation of intracellular free Ca2+ concentration. The
results obtained have also shown that Sa caused similar effects in all
experimental models used; however, the potency of the action was lower than that
of the extract containing corresponding quantities of Sa. These findings indicate
that RrE has a neuroprotective effect in cortical neurons and suggest that the
antioxidant activity of the RrE, due to the structural features of the synergic
active principles they contain, may be responsible for its ability to stabilize
cellular Ca2+ homeostasis.
PMID- 22086764
TI - Optical modulation and selective recovery of Cy5 fluorescence.
AB - Fluorescence modulation offers the opportunity to detect low-concentration
fluorophore signals within high background. Applicable from the single-molecule
to bulk levels, we demonstrate long-wavelength optical depopulation of dark
states that otherwise limit Cy5 fluorescence intensity. By modulated excitation
of a long-wavelength Cy5 transient absorption, we dynamically modulate Cy5
emission. The frequency dependence enables specification of the dark-state
timescales enabling optical-demodulation-based signal recovery from high
background. These dual-laser illumination schemes for high-sensitivity
fluorescence-signal recovery easily improve signal-to-noise ratios by well over
an order of magnitude, largely by discrimination against background. Previously
limited to very specialized dyes, our utilization of long-lived dark states in
Cy5 enables selective detection of this very common single-molecule and bulk
fluorophore. Although, in principle, the "dark state" can arise from any
photoinduced process, we demonstrate that cis-trans photoisomerization, with its
unique transient absorption and lifetime enables this sensitivity boosting, long
wavelength modulation to occur in Cy5. Such studies underscore the need for
transient absorption studies on common fluorophores to extend the impact of
fluorescence modulation for high-sensitivity fluorescence imaging in a much wider
array of applications.
PMID- 22086765
TI - Structural dynamics of free amino acids in diffraction.
PMID- 22086766
TI - Prolonged impact of chemotherapy on fatigue in breast cancer survivors: a
longitudinal comparison with radiotherapy-treated breast cancer survivors and
noncancer controls.
AB - BACKGROUND: In this study, the authors examined the influence of prior treatment
on the course of fatigue in breast cancer survivors. Patients who received
chemotherapy were expected to have greater fatigue than patients who received
radiotherapy and noncancer controls 6 months after the completion of treatment,
but they were expected to recover to levels similar to those of the other 2
groups 3 years later. METHODS: Patients with stage 0 through II breast cancer
completed the Fatigue Symptom Inventory (FSI) and the Profile of Mood States
Fatigue Scale (POMS-FAT) 6 months (T1) and 42 months (T2) after completing
chemotherapy with or without radiotherapy (the CT group; n = 103) or radiotherapy
only (the RT group; n = 102). An age-matched group of women with no history of
cancer (the NC group; n = 193) was assessed over a similar interval. RESULTS: A
significant (P = .041) group * time effect for FSI severity scores revealed that
fatigue worsened over time in the CT group but remained stable and lower in the
RT and NC groups. There also were significant group effects for FSI days (P <
.001) and POMS-FAT (P = .010) scores, indicating that fatigue was significantly
greater across time in the CT group than in the NC group (POMS-FAT) or the RT and
NC groups (FSI days). CONCLUSIONS: Contrary to expectations, fatigue did not
diminish over time in patients with breast cancer who received chemotherapy. This
finding has important implications for patient education and for fatigue
monitoring during follow-up. The authors concluded that future research should
seek to examine possible mechanisms to explain the apparent prolonged impact of
chemotherapy on fatigue in breast cancer survivors.
PMID- 22086767
TI - Predicting nucleic acid binding interfaces from structural models of proteins.
AB - The function of DNA- and RNA-binding proteins can be inferred from the
characterization and accurate prediction of their binding interfaces. However,
the main pitfall of various structure-based methods for predicting nucleic acid
binding function is that they are all limited to a relatively small number of
proteins for which high-resolution three-dimensional structures are available. In
this study, we developed a pipeline for extracting functional electrostatic
patches from surfaces of protein structural models, obtained using the I-TASSER
protein structure predictor. The largest positive patches are extracted from the
protein surface using the patchfinder algorithm. We show that functional
electrostatic patches extracted from an ensemble of structural models highly
overlap the patches extracted from high-resolution structures. Furthermore, by
testing our pipeline on a set of 55 known nucleic acid binding proteins for which
I-TASSER produces high-quality models, we show that the method accurately
identifies the nucleic acids binding interface on structural models of proteins.
Employing a combined patch approach we show that patches extracted from an
ensemble of models better predicts the real nucleic acid binding interfaces
compared with patches extracted from independent models. Overall, these results
suggest that combining information from a collection of low-resolution structural
models could be a valuable approach for functional annotation. We suggest that
our method will be further applicable for predicting other functional surfaces of
proteins with unknown structure.
PMID- 22086768
TI - Coordinate-based colocalization analysis of single-molecule localization
microscopy data.
AB - Colocalization of differently labeled biomolecules is a valuable tool in
fluorescence microscopy and can provide information on biomolecular interactions.
With the advent of super-resolution microscopy, colocalization analysis is
getting closer to molecular resolution, bridging the gap to other technologies
such as fluorescence resonance energy transfer. Among these novel microscopic
techniques, single-molecule localization-based super-resolution methods offer the
advantage of providing single-molecule coordinates that, rather than intensity
information, can be used for colocalization analysis. This requires adapting the
existing mathematical algorithms for localization microscopy data. Here, we
introduce an algorithm for coordinate-based colocalization analysis which is
suited for single-molecule super-resolution data. In addition, we present an
experimental configuration for simultaneous dual-color imaging together with a
robust approach to correct for optical aberrations with an accuracy of a few
nanometers. We demonstrate the potential of our approach for cellular structures
and for two proteins binding actin filaments.
PMID- 22086769
TI - Complications in endoscopic sinus surgery for chronic rhinosinusitis: a 25-year
experience.
AB - OBJECTIVES/HYPOTHESIS: The aim of this study was to review complications
occurring as a result of endoscopic sinus surgery by one surgeon in an academic
practice during a 25-year period. STUDY DESIGN: Retrospective clinical study.
METHODS: A register of complications was tabulated during a period of 25 years
for endoscopic sinus surgery performed for chronic rhinosinusitis in 3,402
patients (6,148 sides). All complications were reviewed as a whole and were not
divided into major or minor categories. RESULTS: A total of 105 patients were
found to have complicated endoscopic sinus surgery, for an overall patient
complication rate of 0.031, or 0.017 per operated side. The most common
complications were hemorrhage (n = 41), orbital complications (n = 29), and CSF
leak (n = 19). The following factors were noted to have increased risk for
complications: age, revision surgery, nasal polyps, anatomic variation, extensive
disease, overall health, medications, and underlying factors. Certain types of
instrumentation such as powered instrumentation placed patients at greater risk.
The use of image guidance or surgical experience did not eliminate complications
from occurring. CONCLUSIONS: Complications of endoscopic sinus surgery still
occur 25 years after the initial introduction of the surgery in 1985. Many
complications can be managed without a bad outcome. The key to prevention is
knowledge of anatomy, preparation, anticipation, and experience. Even then,
complications can occur in the most experienced hands. Patients most at risk for
complications include those with revision surgery, extensive disease, skull base
anatomic or radiologic variations or dehiscences related to disease or previous
surgery, and the use of powered instrumentation.
PMID- 22086770
TI - Two-dimensional LC-MS fractioning and cross-matching of mass spectrometric data
for rational identification of bioactive compounds in crude extracts.
AB - Bioprospecting aims at the identification of biological compounds with novel
properties. Identification of such compounds in crude complex biological extracts
is a comprehensive challenge. As a large number of extracts must be screened for
successful identification of one potential promising lead, rational screening
strategies must be developed. Here we report on a novel two stage rational LC-MS
strategy of extracts already pre-screened and proven to contain bioactive
compound(s). All extracts are initially fractionated using one and the same LC
condition with parallel mass spectrometric detection. Fractions containing
bioactive compound(s) are then subjected to a second fractional stage using two
different chromatographic conditions. Mass detection is also included at this
stage, and a cross-matching algorithm for comparison of processed mass
chromatograms from the two dimensions was developed. The algorithm reports only
masses present in bioactive fractions in both dimensions and enable therefore an
efficient identification of potential masses that causes the bioactivity. This
mass list can be used to search in natural compound database(s) for a rapid
evaluation if the mass belongs to an already identified compound or if it is a
potentially new one. This strategy enables thorough screening of several hundred
crude extracts in one week on one single instrument.
PMID- 22086771
TI - A new classification approach for comparing two active treatments when there is
no prior projection on which one is better.
AB - We developed a new classification approach in this paper to compare two active
treatments. This approach is especially useful when there is no prior judgment on
which treatment is better and the traditional hypothesis testing approach is thus
not applicable. Our method classifies all the possible outcomes into categories
and draws conclusions on the difference in the outcome measurement between two
treatment arms according to the location of the confidence interval for the
treatment difference in the response variable. This method controls the
misclassification rate regardless of the true difference in the response between
the two treatment arms. The method was applied to a diabetes clinical trial.
PMID- 22086772
TI - Evaluation of the effects of plant-derived essential oils on central nervous
system function using discrete shuttle-type conditioned avoidance response in
mice.
AB - Although plant-derived essential oils (EOs) have been used to treat various
mental disorders, their central nervous system (CNS) acting effects have not been
clarified. The present study compared the effects of 20 kinds of EOs with the
effects of already-known CNS acting drugs to examine whether the EOs exhibited
CNS stimulant-like effects, CNS depressant-like effects, or neither. All agents
were tested using a discrete shuttle-type conditioned avoidance task in mice.
Essential oils of peppermint and chamomile exhibited CNS stimulant-like effects;
that is, they increased the response rate (number of shuttlings/min) of the
avoidance response. Linden also increased the response rate, however, the effect
was not dose-dependent. In contrast, EOs of orange, grapefruit, and cypress
exhibited CNS depressant-like effects; that is, they decreased the response rate
of the avoidance response. Essential oils of eucalyptus and rose decreased the
avoidance rate (number of avoidance responses/number of avoidance trials) without
affecting the response rate, indicating that they may exhibit some CNS acting
effects. Essential oils of 12 other plants, including juniper, patchouli,
geranium, jasmine, clary sage, neroli, lavender, lemon, ylang-ylang, niaouli,
vetivert and frankincense had no effect on the avoidance response in mice.
PMID- 22086773
TI - [Closing the abdominal wall - challenges and possible solutions].
AB - The most common complications after abdominal surgery - wound infections and the
development of incisional hernia - are associated with the opening and closing of
the abdominal wall. Depending on the selection of patients, wound infection rates
of up to 19 % and hernia rates of up to 38 % are reported. Based on a summary of
the actual literature, the abdominal wall should be closed with continuous slowly
absorbable sutures with a suture length to wound length ratio of over 4 using
small stitches. While antiseptic suture material may help to reduce wound
infections after abdominal incision, preventing the development of incisional
hernia is still a unsolved problem. As there is still no standard surgical
technique for abdominal wall closure, surgeons should pay greater attention to
the standardisation and documentation of techniques and wound care.
PMID- 22086774
TI - [Stem cell-induced liver regeneration].
AB - BACKGROUND: The liver has an excellent regenerative capacity after resection.
However, below a critical level of future liver remnant volume (FLRV), partial
hepatectomy is accompanied by a significant increase of postoperative liver
failure. There is accumulating evidence for the contribution of bone marrow stem
cells (BMSC) to participate in liver regeneration. Here we report our experience
with portal vein embolisation (PVE) and CD133+ BMSC administration to the liver,
compared with PVE alone, to augment hepatic regeneration in patients with
critically low FLRV or impaired liver function. PATIENTS AND METHODS: Eleven
patients underwent PVE of liver segments I and IV-VIII to stimulate hepatic
regeneration prior to extended right hepatectomy. In these 11 patients with a
FLRV below 25% and/or limited quality of hepatic parenchyma, PVE alone did not
promise adequate proliferation. These patients underwent additional BMSC
administration to segments II and III. Two radiologists blinded to patients'
identity and each other's results measured liver and tumour volumes with helical
computed tomography. Absolute, relative and daily FLRV gains were compared with a
group of patients that underwent PVE alone. RESULTS: The increase of the mean
absolute FLRV after PVE with BMSC application from 239.3 mL+/-103.5 (standard
deviation) to 417.1 mL+/-150.4 was significantly higher than that from 286.3 mL+/
77.1 to 395.9 mL+/-94.1 after PVE alone (p<0.05). Also the relative gain of FLRV
in this group (77.3%+/-38.2%) was significantly higher than that after PVE alone
(39.1%+/-20.4%) (P=0.039). In addition, the daily hepatic growth rate after PVE
and BMSC application (9.5+/-4.3 mL/d) was significantly superior to that after
PVE alone (4.1+/-1.9 mL/d) (p=0.03). Time to surgery was 27 days+/-11 in this
group and 45 days+/-21 after PVE alone (p=0.02). Short- and long-term survival
were not negatively influenced by the shorter waiting period. CONCLUSION: In
patients with malignant liver lesions, the combination of PVE with CD133+ BMSC
administration substantially increased hepatic regeneration compared with PVE
alone. This procedure bears the potential to allow the safe resection of patients
with a curative intention that would otherwise carry the risk post-operative
liver failure.
PMID- 22086775
TI - Taming saphenous vein grafts using guide catheter extensions.
PMID- 22086776
TI - If i can't get it, i'll make it myself: adversity as the mother of innovation.
PMID- 22086777
TI - Transradial PCI in cardiogenic shock, the final frontier?
PMID- 22086778
TI - Perforation of the sinus of valsalva by guiding catheter during percutaneous
coronary intervention via right transradial approach: a very unusual
complication.
PMID- 22086779
TI - Integrity(r) coronary stent: a very limber bare-metal stent.
PMID- 22086780
TI - Rise of the machines... and their mechanics.
PMID- 22086781
TI - The laa occlusion paradigm: are we there yet?
PMID- 22086782
TI - Mechanisms of resistance to vascular endothelial growth factor blockade.
AB - Angiogenesis is essential for the growth of primary tumors and for their
metastasis. This process is induced by factors, such as vascular endothelial
growth factors (VEGFs), that bind to transmembrane VEGF receptors (VEGFRs). VEGF
A is the primary factor involved with angiogenesis; it binds to both VEGFR-1 and
VEGFR-2. The inhibition of angiogenesis by obstructing VEGF-A signaling has been
investigated as a method to treat solid tumors, but the development of resistance
to this blockade has complicated treatment. The major mechanisms of this
resistance to VEGF-A blockade include signaling by redundant receptors, such as
the fibroblast growth factors, angiopoietin-1, ephrins, and other forms of VEGF.
Other major mechanisms of resistance are increased metastasis of hypoxia
resistant tumor cells, recruitment of cell types capable of promoting VEGF
independent angiogenesis, and increased circulation of nontumor proangiogenic
factors. Additional mechanisms of resistance to VEGF-A blockade include
heterogeneity of responsiveness among tumor cells, use of anti-VEGF-A agents at
insufficient doses or for insufficient duration, altered sensitivity to anti-VEGF
A agents by mutations in endothelial cells or vascular remodeling, maintenance of
vascular sleeves that allow for easy regrowth of tumor vasculature upon
discontinuation of therapy, vascular cooption, and intussusceptive angiogenesis.
An understanding of these mechanisms may lead to the development of targeted
therapies that overcome this resistance. Some of these approaches include the
combined inhibition of redundant angiogenic pathways, proper patient selection
for various therapies based on gene expression profiles, blockade of cellular
migration by inhibition of colony-stimulating factor, or the use of agents to
disrupt vascular architecture.
PMID- 22086783
TI - Dynamic nuclear polarization enhanced solid-state NMR spectroscopy of
functionalized metal-organic frameworks.
PMID- 22086784
TI - Endoscopic anatomy of the palatovaginal canal (palatosphenoidal canal): a
landmark for dissection of the vidian nerve during endonasal transpterygoid
approaches.
AB - OBJECTIVES/HYPOTHESIS: Demonstrate the endoscopic anatomy of the palatovaginal
(PV) canal and artery for identification and dissection of the vidian nerve
during endoscopic transpterygoid approaches. Evaluate the length of the PV canal
and its relation with the vidian nerve. Show that the traditionally known PV
canal is a misnomer and should be renamed. STUDY DESIGN: Experimental study:
anatomical and radiological. METHODS: Dissection of eight cadaveric heads was
performed to demonstrate the endoscopic anatomy of the PV canal. Computed
tomography scan analysis of 20 patients was used to evaluate the length of the PV
canal, the angle formed between this canal and the vidian nerve, and the distance
between the vidian canal and the PV canal. Study of 10 dry skull bases was
performed to verify the structures involved in the formation of the PV canal.
RESULTS: Anatomic steps and foundations for dissection of the vidian nerve using
the PV canal as a landmark were described. The mean length of the PV canal was
7.15 mm. The mean proximal distance between the vidian and the PV canal was 1.95
mm, and the mean distal distance was 4.14 mm. The mean angle between those canals
was 48 degrees. The osteology study showed the vaginal process of the sphenoid
bone did not contribute to the formation of the PV canal. CONCLUSIONS: Our
anatomic investigations, radiologic studies, and surgical experience demonstrate
the important anatomic relationship of the PV canal with the vidian canal and the
relevance of the PV canal as a surgical landmark in endoscopic endonasal
transpterygoid approaches. Anatomically, PV canal is a misnomer and should be
replaced with palatosphenoidal canal.
PMID- 22086785
TI - Too little appreciation for great expenditure? Workload and resources in ICUs.
AB - PURPOSE: The aim of this study was providing descriptive information and the
comparative examination of job strain and mental health of nurses and physicians
in different intensive care units (ICU). METHODS: The Effort-Reward Imbalance
Questionnaire by Siegrist and standardized psychometric questionnaires, like
Hospital Anxiety and Depression Scale, Impact of Event Scale, Brief Symptom
Inventory, Social Support Questionnaire, and Life Satisfaction Questionnaire were
used. Five ICUs of the same German medical school were included (N = 142).
RESULTS: For all ICUs investigated, a significantly lower mental health and a
high effort-reward imbalance were found when compared with other samples. Only a
few differences between the wards or both professional groups (nurses vs.
physicians) were noted. The values for social support were comparable with those
of healthy controls but differed between the wards. The life satisfaction in our
cohort was lower compared to a population-based sample but was significant
different between the wards. CONCLUSIONS: Our study demonstrates the high job
strain in an ICU, largely independent of the professional group. Noteworthy, a
high effort-reward imbalance was found that stands in positive relation to
adverse health effects (anxiety, depression, and general mental health). As a
consequence, necessary interventions like stress management, supervision, and
communication trainings should consider ward-specific conditions of employment
rather than professional affiliation. After these interventions, a follow-up
study will be performed to examine beneficial effects on job strain and health.
PMID- 22086786
TI - Efficacy of locking plate fixation in stabilizing distal radius fractures in
patients aged 50 years or over.
PMID- 22086787
TI - Early CT for suspected occult scaphoid fractures.
AB - In this study, the use of computed tomography (CT) early in the management of
suspected occult scaphoid fractures was evaluated. We retrospectively reviewed
the notes and radiology of patients who had scaphoid CT scans over the preceding
3 years. Eighty-four patients that had CT scans within 14 days from injury were
identified. Of the CT scans, 64% (n = 54) excluded a fracture and these patients
were promptly mobilized. No patients returned with any complications from this
management. Overall, 36% of CT scans were abnormal (n = 30), 7% revealed occult
scaphoid fractures, 18% revealed occult carpal fractures of the triquetrum,
capitate, and lunate, respectively, and 5% revealed distal radius fractures. All
patients diagnosed with fractures were successfully managed with plaster
immobilization and there was one case of complex regional pain syndrome. Early CT
alters therapeutic decision making in suspected occult fractures preventing
unnecessary immobilization in a working population without increase in cost.
PMID- 22086788
TI - The effect of the involvement of the dominant or non-dominant hand on grip/pinch
strengths and the Levine score in patients with carpal tunnel syndrome.
AB - The Levine questionnaire is a disease-oriented instrument developed for outcome
measurement of carpal tunnel syndrome (CTS) management. The objective of this
study was to compare Levine scores in patients with unilateral CTS, involving the
dominant or non-dominant hand, before and after carpal tunnel release. Records of
144 patients, 126 women (87%) and 18 men (13%) aged a mean of 58 years with
unilateral CTS, treated operatively, were analysed. The dominant hand was
involved in 100 patients (69%), the non-dominant in 44 (31%). The parameters were
analysed pre-operatively, and at 1 and 6 months post-operatively. A comparison of
Levine scores in patients with the involvement of the dominant or non-dominant
hand showed no statistically significant differences at baseline and any of the
follow-up measurements. Statistically significant differences were noted in total
grip strength at baseline and at 6 month assessments and in key-pinch strength at
1 and 6 months.
PMID- 22086789
TI - High levels of hsCRP are associated with carbohydrate metabolism disorder.
AB - AIM: To determine risk parameters associated with high values of high sensitive C
reactive protein (hsCRP) in subjects with different glucose fasting levels.
METHODS: Anthropometric parameters, arterial pressure, glycemia, lipid profile,
uric acid, and hsCRP were studied in a population of 513 individuals between 40
and 65 years. RESULTS: In total, 349 (68.0%) were normoglycemic (NG); 113 (22.0%)
had impaired fasting glucose (IFG); and 51 (9.9%) were diabetic subjects. A
multivariate linear regression analysis showed that the natural logarithm of
hsCRP was associated significantly with glycemia levels (P = 0.009), uric acid (P
= 0.001), diastolic blood pressure (P = 0.011), smoking habit (P = 0.021), BMI
(P<0.001), and sex (P<0.001). One-third of the NG subjects had high hsCRP levels.
A multiple logistic regression analysis showed that sex and BMI were variables
related to high levels of hsCRP in subjects with IFG and NG. In NG subjects, uric
acid levels were associated with risk of presenting high hsCRP levels and were
higher in women than men. In NG women, ROC curves analysis identified a uric acid
level of 3.9 mg/dl as a cut-off point to predict a high value of hsCRP. Those
individuals with uric acid values higher than 3.9 mg/dl and normal glycemia had
3.5-fold more risk of having hsCRP levels over 3.0 mg/l. CONCLUSIONS: We sustain
that high levels of hsCRP are associated with disturbance in carbohydrate
metabolism. In addition, we believe that in low cardiovascular risk population,
such as NG women, uric acid levels above 3.9 mg/dl might represent a signal of
possible pro-inflammatory state and cardiovascular risk.
PMID- 22086790
TI - Latent tuberculosis infection screening for laboratory personnel using interferon
gamma release assay and tuberculin skin test in Korea: an intermediate incidence
setting.
AB - BACKGROUND: Though recent reports have indicated a higher prevalence of latent
tuberculosis infection (LTBI) in laboratory personnel than in other healthcare
workers, these studies included only a limited number of laboratory personnel.
METHODS: We have thus focused on the laboratory personnel, who had a high level
of exposure to specimens from patients with TB. We recruited 173 laboratory
personnel and performed QuantiFERON-TB Gold In-Tube test (QFT-G) and tuberculin
skin test (TST). RESULTS: QFT-G was positive in 21.4% of the enrolled laboratory
personnel, and TST was positive in 33.3%. The agreement between the two tests was
fair (kappa = 0.234). In multivariate analyses, household contactwith TBpatients
(P = 0.013), the laboratory sections of microbiology (P = 0.045) and
chemistry/immunology (P = 0.014) were shown to be significantly associated with
positive QFT-G results. CONCLUSION: Our data show a high prevalence of TST and
QFT-G positivity in laboratory personnel and emphasize the importance of LTBI
screening for laboratory personnel. In BCG-vaccinated populations with an
intermediate incidence setting, QFT-G seems to be superior to TST as a screening
tool for the detection of LTBI. Further study, including results of follow-up
tests will be helpful for confirmation of our findings.
PMID- 22086791
TI - Troubleshooting fine-tuning procedures for qPCR system design.
AB - Quantitative real-time PCR (qPCR) has been improved and optimized over the past
decade for a wide range of applications. Design of primers and probes is one of
the crucial steps to obtain high system efficiency of qPCR since design pitfalls
influence negatively amplification performances. We report the results of some
experiments. First, we demonstrate the utility of optimal primer design and
concentration in PCR by constructing suboptimal primers, for instance with
hairpin and primer-dimers secondarystructures, and quantifying the decrease in
efficiency of amplification. Second, we show the adverse effects of the target
sequence harboring stable secondary structures on the primer binding sites.
Finally, we let see that the mere use of probe-based detection is not enough to
ensure robustness of qPCR data, because the eventual detrimental products
generated by primers not well designed may influence in any case the PCR
efficiency.
PMID- 22086792
TI - Naringenin is an inhibitor of human serum paraoxonase (PON1): an in vitro study.
AB - BACKGROUND: Inhibition studies on PON1 as an organophosphate-hydrolyzing and
atheroprotective enzyme could be useful in elucidating the function of PON1. This
study is aimed at examining the in vitro effects of the flavonoid naringenin on
PON1 activity in human serum and purified enzyme. METHODS: The inhibition
kinetics of the interaction of naringenin with human PON1 in serum and purified
enzyme was determined spectrophotometrically using paraoxon and phenylacetate as
the substrates. RESULTS: Naringenin could be introduced as an effective inhibitor
on purified human PON1 activity for phenylacetate as the substrate with an IC(50)
value of 10 uM. Paraoxonase and arylesterase activities of PON1, in the serum
assay, were also inhibited by naringenin with IC(50) values of 37.9 and 34.6 uM,
respectively. PON1, according to acompetitive-type inhibition pattern, was
inhibited by naringenin with K(i) constant of 14.5 uM for serum paraoxonase
activity. The results were compared with a known inhibitor of PON1, 2
hydroxyquinoline. We believe (to our knowledge) that this is the first reported
study for kinetic parameters of PON1 inhibition by naringenin. CONCLUSIONS:
Lipophilic property appears to be an important feature of the structure in
evaluating the inhibitor potential. Comparison of our findings and other authors
showed that the induction of PON1 gene by naringenin and its inhibitory effects
on the enzyme protein are probably two different mechanisms by which the
flavonoid affects PON1. The in vitro data reported in this study could be useful
in the development of structure-activity relationship for PON1 inhibition.
PMID- 22086793
TI - Screening serum biomarkers for early primary hepatocellular carcinoma using a
phage display technique.
AB - Hepatocellular carcinoma (HCC) occurs mainly in chronically diseased livers
following hepatitis B virus (HBV) or hepatitis C virus (HCV) infection. Early
detection and diagnosis of HCC would be of great clinical benefit. In this study,
we used a random phage display peptide library and sera from early-stage primary
HCC patients (n = 30) to screen potential serum biomarkers for early primary HCC.
Age- and sex-matched patients with HBV and/or HCV infection were used as
controls. In the screening phase, 19 out of 20 randomly selected phage clones
exhibited specific reaction with purified sera IgG from early primary HCC
patients, among them 14 coming from the same phage clone with inserted
peptidesequence RGWCRPLPKGEG (named HC1). In the validation phase, phage ELISA
results showed that the positive reaction rate of the HC1 phage clone was 91.4%
with the early HCC group (n = 70), significantly higher than that with the HBV
infection group (20.0%) (n = 70), the HCV infection group (12.9%) (n = 70), the
HBV + HCV infection group (24.3%) (n = 70), the cirrhosis group (17.1%) (n = 70),
and the healthy control group (10.0%) (n = 70). In conclusion, the HC1 mimic
peptide showed high diagnostic validity for early primary HCC, and thereby could
be a candidate serum biomarker for early primary HCC.
PMID- 22086794
TI - The serum profile of adipokines in naive patients with diabetes mellitus type 2
and obesity.
AB - BACKGROUND: The aim of this study was to explore the relationship of serum
profile of adipokines with cardiovascular risk factors and anthropometric
parameters in patients with diabetes mellitus type 2. SUBJECTS: A population of
108 obese patients with DM2 was analyzed. A complete biochemical anthropometric
and nutritional evaluation was performed. RESULTS: In the analysis with leptin as
a dependent variable, the IL-6 and glucose levels remained in the model (F = 6.2;
P<0.05), with an increase of 5.8 (CI 95%:2.7-7.6) ng/ml with each 1 pg/ml of IL-6
and of 5.2 (CI95%:2.5-5.8) ng/ml with each 1 mg/dl of glucose. In a second model
with adiponectin as a dependent variable, the BMI remained in the model (F =
3.77;P<0.05), with an decrease of -3.77 (CI 95%:0.53-7.1) ng/ml with each 1 point
of BMI. In the third multivariate analysis with IL-6 as a dependent variable, the
glucose level remained in the model (F = 10.1; P<0.01), with an increase of 0.09
(CI95%:0.06-0.12) pg/ml with each 1 mg/dl of glucose. In the fourth multivariate
analysis with resistin as a dependent variable, the CRP remained in the model (F
= 2.51; P<0.05), with an increase of 0.28 (CI 95%:0.08-0.48) pg/ml with each 1
mg/dl of CRP. CONCLUSION: Serum profile of adipokines is associated with
different risk factors in diabetic obese patients.
PMID- 22086795
TI - Serum cytokine levels in patients with chronic hepatitis B according to
lamivudine therapy.
AB - BACKGROUND: Cytokines are known to play critical roles in the pathogenesis of
chronic hepatitis B (CHB). However, the relationship between cytokines and
treatment responses to drugs for CHB is not clearly defined yet. We measured the
serum cytokine levels of interleukin (IL)-1alpha, IL-1beta, IL-2, IL-4, IL-6, IL
8, IL-10, vascular endothelial growth factor, interferon-gamma, tumor necrosis
factor- (TNF-alpha), macrophage/monocyte chemotactic protein 1, and epidermal
growth factor to elucidate the cytokine expression pattern according to the
patients' responses to lamivudine. METHODS: Fifty-eight specimens from 27 CHB
patients and 98 specimens from healthy individuals were tested for 12 kinds of
cytokines. The patients were grouped as: before treatment, ongoing treatment,
duringmaintaining remission, and patients with viral breakthrough owing to
resistance against lamivudine. The Evidence Investigator (Randox, Antrim, UK), a
protein chip analyzer, was used to quantify serum cytokines. RESULTS: Among 12
cytokines, IL-6, IL-8, IL-10, and TNF-alpha were significantly elevated in
patients with resistance against lamivudine compared with patients maintaining
response. IL-8, IL-10, and TNF-alpha levels also weak to moderate correlated with
ALT and HBV-DNA concentrations. CONCLUSIONS: Serum cytokine levels would reflect
the pathological differences of the individual treatment phases and may become
useful indices in monitoring the treatment response of CHB.
PMID- 22086796
TI - Red cell distribution width (RDW) in the newborn: normative data.
AB - AIM: To evaluate the normal range of red blood cell distribution width (RDW) in
term and preterm newborns dependent on gestational age. MATERIAL AND METHODS: A
total of 1,594 preterm and term neonates were admitted to our neonatology
department. Infants were divided into two groups according to their gestational
age. Group 1 consisted of infants with <=34 weeks of gestation; group 2 consisted
of infants with >=35 weeks of gestation. Infants in Groups I and II were
subdivided according to their gestational age. Gestational age, birth weight,
sex, hemoglobin and hematocrit, MCV levels of all newborns were recorded, and RDW
was compared between the groups. RESULTS: A total of 1,594 newbornswere enrolled
in the study. Group 1 (<=34 weeks) consisted of 725 newborns and Group 2 (>=35
weeks) consisted of 869 newborns. The mean normal range of RDW in Group 1 was
17.8 +/- 2.1 and of group II was 16.7 +/- 1.6 (P<0.05). The normal range for RDW
values at 32-34 weeks was higher than at 35-36 gestational weeks, and at 37-42
weeks (P = 0.002 and 0.003). CONCLUSION: RDW values at <=34 weeks in newborns are
higher than at >=35 weeks. This may be useful in the differential diagnosis of
neonatal hematologic diseases together with other red cell parameters.
PMID- 22086797
TI - Detection of alpha-globin gene deletions using denaturing high-performance liquid
chromatography and multiplex ligation-dependent probe amplification.
AB - BACKGROUND: Multiplex ligation-dependent probe amplification (MLPA) has been used
to detect deletions and mutations of the alpha-globin gene for diagnosis of alpha
thalassemia. MLPA reaction products are usually separated and analyzed by high
voltage capillary gel electrophoresis (CGE). The goal of this study was to find
and use a cost-effective method to separate and analyze MLPA products. METHODS:
Blood samples were collected from China. DNA was extracted and amplified by PCR
using fluorescently labeled primers. In this study, denaturing high-performance
liquid chromatography (DHPLC) was used to separate and analyze the reaction
products. And the optimal separation conditions were determined using
nondenaturing columntemperature. RESULTS: The DHPLC conditions were optimized and
have been applied to separate MLPA products and 27 of the MLPA products from 50
to 320 bp were well separated. DHPLC was able to separate up to 37 reaction
products that differed by 4-12 base pairs and detected target gene deletions by
differences in peak size. Compared with CGE, both the specificity and sensitivity
of DHPLC for the 107 DNA samples were 100%. CONCLUSIONS: DHPLC could be used to
test routinely for alpha-globin gene mutations and deletions. Combined with MLPA,
DHPLC is a low-cost, simple to use, accurate technique with practical value.
PMID- 22086798
TI - Glutathione S-transferase gene polymorphisms are not major risks for
susceptibility to posttransplantation diabetes mellitus in Taiwan renal
transplant recipients.
AB - Glutathione S-transferase (GST) M1 null genotype has been reported playing a
significant role in the diabetes mellitus (DM) susceptibility in Turkish
population. We investigated whether the GSTM1, GSTA1, and GSTP1 gene
polymorphisms are associated with posttransplantation diabetes mellitus (PTDM) in
Taiwan. There were 283 renal transplant recipients (RTRs) enrolled. Polymerase
chain reaction-restriction fragment length polymorphism was used for the
measurement of GSTA1, M1, and P1 genetic polymorphisms. PTDM was diagnosed
according to the American Diabetes Association guidelines. Eight-five patients
(30%) were diagnosed with PTDM. The averaged posttransplant follow-up period was
77.9 +/- 27.2 months. Duration from transplantat to diagnosis of PTDM ranged from
0.2 to 103.1 months (19.2 +/- 26.3 months). There were significantly differences
between non-DM and PTDM groups in age (50.6 +/- 11.0 vs. 54.6 +/- 9.36 years, P =
0.005), BMI (22.4 +/- 3.6 vs. 24.3 +/- 3.8, P<0.001). The distributions of GSTA1,
GSTP1, and GSTM1 genotypes alleles were not significantly different between PTDM
and non-DM group. Patients carrying the different GSTA1, GSTP1, and GSTM1 genetic
and allelic polymorphisms had no differences for the development of PTDM. These
overall results suggested a lack of strong association with GSTA1, GSTP1, and
GSTM1 genetic polymorphisms to the susceptibility of PTDM in Taiwanese RTRs.
PMID- 22086799
TI - Size and power estimation for the Wilcoxon-Mann-Whitney test for ordered
categorical data.
AB - We derive an exact variance method for the size and power calculation for the
Wilcoxon-Mann-Whitney test for ordered categorical data. The O'Brien-Castelloe
approximation implemented in SAS version 9.2 (SAS Institute Inc., Cary, NC, USA)
is simplified. Simulation studies show that the exact variance approach tends to
be more accurate than the O'Brien-Castelloe approximation and the Zhao-Rahardja
Qu method derived under local alternatives.
PMID- 22086800
TI - Change, lack of change, and creating optimal change out of chaos.
AB - Once again, we consider how to effect practice change at the local and national
levels. This issue includes several articles that relate to quality improvement.
Some physician actions seem resistant to change, as do the underlying social
determinants and processes that lead to what are thought to be avoidable
hospitalizations, but we also find that concerted effort, along with standardized
orders sets and other avenues, can make a difference. Sometimes, however, our
attempts at change can lead to more distraction then efficacy. Here we include
articles that place the quality issues in context, report interventions, and
advance the types of specific knowledge that allow interventional trials. We also
have several articles about cancer screening and follow-up, a subset of quality
improvement.
PMID- 22086801
TI - Guest family physician commentaries.
PMID- 22086802
TI - The patient-center medical home and managed care: times have changed, some
components have not.
PMID- 22086803
TI - Family physician scope of practice: what it is and why it matters.
PMID- 22086804
TI - What services do family physicians provide in a time of primary care transition?
PMID- 22086805
TI - Rewarding family medicine while penalizing comprehensiveness? Primary care
payment incentives and health reform: the Patient Protection and Affordable Care
Act (PPACA).
AB - Family physicians' scope of work is exceptionally broad, particularly with
increasing rurality. Provisions for Medicare bonus payment specified in the
health care reform bill (the Patient Protection and Affordable Care Act) used a
narrow definition of primary care that inadvertently offers family physicians
disincentives to delivering comprehensive primary care.
PMID- 22086806
TI - Variation over time in preventable hospitalization rates across counties.
AB - BACKGROUND: The Agency for Health Care Research and Quality developed 14
prevention quality indicators (PQIs), including four PQIs related to preventable
hospitalizations for diabetes and one to asthma. Quality indicators vary across
counties, but variation over time has not been described. METHODS: The Kentucky
Cabinet for Health and Family Services published PQI data for each county in
Kentucky in each of the 3 years from 2006 to 2008. Variation and correlations
among PQI measures were calculated. RESULTS: PQI rates often varied 10-fold
between counties. Repeated measures of four PQIs were highly correlated,
suggesting local health care processes that are stable over time. Some PQIs, such
as PQI01--emergent complications of blood glucose control--correlated poorly with
other measures. Other PQIs are correlated over geography and time, including
PQI03 (long-term complications of diabetes); PQI14 (poorly controlled diabetes);
and PQI15 (asthma). CONCLUSIONS: These county PQI measures were stable over time.
Stability implies that PQI measures were not the result of random processes and
did not rapidly shift. However, some health improvement needs varied between
counties. Although tailoring health promotion interventions to each county's
needs may be complex, stable needs afford time to undertake targeted quality
improvement efforts.
PMID- 22086807
TI - Do urine cultures for urinary tract infections decrease follow-up visits?
AB - BACKGROUND: No major clinical practice guideline recommends ordering a urine
culture in the management of uncomplicated urinary tract infections (UTIs). In
this era of increasing antibiotic resistance, our objective was to determine if
ordering urine cultures for adult women with uncomplicated UTIs provides results
that lead to a decrease in follow-up visits for continued UTI symptoms. METHODS:
This was a retrospective cohort study from the outpatient family medicine clinic,
Madigan Army Medical Center, Tacoma, WA. Seven hundred seventy-nine nondiabetic,
nonpregnant, adult (aged 18-65 years) female patients (mean age +/- SD, 32.9 +/-
12.1 years) diagnosed with a UTI from November 2006 through March 2008. The main
outcome measure was follow-up outpatient visits within 2 weeks for recurrent UTI
symptoms. RESULTS: Of the 779 patients studied, 332 (43%) had no urine culture
ordered as part of their management and 447 (57%) had a urine culture ordered.
There was no significant difference in the rate of follow-up within 2 weeks for
continued UTI symptoms between the cohort without urine culture (28 of 332; 8.4%)
and the cohort with urine culture (39 of 447; 8.7%) (chi(2) = 0.021; P = .89). In
multivariate logistic regression, ordering a urine culture was not associated
with a decreased rate of follow-up visits (adjusted odds ratio, 1.11; 95% CI,
0.65-1.90). CONCLUSIONS: In adult women with uncomplicated UTI, ordering a urine
culture was not found to be associated with a decrease in follow-up clinic
visits. This finding is consistent with current guidelines that recommend against
ordering a urine culture for uncomplicated UTIs.
PMID- 22086808
TI - Effect of revised nursery orders on newborn preventive services.
AB - OBJECTIVE: Aspects of neonatal care that are the subject of evolving guidelines
include hepatitis B virus (HBV) immunization; discharge follow-up
recommendations; and prevention of perinatal group B streptococcal (GBS) disease.
In 2007, a university hospital's standardized newborn nursery orders were changed
to reflect current recommendations in these areas. The objective of the study was
to determine the effect of new nursery orders on the quality of care provided to
these newborns. METHODS: The study was a retrospective review of medical records,
birth certificates, and a computer database of 857 infants. The nursery orders
changed in the following ways: (1) physicians had to "opt out" of HBV
immunization; (2) discharge follow-up recommendations were based on American
Academy of Pediatrics (AAP) recommendations; and (3) AAP recommendations for GBS
were followed except blood cultures were not required for certain infants.
RESULTS: The percentage of infants receiving HBV immunizations increased from 74%
in 2007 to 83% in 2008 (P = .0018). The percentage of infants whose mothers
received antibiotics for GBS less than 4 hours before delivery and who received a
complete blood count increased from 36% to 83% (P < .0001). The percentage of
newborns who had discharge follow-up plans consistent with AAP recommendations
did not change significantly. CONCLUSION: A simple change in nursery orders was
associated with significant improvement in newborn care.
PMID- 22086809
TI - Physician empathy and listening: associations with patient satisfaction and
autonomy.
AB - PURPOSE: Motivational Interviewing (MI) is used to help patients change their
behaviors. We sought to determine if physician use of specific MI techniques
increases patient satisfaction with the physician and perceived autonomy.
METHODS: We audio-recorded preventive and chronic care encounters between 40
primary care physicians and 320 of their overweight or obese patients. We coded
use of MI techniques (eg, empathy, reflective listening). We assessed patient
satisfaction and how much the patient felt the physician supported him or her to
change. Generalized estimating equation models with logit links were used to
examine associations between MI techniques and patient perceived autonomy and
satisfaction. RESULTS: Patients whose physicians were rated as more empathic had
higher rates of high satisfaction than patients whose physicians were less
empathic (29% vs 11%; P = .004). Patients whose physicians made any reflective
statements had higher rates of high autonomy support than those whose physicians
did not (46% vs 30%; P = .006). CONCLUSIONS: When physicians used reflective
statements, patients were more likely to perceive high autonomy support. When
physicians were empathic, patients were more likely to report high satisfaction
with the physician. These results suggest that physician training in MI
techniques could potentially improve patient perceptions and outcomes.
PMID- 22086810
TI - Physician counseling for colorectal cancer screening: impact on patient
attitudes, beliefs, and behavior.
AB - PURPOSE: To determine how often primary care physicians address patient-level
health behavioral constructs that are associated with colorectal cancer (CRC)
screening and whether physician counseling addressing constructs is associated
with favorable changes in patients' attitudes, beliefs, intentions, and
subsequent screening. METHODS: We conducted a prospective cohort study of
patients eligible for CRC screening and attending routine appointments within two
academic primary care clinics (50 patients, 20 primary care clinicians). Patients
completed validated measures of behavioral constructs associated with CRC
screening (benefits, barriers, susceptibility, self-efficacy, intention, and
stage of readiness) before and after their visits. Audio-recorded discussions of
CRC screening were coded for conversation addressing constructs. Bivariate and
regression analyses estimated associations between discussions that did and did
not address constructs and, after the visit, measures of perceived benefits,
barriers, susceptibility, self-efficacy, intention, and completion of CRC
screening within 6 months. RESULTS: Physicians discussed CRC screening during 38
encounters (76%) and addressed behavioral constructs during 26 (52%). Relative to
visits without CRC screening discussion, visits with discussion were associated
with increased perceived susceptibility (beta = 0.39; 95% CI, 0.09-0.68) and
screening intention (beta = 0.42; 95% CI, 0.11-0.73) after the visit but no
significant change in perceived benefits, barriers, or self-efficacy. Within 6
months, 17 of 38 patients (45%) who discussed screening completed screening
compared with 0 of 12 patients who did not discuss screening (P = .001).
Associations between discussions and outcomes were similar whether or not
counseling addressed behavioral constructs. CONCLUSIONS: These findings suggest
that physician counseling is associated with increased patient perception of CRC
susceptibility, greater screening intention, and completion of screening
regardless of whether counseling addresses behavioral constructs.
PMID- 22086811
TI - Diabetes and cancer screening rates among Appalachian and non-Appalachian
residents of Kentucky.
AB - BACKGROUND: Having diabetes may increase the odds of late-stage breast cancer. In
Kentucky, the rates of late-stage disease are higher in rural than in urban
areas, particularly in rural Appalachia. The objectives of the study were to
examine the relationship between diabetes and cancer screening and to determine
whether Appalachia residence modifies this association. METHODS: One thousand
thirty Kentucky adults responded to a 2008 telephone survey that measured whether
they had diabetes; lived in Appalachia; had guideline-concordant screening for
breast (mammogram, clinical breast examination), cervical (Papanicolaou), and
colorectal (fecal occult blood test or sigmoidoscopy/colonoscopy) cancer; and
whether they reported receiving mammograms regularly every 1 to 2 years. RESULTS:
Of the subjects, 16% had diabetes, 21% were Appalachian, and 32% were men. In
multivariate analysis, women with diabetes had about half the odds of "regular"
mammography screening (odds ratio, 0.56) compared with those without diabetes.
Men and women in Appalachia had about half the odds of colonoscopy or
sigmoidoscopy within the past 10 years (odds ratio, 0.54) compared with those
living outside Appalachia. CONCLUSIONS: Both having diabetes and living in
Appalachia were negatively associated with current and regular cancer screening.
Less screening may explain late-stage diagnosis among these populations.
PMID- 22086812
TI - Determinants of mammography in women with intellectual disabilities.
AB - BACKGROUND: Women with intellectual disabilities have the same rate of breast
cancer as other women but are less likely to undergo screening mammography.
Characteristics associated with mammography for women with intellectual
disabilities in the United States are unknown. METHODS: This study was based on a
secondary data analysis of the Massachusetts Department of Developmental Services
database, comparing women who had a mammogram within 2 years with women who had
not on variables related to the ecological model. Bivariate analyses, logistic
regression, and assessment of interactions were performed. RESULTS: The study
sample's (n = 2907) mean age was 54.7 years; 58% lived in 24-hour residential
settings, 52% received nursing health coordination, and more than 25% had
clinical examination needs (eg, sedation). Residential setting, health
coordination, and recent influenza vaccination were all associated with
mammography. Having a guardian, higher level of activities of daily living needs,
and examination needs (requiring sedation or limited wait time for examinations)
were associated with lower rates. Interactions between health coordination and
examination needs confirmed the potential of the nurse to ameliorate barriers to
mammography. CONCLUSION: Several system-level variables were significantly
associated with mammography and, in some cases, seemed to ameliorate
intrapersonal/behavioral barriers to mammography. Community agencies caring for
intellectually disabled women have potential to impact mammography rates by using
health coordination.
PMID- 22086813
TI - Do primary care physicians lose contact with their colorectal cancer patients?
AB - BACKGROUND: Primary care physicians and patients perceive that they lose contact
with each other after a cancer diagnosis. The objective of this study was to
determine whether colorectal cancer (CRC) patients are less likely to see their
primary care physicians after cancer diagnosis. METHODS: This was a longitudinal
cohort study using 1993 to 2001 Surveillance Epidemiology and End Results (SEER)
Medicare claims data. Eligible patients were those with stage 0 to 1 and 2 to 3
CRC aged 67 to 89 years at diagnosis. Main measures included the proportion of
individuals with a face-to-face primary care visit and mean annual primary care
visits per patient at baseline and during 5 years after treatment. RESULTS: Fewer
than half of the cancer patients visited with a primary care physician at
baseline. In the first year after treatment, patients with stage 0 to 1 CRC
(48.9% vs 53.3%; P <= .001) and stage 2 to 3 CRC (43.6% vs 53.4%; P <= .001)
significantly increased their likelihood of visiting a primary care physician
from baseline. The proportion of patients with stage 0 to 1 CRC with a primary
care visit remained relatively stable, and the proportion of patients with stage
2 to 3 CRC decreased somewhat between the first and fifth year after treatment.
The findings for mean annual primary care visits per patient roughly paralleled
those for the proportion of individuals with a primary care visit. CONCLUSIONS:
Elderly patients with CRC, especially stage 2 to 3 CRC, increase rather than
decrease contact with primary care providers after diagnosis. More work is needed
to understand the care that different physician specialties provide cancer
patients and to support their collaboration.
PMID- 22086814
TI - Patient satisfaction with breast cancer follow-up care provided by family
physicians.
AB - PURPOSE: There is little evidence to document patient satisfaction with follow-up
care provided by family physicians (FPs)/general practitioners (GPs) to breast
cancer patients. We aimed to identify determinants of satisfaction with such care
in low-income, medically underserved women with breast cancer. METHODS: This was
a cross-sectional study of 145 women who reported receiving follow-up care from
an FP/GP. Women were enrolled in California's Breast and Cervical Cancer
Treatment Program and were interviewed by phone 3 years after their breast cancer
diagnosis. Cleary and McNeil's model, which states that patient satisfaction is a
function of patient characteristics, structure of care, and processes of care,
was used to understand the determinants of satisfaction. Stepwise logistic
regression was used to identify significant predictors. RESULTS: Of the patients
interviewed, 73.4% reported that they were extremely satisfied with their
treatment by the FP/GP. Women who were able to ask their family physicians
questions about their breast cancer had six times greater odds of being extremely
satisfied compared with women who were not able to ask any questions. Women who
scored the FP higher on the ability to explain things in a way she could
understand had higher odds of being extremely satisfied compared with women who
scored their family physicians lower. CONCLUSIONS: FPs/GPs providing follow-up
care for breast cancer patients should encourage patients to ask questions and
must communicate in a way that patients understand. These recommendations are
congruent with the characteristics of patient-centered communication for cancer
patients enunciated in a recent National Cancer Institute monograph.
PMID- 22086815
TI - Opioids for back pain patients: primary care prescribing patterns and use of
services.
AB - BACKGROUND: Opioid prescribing for noncancer pain has increased dramatically. We
examined whether the prevalence of unhealthy lifestyles, psychologic distress,
health care utilization, and co-prescribing of sedative-hypnotics increased with
increasing duration of prescription opioid use. METHODS: We analyzed electronic
data for 6 months before and after an index visit for back pain in a managed care
plan. Use of opioids was characterized as "none," "acute" (<=90 days),
"episodic," or "long term." Associations with lifestyle factors, psychologic
distress, and utilization were adjusted for demographics and comorbidity.
RESULTS: There were 26,014 eligible patients. Of these, 61% received a course of
opioids, and 19% were long-term users. Psychologic distress, unhealthy
lifestyles, and utilization were associated incrementally with duration of opioid
prescription, not just with chronic use. Among long-term opioid users, 59%
received only short-acting drugs; 39% received both long- and short-acting drugs;
and 44% received a sedative-hypnotic. Of those with any opioid use, 36% had an
emergency visit. CONCLUSIONS: Prescription of opioids was common among patients
with back pain. The prevalence of psychologic distress, unhealthy lifestyles, and
health care utilization increased incrementally with duration of use.
Coprescribing sedative-hypnotics was common. These data may help in predicting
long-term opioid use and improving the safety of opioid prescribing.
PMID- 22086816
TI - A meta-analysis of bone mineral density in collegiate female athletes.
AB - PURPOSE: In a number of small studies focused on one or two sports, exercise and
competitive level has been observed to favor attainment of higher bone mineral
density (BMD) in otherwise healthy athletes. We analyzed merged data from 10
studies to determine the effects of competitive level on upper extremity BMD in
female athletes across multiple sports. METHODS: This study is a meta-analysis of
10 articles reporting results of similar case-control and cross-sectional studies
of BMD in female athletes and nonathletes reporting an effect of athletic
participation level. Upper extremity BMD was modeled as an outcome of the level
of athleticism using a categorical weighted least squares model and controlling
for upper-body impact, age, and body mass index. RESULTS: Upper extremity BMD
significantly increased for each level of participation (beta = 0.140; 95% CI,
0.047-0.234), Age and body mass index approached significance but the level of
upper extremity impact was not significant in the final model. CONCLUSIONS:
Clinicians may see iteratively greater BMD in female patients who compete at
increasingly intense athletic levels, with elite athletes having much higher BMD
than other patients who are either active or not. Further research is needed to
identify direction and causality of the relationship between competitive level
and BMD.
PMID- 22086817
TI - Sunscreen and melanoma: is our prevention message correct?
AB - Many primary care providers advise patients to use sunscreen as a means to reduce
their risk for skin cancer, especially cutaneous malignant melanoma (CMM).
Despite the availability and promotion of sunscreen for decades, the incidence of
CMM continues to increase in the U.S. at a rate of 3% per year. There currently
is little evidence that sunscreens are protective against CMM. A number of
studies suggest that the use of sunscreen does not significantly decrease the
risk CMM, and may actually increase the risk of CMM and sunburns. This paper
discusses current information regarding the relationship between sunscreen use
and CMM, and how providers may need to alter their advice regarding sunscreen use
for CMM prevention.
PMID- 22086818
TI - Increasing the supply of women physicians in rural areas: outcomes of a medical
school rural program.
AB - BACKGROUND: Women physicians are less likely then men to practice in rural areas.
With women representing an increasing proportion of physicians, there is concern
that this could exacerbate the rural physician shortage. The Physician Shortage
Area Program (PSAP) of Jefferson Medical College (JMC) is one of a small number
of medical school rural programs shown to be successful in addressing the rural
physician shortage; however, little is known about their specific impact on
women. METHODS: For 2394 physicians from the 1992 to 2002 JMC graduating classes,
the 2007 practice location and specialty for PSAP and non-PSAP graduates were
obtained from the Jefferson Longitudinal Study. The relative likelihood of PSAP
versus non-PSAP graduates practicing in rural areas was determined for women and
men and compared. RESULTS: Women PSAP graduates were more than twice as likely as
non-PSAP women to practice in rural areas (31.7% vs 12.3%; relative risk, 2.6;
95% CI, 1.6-4.2). This was similar to the PSAP outcomes for men (51.8% vs 17.7%;
relative risk, 2.9, 95% CI, 2.2-3.9; relative risk ratio, 0.9, 95% CI, 0.5-1.5).
PSAP outcomes were also similar for women and men practicing rural family
medicine and rural primary care. CONCLUSION: These results provide support that
medical school rural programs have the potential to help address the serious
shortage of women physicians in rural areas, thereby increasing access to care
for those living there.
PMID- 22086820
TI - "PDSA-ADHD": a newly reported syndrome.
AB - We present a satirical case report of a new syndrome, called "plan do study act
attention deficit hyperactivity disorder," or PDSA-ADHD. This syndrome is
associated with the implementation of multiple simultaneous plan-do-study-act
cycles as a quality improvement approach in a health care setting. This case
represents a clinical warning sign of quality improvement impairment and suggests
a new variant of organizational attention deficit disorder.
PMID- 22086819
TI - Information chaos in primary care: implications for physician performance and
patient safety.
AB - PURPOSE: The purpose of this article is to explore the concept of information
chaos as it applies to the issues of patient safety and physician workload in
primary care and to propose a research agenda. METHODS: We use a human factors
engineering perspective to discuss the concept of information chaos in primary
care and explore implications for its impact on physician performance and patient
safety. RESULTS: Information chaos is comprised of various combinations of
information overload, information underload, information scatter, information
conflict, and erroneous information. We provide a framework for understanding
information chaos, its impact on physician mental workload and situation
awareness, and its consequences, and we discuss possible solutions and suggest a
research agenda that may lead to methods to reduce the problem. CONCLUSIONS:
Information chaos is experienced routinely by primary care physicians. This is
not just inconvenient, annoying, and frustrating; it has implications for
physician performance and patient safety. Additional research is needed to define
methods to measure and eventually reduce information chaos.
PMID- 22086821
TI - Neonatal herpes infection: case report and discussion.
AB - Neonatal herpes simplex virus (HSV) infections are often life-threatening.
Although sometimes difficult to diagnose, most infections can be treatable when
found early. Infection with HSV should be kept high on the differential diagnosis
of a febrile newborn younger than 1 month old, and treatment should be strongly
considered for infants with certain risk factors, even before definitive culture
or polymerase chain reaction results are available. The case presented here
exemplifies the benefits of maintaining a high suspicion of and empirically
treating for HSV in a 10-day-old febrile infant.
PMID- 22086822
TI - Large osteolytic skull tumor presenting as a small subcutaneous scalp lesion.
AB - Subcutaneous nodules of the scalp are common and usually benign. We describe a
case that presented as a probable inclusion cyst or lipoma of the scalp that was
discovered to be a benign osteolytic fibrohistiocytic lesion of the
frontoparietal skull. This ultimately was successfully treated by neurosurgery
with a craniectomy, tumor excision, and reconstructive cranioplasty.
PMID- 22086823
TI - Fitwits MDTM: an office-based tool and games for conversations about obesity with
9- to 12-year-old children.
AB - BACKGROUND: Physician feelings of ineffectiveness and family-related barriers
hamper childhood obesity discussions. Physicians desire appealing, time-efficient
tools to frame and sensitively address obesity, body mass index, physical
activity, nutrition, and portion size. Our university design-led coalition
codeveloped tools and games for this purpose. METHODS: In this feasibility study,
we evaluated physician-level counseling of 9- to 12-year-old children and their
parents/caretakers using Fitwits MD (Carnegie Mellon University School of Design,
Pittsburgh, PA), a brief, structured intervention with flashcards and take-home
games. Residency-based physicians in three low- to mid-level socioeconomic urban
offices provided self-report data over 8 months through surveys, comment cards,
and interviews. RESULTS: We recruited 33 physicians and 93 preadolescents and
families. Child-centered key messages resulted in 7-minute conversations, on
average. For those physicians who used Fitwits MD, 96% felt improved comfort and
competence and 78% noted barrier reduction. CONCLUSIONS: Fitwits MD improved
residency-based physician self-efficacy and emphasized important health education
topics regarding office-based childhood obesity discussions with preadolescents
and parents/caretakers.
PMID- 22086824
TI - Cell-line specific protection by berry polyphenols against hydrogen peroxide
challenge and lack of effect on metabolism of amyloid precursor protein.
AB - Amyloid precursor protein (APP) altered metabolism, Abeta
overproduction/aggregation and oxidative stress are implicated in the development
of Alzheimer's disease pathology. Based on our previous data indicating that
administration of a polyphenol-rich (PrB) blueberry extract (from wild Vaccinium
angustifolium) is memory enhancing in healthy mice and in order to delineate the
neuroprotective mechanisms, this study investigated the antioxidant effects of
PrB in H2O2-induced oxidative damage, Abeta peptide fibrillogenesis and APP
metabolism. PrB suppressed H2O2-initiated oxidation (DCF assay) and cell death
(MTT assay) in SH-SY5Y cells. Protective effects were observed on Chinese hamster
ovary (CHO) cells overexpressing APP770 carrying the mutation Val717Phe only at
high concentrations, while further damage on HEK293 cells was induced after co
treatment with 250 uM H2O2 and PrB in comparison with H2O2 alone. Using the
thioflavine T assay, blueberry polyphenols inhibited Abeta-aggregation (~70%, 15
ug/mL) in a time-dependent manner, while in the CHO(APP770) cells it had no
effect on APP metabolism as assessed by western blot. The results suggest that
blueberry polyphenols exhibit antioxidant and/or pro-oxidant properties according
to the cellular environment and have no effect on APP metabolism.
PMID- 22086825
TI - Serial changes of liver stiffness measured by acoustic radiation force impulse
imaging in acute liver failure: a case report.
AB - Acoustic radiation force impulse (ARFI) imaging is a new technology used to
determine liver elasticity. We report the case of a patient that survived
hyperacute-type acute liver failure (ALF) and who showed a dramatic change in the
value of shear wave velocity (SWV) measured by ARFI, which corresponded with the
severity of her liver damage. The value of SWV increased significantly up to 3.6
+/- 0.3 m/s during the encephalopathy phase and then decreased along with the
recovery of liver function, the blood flow of the right portal vein, and the
liver volume. These findings suggest the value of SWV in ALF as a reliable marker
of liver tissue damage. Further investigations of the pathophysiological
significance of SWV in ALF are warranted.
PMID- 22086826
TI - Risk assessment models for cancer-associated venous thromboembolism.
AB - Venous thromboembolism (VTE) is common in cancer patients, and is associated with
significant morbidity and mortality. Several factors, including procoagulant
agents secreted by tumor cells, immobilization, surgery, indwelling catheters,
and systemic treatment (including chemotherapy), contribute to an increased risk
of VTE in cancer patients. There is growing interest in instituting primary
prophylaxis in high-risk patients to prevent incident (first-time) VTE events.
The identification of patients at sufficiently high risk of VTE to warrant
primary thromboprophylaxis is essential, as anticoagulation may be associated
with a higher risk of bleeding. Current guidelines recommend the use of
pharmacological thromboprophylaxis in postoperative and hospitalized cancer
patients, as well as ambulatory cancer patients receiving thalidomide or
lenalidomide in combination with high-dose dexamethasone or chemotherapy, in the
absence of contraindications to anticoagulation. However, the majority of cancer
patients are ambulatory, and currently primary thromboprophylaxis is not
recommended for these patients, even those considered at very high risk. In this
concise review, the authors discuss risk stratification models that have been
specifically developed to identify cancer patients at high risk for VTE, and thus
might be useful in future studies designed to determine the potential benefit of
primary thromboprophylaxis.
PMID- 22086827
TI - Towards quantitative conversion of microalgae oil to diesel-range alkanes with
bifunctional catalysts.
PMID- 22086828
TI - Renal function and heart failure treatment: when is a loss really a gain?
PMID- 22086829
TI - Insanity of left ventricular assist therapy: doing the same thing and expecting
different results.
PMID- 22086830
TI - Are we there yet? Steps along the way toward implementing evidence-based heart
failure guidelines in middle-income countries.
PMID- 22086831
TI - Mechanisms of bleeding and approach to patients with axial-flow left ventricular
assist devices.
AB - Axial-flow LVADs have become an integral tool in the management of end-stage
heart failure. Consequently, nonsurgical bleeding has emerged as a major source
of morbidity and mortality in this fragile population. The mechanisms responsible
for these adverse events include acquired von Willebrand disease, GI tract
angiodysplasia formation, impaired platelet aggregation, and overuse of
anticoagulation therapy. Because of ongoing concerns for pump thrombosis and
thromboembolic events, the thrombotic/bleeding paradigm has led to a difficult
clinical dilemma for those managing patients treated with axial flow LVADs. As
the field progresses, advances in the understanding of the pathological
mechanisms underlying bleeding/thrombosis risk, careful risk stratification, and
potential use of novel anticoagulants will all play a role in the management of
the LVAD patient.
PMID- 22086832
TI - Severe hemolytic anemia and acute kidney injury after percutaneous continuous
flow ventricular assistance.
PMID- 22086834
TI - Letter by Siracusano and Girasole regarding article, "Toll-like receptor-mediated
inflammatory signaling reprograms cardiac energy Metabolism by repressing
peroxisome proliferator-activated receptor gamma coactivator-I signaling".
PMID- 22086835
TI - A general framework for estimating volume-outcome associations from longitudinal
data.
AB - Recently, there has been much interest in using volume-outcome data to establish
causal associations between measures of surgical experience or quality and
patient outcomes following a surgical procedure, such as coronary artery bypass
graft, total hip replacement, and radical prostatectomy. However, there does not
appear to be a standard approach to a volume-outcome analysis with respect to
specifying a volume measure and selecting an estimation method. We establish the
recurrent marked point process as a general framework from which to approach a
longitudinal volume-outcome analysis and examine the statistical issues
associated with using longitudinal data analysis methods to model aggregate
volume-outcome data. We review assumptions to ensure that linear or generalized
linear mixed models and generalized estimating equations provide valid estimates
of the volume-outcome association. In addition, we provide theoretical and
empirical evidence that bias may be introduced when an aggregate volume measure
is used to address a scientific question regarding the effect of cumulative
experience. We conclude with the recommendation that analysts carefully specify a
volume measure that most accurately reflects their scientific question of
interest and select an estimation method that is appropriate for their scientific
context.
PMID- 22086836
TI - Chemopreventive effect of a mixture of Chinese Herbs (antitumor B) on chemically
induced oral carcinogenesis.
AB - In this study, we evaluated chemopreventive efficacy of Antitumor B, a Chinese
herbal mixture of six plants (Sophora tonkinensis, Polygonum bistorta, Prunella
vulgaris, Sonchus arvensis L., Dictamnus dasycarpus, and Dioscorea bulbifera) on
the development of 4-nitroquinoline-1-oxide (4NQO) induced oral squamous cell
carcinomas in A/J mice. Antitumor B, delivered through diet, inhibited 4NQO
induced oral cancer development by 59.19%. The reduction of cell proliferation
appears to be associated with efficacy of Antitumor B against 4NQO-induced oral
cancer in A/J mice. The expression of epidermal growth factor receptor (EGFR) and
phosphorylated EGFR (Tyr1173) were down-regulated by Antitumor B. Tissue
distribution of Antitumor B was determined using obacunone, matrine, and
maackiain as marker chemicals. We found significant amounts of obacunone,
matrine, and maackiain in the blood after 1-wk treatment. The concentrations of
these three compounds did not increase further at 18 wk, suggesting that plasma
concentrations had reached a steady-state level at 1 wk. There was no
significant body weight loss and there was no other obvious sign of toxicity in
Antitumor B-treated mice. These results suggest that Antitumor B is a promising
agent for human oral cancer chemoprevention.
PMID- 22086837
TI - pH-responsive aggregation of amphiphilic glyco-homopolymer.
AB - The first example of amphiphilic glyco-homopolymers is reported and their
aggregation properties as a function of solution pH are studied. Two structurally
similar polymers with different hydrophobicity (C8 and C6 alkyl chains) are
examined. Both polymers form micelle-type aggregates in aqueous solution. The
size and micro-environment of the aggregates are found to be strongly dependent
on solution pH because of the state of protonation of the tertiary amine group.
At acidic pH, swollen multi-micellar aggregates are formed, presumably because of
the electrostatic repulsion among the ammonium ions. At basic pH more compact
particles are found, which further co-assemble to generate either garland type
(C8) or fractal-aggregates (C6).
PMID- 22086839
TI - Pregnancy-associated breast cancer: an entity needing refinement of the
definition.
PMID- 22086840
TI - Angiotensin converting enzyme insertion/deletion polymorphism and risk of
pregnancy hypertensive disorders: a meta-analysis.
AB - BACKGROUND: The angiotensin-converting enzyme (ACE) gene insertion/deletion (I/D)
polymorphism has been reported inconsistently as being associated with risk of
pregnancy hypertensive disorders (PHDs). We examined these associations by
performing a meta-analysis. METHODS: Two investigators independently consulted
the Medline, Embase, CNKI, and Chinese Biomedicine databases. Odds ratios (ORs)
and 95% confidence intervals (95% CIs) were calculated in fixed- and random
effects models when appropriate. Subgroup analyses were performed by ethnicity,
types of PHD (gestational hypertension, pre-eclampsia and eclampsia), country and
Hardy-Weinberg equilibrium (HWE) in controls. RESULTS: This meta-analysis
included 30 case-control studies with 3523 cases and 4817 controls. Overall, we
found that the DD variant of the ACE I/D polymorphism was associated with a
significantly increased PHD risk. In the subgroup analysis by ethnicity, the
results suggested that the DD genotype was significantly associated with risk of
PHD development among Asians and Caucasians. Moreover, when stratifying by types
of PHD, a significantly increased risk was observed for pre-eclampsia.
Interestingly, when stratifying by country, a significantly elevated risk was
found among 'others' countries (those that were not China or Korea). Limiting the
analysis to the studies within HWE, the results were persistent and robust.
CONCLUSION: This meta-analysis suggests that the I/D polymorphism of ACE may be
associated with PHD risk, especially among Asians and Caucasians.
PMID- 22086838
TI - Polarity proteins are required for left-right axis orientation and twin-twin
instruction.
AB - Two main classes of models address the earliest steps of left-right patterning:
those postulating that asymmetry is initiated via cilia-driven fluid flow in a
multicellular tissue at gastrulation, and those postulating that asymmetry is
amplified from intrinsic chirality of individual cells at very early embryonic
stages. A recent study revealed that cultured human cells have consistent left
right (LR) biases that are dependent on apical-basal polarity machinery. The
ability of single cells to set up asymmetry suggests that cellular chirality
could be converted to embryonic laterality by cilia-independent polarity
mechanisms in cell fields. To examine the link between cellular polarity and LR
patterning in a vertebrate model organism, we probed the roles of apical-basal
and planar polarity proteins in the orientation of the LR axis in Xenopus.
Molecular loss-of-function targeting these polarity pathways specifically
randomizes organ situs independently of contribution to the ciliated organ.
Alterations in cell polarity also disrupt tight junction integrity, localization
of the LR signaling molecule serotonin, the normally left-sided expression of Xnr
1, and the LR instruction occurring between native and ectopic organizers. We
propose that well-conserved polarity complexes are required for LR asymmetry and
that cell polarity signals establish the flow of laterality information across
the early blastoderm independently of later ciliary functions. genesis 50:219
234, 2012. (c) 2011 Wiley Periodicals, Inc.
PMID- 22086841
TI - Speckle reduction imaging of breast ultrasound does not improve the diagnostic
performance of morphology-based CAD System.
AB - PURPOSE: Speckle reduction imaging (SRI) is a newly developed technique in
ultrasound examination. This study aimed to compare the diagnostic performance of
SRI and non-SRI breast ultrasound examinations by using a morphology-based
computer-aided diagnostic system. METHODS: One hundred ten patients with
pathologically proven breast lesions were enrolled consecutively from April 2008
to October 2008. SRI and non-SRI ultrasound images were both obtained at the same
examination for each patient. The regions of interest were manually sketched by
an experienced physician without histological information. Nineteen practical
morphologic features from the extracted contour were calculated and a support
vector machine classifier identified the breast tumor as benign or malignant.
Conventional binomial receiver operating characteristics curve analysis was used
to represent the diagnostic performance of both SRI and non-SRI. RESULTS: Between
SRI and non-SRI methods, there were no significant differences in the area under
the receiver operating characteristics curve (Az value: 0.82 versus 0.81), the
sensitivity (78.9% versus 84.2%), and the specificity (73.6% versus 70.8%).
CONCLUSIONS: Based on the morphology study, the performance of breast ultrasound
in characterizing the solid breast mass as benign or malignant was not
significantly improved with SRI.
PMID- 22086842
TI - Palladium-catalyzed decarboxylative C-H bond arylation of thiophenes.
PMID- 22086843
TI - Diversity of animal small RNA pathways and their biological utility.
AB - Higher eukaryotes employ extensive post-transcriptional gene regulation to
accomplish fine control of gene expression. The microRNA (miRNA) family plays
important roles in the post-transcriptional gene regulation of broad networks of
target mRNA expression. Most miRNAs are generated by a conserved mechanism
involving two RNase III enzymes Drosha and Dicer. However, work from the past few
years has uncovered diverse noncanonical miRNA pathways, which exploit a variety
of other RNA processing enzymes. In addition, the discovery of another abundant
small RNA family, endogenous short interfering RNAs (endo-siRNAs), has also
broadened the catalogs of short regulatory RNAs. This review highlights recent
studies that revealed novel small RNA biogenesis pathways, and discusses their
relevance to gene regulatory networks.
PMID- 22086844
TI - JmjC-domain containing histone demethylase 1B-mediated p15(Ink4b) suppression
promotes the proliferation of leukemic progenitor cells through modulation of
cell cycle progression in acute myeloid leukemia.
AB - The histone demethylase JHDM1B has been implicated in cell cycle regulation and
tumorigenesis. In addition, it has been reported that JHDM1B is highly expressed
in various human tumors, including leukemias. However, it is not clearly
understood how JHDM1B contributes to acute myeloid leukemia (AML) cell
proliferation. In this study, we investigated the cellular and molecular function
of JHDM1B in AML cells. In AML cell lines and AML-derived ALDH(hi) (high aldehyde
dehydrogenase activity)/CD34(+) cells, the levels of JHDM1B mRNA were
significantly higher than in normal ALDH(hi) /CD34(+) cells. Reduction of JHDM1B
expression in AML cells inhibited cell proliferation compared to control cells,
through induction of G1 cell cycle arrest, an increase in the p15(Ink4b) mRNA and
protein expression. JHDM1B mRNA was overexpressed in all 133 AML clinical
specimens tested (n = 22, 57, 34, and 20 for M1, 2, 4, and 5 subtypes
respectively). Compared to normal ALDH(hi) /CD34(+) cells, JHDM1B gene expression
was 1.57- to 1.87-fold higher in AML-derived ALDH(hi) /CD34(+) cells. Moreover,
the JHDM1B protein was more strongly expressed in AML-derived ALDH(hi) /CD34(+)
cells from compared to normal ALDH(hi) /CD34(+) cells. In addition, depletion of
JHDM1B reduced colony formation of AML-derived ALDH(hi) /CD34(+) cells due to
induction of p15(Ink4b) expression through direct binding to p15(Ink4b) promoter
and loss of demethylation of H3K36me2. In summary, we found that JHDM1B mRNA is
predominantly expressed in AML-derived ALDH(hi) /CD34(+) cells, and that aberrant
expression of JHDM1B induces AML cell proliferation through modulation of cell
cycle progression. Thus, inhibition of JHDM1B expression represents an attractive
target for AML therapy.
PMID- 22086846
TI - VEGF expression in residual tumor cells in orbital retinoblastoma (IRSS stage
III) treated with NACT: a prospective study.
AB - We prospectively evaluated vascular endothelial growth factor (VEGF) expression
by immunohistochemistry in 22 consecutive IRSS stage III retinoblastoma patients
who underwent enucleation after neoadjuvant chemotherapy (NACT). Positive VEGF
expression was observed in 6/22 (27.3%) patients. VEGF expression was associated
with local progression on MRI prior to enucleation (P = 0.004), pathological
scleral (P = 0.023), and extra-scleral tumor extension (P = 0.009). EFS for VEGF
positive and negative patients was 0% and 56.25%, respectively (P = 0.0002). OS
for VEGF positive patients was 33.33% and 54.69% for VEGF negative patients (P =
0.207). Thus, VEGF in residual tumor cells post-NACT may represent poor response
to NACT, potential for local invasion, and inferior outcome.
PMID- 22086845
TI - Validation of diagnoses of distress disorders in the US National Comorbidity
Survey Replication Adolescent Supplement (NCS-A).
AB - Research diagnostic interviews need to discriminate between closely related
disorders in order to allow comorbidity among mental disorders to be studied
reliably. Yet conventional studies of diagnostic validity generally focus on
single disorders and do not examine discriminant validity. The current study
examines the validity of fully-structured diagnoses of closely-related distress
disorders (generalized anxiety disorder, post-traumatic stress disorder, major
depressive episode, and dysthymic disorder) in the lay-administered Composite
International Diagnostic Interview Version 3.0 (CIDI) with independent clinical
diagnoses based on the Schedule for Affective Disorders and Schizophrenia for
School-Age Children (K-SADS) in the US National Comorbidity Survey Replication
Adolescent Supplement (NCS-A). The NCS-A is a national survey of the Diagnostic
and Statistical Manual of Mental Disorders, Fourth Edition (DSM-IV) among 10,148
adolescents. A probability sub-sample of 347 of these adolescents and their
parents were administered blinded follow-up K-SADS interviews. Good concordance
[area under the receiver operating characteristic curve (AUC)] was found between
diagnoses based on the CIDI and the K-SADS for generalized anxiety disorder (AUC
= 0.78), post-traumatic stress disorder (AUC = 0.79), and major depressive
episode/dysthymic disorder (AUC = 0.86). Further, the CIDI was able to
effectively discriminate among different types of distress disorders in the sub
sample of respondents with any distress disorder.
PMID- 22086847
TI - Accuracy of positron emission tomography/computed tomography and clinical
assessment in the detection of complete rectal tumor regression after neoadjuvant
chemoradiation: long-term results of a prospective trial (National Clinical Trial
00254683).
AB - BACKGROUND: Neoadjuvant chemoradiation (CRT) therapy may result in significant
tumor regression in patients with rectal cancer. Patients who develop complete
tumor regression have been managed by treatment strategies that are alternatives
to standard total mesorectal excision. Therefore, assessment of tumor response
with positron emission tomography/computed tomography (PET/CT) after neoadjuvant
treatment may offer relevant information for the selection of patients to receive
alternative treatment strategies. METHODS: Patients with clinical T2 (cT2)
through cT4NxM0 rectal adenocarcinoma were included prospectively. Neoadjuvant
therapy consisted of 54 grays of radiation and 5-fluorouracil-based chemotherapy.
Baseline PET/CT studies were obtained before CRT followed by PET/CT studies at 6
weeks and 12 weeks after the completion of CRT. Clinical assessment was performed
at 12 weeks after CRT completion. PET/CT results were compared with clinical and
pathologic data. RESULTS: In total, 99 patients were included in the study.
Twenty-three patients were complete responders (16 had a complete clinical
response, and 7 had a complete pathologic response). The PET/CT response
evaluation at 12 weeks indicated that 18 patients had a complete response, and 81
patients had an incomplete response. There were 5 false-negative and 10 false
positive PET/CT results. PET/CT for the detection of residual cancer had 93%
sensitivity, 53% specificity, a 73% negative predictive value, an 87% positive
predictive value, and 85% accuracy. Clinical assessment alone resulted in an
accuracy of 91%. PET/CT information may have detected misdiagnoses made by
clinical assessment alone, improving overall accuracy to 96%. CONCLUSIONS:
Assessment of tumor response at 12 weeks after CRT completion with PET/CT imaging
may provide a useful additional tool with good overall accuracy for the selection
of patients who may avoid unnecessary radical resection after achieving a
complete clinical response. Cancer 2012;3501-3511. (c) 2011 American Cancer
Society.
PMID- 22086848
TI - Expression of NF-kappaB and downstream antioxidant genes in skeletal muscle of
hibernating ground squirrels, Spermophilus tridecemlineatus.
AB - Many small mammals survive the winter by hibernating, entering long periods of
cold torpor that are interspersed with brief periods of arousal back to
euthermia. This cycling is accompanied by wide changes in oxygen consumption,
perfusion of tissues and ATP turnover, and the arousal period in particular is
challenging because of oxidative stress associated with the huge increase in
oxygen consumption needed to support thermogenesis by brown adipose tissue and
skeletal muscle. Well-developed antioxidant defences are needed. The present
study analyses responses of the redox-sensitive transcription factor, NF-kappaB,
in skeletal muscle over six points on the torpor-arousal cycle to gain insight
into its regulation and role during hibernation. Immunoblotting was used to
analyse NF-kappaB p50 and p65 subunit levels, nuclear versus cytoplasmic
localization and DNA-binding activity as well as levels and phosphorylation state
of the IkappaBalpha inhibitor and the kinase IKK that phosphorylates IkappaBalpha
to trigger its dissociation from NF-kappaB. The data were generally consistent
with an activation of NF-kappaB during the entrance into torpor with responses
including an auto-up-regulation of p50 subunits seen during early torpor and
elevated IkappaBalpha protein during arousal. Protein levels of two downstream
antioxidant targets showed differential regulation, Mn-superoxide dismutase
(MnSOD) rising during early torpor versus heme oxygenase 1 (HO-1) increasing
during early arousal. The mRNA transcript levels of p50, p65, HO-1 and MnSOD also
showed differential expression over the torpor-arousal cycle. The results suggest
that antioxidant defences are up-regulated at specific phases of the torpor
arousal cycle and that NF-kappaB mediates such protective responses.
PMID- 22086849
TI - ERK1/2 regulation of CD44 modulates oral cancer aggressiveness.
AB - Carcinogen-induced oral cavity squamous cell carcinoma (OSCC) incurs significant
morbidity and mortality and constitutes a global health challenge. To gain
further insight into this disease, we generated cell line models from 7,12
dimethylbenz(a)anthracene-induced murine primary OSCC capable of tumor formation
upon transplantation into immunocompetent wild-type mice. Whereas several cell
lines grew rapidly and were capable of metastasis, some grew slowly and did not
metastasize. Aggressively growing cell lines displayed ERK1/2 activation, which
stimulated expression of CD44, a marker associated with epithelial to mesenchymal
transition and putative cancer stem cells. MEK (MAP/ERK kinase) inhibition
upstream of ERK1/2 decreased CD44 expression and promoter activity and reduced
cell migration and invasion. Conversely, MEK1 activation enhanced CD44 expression
and promoter activity, whereas CD44 attenuation reduced in vitro migration and in
vivo tumor formation. Extending these findings to freshly resected human OSCC, we
confirmed a strict relationship between ERK1/2 phosphorylation and CD44
expression. In summary, our findings identify CD44 as a critical target of ERK1/2
in promoting tumor aggressiveness and offer a preclinical proof-of-concept to
target this pathway as a strategy to treat head and neck cancer.
PMID- 22086850
TI - Regulation of matrix metalloproteinase genes by E2F transcription factors: Rb-Raf
1 interaction as a novel target for metastatic disease.
AB - The retinoblastoma (Rb)-E2F transcriptional regulatory pathway plays a major role
in cell-cycle regulation, but its role in invasion and metastasis is less well
understood. We find that many genes involved in the invasion of cancer cells,
such as matrix metalloproteinases (MMP), have potential E2F-binding sites in
their promoters. E2F-binding sites were predicted on all 23 human MMP gene
promoters, many of which harbored multiple E2F-binding sites. Studies presented
here show that MMP genes such as MMP9, MMP14, and MMP15 which are overexpressed
in non-small cell lung cancer, have multiple E2F-binding sites and are regulated
by the Rb-E2F pathway. Chromatin immunoprecipitation assays showed the
association of E2F1 with the MMP9, MMP14, and MMP15 promoters, and transient
transfection experiments showed that these promoters are E2F responsive.
Correspondingly, depletion of E2F family members by RNA interference techniques
reduced the expression of these genes with a corresponding reduction in collagen
degradation activity. Furthermore, activating Rb by inhibiting the interaction of
Raf-1 with Rb by using the Rb-Raf-1 disruptor RRD-251 was sufficient to inhibit
MMP transcription. This led to reduced invasion and migration of cancer cells in
vitro and metastatic foci development in a tail vein lung metastasis model in
mice. These results suggest that E2F transcription factors may play a role in
promoting metastasis through regulation of MMP genes and that targeting the Rb
Raf-1 interaction is a promising approach for the treatment of metastatic
disease.
PMID- 22086852
TI - Nanostructured membranes for enzyme catalysis and green synthesis of
nanoparticles.
AB - Macroporous membranes functionalized with ionizable macromolecules provide
promising applications in high capacity toxic metal capture, nanoparticle
syntheses, and catalysis. Our low-pressure membrane approach has good reaction
and separation selectivities, which are tunable by varying pH, ionic strength, or
pressure. The sustainable green chemistry approach under ambient conditions and
the evaluation of a reactive poly(acrylic acid) (PAA)-modified polyvinylidene
fluoride (PVDF) membrane is described. Two distinct membrane types were obtained
through different methods: 1) a stacked membrane through layer-by-layer assembly
for the incorporation of enzymes (catalase and glucose oxidase), providing
tunable product yields and 2) Fe/Pd nanoparticles for degradation of pollutants,
obtained through an in situ green synthesis. Bioreactor-nanodomain interactions
and mixed matrix nanocomposite membranes provide remarkable versatility compared
to conventional membranes.
PMID- 22086851
TI - GLI1 inhibition promotes epithelial-to-mesenchymal transition in pancreatic
cancer cells.
AB - The Hedgehog (HH) pathway has been identified as an important deregulated signal
transduction pathway in pancreatic ductal adenocarcinoma (PDAC), a cancer type
characterized by a highly metastatic phenotype. In PDAC, the canonical HH pathway
activity is restricted to the stromal compartment while HH signaling in the tumor
cells is reduced as a consequence of constitutive KRAS activation. Here, we
report that in the tumor compartment of PDAC the HH pathway effector
transcription factor GLI1 regulates epithelial differentiation. RNAi-mediated
knockdown of GLI1 abolished characteristics of epithelial differentiation,
increased cell motility, and synergized with TGFbeta to induce an epithelial-to
mesenchymal transition (EMT). Notably, EMT conversion in PDAC cells occurred in
the absence of induction of SNAIL or SLUG, two canonical inducers of EMT in many
other settings. Further mechanistic analysis revealed that GLI1 directly
regulated the transcription of E-cadherin, a key determinant of epithelial tissue
organization. Collectively, our findings identify GLI1 as an important positive
regulator of epithelial differentiation, and they offer an explanation for how
decreased levels of GLI1 are likely to contribute to the highly metastatic
phenotype of PDAC.
PMID- 22086853
TI - Plug and play with RNA.
AB - Retooling RNA: RNA aptamers are high-affinity ligands that can be assembled with
other structures to yield multivalent molecules. These properties have been
addressed in two recent studies: One describes a GFP-like RNA reporter used to
study the dynamics of endogenous RNA; the other study reports on an aptamer
templated assembly of multi-enzyme complexes in bacteria for the controlled
production of secondary molecules (see picture).
PMID- 22086854
TI - Neuroinvasive flavivirus infections.
AB - Flaviviruses, including Dengue, West Nile, Japanese encephalitis, and Tick-borne
encephalitis virus, are major emerging human pathogens, affecting millions of
individuals worldwide. Many clinically important flaviviruses elicit CNS diseases
in infected hosts, including traditional "hemorrhagic" viruses, such as Dengue.
This review focuses on the epidemiology, symptomatology, neuropathology, and,
specifically, neuropathogenesis of flavivirus-induced human CNS disease. A
detailed insight into specific factors priming towards neuroinvasive disease is
of clear clinical significance, as well as importance to the development of
antiviral therapies and identification of key mechanisms involved in the
(re)emergence of specific flaviviruses, including potentially novel or previously
unrecognized ones, as neuroinvasive pathogens.
PMID- 22086855
TI - Association of aberrations in one-carbon metabolism with molecular phenotype and
grade of breast cancer.
AB - We have earlier demonstrated the role of aberrant one-carbon metabolism in the
etiology of breast cancer. In the current study, we examine the clinical utility
of these factors in predicting the subtype of breast cancer and as indicators of
disease progression. Polymerase chain reaction (PCR)-restriction fragment length
polymorphism (RFLP) and PCR-amplified fragment length polymorphism (AFLP)
approaches were used for genetic analysis. Plasma folate and homocysteine were
measured using Axsym folate kit and reverse phase HPLC, respectively. Multiple
linear regression models were used to test the predictability of disease
progression. Luminal A subtype was associated with late age of onset, higher body
mass index and lack of family history of breast cancer. Thymidylate synthase
(TYMS) 5'-UTR 28 bp tandem repeat (OR: 2.09, 95% CI: 1.05-4.16) and methylene
tetrahydrofolate reductase (MTHFR) C677T (OR: 4.10, 95% CI: 1.40-11.95) were
strongly associated with Luminal B. Reduced folate carrier (RFC1) G80A (OR: 2.92,
95% CI: 1.22-6.97) and methionine synthase (MTR) A2756G (OR: 4.71, 95% CI: 1.66
13.31) polymorphisms were associated with LuminA-HH subtype while MTHFR C677T
showed association with HER-enriched (OR: 30.41, 95% CI: 6.47-142.91). Cytosolic
serine hydroxymethyltransferase (cSHMT) conferred protection against basal-like
breast cancer (OR: 0.47, 95% CI: 0.22-0.98). HER-enriched and basal-like subtypes
showed positive association with familial breast cancer and inverse association
with plasma folate. Hyperhomocysteinemia was observed in Luminal B and basal-like
subtypes. Multiple linear regression models of aberrant one-carbon metabolism
were found to be moderate predictors of breast cancer grade (area under the
receiver operating characteristic curve, C = 0.72, 95% CI: 0.58-0.87, P = 0.008).
To conclude, aberrations in one-carbon metabolism predict the subtype of breast
cancer and disease progression.
PMID- 22086856
TI - Hepatic resection for metastatic gastrointestinal stromal tumors in the tyrosine
kinase inhibitor era.
AB - BACKGROUND: Before the advent of tyrosine kinase inhibitors (TKIs), surgical
resection was the primary treatment for hepatic gastrointestinal stromal tumor
(GIST) metastases. Although TKIs have improved survival in the metastatic
setting, outcomes after multimodal therapy comprised of hepatectomy and TKIs for
GIST are unknown. The objective of this study was to determine whether
combination therapy for hepatic GIST metastases is associated with improved
overall survival compared with reported outcomes from surgery or TKI therapy
alone. METHODS: Demographics, clinicopathologic tumor characteristics,
treatments, and outcomes of patients who underwent hepatic resection at 3 high
volume centers from 1995 to 2010 were reviewed. RESULTS: In total, 39 patients
underwent hepatectomy for metastatic GISTs, and 27 patients received
postoperative TKI therapy. At a median follow-up of 39.7 months, 23 patients
(59%) experienced recurrence at a median of 18 months. The 1-year, 2-year, and 3
year overall survival rates were 96.7%, 76.8%, and 67.9%, respectively. Median
survival was not reached at 5 years. The rates of severe complication and
mortality were 10.2% (4 patients) and 2.5% (1 patient), respectively. When
controlling for confounders, postoperative TKI therapy was associated with
improved survival (hazard ratio, 0.04; 95% confidence interval, 0.01-0.50; P =
.006), and extrahepatic disease was associated with worse survival (hazard ratio,
9.51; 95% confidence interval, 1.63-55.7; P = .012). CONCLUSIONS: Overall
survival after combination therapy exceeded previous reports for the treatment of
metastatic GIST with hepatic resection or TKI therapy alone and was significantly
enhanced by postoperative TKI therapy. The results from this study support
findings that combination therapy for GIST liver metastases comprised of surgical
resection and TKI therapy is more effective than surgery or TKI therapy alone.
PMID- 22086857
TI - Paediatric pneumonia or empyema and prior antibiotic use in primary care: a case
control study.
AB - OBJECTIVES: To investigate the association between hospital presentation for
paediatric pneumonia or empyema and prior antibiotic use for respiratory tract
infection (RTI). METHODS: Case-control study of children aged 6 months to 16
years presenting to hospital with radiographic evidence of pneumonia or empyema
and a history of general practitioner (GP) consultation for the index illness.
Cases were recruited from seven hospitals in South Wales between October 2008 and
December 2009. Controls were children from the same age group who were diagnosed
with an uncomplicated RTI in general practice in the same area and at a similar
time of year. Primary data were collected from carers by a self-complete
questionnaire, with a subsample compared against general practice records.
RESULTS: We recruited 89 eligible cases and 166 eligible controls. Cases were
less likely than controls to have been prescribed antibiotics at the first GP
consultation for the index illness [odds ratio (OR) 0.53; 95% confidence interval
(95% CI) 0.31-0.90]. Stratified analyses revealed that this association was
limited to children who consulted a GP <3 days after illness onset (OR 0.23; 95%
CI 0.10-0.50). Cases were also less likely to have taken antibiotics before the
date of index hospital presentation, but this finding was not statistically
significant after adjustment for confounding factors (adjusted OR 0.84; 95% CI
0.47-1.49). CONCLUSIONS: Antibiotics prescribed at the first GP consultation for
an RTI may protect against subsequent hospital presentation for pneumonia or
empyema in some children. Given the strong rationale against unnecessary
antibiotic prescribing, further research is needed to identify which children are
most likely to benefit from early antibiotic treatment.
PMID- 22086858
TI - Guidelines for the diagnosis and antibiotic treatment of endocarditis in adults:
a report of the Working Party of the British Society for Antimicrobial
Chemotherapy.
AB - The BSAC guidelines on treatment of infectious endocarditis (IE) were last
published in 2004. The guidelines presented here have been updated and extended
to reflect developments in diagnostics, new trial data and the availability of
new antibiotics. The aim of these guidelines, which cover both native valve and
prosthetic valve endocarditis, is to standardize the initial investigation and
treatment of IE. An extensive review of the literature using a number of
different search criteria has been carried out and cited publications used to
support any changes we have made to the existing guidelines. Publications
referring to in vitro or animal models have only been cited if appropriate
clinical data are not available. Randomized, controlled trials suitable for the
development of evidenced-based guidelines in this area are still lacking and
therefore a consensus approach has again been adopted for most recommendations;
however, we have attempted to grade the evidence, where possible. The guidelines
have also been extended by the inclusion of sections on clinical diagnosis,
echocardiography and surgery.
PMID- 22086859
TI - Molecular surveillance of drug-resistant Plasmodium vivax using pvdhfr, pvdhps
and pvmdr1 markers in Nouakchott, Mauritania.
AB - OBJECTIVES: Plasmodium falciparum and Plasmodium vivax occur in Mauritania. Drug
resistant P. falciparum has been reported, but the drug-resistance status of P.
vivax is unknown. The aims of the present study were to determine the prevalence
of mutant pvdhfr, pvdhps and pvmdr1 genes and of pvmdr1 gene amplification in P.
vivax isolates in Nouakchott, the capital city of Mauritania, and to establish a
baseline for molecular surveillance of drug-resistant P. vivax in the country.
PATIENTS AND METHODS: Between 2007 and 2009, 439 febrile patients were screened
for malaria in Nouakchott. The sequences of pvdhfr, pvdhps and pvmdr1 markers in
110 P. vivax isolates were determined by direct sequencing of PCR products. The
pvmdr1 gene copy number was determined by real-time PCR. RESULTS: The majority of
the isolates with a successful PCR amplification (76/86, 88%) were characterized
to be of the wild-type pvdhfr genotype, while the remaining 10 isolates carried
the S58R and S117N double mutations. All isolates had the wild-type pvdhps
genotype SAKAV. For pvmdr1, 75 of 103 (73%) had the wild-type Y976, and 28 (27%)
carried the mutant F976. Most (98%) carried the mutant L1076 codon. Of 105
isolates, 102 (97%) had one copy and 3 (3%) had two copies of the pvmdr1 gene.
CONCLUSIONS: The prevalence of mutations associated with antifolate resistance is
low in Mauritania. Further studies are required to determine the roles of pvmdr1
mutations and gene amplification in conferring drug resistance. These data will
serve as a baseline for further monitoring of drug-resistant malaria.
PMID- 22086860
TI - Electrochemical synthesis of indium(0) nanoparticles in haloindate(III) ionic
liquids.
AB - A synthetic route to indium(0) nanoparticles via an electrochemical reduction of
haloindate(III) ionic liquids to indium(I), and its subsequent disproportionation
to indium(0) and indium(III) in the bulk electrolyte, is described. In this
sustainable method, the ionic liquid acts simultaneously as metal source,
templating agent, and stabilising agent, with the electron as the only reducing
agent. The nature of the ionic liquid cation is demonstrated to strongly affect
the morphology and size distribution of the indium(0) nanoparticles.
PMID- 22086861
TI - Inflamm-aging of the stem cell niche: breast cancer as a paradigmatic example:
breakdown of the multi-shell cytokine network fuels cancer in aged people.
AB - Inflamm-aging is a relatively new terminology used to describe the age-related
increase in the systemic pro-inflammatory status of humans. Here, we represent
inflamm-aging as a breakdown in the multi-shell cytokine network, in which stem
cells and stromal fibroblasts (referred to as the stem cell niche) become pro
inflammatory cytokine over-expressing cells due to the accumulation of DNA
damage. Inflamm-aging self-propagates owing to the capability of pro-inflammatory
cytokines to ignite the DNA-damage response in other cells surrounding DNA
damaged cells. Macrophages, the major cellular player in inflamm-aging, amplify
the phenomenon, by broadcasting pro-inflammatory signals at both local and
systemic levels. On the basis of this, we propose that inflamm-aging is a major
contributor to the increase in cancer incidence and progression in aged people.
Breast cancer will be presented as a paradigmatic example for this relationship.
PMID- 22086862
TI - What every hospitalist should know about the post-bariatric surgery patient.
AB - Obesity is a growing worldwide epidemic, increasingly addressed through surgical
options for weight loss. Benefits of these operations, such as weight loss and
improvement or reversal of obesity-related comorbidities, are well established;
however, postoperative complications do occur. This article will evaluate common
causes for hospital admissions in the post-bariatric surgery population as they
relate to the hospitalist who is often responsible for their care. Here we
provide an overview of the most common bariatric procedures currently performed,
early postoperative complications, late medical complications (ie, abdominal
complaints, weight fluctuations, nutritional deficiencies, and metabolic bone
disease), and late surgical complications that often affect these patients and
result in hospital admissions. Special attention will be paid to radiologic
pearls that can assist in the initial evaluation and diagnosis of these patients.
PMID- 22086863
TI - Current or recent pregnancy is associated with adverse pathologic features but
not impaired survival in early breast cancer.
AB - BACKGROUND: Pregnancy-associated breast cancer (PABC) may be defined as breast
cancer diagnosed during pregnancy or within 1 year of giving birth. Conflicting
data exist regarding the impact of pregnancy on clinical features and prognosis
of breast cancer. METHODS: A single-institution retrospective chart review was
performed of 99 patients identified with PABC between 1992 and 2007. Non-PABC
controls were matched 2:1 to PABC cases by year of diagnosis and age. The
differences in clinical features were compared between cases and controls using
chi-square tests. Univariate and multivariate analyses were performed to assess
the effect of PABC on survival. RESULTS: Of the 99 PABC cases, breast cancer was
diagnosed during pregnancy in 36 patients, and after delivery in 63. PABC cases
were more likely than controls to be negative for estrogen receptor (59% vs 31%,
P < .0001) and negative for progesterone receptor (72% vs 40%, P < .0001). Cases
were also more likely to have advanced T class (P = .0271) and N class (P =
.0104) and higher grade tumors (P = .0115). With a median follow-up of 6.3 years
for cases and 4.7 years for controls, overall survival did not differ between
cases and controls (P = .0787). On multivariate analysis, the independent
prognostic factors for overall survival were estrogen receptor status (P = .0031)
and N class (P = .0003). The diagnosis of PABC was not an independent prognostic
factor (P = .1317). CONCLUSIONS: PABC is associated with more adverse tumor
features than non-PABC matched for age and year of diagnosis. After correcting
for pathologic features, the diagnosis of PABC is not in itself an adverse
prognostic factor for survival.
PMID- 22086864
TI - Vitamin D insufficiency in myeloproliferative neoplasms and myelodysplastic
syndromes: clinical correlates and prognostic studies.
AB - Vitamin D insufficiency is commonly observed in the general population;
observational studies have suggested an association with increased risk of cancer
development. We examined the clinical and prognostic relevance of low plasma
levels of 25-hydroxyvitamin D (25[OH]D) in myeloproliferative neoplasms (MPN) and
myelodysplastic syndromes (MDS). A total of 409 patients were studied: 247 (60%)
with primary myelofibrosis (PMF), 74 (18%) with de novo MDS, 63 (15%) with
polycythemia vera (PV), and 25 (6%) with essential thrombocythemia (ET). Plasma
25(OH)D levels were measured by liquid chromatography-tandem mass spectrometry; a
level lower than 25 ng/mL indicated vitamin D insufficiency and a level lower
than 10 ng/mL indicated severe deficiency. The proportion of patients with
25(OH)D insufficiency was significantly greater in PMF (48%) and PV (43%) when
compared with ET (28%) and MDS (28%) (P = 0.01). Severe 25(OH)D deficiency was
significantly more frequent in ET (12%) and PMF (9%), compared with PV (3%) and
MDS (1%) (P = 0.05). There were no significant correlations between 25(OH)D
insufficiency, or severe deficiency, and a variety of clinical or laboratory
variables in PMF, MDS, or PV. Furthermore, Vitamin D insufficiency did not
influence either overall or leukemia-free survival in PMF, MDS, or PV (P > 0.05).
We conclude that while hypovitaminosis D is relatively common in MPN and MDS, its
clinical relevance for prognosis is limited.
PMID- 22086865
TI - Primary myelofibrosis: 2012 update on diagnosis, risk stratification, and
management.
AB - DISEASE OVERVIEW: Primary myelofibrosis (PMF) is a myeloproliferative neoplasm
characterized by stem cell-derived clonal myeloproliferation, abnormal cytokine
expression, bone marrow fibrosis, anemia, splenomegaly, extramedullary
hematopoiesis (EMH), constitutional symptoms, cachexia, leukemic progression, and
shortened survival. DIAGNOSIS: Diagnosis is based on bone marrow morphology. The
presence of fibrosis, JAK2/MPL mutation or +9/13q- cytogenetic abnormality is
supportive but not essential for diagnosis. Prefibrotic PMF mimics essential
thrombocythemia in its presentation and the distinction is prognostically
relevant. Differential diagnosis of myelofibrosis should include chronic
myelogenous leukemia, myelodysplastic syndromes, chronic myelomonocytic leukemia,
and acute myeloid leukemia. RISK STRATIFICATION: The Dynamic International
Prognostic Scoring System-plus (DIPSS-plus) prognostic model for PMF can be
applied at any point during the disease course and uses eight independent
predictors of inferior survival: age >65 years, hemoglobin <10 g/dL, leukocytes
>25 * 10(9) /L, circulating blasts >=1%, constitutional symptoms, red cell
transfusion dependency, platelet count <100 * 10(9) /L, and unfavorable karyotype
(i.e., complex karyotype or sole or two abnormalities that include +8, -7/7q-,
i(17q), inv(3), -5/5q-, 12p- or 11q23 rearrangement). The presence of 0, 1, "2 or
3," and >=4 adverse factors defines low, intermediate-1, intermediate-2, and high
risk disease with median survivals of ~15.4, 6.5, 2.9, and 1.3 years,
respectively. A >80% two-year mortality is predicted by monosomal karyotype,
inv(3)/i(17q) abnormalities, or any two of circulating blasts >9%, leukocytes
>=40 * 10(9) /L or other unfavorable karyotype. RISK-ADAPTED THERAPY: Observation
alone is adequate for asymptomatic low/intermediate-1 risk disease. Allogeneic
stem cell transplantation or experimental drug therapy is considered for
intermediate-2/ high risk disease. Conventional or experimental drug therapy is
reasonable for symptomatic intermediate-1 risk disease. Splenectomy and low-dose
radiotherapy are used for drug-refractory splenomegaly. Radiotherapy is also used
for the treatment of non-hepatosplenic EMH, PMF-associated pulmonary
hypertension, and extremity bone pain.
PMID- 22086866
TI - Platinum-catalyzed direct amination of allylic alcohols with aqueous ammonia:
selective synthesis of primary allylamines.
PMID- 22086867
TI - Fibrous nano-silica (KCC-1)-supported palladium catalyst: Suzuki coupling
reactions under sustainable conditions.
PMID- 22086868
TI - Similarities and differences between the "relativistic" triad gold, platinum, and
mercury in catalysis.
AB - Relativistic effects in the valence shell of the elements reach a maximum in the
triad Pt-Au-Hg and determine their catalytic activity in organic reactions. In
this Review we examine the catalytic activity of Pt, Au, and Hg compounds for
some representative reactions, and discuss the respective benefits and
disadvantages along with other relevant properties, such as toxicity, price, and
availability. For the reactions considered, gold catalysts are generally more
active than mercury or platinum catalysts.
PMID- 22086869
TI - Quantitative replacement of cetyl trimethylammonium bromide by cationic thiol
ligands on the surface of gold nanorods and their extremely large uptake by
cancer cells.
PMID- 22086870
TI - Intensive short-term chemotherapy regimen induces high remission rate (over 90%)
and event-free survival both in children and adult patients with advanced
sporadic Burkitt lymphoma/leukemia.
AB - The optimal treatment of advanced sporadic Burkitt lymphoma in adults is still a
matter of debate. The salutary results of pediatric therapies did open the road
for improving the adult outcome. Between May 1988 and March 2009, 71 consecutive
patients-46 adults, 25 children-affected by Burkitt lymphoma/leukemia were
treated with the same intensive pediatric protocol alternating vincristine,
adriamycine and fractionated ciclophosphamide (phase A) with high dose
methotrexate and high dose cytarabine (phase B) in four Italian institutions.
Eighty-nine per cent of patients were in Stage III-IV or had L3 leukemia.
Complete remissions were 67/71 (94.4%), 24/25 (96%) in children, and 43/46
(93.5%) in adults. Toxic deaths were 3/71 (4.2%), all in adults. There were nine
relapses (one in children, eight in adults), all but one observed early. After a
median observation of 94 months (range 23-275), the Event-Free Survival rate is
92% in children and 71.7% in adults (P = 0.067). The 23 more recent adults
received also rituximab, without differences in outcome as compared to patients
who did not. Our experience confirms that such an intensive pediatric-derived
chemotherapy is feasible and improves the long-term outcome of adults with
advanced Burkitt lymphoma.
PMID- 22086871
TI - Post-discharge intervention in vulnerable, chronically ill patients.
AB - BACKGROUND: Studies suggest that the inpatient to outpatient transition of care
is a vulnerable period for patients, and socioeconomically disadvantaged
populations may be particularly susceptible. OBJECTIVE: In this prospective
cohort study, clustered by hospital, we sought to determine the feasibility and
utility of a simple, post-discharge intervention in reducing hospital
readmissions. METHODS: Chronically ill Medicaid managed care members were
consecutively identified from the discharge records of 10 area hospitals. For
patients from the 7 intervention hospitals, trained medical assistants performed
a brief telephone needs assessment, within 1 week of discharge, in which issues
requiring near-term resolution were identified and addressed. Patients with more
complicated care needs were identified according to a 4-domain care needs
framework and enrolled in more intensive care management. Patients discharged
from the 3 control hospitals received usual care. We used a generalized
estimating equation model, which adjusts for clustering by hospital, to evaluate
the primary outcome of hospital readmission within 60 days. RESULTS: There were
97 intervention and 130 control patients. Intervention patients were slightly
younger and had higher adjusted clinical group (ACG) scores. In unadjusted
analysis, the intervention group had lower, but statistically similar, 60-day
rehospitalization rates (23.7% vs 29.2%, P = 0.35). This difference became
significant after controlling for ACG score, prior inpatient utilization, and
age: adjusted odds ratio (OR) [95% confidence interval (CI)] 0.49 [0.24-1.00].
CONCLUSIONS: A simple post-discharge intervention and needs assessment may be
associated with reduced recurrent hospitalization rates in a cohort of
chronically ill Medicaid managed care patients with diverse care needs.
PMID- 22086872
TI - Androgen receptor signals regulate UDP-glucuronosyltransferases in the urinary
bladder: a potential mechanism of androgen-induced bladder carcinogenesis.
AB - UDP-glucuronosyltransferases (UGTs), major phase II drug metabolism enzymes, play
an important role in urinary bladder cancer initiation by detoxifying
carcinogens. We aimed to determine if androgens regulate UGT expression via the
androgen receptor (AR) pathway in the bladder. Real-time reverse transcription
polymerase chain reaction and Western blot analyses were used to assess UGT1A
levels in the normal urothelium SVHUC cell line stably expressed with AR and in
bladder tissues from AR knockout (ARKO) and castrated male mice.
Immunohistochemistry was also performed in radical cystectomy specimens.
Dihydrotestosterone (DHT) treatment in SVHUC-AR reduced mRNA expression of all
the UGT1A subtypes (19-75% decrease), and hydroxyflutamide antagonized the DHT
effects. In contrast, DHT showed only marginal effects on UGT1A expression in
SVHUC-Vector. Of note were higher expression levels of UGT1As in SVHUC-Vector
than in SVHUC-AR. In ARKO mice, all the Ugt1a subtypes were up-regulated,
compared to wild-type littermates. In wild-type male mice, castration increased
the expression of Ugt1a8, Ugt1a9, and Ugt1a10. Additionally, wild-type female
mice had higher levels of Ugt1a than wild-type males. Immunohistochemical studies
showed strong (3+) UGT1A staining in 11/24 (46%) cancer tissues, which was
significantly lower than in corresponding benign tissues [17/18 (94%) cases (P =
0.0009)]. These results suggest that androgen-mediated AR signals promote bladder
carcinogenesis by down-regulating the expression of UGTs in the bladder.
PMID- 22086874
TI - Dysfunctional telomeres promote genomic instability and metastasis in the absence
of telomerase activity in oncogene induced mammary cancer.
AB - Telomerase is a ribonucleoprotein that maintains the ends of chromosomes
(telomeres). In normal cells lacking telomerase activity, telomeres shorten with
each cell division because of the inability to completely synthesize the lagging
strand. Critically shortened telomeres elicit DNA damage responses and limit
cellular division and lifespan, providing an important tumor suppressor function.
Most human cancer cells express telomerase which contributes significantly to the
tumor phenotype. In human breast cancer, telomerase expression is predictive of
clinical outcomes such as lymph node metastasis and survival. In mouse models of
mammary cancer, telomerase expression is also upregulated. Telomerase
overexpression resulted in spontaneous mammary tumor development in aged female
mice. Increased mammary cancer also was observed when telomerase deficient mice
were crossed with p53 null mutant animals. However, the effects of telomerase and
telomere length on oncogene driven mammary cancer have not been completely
characterized. To address these issues we characterized neu proto-oncogene driven
mammary tumor formation in G1 Terc-/- (telomerase deficient with long telomeres),
G3 Terc-/- (telomerase deficient with short telomeres), and Terc+/+ mice.
Telomerase deficiency reduced the number of mammary tumors and increased tumor
latency regardless of telomere length. Decreased tumor formation correlated with
increased apoptosis in Terc deficient tumors. Short telomeres dramatically
increased lung metastasis which correlated with increased genomic instability,
and specific alterations in DNA copy number and gene expression. We concluded
that short telomeres promote metastasis in the absence of telomerase activity in
neu oncogene driven mammary tumors.
PMID- 22086875
TI - Protection against myocardial infarction and no-reflow through preservation of
vascular integrity by angiopoietin-like 4.
AB - BACKGROUND: Increased permeability, predominantly controlled by endothelial
junction stability, is an early event in the deterioration of vascular integrity
in ischemic disorders. Hemorrhage, edema, and inflammation are the main features
of reperfusion injuries, as observed in acute myocardial infarction (AMI). Thus,
preservation of vascular integrity is fundamental in ischemic heart disease.
Angiopoietins are pivotal modulators of cell-cell junctions and vascular
integrity. We hypothesized that hypoxic induction of angiopoietin-like protein 4
(ANGPTL4) might modulate vascular damage, infarct size, and no-reflow during AMI.
METHODS AND RESULTS: We showed that vascular permeability, hemorrhage, edema,
inflammation, and infarct severity were increased in angptl4-deficient mice. We
determined that decrease in vascular endothelial growth factor receptor 2
(VEGFR2) and VE-cadherin expression and increase in Src kinase phosphorylation
downstream of VEGFR2 were accentuated after ischemia-reperfusion in the coronary
microcirculation of angptl4-deficient mice. Both events led to altered VEGFR2/VE
cadherin complexes and to disrupted adherens junctions in the endothelial cells
of angptl4-deficient mice that correlated with increased no-reflow. In vivo
injection of recombinant human ANGPTL4 protected VEGF-driven dissociation of the
VEGFR2/VE-cadherin complex, reduced myocardial infarct size, and the extent of no
reflow in mice and rabbits. CONCLUSIONS: These data showed that ANGPTL4 might
constitute a relevant target for therapeutic vasculoprotection aimed at
counteracting the effects of VEGF, thus being crucial for preventing no-reflow
and conferring secondary cardioprotection during AMI.
PMID- 22086876
TI - Glycogen synthase kinase-3alpha limits ischemic injury, cardiac rupture, post
myocardial infarction remodeling and death.
AB - BACKGROUND: The molecular pathways that regulate the extent of ischemic injury
and post-myocardial infarction (MI) remodeling are not well understood. We
recently demonstrated that glycogen synthase kinase-3alpha (GSK-3alpha) is
critical to the heart's response to pressure overload. However, the role, if any,
of GSK-3alpha in regulating ischemic injury and its consequences is not known.
METHODS AND RESULTS: MI was induced in wild-type (WT) versus GSK-3alpha((-/-))
(KO) littermates by left anterior descending coronary artery ligation. Pre-MI,
WT, and KO hearts had comparable chamber dimensions and ventricular function, but
as early as 1 week post-MI, KO mice had significantly more left ventricular
dilatation and dysfunction than WT mice. KO mice also had increased mortality
during the first 10 days post-MI (43% versus 22%; P=0.04), and postmortem
examination confirmed cardiac rupture as the cause of most of the deaths. In the
mice that survived the first 10 days, left ventricular dilatation and dysfunction
remained worse in the KO mice throughout the study (8 weeks). Hypertrophy,
fibrosis, and heart failure were all increased in the KO mice. Given the early
deaths due to rupture and the significant reduction in left ventricular function
evident as early as 1 week post-MI, we examined infarct size following a 48-hour
coronary artery ligation and found it to be increased in the KO mice. This was
accompanied by increased apoptosis in the border zone of the MI. This increased
susceptibility to ischemic injury-induced apoptosis was also seen in
cardiomyocytes isolated from the KO mice that were exposed to hypoxia. Finally,
Bax translocation to the mitochondria and cytochrome C release into the cytosol
were increased in the KO mice. CONCLUSION: GSK-3alpha confers resistance to
ischemic injury, at least in part, via limiting apoptosis. Loss of GSK-3alpha
promotes ischemic injury, increases risk of cardiac rupture, accentuates post-MI
remodeling and left ventricular dysfunction, and increases the progression to
heart failure. These findings are in striking contrast to multiple previous
reports in which deletion or inhibition of GSK-3beta is protective.
PMID- 22086877
TI - Hybrid open endovascular technique for aortic thoracoabdominal pathologies.
AB - BACKGROUND: Many authors using a hybrid debranching strategy for the treatment of
thoracoabdominal pathologies have reported disappointing results and the initial
enthusiasm for the technique has given way to criticism and ambiguity. The aim of
the present meta-analysis study was to assess the safety and efficacy of the
technique in patients with thoracoabdominal aortic aneurysms or other aortic
pathologies. METHODS AND RESULTS: A multiple electronic search was performed on
all articles describing hybrid open endovascular repair. Separate meta-analyses
were conducted for technical success, visceral graft patency, spinal cord
ischemia symptoms, renal insufficiency, and other complications as well as 30
day/in-hospital mortality. Nineteen publications with a total of 507 patients
were analyzed. The pooled estimates for primary technical success and visceral
graft patency were 96.2% (95% CI, 93.5%-98.2%) and 96.5% (95% CI, 95.2%-97.8%)
respectively. A pooled rate of 7.5% (95% CI, 5.0%-11.0%) for overall spinal cord
ischemia symptoms was observed; whereas for irreversible paraplegia the pooled
rate was 4.5% (95% CI, 2.5%-7.0%). The pooled estimate for renal failure was 8.8%
(95% CI, 3.9%-15.5%). The pooled 30-day/in-hospital mortality rate was 12.8% (95%
CI, 8.6%-17.0%). During the mean follow-up period of 34.5 (95% CI, 31.5-37.5)
months, a total of 119 endoleaks were identified in 111 patients (22.7%).
CONCLUSIONS: The repair of thoracoabdominal pathologies by means of hybrid
procedures in patients who are poor surgical candidates is still associated with
significant morbidity and mortality rates. Future studies may substantiate
whether the technique is amenable to amelioration and improvement.
PMID- 22086878
TI - Safety and efficacy of allogeneic cell therapy in infarcted rats transplanted
with mismatched cardiosphere-derived cells.
AB - BACKGROUND: Cardiosphere-derived cells (CDCs) are an attractive cell type for
tissue regeneration, and autologous CDCs are being tested clinically. However,
autologous therapy necessitates patient-specific tissue harvesting and cell
processing, with delays to therapy and possible variations in cell potency. The
use of allogeneic CDCs, if safe and effective, would obviate such limitations. We
compared syngeneic and allogeneic CDC transplantation in rats from
immunologically-mismatched inbred strains. METHODS AND RESULTS: In vitro, CDCs
expressed major histocompatibility complex class I but not class II antigens or
B7 costimulatory molecules. In mixed-lymphocyte cocultures, allogeneic CDCs
elicited negligible lymphocyte proliferation and inflammatory cytokine secretion.
In vivo, syngeneic and allogeneic CDCs survived at similar levels in the
infarcted rat heart 1 week after delivery, but few syngeneic (and even fewer
allogeneic) CDCs remained at 3 weeks. Allogeneic CDCs induced a transient, mild,
local immune reaction in the heart, without histologically evident rejection or
systemic immunogenicity. Improvements in cardiac structure and function,
sustained for 6 months, were comparable with syngeneic and allogeneic CDCs.
Allogeneic CDCs stimulated endogenous regenerative mechanisms (cardiomyocyte
cycling, recruitment of c-kit(+) cells, angiogenesis) and increased myocardial
vascular endothelial growth factor, insulin-like growth factor-1, and hepatocyte
growth factor equally with syngeneic CDCs. CONCLUSIONS: Allogeneic CDC
transplantation without immunosuppression is safe, promotes cardiac regeneration,
and improves heart function in a rat myocardial infarction model, mainly through
stimulation of endogenous repair mechanisms. The indirect mechanism of action
rationalizes the persistence of benefit despite the evanescence of transplanted
cell survival. This work motivates the testing of allogeneic human CDCs as a
potential off-the-shelf product for cellular cardiomyoplasty.
PMID- 22086879
TI - Treatment of unexplained syncope: a multicenter, randomized trial of cardiac
pacing guided by adenosine 5'-triphosphate testing.
AB - BACKGROUND: The origin of 40% of syncope cases remains unknown even after a
complete diagnostic workup. Previous studies have suggested that ATP testing has
value in selecting successful therapy. This patient-blinded, multicenter,
randomized superiority trial tested whether, in patients with syncope of unknown
origin, selecting cardiac pacing in those with a positive ATP test leads to fewer
recurrences than those who do not receive pacing. METHODS AND RESULTS: From 2000
to 2005, 80 consenting patients (mean age, 75.9+/-7.7 years; 81% women; 56%
without diagnosed structural heart disease) with syncope of unknown origin and
atrioventricular or sinoatrial block lasting >10 seconds (average, 17.9+/-6.8
seconds) under ATP administration (20-mg IV bolus) were recruited from 10
hospitals, implanted with programmable pacemakers, and randomized to either
active pacing (dual-chamber pacing at 70 bpm) or backup pacing (atrial pacing at
30 bpm). Patients were followed up regularly for up to 5 years for any syncope
recurrence, the primary outcome. Mean follow-up was 16 months. Syncope recurred
in 8 of 39 patients (21%) randomized to active pacing and in 27 of 41 (66%)
randomized to backup pacing (control), yielding a hazard ratio of 0.25 (95%
confidence interval, 0.12-0.56). After recurrence, the 27 recurrent control
patients were reprogrammed to active pacing, and only 1 reported subsequent
syncope. CONCLUSION: This study suggests that, in elderly patients with syncope
of unknown origin and positive ATP tests, active dual-chamber pacing reduces
syncope recurrence risk by 75% (95% confidence interval, 44-88). CLINICAL TRIAL
REGISTRATION: URL: http://www.controlled-trials.com/ISRCTN00029383. Unique
identifier: ISRCTN00029383.
PMID- 22086880
TI - Comparison of the durations of mild therapeutic hypothermia on outcome after
cardiopulmonary resuscitation in the rat.
AB - BACKGROUND: Current studies have demonstrated that applying therapeutic
hypothermia for 12 to 24 hours after resuscitation from cardiac arrest improves
the outcomes of cardiopulmonary resuscitation. The present study investigated
whether a shorter duration of therapeutic hypothermia induced quickly and early
after resuscitation would provide an equal improvement in the outcomes of
cardiopulmonary resuscitation. METHODS AND RESULTS: Ventricular fibrillation was
induced and untreated for 8 minutes in 24 male Sprague-Dawley rats.
Defibrillation was attempted after 8 minutes of cardiopulmonary resuscitation.
Seven minutes after resuscitation, animals were randomized into 4 groups (n=6
each): normothermic, hypothermic-2 hours, hypothermic-5 hours, and hypothermic-8
hours. Animals in the hypothermic groups received rapid cooling, which was
started 7 minutes after restoration of spontaneous circulation and maintained at
33+/-0.5 degrees C for 2, 5, or 8 hours. Normothermic animals were maintained at
37+/-0.2 degrees C. All animals were anesthetized and ventilated for 8 hours
after resuscitation. Blood temperature was significantly decreased in the
hypothermic groups. Postresuscitation myocardial function, neurological deficit
scores, and 72-hour survival were significantly better in animals treated with
hypothermia regardless of the duration of cooling. However, significantly better
postresuscitation tissue microcirculation, myocardial ejection fraction, and
neurological deficit scores were observed in the hypothermic-2 hours animals.
CONCLUSIONS: In a rat model of cardiopulmonary resuscitation, a shorter duration
of mild hypothermia induced rapidly and early after restoration of spontaneous
circulation improved postresuscitation microcirculation, myocardial and cerebral
functions, and survival as well as, or better than, prolonged duration of
hypothermia after resuscitation.
PMID- 22086881
TI - Survival in childhood pulmonary arterial hypertension: insights from the registry
to evaluate early and long-term pulmonary arterial hypertension disease
management.
AB - BACKGROUND: Pulmonary arterial hypertension (PAH) is a rare but important cause
of morbidity and mortality in children. METHODS AND RESULTS: We analyzed data
from 216 patients <=18 years of age at diagnosis who were enrolled in the
Registry to Evaluate Early and Long-Term PAH Disease Management (REVEAL). Median
age at diagnosis and enrollment was 7 and 15 years, respectively. The most
frequent presenting symptom was dyspnea (idiopathic/familial PAH, 53%; PAH
associated with congenital heart disease, 30%). Presyncope/syncope was more
frequent in patients with idiopathic PAH/familial PAH (36%) than in those with
PAH associated with congenital heart disease (4%). At diagnosis, mean pulmonary
artery pressure and pulmonary vascular resistance index were 56 mm Hg and 17 Wood
units . m(2), respectively. Five-year survival from diagnosis for the overall
cohort was 74+/-6%, with no significant difference between the idiopathic
PAH/familial PAH (n=122, 75+/-7%) and PAH associated with congenital heart
disease (n=77, 71+/-13%) cohorts (P=0.53). Older age at diagnosis was the only
variable significantly associated with decreased survival from diagnosis.
Variables at enrollment that were significantly associated with decreased
survival from enrollment included higher pulmonary vascular resistance index,
lower-weight z scores, and familial PAH. Additional variables at enrollment,
identified in a secondary analysis, that were marginally associated with
increased survival from enrollment included acute vasoreactivity (adaptation of
conventional pediatric definition; P=0.087) and lower brain natriuretic peptide
(P=0.060). None of the 22 patients who were acute responders treated with high
dose calcium channel blockade as monotherapy or combination therapy died within 5
years of diagnosis. CONCLUSION: Using REVEAL, we identified key predictors of
survival in childhood PAH. Refining these prognostic parameters should help
clinicians improve outcomes. CLINICAL TRIAL REGISTRATION: URL:
www.clinicaltrials.gov. Unique identifier: NCT00370214.
PMID- 22086882
TI - No association between Parkinson disease alleles and the risk of melanoma.
AB - BACKGROUND: Recent data showed that melanoma was more common among patients with
Parkinson disease than individuals without Parkinson disease and vice versa. It
has been hypothesized that these two diseases may share common genetic and
environmental risk factors. METHODS: We evaluated the association between single
nucleotide polymorphisms (SNP) selected on the basis of recent genome-wide
association studies (GWAS) on Parkinson disease risk and the risk of melanoma
using 2,297 melanoma cases and 6,651 controls. RESULTS: The Parkinson disease SNP
rs156429 in the chromosome 7p15 region was nominally associated with melanoma
risk with P value of 0.04, which was not significant after the Bonferroni
correction for multiple comparisons. No association was observed between the
remaining 31 Parkinson disease SNPs and the risk of melanoma. The genetic score
based on the number of Parkinson disease risk allele was not associated with
melanoma risk [OR for the highest genetic score quartile (30-35) vs. the lowest
(15-20), 1.13, 95% confidence interval (CI), 0.47-2.70]. CONCLUSION: The
Parkinson disease SNPs identified in published GWAS do not seem to play an
important role in melanoma development. IMPACT: The Parkinson disease
susceptibility loci discovered by GWAS contribute little to the observed
epidemiologic association between the Parkinson disease and melanoma.
PMID- 22086883
TI - Plasma 25-hydroxyvitamin D and risk of pancreatic cancer.
AB - BACKGROUND: Laboratory studies suggest that vitamin D may inhibit pancreatic
cancer cell growth. However, epidemiologic studies of vitamin D and pancreatic
cancer risk have been conflicting. METHODS: To determine whether prediagnostic
levels of plasma 25-hydroxyvitamin D (25[OH]D; IDS Inc.; enzyme immunoassay) were
associated with risk of pancreatic cancer, we conducted a pooled analysis of
nested case-control studies with 451 cases and 1,167 controls from five cohorts
through 2008. Median follow-up among controls was 14.1 years in Health
Professionals Follow-Up Study (HPFS), 18.3 years in Nurses' Health Study (NHS),
25.3 years in Physicians' Health Study (PHS), 12.2 years in Women's Health
Initiative-Observational Study (WHI), and 14.4 years in Women's Health Study
(WHS). Logistic regression was used to compare the odds of pancreatic cancer by
plasma level of 25(OH)D. RESULTS: Mean plasma 25(OH)D was lower in cases versus
controls (61.3 vs. 64.5 nmol/L, P = 0.005). In logistic regression models, plasma
25(OH)D was inversely associated with odds of pancreatic cancer. Participants in
quintiles two through five had multivariable-adjusted ORs (95% confidence
intervals) of 0.79 (0.56-1.10), 0.75 (0.53-1.06), 0.68 (0.48-0.97), and 0.67
(0.46-0.97; P(trend) = 0.03), respectively, compared with the bottom quintile.
Compared with those with insufficient levels [25[OH]D, <50 nmol/L], ORs were 0.75
(0.58-0.98) for subjects with relative insufficiency [25[OH]D, 50 to <75 nmol/L]
and 0.71 (0.52-0.97) for those with sufficient levels [25[OH]D, >= 75 nmol/L]. No
increased risk was noted in subjects with 25(OH)D >=100 nmol/L, as suggested in a
prior study. In subgroup analyses, ORs for the top versus bottom quartile of
25(OH)D were 0.72 (0.48-1.08) for women, 0.73 (0.40-1.31) for men, and 0.73 (0.51
1.03) for Whites. CONCLUSIONS: Among participants in five large prospective
cohorts, higher plasma levels of 25(OH)D were associated with a lower risk for
pancreatic cancer. IMPACT: Low circulating 25(OH)D may predispose individuals to
the development of pancreatic cancer.
PMID- 22086885
TI - Statistics for school nursing practice.
PMID- 22086884
TI - Lead, calcium uptake, and related genetic variants in association with renal cell
carcinoma risk in a cohort of male Finnish smokers.
AB - BACKGROUND: Lead is classified as a probable human carcinogen. However, its role
in renal cell cancer (RCC) has not been established. Calcium and vitamin D may
off-set toxicity in vivo. METHODS: In this nested case-control study, whole blood
lead, total serum calcium, and serum 25-hydroxyvitamin D levels were measured in
blood drawn prior to diagnosis among male smokers participating in the Alpha
Tocopherol, Beta-Carotene Cancer Prevention Study. Single-nucleotide
polymorphisms (SNP) in five genes (CALB1, TRPV5, TRPV6, VDR, and ALAD) related to
lead toxicity or calcium transport were genotyped. Logistic and linear
regressions were used to determine RCC risk and time to diagnosis (respectively),
adjusting for other risk factors. RESULTS: Among 154 newly diagnosed cases and
308 matched controls, RCC was associated with higher whole blood lead [OR = 2.0;
95% confidence interval (CI), 1.0-3.9; quartile 4 (Q4) vs. Q1, P(trend) = 0.022]
and CALB1 rs1800645 (P(trend) = 0.025, minor 'T' allele frequency = 0.34). Higher
total serum calcium (P(trend) <= 0.001) was associated with reduced RCC risk.
Total serum calcium and 25-hydroxyvitamin D levels did not alter the association
observed with lead. Time from enrollment to RCC diagnosis was positively
associated with serum calcium (P(trend) = 0.002) and 25-hydroxyvitamin D
(P(trend) = 0.054) among cases. CONCLUSIONS: Higher blood lead concentrations,
below the 10 MUg/dL level of concern, were associated with RCC, independent from
serum calcium and CALB1 promoter polymorphism. IMPACT: Increased risk of RCC is
associated with lower serum calcium and higher whole blood lead in smokers. The
clinical prognostic value of serum calcium and vitamin D in RCC should be further
investigated.
PMID- 22086887
TI - Vaccines for measles, mumps, rubella, varicella, and herpes zoster: immunization
guidelines for adults.
AB - Although vaccinations are most commonly associated with the pediatric population,
it is important for healthcare professionals to be familiar with the vaccines
that are recommended for adults. The authors discuss 3 vaccines-the measles,
mumps, and rubella (MMR) vaccine, the varicella vaccine, and the herpes zoster
vaccine-including information about the diseases and complications that they
protect against. Two doses, separated by 4 weeks, of both the MMR and varicella
vaccines are recommended for all adults who do not have immunization or
contraindications. All adults aged 60 years or older should receive a single dose
of the herpes zoster vaccine unless they have contraindications. These 3 vaccines
offer protection from illnesses that can have serious sequelae and substantial
public health implications.
PMID- 22086888
TI - A shot at hepatitis prevention.
AB - Recent trends suggest that the prevalence of hepatitis infection has declined in
populations vaccinated against the hepatitis viruses. Still, with an estimated
20,000 new infections annually in the United States from human hepatitis A virus,
as many as 100,000 annual cases of acute infection from hepatitis B virus, and
more than 1 million individuals with chronic hepatitis B virus infection, there
is room for further improvement. Although hepatitis A virus infection causes
debilitating symptoms and illness, it is typically not a protracted illness, and
it usually resolves over weeks to months without further sequelae. By contrast,
hepatitis B may convert to a chronic infection that leads to cirrhosis and
hepatocellular carcinoma, underscoring the importance of vaccinations in at-risk
individuals.
PMID- 22086889
TI - Protecting the world against meningitis: new recommendations from the CDC's
advisory committee on immunization practices.
AB - Meningococcal meningitis is a rare but often fatal disease. Throughout
adolescence, the incidence of meningococcal meningitis increases, reaching a peak
among individuals between the ages of 16 and 21 years. Vaccines are available to
combat this deadly disease. Recently, the Centers for Disease Control and
Prevention's Advisory Committee on Immunization Practices updated its
recommendations on meningitis vaccination to improve outcomes and to prevent this
disease in adolescents and other vulnerable populations, such as adults traveling
internationally to epidemic areas. Improved meningitis vaccines and revised
vaccination recommendations will help to create a healthier world.
PMID- 22086890
TI - Time for changes in pneumococcal vaccination of adults?
AB - Because Streptococcus pneumoniae continues to be an important cause of morbidity
and mortality, especially among young children and elderly adults, Healthy People
2020 includes several objectives for decreasing invasive pneumococcal disease and
increasing pneumococcal vaccine uptake. Current recommendations of the Advisory
Committee on Immunization Practices regarding pneumococcal vaccination for adults
have not changed appreciatively in several years. However, it is possible that
ongoing research may lead to changes in these recommendations within the next few
years. Since the licensure of the 7-valent pneumococcal conjugate vaccine for
children in 2000, impressive decreases in pneumonia-related diseases caused by
strains in the vaccine have been noted among all age groups receiving
vaccination. Coupled with continued concerns about the efficacy of the 23-valent
pneumococcal polysaccharide vaccine for adults, particularly in regard to
nonbacteremic pneumonia, questions are raised about the potential efficacy and
viability of conjugate vaccines for adults.
PMID- 22086891
TI - Revisiting the influenza vaccine.
AB - The Centers for Disease Control and Prevention (CDC) has declared the decline in
preventable diseases through vaccination to be 1 of the 10 great public health
achievements in the past decade in the United States. Still, influenza epidemics
occur every year in the United States and are associated with high rates of
morbidity and mortality. A substantial portion of the US population chooses not
to get vaccinated against influenza despite the illness and death associated with
the disease. Low rates of vaccination are of particular concern in high-risk
patients. The CDC's Advisory Committee on Immunization Practices has broadened
its influenza vaccine recommendations to include all individuals older than 6
months. Education of patients about the value of influenza vaccination will help
to increase vaccination rates.
PMID- 22086892
TI - Vaccinations recommended during pregnancy and breastfeeding.
AB - Optimal protection against preventable diseases for the pregnant woman and her
fetus can be provided through vaccination prior to pregnancy. When indicated,
however, the benefits of immunization during pregnancy and breastfeeding may
outweigh the theoretical risks of potential adverse events. Several vaccinations
recommended by the Advisory Committee on Immunization Practices of the Centers
for Disease Control and Prevention (CDC) can reduce maternal and fetal morbidity
and mortality from preventable diseases. Physicians can maintain the highest
standards of clinical practice by advocating for appropriate vaccinations in
their female patients. The authors discuss current versions of CDC vaccination
recommendations, contraindications, and precautions for pregnant and
breastfeeding women.
PMID- 22086893
TI - Update on the advisory committee on immunization practices' recommendations for
use of herpes zoster vaccine.
AB - A live attenuated vaccine to prevent herpes zoster, or shingles (Zostavax; Merck
& Co Inc, Whitehouse Station, NJ), is approved by the US Food and Drug
Administration (FDA) for use in adults aged 50 years or older. Studies show that
this vaccine is safe when administered to immunocompetent adults. Investigations
are being conducted to evaluate the long-term safety and efficacy of the vaccine
in immunocompromised populations, including patients who are dependent on
steroids. The Advisory Committee on Immunization Practices (ACIP) of the Centers
for Disease Control and Prevention recommends that this vaccine be routinely
administered only to patients aged 60 years or older. As more data regarding
duration of immunity after vaccination become available and as concerns regarding
supply of this vaccine are adequately addressed, the ACIP plans to reconsider its
recommendations regarding its use in patients aged 50 to 59 years. The author
provides an overview of the herpes zoster vaccine, focusing on the latest
extension in use approved by the FDA and the recommendations of the ACIP.
PMID- 22086894
TI - Cracking the shell on egg-hypersensitive patients and egg-containing vaccines.
AB - Hens' eggs are a common food in the American diet. They are consumed as a primary
food source and added as an ingredient to other foods. In individuals who are
hypersensitive to eggs, egg-containing foods can cause mild to severe allergic
reactions if ingested. These individuals may also have adverse reactions to
vaccines produced on egg media. Vaccines that are created on egg media include
those for measles, mumps, and rubella; rabies; yellow fever; and influenza. The
authors discuss recent developments in the use of egg-containing vaccines in
hypersensitive patients.
PMID- 22086895
TI - Tdap vaccine: current indications for adolescent and adult patients in the United
States.
AB - Despite the availability of a tetanus toxoid, reduced diphtheria toxoid, and
acellular pertussis (Tdap) vaccine in the United States since 2005, the vaccine
remains underutilized and perhaps misunderstood by many physicians. Pertussis
continues to be a major public health problem, with adults being the primary
source of infectious transmission to unprotected infants. Consequently, the
Centers for Disease Control and Prevention's Advisory Committee on Immunization
Practices has expanded the indications for the Tdap vaccine. In addition, the
vaccine can be safely administered regardless of the time since the patient's
last tetanus-diphtheria booster. Widespread use of the Tdap vaccine-especially in
health-care workers, adolescents, and adults aged 19 to 64 years-should greatly
reduce the incidence of Bordetella pertussis infection in the United States. The
recent Food and Drug Administration approval of the Tdap vaccine for individuals
aged 65 years or older should increase the use of this vaccine.
PMID- 22086896
TI - The cancer biomarker osteopontin: combination with other markers.
AB - Osteopontin (OPN) is a biomarker for cancer progression and prognosis in multiple
tumor types, however, it has not yet found entry into clinical diagnostics. In
recent years, there has been an increasing recognition that marker combinations
may have better diagnostic or prognostic potential than individual molecules.
While various studies have analyzed OPN in conjunction with other markers, a
comprehensive review of their published results is still lacking. OPN in tumor
tissue or in the blood has been investigated in conjunction with a broad range of
other markers in diverse types of cancer. OPN has been combined with cancer
specific markers, functionally converging biomolecules (angiogenesis,
motility/adhesion, extracellular matrix, bone) and synergizing biomolecules
(fibrinolytic system, calcium homeostatic proteins, squamous cell carcinoma
antigen, NF-kappaB pathways, proteases). Clinical parameters of interest have
been cancer detection, assessment of progression, and prognosis/treatment
response. Some marker combinations with OPN are promising for detection,
diagnosis or prognosis in various types of cancer. Yet, surprisingly, in many
cases, the published results are conflicting, and no clear metrics have been
developed for utilizing marker combinations in clinical decision making. With the
current intense interest in multiplex marker panels, additional, large-scale
studies are needed to realize the full diagnostic and prognostic potential of
OPN.
PMID- 22086897
TI - Early detection of ovarian cancer in samples pre-diagnosis using CA125 and MALDI
MS peaks.
AB - AIM: A nested case-control discovery study was undertaken to test whether
information within the serum peptidome can improve on the utility of CA125 for
early ovarian cancer detection. MATERIALS AND METHODS: High-throughput matrix
assisted laser desorption ionisation mass spectrometry (MALDI-MS) was used to
profile 295 serum samples from women pre-dating their ovarian cancer diagnosis
and from 585 matched control samples. Classification rules incorporating CA125
and MS peak intensities were tested for discriminating ability. RESULTS: Two
peaks were found which in combination with CA125 discriminated cases from
controls up to 15 and 11 months before diagnosis, respectively, and earlier than
using CA125 alone. One peak was identified as connective tissue-activating
peptide III (CTAPIII), whilst the other was putatively identified as platelet
factor 4 (PF4). ELISA data supported the down-regulation of PF4 in early cancer
cases. CONCLUSION: Serum peptide information with CA125 improves lead time for
early detection of ovarian cancer. The candidate markers are platelet-derived
chemokines, suggesting a link between platelet function and tumour development.
PMID- 22086898
TI - The role of metalloendopeptidases in oropharyngeal carcinomas assessed by tissue
microarray.
AB - The goal of this study was to investigate the expression of some
metalloendopeptidases in squamous cell carcinomas of the oropharynx as well as
its relation to histological differentiation, staging of disease, and prognosis.
Paraffin blocks from 21 primary tumors were obtained from archives of the
Department of Pathology, Paulista Medical School, Federal University of Sao
Paulo, UNIFESP/EPM. Immunohistochemistry was used to detect the expression of
EP24.15 and EP24.16 by means of tissue microarrays. Expression of EP24.15 or
EP24.16 was not correlated with the stage of disease, histopathological grading
or recurrence in squamous cell carcinomas of the oropharynx. In summary, our
results support the notion that EP24.15 and EP24.16 are expressed in carcinoma of
the oropharynx; however, these do not appear to be suitable biomarkers for
histological grading, disease stage or recurrence as depicted by tissue
microarrays and immunohistochemistry.
PMID- 22086900
TI - Favourable response to plasma exchange in tumefactive CNS demyelination with
delayed B-cell response.
AB - We report a case of multiple sclerosis-associated fulminant tumefactive
demyelinating lesion (TDL) with the special feature of delayed humoral immune
response. Plasma exchange (PE) yielded significant benefit in two consecutive
steroid-unresponsive relapses, while signs of an intrathecal B-cell response were
only present 2 years later at the second relapse. Remission was achieved and
sustained thereafter with natalizumab. Our case indicates that PE might be a
therapeutic option even when the B-cell response is not fully developed. This
delay in the development of a humoral immune response may reflect the step-wise B
cell colonization of the CNS and represent an attractive therapeutic window of
opportunity.
PMID- 22086901
TI - Modulation of the central memory and Tr1-like regulatory T cells in multiple
sclerosis patients responsive to interferon-beta therapy.
AB - BACKGROUND: Interferon-beta is used to reduce disease activity in multiple
sclerosis, but its action is incompletely understood, individual treatment
response varies among patients, and biological markers predicting clinical
benefits have yet to be identified. Since it is known that multiple sclerosis
patients have a deficit of the regulatory T-cell subsets, we investigated whether
interferon-beta therapy induced modifications of the two main categories of
regulatory T cells (Tregs), natural and IL-10-secreting inducible Tr1 subset, in
patients who are biologically responsive to the therapy. METHODS: T-cell
phenotype was determined by flow cytometry, while real-time PCR was used to
evaluate interferon-beta bioactivity through MxA determination, and to measure
the RNA for IL-10 and CD46 molecule in peripheral blood mononuclear cells
stimulated with anti-CD46 and anti-CD3 monoclonal antibodies, which are known to
expand a Tr1-like population. RESULTS: Interferon-beta induced a redistribution
of natural Treg subsets with a shift of naive Tregs towards the 'central memory
like' Treg population that expresses the CCR7 molecule required for the in vivo
suppressive activity. Furthermore, in a subgroup of treated patients, the
CD46/CD3 co-stimulation, probably through the Tr1-like subset modulation,
increased the production of RNA for IL-10 and CD46. The same group showed a lower
median EDSS score after two years of therapy. CONCLUSIONS: The selective increase
of 'central memory-like' subset and the involvement of the Tr1-like population
may be two of the mechanisms by which interferon-beta achieves its beneficial
effects. The quantification of RNA for IL-10 and CD46 could be used to identify
patients with a different response to interferon-beta therapy.
PMID- 22086902
TI - Effect of condensed tannins addition on the astringency of red wines.
AB - Astringency has been defined as a group of sensations involving dryness,
tightening, and shrinking of the oral surface. It has been accepted that
astringency is due to the tannin-induced interaction and/or precipitation of the
salivary proline-rich proteins (PRPs) in the oral cavity, as a result of the
ingestion of food products rich in tannins, for example, red wine. The sensory
evaluation of astringency is difficult, and the existence of fast and reliable
methods to its study in vitro is scarce. So, in this work, the astringency of red
wine supplemented with oligomeric procyanidins (condensed tannins), and the
salivary proteins (SP) involved in its development were evaluated by high
performance liquid chromatography analysis of human saliva after its interaction
with red wine and by sensorial evaluation. The results show that for low
concentration of tannins, the decrease of acidic PRPs and statherin is correlated
with astringency intensity, with these families having a high relative
complexation and precipitation toward condensed tannins comparatively to the
other SP. However, for higher concentrations of tannins, the relative astringency
between wines seems to correlate's to the glycosylated PRPs changes. This work
shows for the first time that the several families of SP could be involved in
different stages of the astringency development.
PMID- 22086903
TI - Effects of robot-assisted gait training on cardiopulmonary fitness in subacute
stroke patients: a randomized controlled study.
AB - BACKGROUND: . Robot-assisted gait training has the potential to improve
cardiopulmonary fitness after stroke, even for patients who are in the early
stages of recovery and not independent ambulators. The authors compared the
effects of robot-assisted gait training and conventional physical therapy on
cardiopulmonary fitness. METHODS: . A prospective single-blinded, randomized
controlled study of 37 patients receiving inpatient rehabilitation was performed
within 1 month after stroke onset. The robot-assisted gait training group (n =
20) received 40 minutes of gait training with Lokomat and 60 minutes of
conventional physical therapy each day, whereas the control group (n = 17)
received 100 minutes of conventional physical therapy daily. Using a
semirecumbent cycle ergometer, changes in cardiopulmonary fitness were
investigated using incremental exercise testing. Motor and gait functional
recovery was measured according to changes in the lower-extremity score of the
Fugl-Meyer Assessment Scale (FMA-L), leg score of the Motricity Index (MI-L), and
the Functional Ambulation Category (FAC). RESULTS: . Compared with the control
group, the robot group showed 12.8% improvement in peak VO(2) after training (P <
.05). Compared with the control group, the robot group also improved in FMA-L
score (P < .05). CONCLUSION: . Patients can be trained to increase their VO(2)
and lower-extremity strength using a robotic device for stepping during inpatient
rehabilitation. This training has the potential to improve cardiopulmonary
fitness in patients who are not yet independent ambulators, but that may require
more than 2 weeks of continued, progressive training.
PMID- 22086904
TI - Widespread interspecific divergence in cis-regulation of transposable elements in
the Arabidopsis genus.
AB - Transposable elements (TEs) are so abundant and variable that they count among
the most important mutational sources in genomes. Nonetheless, little is known
about the genetics of their variation in activity or silencing across closely
related species. Here, we demonstrate that regulation of TE genes can differ
dramatically between the two closely related Arabidopsis species A. thaliana and
A. lyrata. In leaf and floral tissues of F1 interspecific hybrids, about 47% of
TEs show allele-specific expression, with the A. lyrata copy being generally
expressed at higher level. We confirm that TEs are generally expressed in A.
lyrata but not in A. thaliana. Allele-specific differences in TE expression are
associated with divergence in epigenetic modifications like DNA and histone
methylation between species as well as with sequence divergence. Our data
demonstrate that A. thaliana silences TEs much better than A. lyrata. For long
terminal repeat retrotransposons, these differences are more pronounced for
younger insertions. Interspecific differences in TE silencing may have a great
impact on genome size changes.
PMID- 22086905
TI - Role for ion transport in porcine vocal fold epithelial defense to acid
challenge.
AB - OBJECTIVE: The vocal fold epithelium is routinely exposed to gastric contents,
including acid and pepsin, during laryngopharyngeal reflux events. The epithelium
may possess intrinsic defenses to reflux. The first objective of the current
study was to examine whether vocal fold epithelial ion transport is one potential
mechanism of defense to gastric contents. The second objective was to determine
whether ion transport in response to gastric contents is associated with the
secretion of bicarbonate. STUDY DESIGN: Prospective design in excised porcine
larynges. SETTING: Laboratory. SUBJECTS AND METHODS: Porcine vocal folds (N = 56)
were exposed on the luminal surface to acid, pepsin, or sham challenges. Ion
transport at baseline and following challenge exposure was measured using
electrophysiological techniques. To examine specific ion transport mechanisms,
vocal folds were pretreated with either a sodium channel blocker or bicarbonate
channel blocker. RESULTS: Within 60 seconds of acid but not pepsin exposure,
there was a significant increase in ion transport. This rapid increase in ion
transport was transient and related to bicarbonate secretion. CONCLUSION: The
current data suggest that porcine vocal folds immediately increase bicarbonate
secretion following exposure to acid. Bicarbonate secretion may act to neutralize
acid. These findings contribute to the identification of the mechanisms
underlying vocal fold defense to reflux and offer implications for the
development of treatments for reflux-induced vocal fold injury.
PMID- 22086906
TI - Suppression of G-protein-coupled receptor kinase 3 expression is a feature of
classical GBM that is required for maximal growth.
AB - G-protein-coupled receptor kinases (GRK) regulate the function of G-protein
coupled receptors (GPCR). Previously, we found that GPCR (CXCR4)-mediated
astrocytoma growth was dependent upon abnormally sustained CXCR4 signaling and
was correlated with decreased GRK-mediated receptor phosphorylation. As CXCR4 has
also been implicated in the stimulation of high-grade glioma growth, we sought to
determine whether dysregulation of GRK expression and/or function might also be
present in high-grade gliomas. In an analysis of data from The Cancer Genome
Atlas, we found that GRK3 expression is frequently decreased in glioblastoma
(GBM) of the classical subtype, which possesses signature amplification or
mutational activation of the epidermal growth factor (EGF) receptor. We tested
the correlation between GRK3 expression and GBM subtypes, as well as the
relationship between the activation of the EGF and other growth factor receptor
pathways and GRK expression. In analyses of primary GBM tissue and RNA specimens,
we found that GRK3 expression is correlated with established criteria for GBM
subtyping including expression of EGF receptor, platelet-derived growth factor
receptor (PDGFR)alpha, NF1, PTEN, CDKN2A, and neurofilament. We also found that
established drivers of gliomagenesis, the EGF, PDGF, and TGF-beta pathways, all
regulate GRK expression. Coculture experiments, designed to mimic critical
interactions between tumor and brain microvascular endothelial cells, showed that
specifically increasing GRK3 expression reduced the trophic effect of endothelial
cells on tumor cells. Together, these experiments show that GRK3 is a negative
regulator of cell growth whose expression is preferentially reduced in GBM of the
classical subtype as a consequence of activity in primary gliomagenic pathways.
PMID- 22086909
TI - DNA replication occurs in all lamina positive micronuclei, but never in lamina
negative micronuclei.
AB - A micronucleus is a small nucleus-like structure found in the cytoplasm of
dividing cells that suffered from genotoxic stress. It is generally hypothesised
that micronuclei content is eventually lost from cells, though the mechanism of
how this occurs is unknown. If DNA located within the micronucleus is not
replicated, it may explain the loss of micronuclei content. Because there had
been no compelling evidence for this issue, we have addressed whether DNA located
within the micronucleus is replicated this issue. Pulse labelling of
bromodeoxyuridine revealed that DNA synthesis takes place in a portion of
micronuclei that contain nuclear lamin B protein. By using iodine 3'
deoxyuridine/chlorodeoxyuridine double labelling, we found that all micronuclei
containing lamin B are replicated during one cell cycle, whereas micronuclei
lacking lamin B are never replicated. This result suggests that the content of
lamin B-negative micronuclei is lost during cell division. Furthermore, we
simultaneously visualised sites of DNA synthesis, lamin B and the
extrachromosomal double minutes chromatin, which contain amplified oncogenes. We
found that although the replication timing of double minutes was generally
preserved in micronuclei, at times it differed greatly from the timing in the
nucleus, which may perturb the expression of the amplified oncogenes. Taken
together, these findings uncovered the DNA replication occurring inside
micronuclei.
PMID- 22086907
TI - Association of the von Hippel-Lindau protein with AUF1 and posttranscriptional
regulation of VEGFA mRNA.
AB - The von Hippel-Lindau (VHL) tumor suppressor gene product is the recognition
component of an E3 ubiquitin ligase and is inactivated in patients with VHL
disease and in most sporadic clear-cell renal cell carcinomas (RCC). pVHL
controls oxygen-responsive gene expression at the transcriptional and
posttranscriptional levels. The VEGFA mRNA contains AU-rich elements (ARE) in the
3'-untranslated region, and mRNA stability or decay is determined through ARE
associated RNA-binding factors. We show here that levels of the ARE-binding
factor, AUF1, are regulated by pVHL and by hypoxia. pVHL and AUF1 stably
associate with each other in cells and AUF1 is a ubiquitylation target of pVHL.
AUF1 and another RNA-binding protein, HuR, bind to VEGFA ARE RNA.
Ribonucleoprotein (RNP) immunoprecipitations showed that pVHL associates
indirectly with VEGFA mRNA through AUF1 and/or HuR, and this complex is
associated with VEGFA mRNA decay under normoxic conditions. Under hypoxic
conditions pVHL is downregulated, whereas AUF1 and HuR binding to VEGF mRNA is
maintained, and this complex is associated with stabilized mRNA. These studies
suggest that AUF1 and HuR bind to VEGFA ARE RNA under both normoxic and hypoxic
conditions, and that a pVHL-RNP complex determines VEGFA mRNA decay. These
studies further implicate the ubiquitin-proteasome system in ARE-mediated RNA
degradation.
PMID- 22086908
TI - Significance of PELP1 in ER-negative breast cancer metastasis.
AB - Breast cancer metastasis is a major clinical problem. The molecular basis of
breast cancer progression to metastasis remains poorly understood. PELP1 is an
estrogen receptor (ER) coregulator that has been implicated as a proto-oncogene
whose expression is deregulated in metastatic breast tumors and whose expression
is retained in ER-negative tumors. We examined the mechanism and significance of
PELP1-mediated signaling in ER-negative breast cancer progression using two ER
negative model cells (MDA-MB-231 and 4T1 cells) that stably express PELP1-shRNA.
These model cells had reduced PELP1 expression (75% of endogenous levels) and
exhibited less propensity to proliferate in growth assays in vitro. PELP1
downregulation substantially affected migration of ER-negative cells in Boyden
chamber and invasion assays. Using mechanistic studies, we found that PELP1
modulated expression of several genes involved in the epithelial mesenchymal
transition (EMT), including MMPs, SNAIL, TWIST, and ZEB. In addition, PELP1
knockdown reduced the in vivo metastatic potential of ER-negative breast cancer
cells and significantly reduced lung metastatic nodules in a xenograft assay.
These results implicate PELP1 as having a role in ER-negative breast cancer
metastasis, reveal novel mechanism of coregulator regulation of metastasis via
promoting cell motility/EMT by modulating expression of genes, and suggest PELP1
may be a potential therapeutic target for metastatic ER-negative breast cancer.
PMID- 22086910
TI - New species of Gondwanamyces from dying Euphorbia trees in South Africa.
AB - Gondwanamyces and its Custingophora anamorphs were first described from Protea
infructescences in South Africa. Subsequently these unusual fungi were also found
on Cecropia in Central America. During an investigation into the decline and
death of native Euphorbia trees in South Africa, several fungal isolates
resembling the anamorph state of Gondwanamyces were obtained from diseased
tissues. In this study these isolates are identified based on morphology and
comparisons of DNA sequences. Two previously unknown Gondwanamyces species were
identified, both were associated with damage caused by beetles (Cossonus sp.).
Inoculation studies showed that the new species of Gondwanamyces are pathogenic
on Euphorbia ingens and may contribute to the decline of these trees.
PMID- 22086912
TI - Revision of taxonomic concept and systematic position of some Clavariaceae
species.
AB - A taxonomic and nomenclatural revision of some representatives of Clavariaceae is
presented based on extensive collecting in central and western Europe. Five
species originally described from Europe are identified, redescribed and
delimited: Clavaria fragilis, Ramariopsis crocea, R. corniculata, R. helvola and
R. pulchella. Lectotypes, epitypes or neotypes are designated for all these
species. Descriptions are based on macro- and micromorphological characters and
supplemented with DNA analyses of the nrLSU regions from 20 specimens. The
molecular phylogenetic analyses reconstructed a phylogram showing relationships
among the discussed species as well as some closely related taxa. The taxonomic
value of the ratio of length and width of spores (Q-value) is discussed.
PMID- 22086911
TI - Phylogenetic diversity of insecticolous fusaria inferred from multilocus DNA
sequence data and their molecular identification via FUSARIUM-ID and Fusarium
MLST.
AB - We constructed several multilocus DNA sequence datasets to assess the
phylogenetic diversity of insecticolous fusaria, especially focusing on those
housed at the Agricultural Research Service Collection of Entomopathogenic Fungi
(ARSEF), and to aid molecular identifications of unknowns via the FUSARIUM-ID and
Fusarium MLST online databases and analysis packages. Analyses of a 190-taxon,
two-locus dataset, which included 159 isolates from insects, indicated that: (i)
insect-associated fusaria were nested within 10 species complexes spanning the
phylogenetic breadth of Fusarium, (ii) novel, putatively unnamed insecticolous
species were nested within 8/10 species complexes and (iii) Latin binomials could
be applied with confidence to only 18/58 phylogenetically distinct fusaria
associated with pest insects. Phylogenetic analyses of an 82-taxon, three-locus
dataset nearly fully resolved evolutionary relationships among the 10 clades
containing insecticolous fusaria. Multilocus typing of isolates within four
species complexes identified surprisingly high genetic diversity in that 63/65 of
the fusaria typed represented newly discovered haplotypes. The DNA sequence data,
together with corrected ABI sequence chromatograms and alignments, have been
uploaded to the following websites dedicated to identifying fusaria: FUSARIUM-ID
(http://isolate.fusariumdb.org) at Pennsylvania State University's Department of
Plant Pathology and Fusarium MLST (http://www.cbs.knaw.nl/fusarium) at the
Centraalbureau voor Schimmelcultures (CBS-KNAW) Fungal Biodiversity Center.
PMID- 22086913
TI - Application of the Apn2/MAT locus to improve the systematics of the
Colletotrichum gloeosporioides complex: an example from coffee (Coffea spp.)
hosts.
AB - To improve phylogenetic resolution of the Colletotrichum gloeosporioides species
complex we developed and tested the performance of a new set of primers for the
Apn2/MAT locus with a case study of 22 isolates. These were isolated mainly from
coffee plants and represent six divergent and well characterized species within
the C. gloeosporioides complex. Following previous studies on this locus, we have
generated sequence data from an expanded region (> 4600 bp), revealing increased
phylogenetic informativeness when compared to other commonly used markers such as
ITS, beta-tub2 and GS. Within the Apn2/MAT locus the ApMAT marker alone was
almost as informative in terms of phylogenetic resolution as a seven-gene
concatenated dataset. Our results further revealed that gene-tree discordance may
come to be a common issue in resolving evolutionary relationships in the C.
gloeosporioides complex, highlighting the importance of multilocus approaches.
The use of state-of-the-art data analysis techniques and a highly informative
dataset as employed here may abate this issue and hopefully assist in
disentangling the C. gloeosporioides complex.
PMID- 22086914
TI - Generation and functional characterization of knock-in mice harboring the cardiac
troponin I-R21C mutation associated with hypertrophic cardiomyopathy.
AB - The R21C substitution in cardiac troponin I (cTnI) is the only identified
mutation within its unique N-terminal extension that is associated with
hypertrophic cardiomyopathy (HCM) in man. Particularly, this mutation is located
in the consensus sequence for beta-adrenergic-activated protein kinase A (PKA)
mediated phosphorylation. The mechanisms by which this mutation leads to heart
disease are still unclear. Therefore, we generated cTnI knock-in mouse models
carrying an R21C mutation to evaluate the resultant functional consequences.
Measuring the in vivo levels of incorporated mutant and WT cTnI, and their basal
phosphorylation levels by top-down mass spectrometry demonstrated: 1) a dominant
negative effect such that, the R21C+/- hearts incorporated 24.9% of the mutant
cTnI within the myofilament; and 2) the R21C mutation abolished the in vivo
phosphorylation of Ser(23)/Ser(24) in the mutant cTnI. Adult heterozygous (R21C+/
) and homozygous (R21C+/+) mutant mice activated the fetal gene program and
developed a remarkable degree of cardiac hypertrophy and fibrosis. Investigation
of cardiac skinned fibers isolated from WT and heterozygous mice revealed that
the WT cTnI was completely phosphorylated at Ser(23)/Ser(24) unless the mice were
pre-treated with propranolol. After propranolol treatment (-PKA), the pCa-tension
relationships of all three mice (i.e. WT, R21C+/-, and R21C+/+) were essentially
the same. However, after treatment with propranolol and PKA, the R21C cTnI
mutation reduced (R21C+/-) or abolished (R21C+/+) the well known decrease in the
Ca(2+) sensitivity of tension that accompanies Ser(23)/Ser(24) cTnI
phosphorylation. Altogether, the combined effects of the R21C mutation appear to
contribute toward the development of HCM and suggest that another physiological
role for the phosphorylation of Ser(23)/Ser(24) in cTnI is to prevent cardiac
hypertrophy.
PMID- 22086915
TI - CTRP1 protein enhances fatty acid oxidation via AMP-activated protein kinase
(AMPK) activation and acetyl-CoA carboxylase (ACC) inhibition.
AB - We previously described the adipokine CTRP1, which has up-regulated expression
following exposure to the anti-diabetic drug rosiglitazone and increased
circulating levels in adiponectin-null mice (Wong, G. W., Krawczyk, S. A.,
Kitidis-Mitrokostas, C., Revett, T., Gimeno, R., and Lodish, H. F. (2008)
Biochem. J. 416, 161-177). Although recombinant CTRP1 lowers blood glucose in
mice, its physiological function, mechanisms of action, and roles in metabolic
stress remain unknown. Here, we show that circulating levels of CTRP1 are
strikingly reduced in diet-induced obese mice. Overexpressing CTRP1 in transgenic
mice improved insulin sensitivity and decreased high-fat diet-induced weight
gain. Reduced adiposity resulted from enhanced fatty acid oxidation and energy
expenditure, effects mediated by AMP-activated protein kinase (AMPK). In skeletal
muscle of transgenic mice, AMPKalpha and its downstream target, acetyl-CoA
carboxylase (ACC), were hyperphosphorylated, indicative of AMPK activation and
ACC inhibition. Inactivation of ACC promotes mitochondrial fat oxidation.
Consistent with the direct effect of CTRP1 on AMPK signaling, recombinant CTRP1
administration acutely stimulated muscle AMPKalpha and ACC phosphorylation in
vivo. In isolated soleus muscle, recombinant CTRP1 activated AMPK signaling to
increase fatty acid oxidation ex vivo, an effect abrogated by an AMPK inhibitor.
These results provide the first in vivo evidence that CTRP1 is a novel regulator
of fatty acid metabolism.
PMID- 22086916
TI - Testis-specific miRNA-469 up-regulated in gonadotropin-regulated testicular RNA
helicase (GRTH/DDX25)-null mice silences transition protein 2 and protamine 2
messages at sites within coding region: implications of its role in germ cell
development.
AB - Gonadotropin-regulated testicular RNA helicase (GRTH/DDX25), a testis-specific
member of the DEAD-box family, is an essential post-transcriptional regulator of
spermatogenesis. Failure of expression of Transition protein 2 (TP2) and
Protamine 2 (Prm2) proteins (chromatin remodelers, essential for spermatid
elongation and completion of spermatogenesis) with preservation of their mRNA
expression was observed in GRTH-null mice (azoospermic due to failure of
spermatids to elongate). These were identified as target genes for the testis
specific miR-469, which is increased in the GRTH-null mice. Further analysis
demonstrated that miR-469 repressed TP2 and Prm2 protein expression at the
translation level with minor effect on mRNA degradation, through binding to the
coding regions of TP2 and Prm2 mRNAs. The corresponding primary-microRNAs and the
expression levels of Drosha and DGCR8 (both mRNA and protein) were increased
significantly in the GRTH-null mice. miR-469 silencing of TP2 and Prm2 mRNA in
pachytene spermatocytes and round spermatids is essential for their timely
translation at later times of spermiogenesis, which is critical to attain mature
sperm. Collectively, these studies indicate that GRTH, a multifunctional RNA
helicase, acts as a negative regulator of miRNA-469 biogenesis and consequently
their function during spermatogenesis.
PMID- 22086917
TI - Dynamic ligand-induced conformational rearrangements in P-glycoprotein as probed
by fluorescence resonance energy transfer spectroscopy.
AB - P-glycoprotein (Pgp), a member of the ATP-binding cassette transporter family,
functions as an ATP hydrolysis-driven efflux pump to rid the cell of toxic
organic compounds, including a variety of drugs used in anticancer chemotherapy.
Here, we used fluorescence resonance energy transfer (FRET) spectroscopy to
delineate the structural rearrangements the two nucleotide binding domains (NBDs)
are undergoing during the catalytic cycle. Pairs of cysteines were introduced
into equivalent regions in the N- and C-terminal NBDs for labeling with
fluorescent dyes for ensemble and single-molecule FRET spectroscopy. In the
ensemble FRET, a decrease of the donor to acceptor (D/A) ratio was observed upon
addition of drug and ATP. Vanadate trapping further decreased the D/A ratio,
indicating close association of the two NBDs. One of the cysteine mutants was
further analyzed using confocal single-molecule FRET spectroscopy. Single Pgp
molecules showed fast fluctuations of the FRET efficiencies, indicating movements
of the NBDs on a time scale of 10-100 ms. Populations of low, medium, and high
FRET efficiencies were observed during drug-stimulated MgATP hydrolysis,
suggesting the presence of at least three major conformations of the NBDs during
catalysis. Under conditions of vanadate trapping, most molecules displayed high
FRET efficiency states, whereas with cyclosporin, more molecules showed low FRET
efficiency. Different dwell times of the FRET states were found for the distinct
biochemical conditions, with the fastest movements during active turnover. The
FRET spectroscopy observations are discussed in context of a model of the
catalytic mechanism of Pgp.
PMID- 22086918
TI - A Monod-Wyman-Changeux mechanism can explain G protein-coupled receptor (GPCR)
allosteric modulation.
AB - The Monod-Wyman-Changeux (MWC) model was initially proposed to describe the
allosteric properties of regulatory enzymes and subsequently extended to
receptors. Yet despite GPCRs representing the largest family of receptors and
drug targets, no study has systematically evaluated the MWC mechanism as it
applies to GPCR allosteric ligands. We reveal how the recently described
allosteric modulator, benzyl quinolone carboxylic acid (BQCA), behaves according
to a strict, two-state MWC mechanism at the M1 muscarinic acetylcholine receptor
(mAChR). Despite having a low affinity for the M1 mAChR, BQCA demonstrated state
dependence, exhibiting high positive cooperativity with orthosteric agonists in a
manner that correlated with efficacy but negative cooperativity with inverse
agonists. The activity of BQCA was significantly increased at a constitutively
active M1 mAChR but abolished at an inactive mutant. Interestingly, BQCA
possessed intrinsic signaling efficacy, ranging from near-quiescence to full
agonism depending on the coupling efficiency of the chosen intracellular pathway.
This latter cellular property also determined the difference in magnitude of
positive cooperativity between BQCA and the orthosteric agonist, carbachol,
across pathways. The lack of additional, pathway-biased, allosteric modulation by
BQCA was confirmed in genetically engineered yeast strains expressing different
chimeras between the endogenous yeast G(pa1) protein and human Galpha subunits.
These findings define a chemical biological framework that can be applied to the
study and classification of allosteric modulators across different GPCR families.
PMID- 22086919
TI - Reduced immunoglobulin A transcytosis associated with immunoglobulin A
nephropathy and nasopharyngeal carcinoma.
AB - Polymeric IgA (pIgA) is transcytosed by the pIgA receptor (pIgR) across mucosal
epithelial cells. After transcytosis to the apical surface, the extracellular,
ligand-binding portion of the pIgR is proteolytically cleaved. A missense
mutation in human pIgR, A580V, is associated with IgA nephropathy and
nasopharyngeal carcinoma. We report that this mutation reduces the rate of
transcytosis of pIgR and pIgA, and seemingly the rate of pIgR cleavage. We
propose that the defects in pIgR trafficking caused by the A580V mutation may
underlie the pathogenesis of both diseases.
PMID- 22086920
TI - Yeast sterol regulatory element-binding protein (SREBP) cleavage requires Cdc48
and Dsc5, a ubiquitin regulatory X domain-containing subunit of the Golgi Dsc E3
ligase.
AB - Schizosaccharomyces pombe Sre1 is a membrane-bound transcription factor that
controls adaptation to hypoxia. Like its mammalian homolog, sterol regulatory
element-binding protein (SREBP), Sre1 activation requires release from the
membrane. However, in fission yeast, this release occurs through a strikingly
different mechanism that requires the Golgi Dsc E3 ubiquitin ligase complex and
the proteasome. The mechanistic details of Sre1 cleavage, including the link
between the Dsc E3 ligase complex and proteasome, are not well understood. Here,
we present results of a genetic selection designed to identify additional
components required for Sre1 cleavage. From the selection, we identified two new
components of the fission yeast SREBP pathway: Dsc5 and Cdc48. The AAA (ATPase
associated with diverse cellular activities) ATPase Cdc48 and Dsc5, a ubiquitin
regulatory X domain-containing protein, interact with known Dsc complex
components and are required for SREBP cleavage. These findings provide a
mechanistic link between the Dsc E3 ligase complex and the proteasome in SREBP
cleavage and add to a growing list of similarities between the Dsc E3 ligase and
membrane E3 ligases involved in endoplasmic reticulum-associated degradation.
PMID- 22086921
TI - Neutrons, magnets, and photons: a career in structural biology.
AB - The purpose of Reflections articles, it seems, is to give elderly scientists a
chance to write about the "good old days," when everyone walked to school in the
snow. They enjoy this activity so much that your editor, Martha Fedor, must have
known that I would accept her invitation to write such an article, no matter how
much I demurred at first. As everyone knows, flattery will get you everywhere. It
may comfort the apprehensive reader to learn that there is not going to be much
walking to school in the snow in this story. On the contrary, rather than
thinking how hard I had it during my scientific career, I find it inconceivable
that anyone could have had a smoother ride. At the time I began my career,
science was an expanding enterprise in the United States that welcomed the young.
Only in such an opportunity-rich environment would someone like me have stood a
chance. The contrast between that world and the dog-eat-dog world young
scientists confront today is stark.
PMID- 22086922
TI - Fructose protects murine hepatocytes from tumor necrosis factor-induced apoptosis
by modulating JNK signaling.
AB - Fructose-induced hepatic ATP depletion prevents TNF-induced apoptosis, whereas it
contrarily enhances CD95-induced hepatocyte apoptosis in vitro and in vivo. By
contrast, transformed liver cells are not protected against TNF due to metabolic
alterations, allowing selective tumor targeting. We analyzed the molecular
mechanisms by which fructose modulates cytokine-induced apoptosis. A release of
adenosine after fructose-induced ATP depletion, followed by a cAMP response, was
demonstrated. Likewise, cAMP and adenosine mimicked per se the modulation by
fructose of CD95- and TNF-induced apoptosis. The effects of fructose on cytokine
induced apoptosis were sensitive to inhibition of protein kinase A. Fructose
prevented the pro-apoptotic, sustained phase of TNF-induced JNK signaling and
thereby blocked bid-mediated activation of the intrinsic mitochondrial apoptosis
pathway in a PKA-dependent manner. We explain the dichotomal effects of fructose
on CD95- and TNF-induced cell death by the selective requirement of JNK signaling
for the latter. These findings provide a mechanistic rationale for the protection
of hepatocytes from TNF-induced cell death by pharmacological doses of fructose.
PMID- 22086923
TI - Angiotensin II increases activity of the epithelial Na+ channel (ENaC) in distal
nephron additively to aldosterone.
AB - Dietary salt intake controls epithelial Na+ channel (ENaC)-mediated Na+
reabsorption in the distal nephron by affecting status of the renin-angiotensin
aldosterone system (RAAS). Whereas regulation of ENaC by aldosterone is generally
accepted, little is known about whether other components of RAAS, such as
angiotensin II (Ang II), have nonredundant to aldosterone-stimulatory actions on
ENaC. We combined patch clamp electrophysiology and immunohistochemistry in
freshly isolated split-opened distal nephrons of mice to determine the mechanism
and molecular signaling pathway of Ang II regulation of ENaC. We found that Ang
II acutely increases ENaC Po, whereas prolonged exposure to Ang II also induces
translocation of alpha-ENaC toward the apical membrane in situ. Ang II actions on
ENaC Po persist in the presence of saturated mineralocorticoid status. Moreover,
aldosterone fails to stimulate ENaC acutely, suggesting that Ang II and
aldosterone have different time frames of ENaC activation. AT1 but not AT2
receptors mediate Ang II actions on ENaC. Unlike its effect in vasculature, Ang
II did not increase [Ca2+]i in split-opened distal nephrons as demonstrated using
ratiometric Fura-2-based microscopy. However, application of Ang II to mpkCCDc14
cells resulted in generation of reactive oxygen species, as probed with
fluorescent methods. Consistently, inhibiting NADPH oxidase with apocynin
abolished Ang II-mediated increases in ENaC Po in murine distal nephron.
Therefore, we concluded that Ang II directly regulates ENaC activity in the
distal nephron, and this effect complements regulation of ENaC by aldosterone. We
propose that stimulation of AT1 receptors with subsequent activation of NADPH
oxidase signaling pathway mediates Ang II actions on ENaC.
PMID- 22086924
TI - Sulfiredoxin protein is critical for redox balance and survival of cells exposed
to low steady-state levels of H2O2.
AB - Sulfiredoxin (Srx) is an enzyme that catalyzes the reduction of cysteine sulfinic
acid of hyperoxidized peroxiredoxins (Prxs). Having high affinity toward H2O2, 2
Cys Prxs can efficiently reduce H2O2 at low concentration. We previously showed
that Prx I is hyperoxidized at a rate of 0.072% per turnover even in the presence
of low steady-state levels of H2O2. Here we examine the novel role of Srx in
cells exposed to low steady-state levels of H2O2, which can be achieved by using
glucose oxidase. Exposure of low steady-state levels of H2O2 (10-20 MUm) to A549
or wild-type mouse embryonic fibroblast (MEF) cells does not lead to any
significant change in oxidative injury because of the maintenance of balance
between H2O2 production and elimination. In contrast, loss-of-function studies
using Srx-depleted A549 and Srx-/- MEF cells demonstrate a dramatic increase in
extra- and intracellular H2O2, sulfinic 2-Cys Prxs, and apoptosis. Concomitant
with hyperoxidation of mitochondrial Prx III, Srx-depleted cells show an
activation of mitochondria-mediated apoptotic pathways including mitochondria
membrane potential collapse, cytochrome c release, and caspase activation.
Furthermore, adenoviral re-expression of Srx in Srx-depleted A549 or Srx-/- MEF
cells promotes the reactivation of sulfinic 2-Cys Prxs and results in cellular
resistance to apoptosis, with enhanced removal of H2O2. These results indicate
that Srx functions as a novel component to maintain the balance between H2O2
production and elimination and then protects cells from apoptosis even in the
presence of low steady-state levels of H2O2.
PMID- 22086925
TI - Wogonin and related natural flavones overcome tumor necrosis factor-related
apoptosis-inducing ligand (TRAIL) protein resistance of tumors by down-regulation
of c-FLIP protein and up-regulation of TRAIL receptor 2 expression.
AB - Tumor necrosis factor-related apoptosis-inducing ligand (TRAIL) is a promising
anticancer agent that kills various tumor cells without damaging normal tissues.
However, many cancers remain resistant to TRAIL. To overcome TRAIL resistance,
combination therapies using sensitizers of the TRAIL pathway would be an
efficacious approach. To investigate potential sensitizers of TRAIL-induced
apoptosis, we used TRAIL-resistant human T cell leukemia virus type 1 (HTLV-1)
associated adult T cell leukemia/lymphoma (ATL) cells as a model system. So far,
HTLV-1-associated ATL is incurable by presently known therapies. Here, we show
that wogonin and the structurally related natural flavones apigenin and chrysin
break TRAIL resistance in HTLV-1-associated ATL by transcriptional down
regulation of c-FLIP, a key inhibitor of death receptor signaling, and by up
regulation of TRAIL receptor 2 (TRAIL-R2). This effect is mediated through
transcriptional inhibition of the p53 antagonist murine double minute 2 (Mdm2),
leading to an increase in p53 levels and, consequently, to up-regulation of the
p53 target gene TRAIL-R2. We also show that these flavones can sensitize to
TNFalpha- and CD95-mediated cell death. Furthermore, we show that wogonin,
apigenin, and chrysin also enhance TRAIL-mediated apoptosis in other human cancer
cell lines including breast cancer cell line MDA-MB-231, colon cancer cell line
HT-29, hepatocellular carcinoma cell line HepG2, melanoma cell line SK-MEL-37,
and pancreatic carcinoma cell line Capan-1 by the same mechanism. Thus, our study
suggests the potential use of these flavones as an adjuvant for TRAIL-mediated
anticancer therapy.
PMID- 22086926
TI - Down-regulation of the ATP-binding cassette transporter 2 (Abca2) reduces amyloid
beta production by altering Nicastrin maturation and intracellular localization.
AB - Clinical, pharmacological, biochemical, and genetic evidence support the notion
that alteration of cholesterol homeostasis strongly predisposes to Alzheimer
disease (AD). The ATP-binding cassette transporter-2 (Abca2), which plays a role
in intracellular sterol trafficking, has been genetically linked to AD. It is
unclear how these two processes are related. Here we demonstrate that down
regulation of Abca2 in mammalian cells leads to decreased amyloid-beta (Abeta)
generation. In vitro studies revealed altered gamma-secretase complex formation
in Abca2 knock-out cells due to the altered levels, post-translational
modification, and subcellular localization of Nicastrin. Reduced Abca2 levels in
mammalian cells in vitro, in Drosophila melanogaster and in mice resulted in
altered gamma-secretase processing of APP, and thus Abeta generation, without
affecting Notch cleavage.
PMID- 22086927
TI - Thromboxane receptor signaling is required for fibronectin-induced matrix
metalloproteinase 9 production by human and murine macrophages and is attenuated
by the Arhgef1 molecule.
AB - During an inflammatory response, resident and newly recruited tissue macrophages
adhere to extracellular matrix and cell-bound integrin ligands. This interaction
induces the expression of pro-inflammatory mediators that include matrix
metalloproteinases (MMPs). Arhgef1 is an intracellular signaling molecule
expressed by myeloid cells that normally attenuates murine macrophage MMP
production in vivo and in vitro after cell culture on the extracellular matrix
protein, fibronectin. In this study, we have extended the characterization of
this fibronectin-induced Arhgef1-regulated signaling pathway in both human and
murine myeloid cells. Our results show that MMP9 production by fibronectin
stimulated monocytes and macrophages depends on autocrine thromboxane receptor
signaling and that under normal conditions, this signaling pathway is attenuated
by Arhgef1. Finally, we show that the expression of ARHGEF1 by human peripheral
blood monocytes varies between individuals and inversely correlates with
fibronectin-mediated MMP9 production.
PMID- 22086928
TI - Diversity in the C3b [corrected] contact residues and tertiary structures of the
staphylococcal complement inhibitor (SCIN) protein family.
AB - To survive in immune-competent hosts, the pathogen Staphylococcus aureus
expresses and secretes a sophisticated array of proteins that inhibit the
complement system. Among these are the staphylococcal complement inhibitors
(SCIN), which are composed of three active proteins (SCIN-A, -B, and -C) and one
purportedly inactive member (SCIN-D or ORF-D). Because previous work has focused
almost exclusively on SCIN-A, we sought to provide initial structure/function
information on additional SCIN proteins. To this end we determined crystal
structures of an active, N-terminal truncation mutant of SCIN-B (denoted SCIN-B18
85) both free and bound to the C3c fragment of complement component C3 at 1.5 and
3.4 A resolution, respectively. Comparison of the C3c/SCIN-B18-85 structure with
that of C3c/SCIN-A revealed that both proteins target the same functional hotspot
on the C3b/C3c surface yet harbor diversity in both the type of residues and
interactions formed at their C3b/C3c interfaces. Most importantly, these
structures allowed identification of Arg44 and Tyr51 as residues key for SCIN-B
binding to C3b and subsequent inhibition of the AP C3 convertase. In addition, we
also solved several crystal structures of SCIN-D to 1.3 A limiting resolution.
This revealed an unexpected structural deviation in the N-terminal alpha helix
relative to SCIN-A and SCIN-B. Comparative analysis of both electrostatic
potentials and surface complementarity suggest a physical explanation for the
inability of SCIN-D to bind C3b/C3c. Together, these studies provide a more
thorough understanding of immune evasion by S. aureus and enhance potential use
of SCIN proteins as templates for design of complement targeted therapeutics.
PMID- 22086929
TI - Xenopus laevis Ctc1-Stn1-Ten1 (xCST) protein complex is involved in priming DNA
synthesis on single-stranded DNA template in Xenopus egg extract.
AB - The Ctc1-Stn1-Ten1 (CST) complex is an RPA (replication protein A)-like protein
complex that binds to single-stranded (ss) DNA. It localizes at telomeres and is
involved in telomere end protection in mammals and plants. It is also known to
stimulate DNA polymerase alpha-primase in vitro. However, it is not known how CST
accomplishes these functions in vivo. Here, we report the identification and
characterization of Xenopus laevis CST complex (xCST). xCST showed ssDNA binding
activity with moderate preference for G (guanine)-rich sequences. xStn1
immunodepleted Xenopus egg extracts supported chromosomal DNA replication in in
vitro reconstituted sperm nuclei, suggesting that xCST is not a general
replication factor. However, the immunodepletion or neutralization of xStn1
compromised DNA synthesis on ssDNA template. Because primed ssDNA template was
replicated in xStn1-immunodepleted extracts as efficiently as in control ones, we
conclude that xCST is involved in the priming step on ssDNA template. These
results are consistent with the current model that CST is involved in telomeric C
strand synthesis through the regulation of DNA polymerase alpha-primase.
PMID- 22086930
TI - The power of being present: the value of mindfulness interventions in improving
health and well-being.
PMID- 22086941
TI - Microvascular obstruction is caused by atherothrombosis in patients with acute
coronary syndrome undergoing percutaneous coronary intervention.
PMID- 22086943
TI - Cardiac magnetic resonance assessment of myocardial fibrosis: honing new clinical
tools.
PMID- 22086942
TI - Perfusion imaging with vasodilator stress echocardiography: a physiologically
sound approach to coronary disease?
PMID- 22086944
TI - Absolute quantification of myocardial perfusion: a method proves its mettle.
PMID- 22086945
TI - Ischemic burden, treatment allocation, and outcomes in stable coronary artery
disease.
PMID- 22086948
TI - MetaCrop 2.0: managing and exploring information about crop plant metabolism.
AB - MetaCrop is a manually curated repository of high-quality data about plant
metabolism, providing different levels of detail from overview maps of primary
metabolism to kinetic data of enzymes. It contains information about seven major
crop plants with high agronomical importance and two model plants. MetaCrop is
intended to support research aimed at the improvement of crops for both nutrition
and industrial use. It can be accessed via web, web services and an add-on to the
Vanted software. Here, we present several novel developments of the MetaCrop
system and the extended database content. MetaCrop is now available in version
2.0 at http://metacrop.ipk-gatersleben.de.
PMID- 22086949
TI - doRiNA: a database of RNA interactions in post-transcriptional regulation.
AB - In animals, RNA binding proteins (RBPs) and microRNAs (miRNAs) post
transcriptionally regulate the expression of virtually all genes by binding to
RNA. Recent advances in experimental and computational methods facilitate
transcriptome-wide mapping of these interactions. It is thought that the
combinatorial action of RBPs and miRNAs on target mRNAs form a post
transcriptional regulatory code. We provide a database that supports the quest
for deciphering this regulatory code. Within doRiNA, we are systematically
curating, storing and integrating binding site data for RBPs and miRNAs. Users
are free to take a target (mRNA) or regulator (RBP and/or miRNA) centric view on
the data. We have implemented a database framework with short query response
times for complex searches (e.g. asking for all targets of a particular
combination of regulators). All search results can be browsed, inspected and
analyzed in conjunction with a huge selection of other genome-wide data, because
our database is directly linked to a local copy of the UCSC genome browser. At
the time of writing, doRiNA encompasses RBP data for the human, mouse and worm
genomes. For computational miRNA target site predictions, we provide an update of
PicTar predictions.
PMID- 22086950
TI - MEROPS: the database of proteolytic enzymes, their substrates and inhibitors.
AB - Peptidases, their substrates and inhibitors are of great relevance to biology,
medicine and biotechnology. The MEROPS database (http://merops.sanger.ac.uk) aims
to fulfil the need for an integrated source of information about these. The
database has hierarchical classifications in which homologous sets of peptidases
and protein inhibitors are grouped into protein species, which are grouped into
families, which are in turn grouped into clans. The database has been expanded to
include proteolytic enzymes other than peptidases. Special identifiers for
peptidases from a variety of model organisms have been established so that
orthologues can be detected in other species. A table of predicted active-site
residue and metal ligand positions and the residue ranges of the peptidase
domains in orthologues has been added to each peptidase summary. New displays of
tertiary structures, which can be rotated or have the surfaces displayed, have
been added to the structure pages. New indexes for gene names and peptidase
substrates have been made available. Among the enhancements to existing features
are the inclusion of small-molecule inhibitors in the tables of peptidase
inhibitor interactions, a table of known cleavage sites for each protein
substrate, and tables showing the substrate-binding preferences of peptidases
derived from combinatorial peptide substrate libraries.
PMID- 22086951
TI - The UCSC Genome Browser database: extensions and updates 2011.
AB - The University of California Santa Cruz Genome Browser (http://genome.ucsc.edu)
offers online public access to a growing database of genomic sequence and
annotations for a wide variety of organisms. The Browser is an integrated tool
set for visualizing, comparing, analyzing and sharing both publicly available and
user-generated genomic data sets. In the past year, the local database has been
updated with four new species assemblies, and we anticipate another four will be
released by the end of 2011. Further, a large number of annotation tracks have
been either added, updated by contributors, or remapped to the latest human
reference genome. Among these are new phenotype and disease annotations, UCSC
genes, and a major dbSNP update, which required new visualization methods.
Growing beyond the local database, this year we have introduced 'track data
hubs', which allow the Genome Browser to provide access to remotely located sets
of annotations. This feature is designed to significantly extend the number and
variety of annotation tracks that are publicly available for visualization and
analysis from within our site. We have also introduced several usability features
including track search and a context-sensitive menu of options available with a
right-click anywhere on the Browser's image.
PMID- 22086952
TI - Increased in vivo inhibition of gene expression by combining RNA interference and
U1 inhibition.
AB - Inhibition of gene expression can be achieved with RNA interference (RNAi) or U1
small nuclear RNA-snRNA-interference (U1i). U1i is based on U1 inhibitors (U1in),
U1 snRNA molecules modified to inhibit polyadenylation of a target pre-mRNA. In
culture, we have shown that the combination of RNAi and U1i results in stronger
inhibition of reporter or endogenous genes than that obtained using either of the
techniques alone. We have now used these techniques to inhibit gene expression in
mice. We show that U1ins can induce strong inhibition of the expression of target
genes in vivo. Furthermore, combining U1i and RNAi results in synergistic
inhibitions also in mice. This is shown for the inhibition of hepatitis B virus
(HBV) sequences or endogenous Notch1. Surprisingly, inhibition obtained by
combining a U1in and a RNAi mediator is higher than that obtained by combining
two U1ins or two RNAi mediators. Our results suggest that RNAi and U1i cooperate
by unknown mechanisms to result in synergistic inhibitions. Analysis of toxicity
and specificity indicates that expression of U1i inhibitors is safe. Therefore,
we believe that the combination of RNAi and U1i will be a good option to block
damaging endogenous genes, HBV and other infectious agents in vivo.
PMID- 22086953
TI - IMG/M: the integrated metagenome data management and comparative analysis system.
AB - The integrated microbial genomes and metagenomes (IMG/M) system provides support
for comparative analysis of microbial community aggregate genomes (metagenomes)
in a comprehensive integrated context. IMG/M integrates metagenome data sets with
isolate microbial genomes from the IMG system. IMG/M's data content and
analytical capabilities have been extended through regular updates since its
first release in 2007. IMG/M is available at http://img.jgi.doe.gov/m. A
companion IMG/M systems provide support for annotation and expert review of
unpublished metagenomic data sets (IMG/M ER: http://img.jgi.doe.gov/mer).
PMID- 22086954
TI - The 19S proteasome subcomplex promotes the targeting of NuA4 HAT to the promoters
of ribosomal protein genes to facilitate the recruitment of TFIID for
transcriptional initiation in vivo.
AB - Previous studies have implicated SAGA (Spt-Ada-Gcn5-acetyltransferase) and TFIID
(Transcription factor-IID)-dependent mechanisms of transcriptional activation in
yeast. SAGA-dependent transcriptional activation is further regulated by the 19S
proteasome subcomplex. However, the role of the 19S proteasome subcomplex in
transcriptional activation of the TFIID-dependent genes has not been elucidated.
Therefore, we have performed a series of chromatin immunoprecipitation,
mutational and transcriptional analyses at the TFIID-dependent ribosomal protein
genes such as RPS5, RPL2B and RPS11B. We find that the 19S proteasome subcomplex
is recruited to the promoters of these ribosomal protein genes, and promotes the
association of NuA4 (Nucleosome acetyltransferase of histone H4) co-activator,
but not activator Rap1p (repressor-activator protein 1). These observations
support that the 19S proteasome subcomplex enhances the targeting of co-activator
at the TFIID-dependent promoter. Such an enhanced targeting of NuA4 HAT (histone
acetyltransferase) promotes the recruitment of the TFIID complex for
transcriptional initiation. Collectively, our data demonstrate that the 19S
proteasome subcomplex enhances the targeting of NuA4 HAT to activator Rap1p at
the promoters of ribosomal protein genes to facilitate the recruitment of TFIID
for transcriptional stimulation, hence providing a new role of the 19S proteasome
subcomplex in establishing a specific regulatory network at the TFIID-dependent
promoter for productive transcriptional initiation in vivo.
PMID- 22086955
TI - Pre-B cell to macrophage transdifferentiation without significant promoter DNA
methylation changes.
AB - Transcription factor-induced lineage reprogramming or transdifferentiation
experiments are essential for understanding the plasticity of differentiated
cells. These experiments helped to define the specific role of transcription
factors in conferring cell identity and played a key role in the development of
the regenerative medicine field. We here investigated the acquisition of DNA
methylation changes during C/EBPalpha-induced pre-B cell to macrophage
transdifferentiation. Unexpectedly, cell lineage conversion occurred without
significant changes in DNA methylation not only in key B cell- and macrophage
specific genes but also throughout the entire set of genes differentially
methylated between the two parental cell types. In contrast, active and
repressive histone modification marks changed according to the expression levels
of these genes. We also demonstrated that C/EBPalpha and RNA Pol II are
associated with the methylated promoters of macrophage-specific genes in
reprogrammed macrophages without inducing methylation changes. Our findings not
only provide insights about the extent and hierarchy of epigenetic events in pre
B cell to macrophage transdifferentiation but also show an important difference
to reprogramming towards pluripotency where promoter DNA demethylation plays a
pivotal role.
PMID- 22086956
TI - The SEQanswers wiki: a wiki database of tools for high-throughput sequencing
analysis.
AB - Recent advances in sequencing technology have created unprecedented opportunities
for biological research. However, the increasing throughput of these technologies
has created many challenges for data management and analysis. As the demand for
sophisticated analyses increases, the development time of software and algorithms
is outpacing the speed of traditional publication. As technologies continue to be
developed, methods change rapidly, making publications less relevant for users.
The SEQanswers wiki (SEQwiki) is a wiki database that is actively edited and
updated by the members of the SEQanswers community (http://SEQanswers.com/). The
wiki provides an extensive catalogue of tools, technologies and tutorials for
high-throughput sequencing (HTS), including information about HTS service
providers. It has been implemented in MediaWiki with the Semantic MediaWiki and
Semantic Forms extensions to collect structured data, providing powerful
navigation and reporting features. Within 2 years, the community has created
pages for over 500 tools, with approximately 400 literature references and 600
web links. This collaborative effort has made SEQwiki the most comprehensive
database of HTS tools anywhere on the web. The wiki includes task-focused mini
reviews of commonly used tools, and a growing collection of more than 100 HTS
service providers. SEQwiki is available at: http://wiki.SEQanswers.com/.
PMID- 22086957
TI - Do replication forks control late origin firing in Saccharomyces cerevisiae?
AB - Recent studies of eukaryotic DNA replication timing profiles suggest that the
time-dependent rate of origin firing, I(t), has a universal shape, which ensures
a reproducible replication completion time. However, measurements of I(t) are
based on population averages, which may bias the shape of the I(t) because of
imperfect cell synchrony and cell-to-cell variability. Here, we measure the
population-averaged I(t) profile from synchronized Saccharomyces cerevisiae cells
using DNA combing and we extract the single-cell I(t) profile using numerical
deconvolution. The single cell I(t) and the population-averaged I(t) extracted
from DNA combing and replication timing profiles are similar, indicating a genome
scale invariance of the replication process, and excluding cell-to-cell
variability in replication time as an explanation for the shape of I(t). The
single cell I(t) correlates with fork density in wild-type cells, which is
specifically loosened in late S phase in the clb5Delta mutant. A previously
proposed numerical model that reproduces the wild-type I(t) profile, could also
describe the clb5Delta mutant I(t) once modified to incorporate the decline in
CDK activity and the looser dependency of initiation on fork density in the
absence of Clb5p. Overall, these results suggest that the replication forks
emanating from early fired origins facilitate origin firing in later-replicating
regions.
PMID- 22086958
TI - DBTSS: DataBase of Transcriptional Start Sites progress report in 2012.
AB - To support transcriptional regulation studies, we have constructed DBTSS
(DataBase of Transcriptional Start Sites), which contains exact positions of
transcriptional start sites (TSSs), determined with our own technique named TSS
seq, in the genomes of various species. In its latest version, DBTSS covers the
data of the majority of human adult and embryonic tissues: it now contains 418
million TSS tag sequences from 28 tissues/cell cultures. Moreover, we integrated
a series of our own transcriptomic data, such as the RNA-seq data of subcellular
fractionated RNAs as well as the ChIP-seq data of histone modifications and the
binding of RNA polymerase II/several transcription factors in cultured cell lines
into our original TSS information. We also included several external epigenomic
data, such as the chromatin map of the ENCODE project. We further associated our
TSS information with public or original single-nucleotide variation (SNV) data,
in order to identify SNVs in the regulatory regions. These data can be browsed in
our new viewer, which supports versatile search conditions of users. We believe
that our new DBTSS will be an invaluable resource for interpreting the
differential uses of TSSs and for identifying human genetic variations that are
associated with disordered transcriptional regulation. DBTSS can be accessed at
http://dbtss.hgc.jp.
PMID- 22086959
TI - Development of a 'clickable' non-natural nucleotide to visualize the replication
of non-instructional DNA lesions.
AB - The misreplication of damaged DNA is an important biological process that
produces numerous adverse effects on human health. This report describes the
synthesis and characterization of a non-natural nucleotide, designated 3-ethynyl
5-nitroindolyl-2'-deoxyriboside triphosphate (3-Eth-5-NITP), as a novel chemical
reagent that can probe and quantify the misreplication of damaged DNA. We
demonstrate that this non-natural nucleotide is efficiently inserted opposite an
abasic site, a commonly formed and potentially mutagenic non-instructional DNA
lesion. The strategic placement of the ethynyl moiety allows the incorporated
nucleoside triphosphate to be selectively tagged with an azide-containing
fluorophore using 'click' chemistry. This reaction provides a facile way to
quantify the extent of nucleotide incorporation opposite non-instructional DNA
lesions. In addition, the incorporation of 3-Eth-5-NITP is highly selective for
an abasic site, and occurs even in the presence of a 50-fold molar excess of
natural nucleotides. The biological applications of using 3-Eth-5-NITP as a
chemical probe to monitor and quantify the misreplication of non-instructional
DNA lesions are discussed.
PMID- 22086960
TI - PrimerBank: a PCR primer database for quantitative gene expression analysis, 2012
update.
AB - Optimization of primer sequences for polymerase chain reaction (PCR) and
quantitative PCR (qPCR) and reaction conditions remains an experimental
challenge. We have developed a resource, PrimerBank, which contains primers that
can be used for PCR and qPCR under stringent and allele-invariant amplification
conditions. A distinguishing feature of PrimerBank is the experimental validation
of primer pairs covering most known mouse genes. Here, we describe a major update
of PrimerBank that includes the design of new primers covering 17,076 and 18,086
genes for the human and mouse species, respectively. As a result of this update,
PrimerBank contains 497,156 primers (an increase of 62% from the previous
version) that cover 36,928 human and mouse genes, corresponding to around 94% of
all known protein-coding gene sequences. An updated algorithm based on our
previous approach was used to design new primers using current genomic
information available from the National Center for Biotechnology Information
(NCBI). PrimerBank primers work under uniform PCR conditions, and can be used for
high-throughput or genome-wide qPCR. Because of their broader linear dynamic
range and greater sensitivity, qPCR approaches are used to reanalyze changes in
expression suggested by exploratory technologies such as microarrays and RNA-Seq.
The primers and all experimental validation data can be freely accessed from the
PrimerBank website, http://pga.mgh.harvard.edu/primerbank/.
PMID- 22086961
TI - Direct, genome-wide assessment of DNA mutations in single cells.
AB - DNA mutations are the inevitable consequences of errors that arise during
replication and repair of DNA damage. Because of their random and infrequent
occurrence, quantification and characterization of DNA mutations in the genome of
somatic cells has been difficult. Random, low-abundance mutations are currently
inaccessible by standard high-throughput sequencing approaches because they
cannot be distinguished from sequencing errors. One way to circumvent this
problem and simultaneously account for the mutational heterogeneity within
tissues is whole genome sequencing of a representative number of single cells.
Here, we show elevated mutation levels in single cells from Drosophila
melanogaster S2 and mouse embryonic fibroblast populations after treatment with
the powerful mutagen N-ethyl-N-nitrosourea. This method can be applied as a
direct measure of exposure to mutagenic agents and for assessing genotypic
heterogeneity within tissues or cell populations.
PMID- 22086962
TI - Helix-length compensation studies reveal the adaptability of the VS ribozyme
architecture.
AB - Compensatory mutations in RNA are generally regarded as those that maintain base
pairing, and their identification forms the basis of phylogenetic predictions of
RNA secondary structure. However, other types of compensatory mutations can
provide higher-order structural and evolutionary information. Here, we present a
helix-length compensation study for investigating structure-function
relationships in RNA. The approach is demonstrated for stem-loop I and stem-loop
V of the Neurospora VS ribozyme, which form a kissing-loop interaction important
for substrate recognition. To rapidly characterize the substrate specificity
(k(cat)/K(M)) of several substrate/ribozyme pairs, a procedure was established
for simultaneous kinetic characterization of multiple substrates. Several active
substrate/ribozyme pairs were identified, indicating the presence of limited
substrate promiscuity for stem Ib variants and helix-length compensation between
stems Ib and V. 3D models of the I/V interaction were generated that are
compatible with the kinetic data. These models further illustrate the
adaptability of the VS ribozyme architecture for substrate cleavage and provide
global structural information on the I/V kissing-loop interaction. By exploring
higher-order compensatory mutations in RNA our approach brings a deeper
understanding of the adaptability of RNA structure, while opening new avenues for
RNA research.
PMID- 22086964
TI - Solid recovered fuels in the steel industry.
AB - By using waste materials as alternative fuels in metallurgical plants it is
possible to minimize the traditionally used reducing agents, such as coke, coal,
oil or natural gas. Moreover, by using waste materials in the metallurgical
industry it is feasible to recover these materials as far as possible. This also
represents another step towards environmental protection because carbon dioxide
emissions can be reduced, if the H(2) content of the waste material is greater in
comparison with that of the substituted fuel and the effects of global warming
can therefore be reduced. In the present article various solid recovered fuels
and their applications in the metallurgical industry are detailed.
PMID- 22086965
TI - Perceived barriers in the outdoor environment and development of walking
difficulties in older people.
PMID- 22086963
TI - Ensembl 2012.
AB - The Ensembl project (http://www.ensembl.org) provides genome resources for
chordate genomes with a particular focus on human genome data as well as data for
key model organisms such as mouse, rat and zebrafish. Five additional species
were added in the last year including gibbon (Nomascus leucogenys) and Tasmanian
devil (Sarcophilus harrisii) bringing the total number of supported species to 61
as of Ensembl release 64 (September 2011). Of these, 55 species appear on the
main Ensembl website and six species are provided on the Ensembl preview site
(Pre!Ensembl; http://pre.ensembl.org) with preliminary support. The past year has
also seen improvements across the project.
PMID- 22086966
TI - Markers of inflammatory status are associated with hearing threshold in older
people: findings from the Hertfordshire Ageing Study.
AB - BACKGROUND: Age-related hearing loss is a common disabling condition but its
causes are not well understood and the role of inflammation as an influencing
factor has received little consideration in the literature. OBJECTIVE: To
investigate the association between inflammatory markers and hearing in community
dwelling older men and women. DESIGN: Cross-sectional analysis within a cohort
study. SETTING: The Hertfordshire Ageing Study. PARTICIPANTS: A total of 343 men
and 268 women aged 63-74 years on whom data on audiometric testing, inflammatory
markers and covariates were available at follow-up in 1995. MAIN OUTCOME
MEASURES: Average hearing threshold level (across 500-4,000 Hz) of the worst
hearing ear and audiometric slope in dB/octave from 500 to 4,000 Hz. RESULTS:
Older age, smoking, history of noise exposure and male gender (all P < 0.001)
were associated with higher mean hearing threshold in the worse ear in univariate
analysis. After adjustment for these factors in multiple regression models, four
measures of immune or inflammatory status were significantly associated with
hearing threshold, namely white blood cell count (r = 0.13, P = 0.001),
neutrophil count (r = 0.13, P = 0.002), IL-6 (r = 0.10, P = 0.05) and C-reactive
protein (r = 0.11, P = 0.01). None of the inflammatory markers was associated
with maximum audiometric slope in adjusted analyses. CONCLUSIONS: Markers of
inflammatory status were significantly associated with degree of hearing loss in
older people. The findings are consistent with the possibility that inflammatory
changes occurring with ageing may be involved in age-related hearing loss.
Longitudinal data would enable this hypothesis to be explored further.
PMID- 22086967
TI - Intelligence across childhood in relation to illegal drug use in adulthood: 1970
British Cohort Study.
AB - BACKGROUND: Recent reports have linked high childhood IQ scores with excess
alcohol intake and alcohol dependency in adult life, but the relationship with
illegal drug use in later life is relatively unknown. METHODS: The authors used
data from a large population-based birth cohort (1970 British Cohort Study) with
measures of lifetime cannabis and cocaine use, parental social class and
psychological distress at 16 years; cannabis, cocaine, amphetamine, ecstasy and
polydrug use (more than three drugs) in the past 12 months; and social class,
educational attainment and gross monthly income at 30 years. All members of the
cohort with IQ scores at 5 or 10 years were eligible to be included in the
analyses. RESULTS: Of the 11603 (at 5 years) and 11397 (at 10 years) cohort
members eligible, 7904 (68.1%) and 7946 (69.7%) were included in the analyses. IQ
scores at 5 years were positively associated with cannabis (OR ((bottom vs top
tertile)) =2.25, 95% CI 1.71 to 2.97) and cocaine use (OR 2.35, 95% CI 1.41 to
3.92) in women and with amphetamines (OR 1.46, 95% CI 1.03 to 2.06), ecstasy (OR
1.65, 95% CI 1.15 to 2.36) and polydrug use (OR 1.57, 95% CI 1.09 to 2.26) in men
at 30 years. IQ scores at 10 years were positively associated with cannabis,
cocaine (only at 30 years), ecstasy, amphetamine and polydrug use. Associations
were stronger in women than in men and were independent from psychological
distress in adolescence and life-course socioeconomic position. CONCLUSION: High
childhood IQ may increase the risk of illegal drug use in adolescence and
adulthood.
PMID- 22086968
TI - Emerging biomarkers in heart failure.
AB - BACKGROUND: Until recently, biomarker testing in heart failure (HF) syndromes has
been viewed as an elective supplement to diagnostic evaluation of patients
suspected to suffer from this condition. This approach to the use of biomarker
testing contrasts with other cardiovascular diagnoses such as acute myocardial
infarction, for which biomarkers are integral to disease process definition, risk
stratification, and in some cases treatment decision making. CONTENT: In this
review we consider various perspectives on the evaluation of biomarkers in HF. In
addition, we examine recent advances in the understanding of established
biomarkers in HF (such as the natriuretic peptides), the elucidation of novel
biomarkers potentially useful for the evaluation and management of patients with
HF, and the growing understanding of important and relevant comorbidities in HF.
We also review candidate biomarkers from a number of classes: (a) myocyte
stretch, (b) myocyte necrosis, (c) systemic inflammation, (d) oxidative stress,
(e) extracellular matrix turnover, (f) neurohormones, and (g) biomarkers of
extracardiac processes, such as renal function. SUMMARY: Novel applications of
established biomarkers of HF as well as elucidation and validation of emerging
assays for HF syndromes have collectively led to a growing interest in the more
widespread use of such testing in patients affected by the diagnosis.
PMID- 22086969
TI - A novel technology for measuring cumulative cardiac biomarker exposure over time:
what happened when we weren't looking?
PMID- 22086970
TI - Use of natriuretic peptides to guide and monitor heart failure therapy.
AB - BACKGROUND: Plasma B-type cardiac natriuretic peptides reflect cardiac structure
and function and have proven roles in assisting in the diagnosis of acute heart
failure. They are independent prognostic indicators across the full spectrum of
cardiovascular disease. Serial changes in plasma B-type cardiac natriuretic
peptides parallel prognosis in chronic heart failure. Beneficial responses to
medications and devices used in the treatment of heart failure are associated
with decreases in plasma B-type peptide concentrations. This effect has led to
the hypothesis that intensified treatment directed at reducing B-peptide
concentrations may improve outcomes in heart failure. CONTENT: The efficacy of
serial measurements of plasma B-type peptides in guiding titration of therapy for
chronic heart failure has been the subject of several randomized controlled
trials reported in the peer-reviewed literature since 2000. These reports are
summarized in this review. Trial design, characteristics of the heart-failure
population studied, duration of follow-up, exact end points recorded, and target
peptide concentrations pursued all differ somewhat between trials. In addition,
in studies in which benefits were seen, the exact mechanisms mediating the
improvements in outcome were unclear. However, an overall consistency is emerging
that is supported by 2 metaanalyses. SUMMARY: In aggregate the existing trial
data suggest that adjustment of treatment in chronic heart failure according to
serial B-type peptide measurements, used in conjunction with established clinical
methods, is likely to reduce cardiac mortality and hospital admissions with heart
failure, at least in patients with systolic heart failure who are younger than 75
years and relatively free of comorbidities.
PMID- 22086971
TI - Relaxin ameliorates salt-sensitive hypertension and renal fibrosis.
AB - BACKGROUND: Although relaxin (RLX) has potent vasodilatory and anti-fibrotic
properties, there is no information on its effects on salt-sensitive
hypertension. METHODS: We investigated the effects of short-term treatment with
RLX on blood pressure (BP) and nitric oxide synthase (NOS) protein in the kidneys
of male Dahl salt-sensitive (DS) and Dahl salt-resistant (DR) rats after 1 week
consumption of an 8% NaCl diet. We also evaluated the inhibitory effects of each
specific NOS inhibitor on BP during 1-week RLX treatment under high-salt diet.
Next, we examined the long-term effects of RLX treatment for 6 weeks on renal
histology and transforming growth factor-beta1 (TGF-beta1) expression in male DS
and DR rats placed on the 8-week high-salt diet. RESULTS: The short-term RLX
treatment significantly attenuated the high-salt diet-induced rise in BP in DS
rats with increasing neuronal NOS and endothelial NOS protein in kidneys.
Selective inhibition of each of the three NOS isoforms significantly blocked the
anti-hypertensive effects of RLX in DS rats after 1-week high-salt diet. The long
term treatment of DS rats with RLX for 6 weeks significantly reduced systolic BP,
lessened glomerular and tubulointerstitial changes and reduced TGF-beta signaling
compared to saline-treated controls. CONCLUSIONS: The results suggested that RLX
converted salt sensitivity to salt resistance, at least in part, by up-regulating
NOS. RLX is a potentially useful therapeutic agent for salt-sensitive
hypertension.
PMID- 22086972
TI - Anti-A/B antibody depletion by semiselective versus ABO blood group-specific
immunoadsorption.
AB - BACKGROUND: Recipient desensitization using blood group (BG)-specific
immunoadsorption (ABO-IA) has proven to enable successful kidney transplantation
across major ABO barriers. In this context, the efficiency of non-antigen
specific (semiselective) IA adsorbers has not yet been established. The objective
of our study was to quantify anti-A/B antibody depletion by protein A-, peptide
ligand- and anti-human immunoglobulin-based semiselective IA in comparison to ABO
IA. METHODS: Eight ABO-IA-treated transplant candidates and 39 patients subjected
to semiselective IA for a variety of different indications outside the context of
ABO-incompatible transplantation were included. Antibody patterns (IgG, IgG1-4
subclasses, IgM, C4d-fixing reactivities) were analysed applying conventional
agglutination testing and flow cytometry. RESULTS: As assessed by sensitive flow
cytometric antibody detection, ABO-IA-based desensitization led to a profound
even though often incomplete reduction of anti-A/B reactivities. Persistent
complement- or non-complement-fixing reactivities, however, were not associated
with transplant rejection or capillary C4d deposition. Single sessions of
semiselective IA turned out to be more effective than ABO-IA in decreasing levels
of anti-A/B IgG [median reduction to 28 versus 59% (ABO-IA) of baseline values, P
< 0.001). In contrast, BG-specific IgM (74 versus 30%, P < 0.001) and IgG3 (72
versus 42%, P < 0.05) were reduced to a lesser extent, without differences
between tested adsorber types. Analysis of four consecutive IA sessions revealed
that inferior efficiency could not be overcome by serial treatment. CONCLUSION:
Our observation of limited adsorption capacities regarding distinct BG-specific
Ig (sub)classes suggests caution in applying semiselective IA techniques in ABO
incompatible kidney transplantation.
PMID- 22086973
TI - Albumin-binding capacity (ABiC) is reduced in patients with chronic kidney
disease along with an accumulation of protein-bound uraemic toxins.
AB - BACKGROUND: Albumin is an important transport protein for non-water-soluble
protein-bound drugs and uraemic toxins. Its transport capacity is reduced in
patients with advanced chronic kidney disease (CKD) and unbound fractions of
uraemic toxins are related to complications of CKD. We investigated whether this
reduction could be quantified and how it correlated with the stages of CKD.
Albumin-binding capacity (ABiC) is a dye-based method that quantifies the
remaining binding capacity of one major binding site (site II) of the albumin
molecule. METHODS: Blood samples from 104 CKD patients were incubated with a
binding site-specific fluorescent marker and the amount of unbound marker was
determined by means of fluorescence detection after filtration. Measurements in a
pooled human plasma were used for reference. Glomerular filtration rate and serum
indoxyl sulphate (IS) levels were also determined. RESULTS: Impairment of renal
function was associated with a reduction in ABiC (mean +/- SD: 118 +/- 12; 111 +/
11; 99 +/- 8 and 79 +/- 9% for Stages 1/2, 3, 4 and 5, respectively; P < 0.001)
and an increase in IS (3.9 +/- 1.1; 6.2 +/- 3.2; 16.3 +/- 14.9 and 56.1 +/- 28.6
MUmol/L for Stages 1/2, 3, 4 and 5, respectively; P < 0.001). In dialysis
patients, ABiC was lower in those with urine outputs <500 mL/day than in those
with preserved urine output (73.7 +/- 6.0 versus 83.8 +/- 8.5%; P < 0.001).
CONCLUSION: Impaired albumin function in CKD patients can be quantified, is
related to severity of kidney disease and is associated with an accumulation of
uraemic albumin-bound retention solutes.
PMID- 22086974
TI - Pathogenicity of A600V variant in exon 12 of the MSH2 gene detected in a Japanese
kindred with Lynch syndrome.
AB - Lynch syndrome is caused by germline mutations of the DNA mismatch repair genes.
Missense mutations are often difficult to evaluate as pathogenic. Previously, we
reported a missense mutation in exon 12 at codon 600 of the MSH2 gene, causing a
substitution of GTT (Val) for GCT (Ala) in a 35-year-old-man with rectal cancer,
while the pathogenicity of this mutation is still unclear. In this report, we
confirm the same mutation in his 66-year-old mother who had cecal cancer.
PCR/direct sequencing analysis of peripheral blood lymphocytes revealed the same
missense mutation in exon 12 at codon 600 of the MSH2 gene. The wave height of
the capillary sequencer from the wild-type allele was decreased in tumor tissue,
indicating loss of heterozygosity in the wild-type allele. Analysis of the tumor
showed microsatellite instability high and loss of MSH2 protein expression. This
sequence variant has not been reported in another family. This mutation is
considered to play a significant and causative role in Lynch syndrome.
PMID- 22086975
TI - Bioactivation versus detoxication of the urothelial carcinogen aristolochic acid
I by human cytochrome P450 1A1 and 1A2.
AB - Exposure to aristolochic acid (AA) is associated with human nephropathy and
urothelial cancer. Individual susceptibility to AA-induced disease likely
reflects individual differences in enzymes that metabolize AA. Herein, we
evaluated AAI metabolism by human cytochrome P450 (CYP) 1A1 and 1A2 in two CYP1A
humanized mouse lines that carry functional human CYP1A1 and CYP1A2 genes in the
absence of the mouse Cyp1a1/1a2 orthologs. Human and mouse hepatic microsomes and
human CYPs were also studied. Human CYP1A1 and 1A2 were found to be principally
responsible for reductive activation of AAI to form AAI-DNA adducts and for
oxidative detoxication to 8-hydroxyaristolochic acid (AAIa), both in the intact
mouse and in microsomes. Overall, AAI-DNA adduct levels were higher in CYP1A
humanized mice relative to wild-type mice, indicating that expression of human
CYP1A1 and 1A2 in mice leads to higher AAI bioactivation than in mice containing
the mouse CYP1A1 and 1A2 orthologs. Furthermore, an exclusive role of human
CYP1A1 and 1A2 in AAI oxidation to AAIa was observed in human liver microsomes
under the aerobic (i.e., oxidative) conditions. Because CYP1A2 levels in human
liver are at least 100-fold greater than those of CYP1A1 and there exists a > 60
fold genetic variation in CYP1A2 levels in human populations, the role of CYP1A2
in AAI metabolism is clinically relevant. The results suggest that, in addition
to CYP1A1 and 1A2 expression levels, in vivo oxygen concentration in specific
tissues might affect the balance between AAI nitroreduction and demethylation,
which in turn would influence tissue-specific toxicity or carcinogenicity.
PMID- 22086976
TI - Parallel biotransformation of tetrabromobisphenol A in Xenopus laevis and
mammals: Xenopus as a model for endocrine perturbation studies.
AB - The flame retardant tetrabromobisphenol A (TBBPA) is a high production flame
retardant that interferes with thyroid hormone (TH) signaling. Despite its rapid
metabolism in mammals, TBBPA is found in significant amounts in different
tissues. Such findings highlight first a need to better understand the effects of
TBBPA and its metabolites and second the need to develop models to address these
questions experimentally. We used Xenopus laevis tadpoles to follow radiolabeled
(14)C-TBBPA uptake and metabolism. Extensive and rapid uptake of radioactivity
was observed, tadpoles metabolizing > 94% of (14)C-TBBPA within 8 h. Four
metabolites were identified in water and tadpole extracts: TBBPA-glucuronide,
TBBPA-glucuronide-sulfate, TBBPA-sulfate, and TBBPA-disulfate. These metabolites
are identical to the TBBPA conjugates characterized in mammals, including humans.
Most radioactivity (> 75%) was associated with sulfated conjugates. The
antithyroid effects of TBBPA and the metabolites were compared using two in vivo
measures: tadpole morphology and an in vivo tadpole TH reporter gene assay. Only
TBBPA, and not the sulfated metabolites, disrupted thyroid signaling. Moreover,
TBBPA treatment did not affect expression of phase II enzymes involved in TH
metabolism, suggesting that the antithyroid effects of TBBPA are not due to
indirect effects on TH metabolism. Finally, we show that only the parent TBBPA
inhibits T3-induced transactivation in cells expressing human, zebrafish, or X.
laevis TH receptor, TRalpha. We conclude, first, that perturbation of thyroid
signaling by TBBPA is likely due to rapid direct action of the parent compound,
and second, that Xenopus is an excellent vertebrate model for biotransformation
studies, displaying homologous pathways to mammals.
PMID- 22086977
TI - Homeostatic synaptic plasticity: local and global mechanisms for stabilizing
neuronal function.
AB - Neural circuits must maintain stable function in the face of many plastic
challenges, including changes in synapse number and strength, during learning and
development. Recent work has shown that these destabilizing influences are
counterbalanced by homeostatic plasticity mechanisms that act to stabilize
neuronal and circuit activity. One such mechanism is synaptic scaling, which
allows neurons to detect changes in their own firing rates through a set of
calcium-dependent sensors that then regulate receptor trafficking to increase or
decrease the accumulation of glutamate receptors at synaptic sites. Additional
homeostatic mechanisms may allow local changes in synaptic activation to generate
local synaptic adaptations, and network-wide changes in activity to generate
network-wide adjustments in the balance between excitation and inhibition. The
signaling pathways underlying these various forms of homeostatic plasticity are
currently under intense scrutiny, and although dozens of molecular pathways have
now been implicated in homeostatic plasticity, a clear picture of how homeostatic
feedback is structured at the molecular level has not yet emerged. On a
functional level, neuronal networks likely use this complex set of regulatory
mechanisms to achieve homeostasis over a wide range of temporal and spatial
scales.
PMID- 22086978
TI - Propentofylline decreases tumor growth in a rodent model of glioblastoma
multiforme by a direct mechanism on microglia.
AB - Glioblastoma multiforme (GBM) is the most common and aggressive primary brain
cancer, with a median survival of less than 2 years after diagnosis. The tumor
microenvironment plays a critical role in tumor invasion and progression.
Microglia and infiltrating macrophages are the most abundant immune cells in the
tumor. In the present study, we demonstrate that systemic propentofylline (PPF),
an atypical methylxanthine with central nervous system (CNS) glial modulating and
anti-inflammatory actions, significantly decreased tumor growth in a CNS-1 rat
model of GBM by targeting microglia and not tumor cells. Rats received tumor
injections of 1 * 10(5) CNS-1 cells in the right striatum with daily
intraperitonial injections of PPF (50 mg/kg) or saline beginning the day of tumor
injection. PPF did not cause apoptosis or decrease proliferation of CNS-1 tumor
cells. Furthermore, we demonstrate, using in vitro methods, that PPF decreased
microglial migration toward CNS-1 tumor cells and decreased MMP-9 expression. The
effects of PPF were shown to be specific to microglia and not peripheral
macrophages. These results support a differential functional role of resident
microglia and infiltrating macrophages in the brain tumor environment. Our data
highlight microglia as a crucial target for future therapeutic development and
present PPF as a possible drug for treatment of human GBM.
PMID- 22086979
TI - Paraoxonase 1 as a major bioactivating hydrolase for olmesartan medoxomil in
human blood circulation: molecular identification and contribution to plasma
metabolism.
AB - Olmesartan medoxomil (OM) is a prodrug-type angiotensin II type 1 receptor
antagonist. The OM-hydrolyzing enzyme responsible for prodrug bioactivation was
purified from human plasma through successive column chromatography and was
molecularly identified through N-terminal amino acid sequencing, which resulted
in a sequence of 20 amino acids identical to that of human paraoxonase 1 (PON1).
Two recombinant allozymes of human PON1 (PON1(192QQ) and PON1(192RR)) were
constructed and were clearly demonstrated to hydrolyze OM; hydrolysis by the
latter allozyme was slightly faster than that by the former. In addition, we
evaluated the contribution of PON1 to OM bioactivation in human plasma. Enzyme
kinetic studies demonstrated that OM was hydrolyzed more effectively by the
recombinant PON1 proteins than by purified albumin. The OM-hydrolyzing activities
of the recombinant PON1 proteins and diluted plasma were greatly reduced in the
absence of calcium ions. Immunoprecipitation with anti-PON1 IgG completely
abolished the OM-hydrolyzing activity in human plasma, whereas the activity was
partially inhibited with anti-albumin IgG. The distribution pattern of the OM
hydrolyzing activity in human serum lipoprotein fractions and lipoprotein
deficient serum was examined and showed that most of the OM-hydrolyzing activity
was located in the high-density lipoprotein fraction, with which PON1 is closely
associated. In conclusion, we identified PON1 as the OM-bioactivating hydrolase
in human plasma on a molecular basis and demonstrated that PON1, but not albumin,
plays a major role in OM bioactivation in human plasma.
PMID- 22086980
TI - Increased systemic exposure to rhizoma coptidis alkaloids in lipopolysaccharide
pretreated rats attributable to enhanced intestinal absorption.
AB - Rhizoma coptidis is a rhizome commonly used in traditional Chinese medicine.
After oral administration of rhizoma coptidis extract, the plasma concentrations
of its effective alkaloid constituents are so low that their systemic therapeutic
actions cannot be explained. This study aimed to investigate the influence of
lipopolysaccharide (LPS) on the pharmacokinetics of the rhizoma coptidis
alkaloids. Pharmacokinetic experiments were performed with rats; both in vitro
absorption and efflux experiments were carried out with everted rat gut sacs,
whereas in vitro metabolism experiments were conducted with rat liver microsomes
and intestinal S9 fractions. Mucosal changes were evaluated with light microscopy
and transmission electron microscopy. The results showed that, in rat plasma, LPS
pretreatment increased systemic alkaloid exposure. LPS pretreatment increased the
in vitro absorption of the alkaloids and decreased their efflux. The efflux of
vinblastine and rhodamine 123, P-glycoprotein substrates, also was decreased. The
absorption of fluorescein isothiocyanate-labeled dextran (average molecular mass,
4 kDa), a gut paracellular permeability probe, was not influenced. Obvious damage
was observed in the mucosa, but the tight junctions between epithelial cells
remained intact. Intestinal, rather than hepatic, alkaloid metabolism was
decreased. These findings indicated that LPS pretreatment increased systemic
exposure to the alkaloids through enhancement of their absorption, which was
related to decreased intestinal efflux and metabolism. The results add to the
understanding of why rhizoma coptidis is active despite the low plasma
concentrations of the rhizoma coptidis alkaloids measured in normal subjects and
experimental animals.
PMID- 22086981
TI - The influence of health care reform on intensive care: a UK perspective.
PMID- 22086982
TI - Reslizumab and eosinophilic asthma: one step closer to phenotype-directed
therapy?
PMID- 22086983
TI - Pneumonia in the ICU: a lethal or VAPid complication?
PMID- 22086984
TI - Understanding ICU staff burnout: the show must go on.
PMID- 22086985
TI - Chronic kidney disease: a new morbidity of cystic fibrosis or an old morbidity of
diabetes mellitus?
PMID- 22086986
TI - Where next for gene expression profiling? So much promise.
PMID- 22086987
TI - VEGF receptor inhibition as a model of pulmonary hypertension in mice.
PMID- 22086988
TI - Physiologic phenotypes of sleep apnea pathogenesis.
PMID- 22086989
TI - An official American Thoracic Society/Society of Thoracic Radiology clinical
practice guideline: evaluation of suspected pulmonary embolism in pregnancy.
AB - BACKGROUND: Pulmonary embolism (PE) is a leading cause of maternal mortality in
the developed world. Along with appropriate prophylaxis and therapy, prevention
of death from PE in pregnancy requires a high index of clinical suspicion
followed by a timely and accurate diagnostic approach. METHODS: To provide
guidance on this important health issue, a multidisciplinary panel of major
medical stakeholders was convened to develop evidence-based guidelines for
evaluation of suspected pulmonary embolism in pregnancy using the Grades of
Recommendation, Assessment, Development, and Evaluation (GRADE) system. In
formulation of the recommended diagnostic algorithm, the important outcomes were
defined to be diagnostic accuracy and diagnostic yield; the panel placed a high
value on minimizing cumulative radiation dose when determining the recommended
sequence of tests. RESULTS: Overall, the quality of the underlying evidence for
all recommendations was rated as very low or low, with some of the evidence
considered for recommendations extrapolated from studies of the general
population. Despite the low-quality evidence, strong recommendations were made
for three specific scenarios: performance of chest radiography (CXR) as the first
radiation-associated procedure; use of lung scintigraphy as the preferred test in
the setting of a normal CXR; and performance of computed-tomographic pulmonary
angiography (CTPA) rather than digital subtraction angiography (DSA) in a
pregnant woman with a nondiagnostic ventilation-perfusion (V/Q) result.
DISCUSSION: The recommendations presented in this guideline are based upon the
currently available evidence; availability of new clinical research data and
development and dissemination of new technologies will necessitate a revision and
update.
PMID- 22086990
TI - An uncommon complication of an inferior vena cava filter.
PMID- 22086991
TI - Discriminatory value of procalcitonin.
PMID- 22086992
TI - Trends in cause-specific mortality in oxygen-dependent COPD: what about pulmonary
embolism?
PMID- 22086993
TI - Is autoimmunity really related to the pathogenesis of COPD?
PMID- 22086994
TI - Recording of possible diaphragm fatigue under neurally adjusted ventilatory
assist.
PMID- 22086995
TI - Efficacy of adalimumab in chronically active and symptomatic patients with
sarcoidosis.
PMID- 22086996
TI - Mobilizing the genome of Lepidoptera through novel sequence gains and end
creation by non-autonomous Lep1 Helitrons.
AB - Transposable elements (TEs) can affect the structure of genomes through their
acquisition and transposition of novel DNA sequences. The 134-bp repetitive
elements, Lep1, are conserved non-autonomous Helitrons in lepidopteran genomes
that have characteristic 5'-CT and 3'-CTAY nucleotide termini, a 3'-terminal
hairpin structure, a 5'- and 3'-subterminal inverted repeat (SIR), and
integrations that occur between AT or TT nucleotides. Lep1 Helitrons have
acquired and propagated sequences downstream of their 3'-CTAY termini that are 57
344-bp in length and have termini composed of a 3'-CTRR preceded by a 3'-hairpin
structure and a region complementary to the 5'-SIR (3'-SIRb). Features of both
the Lep1 Helitron and multiple acquired sequences indicate that secondary
structures at the 3'-terminus may have a role in rolling circle replication or
genome integration mechanisms, and are a prerequisite for novel end creation by
Helitron-like TEs. The preferential integration of Lep1 Helitrons in proximity to
gene-coding regions results in the creation of genetic novelty that is shown to
impact gene structure and function through the introduction of novel exon
sequence (exon shuffling). These findings are important in understanding the
structural requirements of genomic DNA sequences that are acquired and transposed
by Helitron-like TEs.
PMID- 22086998
TI - Transcriptome sequencing of Hevea brasiliensis for development of microsatellite
markers and construction of a genetic linkage map.
AB - To obtain more information on the Hevea brasiliensis genome, we sequenced the
transcriptome from the vegetative shoot apex yielding 2 311 497 reads. Clustering
and assembly of the reads produced a total of 113 313 unique sequences,
comprising 28 387 isotigs and 84 926 singletons. Also, 17 819 expressed sequence
tag (EST)-simple sequence repeats (SSRs) were identified from the data set. To
demonstrate the use of this EST resource for marker development, primers were
designed for 430 of the EST-SSRs. Three hundred and twenty-three primer pairs
were amplifiable in H. brasiliensis clones. Polymorphic information content
values of selected 47 SSRs among 20 H. brasiliensis clones ranged from 0.13 to
0.71, with an average of 0.51. A dendrogram of genetic similarities between the
20 H. brasiliensis clones using these 47 EST-SSRs suggested two distinct groups
that correlated well with clone pedigree. These novel EST-SSRs together with the
published SSRs were used for the construction of an integrated parental linkage
map of H. brasiliensis based on 81 lines of an F1 mapping population. The map
consisted of 97 loci, consisting of 37 novel EST-SSRs and 60 published SSRs,
distributed on 23 linkage groups and covered 842.9 cM with a mean interval of
11.9 cM and ~4 loci per linkage group. Although the numbers of linkage groups
exceed the haploid number (18), but with several common markers between
homologous linkage groups with the previous map indicated that the F1 map in this
study is appropriate for further study in marker-assisted selection.
PMID- 22086999
TI - Mycoplasma hyopneumoniae transcription unit organization: genome survey and
prediction.
AB - Mycoplasma hyopneumoniae is associated with swine respiratory diseases. Although
gene organization and regulation are well known in many prokaryotic organisms,
knowledge on mycoplasma is limited. This study performed a comparative analysis
of three strains of M. hyopneumoniae (7448, J and 232), with a focus on genome
organization and gene comparison for open read frame (ORF) cluster (OC)
identification. An in silico analysis of gene organization demonstrated 117 OCs
and 34 single ORFs in M. hyopneumoniae 7448 and J, while 116 OCs and 36 single
ORFs were identified in M. hyopneumoniae 232. Genomic comparison revealed high
synteny and conservation of gene order between the OCs defined for 7448 and J
strains as well as for 7448 and 232 strains. Twenty-one OCs were chosen and
experimentally confirmed by reverse transcription-PCR from M. hyopneumoniae 7448
genome, validating our prediction. A subset of the ORFs within an OC could be
independently transcribed due to the presence of internal promoters. Our results
suggest that transcription occurs in 'run-on' from an upstream promoter in M.
hyopneumoniae, thus forming large ORF clusters (from 2 to 29 ORFs in the same
orientation) and indicating a complex transcriptional organization.
PMID- 22087000
TI - Discovery of deoxyceramides and diacylglycerols as CD1b scaffold lipids among
diverse groove-blocking lipids of the human CD1 system.
AB - Unlike the dominant role of one class II invariant chain peptide (CLIP) in
blocking MHC class II, comparative lipidomics analysis shows that human cluster
of differentiation (CD) proteins CD1a, CD1b, CD1c, and CD1d bind lipids
corresponding to hundreds of diverse accurate mass retention time values.
Although most ions were observed in association with several CD1 proteins,
ligands binding selectively to one CD1 isoform allowed the study of how differing
antigen-binding grooves influence lipid capture. Although the CD1b groove is
distinguished by its unusually large volume (2,200 A(3)) and the T' tunnel, the
average mass of compounds eluted from CD1b was similar to that of lipids from CD1
proteins with smaller grooves. Elution of small ligands from the large CD1b
groove might be explained if two small lipids bind simultaneously in the groove.
Crystal structures indicate that all CD1 proteins can capture one antigen with
its hydrophilic head group exposed for T-cell recognition, but CD1b structures
show scaffold lipids seated below the antigen. We found that ligands selectively
associated with CD1b lacked the hydrophilic head group that is generally needed
for antigen recognition but interferes with scaffold function. Furthermore, we
identified the scaffolds as deoxyceramides and diacylglycerols and directly
demonstrate a function in augmenting presentation of a small glycolipid antigen
to T cells. Thus, unlike MHC class II, CD1 proteins capture highly diverse
ligands in the secretory pathway. CD1b has a mechanism for presenting either two
small or one large lipid, allowing presentation of antigens with an unusually
broad range of chain lengths.
PMID- 22087001
TI - Brassinosteroids modulate the efficiency of plant immune responses to microbe
associated molecular patterns.
AB - Metazoans and plants use pattern recognition receptors (PRRs) to sense conserved
microbial-associated molecular patterns (MAMPs) in the extracellular environment.
In plants, the bacterial MAMPs flagellin and elongation factor Tu (EF-Tu)
activate distinct, phylogenetically related cell surface pattern recognition
receptors of the leucine-rich repeat receptor kinase (LRR-RK) family called FLS2
and EF-Tu receptor, respectively. BAK1 is an LRR-RK coreceptor for both FLS2 and
EF-Tu receptor. BAK1 is also a coreceptor for the plant brassinosteroid (BR)
receptor, the LRR-RK BRI1. Binding of BR to BRI1 primarily promotes cell
elongation. Here, we tune the BR pathway response to establish how plant cells
can generate functionally different cellular outputs in response to MAMPs and
pathogens. We demonstrate that BR can act antagonistically or synergistically
with responses to MAMPs. We further show that the synergistic activities of BRs
on MAMP responses require BAK1. Our results highlight the importance of plant
steroid homeostasis as a critical step in the establishment of plant immunity. We
propose that tradeoffs associated with plasticity in the face of infection are
layered atop plant steroid developmental programs.
PMID- 22086997
TI - Analysis of the Asian seabass transcriptome based on expressed sequence tags.
AB - Analysis of transcriptomes is of great importance in genomic studies. Asian
seabass is an important fish species. A number of genomic tools in it were
developed, while large expressed sequence tag (EST) data are lacking. We
sequenced ESTs from nine normalized cDNA libraries and obtained 11 431 high
quality ESTs. We retrieved 8524 ESTs from dbEST database and analyzed all 19 975
ESTs using bioinformatics tools. After clustering, we obtained 8837 unique
sequences (2838 contigs and 5999 singletons). The average contig length was 574
bp. Annotation of these unique sequences revealed that 48.9% of them showed
significant homology to RNA sequences in GenBank. Functional classification of
the unique ESTs identified a broad range of genes involved in different
functions. We identified 6114 putative single-nucleotide polymorphisms and 634
microsatellites in ESTs. We discovered different temporal and spatial expression
patterns of some immune-related genes in the Asian seabass after challenging with
a pathogen Vibrio harveyi. The unique EST sequences are being used in developing
a cDNA microarray to examine global gene expression and will also facilitate
future whole-genome sequence assembly and annotation of Asian seabass and
comparative genomics.
PMID- 22087002
TI - Shared gene expression in distinct neurons expressing common selector genes.
AB - Expression of the mec-3/unc-86 selector gene complex induces the differentiation
of the touch receptor neurons (TRNs) of Caenorhabditis elegans. These genes are
also expressed in another set of embryonically derived mechanosensory neurons,
the FLP neurons, but these cells do not share obvious TRN traits or proteins. We
have identified ~300 genes in each cell type that are up-regulated at least
threefold using DNA microarrays. Twenty-three percent of these genes are up
regulated in both cells. Surprisingly, some of the common genes had previously
been identified as TRN-specific. Although the FLP neurons contain low amounts of
the mRNAs for these TRN genes, they do not have detectable proteins. These
results suggest that transcription control is relatively inexact but that these
apparent errors of transcription are tolerated and do not alter cell fate.
Previous studies showed that loss of the EGL-44 and EGL-46 transcription factors
cause the FLP neurons to acquire TRN-like traits. Here, we show that similar
changes occur (e.g., the expression of both the TRN mRNAs and proteins) when the
FLP neurons ectopically express the auxiliary transcription factor ALR-1
(Aristaless related), which ensures, but does not direct, TRN differentiation.
Thus, the FLP neurons can acquire a TRN-like fate but use multiple levels of
regulation to ensure they do not. Our data indicate that expression of common
master regulators in different cell types can result in inappropriate expression
of effector genes. This misexpression makes these cells vulnerable to influences
that could cause them to acquire alternative fates.
PMID- 22087003
TI - Mycobacterium tuberculosis protein tyrosine phosphatase (PtpA) excludes host
vacuolar-H+-ATPase to inhibit phagosome acidification.
AB - Mycobacterium tuberculosis (Mtb) pathogenicity depends on its ability to inhibit
phagosome acidification and maturation processes after engulfment by macrophages.
Here, we show that the secreted Mtb protein tyrosine phosphatase (PtpA) binds to
subunit H of the macrophage vacuolar-H(+)-ATPase (V-ATPase) machinery, a
multisubunit protein complex in the phagosome membrane that drives luminal
acidification. Furthermore, we show that the macrophage class C vacuolar protein
sorting complex, a key regulator of endosomal membrane fusion, associates with V
ATPase in phagosome maturation, suggesting a unique role for V-ATPase in
coordinating phagosome-lysosome fusion. PtpA interaction with host V-ATPase is
required for the previously reported dephosphorylation of VPS33B and subsequent
exclusion of V-ATPase from the phagosome during Mtb infection. These findings
show that inhibition of phagosome acidification in the mycobacterial phagosome is
directly attributed to PtpA, a key protein needed for Mtb survival and
pathogenicity within host macrophages.
PMID- 22087004
TI - In vivo prevention of arterial restenosis with paclitaxel-encapsulated targeted
lipid-polymeric nanoparticles.
AB - Following recent successes with percutaneous coronary intervention (PCI) for
treating coronary artery disease (CAD), many challenges remain. In particular,
mechanical injury from the procedure results in extensive endothelial denudation,
exposing the underlying collagen IV-rich basal lamina, which promotes both
intravascular thrombosis and smooth muscle proliferation. Previously, we reported
the engineering of collagen IV-targeting nanoparticles (NPs) and demonstrated
their preferential localization to sites of arterial injury. Here, we develop a
systemically administered, targeted NP system to deliver an antiproliferative
agent to injured vasculature. Approximately 60-nm lipid-polymeric NPs were
surface functionalized with collagen IV-targeting peptides and loaded with
paclitaxel. In safety studies, the targeted NPs showed no signs of toxicity and a
>=3.5-fold improved maximum tolerated dose versus paclitaxel. In efficacy studies
using a rat carotid injury model, paclitaxel (0.3 mg/kg or 1 mg/kg) was i.v.
administered postprocedure on days 0 and 5. The targeted NP group resulted in
lower neointima-to-media (N/M) scores at 2 wk versus control groups of saline,
paclitaxel, or nontargeted NPs. Compared with sham-injury groups, an ~50%
reduction in arterial stenosis was observed with targeted NP treatment. The
combination of improved tolerability, sustained release, and vascular targeting
could potentially provide a safe and efficacious option in the management of CAD.
PMID- 22087005
TI - Reduced impact logging minimally alters tropical rainforest carbon and energy
exchange.
AB - We used eddy covariance and ecological measurements to investigate the effects of
reduced impact logging (RIL) on an old-growth Amazonian forest. Logging caused
small decreases in gross primary production, leaf production, and latent heat
flux, which were roughly proportional to canopy loss, and increases in
heterotrophic respiration, tree mortality, and wood production. The net effect of
RIL was transient, and treatment effects were barely discernable after only 1 y.
RIL appears to provide a strategy for managing tropical forest that minimizes the
potential risks to climate associated with large changes in carbon and water
exchange.
PMID- 22087006
TI - Brassinosteroids inhibit pathogen-associated molecular pattern-triggered immune
signaling independent of the receptor kinase BAK1.
AB - Plants and animals use innate immunity as a first defense against pathogens, a
costly yet necessary tradeoff between growth and immunity. In Arabidopsis, the
regulatory leucine-rich repeat receptor-like kinase (LRR-RLK) BAK1 combines with
the LRR-RLKs FLS2 and EFR in pathogen-associated molecular pattern (PAMP)
triggered immunity (PTI) and the LRR-RLK BRI1 in brassinosteroid (BR)-mediated
growth. Therefore, a potential tradeoff between these pathways mediated by BAK1
is often postulated. Here, we show a unidirectional inhibition of FLS2-mediated
immune signaling by BR perception. Unexpectedly, this effect occurred downstream
or independently of complex formation with BAK1 and associated downstream
phosphorylation. Thus, BAK1 is not rate-limiting in these pathways. BRs also
inhibited signaling triggered by the BAK1-independent recognition of the fungal
PAMP chitin. Our results suggest a general mechanism operative in plants in which
BR-mediated growth directly antagonizes innate immune signaling.
PMID- 22087007
TI - Hypermorphic mutation of the voltage-gated sodium channel encoding gene Scn10a
causes a dramatic stimulus-dependent neurobehavioral phenotype.
AB - The voltage-gated sodium channel Na(v)1.8 is known to function in the
transmission of pain signals induced by cold, heat, and mechanical stimuli.
Sequence variants of human Na(v)1.8 have been linked to altered cardiac
conduction. We identified an allele of Scn10a encoding the alpha-subunit of
Na(v)1.8 among mice homozygous for N-ethyl-N-nitrosourea-induced mutations. The
allele creates a dominant neurobehavioral phenotype termed Possum, characterized
by transient whole-body tonic immobility induced by pinching the skin at the back
of the neck ("scruffing"). The Possum mutation enhanced Na(v)1.8 sodium currents
and neuronal excitability and heightened sensitivity of mutants to cold stimuli.
Striking electroencephalographic changes were observed concomitant with the
scruffing-induced behavioral change. In addition, electrocardiography
demonstrated that Possum mice exhibited marked sinus bradycardia and R-R
variability upon scruffing, abrogated by infusion of atropine. However, atropine
failed to prevent or mitigate the tonic immobility response. Hyperactive sodium
conduction via Na(v)1.8 thus leads to a complex neurobehavioral phenotype, which
resembles catatonia in schizophrenic humans and tonic immobility in other mammals
upon application of a discrete stimulus; no other form of mechanosensory stimulus
could induce the immobility phenotype. Our data confirm the involvement of
Na(v)1.8 in transducing pain initiated by cold and additionally implicate
Na(v)1.8 in previously unknown functions in the central nervous system and heart.
PMID- 22087008
TI - Observational studies find association between chocolate consumption and reduced
risk of cardiovascular disease and diabetes.
PMID- 22087009
TI - 2.64% of South Korean children aged 7 to 12 have autism spectrum disorders.
PMID- 22087010
TI - Type III glycogen storage disease mimicking hypertrophic cardiomyopathy.
PMID- 22087011
TI - Shape of the right ventricular outflow Doppler envelope and severity of pulmonary
hypertension.
AB - BACKGROUND: It is now well known that timing of right ventricular outflow tract
(RVOT) spectral Doppler signals change with increasing pulmonary hypertension
severity. We devised a study to determine whether visual assessment of these RVOT
Doppler signals can be useful identifying the severity of pulmonary hypertension.
METHODS: Visual inspection of pulsed RVOT Doppler signals from 120 consecutive
patients (mean age of 55 +/- 12, range 29-89 years; 45 males); with a mean
pulmonary artery systolic pressure (PASP) of 59 +/- 29, (ranging from 18 to 150
mmHg), of whom 78 patients had PASP >40 mmHg, was performed and correlated with
standard echo Doppler variables of right ventricular performance. RESULTS: Visual
inspection of RVOT spectral Doppler signals from the 120 patients showed four
dynamic patterns and on a stepwise multiple regression analysis, PASP was the
only echocardiographic variable that correlated with these different types of
RVOT spectral Doppler signals. Furthermore, receiver operator curve analysis of
these RVOT spectral Doppler signals correlated well with different ranges of
PASP. CONCLUSIONS: Visual assessment of RVOT spectral signals demonstrates the
presence of four dynamic patterns, independent of the aetiology of the pulmonary
hypertension, that not only correlate with the severity of pulmonary
hypertension, but also are useful in identifying a range of PASP with great
accuracy that minimizes subjective interpretation. These simple visual
assessments of RVOT Doppler signals can be done routinely when evaluating
patients with pulmonary hypertension without the need of additional sophisticated
equipment.
PMID- 22087012
TI - Scleroderma renal crisis: a retrospective multicentre study on 91 patients and
427 controls.
AB - OBJECTIVE: Scleroderma renal crisis (SRC) is a severe manifestation of SSc, whose
prognosis remains severe, despite treatment with angiotensin-converting-enzyme
inhibitor and dialysis. This study was undertaken to describe SRC
characteristics, prognosis and outcome, and evaluate the responsibility of CSs in
its occurrence. METHODS: Analysis concerned 91 SSc patients with SRC who were
compared with 427 non-SRC-SSc patients taken as controls. RESULTS: Among the 91
SRC patients, 71 (78.0%) had high blood pressure, 53 (58.2%) hypertensive
encephalopathy and 51 (56.0%) thrombotic microangiopathy; 64 (70.3%) had received
CSs before or concomitantly with SRC vs 156 (36.5%) non-SRC-SSc patients (P <
0.001). Treated SRC patients also received more prednisone 29.3 (28.4) vs 3.6
(9.9) mg than controls (P < 0.001). SRC clinical outcomes were poor: 49 (53.8%)
patients required dialysis, which was definitive for 38. Thirty-seven (40.7%) SRC
patients died vs 10.8% of the controls (P < 0.001). Death was most frequent among
dialysed patients who never recovered renal function (22 vs 2) and 13 never
dialysed SRC patients died. CONCLUSIONS: Although SRC prognosis has improved
markedly, SRC remains a severe manifestation of SSc, despite treatment with
angiotensin-converting enzyme inhibitor and dialysis. CSs contributed
significantly to SRC occurrence.
PMID- 22087013
TI - Assessment of work instability in spondyloarthritis: a cross-sectional study
using the ankylosing spondylitis work instability scale.
AB - OBJECTIVES: Work status is an important outcome in SpA. The objective was to
assess work instability and its determinants in a cohort of patients with SpA,
using the AS-work instability scale (AS-WIS). METHODS: We performed a cross
sectional monocentre study. Patients were definite SpA patients with paid work.
Work instability was measured by the AS-WIS. Its determinants were assessed by
correlations with SpA scores (BASDAI, BASFI and patient's global assessment) and
patients with low work instability (AS-WIS score < 11) were compared with those
with moderate to high instability, through backward logistic regression. RESULTS:
In all, 156 patients were assessed: mean (s.d.) age 41 (11) years, mean disease
duration 15 (11) years; 71 (45.5%) were on TNF blockers. The mean AS-WIS score
was 9.5 (5.5); 55 (35%) patients had moderate and 8 (5%) patients had high work
instability. Correlations of the AS-WIS score with SpA scores were significant
but moderate (BASDAI R = 0.42, BASFI R = 0.41, patient's global assessment R =
0.53; P < 0.0001). In multivariate analysis, high patient's global assessment was
the only element associated with moderate to high work instability; demographic
characteristics and treatments were not significant elements. CONCLUSION: Work
instability was found to be high and its main determinant was patient's global
assessment. The predictive validity of the AS-WIS in terms of job retention
should be further assessed.
PMID- 22087015
TI - Economic studies in rheumatology: data, perspectives, challenges.
PMID- 22087014
TI - Analysis of Class II human leucocyte antigens in Italian and Spanish systemic
sclerosis.
AB - OBJECTIVE: To determine the role of Class II HLAs in SSc patients from Italy and
Spain and in SSc patients of Caucasian ancestry. METHODS: Nine hundred and forty
four SSc patients (Italy 392 patients; Spain 452 patients) and 1320 ethnically
matched healthy controls (Italy 398 patients; Spain 922 patients) were genotyped
up to the fourth digit by PCR with sequence-specific oligonucleotides for HLA
DRB1, DQA1 and DQB1 loci. Patients included 390 ACA-positive and 254 anti-topo I
positive subjects. Associations between SSc or SSc-specific antibodies and HLA
alleles or HLA haplotypes were sought via the chi-square test after 10 000-fold
permutation testing. A meta-analysis including this study cohort and other
Caucasoids samples was also conducted. RESULTS: In both the cohorts, the
strongest association was observed between the HLA-DRB1*1104 allele and SSc or
anti-topo I antibodies. The HLA-DRB1*1104 -DQA1*0501 -DQB1*0301 haplotype was
overrepresented in Italian [odds ratio (OR) = 2.069, 95% asymptotic CIs (CI(95))
1.486, 2.881; P < 0.001] and in Spanish patients (OR = 6.707, CI(95) 3.974,
11.319; P < 0.001) as well as in anti-topo-positive patients: Italy (OR = 2.642,
CI(95) 1.78, 3.924; P < 0.001) and Spain (OR = 20.625, CI(95) 11.536, 36.876; P <
0.001). In both the populations we also identified an additional risk allele (HLA
DQB1*03) and a protective allele (HLA-DQB1*0501) in anti-topo-positive patients.
The meta-analysis showed different statistically significant associations, the
most interesting being the differential association between HLA-DRB1*01 alleles
and ACAs (OR = 1.724, CI(95) 1.482, 2.005; P < 0.001) or topo I antibodies (OR =
0.5, CI(95) 0.384, 0.651; P < 0.001). CONCLUSIONS: We describe multiple robust
associations between SSc and HLA Class II antigens in Caucasoids that may help to
understand the genetic architecture of SSc.
PMID- 22087016
TI - CD40 gene polymorphisms confer risk of Behcet's disease but not of Vogt-Koyanagi
Harada syndrome in a Han Chinese population.
AB - OBJECTIVES: Recent genetic surveys including a genome-wide association study have
identified CD40 as a susceptibility gene for several autoimmune diseases. This
study was designed to investigate the association of CD40 gene polymorphisms with
Behcet's disease (BD) and Vogt-Koyanagi-Harada (VKH) syndrome in a Han Chinese
population. METHODS: Two single nucleotide polymorphisms (SNPs), rs4810485 and
rs1883832, were genotyped using polymerase chain reaction-restriction fragment
length polymorphism in 373 BD patients, 519 VKH patients and 402 controls. A
binary logistic regression analysis was applied to assess the influence of gender
on the association of CD40 polymorphisms with BD. RESULTS: Our results showed
significantly increased frequencies of the homozygous rs4810485 TT and rs1883832
TT in BD patients as compared with the controls [Bonferroni-corrected P-value for
gender adjustment (Pac) = 0.006, odds ratio (OR) = 1.98, 95% confidence interval
(CI) 1.38, 2.83; = 0.012, OR = 1.73, 95% CI 1.22, 2.46, respectively]. A
markedly decreased frequency of the heterozygous rs4810485 GT was observed in BD
patients as compared with the controls ( = 0.042, OR = 0.68, 95% CI 0.51, 0.90).
The genotype and allele frequencies of rs4810485 and rs1883832 were not different
between VKH patients and controls. Stratification analysis did not find any
association between the tested SNPs and extra-ocular manifestations of both
diseases. CONCLUSIONS: The results suggest that TT genotypes of rs4810485 and
rs1883832 may be predisposing genotypes for BD, and that the rs4810485 GT
genotype may be a protective genotype for BD. The two tested CD40 gene
polymorphisms are not associated with VKH syndrome in the investigated Han
Chinese population.
PMID- 22087017
TI - Pharmacodynamic responses of plasma and tissue C-type natriuretic peptide to GH:
correlation with linear growth in GH-deficient rats.
AB - Studies from genetic modification and spontaneous mutations show that C-type
natriuretic peptide (CNP) signalling plays an essential part in postnatal
endochondral growth, but measurement of CNP proteins and changes in their
abundance in tissues and plasma during normal growth has not been reported. Using
rodent pups with GH deficiency, we now describe the pharmacodynamic response of
CNP and rat amino-terminal proCNP (NTproCNP) in plasma and tissues, and relate
these to changes in linear growth (nose-tail length, tibial length and tibial
growth plate width) during the course of 1 week of GH or saline (control)
administration. Compared with saline, significant increases in plasma and tissue
CNP forms were observed after 24 h in GH-treated pups and before any detectable
change in linear growth. Whereas CNP abundance was increased in most tissues
(muscle, heart and liver) by GH, enrichment was the greatest in extracts from
growth plates and kidney. Plasma and tissue concentrations in GH-treated pups
were sustained or further increased at 1 week when strong positive associations
were found between plasma NTproCNP and linear growth or tissue concentrations.
High content of NTproCNP in kidney tissue strongly correlated with plasma
concentrations, which is consistent with previous data showing renal extraction
of the peptide. In showing a prompt and significant increase in CNP in tissues
driving normal endochondral growth, these findings provide further rationale for
CNP agonists in the treatment of growth disorders resistant to current therapies
and support the use of CNP concentrations as biomarkers of linear growth.
PMID- 22087019
TI - A comparison of algorithms for body-worn sensor-based spatiotemporal gait
parameters to the GAITRite electronic walkway.
AB - This study compares the performance of algorithms for body-worn sensors used with
a spatiotemporal gait analysis platform to the GAITRite electronic walkway. The
mean error in detection time (true error) for heel strike and toe-off was 33.9 +/
10.4 ms and 3.8 +/- 28.7 ms, respectively. The ICC for temporal parameters step,
stride, swing and stance time was found to be greater than 0.84, indicating good
agreement. Similarly, for spatial gait parameters--stride length and velocity-
the ICC was found to be greater than 0.88. Results show good to excellent
concurrent validity in spatiotemporal gait parameters, at three different walking
speeds (best agreement observed at normal walking speed). The reported algorithms
for body-worn sensors are comparable to the GAITRite electronic walkway for
measurement of spatiotemporal gait parameters in healthy subjects.
PMID- 22087020
TI - Alcohol induced epigenetic perturbations during the inflammatory stage of
fracture healing.
AB - It is well recognized by orthopedic surgeons that fractures of alcoholics are
more difficult to heal successfully and have a higher incidence of non-union, but
the mechanism of alcohol's effect on fracture healing is unknown. In order to
give direction for the study of the effects of alcohol on fracture healing, we
propose to identify gene expression and microRNA changes during the early stages
of fracture healing that might be attributable to alcohol consumption. As the
inflammatory stage appears to be the most critical for successful fracture
healing, this paper focuses on the events at day three following fracture or the
stage of inflammation. Sprague-Dawley rats were placed on an ethanol-containing
or pair-fed Lieber and DeCarli diet for four weeks prior to surgical fracture.
Following insertion of a medullary pin, a closed mid-diaphyseal fracture was
induced using a Bonnarens and Einhorn fracture device. At three days' post
fracture, the region of the fracture calluses was harvested from the right hind
limb. RNA was extracted and microarray analysis was conducted against the entire
rat genome. There were 35 genes that demonstrated significant increased
expression due to alcohol consumption and 20 that decreased due to alcohol. In
addition, the expression of 20 microRNAs was increased and six decreased. In
summary, while it is recognized that mRNA levels may or may not represent protein
levels successfully produced by the cell, these studies reveal changes in gene
expression that support the hypothesis that alcohol consumption affects events
involved with inflammation. MicroRNAs are known to modulate mRNA and these
findings were consistent with much of what was seen with mRNA microarray
analysis, especially the involvement of smad4 which was demonstrated by mRNA
microarray, microRNA and polymerase chain reaction.
PMID- 22087021
TI - A new recombinant human apolipoprotein E mimetic peptide with high-density
lipoprotein binding and function enhancing activity.
AB - We generated a novel human apolipoprotein E (apoE)-mimetic peptide, designated
EpK. EpK contains an N-terminal cysteine residue, a low-density lipoprotein
receptor-binding fragment, a 6 * lysine linker and a lipid-binding fragment. The
recombinant peptide was expressed in Escherichia coli, and purified with a chitin
bead column followed by a Heparin Sepharose CL-6B column to yield pure peptide.
EpK displayed high solubility in aqueous solution at neutral pH and adopted a low
content of alpha-helical structure which was significantly increased in 2,2,2
trifluoroethanol or upon lipid binding. EpK retained similar 1,2-dimyristoyl(d54)
sn-glycero-3-phosphocholine binding activity as human apoE3 albeit with slower
kinetics. Cell culture studies showed that EpK mediated cholesterol efflux from
cholesterol-loaded primary murine macrophages with higher mass-based efficiency
than human apoAI and human apoE3, and that EpK inhibited lipopolysaccharide (LPS)
induced proinflammatory cytokine expression in murine macrophages. When injected
into apoE(-/-)mice, EpK predominantly associated with high-density lipoprotein
(HDL), which was also shown in in vitro incubation experiments. Moreover,
association of EpK with HDL enhanced the ability of HDL in mediating cholesterol
efflux and suppressing LPS-induced proinflammatory cytokine expression in
cholesterol-loaded human acute monocytic leukemia cell line (THP-1) macrophages.
These data suggest that this novel recombinant apoE mimetic peptide enhances HDL
function and harbors antiatherogenic potential.
PMID- 22087022
TI - Chronic angiotensin receptor blockade suppresses intracardiac angiotensin II in
angiotensin II-infused rats.
AB - Accumulation of angiotensin II (Ang II) in tissues is an Ang II-receptor-mediated
process. In pigs, acute angiotensin receptor blockade (ARB) reduced the heart-to
plasma ratio of Ang II following acute infusion. However in rats, chronic ARB
treatment increased heart Ang II levels, suggesting that a differential response
to ARB treatment may exist in the mammalian heart. Furthermore, the changes in
heart aldosterone following chronic ARB treatment are not well described. To
address the discrepancy in heart Ang II concentrations following ARB treatment,
three groups (n = 6) of rats were chronically studied: (1) control; (2)
angiotensin II (Ang II; 80 ng/min for 28 d); and (3) angiotensin II + olmesartan
(ARB; 10 mg/kg/d for 21 d). Ang II-infusion increased intracardiac Ang II by 40%
(53 +/- 2 versus 74 +/- 6 fmol/g) and intrarenal Ang II over 2-fold (96 +/- 6
versus 207 +/- 14 fmol/g), and chronic ARB treatment decreased Ang II by 48% in
the heart (50 +/- 7 fmol/g) and over two-fold in the kidney (92 +/- 7 fmol/g),
suggesting that accumulation of Ang II in the heart is receptor-mediated as in
the kidney. Ang II increased plasma aldosterone 2.5-fold (1.4 +/- 0.1 versus 3.5
+/- 1.2 nmol/L) and was exacerbated by ARB treatment (5.6 +/- 1.0 nmol/L).
Intracardiac aldosterone was exacerbated by ARB treatment (control: 2.2 +/- 0.3;
Ang II: 2.7 +/- 1.1; ARB: 7.8 +/- 1.7 pmol/g). Suppression of intracardiac Ang II
with ARB is consistent with the existing view of Ang II-receptor-mediated uptake
by tissues.
PMID- 22087023
TI - Heme oxygenase-1 induction protects the heart and modulates cellular and
extracellular remodelling after myocardial infarction in rats.
AB - Heme oxygenase-1 (HO-1) is a cytoprotective enzyme, which regulates cell
proliferation and has potential antifibrogenic properties. In the present study,
we investigated the effects of pre-emptive HO-1 induction by cobalt
protoporphyrin IX on the healing of myocardial infarction in rats. The
proliferation and repair of cardiac cells was assessed by immunostaining of Ki67
and proliferating cell nuclear antigen, and apoptosis of cardiomyocytes by
terminal deoxynucleotidyl transferase dUTP nick end labelling. Compared with
control hearts, HO-1 induction reduced apoptosis and increased proliferation and
repair of cardiomyocytes in the infarct border area during the first few days
after infarction. Concomitantly, HO-1 decreased accumulation and proliferation of
fibroblasts, and down-regulated procollagen type I expression in the infarct
area. Furthermore, HO-1 increased expression of the anti-inflammatory cytokine,
transforming growth factor-beta1, suggesting that the cardioprotective effect of
HO-1 in the early phase of infarct healing may result partly from the suppression
of the inflammatory response. In the remote myocardium, HO-1 inhibited both
proliferation and apoptosis of cardiomyocytes, attenuated heart failure-induced
increase in the repair of cardiomyocytes and decreased perivascular fibrosis,
thereby potentially alleviating adverse ventricular remodelling. The
cardioprotective effects of HO-1 in the late phase of infarct healing may be
mediated partly by down-regulation of the profibrotic connective tissue growth
factor (CTGF), as HO-1 decreased CTGF expression at week 4. In conclusion, our
findings suggest an important role for HO-1 in maintaining cellular homeostasis
in the postinfarction heart. Modulation of the HO-1 pathway may provide a new
therapeutic approach to enhance the recovery of myocardial infarction and protect
against pathological myocardial changes.
PMID- 22087024
TI - Acute glucocorticoid administration rapidly suppresses basal and stress-induced
hypothalamo-pituitary-adrenal axis activity.
AB - Hypothalamo-pituitary-adrenal (HPA) axis activity is subject to negative feedback
control by glucocorticoids. Although the rapid component of this feedback is
widely considered to contribute to regulation of dynamic HPA activity, few in
vivo data exist on the temporal and pharmacological characteristics of this
phenomenon. Thus, frequent automated blood sampling was undertaken in rats to
determine the effects of acute glucocorticoid administration on basal and stress
induced corticosterone secretion. The glucocorticoid agonist methylprednisolone
(5-2000 MUg) or dexamethasone (5-500 MUg) injected iv at the peak of the diurnal
rhythm caused dose-dependent suppression of basal corticosterone secretion, which
was attenuated by the glucocorticoid receptor antagonist RU38486. With 50 MUg
methylprednisolone, the onset of this suppression occurred at 40 min and remained
significant for 120 min. However, although higher doses led to a greater and more
sustained suppression of endogenous corticosterone, the response was delayed by
the emergence of an initial stimulatory response that imposed a finite minimum
delay. A corticosterone response to injection of CRH (1 MUg, iv) during the
period of maximal suppression indicated a suprapituitary site for the inhibitory
effect glucocorticoid activation. This mechanism was supported by glucocorticoid
injection immediately before a psychological stress (30 min, white noise);
methylprednisolone caused dose-dependent attenuation of stress-induced
corticosterone release and expression of the activity marker c-fos mRNA in the
paraventricular nucleus but did not block the pituitary response to CRH. Thus, in
rats, glucocorticoid receptor activation rapidly suppresses basal and stress
induced HPA activity that operates, at least in part, through a central mechanism
of action.
PMID- 22087025
TI - Cannabinoid receptor type 1 (CB1) activation inhibits small GTPase RhoA activity
and regulates motility of prostate carcinoma cells.
AB - The cannabinoid receptor type 1 (CB1) is a G protein-coupled receptor that is
activated in an autocrine fashion by the endocannabinoids (EC), N
arachidonoylethanolamine (AEA) and 2-arachidonoylglycerol (2-AG). The CB1 and its
endogenous and synthetic agonists are emerging as therapeutic targets in several
cancers due to their ability to suppress carcinoma cell invasion and migration.
However, the mechanisms that the CB1 regulates cell motility are not well
understood. In this study, we examined the molecular mechanisms that diminish
cell migration upon the CB1 activation in prostate carcinoma cells. The CB1
activation with the agonist WIN55212 significantly diminishes the small GTPase
RhoA activity but modestly increases the Rac1 and Cdc42 activity. The diminished
RhoA activity is accompanied by the loss of actin/myosin microfilaments, cell
spreading, and cell migration. Interestingly, the CB1 inactivation with the
selective CB1 antagonist AM251 significantly increases RhoA activity, enhances
microfilament formation and cell spreading, and promotes cell migration. This
finding suggests that endogenously produced EC activate the CB1, resulting in
chronic repression of RhoA activity and cell migration. Consistent with this
possibility, RhoA activity is significantly diminished by the exogenous
application of AEA but not by 2-AG in PC-3 cells (cells with very low AEA
hydrolysis). Pretreatment of cells with a monoacylglycerol lipase inhibitor,
JZL184, which blocks 2-AG hydrolysis, decreases the RhoA activity. These results
indicate the unique CB1 signaling and support the model that EC, through their
autocrine activation of CB1 and subsequent repression of RhoA activity, suppress
migration in prostate carcinoma cells.
PMID- 22087026
TI - Enhanced thecal androgen production is prenatally programmed in an ovine model of
polycystic ovary syndrome.
AB - One of the hallmarks of polycystic ovary syndrome (PCOS) is increased ovarian
androgen secretion that contributes to the ovarian, hormonal, and metabolic
features of this condition. Thecal cells from women with PCOS have an enhanced
capacity for androgen synthesis. To investigate whether this propensity is a
potential cause, rather than a consequence, of PCOS, we used an ovine prenatal
androgenization model of PCOS and assessed ewes at 11 months of age. Pregnant
Scottish Greyface ewes were administered 100 mg testosterone propionate (TP) or
vehicle control twice weekly from d 62 to 102 of gestation, and female offspring
(TP = 9, control = 5) were studied. Prenatal TP exposure did not alter ovarian
morphology or cyclicity, or plasma androgen, estrogen, and gonadotropin
concentrations, at this stage. However, follicle function was reprogrammed in
vivo with increased proportions of estrogenic follicles (P < 0.05) in the TP
exposed cohort. Furthermore, in vitro the thecal cells of follicles (>4 mm)
secreted more LH-stimulated androstenedione after prenatal androgenization (P <
0.05), associated with increased basal expression of thecal StAR (P < 0.01),
CYP11A (P < 0.05), HSD3B1 (P < 0.01), CYP17 (P < 0.05), and LHR (P < 0.05). This
provides the first evidence of increased thecal androgenic capacity in the
absence of a PCOS phenotype, suggesting a thecal defect induced during fetal
life.
PMID- 22087027
TI - The type 1 insulin-like growth factor receptor (IGF-IR) pathway is mandatory for
the follistatin-induced skeletal muscle hypertrophy.
AB - Myostatin inhibition by follistatin (FS) offers a new approach for muscle mass
enhancement. The aim of the present study was to characterize the mediators
responsible for the FS hypertrophic action on skeletal muscle in male mice.
Because IGF-I and IGF-II, two crucial skeletal muscle growth factors, are induced
by myostatin inhibition, we assessed their role in FS action. First, we tested
whether type 1 IGF receptor (IGF-IR) is required for FS-induced hypertrophy. By
using mice expressing a dominant-negative IGF-IR in skeletal muscle, we showed
that IGF-IR inhibition blunted by 63% fiber hypertrophy caused by FS. Second, we
showed that FS caused the same degree of fiber hypertrophy in wild-type and IGF
II knockout mice. We then tested the role of the signaling molecules stimulated
by IGF-IR, in particular the Akt/mammalian target of rapamycin (mTOR)/70-kDa
ribosomal protein S6 kinase (S6K) pathway. We investigated whether Akt
phosphorylation is required for the FS action. By cotransfecting a dominant
negative form of Akt together with FS, we showed that Akt inhibition reduced by
65% fiber hypertrophy caused by FS. Second, we evaluated the role of mTOR in FS
action. Fiber hypertrophy induced by FS was reduced by 36% in rapamycin-treated
mice. Finally, because the activity of S6K is increased by FS, we tested its role
in FS action. FS caused the same degree of fiber hypertrophy in wild-type and
S6K1/2 knockout mice. In conclusion, the IGF-IR/Akt/mTOR pathway plays a critical
role in FS-induced muscle hypertrophy. In contrast, induction of IGF-II
expression and S6K activity by FS are not required for the hypertrophic action of
FS.
PMID- 22087028
TI - Deterioration of QT prolongation after successful catheter ablation for Wolff
Parkinson-White syndrome.
PMID- 22087029
TI - Appropriateness of the Zucker Diabetic Fatty rat as a model for diabetic
microvascular late complications.
AB - Male obese Zucker Diabetic Fatty (ZDF) rats develop type 2 diabetes around eight
weeks of age, and are widely used as a model for human diabetes and its
complications. The objective of the study was to test whether the complications
manifested in the kidney and nerves of ZDF rats really correspond to human
diabetic complications in their being related to the hyperglycaemic state. Four
groups of ZDF rats were used. One lean (Fa/?) and one obese (fa/fa) untreated
group served as non-diabetic and diabetic controls. In two further groups of
obese (fa/fa) rats, diabetes was prevented by pioglitazone or delayed by food
restriction. All rats were monitored up to 35 weeks of age with respect to their
blood glucose, HbA1c and insulin levels, their kidney function (urinary glucose
excretion, renal glucose filtration, glomerular filtration rate,
albumin/creatinine ratio), and their nerve function (tactile and thermal sensory
threshold and nerve conduction velocity). Pioglitazone prevented the development
of diabetes, while food restriction delayed its onset for 8-10 weeks.
Accordingly, kidney function parameters were similar to lean non-diabetic rats in
pioglitazone-treated rats and significantly improved in food-restricted rats
compared with obese controls. Kidney histology paralleled the functional results.
By contrast, nerve functional evaluations did not mirror the differing blood
glucose levels. We conclude that the ZDF rat is a good model for diabetic
nephropathy, while alterations in nerve functions were not diabetes-related.
PMID- 22087030
TI - Evaluation of two devices for point-of-care testing of haemoglobin in neonatal
pigs.
AB - In veterinary medicine, point-of-care testing (POCT) techniques have become
popular, since they provide immediate results and only small amounts of blood are
needed. However, their accuracy is controversial. Pigs are often used for
research purposes and accurate measurement of haemoglobin (Hb) is important
during invasive procedures. The aim of this study was to evaluate two different
Hb POCT devices in neonatal pigs. A prospective study with 57 pigs of 3-6 weeks
of age, weighing 4.1-6.2 kg (median 5.1 kg) was performed. Fifty-seven blood
samples were analysed for Hb using a conductivity-based and a photometrical POCT
device and compared with a photometrical reference method. Statistical analysis
was performed with Bland-Altman analysis, Spearman correlation and Passing-Bablok
regression analysis. Hb values ranged from 32 to 108 g/L (median 80 g/L) using
the reference method. The bias of the photometrical method (HemoCue((r))) to the
reference method was -1 g/L, with limits of agreement (LOA) of -7 to 6 g/L. The
conductivity-based method (i-STAT((r))) had a bias of -15 g/L with LOA from -24
to -6 g/L. There was a significant association between protein values and the
bias of i-STAT versus CellDyn (r(2) = 0.27, P < 0.05) but not with the bias of
HemoCue versus CellDyn (r(2) = 0.001, P = 0.79). The lower the protein values
were, the lower the Hb values were measured by the i-STAT. The conductivity-based
measurement of Hb constantly underestimated Hb values, whereas the photometrical
method demonstrated a better accuracy and is therefore more reliable for on-site
measurement of Hb in pigs.
PMID- 22087032
TI - Local application of neurotrophins specifies axons through inositol 1,4,5
trisphosphate, calcium, and Ca2+/calmodulin-dependent protein kinases.
AB - Neurons are highly polarized cells that have structurally distinct processes-the
axons and dendrites-that differentiate from common immature neurites. In cultured
hippocampal neurons, one of these immature neurites stochastically initiates
rapid extension and becomes an axon, whereas the others become dendrites. Various
extracellular and intracellular signals contribute to axon specification;
however, the specific intracellular pathways whereby particular extracellular
stimuli lead to axon specification remain to be delineated. Here, we found that
the neurotrophins brain-derived neurotrophic factor (BDNF) and neurotrophin-3 (NT
3) were required for axon specification in an autocrine or a paracrine fashion.
Using local application with a micropipette to selectively stimulate individual
neurites, we found that stimulation of a selected neurite by BDNF or NT-3 induced
neurite outgrowth and subsequent axon formation. NT-3 induced a rapid increase in
calcium ions (Ca(2+)) in an inositol 1,4,5-trisphosphate (IP(3))-dependent
fashion as well as local activation of the Ca(2+) effector Ca(2+)/calmodulin
dependent protein kinase kinase (CaMKK) in the growth cone. Inhibition of
neurotrophin receptors or CaMKK attenuated NT-3-induced axon specification in
cultured neurons and axon formation in cortical neurons in vivo. These results
identify a role for IP(3)-Ca(2+)-CaMKK signaling in axon specification.
PMID- 22087031
TI - Signaling by the matrix proteoglycan decorin controls inflammation and cancer
through PDCD4 and MicroRNA-21.
AB - The mechanisms linking immune responses and inflammation with tumor development
are not well understood. Here, we show that the soluble form of the extracellular
matrix proteoglycan decorin controls inflammation and tumor growth through PDCD4
(programmed cell death 4) and miR-21 (microRNA-21) by two mechanisms. First,
decorin acted as an endogenous ligand of Toll-like receptors 2 and 4 and
stimulated production of proinflammatory molecules, including PDCD4, in
macrophages. Second, decorin prevented translational repression of PDCD4 by
decreasing the activity of transforming growth factor-beta1 and the abundance of
oncogenic miR-21, a translational inhibitor of PDCD4. Moreover, increased PDCD4
abundance led to decreased release of the anti-inflammatory cytokine interleukin
10, thereby making the cytokine profile more proinflammatory. This pathway
operates in both pathogen-mediated and sterile inflammation, as shown here for
sepsis and growth retardation of established tumor xenografts, respectively.
Decorin was an early response gene evoked by septic inflammation, and protein
concentrations of decorin were increased in the plasma of septic patients and
mice. In cancer, decorin reduced the abundance of anti-inflammatory molecules and
increased that of proinflammatory molecules, thereby shifting the immune response
to a proinflammatory state associated with reduced tumor growth. Thus, by
stimulating proinflammatory PDCD4 and decreasing the abundance of miR-21, decorin
signaling boosts inflammatory activity in sepsis and suppresses tumor growth.
PMID- 22087033
TI - The long-term survival potential of mature T lymphocytes is programmed during
development in the thymus.
AB - The homeostatic maintenance of normal numbers of mature T lymphocytes in the
immune system depends on signaling from the T cell antigen receptor (TCR) and the
interleukin-7 receptor (IL-7R); however, it is unclear whether there is crosstalk
between these two receptors. Here, we have identified a central role for TCR
signaling during the development of T lymphocytes in the thymus in the
determination of IL-7 function in mature T lymphocytes. We showed that Il7r
expression in mature T cells was modulated by developmental TCR-dependent signals
elicited during the process of positive selection in the thymus and that this
mechanism was common to both CD4(+) and CD8(+) T cells. Control of Il7r
expression by the TCR was limited to thymocytes because neither the abundance nor
the function of IL-7Ralpha was affected by TCR signaling in peripheral T cells.
Finally, we showed that thymocytes without optimal IL-7Ralpha abundance failed to
form part of the pool of mature T lymphocytes that patrol the periphery of normal
hosts, highlighting the importance of this mechanism in shaping the repertoire of
lymphocytes that make up this population.
PMID- 22087034
TI - Early detection of colorectal cancer: barriers to screening in the primary care
setting.
PMID- 22087035
TI - Awareness and knowledge of osteoporosis in Vietnamese women.
AB - PURPOSE: Vietnamese women are at particular risk of osteoporosis and its
complications. This study examined osteoporosis knowledge and awareness among
Vietnamese women who have accessed health care. METHODS: A sample of 217 women,
13 to 76 years of age, who were attending 1 of 2 health care facilities in Da
Nang, Vietnam, between November and December 2009 completed a questionnaire
assessing their awareness of osteoporosis and measuring their knowledge using a
30-item instrument reflecting 9 knowledge domains (eg, risk factors, diagnosis,
prognosis). RESULTS: A majority (81.6%) of the women had heard of osteoporosis.
Awareness was associated with education, working in health care, and having a
family member with osteoporosis. On average, Vietnamese women answered 49% of the
knowledge questions correctly; scores ranged from 0 to 26 questions correct out
of 30 (mean = 14.71 +/- 5.2, median = 15). Mean knowledge scores were higher
among those reporting a family member with osteoporosis, nurses (vs other
vocations), and women with a high school education or greater (relative to those
who had not completed high school). More than 90% of the women expressed interest
in a prevention and treatment program. CONCLUSIONS: Vietnamese women may have
heard of osteoporosis, yet they would benefit from education targeting prevention
and treatment of the disease.
PMID- 22087036
TI - Abnormal weight status and associated characteristics of low-income Korean
children.
AB - BACKGROUND: This study reports the prevalence of abnormal weight and associated
characteristics of low-income Korean children. Methods Health examination and
survey were conducted nationally with 2033 low-income elementary students (mean
age +/- SD = 9.42 +/- 1.65 years). Prevalence of abnormal weight and odds ratios
(ORs) of health behaviors for abnormal weight groups were calculated. RESULTS:
Prevalence was 8% for underweight and 16% for overweight/obese. Compared with
normal weight children, overweight/obese children were more likely to eat a
variety of foods (OR = 1.82, P = .04) and have 3 meals a day (OR = 2.07, P =
.047), but they were more likely to be stressed by their appearance (OR = 3.88, P
< .001). Underweight children were less likely to have night snacks (OR = 0.28, P
= .038) and more stressed by academic performance (OR = 3.76, P = .005) but were
less educated about physical education (OR = 3.19, P = .044) and substance abuse
(OR = 2.78, P = .018). Conclusion Higher prevalence of abnormal weight among low
income Korean children calls for attention to address both childhood obesity and
underweight in public health research and practice.
PMID- 22087037
TI - Adherence to antihypertensive treatment and its determinants among urban slum
dwellers in Kolkata, India.
AB - A cross-sectional study was conducted to determine the prevalence and predictors
of adherence to modern antihypertensive pharmacotherapy among slum dwellers in
Kolkata, India. Prevalence of adherence based on patient self-reports of
consuming >=80% of the prescribed medications over a recall period of 1 week was
found to be 73% (95% confidence interval = 68%-78%). Compared with their
counterparts, the following patients were more likely to be adherent to
treatment: patients hypertensive for >=5 years (2.98 times), those whose
hypertension was detected during checkups for conditions related to hypertension
(2.35 times), those living with <=4 family members (2.01 times), those with
family income of >=3000 rupees (2.56 times), those who were getting free drugs
(4.16 times), patients perceiving current blood pressure to be under control
(2.23 times), and those satisfied with current treatment (3.77). Those adherent
to their prescribed medications were 1.71 times more likely to achieve adequate
control of hypertension compared with those who were not adherent.
PMID- 22087038
TI - Changing landscape of malaria in China: progress and feasibility of malaria
elimination.
AB - Large-scale malaria control activities in China have been conducted with
significant success, since the launch of the nationwide malaria control program.
This study investigated the malaria distribution in China, particularly in
provinces with high risks. Spatial and temporal data were assembled for all
endemic or historically endemic areas and combined to identify common patterns
and to investigate the actual changes in the burden of malaria in the country.
Data were analyzed and the progress in malaria elimination feasibility was
discussed. The results indicated that the current distribution of malaria and
vectors associated could provide evidence on the assessment of the feasibility of
the malaria elimination in China.
PMID- 22087039
TI - Influence of spousal education on partner's self-rated health: cross-sectional
study among 1382 married couples in Shanghai, China.
AB - The effect of individual educational attainment on health has been extensively
documented in western countries, whereas empirical evidence of education
spillover effects in marital dyads is scarce and inconsistent. A total of 2764
individuals (or 1382 marital dyads) were surveyed in the Shanghai Healthy City
Project 2008. Logistic regression models were used for analysis, and all analyses
were stratified by gender. Significant protective associations were observed in
univariate models linking general health status to the individual's own
educational attainment and to their partner's educational level. After
controlling for presence of chronic conditions, lifestyle factors, and social
support, these associations were attenuated. The authors found a gender
difference in the association of spouse's educational attainment with self-rated
health. The influence of education on health may be partly mediated by lifestyle
and other factors.
PMID- 22087040
TI - Pandemic influenza and health system resource gaps in Bali: an analysis through a
resource transmission dynamics model.
AB - The failure to contain pandemic influenza A(H1N1) 2009 in Mexico has shifted
global attention from containment to mitigation. Limited surveillance and
reporting have, however, prevented detailed assessment of mitigation during the
pandemic, particularly in low- and middle-income countries. To assess pandemic
influenza case management capabilities in a resource-limited setting, the authors
used a health system questionnaire and density-dependent, deterministic
transmission model for Bali, Indonesia, determining resource gaps. The majority
of health resources were focused in and around the provincial capital, Denpasar;
however, gaps are found in every district for nursing staff, surgical masks, and
N95 masks. A relatively low pathogenicity pandemic influenza virus would see an
overall surplus for physicians, antivirals, and antimicrobials; however, a more
pathogenic virus would lead to gaps in every resource except antimicrobials.
Resources could be allocated more evenly across Bali. These, however, are in
short supply universally and therefore redistribution would not fill resource
gaps.
PMID- 22087041
TI - Learning of idiomatic language expressions in a group intervention for children
with autism.
AB - In typical development, children learn an extensive range of idioms and other
figurative (non-literal) language expressions during childhood and adolescence.
However, many children with autism fall far behind in their idiom comprehension
and production and never fully reach adult levels. The current study measured the
effectiveness of a group idiom intervention for ten children, aged 7 to 12 years,
with autism spectrum disorders. This intervention was conducted by a community
based social skills program. The children were initially very low in idiom
understanding, but were able to learn and remember the meaning of idiomatic
phrases that they were taught during the 2-week-long intervention. The children
showed greater increases at a delayed post-test for idioms trained in the
intervention than idioms that were untrained controls. Implications for future
educational possibilities are discussed.
PMID- 22087042
TI - Verbal ability, social stress, and anxiety in children with autistic disorder.
AB - The aims of this study were to evaluate the physiological stress and anxiety
responses in children with autism following completion of a standardized, social
evaluative stressor (Trier Social Stress Test-Child version), document the
relationship between verbal ability, stress, and anxiety, and determine the
association between stress and anxiety in children with autism and typical
development. Results demonstrated the Trier Social Stress Test-Child version to
be a benign stressor for children with autism. Lower verbal ability in children
with autism did not predict salivary cortisol or anxiety responses. There was a
lack of association between stress and anxiety for both groups, highlighting the
importance of considering these terms as separate constructs. Clinical
implications and the limited utility of the Trier Social Stress Test-Child
version in evaluating psychosocial stress in autism are discussed.
PMID- 22087043
TI - Friendship characteristics and activity patterns of adolescents with an autism
spectrum disorder.
AB - This study compared perceptions of adolescents' friendships between adolescents
with an autism spectrum disorder (ASD) and their parents, examined factors
associated with friendship qualities, and investigated the adolescents' reports
on the activities they did with friends and how activity patterns differed by
gender. Ninety-one adolescents with an ASD and their parents completed mail-based
surveys during the summer months. Adolescents with an ASD identified more friends
than did their parents, but they agreed on the friends' characteristics. About
half of the adolescents spent an average of 4 hours per day with friends during
the summer months. Male adolescents with an ASD most frequently played video
games with friends, whereas females most frequently had conversations with
friends. The findings suggest that adolescents with an ASD and their parents
identify different peers as the adolescent's friends. The findings also reveal
similarities and differences in friendships between adolescents with an ASD and
typically developing adolescents.
PMID- 22087044
TI - Pilot study of a school-based parent training program for preschoolers with ASD.
AB - This study investigated the feasibility and preliminary effectiveness of a
parenting training program designed for early intervention and early childhood
special education (EI/ESCE) programs serving students with autistic spectrum
disorders. Thirteen teachers representing three intermediate school districts
implemented the intervention with 27 students and their parents. Eighty-nine
percent of families completed the program. From pre- to post-intervention parents
improved their use of the treatment strategies and children increased their rate
of language during a parent-child interaction in their home. Parents and teachers
reported significant gains in child mastery of social-communication skills and
teachers, but not parents, reported a significant decrease in social impairment.
Parents reported a significant decrease in parenting stress. Both groups rated
the intervention highly in regard to treatment acceptability, perceived
effectiveness, and usability. Findings suggest that this intervention can be
feasibly implemented in public EI/ECSE settings, filling an important gap in
services for intervention programs serving children with autistic spectrum
disorders.
PMID- 22087045
TI - A social competence intervention for young children with high functioning autism
and Asperger syndrome: a pilot study.
AB - The key features of Asperger Syndrome (AS) and high functioning autism (HFA)
include marked and sustained impairment in social interactions. A multi-session,
small group program was developed to increase social perception based on the
assumption perceptual or interpretive problems underlying these social
difficulties. Additionally, the group format espoused a play therapy orientation
and the use of sociodramatic play was the primary therapeutic modality used.
Qualitative analyses of the data resulted in an explanation of the key changes in
social interactions that took place through the course of the intervention.
Although each participant's experience in this group was unique, all children in
this program demonstrated improvements in their social interactions, as they
experienced development both emotionally and behaviorally. Findings suggest that,
despite their rigid interests and behavior patterns, the social limitations of
these children improved when provided with the necessary environmental resources.
PMID- 22087046
TI - Lipid lowering in patients with chronic kidney disease: a SHARP turn in the wrong
direction?
AB - The question whether lipid-lowering treatment is associated with a decrease in
cardiovascular morbidity and mortality in patients with chronic kidney disease
has been disputed for a while, with recent trials in patients on haemodialysis
failing to show benefit. Recently, the long-awaited results of the SHARP (Study
of Heart And Renal Protection) trial were published. This randomized trial
compared the effects of either simvastatin 20 mg plus ezetimibe 10 mg daily or
placebo on the occurrence of a first major vascular event in 9720 patients with
chronic kidney disease. There was a 17% relative risk reduction but no benefit on
survival. We address our concerns regarding the conclusions drawn from this
trial. The trial has a major design flaw by comparing the effects of two
different lipid-lowering drugs with placebo. Although the SHARP trial showed that
lipid lowering may be beneficial for patients with chronic kidney disease, the
clinically as well as economically important question remains unanswered as to
whether it was statin therapy and/or ezetimibe that mediated this effect. A great
opportunity to investigate superiority, equipoise, or potential inferiority of
ezetimibe compared to statins was missed.
PMID- 22087047
TI - Taking charge after stroke: promoting self-directed rehabilitation to improve
quality of life--a randomized controlled trial.
AB - OBJECTIVE: Few community interventions following stroke enhance activity,
participation or quality of life. We tested two novel community interventions
designed to promote self-directed rehabilitation following stroke. DESIGN: This
was a randomized, controlled parallel group 2*2 trial. SETTING: Community.
PARTICIPANTS: Maori and Pacific New Zealanders, >15 years old, randomized within
three months of a new stroke. INTERVENTIONS: A DVD of four inspirational stories
by Maori and Pacific people with stroke and a 'Take Charge Session'--a single
structured risk factor and activities of daily living assessment, designed to
facilitate self-directed rehabilitation. MAIN MEASURES: Primary outcomes were
Health-related Quality of Life (Physical Component Summary (PCS) and Mental
Component Summary (MCS) scores of the Short Form 36 (SF-36)) 12 months from
randomization. Secondary outcomes were Barthel Index, Frenchay Activities Index,
Carer Strain Index and modified Rankin score. RESULTS: One hundred and seventy
two people were randomized with 139 (80.8%) followed up at 12 months post
randomization. The effect of the Take Charge Session on SF-36 PCS at 12 months
was 6.0 (95% confidence interval (CI) 2.0 to 10.0) and of the DVD was 0.9 (95% CI
-3.1 to 4.9). Participants allocated to the Take Charge Session were less likely
to have a modified Rankin score of >2 (odds ratio (OR) 0.42, 95% CI 0.2 to 0.89)
and their carers had lower (better) Carer Strain Index scores (-1.5, 95% CI -2.8
to -0.1). CONCLUSION: A simple, low-cost intervention in the community phase of
stroke recovery aiming to promote self-directed rehabilitation improved outcomes.
PMID- 22087048
TI - Raman spectroscopy and microscopy based on mechanical force detection.
AB - The Raman effect is typically observed by irradiating a sample with an intense
light source and detecting the minute amount of frequency shifted scattered
light. We demonstrate that Raman molecular vibrational resonances can be detected
directly through an entirely different mechanism-namely, a force measurement. We
create a force interaction through optical parametric down conversion between
stimulated, Raman excited, molecules on a surface and a cantilevered nanometer
scale probe tip brought very close to it. Spectroscopy and microscopy on clusters
of molecules have been performed. Single molecules within such clusters are
clearly resolved in the Raman micrographs. The technique can be readily extended
to perform pump probe experiments for measuring inter- and intramolecular
couplings and conformational changes at the single molecule level.
PMID- 22087049
TI - Electromechanical properties and anisotropy of single- and multi-domain
0.72Pb(Mg(1/3)Nb(2/3))O(3)-0.28PbTiO(3) single crystals.
AB - Complete sets of elastic, piezoelectric, and dielectric constants of
0.72Pb(Mg(1/3)Nb(2/3))O(3)-0.28PbTiO(3) single crystal poled along [111](c)
(single domain) as well as non-polar axes [001](c) and [011](c) (multidomain)
have been measured under natural conditions. These data allowed us to evaluate
accurately the extrinsic contributions to the superior piezoelectric properties.
Very large extrinsic contributions to the unusual anisotropies in multidomain
crystals are confirmed. We found that the instability of domain structures is the
origin of the low mechanical quality factor Q for the multidomain relaxor-based
ferroelectric single crystals. Our results can provide useful guidance in future
design of domain engineered materials.
PMID- 22087050
TI - Tuning open-circuit voltage in organic solar cells by magnesium modified Alq(3).
AB - The low molecular weight tris-(8-hydroxyquinoline) aluminum (Alq(3)) has been
incorporated with magnesium (Mg) that altered the nature of its opto-electronic
characteristics. The lowering of the highest occupied molecular orbital (HOMO)
and lowest unoccupied molecular orbital (LUMO) in Mg:Alq(3), compared to pure
Alq(3), creates a stronger field (exceeding the exciton binding energy) at the
donor-acceptor junction to dissociate the photo-generated exciton and also
provides a low barrier for electron transport across the device. In an electron
only device (described in the text), a current enhancement in excess of 10(3),
with respect to pure Alq(3), could be observed at 10 V applied bias. Optimized
Mg:Alq(3) layer, when introduced in the photovoltaic device, improves the power
conversion efficiencies significantly to 0.15% compared to the pure Alq(3)
device. The improvement in the photovoltaic performance has been attributed to
the superior exciton dissociation and carrier transport.
PMID- 22087051
TI - Domain size engineering in tetragonal Pb(In(1/2)Nb(1/2))O(3)
Pb(Mg(1/3)Nb(2/3))O(3)-PbTiO(3) crystals.
AB - The effect of domain size on the dielectric and piezoelectric properties of [111]
oriented tetragonal Pb(In(1/2)Nb(1/2))O(3)-Pb(Mg(1/3)Nb(2/3))O(3)-PbTiO(3)
crystals was investigated. The dielectric permittivity (E(33 ) (T)/E(0)) and
piezoelectric coefficient (d(33)) were found to be on the order of 13 800 and
1630 pC/N, respectively, for samples with domain size of ~500 nm, a 3-fold
increase to crystals with domain size of ~50 MUm. Rayleigh analysis revealed that
the extrinsic contribution to the piezoelectric response increased from ~8% to
30% with decreasing domain size, due to the increased domain wall density and
associated irreversible domain wall motion. The enhanced properties were thought
to relate to the fine domain structures, however, showing a poor electric field
and temperature stabilities with domain size of 500 nm. Of particular
significance is that samples with domain size being on the order of 5 MUm exhibit
field and temperature stabilities, with yet high piezoelectric properties, make
it potential for transducer applications.
PMID- 22087052
TI - Hypomagnesemia and cardiovascular system.
AB - Magnesium depletion in clinical practice is mainly related to loop diuretics and
thiazides. Among patients treated with diuretics more than 1/3 exhibit
hypomagnesa. Arrhythmias and sudden death attributed to magnesium depletion could
be prevented by Mg administration. Magnesium deficiency in experimental animals
promotes atherosclerotic lesions whereas this ion is involved in various stages
of myocardial damage after experimental coronary artery occlusion. In humans
magnesium administration in the first 24 hours of myocardial infarction was
related to beneficial effects in first year mortality rate. Nevertheless more
evidence from clinical investigation is needed for permanent conclutions.
PMID- 22087054
TI - Quality of life survey and palliative care in lung cancer patients.
AB - Aim. In patients with advanced and/or inoperable bronchial tumors, methods of
palliative care such as radiotherapy, chemotherapy, brachytherapy and
cryotherapy, singly and/or in combination, aiming at extending the survival time
and improving the quality of life, were examined. Methods. One hundred and sixty
three (163) patients, with mean age 67.9 yrs (range 22-25) and a male/female
ratio at 1.34/1, treated between 2000-2004 were studied. Eighty one (81) patients
receiving only cryotherapy presented a two-year survival rate at 19.3%, whilst
eighty three (83) patients treated with radiotherapy or brachytherapy and/or
chemotherapy showed a two-year survival rate at 25%. Sixty-five percent (65%) of
patients only cryotreated had improvement in at least one or more Karnofsky and
WHO indices. Results. Eighty percent (80%) of patients who received cryotherapy
accompanied with supplementary palliative treatment showed amelioration of their
clinical status. Conclusion. It seems that for patients with advanced or
inoperable lung tumors, cryotherapy associated with additional palliative care
may influence the survival time and improve their quality of life.
PMID- 22087053
TI - Breast reconstruction with autologous tissue following mastectomy.
AB - Breast cancer remains to be one of the most malignant diseases in the female
population. It affects an essential part of female self-consciousness, and
therefore causes a wide range of psychological traumas. The incidence in Europe
and North America varies between 70 up to 100 new cases in 100.000 inhabitants
per year. According to contemporary literature, mastectomy remains one of the
most effective methods in the laborious effort to treat and overcome cancer. In
this report the history of breast reconstruction is presented. The established
methods which are taken into consideration after mastectomy and their clinical
outcome are portrayed. The authors propose the free TRAM and DIEP flap as the
methods of first choice after mastectomy, which offer most reliable transfer and
low morbidity. In the recent past, increasing interest is observed for the SIEA
flap. The free S-GAP flap is proposed for patients who are not candidates for a
TRAM, DIEP or SIEA flap. Moreover, the pedicled Latissimus Dorsi flap remains
still as a reliable, versatile alternative, particularly in case of
contraindications for the above mentioned free flaps or when complications
occurred.
PMID- 22087055
TI - Endonasal bilateral simultaneous orbital decompression.
AB - OBJECTIVE: To study the morbidity of the operation, to investigate it's safety
and effectiveness in order to establish if it is reasonable to offer it as
treatment to patients in one sitting instead of a staged procedure. Finally, to
indentify the patient's long term satisfaction and to establish best practice.
DESIGN: Retrospective case series study and prospective telephone interview.
SETTING: Teaching General Hospital, Scotland, UK. SUBJECTS: 14 patients with
dysthyroid orbitopathy who underwent the operation over a 4 year period. RESULTS:
Proptosis improved in all but one of the cases. There was a relatively small
morbidity and short inpatient stay. A significant amount of patients reported
satisfaction with the results. All patients expressed strong preference for the
simultaneous procedure instead of a staged one. CONCLUSIONS: The study shows that
for the management of orbitopathy , bilateral simultaneous orbital decompression
with endoscopic approach is a safe and effective operation, with small morbidity
and significant patient satisfaction. Therefore, it is reasonable to offer it to
patients instead of a staged procedure.
PMID- 22087056
TI - Anterior cervical discectomy and interbody fusion with a dentate titanium cage:
An experimental radiological and histopathological study in pigs.
AB - Background - Aim: The distraction and stabilization provided by anterior cervical
discectomy and fusion contribute to neural decompression and optimize
osteogenesis. A new titanium cervical implant with specific properties was
applied through an anterior approach in ten pigs. Implant behavior regarding in
situ position and related osteogenesis were evaluated. METHODS: In this
controlled animal study, the progress of fusion and osteogenesis was evaluated
after one level cervical interbody fusion with a new titanium cage. Ten pigs
underwent anterior cervical discectomy and fusion. No substitutes stimulating
osteoblastic activity were used. Plain radiographs were carried out. The animals
were euthanised 12 and 14 weeks after the operation respectively. Tissue samples
were processed routinely and studied histologically. RESULTS: All pigs survived
the surgery. Plain radiographs confirmed implant position. Histological analysis
demonstrated fibrous connective tissue formation inside and around the implant
which was largely transformed into cartilaginous and osseous tissue. CONCLUSIONS:
Intervertebral space stabilization remains a parameter of crucial importance for
early bone healing after anterior cervical discectomy and fusion. The new
titanium alloy cage tested in this experimental study can offer the necessary
stabilization for osteogenesis and adequate cervical interbody fusion without the
need of growth factors.
PMID- 22087057
TI - Cardiac rehabilitation effects on quality of life in patients after acute
myocardial infarction.
AB - Aim of this study was to investigate the significance of cardiac rehabilitation
(CR) on Health Related Quality of Life ( HRQoL) in post acute myocardial
infarction (AMI) patients. Methods. A total number off 110 individuals divided in
3 groups was included in the study. Group A consisted of 60 post-AMI pts
participating in a CR program. It was a multidisciplinary rehabilitative approach
including supervised bike exercise, with parallel education, counselling,
psychological and social support, performed 3 times per week for 2 months after
AMI . Group B consisted of 40 post-AMI pts not participating in any CR program
while the control group C consisted of 10 apparently healthy people. HRQoL was
evaluated by the Velasco-Del Barrio questionnaire. Questions on this
questionnaire are reffered to 9 categories (health, sleep and rest, emotional
behavior, concerns for the future, mobility, social interaction, alertness
behavior, communication, work and leisure time). A 5-point scale (1=all of the
time, 5=none of the time) and a special (1 to 8) coefficient for each parameter
were used for the evaluation of each parameter. The highest score of 220
indicates the poorest QL. Results. Group A pts had better score of HRQoL as
compared to Group B (94+/-3 vs 114+/-3, p<0.001) and slightly worse than Group C
pts (94+/-4 vs 69+/-3, p<0.01).Significant difference was found among Group A and
B pts regarding the most important evaluated parameters such as symptoms (17+/
6.8 vs 22+/-6.5, p<0.001) and social behavior (21+/-4.2 vs 23+/-5.5,
p<0.0001).Conclusion. It is concluded that participation in a multidisciplinary
CR program significantly improves HRQoL in post AMI pts. All these pts must urged
to take part in such programs.
PMID- 22087058
TI - Experience from the use of absorbable type I collagen as haemostatic agent in
obstetric and gynecological operations.
AB - During the third stage of labour there are a lot of causes of significant
hemorrhage. The commonest causes of acute hemorrhage are the uterine atony, the
retained placenta, the lower tract lacerations, uterine rupture, placenta
accreta, hereditary coagulopathy. Also, there could be significant bleeding,
during caesarian section, usually at the time of removal of the placenta in cases
of low lying placenta or placenta previa. A lot of times we have to confront
serious hemorrhages in gynecological procedures like hysterectomies in cases of
cervical, uterine or ovarian cancers. In order to deal with these problems
successfully, general and specific measures are being taken. In cases of atonic
uterus when all the other methods are unsuccessful we have to proceed to ligation
of the internal iliac artery or even hysterectomy. MATERIAL-METHODS: We have
tried to use the hemostatic type I collagen in obstetrical and gynecological
cases in order to control the bleeding. We have used the collagen type I totally
in 8 cases. Five of them were cases of atonic uterus after normal delivery or
caesarian section and three of them were gynecological cases of uterine fibroids
and ovarian cancer. RESULTS: By placing the collagen type I over the bleeding
surfaces we have realized that in a very short period of time, there has been
satisfactory control of the bleeding and immediate clinical improvement of the
patient. In four out of five obstetrical cases that we have used the type I
collagen, we have managed to avoid the hysterectomy.
PMID- 22087059
TI - Pulmonary renal syndrome in an adult patient with Henoch-Shonlein purpura.
AB - Henoch-Schonlein purpura (HSP) is a small vessel vasculitis characterized by
purpuric skin rash, haematuria, abdominal pain, gastrointestinal bleeding and
arthritis. Nephritis is more frequent and severe in adults than in children, with
relatively more adults developing renal insufficiency. Another, fortunately rare,
manifestation of HSP that increases mortality significantly, is diffuse alveolar
haemorrhage. We report a rare case of an adult male patient with full-blown HSP
that followed a respiratory tract infection. He successively, but not
concurrently, developed all the clinical manifestations of HSP, i.e. arthritis,
abdominal pain and bloody stools, a non-thrombocytopenic purpuric rash, and renal
involvement; nephrotic range proteinuria first and haemodialysis-requiring
nephritic syndrome later. Most interesting he developed life-threatening
pulmonary haemorrhage fulfilling the criteria of the pulmonary-renal syndrome. An
immunosuppressive regimen consisting of intravenous cyclophosphamide and
corticosteroids was administered with success. In conclusion, HSP should be
considered in the diagnosis of pulmonary-renal syndrome. In our opinion, the
severity of the condition justifies the use of aggressive immunosuppressive
treatment, like the one applied successfully to our patient.
PMID- 22087060
TI - Repercussion of Mitochondria Deformity Induced by Anti-Hsp90 Drug 17AAG in Human
Tumor Cells.
AB - Inhibiting Hsp90 chaperone roles using 17AAG induces cytostasis or apoptosis in
tumor cells through destabilization of several mutated cancer promoting proteins.
Although mitochondria are central in deciding the fate of cells, 17AAG induced
effects on tumor cell mitochondria were largely unknown. Here, we show that Hsp90
inhibition with 17AAG first affects mitochondrial integrity in different human
tumor cells, neuroblastoma, cervical cancer and glial cells. Using human
neuroblastoma tumor cells, we found the early effects associated with a change in
mitochondrial membrane potential, elongation and engorgement of mitochondria
because of an increased matrix vacuolization. These effects are specific to Hsp90
inhibition as other chemotherapeutic drugs did not induce similar mitochondrial
deformity. Further, the effects are independent of oxidative damage and
cytoarchitecture destabilization since cytoskeletal disruptors and mitochondrial
metabolic inhibitors also do not induce similar deformity induced by 17AAG. The
1D PAGE LC MS/MS mitochondrial proteome analysis of 17AAG treated human
neuroblastoma cells showed a loss of 61% proteins from membrane, metabolic,
chaperone and ribonucleoprotein families. About 31 unmapped protein IDs were
identified from proteolytic processing map using Swiss-Prot accession number, and
converted to the matching gene name searching the ExPASy proteomics server. Our
studies display that Hsp90 inhibition effects at first embark on mitochondria of
tumor cells and compromise mitochondrial integrity.
PMID- 22087061
TI - Investigation of the antibacterial activity of pioglitazone.
AB - PURPOSE: To evaluate the antibacterial potential of pioglitazone, a member of the
thiazolidinediones class of drugs, against Gram-positive (Streptococcus
pneumoniae) and Gram-negative (Escherichia coli and Klebsiella pneumoniae)
bacteria. METHODS: Susceptibility testing was done using the antibiotic disk
diffusion method and the minimal inhibitory concentration (MIC) of pioglitazone
was measured according to the broth micro incubation standard method. RESULTS:
Pioglitazone induced a dose-dependent antibacterial activity in which the optimal
concentration was 80 MUM. Furthermore, results indicated that while E. coli was
sensitive (MIC = 31.25 +/- 3.87 mg/L) to pioglitazone-induced cytotoxicity, S.
pneumoniae and K. pneumoniae were resistant (MIC = 62.5 +/- 3.77 mg/L and MIC =
62.5 +/- 4.14 mg/L, respectively). Moreover, pretreatment of bacteria with a
suboptimal concentration of pioglitazone (40 MUM) before adding amoxicillin,
cephalexin, co-trimoxazole, or ciprofloxacin enhanced the antibacterial activity
of all agents except co-trimoxazole. This enhancing effect was particularly seen
against K. pneumoniae. CONCLUSION: These results indicate the possibility of a
new and potentially important pioglitazone effect and the authors' ongoing
studies aim to illustrate the mechanism(s) by which this antibacterial effect is
induced.
PMID- 22087062
TI - Bioequivalence assessment of two formulations of ibuprofen.
AB - BACKGROUND: This study assessed the relative bioavailability of two formulations
of ibuprofen. The first formulation was Doloraz((r)), produced by Al-Razi
Pharmaceutical Company, Amman, Jordan. The second forumulation was Brufen((r)),
manufactured by Boots Company, Nottingham, UK. METHODS AND RESULTS: A prestudy
validation of ibuprofen demonstrated long-term stability, freeze-thaw stability,
precision, and accuracy. Twenty-four healthy volunteers were enrolled in this
study. After overnight fasting, the two formulations (test and reference) of
ibuprofen (100 mg ibuprofen/5 mL suspension) were administered as a single dose
on two treatment days separated by a one-week washout period. After dosing,
serial blood samples were drawn for a period of 14 hours. Serum harvested from
the blood samples was analyzed for the presence of ibuprofen by high-pressure
liquid chromatography with ultraviolet detection. Pharmacokinetic parameters were
determined from serum concentrations for both formulations. The 90% confidence
intervals of the ln-transformed test/reference treatment ratios for peak plasma
concentration and area under the concentration-time curve (AUC) parameters were
found to be within the predetermined acceptable interval of 80%-125% set by the
US Food and Drug Administration. CONCLUSION: Analysis of variance for peak plasma
concentrations and AUC parameters showed no significant difference between the
two formulations and, therefore, Doloraz was considered bioequivalent to Brufen.
PMID- 22087063
TI - Future prospects in the treatment of erectile dysfunction: focus on avanafil.
AB - The treatment of erectile dysfunction (ED) has been revolutionized in the last 15
years with the introduction of type 5 phosphodiesterase (PDE5) inhibitors. Their
efficacy, safety, and ease of administration have made them first-line treatment
for ED. This article reviews the current therapies available for ED, and the new
PDE5 inhibitors that are being investigated. Furthermore, it examines all the
current ED treatment options that are in different phases of development
(including oral and topical pharmacotherapy, gene therapy, and tissue
engineering). A special emphasis is on avanafil, a new PDE5 inhibitor that has
been studied extensively in Phase I and II clinical trials and has undergone
several Phase III trials. Avanafil is a promising medication for ED due to its
favorable pharmacokinetics, safety, and efficacy.
PMID- 22087064
TI - Clinical utility of clodronate in the prevention and management of osteoporosis
in patients intolerant of oral bisphosphonates.
AB - Bisphosphonates have a long history in the treatment of osteoporosis and bone
related disease. This review focuses on the use of a specific
nonaminobisphosphonate, clodronate, which appears to be much better tolerated
than other bisphosphonates and free of high-risk contraindications. Specifically,
this paper reviews its use in the prevention of osteoporosis in postmenopausal
women, taking into account its tolerability profile and recent safety issues
arising regarding the use of bisphosphonates.
PMID- 22087065
TI - Effectiveness of coordination exercise in improving cognitive function in older
adults: a prospective study.
AB - BACKGROUND: Studies on the effect of a low intensity coordination exercise on the
elderly with limited mobility are sparse. This prospective study attempted to
compare the effectiveness of a customized coordination exercise and a strength
exercise in improving the cognitive functioning and physical mobility on the
elderly. METHODS: Participants from two centers for the elderly were allocated to
practice either an 8-week coordination training (CT) program or an 8-week towel
exercise (TE) program. The Chinese Mini-Mental State Examination and Chinese
Dementia Rating Scale (CDRS) were used to measure cognitive functioning of
participants, and Timed Up-and-Go test for physical mobility. These assessments
were administered before and after the program. RESULTS: Paired t-tests showed
that the CDRS scores of the CT group improved significantly from 114.8 at pre
test to 119.3 after training (P = 0.045). The CDRS scores of the TE group also
improved from 114.9 at pre-test to 116.9 after training. CONCLUSION: Findings
from this prospective study demonstrated that low-intensity level mind-body
exercise could be beneficial to the cognitive functioning of older adults.
PMID- 22087066
TI - Cognitive effects of calligraphy therapy for older people: a randomized
controlled trial in Hong Kong.
AB - BACKGROUND: This pilot study investigated the effects of calligraphy therapy on
cognitive function in older Hong Kong Chinese people with mild cognitive
impairment. METHODS: A single-blind, randomized controlled trial was carried out
in a sample of 31 adults aged 65 years or older with mild cognitive impairment.
They were randomly assigned to receive either intensive calligraphy training led
by a trained research assistant for eight weeks (calligraphy group, n = 14) or no
calligraphy treatment (control group, n = 17). Participants' cognitive function
was assessed by the Chinese version of the Mini-Mental State Examination (CMMSE)
before and after calligraphy treatment. Repeated measures analysis of variance
and paired samples t-tests were used to analyze the data. RESULTS: A significant
interaction effect of time and intervention was detected [F (1, 29) = 9.11, P =
0.005, eta(2) = 0.24]. The calligraphy group was found to have a prominent
increase in CMMSE global score, and scores in the cognitive areas of orientation,
attention, and calculation after two months (DeltaM = 2.36, P < 0.01), whereas
their counterparts in the control group experienced a decline in CMMSE score
(DeltaM = -0.41, P < 0.05). CONCLUSION: Calligraphy therapy was effective for
enhancing cognitive function in older people with mild cognitive impairment and
should be incorporated as part of routine programs in both community and
residential care settings.
PMID- 22087067
TI - Social facilitation in virtual reality-enhanced exercise: competitiveness
moderates exercise effort of older adults.
AB - This study examined the effect of virtual social facilitation and competitiveness
on exercise effort in exergaming older adults. Fourteen exergaming older adults
participated. Competitiveness was assessed prior to the start of exercise.
Participants were trained to ride a "cybercycle;" a virtual reality-enhanced
stationary bike with interactive competition. After establishing a cybercycling
baseline, competitive avatars were introduced. Pedaling effort (watts) was
assessed. Repeated measures ANOVA revealed a significant group (high vs low
competitiveness) * time (pre- to post-avatar) interaction (F[1,12] = 13.1, P =
0.003). Virtual social facilitation increased exercise effort among more
competitive exercisers. Exercise programs that match competitiveness may maximize
exercise effort.
PMID- 22087068
TI - Serum glucose level of healthy adolescents within Bukuru metropolis, Jos South
Local Government Area, Plateau State, Nigeria.
AB - PURPOSE: This study was designed to determine the blood glucose level of healthy
adolescents living in the southern region of Plateau State in northern Nigeria.
DESIGN: The study screened apparently healthy adolescents to identify individuals
at high risk of developing diabetes mellitus. METHODS AND MATERIALS: Individuals
who were confirmed healthy and not on any form of medication were enrolled in the
study after the administration of a questionnaire which ruled out any form of
aliment such as fever, gastroenteritis, and malaria. The serum glucose level was
quantified using the glucose oxidase reagent. Data generated were analyzed using
Excel 2007 (Microsoft((r)), Redmond, WA) and Student's t-test for an established
normal range. RESULTS: The age distribution showed that those aged 14-16 years
had a mean serum glucose level of 4.8 +/- 1.11 mmol/L, while in those aged 17-19
years it was 4.9 +/- 1.115 mmol/L, with a standard error of the mean of 0.17 and
0.15, respectively. The mean glucose level, weight, and height of the enrollees
were 4.8 +/- 1.12 mmol/L, 56.6 +/- 6.20 kg, and 1.6 +/- 0.009 m, respectively.
The mean serum glucose of those from the Berom tribe and other tribes was 4.9 +/-
1.21 mmol/L and 4.8 +/- 1.15 mmol/L, respectively. Analysis of the mean glucose
level with respect to sex, age, tribe, weight, and height of the established
normal mean resulted in a P value of <0.05, which was not significant.
CONCLUSION: There was no significant difference in the serum glucose level of
enrolled subjects. It was also observed that there was no significant difference
between the glucose level based on the different tribes, sex, weight, and height
of all enrolled subjects. Since glucose is involved with various body metabolic
processes and is also an analyte of interest in diabetes mellitus, screening of
adolescents would reduce the incidence of the disease.
PMID- 22087069
TI - Patient's self-evaluation of two education programs for age-related skin changes
in the face: a prospective, randomized, controlled study.
AB - BACKGROUND: An interactive software program (HOYS) has been developed utilizing a
database of digital images depicting various aspects and degrees of aging of
exposed skin across seven geographic regions, representing a total of 35 facial
and extrafacial subregions. A five-point photonumeric rating scale, which
portrays age-related skin changes across five decades for each of these
subregions, underpins this patient-based interactive self-assessment program.
Based on the resulting outputs from this program, an individualized treatment
prioritization list is generated for each region where significant differences
between the patient's chronological and esthetic ages exist. This provides
guidance for the patient and the treating physician on treatment options.
METHODS: To evaluate the utility of HOYS in the clinic, relative to education
programs currently used in Australian private esthetic clinics, a total of 95
esthetically-orientated patients were enrolled in a prospective, randomized,
controlled, multicenter study. RESULTS: Compared with a prospective cohort of
patients completing a standard education program commonly utilized in Australian
esthetic clinics, patients receiving the HOYS education program reported greater
empowerment through improved knowledge of specific age-related skin changes. This
was associated with a clearer understanding of treatment options available to
them, and a perceived ability to participate in the selection of the treatments
potentially administered to improve their appearance. These differences between
the two education groups were highly significant. CONCLUSION: Patients completing
the HOYS patient education program have an improved understanding of age-related
changes to exposed skin of their face, neck, decolletage, and hands. Due to the
patient-specific nature of the program, these patients perceive a greater role in
the deciding which esthetic treatments should be subsequently administered to
enhance their appearance, through an improved understanding of the rationale for
these treatments and indeed how they should be prioritized to achieve the best
outcome for them.
PMID- 22087070
TI - Susceptibility of Propionibacterium acnes isolated from patients with acne
vulgaris to zinc ascorbate and antibiotics.
AB - PURPOSE: The in vitro antimicrobial activity of ascorbic acid derivatives against
Propionibacterium acnes was tested either alone or in combination with a variety
of antimicrobial agents, and their fractional inhibitory concentration index was
determined using checkerboard tests. The antimicrobial effectiveness of zinc
ascorbate in the treatment of acne vulgaris, either alone or in combination with
antibiotics such as clindamycin that are commonly used in Japan for the treatment
of acne vulgaris, was therefore examined. MATERIALS AND METHODS: The
antimicrobial susceptibility of 41 strains of clindamycin-sensitive and/or
clindamycin-resistant P. acnes isolated from acne vulgaris patients was tested,
in comparison with a type strain of P. acnes. RESULTS: Zinc ascorbate showed
antimicrobial activity against a type strain of P. acnes and its concentration
(0.064%) was sufficiently lower than the normal dose (5%) of other ascorbic acid
derivatives. Combinations of zinc ascorbate with clindamycin, erythromycin, and
chloramphenicol showed an additive effect, and zinc ascorbate alone effectively
inhibited the growth of all P. acnes including clindamycin-resistant strains.
CONCLUSION: The results provide novel evidence that the combination of zinc
ascorbate and clindamycin is effective for acne vulgaris treatment.
PMID- 22087071
TI - Amino ester hydrolase from Xanthomonas campestris pv. campestris, ATCC 33913 for
enzymatic synthesis of ampicillin.
AB - alpha-Amino ester hydrolases (AEH) are a small class of proteins, which are
highly specific for hydrolysis or synthesis of alpha-amino containing amides and
esters including beta-lactam antibiotics such as ampicillin, amoxicillin, and
cephalexin. A BLAST search revealed the sequence of a putative glutaryl 7
aminocephalosporanic acid (GL-7-ACA) acylase 93% identical to a known AEH from
Xanthomonas citri. The gene, termed gaa, was cloned from the genomic DNA of
Xanthomonas campestris pv. campestris sp. strain ATCC 33913 and the corresponding
protein was expressed into Escherichia coli. The purified protein was able to
perform both hydrolysis and synthesis of a variety of alpha-amino beta-lactam
antibiotics including (R)-ampicillin and cephalexin, with optimal ampicillin
hydrolytic activity at 25 degrees C and pH 6.8, with kinetic parameters of
k(cat) of 72.5 s(-1) and K(M) of 1.1 mM. The synthesis parameters alpha, beta(o),
and gamma for ampicillin, determined here first for this class of proteins, are
alpha = 0.25, beta(o) = 42.8 M(-1), and gamma = 0.23, and demonstrate the
excellent synthetic potential of these enzymes. An extensive study of site
directed mutations around the binding pocket of X. campestris pv. campestris AEH
strongly suggests that mutation of almost any first-shell amino acid residues
around the active site leads to inactive enzyme, including Y82, Y175, D207, D208,
W209, Y222, and E309, in addition to those residues forming the catalytic triad,
S174, H340, and D307.
PMID- 22087072
TI - Precious essences: female secretions promote sperm storage in Drosophila.
AB - Sperm that females receive during mating are stored in special places in the
females' reproductive tracts. These storage sites serve to support and retain the
sperm, maintaining the sperms' motility and, in mammals, permitting final sperm
maturation. The molecules that attract sperm to these sites and mediate what
happens to them there have remained elusive. New research, using elegant genetic
tools in Drosophila, shows that secretory cells associated with a sperm storage
organ are important in sperm-supportive functions. When females lack function of
these cells, they do not store sperm, or the sperm that they do store lose
motility. Intriguingly, these effects influence gametes beyond the secretory
cells' immediate vicinity. Loss of these cells eliminates the motility of sperm
stored elsewhere in the reproductive tract and prevents the movement of eggs
through the tract to exit the female. As a result of the latter problem,
fertilized eggs hatch inside female flies that lack these secretory cells:
instead of laying eggs, these females can "give birth" to live offspring. Because
the cellular source of these gamete-regulating substances is now known, future
studies can identify the specific molecules and mechanisms by which a female
attracts sperm into storage and regulates the movement of sperm and eggs within
her reproductive tract. It will be fascinating to determine how these molecules
and mechanisms maintain gametes in active and viable forms and how evolution can
modulate this to result in diverse reproductive strategies. Identification of
these molecules also has potential practical implications for strategies to
regulate the reproduction of insects of medical or agricultural importance.
PMID- 22087073
TI - Sperm-storage defects and live birth in Drosophila females lacking spermathecal
secretory cells.
AB - Male Drosophila flies secrete seminal-fluid proteins that mediate proper sperm
storage and fertilization, and that induce changes in female behavior. Females
also produce reproductive-tract secretions, yet their contributions to postmating
physiology are poorly understood. Large secretory cells line the female's
spermathecae, a pair of sperm-storage organs. We identified the regulatory
regions controlling transcription of two genes exclusively expressed in these
spermathecal secretory cells (SSC): Spermathecal endopeptidase 1 (Send1), which
is expressed in both unmated and mated females, and Spermathecal endopeptidase 2
(Send2), which is induced by mating. We used these regulatory sequences to
perform precise genetic ablations of the SSC at distinct time points relative to
mating. We show that the SSC are required for recruiting sperm to the
spermathecae, but not for retaining sperm there. The SSC also act at a distance
in the reproductive tract, in that their ablation: (1) reduces sperm motility in
the female's other sperm-storage organ, the seminal receptacle; and (2) causes
ovoviviparity--the retention and internal development of fertilized eggs. These
results establish the reproductive functions of the SSC, shed light on the
evolution of live birth, and open new avenues for studying and manipulating
female fertility in insects.
PMID- 22087074
TI - Evolutionarily conserved linkage between enzyme fold, flexibility, and catalysis.
AB - Proteins are intrinsically flexible molecules. The role of internal motions in a
protein's designated function is widely debated. The role of protein structure in
enzyme catalysis is well established, and conservation of structural features
provides vital clues to their role in function. Recently, it has been proposed
that the protein function may involve multiple conformations: the observed
deviations are not random thermodynamic fluctuations; rather, flexibility may be
closely linked to protein function, including enzyme catalysis. We hypothesize
that the argument of conservation of important structural features can also be
extended to identification of protein flexibility in interconnection with enzyme
function. Three classes of enzymes (prolyl-peptidyl isomerase, oxidoreductase,
and nuclease) that catalyze diverse chemical reactions have been examined using
detailed computational modeling. For each class, the identification and
characterization of the internal protein motions coupled to the chemical step in
enzyme mechanisms in multiple species show identical enzyme conformational
fluctuations. In addition to the active-site residues, motions of protein surface
loop regions (>10 A away) are observed to be identical across species, and
networks of conserved interactions/residues connect these highly flexible surface
regions to the active-site residues that make direct contact with substrates.
More interestingly, examination of reaction-coupled motions in non-homologous
enzyme systems (with no structural or sequence similarity) that catalyze the same
biochemical reaction shows motions that induce remarkably similar changes in the
enzyme-substrate interactions during catalysis. The results indicate that the
reaction-coupled flexibility is a conserved aspect of the enzyme molecular
architecture. Protein motions in distal areas of homologous and non-homologous
enzyme systems mediate similar changes in the active-site enzyme-substrate
interactions, thereby impacting the mechanism of catalyzed chemistry. These
results have implications for understanding the mechanism of allostery, and for
protein engineering and drug design.
PMID- 22087075
TI - Elimination of the vesicular acetylcholine transporter in the striatum reveals
regulation of behaviour by cholinergic-glutamatergic co-transmission.
AB - Cholinergic neurons in the striatum are thought to play major regulatory
functions in motor behaviour and reward. These neurons express two vesicular
transporters that can load either acetylcholine or glutamate into synaptic
vesicles. Consequently cholinergic neurons can release both neurotransmitters,
making it difficult to discern their individual contributions for the regulation
of striatal functions. Here we have dissected the specific roles of acetylcholine
release for striatal-dependent behaviour in mice by selective elimination of the
vesicular acetylcholine transporter (VAChT) from striatal cholinergic neurons.
Analysis of several behavioural parameters indicates that elimination of VAChT
had only marginal consequences in striatum-related tasks and did not affect
spontaneous locomotion, cocaine-induced hyperactivity, or its reward properties.
However, dopaminergic sensitivity of medium spiny neurons (MSN) and the
behavioural outputs in response to direct dopaminergic agonists were enhanced,
likely due to increased expression/function of dopamine receptors in the
striatum. These observations indicate that previous functions attributed to
striatal cholinergic neurons in spontaneous locomotor activity and in the
rewarding responses to cocaine are mediated by glutamate and not by acetylcholine
release. Our experiments demonstrate how one population of neurons can use two
distinct neurotransmitters to differentially regulate a given circuitry. The data
also raise the possibility of using VAChT as a target to boost dopaminergic
function and decrease high striatal cholinergic activity, common neurochemical
alterations in individuals affected with Parkinson's disease.
PMID- 22087076
TI - Managing the demand for global health education.
PMID- 22087078
TI - Rapid diagnosis of tuberculosis with the Xpert MTB/RIF assay in high burden
countries: a cost-effectiveness analysis.
AB - BACKGROUND: Xpert MTB/RIF (Xpert) is a promising new rapid diagnostic technology
for tuberculosis (TB) that has characteristics that suggest large-scale roll-out.
However, because the test is expensive, there are concerns among TB program
managers and policy makers regarding its affordability for low- and middle-income
settings. METHODS AND FINDINGS: We estimate the impact of the introduction of
Xpert on the costs and cost-effectiveness of TB care using decision analytic
modelling, comparing the introduction of Xpert to a base case of smear microscopy
and clinical diagnosis in India, South Africa, and Uganda. The introduction of
Xpert increases TB case finding in all three settings; from 72%-85% to 95%-99% of
the cohort of individuals with suspected TB, compared to the base case.
Diagnostic costs (including the costs of testing all individuals with suspected
TB) also increase: from US$28-US$49 to US$133-US$146 and US$137-US$151 per TB
case detected when Xpert is used "in addition to" and "as a replacement of" smear
microscopy, respectively. The incremental cost effectiveness ratios (ICERs) for
using Xpert "in addition to" smear microscopy, compared to the base case, range
from US$41-$110 per disability adjusted life year (DALY) averted. Likewise the
ICERS for using Xpert "as a replacement of" smear microscopy range from US$52
$138 per DALY averted. These ICERs are below the World Health Organization (WHO)
willingness to pay threshold. CONCLUSIONS: Our results suggest that Xpert is a
cost-effective method of TB diagnosis, compared to a base case of smear
microscopy and clinical diagnosis of smear-negative TB in low- and middle-income
settings where, with its ability to substantially increase case finding, it has
important potential for improving TB diagnosis and control. The extent of cost
effectiveness gain to TB programmes from deploying Xpert is primarily dependent
on current TB diagnostic practices. Further work is required during scale-up to
validate these findings.
PMID- 22087079
TI - Post-neonatal mortality, morbidity, and developmental outcome after ultrasound
dated preterm birth in rural Malawi: a community-based cohort study.
AB - BACKGROUND: Preterm birth is considered to be associated with an estimated 27% of
neonatal deaths, the majority in resource-poor countries where rates of
prematurity are high. There is no information on medium term outcomes after
accurately determined preterm birth in such settings. METHODS AND FINDINGS: This
community-based stratified cohort study conducted between May-December 2006 in
Southern Malawi followed up 840 post-neonatal infants born to mothers who had
received antenatal antibiotic prophylaxis/placebo in an attempt to reduce rates
of preterm birth (APPLe trial ISRCTN84023116). Gestational age at delivery was
based on ultrasound measurement of fetal bi-parietal diameter in early-mid
pregnancy. 247 infants born before 37 wk gestation and 593 term infants were
assessed at 12, 18, or 24 months. We assessed survival (death), morbidity
(reported by carer, admissions, out-patient attendance), growth (weight and
height), and development (Ten Question Questionnaire [TQQ] and Malawi
Developmental Assessment Tool [MDAT]). Preterm infants were at significantly
greater risk of death (hazard ratio 1.79, 95% CI 1.09-2.95). Surviving preterm
infants were more likely to be underweight (weight-for-age z score; p<0.001) or
wasted (weight-for-length z score; p<0.01) with no effect of gestational age at
delivery. Preterm infants more often screened positively for disability on the
Ten Question Questionnaire (p = 0.002). They also had higher rates of
developmental delay on the MDAT at 18 months (p = 0.009), with gestational age at
delivery (p = 0.01) increasing this likelihood. Morbidity-visits to a health
centre (93%) and admissions to hospital (22%)-was similar for both groups.
CONCLUSIONS: During the first 2 years of life, infants who are born preterm in
resource poor countries, continue to be at a disadvantage in terms of mortality,
growth, and development. In addition to interventions in the immediate neonatal
period, a refocus on early childhood is needed to improve outcomes for infants
born preterm in low-income settings.
PMID- 22087077
TI - A head-to-head comparison of four artemisinin-based combinations for treating
uncomplicated malaria in African children: a randomized trial.
AB - BACKGROUND: Artemisinin-based combination therapies (ACTs) are the mainstay for
the management of uncomplicated malaria cases. However, up-to-date data able to
assist sub-Saharan African countries formulating appropriate antimalarial drug
policies are scarce. METHODS AND FINDINGS: Between 9 July 2007 and 19 June 2009,
a randomized, non-inferiority (10% difference threshold in efficacy at day 28)
clinical trial was carried out at 12 sites in seven sub-Saharan African
countries. Each site compared three of four ACTs, namely amodiaquine-artesunate
(ASAQ), dihydroartemisinin-piperaquine (DHAPQ), artemether-lumefantrine (AL), or
chlorproguanil-dapsone-artesunate (CD+A). Overall, 4,116 children 6-59 mo old
with uncomplicated Plasmodium falciparum malaria were treated (1,226 with AL,
1,002 with ASAQ, 413 with CD+A, and 1,475 with DHAPQ), actively followed up until
day 28, and then passively followed up for the next 6 mo. At day 28, for the PCR
adjusted efficacy, non-inferiority was established for three pair-wise
comparisons: DHAPQ (97.3%) versus AL (95.5%) (odds ratio [OR]: 0.59, 95% CI: 0.37
0.94); DHAPQ (97.6%) versus ASAQ (96.8%) (OR: 0.74, 95% CI: 0.41-1.34), and ASAQ
(97.1%) versus AL (94.4%) (OR: 0.50, 95% CI: 0.28-0.92). For the PCR-unadjusted
efficacy, AL was significantly less efficacious than DHAPQ (72.7% versus 89.5%)
(OR: 0.27, 95% CI: 0.21-0.34) and ASAQ (66.2% versus 80.4%) (OR: 0.40, 95% CI:
0.30-0.53), while DHAPQ (92.2%) had higher efficacy than ASAQ (80.8%) but non
inferiority could not be excluded (OR: 0.35, 95% CI: 0.26-0.48). CD+A was
significantly less efficacious than the other three treatments. Day 63 results
were similar to those observed at day 28. CONCLUSIONS: This large head-to-head
comparison of most currently available ACTs in sub-Saharan Africa showed that AL,
ASAQ, and DHAPQ had excellent efficacy, up to day 63 post-treatment. The risk of
recurrent infections was significantly lower for DHAPQ, followed by ASAQ and then
AL, supporting the recent recommendation of considering DHAPQ as a valid option
for the treatment of uncomplicated P. falciparum malaria. TRIAL REGISTRATION:
ClinicalTrials.gov NCT00393679; Pan African Clinical Trials Registry
PACTR2009010000911750
PMID- 22087081
TI - Gastric juvenile polyposis with high-grade dysplasia in pachydermoperiostosis.
AB - Pachydermoperiostosis (PDP) is the primary form of hypertrophic osteoarthropathy.
It is a very rare disease consisting of pachydermia, digital clubbing and
radiologic periostosis. Various digestive symptoms in PDP are seen in 11-49% of
patients and juvenile polyps may be found at gastric endoscopy. We report here
the history of a patient with PDP who was referred for assessment of severe
anemia. Endoscopy of the upper digestive tract showed multiple polyps of the
stomach with two huge lesions exhibiting foci of high-grade dysplasia. This
observation suggests that PDP can be considered as a precancerous condition of
the stomach and systematic screening using endoscopy should be considered in
these patients.
PMID- 22087082
TI - Appendiceal mucocele in an elderly patient: how much surgery?
AB - Appendiceal mucoceles are rare cystic lesions with an incidence of 0.3-0.7% of
all appendectomies. They are divided into four subgroups according to their
histology. Even though the symptoms may vary - depending on the level of
complication - from right lower quadrant pain, signs of intussusception,
gastrointestinal bleeding to an acute abdomen with sepsis, most mucoceles are
asymptomatic and found incidentally. We present the case of a 70-year-old patient
with an incidentally found appendiceal mucocele. He was seen at the hospital for
backache. The CT scan showed a vertebral fracture and a 7-cm appendiceal mass. A
preoperative colonoscopy displayed several synchronous adenomas in the transverse
and left colon with high-grade dysplasia. In order to lower the cancer risk of
this patient, we performed a subtotal colectomy. The appendiceal mass showed no
histopathological evidence of malignancy and no sign of perforation. The follow
up was therefore limited to 2 months. In this case, appendectomy would have been
sufficient to treat the mucocele alone. The synchronous high-grade dysplastic
adenomas were detected in the preoperative colonoscopy and determined the
therapeutic approach. Generally, in the presence of positive lymph nodes, a right
colectomy is the treatment of choice. In the histological presence of mucinous
peritoneal carcinomatosis, cytoreductive surgery with hyperthermic
intraperitoneal chemotherapy is indicated. In conclusion, mucoceles of the
appendix are detected with high sensitivity by CT scan. If there is no evidence
of synchronous tumor preoperatively and no peritoneal spillage, invasion or
positive sentinel lymph nodes during surgery, a mucocele is adequately treated by
appendectomy.
PMID- 22087083
TI - Constipation-predominant irritable bowel syndrome associated to
hyperprolactinemia.
AB - Irritable bowel syndrome (IBS) is considered to be a physical disorder that
mainly affects the bowel and is clinically characterized by lower abdominal pain
or discomfort, diarrhea, constipation (or alternating diarrhea/constipation),
gas, bloating, and nausea. According to recent studies, it appears that there is
an association with increased prolactin levels in patients suffering from IBS. We
report a rare case of regression of IBS symptoms (constipation type) in a 16-year
old female adolescent after receiving cabergoline for treating hyperprolactinemia
due to pituitary macroadenoma. Our hypothesis is that increased prolactin levels,
for instance due to a pituitary adenoma, may suppress prolactin-releasing peptide
release and lead to a reverse feedback interaction, consequently resulting in
oversecretion of cholecystokinin, inducing the development of IBS.
PMID- 22087084
TI - Autoimmune pancreatitis exhibiting multiple mass lesions.
AB - Our case is a first report of autoimmune pancreatitis with multiple masses within
the pancreas which was pathologically diagnosed by endoscopic ultrasound-guided
fine needle aspiration and treated by steroid. The masses disappeared by steroid
therapy. Our case is informative to know that autoimmune pancreatitis sometimes
exhibits multiple masses within the pancreas and to diagnose it without
unnecessary surgery.
PMID- 22087085
TI - Usefulness of microcatheters inserted overnight for additional injection of
sclerosant after initial balloon-occluded retrograde transvenous obliteration of
gastric varices.
AB - In patients with large gastric varices, dose limitation of the sclerosant can
cause difficulties in achieving complete thrombosis of varices during a single
balloon-occluded retrograde transvenous obliteration (BRTO) procedure. For
patients with incomplete variceal thrombosis after the first BRTO, additional
sclerosant must be injected in a second BRTO. We report a successful case of BRTO
for large gastric varices in whom additional sclerosant was injected through a
microcatheter that remained inserted overnight. To achieve complete variceal
thrombosis in a patient with incomplete thrombosis of large gastric varices after
a first BRTO, a retained microcatheter can be used to inject additional
sclerosant in a second BRTO the next day.
PMID- 22087086
TI - Intestinal Tuberculosis with Hoarseness as a Chief Complaint due to Mediastinal
Lymphadenitis.
AB - A 68-year-old woman was admitted to our hospital complaining of hoarseness. A
chest X-ray detected an abnormal shadow on the upper right lung. Bronchoscopic
examination revealed that the left vocal cord was fixed in the paramedian
position, and therefore left recurrent nerve paralysis was suspected.
Lymphadenopathy was found in the left supraclavicular area. Chest computed
tomography showed that the pretracheal and subaortic lymph nodes were swollen.
Gastroendoscopy showed a 2-cm protruding lesion with ulceration on the upper
esophagus. Histological examination of the supraclavicular lymph nodes and biopsy
specimens from the esophagus revealed non-specific inflammation. PET-CT showed
abnormal accumulations not only on the upper right lung but also on the lower
right of the abdomen. Colonoscopy was performed and multiple erosions on the
terminal ileum were found. Polymerase chain reaction analysis of a specimen
biopsied from the erosion of the terminal ileum was positive for Mycobacterium
tuberculosis and intestinal tuberculosis was diagnosed. The patient was then
treated with anti-tuberculous therapy. After treatment, the erosions on the
terminal ileum, the swelling of the mediastinal lymphadenopathy, and the
esophageal ulcer were all improved. The hoarseness was subsequently relieved.
This is the first report of intestinal tuberculosis with hoarseness as a chief
complaint due to mediastinal lymphadenitis.
PMID- 22087087
TI - Fistula between the Jejunum and the Inferior Vena Cava after Esophagojejunal
Anastomosis.
AB - Fistulas between the luminal gastrointestinal tract and vascular structures can
result from a variety of etiologies. While there have been reports of fistulas
between the inferior vena cava and the duodenum, we report the first case to our
knowledge of a fistula between the jejunum and the inferior vena cava after
esophagojejunal anastomosis for recurrent esophageal adenocarcinoma.
PMID- 22087088
TI - Social Comparison Affects Brain Responses to Fairness in Asset Division: An ERP
Study with the Ultimatum Game.
AB - Previous studies have shown that social comparison influences individual's
fairness consideration and other-regarding behavior. However, it is not clear how
social comparison affects the brain activity in evaluating fairness during asset
distribution. In this study, participants, acting as recipients in the ultimatum
game, were informed not only of offers to themselves but also of the average
amount of offers in other allocator-recipient dyads. Behavioral results showed
that the participants were more likely to reject division schemes when they were
offered less than the other recipients, especially when the offers were highly
unequal. Event-related brain potentials recorded from the participants showed
that highly unequal offers elicited more negative-going medial frontal negativity
than moderately unequal offers in an early time window (270-360 ms) and this
effect was not significantly modulated by social comparison. In a later time
window (450-650 ms), however, the late positive potential (LPP) was more positive
for moderately unequal offers than for highly unequal offers when the other
recipients were offered less than the participants, whereas this distinction
disappeared when the other recipients were offered the same as or more than the
participants. These findings suggest that the brain activity in evaluating
fairness in asset division entails both an earlier (semi-) automatic process in
which the brain responds to fairness at an abstract level and a later appraisal
process in which factors related to social comparison and fairness norms come
into play.
PMID- 22087090
TI - The neural basis of implicit perceptual sequence learning.
AB - The present fMRI study investigated the neural areas involved in implicit
perceptual sequence learning. To obtain more insight in the functional
contributions of the brain areas, we tracked both the behavioral and neural time
course of the learning process, using a perceptual serial color matching task.
Next, to investigate whether the neural time course was specific for perceptual
information, imaging results were compared to the results of implicit motor
sequence learning, previously investigated using an identical serial color
matching task (Gheysen et al., 2010). Results indicated that implicit sequences
can be acquired by at least two neural systems: the caudate nucleus and the
hippocampus, having different operating principles. The caudate nucleus
contributed to the implicit sequence learning process for perceptual as well as
motor information in a similar and gradual way. The hippocampus, on the other
hand, was engaged in a much faster learning process which was more pronounced for
the motor compared to the perceptual task. Interestingly, the perceptual and
motor learning process occurred on a comparable implicit level, suggesting that
consciousness is not the main determinant factor dissociating the hippocampal
from the caudate learning system. This study is not only the first to
successfully and unambiguously compare brain activation between perceptual and
motor levels of implicit sequence learning, it also provides new insights into
the specific hippocampal and caudate learning function.
PMID- 22087091
TI - Spiking signatures of spontaneous activity bursts in hippocampal cultures.
AB - Dense dissociated hippocampal cultures are known to generate spontaneous bursting
electrical activity which can be recorded by multielectrode arrays. We have
analyzed spatio-temporal profiles of the distribution of spikes in the bursts
recorded after 2 weeks in vitro. We have found a statistically significant
similarity between the spiking patterns in sequential bursting events, we refer
to these spiking patterns as spiking signatures. Such spiking signatures may
appear in different parts of the bursts, including the activation patterns - the
first spike times in the bursts, and deactivation patterns - the last spike times
in the bursts. Moreover, these patterns may display apparent time scaling, e.g.,
they may be replayed in the subsequent bursts at different speeds, while
preserving the spiking order. We discuss how such properties of the bursts may be
associated with the formation of repeatable signaling pathways in cultured
networks in vitro.
PMID- 22087089
TI - Functional development of fronto-striato-parietal networks associated with time
perception.
AB - Compared to our understanding of the functional maturation of executive
functions, little is known about the neurofunctional development of perceptive
functions. Time perception develops during late adolescence, underpinning many
functions including motor and verbal processing, as well as late maturing higher
order cognitive skills such as forward planning and future-related decision
making. Nothing, however, is known about the neurofunctional changes associated
with time perception from childhood to adulthood. Using functional magnetic
resonance imaging we explored the effects of age on the brain activation and
functional connectivity of 32 male participants from 10 to 53 years of age during
a time discrimination task that required the discrimination of temporal intervals
of seconds differing by several hundred milliseconds. Increasing development was
associated with progressive activation increases within left lateralized
dorsolateral and inferior fronto-parieto-striato-thalamic brain regions.
Furthermore, despite comparable task performance, adults showed increased
functional connectivity between inferior/dorsolateral interhemispheric fronto
frontal activation as well as between inferior fronto-parietal regions compared
with adolescents. Activation in caudate, specifically, was associated with both
increasing age and better temporal discrimination. Progressive decreases in
activation with age were observed in ventromedial prefrontal cortex, limbic
regions, and cerebellum. The findings demonstrate age-dependent developmentally
dissociated neural networks for time discrimination. With increasing age there is
progressive recruitment of later maturing left hemispheric and lateralized fronto
parieto-striato-thalamic networks, known to mediate time discrimination in
adults, while earlier developing brain regions such as ventromedial prefrontal
cortex, limbic and paralimbic areas, and cerebellum subserve fine-temporal
processing functions in children and adolescents.
PMID- 22087092
TI - Interstitial granulomatous dermatitis: another clinical variant.
AB - A 70-year-old female patient presented with an eruption consisting of
symmetrically distributed erythematous papules around the umbilicus 1 month after
the cessation of adalimumab for the treatment of rheumatoid arthritis. Biopsy of
a papule showed an interstitial granulomatous infiltrate in the dermis, without
deposition of mucin. The lesions cleared only after re-initiation of treatment 2
months later. Interstitial granulomatous dermatitis is thought to be a distinct
histopathological pattern, either drug induced or associated with rheumatoid
arthritis or autoimmune collagen diseases. In our case, there was a distinct
clinical presentation of interstitial granulomatous dermatitis, composed of
symmetrically distributed indurated papules around the umbilicus as well as a
mild granulomatous reaction pattern.
PMID- 22087093
TI - Kyrle disease and acquired perforating collagenosis secondary to chronic renal
failure and diabetes mellitus.
AB - A 59-year-old man with chronic renal failure and diabetes mellitus presented with
pruritic crusted lesions which histologically were perforating disorders, showing
features of both Kyrle disease and acquired perforating collagenosis. The
mechanisms of transepidermal elimination and the classification of perforating
disorders are briefly discussed. Additionally, we question the concept of
perforation, as epidermal damage and exposure of subepidermal substances may
artificially present as perforation.
PMID- 22087094
TI - Recurrent Syncope due to Esophageal Squamous Cell Carcinoma.
AB - Syncope is caused by a wide variety of disorders. Recurrent syncope as a
complication of malignancy is uncommon and may be difficult to diagnose and to
treat. Primary neck carcinoma or metastases spreading in parapharyngeal and
carotid spaces can involve the internal carotid artery and cause neurally
mediated syncope with a clinical presentation like carotid sinus syndrome. We
report the case of a 76-year-old man who suffered from recurrent syncope due to
invasion of the right carotid sinus by metastases of a carcinoma of the
esophagus, successfully treated by radiotherapy. In such cases, surgery,
chemotherapy or radiotherapy can be performed. Because syncope may be an early
sign of neck or cervical cancer, the diagnostic approach of syncope in patients
with a past history of cancer should include the possibility of neck tumor
recurrence or metastasis and an oncologic workout should be considered.
PMID- 22087095
TI - Synchronous Detection of Hairy Cell Leukemia and HIV-Negative Kaposi's Sarcoma of
the Lymph Node: A Diagnostic Challenge and a Rare Coincidence.
AB - Hairy cell leukemia (HCL) is an uncommon chronic lymphoproliferative disorder and
accounts for around 2% of all forms of leukemias. The association of HCL with
other neoplasms, mainly non-Hodgkin's lymphomas, is well known. However, the
simultaneous diagnosis of HCL and Kaposi's sarcoma is rare, with only few cases
of such an association having been reported. We describe a 42-year-old male
patient with a well characterized HCL and in whom HIV-negative Kaposi's sarcoma
of the lymph node was detected.
PMID- 22087096
TI - Near Total Regression of Diffuse Brain Metastases in Adenocarcinoma of the Lung
with an EGFR Exon 19 Mutation: A Case Report and Review of the Literature.
AB - We report the case of a woman with diffuse brain metastases from lung cancer who
experienced total regression of the metastases under gefitinib treatment. The 58
year-old woman was referred to our hospital with a complaint of severe headache.
A brain MRI revealed diffuse metastatic lesions in the cerebra and cerebellum.
Adenocarcinoma of the lung with multiple brain metastases was diagnosed. The
tumor was positive for an epidermal growth factor receptor (EGFR) exon 19
deletion mutation. She was treated with gefitinib 250 mg per day. One year later,
the diffuse brain metastases had totally resolved. EGFR-tyrosine kinase inhibitor
therapy could be a first-line treatment for patients with advanced adenocarcinoma
of the lung with EGFR mutation, especially in those with brain metastases.
PMID- 22087097
TI - An unusual case of locally advanced glycogen-rich clear cell carcinoma of the
breast.
AB - Glycogen-rich clear cell (GRCC) is a rare subtype of breast carcinoma
characterized by carcinoma cells containing an optically clear cytoplasm and
intracytoplasmic glycogen. We present the case of a 55-year-old woman with a
palpable mass in the right breast and clinical signs of locally advanced breast
cancer (LABC). The diagnosis of GRCC carcinoma was based on certain
histopathological characteristics of the tumor and immunohistochemical analysis.
To our knowledge, this is the first case of GRCC LABC with intratumoral
calcifications. There is no evidence of recurrence or metastatic disease after 14
months' follow-up.
PMID- 22087098
TI - Small bowel adenocarcinoma presenting with refractory iron deficiency anemia -
case report and review of literature.
AB - Cancers of the small bowel are relatively rare and account for approximately 1-2%
of all gastrointestinal neoplasms. The most common histologic subtype -
adenocarcinoma - constitutes 40% of all cases. These cancers generally present
with vague abdominal discomfort and are often diagnosed at a late stage and carry
a poor prognosis. The treatment of choice of early-stage small bowel
adenocarcinoma is surgical resection. No standard treatment protocol has been
defined for unresectable or metastatic disease. Here, we report a case of a 56
year-old woman who presented with unexplained iron deficiency anemia. Extensive
initial studies with serial CT scans of the abdomen, esophagogastroduodenoscopy,
small bowel capsule endoscopy and colonoscopy were noncontributory. She was later
found to have a metastatic small bowel adenocarcinoma and treated with palliative
chemotherapy. She achieved a modest response to the treatment. Interestingly, in
our case, the sole presentation was unexplained iron deficiency anemia.
Physician's awareness regarding the possibility of small bowel cancer especially
in the setting of iron deficiency and its workup has been emphasized. This
enhances the chance of early detection and hence better survival.
PMID- 22087099
TI - Subacute Neurological Deterioration with Selective Axonal Injury in Patients with
Acute Ischemic Stroke following Reperfusion of Middle Cerebral Artery Occlusion.
AB - To date, the long-term effects of reperfusion on the salvaged brain tissues have
not been addressed in the literature. We report 4 cases presenting subacute
neurological deteriorations with selective axonal injury following reperfusion
therapies for acute ischemic stroke. Our case series based on 4 patients showed
common features distinct from those of early reperfusion injury in that (1) the
neurological symptoms developed after 1-2 months of reperfusion therapies, (2)
these symptoms were accompanied by the subcortical white matter changes on brain
MRI, and (3) these findings were mostly reversible with time. This suggests that
axons in the reperfused brain may be vulnerable to further neurological injury.
PMID- 22087100
TI - Thrombolytic stroke treatment of a 12-year-old girl with intracranial
fibromuscular dysplasia.
AB - Fibromuscular dysplasia, predominantly found in adult women, is a rare disease of
small and middle-sized arteries of the kidney and brain. We present a case of a
12-year-old girl with acute ischemic stroke, due to fibromuscular dysplasia of
the distal internal carotid artery and the proximal middle cerebral artery, which
was successfully treated with t-PA.
PMID- 22087101
TI - Serous macular detachment associated with dome-shaped macula and tilted disc.
AB - INTRODUCTION: An entirely new type of staphyloma has been recently described as
dome-shaped macula (DSM). It is characterized by an abnormal convex macular
contour within the concavity of a posterior staphyloma. We found DSM associated
with serous macular detachment (SMD) and tilted disc in two consecutive cases.
CASE REPORTS: Case 1: A 37-year-old female presented to our department because of
sudden onset blurred vision in her right eye (OD). The best-corrected visual
acuity (BCVA) was 0.5 in both eyes. Funduscopy evidenced bilateral tilted disc
associated with posterior staphyloma. Optical coherence tomography (OCT)
demonstrated a DSM with SMD in her OD. After 15 months of follow-up, BCVA of her
OD remained stable with chronic SMD. Case 2: A 32-year-old female presented to
our department because of blurred vision in her OD. The BCVA was 0.4 in the OD
and 1.0 in the left eye (OS). Bilateral tilted disc and posterior staphyloma were
evidenced in the funduscopy. OCT demonstrated a bilateral DSM with SMD in her OD.
After 45 months of follow-up, two further episodes of transient SMD were observed
in her OD and seven in her OS. The final BCVA was 0.63 in the OD and 0.8 in the
OS. DISCUSSION: SMD associated with tilted disc constitutes a potential cause of
subretinal fluid accumulation in myopic patients. OCT is essential for the
detection of both SMD and DSM.
PMID- 22087102
TI - White centered retinal hemorrhages in vitamin b(12) deficiency anemia.
AB - BACKGROUND: To report a case of severe vitamin B(12) deficiency anemia presenting
with white centered retinal hemorrhages. METHODS: Interventional case report.
RESULTS: A 40-year-old man, general practitioner himself, presented with a 1-day
history of diminished left visual acuity and a drop-shaped central scotoma. The
corrected visual acuities were 20/20, OD and 20/100, OS. Ophthalmic examination
revealed bilaterally pale tarsal conjunctiva, discretely icteric bulbar
conjunctiva and disseminated white centered intraretinal hemorrhages with foveal
involvement. OCT imaging through these lesions revealed a retinal thickening
caused by a sub-ILM accumulation of hyperreflective and inhomogeneous deposits
within the nerve fiber layer. Immediate laboratory work-up showed severe
megaloblastic anemia caused by vitamin B(12) deficiency requiring erythrocyte
transfusions. DISCUSSION: Most reports of white centered retinal hemorrhages have
been described in patients with leukemic retinopathy and bacterial endocarditis.
It is interesting that this case of vitamin B(12) deficiency anemia retinopathy
has a clinically indistinguishable fundus appearance. This is probably due to the
common pathology of capillary disruption and subsequent hemostatic fibrin plug
formation. In megaloblastic anemia, direct anoxia results in endothelial
dysfunction. The loss of impermeability allows extrusion of whole blood and
subsequent diffusion from the disrupted site throughout and above the nerve fiber
layer. Therefore the biomicroscopic pattern of white centered hemorrhages
observed in anemic retinopathy is most likely due to the clot formation as the
reparative sequence after capillary rupture.
PMID- 22087103
TI - A Novel Compound Heterozygous Mutation in the CYP4V2 Gene in a Japanese Patient
with Bietti's Crystalline Corneoretinal Dystrophy.
AB - PURPOSE: To describe the clinical and genetic characteristics of a Japanese
family in which one member exhibited Bietti's crystalline corneoretinal dystrophy
(BCD). METHODS: Using direct sequencing, mutation screening was performed in the
CYP4V2 gene of both the patient with BCD and her daughter. Ophthalmic
examinations were performed to determine the clinical features of both subjects.
RESULTS: The 64-year-old female patient had a bilateral visual acuity of 0.4.
Slit lamp examination revealed bilateral crystalline-like deposits at the
superior limbus of the cornea. Fundus examination revealed there was
chorioretinal atrophy along with numerous glistening yellowish-white crystalline
deposits that were scattered throughout the posterior pole and the mid-peripheral
retina. Standard flash electroretinography showed an extinguished
electroretinogram and Goldmann kinetic perimetry detected a relative scotoma.
Genetic analysis revealed that the patient had a heterozygous mutation in the
CYP4V2 gene (IVS6-8delTCATACAGGTCATCGCG/GC), which is the most commonly found
mutation in Japanese patients with BCD. Furthermore, the patient was also shown
to have a novel heterozygous point mutation in exon 9 of the CYP4V2 gene
(c.1168C>T). In contrast, her daughter exhibited no clinical findings for BCD
even though she carried the same heterozygous mutation in the CYP4V2 gene
(c.1168C>T). CONCLUSION: A novel compound heterozygous mutation was found in the
CYP4V2 gene of a patient with BCD. This previously unreported c.1168C>T mutation
causes a missense mutation (p.R390C) in the CYP4V2 protein.
PMID- 22087104
TI - Bilateral corneal ulceration caused by vitamin a deficiency in eosinophilic
gastroenteropathy.
AB - PURPOSE: Vitamin A deficiency is a very rare condition in the developed world and
can lead to a variety of ocular changes from xerosis and xerophthalmia to corneal
ulcer and perforation. The treatment of this devastating disease is simple and
inexpensive. It is therefore important to recognize and treat accordingly,
especially in the event of ulcers unresponsive to treatment or in the presence of
severe malnutrition/malabsorption syndromes. The purpose of this case report is
to remind physicians of the potentially devastating effects of vitamin A
deficiency on the eyes and to demonstrate outcomes after vitamin A treatment.
METHODS: Single observational case report. RESULTS: A 29-year-old male with known
eosinophilic gastroenteropathy was treated with oral steroids for peripheral
ulcerative keratitis. Two weeks after resolution, the patient suffered from
peripheral ulcerative keratitis in his other eye, with a self-sealing
perforation. Vitamin A deficiency was confirmed and successfully treated, leading
to subsequent resolution of signs and symptoms. CONCLUSIONS: Vitamin A deficiency
can be present in patients with malabsorption and malnutrition syndromes and
should be considered as cause of corneal ulceration.
PMID- 22087105
TI - Acetaminophen: beyond pain and Fever-relieving.
AB - Acetaminophen, also known as APAP or paracetamol, is one of the most widely used
analgesics (pain reliever) and antipyretics (fever reducer). According to the
U.S. Food and Drug Administration, currently there are 235 approved prescription
and over-the-counter drug products containing acetaminophen as an active
ingredient. When used as directed, acetaminophen is very safe and effective;
however when taken in excess or ingested with alcohol hepatotoxicity and
irreversible liver damage can arise. In addition to well known use pain relief
and fever reduction, recent laboratory and pre-clinical studies have demonstrated
that acetaminophen may also have beneficial effects on blood glucose levels,
skeletal muscle function, and potential use as cardioprotective and
neuroprotective agents. Extensive laboratory and pre-clinical studies have
revealed that these off-label applications may be derived from the ability of
acetaminophen to function as an antioxidant. Herein, we will highlight these
novel applications of acetaminophen, and attempt, where possible, to highlight
how these findings may lead to new directions of inquiry and clinical relevance
of other disorders.
PMID- 22087106
TI - Hepatic granulomas associated with brucellosis: Hepatic granulomas and
brucellosis.
PMID- 22087107
TI - Halothane-induced hepatitis: A forgotten issue in developing countries: Halothane
induced hepatitis.
AB - Halothane was introduced as an anesthetic in the 1950s and was considered a
revolutionary agent in the field of anesthesia. Soon after, halothane-induced
hepatitis became a concern, leading to the development of less toxic gases that
induced a lower incidence of side effects. Two types of halothane-related
hepatotoxicity have been described: type 1, or mild hepatitis, is associated with
elevated transaminase levels and self-limiting symptoms, and type 2, or severe
hepatotoxicity, is associated with acute fatal liver failure and is fatal in most
cases. Hepatotoxicity is most likely to be immune-related, based on much
evidence. Free radicals that are produced by the metabolism of halothane in the
liver can modify cellular proteins and introduce neo-antigens to the immune
system. Sensitization to these neo-antigens induces a more severe response after
multiple exposures; most cases of type 2 hepatitis occur after repeated contact.
New halogenated anesthetics such as enflurane, sevoflurane, and desflurane, are
not metabolized in the liver, causing few cases of sensitization. Compared with
halothane, these anesthetics are expensive. As a result, replacement of halothane
with new halogenated anesthetics requires a precise cost-benefit analysis,
especially in developing countries that have low health care budgets.
PMID- 22087108
TI - Occult hepatitis B virus infection: A major concern in HIV-infected patients:
Occult HBV in HIV.
AB - Human immunodeficiency virus (HIV)- infected patients are at risk of acquiring
viral hepatitis, due to common routes of transmission. As the introduction of
highly active antiretroviral therapy (HAART) reduced the frequency of
opportunistic infections and improved survival, viral hepatitis emerged as an
important cause of morbidity and mortality in HIV-infected cases. Occult
hepatitis B virus (HBV) infection is characterized by presence of HBV infection
without detectable hepatitis B surface antigen (HBsAg). There are conflicting
reports on the impact of occult HBV infection on the natural history of HIV
disease. In this review, we described the findings of studies on HIV and
hepatitis B co-infection with focus on the prevalence of occult HBV infection.
The results of this review demonstrated the importance of prevention, diagnosis
and treatment of occult HBV infection in HIV-positive patients.
PMID- 22087109
TI - Comparison of the prevalence of major transfusion-transmitted infections among
Iranian blood donors using confidential unit exclusion in an Iranian population:
Transfusion-transmitted infections among Iranian blood donors.
AB - BACKGROUND: Nucleic acid amplification testing is recommended for screening blood
donations; however, they are not widely available in developing countries such as
Iranian. Confidential unit exclusion (CUE) gives blood donors the opportunity to
confidentially indicate whether their blood is or is not suitable for transfusion
to others. Hoewever, its effectiveness in improving blood safety has recently
been questioned by the blood banking community. OBJECTIVES: The purpose of this
study was to determine the efficacy of CUE in Iran. PATIENTS AND METHODS: Data on
transfusion-transmitted disease markers (HBs Ag, HCV Ab, HIV Ab, RPR) were
extracted from a database of voluntary blood donations in 2006 at the Tehran
Blood Transfusion Center. The prevalence of markers were compared between CUE
positive ("should not use") and CUE-negative ("can be used") donations. RESULTS:
CUE-positive donations had significantly higher risk of HBV and HCV markers (odds
ratio (95% confidence interval)7.5 (5.4-10.5) and 5.3 (2.5-11.3), respectively).
No HIV or syphilis markers were detected in either group. CONCLUSIONS: CUE is an
effective option for identifying donors with increased risk of HBV and HCV
markers.
PMID- 22087110
TI - A study of genotypes, mutants and nucleotide sequence of hepatitis B virus in
Pakistan: HBV genotypes in pakistan.
AB - BACKGROUND: Hepatitis B virus (HBV) genotypes and mutations are gaining
importance in determining the clinical course of chronic liver disease.
OBJECTIVES: To determine and compare the distribution of HBV genotypes and
genomic variations in Pakistan to other parts of the world. PATIENTS AND METHODS:
We conducted a prospective study at Aga Khan University Hospital from December
2006 to December 2008. HBV genotype was determined in 257 HBV DNA-positive
patients. Patients were divided into two groups according to HBeAg positivity.
Mutations in the pre-core and core promoter regions of HBV were determined in
HBeAg-negative patients by line probe INNOLIPA assay. RESULTS: The mean+/-SD age
of patients was 28+/-5 years; there were 201 (78%) men. HBeAg was positive in 219
(85%) patients and negative in 38 (15%). HBeAg-positive patients were younger
than HBeAg-negative patients (95% vs 21% in <=30 years, p<0.001). HBV genotype D
found in 247 (96.2 %) patients followed by a combined infection with HBV genotype
B+D in 9 (3.3%) and 1 (0.5%) with genotype A. The mutations identified in 38
HBeAg-negative patients were T1762/A1764 in 21 (55.2%), PC mutant in 7 (18.4%),
T1762/A1764/PC mutant in 2 (5%) and T1762/A1764/PC wild mutation in 1 (2%); no
mutation identified in 7 (18.4%). Phylogenetic analysis did not show any
significant differences between HBV genotype D isolated from Pakistan and those
isolated from other parts of the world. CONCLUSIONS: HBV genotype D is
predominant in Pakistan, irrespective of HBeAg status. PC and BCP mutations were
found in significant numbers of patients infected with genotype D. The HBV
genotype D isolates from Pakistan are identical to the sequences isolated from
other parts of the world.
PMID- 22087111
TI - Incarceration is a major risk factor for blood-borne infection among intravenous
drug users: Incarceration and blood borne infection among intravenous drug users.
AB - BACKGROUND: There is a strong association between hepatitis B virus (HBV),
hepatitis C virus (HCV) and human immunodeficiency virus (HIV) infection which
are mainly transmitted by contamination with blood via intravenous drug abuse
(IVDU) or sexual contact. OBJECTIVES: To determine the prevalence of these
infections and the risk factors associated with them among prisoner and non
prisoner IVDUs in Tehran, Iran. PATIENTS AND METHODS: This cross-sectional study
was performed in two jails and three drug rehabilitation centers between 2001 and
2002 in Tehran. HBsAg and HBcAb were checked using highly specific third
generation enzyme immunoassays (DIA.PRO, Italy, specificity >99%, and Radim,
Italy, specificity 99.7%, respectively). HCVAb was detected using ELISA (DIA.PRO,
Italy) with both sensitivity and specificity >98%. HIVAb test (DRG Diagnostics
kit, Germany) was performed for 459 of the 468 IDU subjects. RESULTS: 392
prisoners and 135 individual attending drug rehabilitation centers were
approached. Of the 518 subjects studied, 464 (89.5%) were male, 386 (74.5%) were
prisoners and 132 (25.5%) were non-prisoners. In this study, HBsAg, HCVAb and
HIVAb were positive in 19 (3.7%), 359 (69.5%) and 70 (15.5%) of subjects,
respectively. These tests were positive in 17 (4.5%), 311 (80.5%) and 63 (17%)
among prisoners and 2 (1.5%), 48 (36.5%) and 7 (7.8%) in non-prisoners,
respectively. Multiple logistic regression analysis revealed that independent
factors related to co-infection of HCV and HIV infection were imprisonment
(p<0.001. OR: 7.5) and using common syringe (p=0.03, OR: 4.5). CONCLUSIONS: Our
findings strongly suggest that drug injection inside prison carries is a risk for
HIV infection and that HIV infection among IDUs is likely to be bridged to the
broader population through sexual contact without using effective prevention
programs.
PMID- 22087112
TI - Polymorphisms within Fas gene are not associated with occult hepatitis B virus
infection: Polymorphisms within Fas gene in occult HBV infection.
AB - BACKGROUND: Occult hepatitis B infection (OBI) is a form of hepatitis in which
there is an absence of detectable HBsAg, despite the presence of HBV-DNA in the
peripheral blood of patients. It seems that non-effective or attenuated immune
system responses against HBV lead to the development of OBI. Previous studies
showed that the Fas/Fas ligand (FasL) system is an important death signaling
pathway that is used by cytotoxic T lymphocytes to eradicate HBV from the liver.
OBJECTIVES: To investigate polymorphisms in the -670 region of the Fas gene in
those with OBI. PATIENTS AND METHODS: The plasma samples from 3700 blood donors
were tested for HBsAg and anti-HBs by ELISA. The HBsAg-/anti-HBc(+) samples were
selected and screened for HBV-DNA by PCR. Those with HBV-DNA were diagnosed as
OBI and PCR-RFLP technique was performed to examine polymorphisms within their
Fas gene. RESULTS: 352 (9.5%) of 3700 blood samples were HBsAg-/anti-HBc(+). HBV
DNA was detected in 57 (16.1%) of 352 HBsAg-/anti-HBc(+) samples. Therefore, 57
HBsAg-/anti-HBc+/HBV-DNA(+) patients were diagnosed as OBI. Patient and control
groups had no significant differences in terms of the studied polymorphisms.
CONCLUSIONS: The functional polymorphisms in the promoter region of Fas gene are
not associated with OBI. Therefore, it may be concluded that polymorphisms at the
-670 position of the Fas gene do not have any critical effects on the immune
response against HBV in OBI.
PMID- 22087113
TI - A comparison of treatment with adefovir and entecavir for chronic hepatitis B in
China: The 2-year results of a prospective study: Adefovir versus Entercavir for
Chronic Hepatitis B.
AB - BACKGROUND: The reduction of hepatitis B virus replication to minimal levels is
emerging as key therapeutic goal in chronic hepatitis B (CHB). OBJECTIVES: This
study aimed to evaluate and compare the efficacies of adefovir (ADV) and
entecavir (ETV) in CHB. PATIENTS AND METHODS: In this prospective study, 100
naive patients were assigned to treatment with ADV (33 HBeAg-positive and 19
HBeAg-negative patients) or ETV (32 HBeAg-positive and 16 HBeAg-negative
patients). The primary efficacy outcome was ALT normalization, reduction in HBV
DNA, and seroconversion of HBeAg. Second efficacy outcomes included resistance
and safety. Comparisons of quantitative and qualitative variables between groups
were analyzed by student t-test and chi-square test (or Fisher's exact test),
respectively. RESULTS: Among HBeAg-positive patients, ETV was superior to ADV
with respect to mean reduction in HBV DNA (-7.5 versus -6.3, respectively, at
Month 24, p = 0.003) and the percentage of those with HBV DNA < 103 copies/mL at
Month 24 [96.9% (31/32) vs. 69.7% (23/33), respectively, p = 0.002] and < 300
copies/mL at Month 24 [84.4% (27/32) vs. 54.5% (18/33), respectively, p = 0.004].
But, the rates of ALT normalization and HBeAg seroconversion between the groups
were similar [87.9% (29/33) vs. 96.9% (31/32), respectively, p=0.355; and 24.2%
(8/33) vs. 25.0% (8/32), respectively, p = 0.943]. In HBeAg-negative patients who
received ETV or ADV, the reduction in HBV DNA (-6.8 versus -5.9, respectively, p
= 0.192), percentage of ALT normalization [100% (16/16) vs. 78.9% (15/19),
respectively, p=0.109], HBV DNA < 103 copies/mL [100% (16/16) vs. 89.5% (17/19),
respectively, p = 0.489], and HBV DNA < 300 copies/mL [100% (16/16) vs. 84.2%
(16/19), respectively, p = 0.234] were similar. No ETV- or ADV-associated
mutations were observed, and both agents were well tolerated. CONCLUSIONS: ETV
and ADV are effective therapies for CHB. In HBeAg-positive patients, the efficacy
of ETV is significantly superior to that of ADV, and in HBeAg-negative patients,
the agents effect similar biochemical and virological responses.
PMID- 22087114
TI - The effect of zinc sulfate on immunologic response to recombinant hepatitis B
vaccine in elderly: Zinc sulfate and immunologic response to recombinant
hepatitis B vaccine.
AB - BACKGROUND: Hepatitis B is the major cause of chronic hepatitis and cirrhosis in
Iran. Sanitation and immunization is one of the most effective measures for
prevention of the disease which is now widely used in developing countries.
However, the immune response to the vaccine varies by age. OBJECTIVES: To
determine the effect of zinc sulfate on immune response to hepatitis-B vaccine in
elderly. PATIENTS AND METHODS: In a clinical trial on 140 subjects aged ?40 years
with a body mass index (BMI) <30 kg/m2, and without any co-morbid disease were
recruited. Those who had negative hepatitis B core antibody (102 persons) were
randomly allocated to two groups. The trial group received hepatitis B vaccine
plus 200 mg zinc sulfate daily for 30 days and the control group received vaccine
plus placebo. RESULTS: 52 of 102 people were female (51%). The two studied groups
were comparable in terms of age, gender, and smoking habits. The mean antibody
production in the intervention and control groups was 116.93 and 157.37 mIU/mL,
respectively (p=0.22). No statistical differences were observed between the two
groups in terms of proportion of people who were protected after vaccination
(26.0% and 36.5% in people with and without zinc, respectively). CONCLUSIONS:
This study revealed that zinc sulfate has no effect in level of immunity among
elderly.
PMID- 22087115
TI - Commentary on the article: Are hepatitis B virus and celiac disease linked?: HBV
and celiac disease.
PMID- 22087116
TI - Are nonintestinal inflammatory diseases and celiac disease linked?: Nonintestinal
inflammatory and celiac disease.
PMID- 22087117
TI - Commentary on: Are hepatitis B virus and celiac disease linked?: HBV and Celiac
Disease.
PMID- 22087118
TI - Hepatitis B virus and celiac disease: HBV and Celiac Disease.
PMID- 22087119
TI - Celiac disease and hepatitis B virus: Celiac disease and HBV.
PMID- 22087120
TI - Dilemma of HBsAg seroconversion in chronic hepatitis B infection: Dilemma of
HBsAg in chronic HBV.
AB - Patients with chronic hepatitis B infection should be followed up to identify
possible changes in disease status, such as HBsAg seronversion. There are little
data on the outcome of such cases, and the response rate to HBV vaccine has not
been discussed extensively.
PMID- 22087121
TI - Hepatocellular carcinoma: HCC.
PMID- 22087122
TI - Hepatitis C and pulmonary fibrosis: Hepatitis C and pulmonary fibrosis.
AB - BACKGROUND: Hepatitis C virus (HCV) is a hepatotropic and lymphotropic virus that
causes hepatic and extrahepatic disease. Emerging clinical data suggest that
chronic HCV infection can lead to many direct and indirect effects on the lung.
OBJECTIVES: This article discusses evidence on the relationship between HCV
infection and pulmonary fibrosis to increase knowledge on this topic among
clinicians and scientists and highlights the need for further study. METHODS: We
searched the MEDLINE, ISI WEB OF KNOWLEDGE, OVID, ELSEVIER, and MDCONSULT
databases and top respiratory journals, such as the American Journal of
Respiratory and Critical Care, Chest, and Thorax for articles in English using
the following keywords: hepatitis C, HCV infection, IPF, pulmonary fibrosis, and
interstitial pneumonitis. We reviewed the reference lists of all identified
studies. RESULTS: The evidence for a pathogenetic link between pulmonary fibrosis
and HCV is: the higher frequency of HCV markers in IPF patients, an increase in
lymphocyte and neutrophil numbers in bronchoalveolar lavage of chronic HCV
infection patients, and the development of IPF in HCV-related chronic hepatitis
that is treated with interferon. There is a discrepancy between studies on the
frequency of HCV in IPF patients, which might be attributed to geographical
differences of in the prevalence of HCV infection, selection bias in choosing the
control group, and the HCV genome. CONCLUSIONS: BAL studies in HCV infection are
associated with increased counts of lymphocytes and neutrophils in BAL fluid.
These studies show that HCV infection is associated with nonspecific pulmonary
inflammatory reactions that are not compatible with IPF but that it can lead to
pulmonary fibrosis. The other factor is interferon therapy. Interstitial
pneumonia and sarcoidosis are well-documented complications of IFN therapy. More
extensive cohort studies should be conducted to confirm an actual causal
relationship between HCV infection and pulmonary fibrosis.
PMID- 22087123
TI - Genotypes, mutations, and viral load of hepatitis B virus and the risk of
hepatocellular carcinoma: HBV properties and hepatocarcinogenesis.
AB - Chronic infection with hepatitis B virus (HBV) is the major risk factor for
hepatocellular carcinoma (HCC) worldwide. Ten HBV genotypes (A-J) have been
discovered so far. Genotypes B and C are endemic in East and Southeast Asia.
Genotype C HBV is associated with increased risks of cirrhosis and HCC. Genotype
B (B2) is associated with the development of HCC in non-cirrhotic patients
younger than 50 years and with relapse of HCC after surgical treatment. It is
also associated with earlier hepatitis B e antigen seroconversion than genotype
C. High HBV load is independently associated with the occurrence and post
treatment recurrence of HCC. Different genotypes have distinct patterns of
mutations. Viral mutations in the core promoter region and in the preS region are
frequently found to be significantly associated with an increased risk of HCC.
These mutations often occur before the onset of HCC and accumulate during the
progression of chronic HBV infection. Multiple such mutations are more frequent
in patients with HCC and are specific for HCC. HBV subgenotypes, viral mutations,
and viral load can be used for the prediction of HCC. Early identification of HBV
infected individuals who will eventually develop HCC will help to develop active
prophylactic protocols to reduce or delay the occurrence of HCC.
PMID- 22087124
TI - Rosuvastatin reduces nonalcoholic fatty liver disease in patients with chronic
hepatitis C treated with alpha-interferon and ribavirin: Rosuvastatin reduces
NAFLD in HCV patients.
AB - BACKGROUND: Nonalcoholic fatty liver disease develops in patients with chronic
hepatitis C. Interferon and ribavirin combination therapy is the standard
treatment for chronic hepatitis C, but if present, NAFLD can reduce the
virological response to anti-HCV therapies. OBJECTIVES: We determined whether the
addition of rosuvastatin to interferon and ribavirin improves the sustained
virological response (SVR) and reduces steatosis. PATIENTS AND METHODS: This
study was a prospective, randomized, open-label trial. Between January 2004 and
December 2007, 65 patients with chronic hepatitis (27 women and 38 men, mean age
48 years) aged 32-63 years (median 46 years) were consecutively enrolled.
Patients were randomly assigned to receive leukocyte interferon alpha (3 MIU 3
times per week) plus ribavirin (1200 mg per day) for 12 months or interferon
alpha and ribavirin at the same dosages plus rosuvastatin (5 mg per day). The
primary endpoints were measurements in SVR, liver enzyme, cholesterol,
triglyceride, CRP, glucose, and insulin levels; and Homa-IR, fibrosis, and
steatosis scores. RESULTS: After 12 months of treatment, we observed a
significant improvement in SVR in 51% of patients who received interferon plus
ribavirin plus rosuvastatin compared with 18% of relapsers (OR 1.52; 95% CI =
0.41-5.64; RR 1.13). There were 23 responders (69%) and 10 nonresponders (30%)
(OR 1.38; 95% CI = 0.49-16.5; RR 1.11). When comparing interferon plus ribavirin
group vs interferon plus ribavirin and rosuvastatin group after 12 months, we
observed a significant difference in AST (85.70 vs.106.5.00 IU/ml) (OR 1.2; 95%
CI= 0.29-4.94; RR 1.04; p<0.001), ALT (81.80 vs. 126.2 IU/ml) (OR 1.2; 95% CI =
0.29-4.94; RR 1.04; p < 0.001), LDL-cholesterol (0.01 vs. 0.60 mmol/l) (OR 14;
95% CI = 3.98-49.16; p RR 2.96; < 0.001), triglycerides (0.17 vs. 0.2 mmol/l) (OR
20; 95% CI = 4.94-80.89; RR 5.38; p < 0.05), and Viremia (1.8 vs. 2.48 UI/ml, p <
0.05). Mean fibrosis score decreased 0.10 vs. 0.50 (OR 4.5; 95% CI = 0.89-22.66;
RR 1.5; p < 0.05), and mean steatosis score declined 0.30 vs. 0.50 (OR 11.2; CI =
2.88-43.53; RR 2.75; p < 0.001). CONCLUSIONS: In HCV patients with NAFLD, the
addition of rosuvastatin to interferon and ribavirin significantly reduces
viremia, steatosis, and fibrosis without causing side effects.
PMID- 22087125
TI - Anti-HBs antibody status and some of its associated factors in dental health care
workers in Tehran University of Medical Sciences: Anti-HBs Ab and associated
factors in dental society.
AB - BACKGROUND: Dental health care providers are at risk of infection with hepatitis
B virus (HBV). Dentists can occupationally become infected with HBV through
needle sticks or exposure to blood and other body fluids. OBJECTIVES: To evaluate
anti-HBs antibody titer in students, professors, clinical assistants and non
clinical staff of Faculty of Dentistry, Tehran University of Medical Sciences
(TUMS), and to investigate the probable correlation between the level of immunity
and a number of associated factors. PATIENTS AND METHODS: 230 participants who
had a history of previous HBV vaccination (receiving at least two doses of HBV
vaccine) and a negative history of being infected with HBV were studied.
Participants' data were recorded using a checklist, and the level of antibody was
measured by enzyme-linked immunosorbent assay (ELISA). RESULTS: While there
existed statistically significant correlations between age, occupation, smoking,
complete and scheduled vaccination and time of the last vaccination with the
level of anti-HBs antibody, the correlation between gender and level of the
antibody was not significant. Multiple regression analysis revealed significant
association between immune response and age and time of the last vaccination.
CONCLUSIONS: Due to the significant correlation between younger age and anti-HBs
antibody titer in our study, it makes sense to establish a mandatory complete and
scheduled vaccination program for all members of dental society younger than 40
years.
PMID- 22087126
TI - Noninvasive assessment of liver fibrosis with the aspartate transaminase to
platelet ratio index (APRI): Usefulness in patients with chronic liver disease:
APRI in chronic liver disease.
AB - BACKGROUND: The aspartate aminotransferases (AST) to platelet ratio index (APRI)
may serve as a noninvasive marker to assess liver fibrosis. OBJECTIVES: To assess
the diagnostic ability of the APRI for prediction of fibrosis in patients with
chronic hepatitis B (CHB), chronic hepatitis C (CHC), and non-alcoholic fatty
liver disease (NAFLD). PATIENTS AND METHODS: This retrospective study included
207 patients with CHB, 108 with CHC, and 140 patients with NAFLD. The APRI was
calculated as (AST level/upper normal limit for AST)/platelet counts (109/L) *
100. The stage of liver fibrosis in patients with chronic viral hepatitis was
graded using the METAVIR scale. The Kleiner system for grading fibrosis was used
in patients with NAFLD. RESULTS: Bivariate correlation analyses showed that the
APRI was significantly associated with fibrosis scores in patients with CHC (p =
0.2634, p = 0.0059) and NAFLD (p = 0.2273, p = 0.0069), but not in those with CHB
(p = 0.1005, p = 0.1495). Receiver operating characteristic (ROC) curves were
used for assessing the ability of the APRI as a predictor of the absence or
presence of liver fibrosis (fibrosis score of 0 vs fibrosis scores of 1-4). In
patients with CHC, the APRI showed a sensitivity of 72.7% and a specificity of
62.4% for detection of fibrosis (p<0.01). In the NAFLD group, the APRI showed a
sensitivity of 60.0% and specificity of 73.3% for detection of fibrosis (p<0.01).
In patients with CHB, the APRI showed a sensitivity of 55.0% and a specificity of
75.4% for fibrosis (p=NS). CONCLUSIONS: The APRI shows an acceptable accuracy for
the assessment of liver fibrosis in patients with CHC and NAFLD, but not in those
with CHB.
PMID- 22087128
TI - Efficacy of Hepatitis B vaccine in those who lost Hepatitis B surface antigen
during follow-up: Efficacy of HBV vaccine in those who lost HBsAg.
AB - BACKGROUND: The level of HBsAg in some chronic hepatitis B virus (HBV)-infected
individuals may decline over time so that it is not detectable in serum.
OBJECTIVE: To assess the efficacy of HBV vaccine in those who lost their HBsAg
without seroconverssion to anti-HBs antibody. PATIENTS AND METHODS: From April
1993 to December 2008, of 1603 chronic HBV-infected individuals, 34 (22 men and
12 women) became HBsAg-negative in follow-up visits, with no detectable anti-HBs
antibody and HBV DNA in their sera. They received HBV vaccination at 0, 1 and 6
months (case group). Fifty-two subjects (30 men and 22 women) who were negative
for HBsAg, anti-HBs and anti-HBc antibody, received HBV vaccination according to
the said schedule (control group). Anti-HBs antibody was assessed one month after
the last dose of vaccination in the both groups. RESULTS: The mean+/-SD age of
the case and control groups was 38+/-12.7 and 33.4 +/- 8.6 years, respectively (p
= 0.07). The sex distribution between these two groups were similar (p = 0.652).
The mean +/- SD years of follow-up for the case group was 7.6 +/- 4.5 years. Anti
HBs antibody level >= 10 IU/L was found in 8 (24%) subjects in the case group and
in 45 (87%) in the control group (p < 0.001). The mean+/-SD anti-HBs antibody
level in the case group was 68 +/- 32.66 and in the control group 344.6 +/- 38.9
IU/L (p < 0.001). CONCLUSIONS: We found that nearly 24% of chronic HBsAg-positive
subjects who lost their HBsAg responded to HBV and the remaining cases need to be
followed for occult HBV infection.
PMID- 22087129
TI - Idiopathic hypereosinophilic syndrome presenting with liver mass: Report of two
cases: Idiopathic hypereosinophilic syndrome and liver mass.
AB - Herein, we report on two cases of hypereosinophilic syndrome presenting as liver
mass. One patient was a 22-year-old woman presented with fever, upper abdominal
pain, nausea/vomiting and a mass in the right liver lobe. The second patient was
a 54-year-old man who presented with nausea and abdominal pain with significant
weight loss. He had multiple lesions in both liver lobes. Both patients had
eosinophilia that was not attributed to other causes such as allergy or
parasites. The patients were treated with glucocorticosteroid and improved
clinically. Imaging and laboratory abnormalities resolved.
PMID- 22087127
TI - Reversible autonomic dysfunction during antiviral treatment in patients with
chronic hepatitis C virus infection: Anti-HCV therapy and autonomic function.
AB - BACKGROUND: The first clinical sign of chronic hepatitis C virus (HCV) infection
can be one of the various extrahepatic manifestations. During antiviral
treatment, symptoms of HCV-associated neuropathies usually improve, but can also
worsen and lead to discontinuation of anti-HCV therapy. Recently, we have
reported autonomic dysfunction in patients with HCV infection. OBJECTIVES: In the
present prospective study, we analyzed the changes of autonomic function during
anti-HCV treatment. PATIENTS AND METHODS: Cardiovagal autonomic function was
assessed in 22 HCV RNA-positive, treatment-naive patients by determining heart
rate variability (HRV) and baroreflex sensitivity (BRS), at the beginning of
treatment and 12, 24 and 48 weeks of antiviral therapy. interferon alfa-2 and
ribavirin were given according to the guidelines. RESULTS: Both HRV and BRS time
and frequency domain indices decreased after 12 weeks of therapy compared to the
pre-treatment values; then the mean+/-SD values increased significantly by week
24 and continued to improve by week 48 of therapy-253.0+/-156.1 ms before therapy
vs 111.6+/-81.9 at week 12, and 183.4+/-169.6 at week 24 vs 211.6+/-149.1 ms at
week 48 for low-frequency HRV index; p<0.05 for all comparisons). These changes
were independent from the presence of cryoglobulins and from virologic response.
CONCLUSIONS: The first rise followed by reversible autonomic dysfunction during
antiviral therapy may be caused by the immunomodulatory actions of interferon
alfa-2.
PMID- 22087130
TI - The relationship between celiac disease and hepatitis B virus: celiac disease and
HBV.
PMID- 22087131
TI - Occult hepatitis B infection in chronic hemodialysis patients: Comparison of
results and concepts: Occult HBV in chronic hemodialysis patients.
PMID- 22087132
TI - Seroprevalence of anti-HDV Ab and socioepidemiological characteristics among
HBsAg-positive blood donors in Charmahal-o-Bakhtiyari province, Iran: Anti-HDV
among HBsAg-positive blood donors.
PMID- 22087133
TI - Association between hepatitis C virus and oral lichen planus: HCV and oral Lichen
Planus.
PMID- 22087134
TI - HCV and lichen planus: HCV and lichen planus.
PMID- 22087135
TI - Hepatocellular recurrence after orthotopic liver transplantation: Is combination
of alpha-fetoprotein and glypican-3 a reliable marker?: Hepatocellular recurrence
after orthotopic liver trasplantation.
PMID- 22087136
TI - Fibroscan for assessing liver fibrosis: An acceptable alternative for liver
biopsy: Fibroscan: an acceptable alternative for liver biopsy.
PMID- 22087137
TI - HCC, diet and metabolic factors: Diet and HCC.
AB - Hepatocellular carcinoma is the most common primary liver malignancy and is an
international public health concern, constituting one of the most deadly cancers
worldwide. Infection with hepatitis B virus and hepatitis C virus is a major risk
factor for HCC in developed countries. Emerging evidence indicates that there are
other important lifestyle factors that contribute to the international burden of
HCC, such as alcohol consumption, diabetes, obesity, and the intake of aflotoxin
contaminated food. Obesity and diabetes are also likely to be risk factors for
HCC, the most frequent subtype of liver cancer. The chief pathway by which
obesity increases risk involves the association between obesity and nonalcoholic
fatty liver disease (NAFLD). Coffee consumption has been studied extensively and
appears to have a favorable effect on the prevention of liver diseases, including
HCC. One hypothesis suggests that coffee intake lowers serum levels of gamma
glutamyltransferase (GGT), which is associated with a lower incidence of HCC. It
is estimated that more than 80% of HCC cases are attributable to four principal
causes that are avoidable. It is difficult to make dietary recommendations,
because it is unknown whether consuming higher amounts of specific antioxidants
will decrease the risk of developing hepatocellular carcinoma. A diet rich that
is in polyunsaturated fatty acids and, possibly, B-carotene could reduce the risk
of HCC, and high dietary GL is associated with an increased risk independently of
cirrhosis or diabetes.
PMID- 22087138
TI - Single nucleotide polymorphisms of the IL28B and sustained virologic response of
patients with chronic hepatitis C to PEG-interferon/ribavirin therapy: A meta
analysis: Meta-analysis of IL28B.
AB - BACKGROUND: Hepatitis C is a global health problem and represents a major cause
of liver disease and socioeconomic burden. Effective antiviral therapy may
prevent these complications, but the current treatment for patients with chronic
hepatitis C virus (HCV) infection does not produce sustained virologic response.
Therefore, identification of the determinants of response to treatment is a high
priority. A number of host and viral factors have been associated with treatment
outcomes. OBJECTIVES: To assess the associations of single nucleotide
polymorphisms (SNP) of the IL28B and sustained virologic response (SVR) of
patients with chronic hepatitis C to PEG-interferon/ribavirin therapy. MATERIALS
AND METHODS: We searched PubMed, Medline and Cochrane Library, and found 7
eligible papers involved in this study. Then we performed a meta-analysis
comparing the SVR rate at SNP of the IL28B in individuals with PEG
interferon/ribavirin therapy. Meanwhile, the SVR rate between different races and
HCV genotypes was studied. RESULTS: The sustained virologic response rate was
higher in patients with the rs12979860 CC and rs8099917 TT alleles in the IL28B
SNP, comparing with the rs12979860 CT, or TT and rs8099917 TG or GG. Furthermore,
a higher SVR was observed in the Caucasians than in Afro-Americans (OR = 3.85,
95% CI: 3.06-4.83); the percentage of rs12979860 TT genotype was lower in
Caucasians (OR = 0.25, 95% CI: 0.20-0.31) and the percentage of rs12979860 CC
genotype was higher in Caucasians than that of Afro-Americans (OR = 3.45, 95% CI
= 2.68-4.44). Between different HCV genotypes, the SVR was much lower in those
with HCV genotype 1 than those with genotype 2/3 (OR = 0.16, 95% CI: 0.11-0.24).
CONCLUSIONS: IL28B is significantly associated with response to PEG
interferon/ribavirin therapy of patients with chronic HCV infection. Both the
rs12979860 and rs8099917 alleles could be used as independent predictors of the
treatment response. The rs12979860 allele in particular, is more important from
our study. The polymorphism even explains part the difference in response rate
between different ethnic groups and HCV genotypes.
PMID- 22087139
TI - Hepatitis C and hepatitis B seroprevalence and associated risk factors in
hemodialysis patients in Guilan province, north of Iran: HCV and HBV
seroprevalence in hemodialysis patients.
AB - BACKGROUND: Hepatitis C virus (HCV) and hepatitis B virus (HBV) infection are
especially problematic in patients with end-stage renal disease who are
undergoing hemodialysis (HD). OBJECTIVES: To determine the prevalence of HCV and
HBV infection in HD population in Guilan, north of Iran. PATIENTS AND METHODS: In
a cross-sectional study, from May to September 2009, in 11 different hemodialysis
units in Guilan province, North of Iran, clinical data such as age, gender,
duration of dialysis, HBsAg and anti-HCV antibody of 514 HD patients were
recorded. Patients with positive antibodies against HCV were tested for HCV RNA.
RESULTS: From 514 patients, 286 (55.64%) were male. 61 (11.9%) patients were anti
HCV-positive and 31 (50.8%) were HCV PCR-positive. There was significant
relationship between HCV Ab-positivity with gender and HD duration (p < 0.05).
There was significant difference between the mean HD duration in anti-HCV
positive and anti-HCV-negative patients (p < 0.05). Also, significant
relationship was found between HCV RNA-positivity with gender and HD duration (p
< 0.05). Seven (1.4%) patients were positive for HBsAg. Two (0.38 %) were found
positive for both HBsAg and anti-HCV antibody. CONCLUSIONS: There is low a
prevalence of HCV and HBV in HD patients in our region. The rate can be decreased
by HBV vaccination of end-stage renal disease patients before setting chronic HD,
antiviral treatment and isolation of infected individuals.
PMID- 22087140
TI - Assessment of noninvasive liver stiffness in inactive HBsAg carriers by transient
elastography: Fibroscan in inactive HBsAg carriers.
AB - BACKGROUND: Chronic viral hepatitis can be evaluated using invasive or
noninvasive methods. OBJECTIVES: The aim of this study was to evaluate liver
stiffness in inactive HBsAg carriers compared with normal subjects and determine
if it is influenced by viral load in these patients. PATIENTS AND METHODS: We
prospectively evaluated 140 inactive HBsAg carriers and 152 normal subjects
(without any signs or history of liver disease). In all subjects, liver stiffness
was measured by 3 experienced physicians using a FibroScan(r) device (EchoSens,
France) per standard procedures. We excluded patients for whom the SR of liver
stiffness measurements was < 60% and those who had measurements with an IQR >30%.
RESULTS: The mean liver stiffness in inactive HBsAg carriers was 5.6+/-2.1kPa,
significantly higher than in normal subjects (4.8 +/- 1.2 kPa, p = 0.0002). In
16.4% (23) of inactive carriers, liver stiffness exceeded 7 kPa (the cutoff for
significant fibrosis F >= 2). In patients with undetectable viral loads, the mean
liver stiffness was 4.9 +/- 1.2 kPa, significantly lower than in those with
detectable DNA (< 2000 IU/ml) (6.7 +/- 2.7 kPa, p < 0.001). CONCLUSIONS: Inactive
HBsAg carriers have higher liver stiffness values compared with healthy
individuals. Liver stiffness in inactive HBsAg carriers with detectable viral
loads is higher than in those who are aviremic, suggesting that low viral loads
promote fibrosis.
PMID- 22087141
TI - Percutaneous exposure incidents in nurses: Knowledge, practice and exposure to
hepatitis B infection: Percutaneous exposure incidents in nurses.
AB - BACKGROUND: Nurses are at risk of percutaneous exposure incidents (PEIs), which
may lead to serious or even fatal blood-borne infections. OBJECTIVES: To
determine the prevalence of PEIs in the last year, among nurses and to assess
their knowledge about and frequency of safe method of practice in exposure to
blood-borne pathogens (especially, to HBV). MATERIALS AND METHODS: A cross
sectional study in 2008 was conducted on 138 nurses working in general surgery
and obstetrics/gynecology services of Qazvin University of Medical Sciences,
Qazvin, Central Iran. A questionnaire for assessment of risk factors for
contracting HBV infection was completed by nurses. RESULTS: Overall, the
prevalence of needle stick injury (NSI) and direct exposure to body fluids were
52.9% (95% CI: 44.5%-61.3%) and 65.4% (95% CI: 57.4% - 73.8%), respectively.
There was no statistically significant difference between the two studied centers
in terms of sharp injuries; however, the rate of repeated NSI (number per each
year >=3) and mucocutaneous exposures were significantly higher in the general
surgery ward. The overall coverage of vaccination in the two studied centers was
96.3%, but the rate of accurate answers to many questions pertaining to knowledge
and practice were less than 50%. CONCLUSIONS: Nurses are still at significant
risk for developing NSI and mucocutaneous exposure. Continuous educational
programs (especially by highlighting the seriousness of the problem) are
necessary for improving this situation because inadequate education might
increase unsafely practice.
PMID- 22087142
TI - Epidemiological features of patients infected with HCV genotype 4 in Poland:
Epidemiology of HCV genotype 4 in Poland.
AB - BACKGROUND: Hepatitis C genotype 4 (HCV-4) is considered to be rare outside
northern Africa and southern Europe. OBJECTIVES: To describe the epidemiological
characteristics of patients infected with HCV-4 in Poland. PATIENTS AND METHODS:
The study group included 290 patients with HCV-related chronic liver disease and
intravenous drug users with HCV infection recruited in years 2002-2006 in
Podlaskie region, north-eastern Poland. In all cases, HCV infection was confirmed
by HCV-RNA detection by qualitative nested RT-PCR. HCV genotype was determined by
5'UTR sequencing and comparison with known genotype-specific sequences. RESULTS:
HCV 4 was found in 45 (15.5%) of 290 HCV-infected and HCV RNA-positive
individuals. 60% of HCV 4 infections occurred in intravenous drug users; 51% of
HCV 4-infected patients were also HIV-positive. Among 119 patients whose source
of infection was other than drug use, there were 16 (10.5%) HCV 4 cases. Seven
(46%) of 13 HCV 4-positive and HIV-negative patients who received combined
antiviral treatment had sustained viral response. CONCLUSIONS: HCV 4 exists in
eastern Poland, and the infection is frequently related to intravenous drug use
and accompanied by HIV infection. HCV 4 also causes a proportion of non-drug
related HCV infections.
PMID- 22087143
TI - Clinical values of AFP, GPC3 mRNA in peripheral blood for prediction of
hepatocellular carcinoma recurrence following OLT: AFP, GPC3 mRNA for prediction
of HCC.
AB - BACKGROUND: Hepatocellular carcinoma (HCC) is one of the most common malignancies
worldwide. Annually, about 200,000 patients died of HCC in China. Liver
transplantation (LT) holds great theoretical appeal in treating HCC. However, the
high recurrence rate after transplantation is the most important limiting factor
for long-term survival. OBJECTIVES: To assess the value of alpha-fetoprotein
(AFP) messenger RNA (mRNA), Glypican-3 (GPC3) mRNA-expressing cells in the
peripheral blood (PB) for prediction of HCC recurrence following orthotopic liver
transplantation (OLT). PATIENTS AND METHODS: 29 patients with HCC who underwent
OLT with a minimum clinical follow-up of 12 months were included in this
retrospective study. We detected AFP mRNA, GPC3 mRNA-expressing cells in the PB
by TaqMan real-time reverse transcriptase-polymerase chain reaction (RT-PCR), pre
, intra- and post-operatively. The early recurrence of patients was evaluated.
RESULTS: 8 (28%), 15 (52%), and 9 (31%) patients had AFP mRNA detected pre-,
intra-, and post-operatively, respectively. With 12 months of follow-up, HCC
recurred in 7 (24%) patients. Univariate analysis revealed that positive pre- and
post-operative AFP mRNA, TNM stage as well as vascular invasion were significant
predictors for the HCC recurrence. Multivariate analysis revealed that being
positive for AFP mRNA pre-operatively remained a significant risk factor for HCC
recurrence after OLT. GPC3 mRNA was expressed in all PB samples. There was no
significant difference in the expression levels of GPC3 mRNA between the HCC and
control groups. There were no significant differences in GPC3 mRNA expression
values between those patients with and without tumor recurrence. CONCLUSIONS: The
pre-operative detection of circulating AFP mRNA-expressing cells could be a
useful predictor for HCC recurrence following OLT. GPC3 mRNA-expressing cells in
PB seem to have no diagnostic value.
PMID- 22087144
TI - Seroprevalence of hepatitis B virus among hemodialysis patients in Bushehr
province, southern Iran: HBV seroprevalence in hemodialysis patients.
AB - BACKGROUND: Hepatitis B virus (HBV) infection is still reported from adult
hemodialysis units. OBJECTIVES: To determine the prevalence of anti-HBs antibody
in hemodialysis patients and the correlation between levels of anti-HBs antibody
with other factors. PATIENTS AND METHODS: HBsAg, anti-HBs and anti-HBc antibodies
level in 119 hemodialysis patients were evaluated by enzyme-linked immunosorbent
assay. RESULTS: Seroconversion (anti-HBs antibody >10 IU/L) was found in 22
patients. Minimum protective antibody level was found in patients aged >=60
years. Statistically significant correlation was not found between anti-HBs
antibody and gender. Ten (8.4%) patients had abnormal ALT and/or AST. Prevalence
of HBsAg, anti-HBc antibody, HBeAg and anti-HBe antibody were found in 8 (6.72%),
24 (25.16%), 2 (1.68%) and 3 (2.52%) patients, respectively. CONCLUSIONS:
Periodic assessment of anti-HBs antibody level is strongly recommended in
patients undergoing hemodialysis.
PMID- 22087145
TI - Primary hepatic diffuse large B cell lymphoma: A case report: Primary hepatic
diffuse large B cell lymphoma.
AB - In this report we describe a rare case of primary hepatic diffuse large B cell
lymphoma in a 67-year-old man who presented with abdominal pain, deteriorated
liver function, elevated lactate dehydrogenase. He was found to have diffuse
nodular intrahepatic space-occupying lesion with normal alpha-fetoprotein and
carcino-embryogenic antigen. The final diagnosis was made by percutaneous biopsy
of the liver as the clinical manifestation not consistent with common liver
diseases. The patient was treated with R-CHOP (rituximab, cyclophosphamide,
doxorubicin, vincristine and prednisone) without surgical resection with a
favorable response. However, serious complication was occurred after 4 cycles of
chemotherapy, and the patient finally died of concurrent acute respiratory
distress syndrome.
PMID- 22087146
TI - Pernicious anemia in case of chronic viral hepatitis infection: Pernicious anemia
and chronic viral hepatitis.
PMID- 22087147
TI - When calculation of minimum sample size is not justified: When sample size
calculation is not justified.
PMID- 22087148
TI - Hydroxyurea: A useful adjunct to the standard antiviral therapy in chronic
hepatitis B.
PMID- 22087149
TI - Hepatitis A virus infection: Is it an important hazard to public health?: hazards
of HAV for public health.
PMID- 22087150
TI - The optimal dose of ribavirin for chronic hepatitis C: From literature evidence
to clinical practice: The optimal dose of ribavirin for chronic hepatitis C.
AB - Approximately 170 million people worldwide are chronically infected by hepatitis
C virus (HCV), which can result in progressive hepatic injury and fibrosis,
culminating in cirrhosis and end-stage liver disease. The benchmark therapy for
untreated HCV patients is a combination of pegylated interferon-alpha (PEG-IFN)
and ribavirin (RBV). Several studies have suggested several potential new
approaches to improve HCV therapy-optimization of the dose and duration of RBV
therapy, accompanied by careful clinical management, is crucial in ensuring the
greatest likelihood of a long response to therapy. RBV causes serious side
effects, but in clinical practice, there are no alternatives for the treatment of
HCV infection. Based on our results, weight-based doses of RBV are advantageous
for genotype 1-infected patients, but its success in genotype 2- and 3-infected
patients is unknown, particularly for shorter treatment durations.
PMID- 22087151
TI - The impact of Hepatitis C virus infection on kidney transplantation outcomes: A
systematic review of 18 observational studies: The impact of HCV on renal
transplantation.
AB - BACKGROUND: Hepatitis C virus (HCV) infection occursin 0% to 51% of dialysis
patients, and manyHCV-positive patients are urged to undergo kidney
transplantation. However, the outcome of renal transplantation in HCV-positive
recipients is unknown. OBJECTIVES: Our review aimed to address the outcomesof
renal transplantation recipients (RTRs)following kidney transplantation.
MATERIALS AND METHODS: We selected studies that used the adjusted relative risk
(aRR) and 95% CI of all-cause mortality and graft loss in HCV-positive compared
with HCV-negative RTRs as study endpoints. Cox proportional hazard analysis was
usedin all studies to calculate the independent effects of HCV infection on RTR
outcomes. Sixteen retrospective cohort studies and 2 clinical trials were
selected for our review. Sixteen studies were related to patient survival, and 12
examined graft survival. RESULTS: The combined hazard ratio in HCV-infected
recipients was 1.69-fold (1.33-1.97, p < 0.0001) and 1.56 times (1.22-2.004, p <
0.0001) greaterthan that of HCV-negative recipients for mortality and graft loss,
respectively. CONCLUSIONS: Although HCV-infected RTRs have worseoutcomes than HCV
negative RTRs,kidney transplantation is the preferred treatment for patients with
HCV infection and end-stage renal disease.
PMID- 22087152
TI - Risk factors of hepatitis B virus infection in Turkey: A population-based, case
control study: Risk Factors for HBV Infection.
AB - BACKGROUND: Although the World Health Organization (WHO) classifies Turkey as a
country with a moderate-high prevalence of hepatitis B virus (HBV) infection,
there is little data on HBV transmission in this country. OBJECTIVES: To identify
risk factors for HBV infection, we performed a retrospective case-control study
between January 2007 and December 2009. PATIENTS AND METHODS: Acute HBV patients
and population controls were selected, and data from these groups were analyzed
by logistic regression method. RESULTS: The study included 129 patients with
acute HBV infection and 219 controls. Hemodialysis (OR:8.2, 95% CI: 4.17-16.61, p
< 0.05), having an HBsAg (+) spouse (OR: 4.3, 95% CI:2.17-8.53, p < 0.05), living
with an HBsAg (+) parent(s) (OR: 3.25, 95% CI:1.73-6.12, p < 0.05), and being
male (OR: 1.34, 95% CI: 0.82-2.21, p < 0.05) were independent risk factors that
were potentially associated with HBV infection. More than one-third of female
patients had a significantly higher risk (34.5% vs. 13.5%, p < 0.05) of acquiring
HBV from their sexual partners. Hemodialysis was the most frequent risk factor
(46.9% vs. 20%, x (2) = 10.45, p < 0.05) for patients aged over 31 years, and
living with HBsAg (+) parents was a significantly higher risk factor (28.8% vs.
10.2%, x (2) = 6.15, p < 0.05) that is more likely to lead to HBVin patients aged
under 30 years. CONCLUSIONS: This study suggests that persons in Turkey who
undergo hemodialysis are at high risk for acquiring HBV. Having an HBsAg (+)
spouse (sexual transmission) or living with HBsAg (+) parents (household
transmission) are significant risk factors for HBV transmission. Vaccination
appears to be better preventive method against the spread of HBV.
PMID- 22087153
TI - Hepatitis B seromarkers, hepatitis C antibody, and risk behaviors in married
couples, a bordered province of western Thailand: Hepatitis B seromarkers,
hepatitis C antibody, and risk behaviors.
AB - BACKGROUND: Married couples constitute a target group for reducing the risk of
infections with hepatitis B virus (HBV) and hepatitis C virus (HCV). OBJECTIVES:
This study attempted to assess HBV seromarkers, anti-HCV-positive rates, and risk
behaviors among married couples in a bordered province of western Thailand.
MATERIALS AND METHODS: A cross-sectional study of 114 married couples aged 15-44
years was performed. Approximately 25-30 married couples were randomly selected
from 4 districts in a province of western Thailand. All study participants who
participated voluntarily were interviewed using structured questionnaires. Their
blood specimens were collected to screen for HBV seromarkers (HBsAg, anti-HBs,
and anti-HBc) and anti-HCV. RESULTS: Approximately 21.1% of husbands and 2.6% of
wives had a history of extramarital sex without using a condom; 18.4% of husbands
and 4.4% of wives had tattoos; and 18.4% and 3.5%, respectively, consumed alcohol
regularly. Additionally, 4.4% of husbands and 2.6% of wives had a history of
sexual contact before marriage. In the serological study, 10.5% of husbands and
5.3% of wives were HBsAg-positive, and 1.8% of husbands and 0.9% of wives were
anti-HCV-positive. Among HBsAg-positive subjects, 15/18 had spouses who were
positive for any HBV marker, and 1 had a spouse who was HBsAg- and anti-HBc
positive. Three participants were positive for anti-HCV (2 males and 1 female).
One anti-HCV-positive male had a history of regular alcohol consumption and
extramarital sex without a condom, and another had a history of intravenous drug
use. The anti-HCV-positive female had a history of sexual contact before
marriage. CONCLUSIONS: This study found high percentages of risk behaviors and
HBsAg positivity among married couples in a bordered province of western
Thailand, especially in husbands. These findings support the evidence of HCV
transmission via sexual contact and intravenous drug use.
PMID- 22087154
TI - Platelet count/bipolar spleen diameter ratio for the prediction of esophageal
varices: The special Egyptian situation: Noninvasive prediction of esophageal
varices.
AB - BACKGROUND: Esophageal variceal hemorrhage is a devastating complication of
portal hypertension that occurs in approximately one-third of cirrhotic patients.
OBJECTIVES: We assessed the value of the platelet count/ bipolar spleen diameter
ratio as a noninvasive parameter for the prediction of esophageal varices (EVs)
in Egyptian cirrhotic patients. PATIENTS AND METHODS: Laboratory and
ultrasonographic and imaging variables were prospectively evaluated in 175
patients with liver cirrhosis. All patients underwent upper gastrointestinal
endoscopy. Patients with active gastrointestinal bleeding at the time of
admission were excluded. RESULTS: The platelet count/ bipolar spleen diameter
ratio in patients with EVs was significantly lower than in patients without EVs.
In an analysis of the receiver operating characteristic curves (ROCs), we
calculated an optimal cutoff value of 939.7 for this ratio, which gave 100%
sensitivity and negative predictive values, 86.3% specificity, a 95.6% positive
predictive value, and an area under the ROC curve of 0.94 +/- 0.02, reflecting
its overall diagnostic accuracy. These findings were extended to a subset
analysis of compensated cirrhotic patients. CONCLUSIONS: The platelet count/
bipolar spleen diameter ratio has excellent accuracy in the noninvasive
assessment of EVs in patients with compensated or decompensated liver cirrhosis.
It is easy to calculate and can lower the financial and sanitary burdens of
endoscopy units, especially in developing countries.
PMID- 22087155
TI - Commentary on: A study of genotype, mutants and nucleotide sequence of HBV in
Pakistan: Genotype, mutants, and nucleotide sequence of HBV.
PMID- 22087156
TI - New potential instrument to fight hepatocellular cancer by restoring p53.
PMID- 22087158
TI - Correlation between HBsAg quantitative assay results and HBV DNA levels in
chronic HBV.
AB - BACKGROUND: Viral load has been used to diagnose and monitor patients who are
being treated for chronic hepatitis B (CHB). The Diagnosis methods are molecular
based and expensive. Quantitation of hepatitis B surface antigen (HBsAg) by
automated chemiluminescent micro-particle immunoassay has been proposed to be a
surrogate marker. Quantitating HBV DNA levels molecularly is expensive; thus, a
cheaper laboratory test as a surrogate diagnostic marker might simplify our
management. OBJECTIVES: We determined whether quantitative HBsAg levels correlate
with HBV DNA levels in CHB. PATIENTS AND METHODS: In this cross-sectional study,
all CHB patients who were referred by a gastroenterologist to undergo
quantitative HBV DNA assay in a qualified laboratory in Mashhad, Iran in 2009
were enrolled, and blood samples was obtained. Patients who were positive for
antibodies to HCV and HDV were excluded. HBV DNA was measured by real-time
polymerase chain reaction, and serum HBsAg was quantified
byelectrochemiluminescence assay (Roche Diagnostic). RESULTS: Of 97 patients, 70
were male (72%) and 27 were female (28%); the mean age was 39 +/- 11 years.
Eighty-seven percent wasHBeAg-negative. By Mann-Whitney test,HBSAg titer differed
significantly between HBeAg-positive and -negative patients (P = 0.001), as did
HBV DNA levels (P = 0.009). By Spearman test, there was no significant
correlation between HBsAg and HBV DNA levels (P= 0.606 and r = 0.53).
CONCLUSIONS: HBeAg-negative patients have higher levels of HBsAg and lower levels
of HBV DNA. By electrochemiluminescence assay,HBsAg has no significant
correlation with HBV DNA levels in CHB with predominant genotype D and HBeAg
negativity in Iran.
PMID- 22087157
TI - Liver complications in celiac disease.
AB - Celiac disease (CD) is characterized by sensitivity to gluten, which is found in
dietary wheat, barley, and rye. Many extra-intestinal manifestations have been
described in association with CD. Liver disease and CD share widespread risk
factors. Liver disorders such as autoimmune hepatitis, elevation of liver enzyme
levels, primary biliary cirrhosis, nonspecific hepatitis, primary sclerosing
cholangitis, and nonalcoholic fatty liver disease have been reported in patients
with CD. In this review, we provide information regarding liver disorders that
may be found in association with celiac disease and the effect of the treatment
of CD on these disorders.
PMID- 22087159
TI - The prevalence of hepatitis B antigen-positivity in the general population of
Mashhad, Iran.
AB - BACKGROUND: Hepatitis B virus (HBV) infection is a significant health problem
throughout the world. OBJECTIVES: We aimed to evaluate the prevalence of
hepatitis B antigen (HBsAg) seropositivity in the general population of Mashhad,
northeast of Iran. PATIENTS AND METHODS: One thousand six hundred fifty-two
healthy individuals aged 1 to 90 (Mean; 29.1 +/- 18.5) from all 12 municipalities
of Mashad were selected randomly by multistage cluster sampling. Informed consent
was obtained, and demographics and medical histories were collected. Twice
reactive samples were considered HBsAg-positive by ELISA. Chi-square test and
logistic regression were applied to analyze the factors related to HBsAg
positivity using SPSS 16.0. RESULTS: The overall prevalence of HBsAg positivity
was 1.39% (95% CI, 0.91% to 2.12%); 2.0% and 0.89% among men and women,
respectively (p = 0.054). Infection was more prevalent in older (p = 0.019) and
married persons (p = 0.001), Afghanis (p = 0.046), and those with a history of
traditional cupping (p = 0.005). There was no association between HBV infection
and gender; literacy; income; employment; family size; or history of blood
transfusion, dental procedure, surgery, hospitalization, or tattooing. By
logistic regression analysis, age was the only variable that had a significant
association with infection (p = 0.026). CONCLUSION: It seems that the prevalence
of HBV infection in Mashhad is slightly lower than that of the nation.
PMID- 22087160
TI - The HBV DNA cutoff value for discriminating patients with HBeAgnegative chronic
hepatitis B from inactive carriers.
AB - BACKGROUND: Patients with HBeAg-negative chronic hepatitis B (CHB) has a
significantly different prognosis than inactive carriers; there is however, no
reliable strategy for accurately differentiating these two disease conditions.
OBJECTIVES: To determine a strategy for discriminating patients with HBeAg
negative CHB from inactive carriers. MATERIALS AND METHODS: Consecutive inactive
carriers (i.e. HBeAg-negativity, anti-HBe-positivity, normal ALT levels, and HBV
DNA < 2000 IU/mL) were enrolled. HBV reactivation was defined as the elevation of
the HBV DNA level to >= 2000 IU/mL. Patients were classified into true inactive
carriers when their HBV DNA levels remained at < 2000 IU/mL or false inactive
carriers when their HBV DNA levels increased to >= 2000 IU/mL during the first
year. RESULTS: The Mean +/- SD age of 208 inactive carriers (140 males) was 47.7
+/- 12.6 years. The Mean +/- SD serum ALT and HBV DNA levels were 22.8 +/- 8.6
IU/L and 360 +/- 482 IU/mL, respectively. HBV reactivation developed in 41
(19.7%) patients during the first year. Baseline HBV DNA and ALT levels differed
significantly between true inactive and false inactive carriers. The AUROCs of
the baseline ALT and HBV DNA levels for predicting a false inactive carrier were
0.609 and 0.831, respectively. HBV reactivation developed more often in patients
with a baseline HBV DNA level of >= 200 IU/mL than in those with a baseline HBV
DNA level of < 200 IU/mL during a Mean +/- SD follow-up of 622 +/- 199 days.
CONCLUSIONS: The HBV DNA level was useful for discriminating patients with HBeAg
negative CHB from true inactive carriers. The follow-up strategies applied to
inactive carriers need to vary with their HBV DNA levels.
PMID- 22087161
TI - The optimal threshold: Baseline serum hepatitis B virus DNA and alanine
transaminase levels can predict the 2-Year on-treatment virological response to
lamivudine.
AB - BACKGROUND: HBV is still a worldwide health problem. Annually about 0.5-1.2
million patients die of HBV-related diseases such as liver cirrhosis and
hepatocellular carcinoma. Lamivudine (LAM) is the first nucleoside analog used in
the treatment of chronic hepatitis B. As LAM has been clinically used for a long
time, increasing clinical experience has been achieved showing that the
resistance mutation rate is relatively high. Numerous studies have also focused
on the predictive factors of long-term efficacy of LAM treatment. OBJECTIVES: To
determine the optimal cutoff values of baseline hepatitis B virus (HBV) DNA and
alanine transaminase (ALT) levels as predictors for the long-term efficacy of LAM
treatment in patients with chronic hepatitis B. PATIENTS AND METHODS: A total of
163 HBeAg-positive chronic hepatitis B patients receiving LAM treatment were
recruited into the present study. Logistic regression analysis was performed to
find out the independent predictors of 2-year on-treatment virological response
among the baseline parameters. The receiver operating characteristic (ROC) curve
was used to evaluate the optimal cutoff values of these independent predictors.
The accuracy of the prediction was assessed using the area under curve (AUC) and
optimal cutoff values were determined through maximizing the Youden's index.
RESULTS: After 2 years of LAM treatment, undetectable HBV DNA was maintained in
114 (69.9%) patients. LAM-related resistance mutation (YMDD mutation) was
detected in 45 (27.6%) patients. Logistic regression analysis indicated that the
baseline ALT and HBV DNA levels were the independent predictors of the efficacy.
ROC curve analysis suggested the integration parameter derived from the baseline
ALT and HBV DNA levels had the maximal predictive value for a 2-year on-treatment
virological response. The optimal cutoff values of ALT and HBV DNA were 220 IU/L
and 8.2 log10 copies/mL, respectively. CONCLUSIONS: The incidence of LAM
resistant mutations in HBeAg-positive chronic hepatitis B patients may be
significantly reduced and long-term efficacy improved when the baseline ALT was
greater than 220 IU/L and HBV DNA was less than 8.2 log10 copies/mL.
PMID- 22087162
TI - The effect of desacetyluvaricin on the expression of TLR4 and P53 protein in Hepg
2.2.15.
AB - BACKGROUND: Previous studies suggest that annonaceous may cause permeability
glycoprotein (P-gp) function to abate, leading to cell apoptosis. It has also
been reported that annonaceous acetogenins affect hepatocellular carcinoma (HCC)
cells in the G1 phase, leading to apoptosis. Desacetyluvaricin (Des), a new type
of annonaceous acetogenin monomer, has a significant effect on HCC, with few side
effects. OBJECTIVES: To investigate the effect of Des on the expression of Toll
like receptor 4 (TLR4) and P53 protein in HCC. MATERIALS AND METHODS: HCC
HepG2.2.15 cell was cultured by routine method. HepG2.2.15 cells were divided
into three groups: control group, treated with Des and DDP (cisplatin) which were
examined by immunofluorescence flow cytometry for expression of TLR4 and P53.
RESULTS: TLR4 was expressed by more cells in the Des group than in the cisplatin
or serum-only groups (71.94%, 42.64%, and 37.16%, respectively; Des vs.cisplatin:
p < 0.05; Des vs. serum only: p < 0.05), with no difference between the cisplatin
and serum-only groups (p > 0.05). P53 was expressed by more cells in the Des and
cisplatin groups than in the serum-only group (32.6%, 31.5% and 3.3%,
respectively; Des vs. serum only, p < 0.05; cisplatin vs. serum only, p < 0.05),
with no difference between the Des and cisplatin groups (p > 0.05). CONCLUSIONS:
Des increases TLR4 and P53 expression in HCC cells. Improved immune recognition
by the former effect and induction of apoptosis by the latter could be the
mechanisms of Des's clinical effects on HCC.
PMID- 22087163
TI - Hepatitis B and C among women with illegal social behavior in Isfahan, Iran:
Seroprevalence and associated factors.
AB - BACKGROUND: In Iran, there is limited evidence on the prevalence of hepatitis B
and C viruses (HBV and HCV) among females who engage in illegal sexual behavior.
OBJECTIVES: To determine the prevalence of HBV and HCV infections and their
associated factors in this population in Isfahan-Iran. PATIENTS AND METHODS: In
this cross-sectional study, 100 females who engaged in illegal sexual behavior
during 2009-2010 in Isfahan were recruited from welfare to the DIC for women, and
referrals were made among those who knew others who engaged in prostitution.
Markers for HBV and HCV-Ab were measured by ELISA, and recombinant immunoblot
assay was used for confirmation of HCV infection. Also, a questionnaire on
demographics and prostitution-associated risk data in a face-to-face interview
was completed for each participant. Chi-square and multivariate logistic
regression models were used for data analysis. RESULTS: Of the 100 samples
collected, 91 were sufficient for testing. The mean age and time spent in sex
work were 30.84 +/- 9.34 years and 36 +/- 28.5 months, respectively. HBsAg was
detected in 1 (1.1%), anti-HBc in 4 (4.4%), anti-HBs in 60 (65.9%), and HCV Ab in
9 (9.9%) subjects. The evidence of vaccination was seen in 54 subjects (59.3%).
There were no significant differences in the prevalence of HBV or HCV infection
by estimated risk factors, and there was no independent risk factor for these
infections. CONCLUSIONS: The high prevalence of HCV infection in this study
indicates the need to implement preventive interventions for female sex workers
and, perhaps more importantly, to involve their male clients.
PMID- 22087165
TI - Commentary on: Aspartate transaminase to platelet ratio index (APRI): A simple
noninvasive index assessing liver fibrosis in patients with chronic liver
diseases.
PMID- 22087164
TI - Increased liver stiffness in extrahepatic cholestasis caused by
choledocholithiasis.
AB - BACKGROUND: Extrahepatic cholestasis that is caused by benign and malignant
diseases has been reported to increase liver stiffness (LS), as measured by
transient elastography (TE). OBJECTIVES: The aim of this study was to evaluate LS
in patients with extrahepatic cholestasis due to choledocholithiasis before and
after endoscopic sphincterotomy and stone removal. PATIENTS AND METHODS: LS was
measured by TE (Fibroscan) in patients with extrahepatic cholestasis that was
caused by choledocholithiasis before and 1 month after endoscopic sphincterotomy
and successful stone removal. RESULTS: We studied 12 patients (7 females, 5
males), aged 36 to 76 years (mean age 57.1 +/- 11.6 years), with extrahepatic
cholestasis that was caused by choledocholithiasis. LS was increased in all
patients (range: 6.2-18.4 kPa; mean: 8.9 +/- 3.5 kPa) before endoscopic therapy.
Successful biliary drainage was effected by sphincterotomy and stone removal in
all patients, which led to a significant decline in LS to 3.9-8.1 kPa (Mean: 5.6
+/- 1.2 kPa; p < 0.001) within a mean observation time of 29 days. The decrease
in LS values correlated significantly with a decline in serum total bilirubin
levels (r = 0.691; p < 0.0001). CONCLUSIONS: Extrahepatic cholestasis due to
choledocholithiasis increases LS and should be excluded before assesing liver
fibrosis by transient elastography.
PMID- 22087166
TI - Commentary on: Noninvasive assessment of liver fibrosis using aspartate
transaminase to platelet ratio index (APRI) in adult patients with chronic liver
disease.
PMID- 22087167
TI - Is the aspartate transaminase to platelet ratio index adequate for the assessment
of liver fibrosis in patients with chronic liver disease?
PMID- 22087168
TI - Liver fibrosis in patients with chronic liver diseases: are laboratory tests
useful to diagnosis?
PMID- 22087169
TI - Rosuvastatin and chronic hepatitis C.
PMID- 22087170
TI - IL28B SNP genotyping among Iranian HCV-infected patients: A preliminary report.
PMID- 22087171
TI - The necessity of booster vaccination after neonatal hepatitis B vaccination.
PMID- 22087172
TI - Blood safety concerns in the Eastern Mediterranean region.
AB - Blood transfusion is a life-saving component of health care systems.
Nevertheless, it can also be a quick and easy method of exposing patients to
risks, particularly the transmission of infectious agents to recipients. Despite
substantial improvements in the safety of transfusion services worldwide, the
presence of paid and replacement blood donors are still of cause concern for
ensuring sustainable safe blood donations. Although the Eastern Mediterranean
region consists of a heterogeneous group of countries that vary in their levels
of development, they all share common concerns regarding blood safety. In the
region, concerns regarding the spread of Hepatitis B and C through blood
transfusion continue to exist. Therefore, there is an urgent need for further
improvements in both organization and safety measures of blood transfusion
activities in the region. Although establishing a centralized blood transfusion
system might not be achievable in the short term in some of the countries in the
region, the implementation of centralized test kit procurement, data collection,
and donation testing could be considered feasible approaches.
PMID- 22087173
TI - Role of living donor liver transplantation in the treatment of hepatitis C virus
infection.
AB - Hepatitis C virus (HCV) infection is one of the most common indications for liver
transplantation worldwide. Because of the existing organ shortage, adult-to-adult
living donor liver transplantation (LDLT) has become an important method of
expanding the donor pool to meet the ever-increasing need. However, despite
advantages such as the quality of the hepatic graft and the timing of the
transplant, the exact role of LDLT in the treatment of HCV is still unclear. In
this review, we aim to address some of these issues in an effort to highlight
both the advantages and disadvantages, as well as to identify the main
challenges, of using LDLT for treating patients with HCV infection.
PMID- 22087174
TI - Cytogenetic methods for detection of oxidative stress and evaluation of
antioxidant therapy in hepatitis C infection.
AB - The plasma of patients with hepatitis C contains chromosome-damaging substances,
the so-called "clastogenic factors" (CFs), as this is the case for other chronic
inflammatory diseases and after radiation exposure. These endogenous clastogens,
formed as a consequence of increased superoxide production by inflammatory cells,
can be detected with cytogenetic methods, as they are used for exogenous
clastogens. The long-lived, autosustained DNA-damaging effects of CFs are risk
factors for the development of cancer and leukemia. In hepatitis C, the highest
clastogenic scores has been observed in patients with hepatocellular carcinoma.
In agreement with the link to inflammation, clastogenic score are correlated with
necro-inflammatory scores in liver biopsies. Antioxidant therapy with a powerful
superoxide scavenger resulted in normalization of clastogenic scores and
significant decreases in aminotransferase levels, but did not influence the virus
load. Preliminary results of our study on a limited number of patients suggest
that pre-treatment with antioxidants may improve the outcome of
interferon/ribavirin treatment. A comparison of a three-month treatment with
either interferon alone or the antioxidant alone, yielded similar results for
reduction of ALT levels, but only complete normalization of clastogenic scores
for the antioxidant. Further studies have to be conducted to see whether a
combination of an antiviral agent with an appropriate antioxidant would allow to
reduce interferon and its side effects.Combination of antioxidants with IFN/RIBA
was also reported by other authors with discordant results. The CF-test can be
useful in clinical trials for the choice of the appropriate antioxidant.
PMID- 22087175
TI - Should a booster dose be administered in children after mass immunization for
hepatitis B?
AB - BACKGROUND: Hepatitis B virus infection is a global health problem and vaccine
preventable disease, but the duration of the effects of HBV vaccination in
infants is unknown. OBJECTIVES: The aim of this trial, which comprised children
who had received 3 doses as part of the universal HBV immunization program and no
additional doses, was to investigate anti-HBs titers and HBsAg status after 9
years. PATIENTS AND METHODS: We performed a descriptive, cross-sectional field
research study. The study sample, based on sociodemographics and minimum
seroprevalence, was analyzed based on 10.00% +/- 1.50 (95% confidence interval)
(1150 individuals); trial was realized in a total of 1279 children (623 females
and 656 males). Anti-HBs titers were measured by micro-EIA (Dia Sorin-Italy);
titers < 10 IU/mL were negative, 10-49 IU/mL were low-positive, and > 50 IU/mL
were high-positive. For anti-HBs titers below protective levels, HBsAg was
measured by micro-EIA. RESULTS: In approximately half of the children (48.5% in
those living in semiurban areas and 42.3% in urban areas), antibody titers were
below protective levels. CONCLUSIONS: Mass HBV vaccination, which was implemented
in Turkey in 1998, significantly decreases HBsAg positivity in childhood.
Nevertheless, it might be necessary to administer a booster dose after 8-9 years
in children, especially those in low socioeconomic areas or in whom
irregular/insufficient immunization is suspected.
PMID- 22087176
TI - Protective effect of intermittent clamping of the portal triad in the rat liver
on liver ischemia-reperfusion injury.
AB - BACKGROUND: Intermittent clamping (IC) of the portal triad is an effective method
of protecting the liver from ischemia-reperfusion injury (IR). In clinical
practice, this method is employed during a resection, but its mechanism is still
not clear. OBJECTIVES: To evaluate the effect of IC on rat liver and determine
its mechanisms. MATERIALS AND METHODS: Wistar rats were submitted to 60-min IC
(cycles of 12-min clamping followed by 4-min reperfusion), and the samples were
collected after 1, 6, and 72 hrs of reperfusion. We determined the serum activity
of alanine aminotransferase (ALT), and measured the concentration of TNF-alpha,
malondialdehyde (MDA) and myeloperoxidase (MPO) in liver homogenates. The
apoptosis of hepatocytes was evaluated immunohistochemically. RESULTS: When
compared to the IR rats, the activity of ALT decreased in the IC group in all
periods of observation (the highest decrease of ~48% after 1 hr of reperfusion).
When compared to the IR group, a statistically significant decrease (p < 0.05) in
the TNF-alpha concentration (~33%) in the IC rats occurred only after 1 hr of
reperfusion, and it was accompanied by a decrease in the MPO concentration after
1 and 6 hrs of reperfusion. IC reduces the effects of reactive oxygen species
(ROS) activity, which has been confirmed by a statistically significant decrease
in MDA concentration by 25%-35% in all studied periods. The limitation of
hepatocytes apoptosis due to IC occurs in the early (~26%; p < 0.05) and late
(~45%; p < 0.01) phases of reperfusion. CONCLUSIONS: The use of IC in early phase
of reperfusion brings about a decrease in TNF-alpha release, which can be related
to liver injury due to neutrophil infiltration and apoptotic cell reduction. It
seems that the reduction of lipid peroxidation may also limit the liver injury.
PMID- 22087177
TI - Non-alcoholic fatty liver disease and correlation of serum alanin
aminotransferase level with histopathologic findings.
AB - BACKGROUND: Non-alcoholic fatty liver disease (NAFLD) has been recognized as the
most common cause of chronic liver disease worldwide. It occurs in patients who
do not consume alcohol in large amounts. Alanine aminotranferase (ALT) and
aspartate aminotransferase (AST) are indicators of hepatocellular injury.
OBJECTIVES: To determine correlation between histopathologic specifications of
NAFLD in patients with little or no history of alcohol consumption and the serum
level of ALT. PATIENTS AND METHODS: In a cross-sectional study carried out in two
gastroenterology and hepatology clinics in Tehran, Iran, the medical records of
those who had undergone liver biopsies between years 2005 and 2009 were reviewed.
Clinical and laboratory information of biopsy-proven cases of NAFLD were obtained
from 147 eligible medical records. The histopathologic, demographic, and
laboratory data of the participants were also collected. Two groups of patients
according to their serum ALT level (cut-point of 35 U/L) were defined. The
quantitative pathologic grade of the biopsy specimens was determined based on
Brunt scoring system. RESULTS: We studied 147 NAFLD patients including 127 men
(86.4%) and 20 women (13.6%) with a mean +/- SD age of 41.4 +/- 11.2 years.
Considering serum ALT, the mean +/- SD quantitative grade of hepatosteatosis was
1.50 +/- 0.67 and 1.74 +/- 0.73 (p=0.136); advanced fibrosis (consisted of grade
III and cirrhosis) was found in 4.5% (1/22) and 5.6% (7/125) of patients
(p=0.327). CONCLUSIONS: We found that using the cut-off value of 35 U/L for serum
ALT level, it has little contribution to predict NAFLD severity.
PMID- 22087178
TI - Prevalence of hepatitis E virus and porcine caliciviruses in pig farms of Guizhou
province, China.
AB - BACKGROUND: Hepatitis E virus (HEV) and caliciviruses are enteric pathogens of
humans and animals, and pigs have been considered an important reservoir of these
viruses. OBJECTIVES: The aim of this study is to determine the infection rates of
HEV and caliciviruses (sapovirus [SaV] and norovirus [NoV]) in different age
groups of pigs in Guizhou province, China, and characterize the strains that are
prevalent in this mountainous area. MATERIALS AND METHODS: A total of 209 stool
samples from healthy pigs of different ages were collected from 6 pig farms in
Guizhou province from May to June 2009 and tested for HEV, SaV, and NoV by
reverse-transcription polymerase chain reaction (RT-PCR). RESULTS: The overall
prevalence of porcine HEV and porcine SaV was 6.7% (15/209) and 1.0% (2/209),
respectively. No NoV was detected. The prevalence rates of porcine HEV infection
were 15.4% in piglets aged < 1 mon (4/26), 6.8% in piglets aged between 1 and 4
mon (3/44), 12.5% in piglets aged ~ 4 mon (6/48), and 1.1% in sows aged between 6
and 14 mon (2/91). Porcine SaV was detected only in piglets (7.7%, 2/26). All 10
HEV isolates belonged to genotype 4, clustering with a human HEV strain
(AF103940) from an adjacent province. CONCLUSIONS: This is the first report on
the existence of porcine SaV in swine in Guizhou province, China. The clustering
of the porcine HEV isolates with a human strain suggests cross-species
transmission between swine and humans in this area.
PMID- 22087179
TI - The effects of milk thistle on hepatic fibrosis due to methotrexate in rat.
AB - BACKGROUND: Extracts of milk thistle (MT), Silybum marianum, have been used as
medical remedies since the time of ancient Greece. Methotrexate is a potentially
hepatotxic drug. OBJECTIVES: To clarify the hepatoprotective effects of MT on
methotrexate. MATERIALS AND METHODS: From January 2010 to April 2010, 30 male
rats were recruited into three 10-rat subgroups in Tabriz University of Medical
Sciences. Normal saline was injected intraperitoneally in the first group (A; the
controls); intraperitoneal methotrexate plus oral MT extract were administered to
the second group (B) and intraperitoneal methotrexate alone was given to the
third group (C). Pre- and post-interventional measuring of serum parameters were
carried out every 15 days. After six weeks, the rats were decapitated and
histopathological evaluation of liver was done. RESULTS: Serum liver enzymes
(AST, ALT), alkaline phosphatase, total and direct bilirubin, creatinine and BUN
were measured on days 0, 15, 30, 45. They were significantly higher in the group
C, comparing with other two groups. Serum albumin was the least in group C
animals as well. There were no significant differences between groups A and B.
The mean+/-SD fibrosis score using semi-quantitative scoring system (SSS) was
1.25+/-0.46, 1.40+/-0.52 and 6.70+/-0.82, in groups A, B and C, respectively
(p<0.001). CONCLUSIONS: MT extract can effectively prevent methotrexate-induced
liver dysfunction and fibrosis in rats.
PMID- 22087180
TI - Association of interleukin 18 gene promoter polymorphisms with HBV recurrence
after liver transplantation in Han Chinese population.
AB - BACKGROUND: There is growing evidence suggesting that interleukin-18 (IL-18)
plays a crucial role in viral clearance and disease pathogenesis, and that single
nucleotide polymorphisms (SNPs) within the gene may influence its production.
OBJECTIVES: To investigate the potential association of two polymorphisms (
137G/C and 607C/A) in the promoter region of the IL-18 gene with the risk of HBV
recurrence after liver transplantation (LT) in Han Chinese patients. PATIENTS AND
METHODS: IL-18 promoter genotyping was performed by the snapshot technique in 125
patients with HBV-related end-stage liver disease (ESLD) receiving LT in our
center from 2004 to 2008. RESULTS: Among the study samples, no significant
association between the IL-18 promoter polymorphisms ( 137G/C and 607C/A) or
haplotypes and HBV recurrence after LT was found. CONCLUSIONS: The polymorphisms
( 137G/C and 607C/A) in the promoter region of the IL-18 gene may not play a key
role in HBV recurrence after LT in Han Chinese population, and may not be
suitable predictors for HBV recurrence in clinical practice.
PMID- 22087181
TI - Occult hepatitis B: Evolving challenges and new perspectives.
PMID- 22087182
TI - Broader use of hepatitis B virus vaccine: Efficacy in those who lost hepatitis B
surface antigen during follow-up.
PMID- 22087183
TI - Aspartate transaminase to platelet ratio index (APRI) to assess liver fibrosis in
patients with chronic liver disease.
PMID- 22087184
TI - Immune response to hepatitis B vaccine in patients who lost hepatitis B surface
antigen during follow up.
PMID- 22087185
TI - Do we need a common liver fibrosis index or etiology-related indices?
PMID- 22087186
TI - Advances of interventional radiology in treatment of hepatobiliary diseases in
Iran.
AB - Interventional radiologists are physicians who specialize in minimally invasive
targeted therapies, offering the most in-depth knowledge of the less invasive
therapies that are available and diagnostic and clinical experience across all
specialties. Interventional radiologists offer treatments for hepatobiliary
diseases without significant side effects or damage to the adjacent normal
tissue. We briefly introduce some of the interventional procedures in
gastroenterology.
PMID- 22087187
TI - Halothane: Is there still any place for using the gas as an anesthetic?
AB - The fluorinated hydrocarbons that are used for anesthesia are derived from ether.
Although they have many benefits, there are several side effects of these drugs,
including untoward hepatic effects. Whether the use of halothane gas can be
revitalized is unknown. Introducing nanocarriers inside the halothane molecule
can increase its benefits as an anesthetic in the lungs and cardiovascular system
and prevent exposure to the liver. The findings of new fields, such as cancer
therapy, and anesthetic agents, such as propofol, can improve the quality of the
drug using nanomedicine.
PMID- 22087189
TI - Use of duplex mutation primers for real-time PCR quantification of hepatitis C
virus RNA in serum.
AB - BACKGROUND: The duplex mutation primers offer many advantages over other multi
labeled probes for real-time detection of amplification products. OBJECTIVES: To
develop and validate a novel real-time PCR for quantification of HCV RNA based on
the duplex mutation primers technology. MATERIALS AND METHODS: The duplex
mutation primers were selected in the highly conservative 5' non-coding region
(5'NCR) of the HCV RNA. The assay was validated with the Viral Quality Control
panel, which also includes Chinese HCV RNA standards. RESULTS: The detection
limit was 57 IU/mL, and a good linear correlation in the range of 102-108 IU/mL
was revealed (r(2) = 0.999) with the novel method. This assay has a dynamic range
of at least 8 log10 without the need for specimen dilution, good clinical intra-
and inter-run precision, and excellent correlation with a commercially available
assay(r(2) = 0.95). CONCLUSIONS: The high sensitivity, wide linear range, and
good reproducibility, combined with low cost, make this novel quantitative HCV
real-time PCR assay particularly well suited for application to clinical and
epidemiological studies.
PMID- 22087188
TI - Barriers to receiving hepatitis C treatment for people who inject drugs: Myths
and evidence.
AB - BACKGROUND: Alcohol consumption, current injecting drug use, and pre-existing
mental illness have been identified as 3 of the main reasons for excluding
patients from treatment for hepatitis C. OBJECTIVES: We reviewed the literature
to obtain an evidence base for these common exclusion criteria. MATERIALS AND
METHODS: We reviewed original research and meta-analyses investigating the
effects of alcohol consumption, current injecting drug use, and pre-existing
mental illness. RESULTS: We identified 66 study reports relevant to the review,
but found only limited evidence to support withholding of treatment on the basis
of the 3 previously mentioned exclusion criteria. CONCLUSIONS: Currently, there
is a lack of evidence for many of the barriers faced by patients in availing
treatment for hepatitis C. Adherence to treat routine was found to be a better
predictor of sustained virological response than injecting drug or alcohol
consumption during treatment period or the presence of a pre-existing mental
disorder. Although several challenges remain, we need to ensure that treatment
decisions are based on the best available evidence and the treatment is performed
appropriately on a case-by-case basis.
PMID- 22087191
TI - Viral hepatitis as an occupational disease in Poland.
AB - BACKGROUND: In medical terms, occupational diseases are defined as health
disorders specifically associated with the working environment of people and
their occupational activity. From the medical and legal perspectives, the vast
majority of European countries consider particular diseases to be of occupational
origin if they are mentioned in the current list of occupational diseases and
caused by exposure to factors in the working environment that are harmful to
health. OBJECTIVES: The aim of this study was to analyze the occurrence of cases
of viral hepatitis certified as an occupational disease in Poland during 1979
2009. This article presents the medical, economic, and legal aspects of the
epidemiology of hepatitis as an occupational disease in Poland. MATERIALS AND
METHODS: Publically available statistical data on certified occupational diseases
in Poland and data contained in individual "occupational disease diagnosis cards"
(based on data used in Poland statistical form), regarding certified cases of
hepatitis among health care professionals, which were collected by the Department
of Occupational Hygiene of the Polish Public Health Service, were analyzed in
this study. RESULTS: In Poland, the highest number of cases of hepatitis
certified as an occupational disease was observed in 1987. A gradual reduction in
the number of cases of hepatitis as an occupational disease has been noted since
then. Currently, hepatitis C as an occupational disease is certified more
frequently than hepatitis B. In Poland, the number of women with hepatitis
certified as an occupational disease is higher than that of men. However, among
health care professionals, particularly nurses, this difference is insignificant
because women outnumber the men. The existence of such a situation is due to the
significant quantitative predominance of women over men among medical personnel,
especially among nurses. CONCLUSIONS: Immunization of health care professionals
against the hepatitis B virus (HBV), introduced in Poland in 1988, was an
important factor involved in reducing the number of cases of occupational viral
hepatitis. Socioeconomic and financial factors affected the epidemiological data
on cases of hepatitis certified as an occupational disease in Poland. An
additional problem associated with the diagnosis of occupational diseases is the
lack of obligatory testing for anti-hepatitis C virus (HCV) and anti-hepatitis B
surface antigen (HBsAg) antibodies and examinations to ensure the efficacy of HBV
vaccination among medical staff before and during employment.
PMID- 22087190
TI - How efficient is acoustic radiation force impulse elastography for the evaluation
of liver stiffness?
AB - BACKGROUND: In chronic liver diseases, a correct estimation of the severity of
liver fibrosis is important for recommendations regarding the treatment.
Nowadays, evaluation of fibrosis is done by noninvasive methods such as
biochemical scores and transient elastography instead of liver biopsy. The lack
of sensitivity to detect fibrosis, because of its heterogeneity is a drawback of
liver biopsy (LB). OBJECTIVES: To compare transient elastography (TE) and
acoustic radiation force impulse (ARFI) for the evaluation of liver stiffness
(LS), against percutaneous LB. PATIENTS AND METHODS: Our study comprised of 223
subjects; 52 without fibrosis (38 volunteers and 14 patients with F0 on LB), 36
with F1, 40 with F2, 26 with F3 and 69 with liver cirrhosis (46 with LB and 23
with signs of cirrhosis). For each patient we performed in the same session 10 TE
and 5 ARFI measurements. The median values were calculated. RESULTS: A strong
linear correlation (Spearman rho = 0.870) was found between TE and fibrosis (P <
0.0001); there was also a weaker correlation between ARFI and fibrosis (Spearman
rho = 0.646; P < 0.0001). TE measurements were also correlated with ARFI
measurements (Spearman rho = 0.733, P < 0.0001). The best test for predicting
significant fibrosis (F >= 2) was TE with a cut-off value of 7.1 kPa (AUROC
0.953). For ARFI, the cut-off value was 1.27 m/s-area under ROC curve (AUROC):
0.890, sensitivity (Se) of 88.7%, specificity (Sp) of 67.5%, positive predictive
value (PPV) of 64.5%, and negative predictive value (NPV) of 90% (P = 0.0044).
For predicting cirrhosis (F = 4), the optimum cut-off values were 14.4 kPa for TE
(AUROC: 0.985, Se: 95.6%, Sp: 94.7%, PPV: 89.2%, NPV: 98%) and 1.7 m/s for ARFI
(AUROC: 0.931, Se: 93%, Sp: 86.7%, PPV: 73.6%, NPV: 96.9%) (P = 0.0102).
CONCLUSIONS: LS evaluation by means of ARFI is not superior to TE for the
assessment of liver fibrosis. ARFI is an accurate test for the diagnosis of
cirrhosis.
PMID- 22087192
TI - Use of artificial neural network to predict esophageal varices in patients with
HBV related cirrhosis.
AB - BACKGROUND: Prediction of esophageal varices in cirrhotic patients by noninvasive
methods is still unsatisfactory. OBJECTIVES: To evaluate the accuracy of an
artificial neural network (ANN) in predicting varices in patients with HBV
related cirrhosis. PATIENTS AND METHODS: An ANN was constructed with data taken
from 197 patients with HBV related cirrhosis. The candidates for input nodes of
the ANN were assessed by univariate analysis and sensitivity analysis. Five-fold
cross validation was performed to avoid over-fitting. RESULTS: 14 variables were
reduced by univariate and sensitivity analysis, and an ANN was developed with
three variables (platelet count, spleen width and portal vein diameter). With a
cutoff value of 0.5. The ANN model has a sensitivity of 96.5%, specificity of
60.4%, positive predictive value of 86.9%, negative predictive value of 86.5% and
a diagnostic accuracy of 86.8% for the prediction of varices. CONCLUSIONS: An ANN
may be useful for predicting presence of esophageal varices in patients with HBV
related cirrhosis.
PMID- 22087194
TI - Indirect markers of fibrosis in chronic liver diseases: Is aspartate transaminase
to-platelet ratio (APRI) a useful test?
PMID- 22087193
TI - A new scoring system for prediction of fibrosis in chronic hepatitis C.
AB - BACKGROUND: Liver biopsy (LB) is still considered to be the gold standard for
assessment of liver fibrosis. OBJECTIVES: To evaluate the effectiveness of
various non-invasive methods for predicting liver fibrosis, including transient
elastography (TE), APRI score, Lok score, Forns score, FIB-4 score, Fibrosis
Index, King score, and Bonacini score, in comparison with the effectiveness of LB
and to create a new scoring system for fibrosis prediction. PATIENTS AND METHODS:
This study included 212 patients with chronic HCV hepatitis. LB, TE, and various
biological tests were performed during a single hospital visit. Using established
formulae, data from these tests were used to create scores for assessment of
liver fibrosis. RESULTS: The results of all the tests showed significant
correlation with histological fibrosis. TE results (r = 0.62), King score (r =
0.57), and APRI score (r = 0.56) showed the closest correlation with severity of
fibrosis. The following formula was derived from our data by multiple regression:
Predicted liver fibrosis score (PLF score) = 0.956 + 0.084 * TE - 0.004 * King
score + 0.124 * Forns score + 0.202 * APRI score. A direct correlation (r = 0.68)
was found between the PLF score and liver fibrosis. The cut-off values of the PLF
score for various stages of fibrosis were: F >= 1, 1.77 (Area under ROC curve
(AUROC) = 0.76); F >= 2, 2.18 (AUROC = 0.78); F >= 3, 2.47 (AUROC = 0.86); and F
= 4, 2.98 (AUROC = 0.97). CONCLUSIONS: We found that our newly developed PLF
score, which is derived from the scores of multiple tests, is more strongly
correlated with fibrosis than each component score used individually. The PLF
score is more effective than TE for predicting severe fibrosis, but they have
similar effectiveness in predicting liver cirrhosis.
PMID- 22087195
TI - Aspartate aminotransferase to platelet ratio index for the assessment of liver
fibrosis severity in patients with chronic hepatitis.
PMID- 22087196
TI - Noninvasive assessment of liver fibrosis with aspartate transaminase to platelet
ratio index (APRI).
PMID- 22087197
TI - Treatment of steroid-resistant pediatric nephrotic syndrome.
AB - Children who suffer from steroid-resistant nephrotic syndrome (SRNS) require
aggressive treatment to achieve remission. When intravenous high-dose
methylprednisolone fails, calcineurin inhibitors, such as cyclosporine and
tacrolimus, are used as the first line of treatment. A significant number of
patients with SRNS progress to end-stage renal disease if remission is not
achieved. For these children, renal replacement therapy can also be problematic;
peritoneal dialysis may be accompanied by significant protein loss through the
peritoneal membrane, and kidney allograft transplantation may be complicated by
recurrence of SRNS. Plasmapheresis and rituximab were initially used for
treatment of recurrent SRNS after transplantation; these are now under
consideration as rescue therapies for refractory SRNS. Although the prognosis of
SRNS is complicated and unfavorable, intensive treatment in the early stages of
the disease may achieve remission in more than half of the patients. Therefore,
timely referral of pediatric SRNS patients to pediatric nephrology specialists
for histological and genetic diagnosis and treatment is highly recommended.
PMID- 22087198
TI - Complications of nephrotic syndrome.
AB - Nephrotic syndrome (NS) is one of the most common glomerular diseases that affect
children. Renal histology reveals the presence of minimal change nephrotic
syndrome (MCNS) in more than 80% of these patients. Most patients with MCNS have
favorable outcomes without complications. However, a few of these children have
lesions of focal segmental glomerulosclerosis, suffer from severe and prolonged
proteinuria, and are at high risk for complications. Complications of NS are
divided into two categories: disease-associated and drug-related complications.
Disease-associated complications include infections (e.g., peritonitis, sepsis,
cellulitis, and chicken pox), thromboembolism (e.g., venous thromboembolism and
pulmonary embolism), hypovolemic crisis (e.g., abdominal pain, tachycardia, and
hypotension), cardiovascular problems (e.g., hyperlipidemia), acute renal
failure, anemia, and others (e.g., hypothyroidism, hypocalcemia, bone disease,
and intussusception). The main pathomechanism of disease-associated complications
originates from the large loss of plasma proteins in the urine of nephrotic
children. The majority of children with MCNS who respond to treatment with
corticosteroids or cytotoxic agents have smaller and milder complications than
those with steroid-resistant NS. Corticosteroids, alkylating agents, cyclosporin
A, and mycophenolate mofetil have often been used to treat NS, and these drugs
have treatment-related complications. Early detection and appropriate treatment
of these complications will improve outcomes for patients with NS.
PMID- 22087199
TI - Clinical characteristics and outcomes among pediatric patients hospitalized with
pandemic influenza A/H1N1 2009 infection.
AB - PURPOSE: The purpose of this article is to describe the clinical and
epidemiologic features and outcomes among children hospitalized with pandemic
influenza A/H1N1 2009 infection. METHODS: We retrospectively reviewed the charts
of hospitalized pediatric patients (<18 years) diagnosed with pandemic influenza
A/H1N1 2009 infection by reverse-transcriptase polymerase chain reaction at a
tertiary hospital in Seoul, Korea, between September 2009 and February 2010.
RESULTS: A total of 72 children were hospitalized with pandemic influenza A/H1N1
2009 infection (median age, 6.0 years; range, 2 months to 18 years). A total of
40% had at least 1 underlying medical condition, including asthma (17%),
malignancies (19%), and heart diseases (17%). Of the 72 patients, 54 (76%)
children admitted with H1N1 infection showed radiographic alterations compatible
with pneumonia. There was no significant difference in pre-existing conditions
between pandemic influenza A/H1N1 infected patients with or without pneumonia.
Children with pandemic influenza A/H1N1 pneumonia were more likely to have a
lower lymphocyte ratio (P=0.02), higher platelet count (P=0.02), and higher level
of serum glucose (P=0.003), and more commonly presented with dyspnea than did
those without pneumonia (P=0.04). CONCLUSION: No significant differences in age,
sex, or presence of preexisting conditions were found between children
hospitalized with pandemic influenza A/H1N1 H1N1 influenza infection with
pneumonia and those without pneumonia. Higher leukocyte count, higher glucose
level, and a lower lymphocyte ratio were associated with the development of
pandemic A/H1N1 2009 influenza pneumonia.
PMID- 22087200
TI - Polymorphisms of methylenetetrahydrofolate reductase are not a risk factor for
Kawasaki disease in the Korean population.
AB - PURPOSE: Hyperhomocysteinemia is known as a risk factor for atherosclerosis.
Preclinical arteriosclerosis is noted and premature atherosclerosis is known to
be accelerated in Kawasaki disease (KD) patients. Genetic polymorphisms in the
5,10-methylenetetrahydrofolate reductase (MTHFR) gene result in elevated plasma
homocysteine concentrations and are known to be associated with the development
of coronary artery disease. Our hypothesis is that single nucleotide
polymorphisms (SNPs) of the MTHFR gene are related to the development of KD and
coronary artery lesions (CALs). METHODS: For this study, we selected 3 candidate
single nucleotide polymorphisms (SNPs) (rs2274976, rs1801131, and rs1801133) of
MTHFR. These SNPs are located on chromosome 1p36.3. We included 101 KD patients
and 306 healthy adults as controls in this study. CALs were seen in 38 patients.
Genotypes of the selected SNPs were determined by direct sequencing and analyzed
with SNPAlyze. RESULTS: The genetic distribution and allelic frequency of the 3
MTHFR SNPs (rs2274976, rs1801131, and rs1801133) were not significantly different
in patients with KD compared to the control group (P=0.71, 0.17, and 0.96,
respectively). There was no difference in the genetic distribution of the MTHFR
SNPs between the normal control group and the CAL group (P=0.43, 0.39, 0.52
respectively). CONCLUSION: The genetic distribution of the MTHFR SNPs (rs2274976,
rs1801131, and rs1801133) was not different in the KD group compared to the
control group. In addition, the genetic distribution of these SNPs was not
different in the CAL group compared to the control group in the Korean
population.
PMID- 22087201
TI - Log-transformed plasma level of brain natriuretic peptide during the acute phase
of Kawasaki disease is quantitatively associated with myocardial dysfunction.
AB - PURPOSE: Brain natriuretic peptide (BNP) has been considered a biochemical marker
for myocarditis in Kawasaki disease. We performed this study to determine its
quantitative significance. METHODS: We attempted to correlate log-transformed BNP
concentrations (log-BNP) and clinical, laboratory, and echocardiographic
variables in 81 children with Kawasaki disease. Stepwise multiple linear
regression analysis was used to determine the variables independently associated
with log-BNP concentration. RESULTS: Serum C-reactive protein level (P<0.0001),
serum alanine aminotransferase concentration (P=0.0032), white blood cell count
(P=0.0030), and left ventricular mass index (P=0.0024) were positively related
with log-BNP, and hemoglobin level (P<0.0001), serum albumin level (P<0.0001),
Na(+) concentrations (P<0.0001), left ventricular fractional shortening
(P=0.0080), and peak early diastolic tissue velocity of the left ventricular
basal lateral segment (P=0.0045) were negatively related to the log-BNP
concentration. Multiple regression analysis showed that serum albumin
concentration (R(2)=0.31, P=0.0098) and left ventricular mass index (R(2)=0.09,
P=0.0004) were significantly associated with the log-BNP concentration.
CONCLUSION: Elevated BNP levels during the acute phase of Kawasaki disease may be
attributable to cardiac dysfunction associated with the increase in left
ventricular mass, and log-BNP concentration may be a quantitative biochemical
marker of myocarditis in Kawasaki disease.
PMID- 22087202
TI - 2009 H1N1 influenza virus infection and necrotizing pneumonia treated with
extracorporeal membrane oxygenation.
AB - A 3-year-old girl with acute respiratory distress syndrome due to a H1N1 2009
influenza virus infection was complicated by necrotizing pneumonia was
successfully treated with extracorporeal membrane oxygenation (ECMO). This is the
first reported case in which a pediatric patient was rescued with ECMO during the
H1N1 influenza epidemic in Korea in 2009.
PMID- 22087203
TI - Masticator space abscess in a 47-day-old infant.
AB - A 47-day-old male infant presented with fever, poor oral intake, irritability,
and right-sided bluish buccal swelling. Contrast-enhanced computed tomography of
the neck showed a round mass lesion of about 2.0*1.5 cm that suggested abscess
formation in the right masticator space. Ultrasound-guided extraoral aspiration
of the abscess at the right masseter muscle was successful. Staphylococcus aureus
was identified in the culture from the aspirated pus and blood. Appropriate
antibiotics were given and the patient recovered. The patient underwent follow-up
ultrasonography that showed an improved state of the previously observed right
masseter muscle swelling at about 1 month after hospital discharge. A masticator
space abscess usually originates from an odontogenic infection in adults. We
report a case of masticator space abscess in a 47-day-old infant in whom
septicemia without odontogenic infection was suspected.
PMID- 22087204
TI - Disability-adjusted life years analysis: implications for stroke research.
AB - Stroke is a prototype disorder that disables as well as kills people. The
disability-adjusted life years (DALY) metric developed by the World Health
Organization to measure the global burden of disease integrates healthy life
years lost due to both premature mortality and living with disability.
Accordingly, it is well suited to stroke research. The DALY has previously been
applied only to large but relatively crude population-level data analyses, but
now it is possible to calculate the DALY lost in individual stroke patients.
Measuring each patient's stroke outcome with DALY lost has expanded its
application to the analysis of treatment effect in acute stroke trials,
delineating the poststroke complication impact, the differential weighting of
discrete vascular events, and estimating a more refined stroke burden in a
specific population. The DALY metric has several advantages over conventional
stroke outcome measures: 1) Since the DALY measures the burden of diverse health
conditions with a common metric of life years lost, stroke burden and benefits of
stroke interventions can be directly compared to other health conditions and
their treatments. 2) Quantifying stroke burden or interventional benefits as the
life years lost or gained makes the DALY metric more intuitively accessible for
public and health system planners. 3) As a continuous, equal-interval scale, the
DALY analysis might be statistically more powerful than either binary or ordinal
rank outcome analyses in detecting the treatment effects of clinical trials. 4)
While currently employed stroke outcome measures take one-time snapshots of
disability or mortality and implicitly indicate long-term health impact, the DALY
explicitly indicates the burdens of living with disability for an individual's
remaining life.
PMID- 22087206
TI - Psychiatric symptoms and quality of life in patients with drug-refractory
epilepsy receiving adjunctive levetiracetam therapy.
AB - BACKGROUND AND PURPOSE: Levetiracetam (LEV) is a new antiepileptic drug that has
been found to be effective as an adjunctive therapy for uncontrolled partial
seizures. However, the results of several studies suggested that LEV has negative
psychotropic effects, including irritability, aggressiveness, suicidality, and
mood disorders. We investigated the impact of adjunctive LEV on psychiatric
symptoms and quality of life (QOL) in patients with drug-refractory epilepsy
(DRE) and determined the risk factors provoking psychiatric adverse events.
METHODS: A 24-week, prospective, open-label study was conducted. At enrollment,
we interviewed patients and reviewed their medical charts to collect demographic
and clinical information. They were asked to complete self-report health
questionnaires designed to measure various psychiatric symptoms and QOL at
enrollment and 24 weeks later. RESULTS: Seventy-one patients were included in the
study, 12 patients (16.9%) of whom discontinued LEV therapy due to serious
adverse events including suicidality. The risk factor for premature withdrawal
was a previous history of psychiatric diseases (odds ratio 4.59; 95% confidence
interval, 1.22-17.32). LEV intake resulted in significant improvements in Beck
Anxiety Inventory score (p<0.01) and some domains of the Symptom Checklist-90
Revised, such as somatization (p<0.05), obsessive-compulsiveness (p<0.05),
depression (p<0.05), and anxiety (p<0.05). These improvements were not related to
the occurrence of seizure freedom. The Quality of Life in Epilepsy Inventory-31
overall score and subscale scores, such as seizure worry (p<0.01), overall QOL
(p<0.05), emotional well-being (p<0.05), energy-fatigue (p<0.05), and social
function (p<0.05), also improved. CONCLUSIONS: Adjunctive LEV in patients with
DRE is likely to improve psychiatric symptoms and QOL. Clinicians should be well
aware of the psychiatric histories of patients to prevent them from developing
serious adverse events related to LEV.
PMID- 22087205
TI - New insights into neuromyelitis optica.
AB - Neuromyelitis optica (NMO) is an idiopathic inflammatory disorder of the central
nervous system (CNS) that preferentially affects the optic nerves and spinal
cord. In Asia, NMO has long been considered a subtype of multiple sclerosis (MS).
However, recent clinical, pathological, immunological, and imaging studies have
suggested that NMO is distinct from MS. This reconsideration of NMO was initially
prompted by the discovery of a specific antibody for NMO (NMO-IgG) in 2004. NMO
IgG is an autoantibody that targets aquaporin-4 (AQP4), the most abundant water
channel in the CNS; hence, it was named anti-AQP4 antibody. Since it demonstrated
reasonable sensitivity and high specificity, anti-AQP4 antibody was incorporated
into new diagnostic criteria for NMO.The spectrum of NMO is now known to be wider
than was previously recognized and includes a proportion of patients with
recurrent, isolated, longitudinally extensive myelitis or optic neuritis, and
longitudinally extensive myelitis or optic neuritis associated with systemic
autoimmune disease or with brain lesions typical of NMO. In this context, a new
concept of "NMO spectrum disorders" was recently introduced. Furthermore,
seropositivity for NMO-IgG predicts future relapses and is recognized as a
prognostic marker for NMO spectrum disorders. Humoral immune mechanisms,
including the activation of B-cells and the complement pathway, are considered to
play important roles in NMO pathogenesis. This notion is supported by recent
studies showing the potential pathogenic role of NMO-IgG as an initiator of NMO
lesions. However, a demonstration of the involvement of NMO-IgG by the
development of active immunization and passive transfer in animal models is still
needed. This review focuses on the new concepts of NMO based on its
pathophysiology and clinical characteristics. Potential management strategies for
NMO in light of its pathomechanism are also discussed.
PMID- 22087207
TI - Efficacy and safety of switching from oral cholinesterase inhibitors to the
rivastigmine transdermal patch in patients with probable Alzheimer's disease.
AB - BACKGROUND AND PURPOSE: The goal of this study was to estimate the efficacy and
safety of the rivastigmine transdermal patch in patients with probable
Alzheimer's disease (AD) who cannot tolerate or do not respond to oral
cholinesterase inhibitors (ChEIs). METHODS: A 24-week, prospective, open-label,
single-arm, multicenter study was conducted from June 2009 to June 2010 in
patients with probable AD. The enrolled patients had either a poor response or a
decline in global function after treatment with oral ChEIs, or they were not able
to tolerate treatment with oral ChEIs due to adverse events such as nausea or
vomiting. A poor response was defined as a decrease of at least 2 points on the
Korean version of the Mini-Mental State Examination (K-MMSE) within the previous
6 months (the decline in global function was determined by the investigator or
caregiver). The efficacy of treatment was assessed using a follow-up Clinical
Global Impression of Change (CGIC) assessment and K-MMSE conducted after 24
weeks, and safety was measured by the occurrence of adverse events and patient
disposition. RESULTS: In total, 164 patients aged 74.7+/-7.52 years (mean+/-SD)
and with 5.12+/-3.64 years of education were included. The study was completed by
70% of the patients (n=116), with 12.2% discontinuing due to adverse events. The
most frequently reported adverse events (11%) were skin lesions, such as erythema
or itching, followed by gastrointestinal problems (1.2%). Either an improvement
or no decline in CGIC scores was reported for 82% of the patients. CONCLUSIONS:
The immediate switching of patients from an oral ChEI to the rivastigmine
transdermal patch without a washout period was safe and well tolerated by the
probable-AD patients in this study.
PMID- 22087208
TI - Polymorphism of the Glutamate Transporter Protein EAAT2 and Migraine
Transformation into Chronic Daily Headache.
AB - BACKGROUND AND PURPOSE: The progression of migraine into chronic daily headache
involves multiple risk factors, but the main contributor is not known. Glutamate
is the major excitatory neurotransmitter in central sensitization, which is an
important process in the pathogenesis of migraine transformation. The glutamate
transporter protein excitatory amino acid transporter 2 (EAAT2) is the primary
modulator of glutamatergic neurotransmission, and genetic polymorphisms of its
gene, EEAT2, have been identified. The aim of this study was to determine the
effect of EAAT2 polymorphisms on migraine transformation into chronic daily
headache. METHODS: We included 74 migraine patients with episodic attack (M-E)
and 59 migraine patients with chronic daily headache (M-CDH). After amplifying
EAAT2 by polymerase chain reaction, we assessed its genotype frequencies based on
restriction fragment length polymorphisms. We reclassified all migraine patients
into two groups according to their EAAT2 genotype, either with the A allele
(n=62) or without it (n=71), and compared the clinical variables between the two
groups. RESULTS: The genotype frequencies of EAAT2 polymorphisms did not differ
between the M-E and M-CDH groups. Comparison between EEAT2 genotypes revealed
that the frequency of analgesic usage was significantly higher among migraine
patients with the A allele (12.9+/-1.6 days/month) than in those without the A
allele (8.1+/-1.2 days/month; p=0.019). The other clinical variables of migraine
did not differ between the two groups. CONCLUSIONS: The results suggest that
EEAT2 polymorphism contributes to the tendency toward frequent analgesic usage in
migraine patients. This implies a potential genetic influence on the progression
of migraine into chronic daily headache through the development of medication
overuse headache.
PMID- 22087209
TI - Changes in Length of Stay for Neurological Geriatric Diseases in Korea between
2003 and 2007.
AB - BACKGROUND AND PURPOSE: The elderly population and the prevalence of stroke,
dementia, and Parkinson's disease are increasing rapidly in Korea. The aim of
this study was to establish the length of stay (LOS) for neurological geriatric
diseases, and analyze this parameteraccording to healthcare institutions.
METHODS: We used data from the Health Insurance Review and Assessment Service
from 2003 to 2007. Nineteen neurological geriatric diseases were classified into
four groups: dementia, cerebral hemorrhage, cerebral infarction, and Parkinson's
disease. LOS was analyzed according to gender, age, insurance type, disease
group, and type of healthcare institution. RESULTS: The LOS for neurological
geriatric diseases lengthened from 5,550,193 days (10.8% of the total National
Health Insurance admission days) in 2003 to 14,749,671 days (19.7%) in 2007. The
mean LOS was 40.8 days in 2003, and lengthened to 71.2 days in 2007. After
stratification by disease group, the mean LOS for long-term-care hospitals
lengthened by 1.43 times (from 81.7 to 116.6 days) in the cerebral infarction
group, 1.35 times (from 85.6 to 115.2 days) in the cerebral hemorrhage group, and
1.28 times (from 82.7 to 105.7 days) in the Parkinson's disease group.
CONCLUSIONS: The LOS for neurological geriatric diseases has lengthened markedly,
which isdue to an increasesin the number of hospitalized patients and the mean
LOS, which have increased most rapidly in long-term-care hospitals. These results
may be useful in developing geriatric health policies.
PMID- 22087210
TI - Ipsilateral tilt and contralateral sensory change of neck in cortical infarction.
AB - BACKGROUND: Numerous neck muscles are involved in neck movements, and so isolated
neck weakness is extremely uncommon in cerebral infarction. CASE REPORT: We
report herein the case of a 65-year-old woman with hypertension and acute
cortical infarction, presenting with ipsilateral head tilt and contralateral
sensory changes in the neck and shoulder area, which has never been described
before. CONCLUSIONS: Transient neck weakness and sensory deficits can occur in
acute cortical infarction. The motor representation of the neck muscles can be at
the same level of the cortical sensory representation, near to the level of the
trunk representation, which is in contrast to Penfield's findings. Several
possible mechanisms for the ipsilateral tilt are described.
PMID- 22087211
TI - Emergency microsurgical embolectomy for the treatment of acute intracranial
artery occlusion: report of two cases.
AB - BACKGROUND: The main treatment for acute arterial ischemic stroke is intravenous
or intra-arterial thrombolysis within a particular time window. Endovascular
mechanical embolectomy is another treatment option in the case of major artery
occlusion. Endovascular mechanical embolectomy is a useful technique for
restoring blood flow in patients with large-vessel occlusion, and especially in
those who are contraindicated for thrombolytics or in whom thrombolytic therapy
has failed. CASE REPORT: We report herein two cases of emergency microsurgical
embolectomy for the treatment of acute middle cerebral artery and internal
carotid artery occlusion as an alternative treatment for major artery occlusion.
CONCLUSIONS: Emergency microsurgical mechanical embolectomy may be an alternative
treatment option for restoring blood flow in selected patients with large-vessel
acute ischemic stroke.
PMID- 22087212
TI - Spontaneous Carotid Cavernous Fistula in a Case with Protein S Deficiency that
Newly Developed Ophthalmoplegia after Embolization.
AB - BACKGROUND: Carotid cavernous fistula (CCF) is an abnormal communication between
the carotid artery and the cavernous sinus. The pathogenesis of spontaneous CCF
remains unclear, although sinus thrombosis is known to be a predisposing factor
for dural arteriovenous fistula. Because spontaneous CCFs are mainly of the dural
type, we considered that thrombogenic conditions, such as, protein S deficiency
might be associated with CCF. CASE REPORT: A 42-year-old woman complained of
conjunctival injection and retro-orbital pain that first appeared 1-month before
visiting our hospital. She had no history of head trauma or intracranial surgery.
Exophthalmos and chemosis were observed in her left eye, which also had lower
visual acuity and higher intraocular pressure than the right eye. Magnetic
resonance images and cerebral angiography revealed a left dural CCF. Her protein
S was low, at 41% (normal range: 70-140%), but other hematologic values related
to coagulation were normal. Her symptoms were relieved after initial transvenous
coil embolization. However, a newly developed sixth-nerve palsy was detected 4
days after initial embolization. Follow-up angiography revealed a minimal shunt,
and thus transvenous coil embolization was repeated. Two days later, the
ophthalmoplegia started reducing, and 1-month later it had almost disappeared.
CONCLUSIONS: To the best of our knowledge, this is the first report of
spontaneous dural CCF in a Korean patient with concurrent protein S deficiency.
Interestingly, transient sixth-nerve palsy developed after transvenous coil
embolization in this patient. This additional symptom caused by the residual
fistula was relieved after additional transarterial embolization.
PMID- 22087213
TI - Concurrence of Multifocal Motor Neuropathy and Hashimoto's Thyroiditis.
AB - BACKGROUND: Multifocal motor neuropathy (MMN) is an immune-mediated disorder that
is characterized by slowly progressive and asymmetrical weakness, but its
pathophysiological mechanism is uncertain. The hypothesis that MMN is an
immunological disease has been supported by the proven therapeutic effects of
intravenous immunoglobulin and the detection of antiganglioside antibodies in MMN
patients. The coexistence of MMN with other immune diseases has been rarely
reported. CASE REPORT: A 37-year-old woman visited our hospital complaining of
weakness in both hands. The clinical manifestations coincided well with MMN:
predominantly distal upper-limb weakness, asymmetric involvement, a progressive
course, absence of sensory symptoms, absence of pyramidal signs, and sparing of
the cranial muscles. The electrophysiological findings also supported a diagnosis
of MMN, with motor nerve conduction block in the median, ulnar, and radial
nerves, without sensory nerve involvement. The patient was simultaneously
diagnosed as having Hashimoto's thyroiditis, which is a well-known immune
mediated disease. CONCLUSIONS: The concurrence of MMN and Hashimoto's thyroiditis
in our patient is significant for understanding the immunological characteristics
of the two diseases.
PMID- 22087215
TI - Bile acid sequestration reduces plasma glucose levels in db/db mice by increasing
its metabolic clearance rate.
AB - AIMS/HYPOTHESIS: Bile acid sequestrants (BAS) reduce plasma glucose levels in
type II diabetics and in murine models of diabetes but the mechanism herein is
unknown. We hypothesized that sequestrant-induced changes in hepatic glucose
metabolism would underlie reduced plasma glucose levels. Therefore, in vivo
glucose metabolism was assessed in db/db mice on and off BAS using tracer
methodology. METHODS: Lean and diabetic db/db mice were treated with 2% (wt/wt in
diet) Colesevelam HCl (BAS) for 2 weeks. Parameters of in vivo glucose metabolism
were assessed by infusing [U-(13)C]-glucose, [2-(13)C]-glycerol, [1-(2)H]
galactose and paracetamol for 6 hours, followed by mass isotopologue distribution
analysis, and related to metabolic parameters as well as gene expression
patterns. RESULTS: Compared to lean mice, db/db mice displayed an almost 3-fold
lower metabolic clearance rate of glucose (p = 0.0001), a ~300% increased
glucokinase flux (p = 0.001) and a ~200% increased total hepatic glucose
production rate (p = 0.0002). BAS treatment increased glucose metabolic clearance
rate by ~37% but had no effects on glucokinase flux nor total hepatic or
endogenous glucose production. Strikingly, BAS-treated db/db mice displayed
reduced long-chain acylcarnitine content in skeletal muscle (p = 0.0317) but not
in liver (p = 0.189). Unexpectedly, BAS treatment increased hepatic FGF21 mRNA
expression 2-fold in lean mice (p = 0.030) and 3-fold in db/db mice (p = 0.002).
CONCLUSIONS/INTERPRETATION: BAS induced plasma glucose lowering in db/db mice by
increasing metabolic clearance rate of glucose in peripheral tissues, which
coincided with decreased skeletal muscle long-chain acylcarnitine content.
PMID- 22087216
TI - EGFR-targeted hybrid plasmonic magnetic nanoparticles synergistically induce
autophagy and apoptosis in non-small cell lung cancer cells.
AB - BACKGROUND: The epidermal growth factor receptor (EGFR) is overexpressed in 80%
of non-small cell lung cancer (NSCLC) and is associated with poor survival. In
recent years, EGFR-targeted inhibitors have been tested in the clinic for NSCLC.
Despite the emergence of novel therapeutics and their application in cancer
therapy, the overall survival rate of lung cancer patients remains 15%. To
develop more effective therapies for lung cancer we have combined the anti-EGFR
antibody (Clone 225) as a molecular therapeutic with hybrid plasmonic magnetic
nanoparticles (NP) and tested on non-small cell lung cancer (NSCLC) cells.
METHODOLOGY/PRINCIPAL FINDINGS: Cell viability was determined by trypan-blue
assay. Cellular protein expression was determined by Western blotting. C225-NPs
were detected by electron microscopy and confocal microscopy, and EGFR expression
using immunocytochemistry. C225-NP exhibited a strong and selective antitumor
effect on EGFR-expressing NSCLC cells by inhibiting EGFR-mediated signal
transduction and induced autophagy and apoptosis in tumor cells. Optical images
showed specificity of interactions between C225-NP and EGFR-expressing NSCLC
cells. No binding of C225-NP was observed for EGFR-null NSCLC cells. C225-NP
exhibited higher efficiency in induction of cell killing in comparison with the
same amount of free C225 antibody in tumor cells with different levels of EGFR
expression. Furthermore, in contrast to C225-NP, free C225 antibody did not
induce autophagy in cells. However, the therapeutic efficacy of C225-NP gradually
approached the level of free antibodies as the amount of C225 antibody conjugated
per nanoparticle was decreased. Finally, attaching C225 to NP was important for
producing the enhanced tumor cell killing as addition of mixture of free C225 and
NP did not demonstrate the same degree of cell killing activity.
CONCLUSIONS/SIGNIFICANCE: We demonstrated for the first time the molecular
mechanism of C225-NP induced cytotoxic effects in lung cancer cells that are not
characteristic for free molecular therapeutics thus increasing efficacy of
therapy against NSCLC.
PMID- 22087217
TI - Incentive or habit learning in amphibians?
AB - Toads (Rhinella arenarum) received training with a novel incentive procedure
involving access to solutions of different NaCl concentrations. In Experiment 1,
instrumental behavior and weight variation data confirmed that such solutions
yield incentive values ranging from appetitive (deionized water, DW, leading to
weight gain), to neutral (300 mM slightly hypertonic solution, leading to no net
weight gain or loss), and aversive (800 mM highly hypertonic solution leading to
weight loss). In Experiment 2, a downshift from DW to a 300 mM solution or an
upshift from a 300 mM solution to DW led to a gradual adjustment in instrumental
behavior. In Experiment 3, extinction was similar after acquisition with access
to only DW or with a random mixture of DW and 300 mM. In Experiment 4, a
downshift from DW to 225, 212, or 200 mM solutions led again to gradual
adjustments. These findings add to a growing body of comparative evidence
suggesting that amphibians adjust to incentive shifts on the basis of habit
formation and reorganization.
PMID- 22087218
TI - Population status of a cryptic top predator: an island-wide assessment of tigers
in Sumatran rainforests.
AB - Large carnivores living in tropical rainforests are under immense pressure from
the rapid conversion of their habitat. In response, millions of dollars are spent
on conserving these species. However, the cost-effectiveness of such investments
is poorly understood and this is largely because the requisite population
estimates are difficult to achieve at appropriate spatial scales for these
secretive species. Here, we apply a robust detection/non-detection sampling
technique to produce the first reliable population metric (occupancy) for a
critically endangered large carnivore; the Sumatran tiger (Panthera tigris
sumatrae). From 2007-2009, seven landscapes were surveyed through 13,511 km of
transects in 394 grid cells (17*17 km). Tiger sign was detected in 206 cells,
producing a naive estimate of 0.52. However, after controlling for an unequal
detection probability (where p = 0.13+/-0.017; +/-S.E.), the estimated tiger
occupancy was 0.72+/-0.048. Whilst the Sumatra-wide survey results gives cause
for optimism, a significant negative correlation between occupancy and recent
deforestation was found. For example, the Northern Riau landscape had an average
deforestation rate of 9.8%/yr and by far the lowest occupancy (0.33+/-0.055). Our
results highlight the key tiger areas in need of protection and have led to one
area (Leuser-Ulu Masen) being upgraded as a 'global priority' for wild tiger
conservation. However, Sumatra has one of the highest global deforestation rates
and the two largest tiger landscapes identified in this study will become highly
fragmented if their respective proposed roads networks are approved. Thus, it is
vital that the Indonesian government tackles these threats, e.g. through improved
land-use planning, if it is to succeed in meeting its ambitious National Tiger
Recovery Plan targets of doubling the number of Sumatran tigers by 2022.
PMID- 22087219
TI - Next-generation sequencing of apoptotic DNA breakpoints reveals association with
actively transcribed genes and gene translocations.
AB - DNA fragmentation is a well-recognized hallmark of apoptosis. However, the
precise DNA sequences cleaved during apoptosis triggered by distinct mechanisms
remain unclear. We used next-generation sequencing of DNA fragments generated in
Actinomycin D-treated human HL-60 leukemic cells to generate a high-throughput,
global map of apoptotic DNA breakpoints. These data highlighted that DNA breaks
are non-random and show a significant association with active genes and open
chromatin regions. We noted that transcription factor binding sites were also
enriched within a fraction of the apoptotic breakpoints. Interestingly, extensive
apoptotic cleavage was noted within genes that are frequently translocated in
human cancers. We speculate that the non-random fragmentation of DNA during
apoptosis may contribute to gene translocations and the development of human
cancers.
PMID- 22087220
TI - G12 signaling through c-Jun NH2-terminal kinase promotes breast cancer cell
invasion.
AB - Signaling through the heterotrimeric G protein, G12, via Rho induces a striking
increase in breast cancer cell invasion. In this study, evidence is provided that
the c-Jun NH(2)-terminal kinase (JNK) is a key downstream effector of G12 on this
pathway. Expression of constitutively-active Galpha12 or activation of G12
signaling by thrombin leads to increased JNK and c-Jun phosphorylation.
Pharmacologic inhibition of JNK or knockdown of JNK expression by siRNA
significantly decreases G12-induced JNK activation as well as the ability of
breast cancer cells to invade a reconstituted basement membrane. Furthermore,
expression of dominant-negative Rho or treatment of cells with an inhibitor of
the Rho kinase, ROCK, reduces G12-induced JNK and c-Jun activation, and ROCK
inhibitor treatment also inhibits G12-induced cellular invasion. JNK knockdown or
ROCK inhibitor treatment has no effect on activation of Rho by G12. Taken
together, our data indicate that JNK activation is required for G12-induced
invasion of breast cancer cells and that JNK is downstream of Rho and ROCK on
this pathway. This study implicates a G12-stimulated mitogen-activated protein
kinase cascade in cancer cell invasion, and supports a role for JNK in cancer
progression.
PMID- 22087221
TI - Contribution of NFP LysM domains to the recognition of Nod factors during the
Medicago truncatula/Sinorhizobium meliloti symbiosis.
AB - The root nodule nitrogen fixing symbiosis between legume plants and soil bacteria
called rhizobia is of great agronomical and ecological interest since it provides
the plant with fixed atmospheric nitrogen. The establishment of this symbiosis is
mediated by the recognition by the host plant of lipo-chitooligosaccharides
called Nod Factors (NFs), produced by the rhizobia. This recognition is highly
specific, as precise NF structures are required depending on the host plant.
Here, we study the importance of different LysM domains of a LysM-Receptor Like
Kinase (LysM-RLK) from Medicago truncatula called Nod factor perception (NFP) in
the recognition of different substitutions of NFs produced by its symbiont
Sinorhizobium meliloti. These substitutions are a sulphate group at the reducing
end, which is essential for host specificity, and a specific acyl chain at the
non-reducing end, that is critical for the infection process. The NFP
extracellular domain (ECD) contains 3 LysM domains that are predicted to bind
NFs. By swapping the whole ECD or individual LysM domains of NFP for those of its
orthologous gene from pea, SYM10 (a legume plant that interacts with another
strain of rhizobium producing NFs with different substitutions), we showed that
NFP is not directly responsible for specific recognition of the sulphate
substitution of S. meliloti NFs, but probably interacts with the acyl
substitution. Moreover, we have demonstrated the importance of the NFP LysM2
domain for rhizobial infection and we have pinpointed the importance of a single
leucine residue of LysM2 in that step of the symbiosis. Together, our data put
into new perspective the recognition of NFs in the different steps of symbiosis
in M. truncatula, emphasising the probable existence of a missing component for
early NF recognition and reinforcing the important role of NFP for NF recognition
during rhizobial infection.
PMID- 22087222
TI - Efficacy of injections with Disci/Rhus toxicodendron compositum for chronic low
back pain--a randomized placebo-controlled trial.
AB - BACKGROUND: The effectiveness of injection therapy for low-back pain is still
debatable. We compared the efficacy of local injections of the homeopathic
preparation Disci/Rhus toxicodendron compositum (verum) with placebo injections
and with no treatment in patients with chronic low back pain.
METHODOLOGY/PRINCIPAL FINDINGS: In a randomized controlled partly double blind
multicenter trial patients with chronic low back pain from 9 German outpatient
clinics were enrolled and randomly allocated in a 1?1?1 ratio to receive
subcutaneous injections (verum or placebo) into painful sites on the lower back
over 12 treatment sessions within eight weeks, or no treatment (rescue pain
medication with paracetamol or NSAIDs). All trial personnel and participants were
masked to treatment allocation. The primary outcome measure was the average pain
intensity over the last seven days on a visual analogue scale (0-100 mm, 0 = no
pain, 100 = worst imaginable pain) after eight weeks. Follow-up was 26 weeks.
Primary analysis was by intention to treat. Between August 2007 and June 2008,
150 patients were randomly allocated to three groups (51 verum, 48 placebo and 51
no treatment). The mean baseline-adjusted low back pain intensity at week eight
was: verum group 37.0 mm (97.5% CI 25.3;48.8), no treatment group 53.0
(41.8;64.2), and placebo group 41.8 (30.1;53.6). The verum was significantly
superior to no treatment (P = 0.001), but not to placebo (P = 0.350). No
significant side effects were reported. CONCLUSIONS/SIGNIFICANCE: The homeopathic
preparation was not superior to placebo. Compared to no treatment injections
resulted in significant and clinical relevant chronic back pain relief. TRIAL
REGISTRATION: ClinicalTrials.gov NCT00567736.
PMID- 22087223
TI - Anatomical and physiological plasticity in Leymus chinensis (Poaceae) along large
scale longitudinal gradient in northeast China.
AB - BACKGROUND: Although it has been widely accepted that global changes will pose
the most important constrains to plant survival and distribution, our knowledge
of the adaptive mechanism for plant with large-scale environmental changes (e.g.
drought and high temperature) remains limited. METHODOLOGY/PRINCIPAL FINDINGS: An
experiment was conducted to examine anatomical and physiological plasticity in
Leymus chinensis along a large-scale geographical gradient from 115 degrees to
124 degrees E in northeast China. Ten sites selected for plant sampling at the
gradient have approximately theoretical radiation, but differ in precipitation
and elevation. The significantly increasing in leaf thickness, leaf mass per
area, vessel and vascular diameters, and decreasing in stoma density and stoma
index exhibited more obvious xerophil-liked traits for the species from the moist
meadow grassland sites in contrast to that from the dry steppe and desert sites.
Significant increase in proline and soluble sugar accumulation, K(+)/Na(+) for
the species with the increasing of stresses along the gradient showed that
osmotic adjustment was enhanced. CONCLUSION/SIGNIFICANCE: Obvious xerophytic
anatomical traits and stronger osmotic adjustment in stress conditions suggested
that the plants have much more anatomical and physiological flexibilities than
those in non-stress habitats along the large-scale gradient.
PMID- 22087224
TI - Cryosurgery with pulsed electric fields.
AB - This study explores the hypothesis that combining the minimally invasive surgical
techniques of cryosurgery and pulsed electric fields will eliminate some of the
major disadvantages of these techniques while retaining their advantages.
Cryosurgery, tissue ablation by freezing, is a well-established minimally
invasive surgical technique. One disadvantage of cryosurgery concerns the
mechanism of cell death; cells at high subzero temperature on the outer rim of
the frozen lesion can survive. Pulsed electric fields (PEF) are another minimally
invasive surgical technique in which high strength and very rapid electric pulses
are delivered across cells to permeabilize the cell membrane for applications
such as gene delivery, electrochemotherapy and irreversible electroporation. The
very short time scale of the electric pulses is disadvantageous because it does
not facilitate real time control over the procedure. We hypothesize that applying
the electric pulses during the cryosurgical procedure in such a way that the
electric field vector is parallel to the heat flux vector will have the effect of
confining the electric fields to the frozen/cold region of tissue, thereby
ablating the cells that survive freezing while facilitating controlled use of the
PEF in the cold confined region. A finite element analysis of the electric field
and heat conduction equations during simultaneous tissue treatment with
cryosurgery and PEF (cryosurgery/PEF) was used to study the effect of tissue
freezing on electric fields. The study yielded motivating results. Because of
decreased electrical conductivity in the frozen/cooled tissue, it experienced
temperature induced magnified electric fields in comparison to PEF delivered to
the unfrozen tissue control. This suggests that freezing/cooling confines and
magnifies the electric fields to those regions; a targeting capability
unattainable in traditional PEF. This analysis shows how temperature induced
magnified and focused PEFs could be used to ablate cells in the high subzero
freezing region of a cryosurgical lesion.
PMID- 22087225
TI - Biochemical and computational analysis of LNX1 interacting proteins.
AB - PDZ (Post-synaptic density, 95 kDa, Discs large, Zona Occludens-1) domains are
protein interaction domains that bind to the carboxy-terminal amino acids of
binding partners, heterodimerize with other PDZ domains, and also bind
phosphoinositides. PDZ domain containing proteins are frequently involved in the
assembly of multi-protein complexes and clustering of transmembrane proteins.
LNX1 (Ligand of Numb, protein X 1) is a RING (Really Interesting New Gene) domain
containing E3 ubiquitin ligase that also includes four PDZ domains suggesting it
functions as a scaffold for a multi-protein complex. Here we use a human protein
array to identify direct LNX1 PDZ domain binding partners. Screening of 8,000
human proteins with isolated PDZ domains identified 53 potential LNX1 binding
partners. We combined this set with LNX1 interacting proteins identified by other
methods to assemble a list of 220 LNX1 interacting proteins. Bioinformatic
analysis of this protein list was used to select interactions of interest for
future studies. Using this approach we identify and confirm six novel LNX1
binding partners: KCNA4, PAK6, PLEKHG5, PKC-alpha1, TYK2 and PBK, and suggest
that LNX1 functions as a signalling scaffold.
PMID- 22087226
TI - Influenza-related mortality trends in Japanese and American seniors: evidence for
the indirect mortality benefits of vaccinating schoolchildren.
AB - BACKGROUND: The historical Japanese influenza vaccination program targeted at
schoolchildren provides a unique opportunity to evaluate the indirect benefits of
vaccinating high-transmitter groups to mitigate disease burden among seniors.
Here we characterize the indirect mortality benefits of vaccinating
schoolchildren based on data from Japan and the US. METHODS: We compared age
specific influenza-related excess mortality rates in Japanese seniors aged >=65
years during the schoolchildren vaccination program (1978-1994) and after the
program was discontinued (1995-2006). Indirect vaccine benefits were adjusted for
demographic changes, socioeconomics and dominant influenza subtype; US mortality
data were used as a control. RESULTS: We estimate that the schoolchildren
vaccination program conferred a 36% adjusted mortality reduction among Japanese
seniors (95%CI: 17-51%), corresponding to ~1,000 senior deaths averted by
vaccination annually (95%CI: 400-1,800). In contrast, influenza-related mortality
did not change among US seniors, despite increasing vaccine coverage in this
population. CONCLUSIONS: The Japanese schoolchildren vaccination program was
associated with substantial indirect mortality benefits in seniors.
PMID- 22087227
TI - Revisiting the NMR structure of the ultrafast downhill folding protein gpW from
bacteriophage lambda.
AB - GpW is a 68-residue protein from bacteriophage lambda that participates in virus
head morphogenesis. Previous NMR studies revealed a novel alpha+beta fold for
this protein. Recent experiments have shown that gpW folds in microseconds by
crossing a marginal free energy barrier (i.e., downhill folding). These features
make gpW a highly desirable target for further experimental and computational
folding studies. As a step in that direction, we have re-determined the high
resolution structure of gpW by multidimensional NMR on a construct that
eliminates the purification tags and unstructured C-terminal tail present in the
prior study. In contrast to the previous work, we have obtained a full manual
assignment and calculated the structure using only unambiguous distance
restraints. This new structure confirms the alpha+beta topology, but reveals
important differences in tertiary packing. Namely, the two alpha-helices are
rotated along their main axis to form a leucine zipper. The beta-hairpin is
orthogonal to the helical interface rather than parallel, displaying most
tertiary contacts through strand 1. There also are differences in secondary
structure: longer and less curved helices and a hairpin that now shows the
typical right-hand twist. Molecular dynamics simulations starting from both gpW
structures, and calculations with CS-Rosetta, all converge to our gpW structure.
This confirms that the original structure has strange tertiary packing and
strained secondary structure. A comparison of NMR datasets suggests that the
problems were mainly caused by incomplete chemical shift assignments, mistakes in
NOE assignment and the inclusion of ambiguous distance restraints during the
automated procedure used in the original study. The new gpW corrects these
problems, providing the appropriate structural reference for future work.
Furthermore, our results are a cautionary tale against the inclusion of ambiguous
experimental information in the determination of protein structures.
PMID- 22087228
TI - Reduction theories elucidate the origins of complex biological rhythms generated
by interacting delay-induced oscillations.
AB - Time delay is known to induce sustained oscillations in many biological systems
such as electroencephalogram (EEG) activities and gene regulations. Furthermore,
interactions among delay-induced oscillations can generate complex collective
rhythms, which play important functional roles. However, due to their intrinsic
infinite dimensionality, theoretical analysis of interacting delay-induced
oscillations has been limited. Here, we show that the two primary methods for
finite-dimensional limit cycles, namely, the center manifold reduction in the
vicinity of the Hopf bifurcation and the phase reduction for weak interactions,
can successfully be applied to interacting infinite-dimensional delay-induced
oscillations. We systematically derive the complex Ginzburg-Landau equation and
the phase equation without delay for general interaction networks. Based on the
reduced low-dimensional equations, we demonstrate that diffusive (linearly
attractive) coupling between a pair of delay-induced oscillations can exhibit
nontrivial amplitude death and multimodal phase locking. Our analysis provides
unique insights into experimentally observed EEG activities such as sudden
transitions among different phase-locked states and occurrence of epileptic
seizures.
PMID- 22087229
TI - Characterizing the Escherichia coli O157:H7 proteome including protein
associations with higher order assemblies.
AB - BACKGROUND: The recent outbreak of severe infections with Shiga toxin (Stx)
producing Escherichia coli (STEC) serotype O104:H4 highlights the need to
understand horizontal gene transfer among E. coli strains, identify novel
virulence factors and elucidate their pathogenesis. Quantitative shotgun
proteomics can contribute to such objectives, allowing insights into the part of
the genome translated into proteins and the connectivity of biochemical pathways
and higher order assemblies of proteins at the subcellular level.
METHODOLOGY/PRINCIPAL FINDINGS: We examined protein profiles in cell lysate
fractions of STEC strain 86-24 (serotype O157:H7), following growth in cell
culture or bacterial isolation from intestines of infected piglets, in the
context of functionally and structurally characterized biochemical pathways of E.
coli. Protein solubilization in the presence of Triton X-100, EDTA and high salt
was followed by size exclusion chromatography into the approximate M(r) ranges
greater than 280 kDa, 280-80 kDa and 80-10 kDa. Peptide mixtures resulting from
these and the insoluble fraction were analyzed by quantitative 2D-LC-nESI-MS/MS.
Of the 2521 proteins identified at a 1% false discovery rate, representing 47% of
all predicted E. coli O157:H7 gene products, the majority of integral membrane
proteins were enriched in the high M(r) fraction. Hundreds of proteins were
enriched in a M(r) range higher than that predicted for a monomer supporting
their participation in protein complexes. The insoluble STEC fraction revealed
enrichment of aggregation-prone proteins, including many that are part of large
structure/function entities such as the ribosome, cytoskeleton and O-antigen
biosynthesis cluster. SIGNIFICANCE: Nearly all E. coli O157:H7 proteins encoded
by prophage regions were expressed at low abundance levels or not detected.
Comparative quantitative analyses of proteins from distinct cell lysate fractions
allowed us to associate uncharacterized proteins with membrane attachment,
potential participation in stable protein complexes, and susceptibility to
aggregation as part of larger structural assemblies.
PMID- 22087230
TI - Evaluation of transmitral pressure gradients in the intraoperative
echocardiographic diagnosis of mitral stenosis after mitral valve repair.
AB - OBJECTIVE: Acute mitral stenosis (MS) following mitral valve (MV) repair is a
rare but severe complication. We hypothesize that intraoperative echocardiography
can be utilized to diagnose iatrogenic MS immediately after MV repair. METHODS:
The medical records of 552 consecutive patients undergoing MV repair at a single
institution were reviewed. Post-cardiopulmonary bypass peak and mean transmitral
pressure gradients (TMPG), and pressure half time (PHT) were obtained from
intraoperative transesophageal echocardiographic (TEE) examinations in each
patient. RESULTS: Nine patients (9/552 = 1.6%) received a reoperation for primary
MS, prior to hospital discharge. Interestingly, all of these patients already
showed intraoperative post-CPB mean and peak TMPGs that were significantly higher
compared to values for those who did not: 10.7+/-4.8 mmHg vs 2.9+/-1.6 mmHg;
p<0.0001 and 22.9+/-7.9 mmHg vs 7.6+/-3.7 mmHg; p<0.0001, respectively. However,
PHT varied considerably (87+/-37 ms; range: 20-439 ms) within the entire
population, and only weakly predicted the requirement for reoperation (113+/-56
vs. 87+/-37 ms, p = 0.034). Receiver operating characteristic curves showed
strong discriminating ability for mean gradients (AUC = 0.993) and peak gradients
(area under the curve, AUC = 0.996), but poor performance for PHT (AUC = 0.640).
A value of >=7 mmHg for mean, and >=17 mmHg for peak TMPG, best separated
patients who required reoperation for MS from those who did not. CONCLUSIONS:
Intraoperative TEE diagnosis of a peak TMPG >=17 mmHg or mean TMPG >=7 mmHg
immediately following CPB are suggestive of clinically relevant MS after MV
repair.
PMID- 22087231
TI - Psychometric properties of the Nurses Work Functioning Questionnaire (NWFQ).
AB - OBJECTIVES: The Nurses Work Functioning Questionnaire (NWFQ) is a 50-item self
report questionnaire specifically developed for nurses and allied health
professionals. Its seven subscales measure impairments in the work functioning
due to common mental disorders. Aim of this study is to evaluate the psychometric
properties of the NWFQ, by assessing reproducibility and construct validity.
METHODS: The questionnaire was administered to 314 nurses and allied health
professionals with a re-test in 112 subjects. Reproducibility was assessed by the
intraclass correlations coefficients (ICC) and the standard error of measurement
(SEM). For construct validity, correlations were calculated with a general work
functioning scale, the Endicott Work Productivity Scale (EWPS) (convergent
validity) and with a physical functioning scale (divergent validity). For
discriminative validity, a Mann Whitney U test was performed testing for
significant differences between subjects with mental health complaints and
without. RESULTS: All subscales showed good reliability (ICC: 0.72-0.86), except
for one (ICC = 0.16). Convergent validity was good in six subscales, correlations
ranged from 0.38-0.62. However, in one subscale the correlation with the EWPS was
too low (0.22). Divergent validity was good in all subscales based on
correlations ranged from (-0.06)-(-0.23). Discriminative validity was good in all
subscales, based on significant differences between subjects with and without
mental health complaints (p<0.001-p = 0.003). CONCLUSION: The NWFQ demonstrates
good psychometric properties, for six of the seven subscales. Subscale "impaired
decision making" needs improvement before further use.
PMID- 22087232
TI - Candida albicans yeast and hyphae are discriminated by MAPK signaling in vaginal
epithelial cells.
AB - We previously reported that a bi-phasic innate immune MAPK response, constituting
activation of the mitogen-activated protein kinase (MAPK) phosphatase MKP1 and c
Fos transcription factor, discriminates between the yeast and hyphal forms of
Candida albicans in oral epithelial cells (ECs). Since the vast majority of
mucosal Candida infections are vaginal, we sought to determine whether a similar
bi-phasic MAPK-based immune response was activated by C. albicans in vaginal ECs.
Here, we demonstrate that vaginal ECs orchestrate an innate response to C.
albicans via NF-kappaB and MAPK signaling pathways. However, unlike in oral ECs,
the first MAPK response, defined by c-Jun transcription factor activation, is
delayed until 2 h in vaginal ECs but is still independent of hypha formation. The
'second' or 'late' MAPK response, constituting MKP1 and c-Fos transcription
factor activation, is identical to oral ECs and is dependent upon both hypha
formation and fungal burdens. NF-kappaB activation is immediate but independent
of morphology. Furthermore, the proinflammatory response in vaginal ECs is
different to oral ECs, with an absence of G-CSF and CCL20 and low level IL-6
production. Therefore, differences exist in how C. albicans activates signaling
mechanisms in oral and vaginal ECs; however, the activation of MAPK-based
pathways that discriminate between yeast and hyphal forms is retained between
these mucosal sites. We conclude that this MAPK-based signaling pathway is a
common mechanism enabling different human epithelial tissues to orchestrate
innate immune responses specifically against C. albicans hyphae.
PMID- 22087233
TI - Massively parallel amplicon sequencing reveals isotype-specific variability of
antimicrobial peptide transcripts in Mytilus galloprovincialis.
AB - BACKGROUND: Effective innate responses against potential pathogens are essential
in the living world and possibly contributed to the evolutionary success of
invertebrates. Taken together, antimicrobial peptide (AMP) precursors of
defensin, mytilin, myticin and mytimycin can represent about 40% of the hemocyte
transcriptome in mussels injected with viral-like and bacterial preparations, and
unique profiles of myticin C variants are expressed in single mussels. Based on
amplicon pyrosequencing, we have ascertained and compared the natural and Vibrio
induced diversity of AMP transcripts in mussel hemocytes from three European
regions. METHODOLOGY/PRINCIPAL FINDINGS: Hemolymph was collected from mussels
farmed in the coastal regions of Palavas (France), Vigo (Spain) and Venice
(Italy). To represent the AMP families known in M. galloprovincialis, nine
transcript sequences have been selected, amplified from hemocyte RNA and
subjected to pyrosequencing. Hemolymph from farmed (offshore) and wild (lagoon)
Venice mussels, both injected with 10(7) Vibrio cells, were similarly processed.
Amplicon pyrosequencing emphasized the AMP transcript diversity, with Single
Nucleotide Changes (SNC) minimal for mytilin B/C and maximal for arthropod-like
defensin and myticin C. Ratio of non-synonymous vs. synonymous changes also
greatly differed between AMP isotypes. Overall, each amplicon revealed similar
levels of nucleotidic variation across geographical regions, with two main
sequence patterns confirmed for mytimycin and no substantial changes after
immunostimulation. CONCLUSIONS/SIGNIFICANCE: Barcoding and bidirectional
pyrosequencing allowed us to map and compare the transcript diversity of known
mussel AMPs. Though most of the genuine cds variation was common to the analyzed
samples we could estimate from 9 to 106 peptide variants in hemolymph pools
representing 100 mussels, depending on the AMP isoform and sampling site. In this
study, no prevailing SNC patterns related to geographical origin or Vibrio
injection emerged. Whether or not the contact with potential pathogens can
increase the amount of AMP transcript variants in mussels requires additional
study.
PMID- 22087234
TI - Wnt signaling cross-talks with JH signaling by suppressing Met and gce
expression.
AB - Juvenile hormone (JH) plays key roles in controlling insect growth and
metamorphosis. However, relatively little is known about the JH signaling
pathways. Until recent years, increasing evidence has suggested that JH modulates
the action of 20-hydroxyecdysone (20E) by regulating expression of broad (br), a
20E early response gene, through Met/Gce and Kr-h1. To identify other genes
involved in JH signaling, we designed a novel Drosophila genetic screen to
isolate mutations that derepress JH-mediated br suppression at early larval
stages. We found that mutations in three Wnt signaling negative regulators in
Drosophila, Axin (Axn), supernumerary limbs (slmb), and naked cuticle (nkd),
caused precocious br expression, which could not be blocked by exogenous JHA. A
similar phenotype was observed when armadillo (arm), the mediator of Wnt
signaling, was overexpressed. qRT-PCR revealed that Met, gce and Kr-h1expression
was suppressed in the Axn, slmb and nkd mutants as well as in arm gain-of
function larvae. Furthermore, ectopic expression of gce restored Kr-h1 expression
but not Met expression in the arm gain-of-function larvae. Taken together, we
conclude that Wnt signaling cross-talks with JH signaling by suppressing
transcription of Met and gce, genes that encode for putative JH receptors. The
reduced JH activity further induces down-regulation of Kr-h1expression and
eventually derepresses br expression in the Drosophila early larval stages.
PMID- 22087235
TI - A focused in situ hybridization screen identifies candidate transcriptional
regulators of thymic epithelial cell development and function.
AB - BACKGROUND: Thymic epithelial cells (TECs) are necessary for normal T cell
development. Currently, one transcription factor, Foxn1 is known to be necessary
for the progression of fetal TEC differentiation. However, some aspects of fetal
TEC differentiation occur in Foxn1 mutants, suggesting the existence of
additional transcriptional regulators of TEC differentiation. The goal of this
study was to identify some of the additional candidate transcription factors that
may be involved in the specification and/or differentiation of TECs during fetal
development. METHODOLOGY/PRINCIPAL FINDINGS: We identified candidate fetal TEC
transcriptional regulators via data and text mining. From our data mining we
selected the transcription factors Foxg1, Isl1, Gata3, Nkx2-5, Nkx2-6 and Sox2
for further studies. Whole mount in situ hybridizations confirmed the expression
of these transcription factors within subdomains of the third pharyngeal pouch
from E9.5-E10.5. By E11.5 days Foxg1 and Isl1 transcripts were the only mRNAs
from this group of genes detected exclusively within the thymus domain of the
third pouch. Based on this initial in situ hybridization analysis, we focused on
defining the expression of Foxg1 and Isl1 during multiple stages of thymus
development and TEC differentiation. We found that Foxg1 and Isl1 are
specifically expressed in differentiating TECs during fetal and postnatal stages
of thymus development. In addition, we found differential expression of Islet1
and Foxn1 within the fetal and postnatal TEC population.
CONCLUSIONS/SIGNIFICANCE: Our studies have identified two developmental
transcription factors that are excellent candidate regulators of thymic
epithelial cell specification and differentiation during fetal development. Our
results suggest that Foxg1 and Isl1 may play a role in the regulation of TEC
differentiation during fetal and postnatal stages. Our results also demonstrate
heterogeneity of TECs marked by the differential expression of transcription
factors, potentially providing new insights into the regulation of TEC
differentiation.
PMID- 22087236
TI - Induction of PGC-1alpha expression can be detected in blood samples of patients
with ST-segment elevation acute myocardial infarction.
AB - Following acute myocardial infarction (MI), cardiomyocyte survival depends on its
mitochondrial oxidative capacity. Cell death is normally followed by activation
of the immune system. Peroxisome proliferator activated receptor gamma
coactivator 1alpha (PGC-1alpha) is a transcriptional coactivator and a master
regulator of cardiac oxidative metabolism. PGC-1alpha is induced by hypoxia and
facilitates the recovery of the contractile capacity of the cardiac muscle
following an artery ligation procedure. We hypothesized that PGC-1alpha activity
could serve as a good molecular marker of cardiac recovery after a coronary
event. The objective of the present study was to monitor the levels of PGC-1alpha
following an ST-segment elevation acute myocardial infarction (STEMI) episode in
blood samples of the affected patients. Analysis of blood mononuclear cells from
human patients following an STEMI showed that PGC-1alpha expression was increased
and the level of induction correlated with the infarct size. Infarct size was
determined by LGE-CMR (late gadolinium enhancement on cardiac magnetic
resonance), used to estimate the percentage of necrotic area. Cardiac markers,
maximum creatine kinase (CK-MB) and Troponin I (TnI) levels, left ventricular
ejection function (LVEF) and regional wall motion abnormalities (RWMA) as
determined by echocardiography were also used to monitor cardiac injury. We also
found that PGC-1alpha is present and active in mouse lymphocytes where its
expression is induced upon activation and can be detected in the nuclear fraction
of blood samples. These results support the notion that induction of PGC-1alpha
expression can be part of the recovery response to an STEMI and could serve as a
prognosis factor of cardiac recovery.
PMID- 22087237
TI - Improving the estimation of celiac disease sibling risk by non-HLA genes.
AB - Celiac Disease (CD) is a polygenic trait, and HLA genes explain less than half of
the genetic variation. Through large GWAs more than 40 associated non-HLA genes
were identified, but they give a small contribution to the heritability of the
disease. The aim of this study is to improve the estimate of the CD risk in
siblings, by adding to HLA a small set of non-HLA genes. One-hundred fifty-seven
Italian families with a confirmed CD case and at least one other sib and both
parents were recruited. Among 249 sibs, 29 developed CD in a 6 year follow-up
period. All individuals were typed for HLA and 10 SNPs in non-HLA genes:
CCR1/CCR3 (rs6441961), IL12A/SCHIP1 and IL12A (rs17810546 and rs9811792), TAGAP
(rs1738074), RGS1 (rs2816316), LPP (rs1464510), OLIG3 (rs2327832), REL
(rs842647), IL2/IL21 (rs6822844), SH2B3 (rs3184504). Three associated SNPs (in
LPP, REL, and RGS1 genes) were identified through the Transmission Disequilibrium
Test and a Bayesian approach was used to assign a score (BS) to each detected
HLA+SNPs genotype combination. We then classified CD sibs as at low or at high
risk if their BS was respectively < or >= median BS value within each HLA risk
group. A larger number (72%) of CD sibs showed a BS >= the median value and had a
more than two fold higher OR than CD sibs with a BS value < the median (O.R =
2.53, p = 0.047). Our HLA+SNPs genotype classification, showed both a higher
predictive negative value (95% vs 91%) and diagnostic sensitivity (79% vs 45%)
than the HLA only. In conclusion, the estimate of the CD risk by HLA+SNPs
approach, even if not applicable to prevention, could be a precious tool to
improve the prediction of the disease in a cohort of first degree relatives,
particularly in the low HLA risk groups.
PMID- 22087238
TI - A bayesian mixed regression based prediction of quantitative traits from
molecular marker and gene expression data.
AB - Both molecular marker and gene expression data were considered alone as well as
jointly to serve as additive predictors for two pathogen-activity-phenotypes in
real recombinant inbred lines of soybean. For unobserved phenotype prediction, we
used a bayesian hierarchical regression modeling, where the number of possible
predictors in the model was controlled by different selection strategies tested.
Our initial findings were submitted for DREAM5 (the 5th Dialogue on Reverse
Engineering Assessment and Methods challenge) and were judged to be the best in
sub-challenge B3 wherein both functional genomic and genetic data were used to
predict the phenotypes. In this work we further improve upon this previous work
by considering various predictor selection strategies and cross-validation was
used to measure accuracy of in-data and out-data predictions. The results from
various model choices indicate that for this data use of both data types (namely
functional genomic and genetic) simultaneously improves out-data prediction
accuracy. Adequate goodness-of-fit can be easily achieved with more complex
models for both phenotypes, since the number of potential predictors is large and
the sample size is not small. We also further studied gene-set enrichment (for
continuous phenotype) in the biological process in question and chromosomal
enrichment of the gene set. The methodological contribution of this paper is in
exploration of variable selection techniques to alleviate the problem of over
fitting. Different strategies based on the nature of covariates were explored and
all methods were implemented under the bayesian hierarchical modeling framework
with indicator-based covariate selection. All the models based in careful
variable selection procedure were found to produce significant results based on
permutation test.
PMID- 22087239
TI - Genome-wide functional analysis of the cotton transcriptome by creating an
integrated EST database.
AB - A total of 28,432 unique contigs (25,371 in consensus contigs and 3,061 as
singletons) were assembled from all 268,786 cotton ESTs currently available.
Several in silico approaches [comparative genomics, Blast, Gene Ontology (GO)
analysis, and pathway enrichment by Kyoto Encyclopedia of Genes and Genomes
(KEGG)] were employed to investigate global functions of the cotton
transcriptome. Cotton EST contigs were clustered into 5,461 groups with a maximum
cluster size of 196 members. A total of 27,956 indel mutants and 149,616 single
nucleotide polymorphisms (SNPs) were identified from consensus contigs.
Interestingly, many contigs with significantly high frequencies of indels or SNPs
encode transcription factors and protein kinases. In a comparison with six model
plant species, cotton ESTs show the highest overall similarity to grape. A total
of 87 cotton miRNAs were identified; 59 of these have not been reported
previously from experimental or bioinformatics investigations. We also predicted
3,260 genes as miRNAs targets, which are associated with multiple biological
functions, including stress response, metabolism, hormone signal transduction and
fiber development. We identified 151 and 4,214 EST-simple sequence repeats (SSRs)
from contigs and raw ESTs respectively. To make these data widely available, and
to facilitate access to EST-related genetic information, we integrated our
results into a comprehensive, fully downloadable web-based cotton EST database
(www.leonxie.com).
PMID- 22087240
TI - Post eclosion age predicts the prevalence of midgut trypanosome infections in
Glossina.
AB - The teneral phenomenon, as observed in Glossina sp., refers to the increased
susceptibility of the fly to trypanosome infection when the first bloodmeal taken
is trypanosome-infected. In recent years, the term teneral has gradually become
synonymous with unfed, and thus fails to consider the age of the newly emerged
fly at the time the first bloodmeal is taken. Furthermore, conflicting evidence
exists of the effect of the age of the teneral fly post eclosion when it is given
the infected first bloodmeal in determining the infection prevalence. This study
demonstrates that it is not the feeding history of the fly but rather the age
(hours after eclosion of the fly from the puparium) of the fly when it takes the
first (infective) bloodmeal that determines the level of fly susceptibility to
trypanosome infection. We examine this phenomenon in male and female flies from
two distinct tsetse clades (Glossina morsitans morsitans and Glossina palpalis
palpalis) infected with two salivarian trypanosome species, Trypanosoma
(Trypanozoon) brucei brucei and Trypanosoma (Nannomonas) congolense using
Fisher's exact test to examine differences in infection rates. Teneral tsetse
aged less than 24 hours post-eclosion (h.p.e.) are twice as susceptible to
trypanosome infection as flies aged 48 h.p.e. This trend is conserved across sex,
vector clade and parasite species. The life cycle stage of the parasite fed to
the fly (mammalian versus insect form trypanosomes) does not alter this age
related bias in infection. Reducing the numbers of parasites fed to 48 h.p.e.,
but not to 24 h.p.e. flies, increases teneral refractoriness. The importance of
this phenomenon in disease biology in the field as well as the necessity of
employing flies of consistent age in laboratory-based infection studies is
discussed.
PMID- 22087241
TI - Rosiglitazone-induced mitochondrial biogenesis in white adipose tissue is
independent of peroxisome proliferator-activated receptor gamma coactivator
1alpha.
AB - BACKGROUND: Thiazolidinediones, a family of insulin-sensitizing drugs commonly
used to treat type 2 diabetes, are thought to exert their effects in part by
promoting mitochondrial biogenesis in white adipose tissue through the
transcriptional coactivator PGC-1alpha (Peroxisome Proliferator-Activated
Receptor gamma Coactivator-1alpha). METHODOLOGY/PRINCIPAL FINDINGS: To assess the
role of PGC-1alpha in the control of rosiglitazone-induced mitochondrial
biogenesis, we have generated a mouse model that lacks expression of PGC-1alpha
specifically in adipose tissues (PGC-1alpha-FAT-KO mice). We found that
expression of genes encoding for mitochondrial proteins involved in oxidative
phosphorylation, tricarboxylic acid cycle or fatty acid oxidation, was similar in
white adipose tissue of wild type and PGC-1alpha-FAT-KO mice. Furthermore, the
absence of PGC-1alpha did not prevent the positive effect of rosiglitazone on
mitochondrial gene expression or biogenesis, but it precluded the induction by
rosiglitazone of UCP1 and other brown fat-specific genes in white adipose tissue.
Consistent with the in vivo findings, basal and rosiglitazone-induced
mitochondrial gene expression in 3T3-L1 adipocytes was unaffected by the
knockdown of PGC-1alpha but it was impaired when PGC-1beta expression was
knockdown by the use of specific siRNA. CONCLUSIONS/SIGNIFICANCE: These results
indicate that in white adipose tissue PGC-1alpha is dispensable for basal and
rosiglitazone-induced mitochondrial biogenesis but required for the rosiglitazone
induced expression of UCP1 and other brown adipocyte-specific markers. Our study
suggests that PGC-1alpha is important for the appearance of brown adipocytes in
white adipose tissue. Our findings also provide evidence that PGC-1beta and not
PGC-1alpha regulates basal and rosiglitazone-induced mitochondrial gene
expression in white adipocytes.
PMID- 22087242
TI - Classification of sharks in the Egyptian Mediterranean waters using morphological
and DNA barcoding approaches.
AB - The identification of species constitutes the first basic step in phylogenetic
studies, biodiversity monitoring and conservation. DNA barcoding, i.e. the
sequencing of a short standardized region of DNA, has been proposed as a new tool
for animal species identification. The present study provides an update on the
composition of shark in the Egyptian Mediterranean waters off Alexandria, since
the latest study to date was performed 30 years ago, DNA barcoding was used in
addition to classical taxonomical methodologies. Thus, 51 specimen were DNA
barcoded for a 667 bp region of the mitochondrial COI gene. Although DNA
barcoding aims at developing species identification systems, some phylogenetic
signals were apparent in the data. In the neighbor-joining tree, 8 major clusters
were apparent, each of them containing individuals belonging to the same species,
and most with 100% bootstrap value. This study is the first to our knowledge to
use DNA barcoding of the mitochondrial COI gene in order to confirm the presence
of species Squalus acanthias, Oxynotus centrina, Squatina squatina, Scyliorhinus
canicula, Scyliorhinus stellaris, Mustelus mustelus, Mustelus punctulatus and
Carcharhinus altimus in the Egyptian Mediterranean waters. Finally, our study is
the starting point of a new barcoding database concerning shark composition in
the Egyptian Mediterranean waters (Barcoding of Egyptian Mediterranean Sharks
[BEMS],
http://www.boldsystems.org/views/projectlist.php?Barcoding%20Fish%20%28FishBOL%
9).
PMID- 22087243
TI - Features, causes and consequences of splanchnic sequestration of amino acid in
old rats.
AB - RATIONALE: In elderly subjects, splanchnic extraction of amino acids (AA)
increases during meals in a process known as splanchnic sequestration of amino
acids (SSAA). This process potentially contributes to the age-related progressive
decline in muscle mass via reduced peripheral availability of dietary AA. SSAA
mechanisms are unknown but may involve an increased net utilization of ingested
AA in the splanchnic area. OBJECTIVES: Using stable isotope methodology in fed
adult and old rats to provide insight into age-related SSAA using three
hypotheses: 1) an increase in protein synthesis in the gut and/or the liver, 2)
an increase in AA oxidation related to an increased ureagenesis, and 3) Kupffer
cell (KC) activation consequently to age-related low-grade inflammation.
FINDINGS: Splanchnic extraction of Leu (SPELeu) was doubled in old rats compared
to adult rats and was not changed after KC inactivation. No age-related effects
on gut and liver protein synthesis were observed, but urea synthesis was lower in
old rats and negatively correlated to liver Arg utilization. Net whole-body
protein synthesis and arterial AA levels were lower in old rats and correlated
negatively with SPELeu. CONCLUSION: SSAA is not the consequence of age-related
alterations in ureagenesis, gut or liver protein synthesis or of KC activity.
However, SSAA may be related to reduced net whole-body protein synthesis and
consequently to the reduced lean body mass that occurs during aging.
PMID- 22087244
TI - A molecular phylogeny of the Chalcidoidea (Hymenoptera).
AB - Chalcidoidea (Hymenoptera) are extremely diverse with more than 23,000 species
described and over 500,000 species estimated to exist. This is the first
comprehensive phylogenetic analysis of the superfamily based on a molecular
analysis of 18S and 28S ribosomal gene regions for 19 families, 72 subfamilies,
343 genera and 649 species. The 56 outgroups are comprised of Ceraphronoidea and
most proctotrupomorph families, including Mymarommatidae. Data alignment and the
impact of ambiguous regions are explored using a secondary structure analysis and
automated (MAFFT) alignments of the core and pairing regions and regions of
ambiguous alignment. Both likelihood and parsimony approaches are used to analyze
the data. Overall there is no impact of alignment method, and few but substantial
differences between likelihood and parsimony approaches. Monophyly of
Chalcidoidea and a sister group relationship between Mymaridae and the remaining
Chalcidoidea is strongly supported in all analyses. Either Mymarommatoidea or
Diaprioidea are the sister group of Chalcidoidea depending on the analysis.
Likelihood analyses place Rotoitidae as the sister group of the remaining
Chalcidoidea after Mymaridae, whereas parsimony nests them within Chalcidoidea.
Some traditional family groups are supported as monophyletic (Agaonidae,
Eucharitidae, Encyrtidae, Eulophidae, Leucospidae, Mymaridae, Ormyridae,
Signiphoridae, Tanaostigmatidae and Trichogrammatidae). Several other families
are paraphyletic (Perilampidae) or polyphyletic (Aphelinidae, Chalcididae,
Eupelmidae, Eurytomidae, Pteromalidae, Tetracampidae and Torymidae). Evolutionary
scenarios discussed for Chalcidoidea include the evolution of phytophagy, egg
parasitism, sternorrhynchan parasitism, hypermetamorphic development and
heteronomy.
PMID- 22087245
TI - Serum microRNA expression profile distinguishes enterovirus 71 and coxsackievirus
16 infections in patients with hand-foot-and-mouth disease.
AB - Altered circulating microRNA (miRNA) profiles have been noted in patients with
microbial infections. We compared host serum miRNA levels in patients with hand
foot-and-mouth disease (HFMD) caused by enterovirus 71 (EV71) and coxsackievirus
16 (CVA16) as well as in other microbial infections and in healthy individuals.
Among 664 different miRNAs analyzed using a miRNA array, 102 were up-regulated
and 26 were down-regulated in sera of patients with enteroviral infections.
Expression levels of ten candidate miRNAs were further evaluated by quantitative
real-time PCR assays. A receiver operating characteristic (ROC) curve analysis
revealed that six miRNAs (miR-148a, miR-143, miR-324-3p, miR-628-3p, miR-140-5p,
and miR-362-3p) were able to discriminate patients with enterovirus infections
from healthy controls with area under curve (AUC) values ranged from 0.828 to
0.934. The combined six miRNA using multiple logistic regression analysis
provided not only a sensitivity of 97.1% and a specificity of 92.7% but also a
unique profile that differentiated enterovirial infections from other microbial
infections. Expression levels of five miRNAs (miR-148a, miR-143, miR-324-3p, miR
545, and miR-140-5p) were significantly increased in patients with CVA16 versus
those with EV71 (p<0.05). Combination of miR-545, miR-324-3p, and miR-143
possessed a moderate ability to discrimination between CVA16 and EV71 with an AUC
value of 0.761. These data indicate that sera from patients with different
subtypes of enteroviral infection express unique miRNA profiles. Serum miRNA
expression profiles may provide supplemental biomarkers for diagnosing and
subtyping enteroviral HFMD infections.
PMID- 22087246
TI - High glucose promotes pancreatic cancer cell proliferation via the induction of
EGF expression and transactivation of EGFR.
AB - Multiple lines of evidence suggest that a large portion of pancreatic cancer
patients suffer from either hyperglycemia or diabetes, both of which are
characterized by high blood glucose level. However, the underlying biological
mechanism of this phenomenon is largely unknown. In the present study, we
demonstrated that the proliferative ability of two human pancreatic cancer cell
lines, BxPC-3 and Panc-1, was upregulated by high glucose in a concentration
dependent manner. Furthermore, the promoting effect of high glucose levels on EGF
transcription and secretion but not its receptors in these PC cell lines was
detected by using an EGF-neutralizing antibody and RT-PCR. In addition, the EGFR
transactivation is induced by high glucose levels in concentration- and time
dependent manners in PC cells in the presence of the EGF-neutralizing antibody.
These results suggest that high glucose promotes pancreatic cancer cell
proliferation via the induction of EGF expression and transactivation of EGFR.
Our findings may provide new insight on the links between high glucose level and
PC in terms of the molecular mechanism and reveal a novel therapeutic strategy
for PC patients who simultaneously suffer from either diabetes or hyperglycemia.
PMID- 22087247
TI - Extracellular matrix from porcine small intestinal submucosa (SIS) as immune
adjuvants.
AB - Porcine small intestinal submucosa (SIS) of Cook Biotech is licensed and widely
used for tissue remodeling in humans. SIS was shown to be highly effective as an
adjuvant in model studies with prostate and ovarian cancer vaccines. However, SIS
adjuvanticity relative to alum, another important human-licensed adjuvant, has
not yet been delineated in terms of activation of innate immunity via
inflammasomes and boosting of antibody responses to soluble proteins and hapten
protein conjugates. We used ovalbumin, and a hapten-protein conjugate, phthalate
keyhole limpet hemocyanin. The evaluation of SIS was conducted in BALB/c and
C57BL/6 mice using both intraperitoneal and subcutaneous routes. Inflammatory
responses were studied by microarray profiling of chemokines and cytokines and by
qPCR of inflammasomes-related genes. Results showed that SIS affected cytokine
and chemokines microenvironments such as up-regulation of IL-4 and CD30-ligand
and activation of chemotactic factors LIX and KC (neutrophil chemotactic
factors), MCP-1 (monocytes chemotactic factors), MIP 1-alpha (macrophage
chemotactic factor) and lymphotactin, as well as, growth factors like M-CSF. SIS
also promoted gene expression of Nod-like receptors (NLR) and associated
downstream effectors. However, in contrast to alum, SIS had no effects on pro
inflammatory cytokines (IL-6, IL-1beta, TNF-alpha) or NLRP3, but it appeared to
promote both Th1 and Th2 responses under different conditions. Lastly, it was as
effective as alum in engendering a lasting and specific antibody response,
primarily of IgG1 type.
PMID- 22087248
TI - The PNPLA3 rs738409 148M/M genotype is a risk factor for liver cancer in
alcoholic cirrhosis but shows no or weak association in hepatitis C cirrhosis.
AB - BACKGROUND: An isoleucine>methionine mutation at position 148 in the PNPLA3 gene
(p.I148M, rs738409) has recently been identified as a susceptibility factor for
liver damage in steatohepatitis. Here, we studied whether the PNPLA3 rs738409
polymorphism also affects predisposition to hepatocellular carcinoma (HCC).
METHODS: We compared distributions of PNPLA3 genotypes in 80 and 81 Caucasian
patients with alcoholic and hepatitis C virus (HCV)-associated HCC to 80 and 81
age- and sex-matched patients with alcohol-related and HCV-related cirrhosis
without HCC, respectively. PNPLA3 genotypes in 190 healthy individuals from the
same population served as reference. Potential confounders obesity, diabetes, HCV
genotype and HBV co-infection were controlled by univariate and multivariate
logistic regression with forward variable selection. RESULTS: PNPLA3 genotypes
were in Hardy-Weinberg equilibrium for all study groups. The frequency of the
148M allele was significantly (p<0.001) increased in alcoholic cirrhosis with
(53.7%) and without HCC (36.2%) but was not different between healthy controls
(22.9%) and patients with cirrhosis (25.3%; p = 0.545) and HCC (30.2%; p = 0.071)
due to hepatitis C. HCC risk was highest in 148M/M homozygous patients with
alcoholic liver disease (odds ratio (OR) 16.8 versus healthy controls; 95%
confidence interval (CI) 6.68-42.43, p<0.001). Finally, multivariate regression
confirmed 148M/M homozygosity (OR 2.8; 95%-CI: 1.24-6.42; p = 0.013) as HCC risk
factor in alcoholic cirrhosis. In HCV-related cirrhosis only HCV genotype 1 was
confirmed as a HCC risk factor (OR 4.2; 95%-CI: 1.50-11.52; p = 0.006).
CONCLUSION: The PNPLA3 148M variant is a prominent risk factor for HCC in
patients with alcoholic cirrhosis, while its effects are negligible in patients
with cirrhosis due to HCV. This polymorphism provides an useful tool to identify
individuals with particularly high HCC risk in patients with alcoholic liver
disease that should be taken into account in future HCC prevention studies.
PMID- 22087249
TI - Nutrient sensing kinases PKA and Sch9 phosphorylate the catalytic domain of the
ubiquitin-conjugating enzyme Cdc34.
AB - Cell division is controlled in part by the timely activation of the CDK, Cdc28,
through its association with G1 and G2 cyclins. Cdc28 complexes are regulated in
turn by the ubiquitin conjugating enzyme Cdc34 and SCF ubiquitin ligase complexes
of the ubiquitin-proteasome system (UPS) to control the initiation of DNA
replication. Here we demonstrate that the nutrient sensing kinases PKA and Sch9
phosphorylate S97 of Cdc34. S97 is conserved across species and restricted to the
catalytic domain of Cdc34/Ubc7-like E2s. Cdc34-S97 phosphorylation is cell cycle
regulated, elevated during active cell growth and division and decreased during
cell cycle arrest. Cell growth and cell division are orchestrated to maintain
cell size homeostasis over a wide range of nutrient conditions. Cells monitor
changes in their environment through nutrient sensing protein kinases. Thus Cdc34
phosphorylation by PKA and Sch9 provides a direct tether between G1 cell division
events and cell growth.
PMID- 22087250
TI - Elevated levels of the vesicular monoamine transporter and a novel repetitive
behavior in the Drosophila model of fragile X syndrome.
AB - Fragile X Syndrome (FXS) is characterized by mental impairment and autism in
humans, and it often features hyperactivity and repetitive behaviors. The
mechanisms for the disease, however, remain poorly understood. Here we report
that the dfmr1 mutant in the Drosophila model of FXS grooms excessively, which
may be regulated differentially by two signaling pathways. Blocking metabotropic
glutamate receptor signaling enhances grooming in dfmr1 mutant flies, whereas
blocking the vesicular monoamine transporter (VMAT) suppresses excessive
grooming. dfmr1 mutant flies also exhibit elevated levels of VMAT mRNA and
protein. These results suggest that enhanced monoamine signaling correlates with
repetitive behaviors and hyperactivity associated with FXS.
PMID- 22087251
TI - Prolyl hydroxylase PHD3 enhances the hypoxic survival and G1 to S transition of
carcinoma cells.
AB - Hypoxia restricts cell proliferation and cell cycle progression at the G1/S
interface but at least a subpopulation of carcinoma cells can escape the
restriction. In carcinoma hypoxia may in fact select for cells with enhanced
hypoxic survival and increased aggressiveness. The cellular oxygen sensors HIF
proline hydroxylases (PHDs) adapt the cellular functions to lowered environmental
oxygen tension. PHD3 isoform has shown the strongest hypoxic upregulation among
the family members. We detected a strong PHD3 mRNA expression in tumors of head
and neck squamous cell carcinoma (HNSCC). The PHD3 expression associated with
expression of hypoxic marker gene. Using siRNA in cell lines derived from HNSCC
we show that specific inhibition of PHD3 expression in carcinoma cells caused
reduced cell survival in hypoxia. The loss of PHD3, but not that of PHD2, led to
marked cell number reduction. Although caspase-3 was activated at early hypoxia
no induction of apoptosis was detected. However, hypoxic PHD3 inhibition caused a
block in cell cycle progression. Cell population in G1 phase was increased and
the population in S phase reduced demonstrating a block in G1 to S transition
under PHD3 inhibition. In line with this, the level of hyperphosphorylated
retinoblastoma protein Rb was reduced by PHD3 knock-down in hypoxia. PHD3 loss
led to increase in cyclin-dependent kinase inhibitor p27 expression but not that
of p21 or p16. The data demonstrated that increased PHD3 expression under hypoxia
enhances cell cycle progression and survival of carcinoma cells.
PMID- 22087252
TI - Increasing genetic variance of body mass index during the Swedish obesity
epidemic.
AB - BACKGROUND AND OBJECTIVES: There is no doubt that the dramatic worldwide increase
in obesity prevalence is due to changes in environmental factors. However, twin
and family studies suggest that genetic differences are responsible for the major
part of the variation in adiposity within populations. Recent studies show that
the genetic effects on body mass index (BMI) may be stronger when combined with
presumed risk factors for obesity. We tested the hypothesis that the genetic
variance of BMI has increased during the obesity epidemic. METHODS: The data
comprised height and weight measurements of 1,474,065 Swedish conscripts at age
18-19 y born between 1951 and 1983. The data were linked to the Swedish Multi
Generation Register and the Swedish Twin Register from which 264,796 full-brother
pairs, 1,736 monozygotic (MZ) and 1,961 dizygotic (DZ) twin pairs were
identified. The twin pairs were analysed to identify the most parsimonious model
for the genetic and environmental contribution to BMI variance. The full-brother
pairs were subsequently divided into subgroups by year of birth to investigate
trends in the genetic variance of BMI. RESULTS: The twin analysis showed that BMI
variation could be explained by additive genetic and environmental factors not
shared by co-twins. On the basis of the analyses of the full-siblings, the
additive genetic variance of BMI increased from 4.3 [95% CI 4.04-4.53] to 7.9
[95% CI 7.28-8.54] within the study period, as did the unique environmental
variance, which increased from 1.4 [95% CI 1.32-1.48] to 2.0 [95% CI 1.89-2.22].
The BMI heritability increased from 75% to 78.8%. CONCLUSION: The results confirm
the hypothesis that the additive genetic variance of BMI has increased strongly
during the obesity epidemic. This suggests that the obesogenic environment has
enhanced the influence of adiposity related genes.
PMID- 22087253
TI - Functional characterization and cellular dynamics of the CDC-42 - RAC - CDC-24
module in Neurospora crassa.
AB - Rho-type GTPases are key regulators that control eukaryotic cell polarity, but
their role in fungal morphogenesis is only beginning to emerge. In this study, we
investigate the role of the CDC-42 - RAC - CDC-24 module in Neurospora crassa.
rac and cdc-42 deletion mutants are viable, but generate highly compact colonies
with severe morphological defects. Double mutants carrying conditional and loss
of function alleles of rac and cdc-42 are lethal, indicating that both GTPases
share at least one common essential function. The defects of the GTPase mutants
are phenocopied by deletion and conditional alleles of the guanine exchange
factor (GEF) cdc-24, and in vitro GDP-GTP exchange assays identify CDC-24 as
specific GEF for both CDC-42 and RAC. In vivo confocal microscopy shows that this
module is organized as membrane-associated cap that covers the hyphal apex.
However, the specific localization patterns of the three proteins are distinct,
indicating different functions of RAC and CDC-42 within the hyphal tip. CDC-42
localized as confined apical membrane-associated crescent, while RAC labeled a
membrane-associated ring excluding the region labeled by CDC42. The GEF CDC-24
occupied a strategic position, localizing as broad apical membrane-associated
crescent and in the apical cytosol excluding the Spitzenkorper. RAC and CDC-42
also display distinct localization patterns during branch initiation and germ
tube formation, with CDC-42 accumulating at the plasma membrane before RAC.
Together with the distinct cellular defects of rac and cdc-42 mutants, these
localizations suggest that CDC-42 is more important for polarity establishment,
while the primary function of RAC may be maintaining polarity. In summary, this
study identifies CDC-24 as essential regulator for RAC and CDC-42 that have
common and distinct functions during polarity establishment and maintenance of
cell polarity in N. crassa.
PMID- 22087254
TI - Direct transcriptional control of a p38 MAPK pathway by the circadian clock in
Neurospora crassa.
AB - MAPK signal transduction pathways are important regulators of stress responses,
cellular growth, and differentiation. In Neurospora, the circadian clock controls
rhythms in phosphorylation of the p38-like MAPK (OS-2); however, the mechanism
for this regulation is not known. We show that the WCC, a transcription factor
and clock component, binds to the os-4 MAPKKK promoter in response to light and
rhythmically in constant darkness, peaking in the subjective morning. Deletion of
the WCC binding sites in the os-4 promoter disrupts both os-4 mRNA and OS-2
phosphorylation rhythms. The clock also indirectly regulates rhythmic expression
of the histidyl-phosphotransferase gene, hpt-1, which peaks in the evening. Anti
phase expression of positive (OS-4) and negative (HPT-1) MAPK pathway regulators
likely coordinate to enhance rhythmic MAPK activation to prepare cells to respond
to osmotic stress during the day in the natural environment. Consistent with this
idea, we show that wild type cells have a clock-dependent morning kinetic
advantage in glycerol accumulation after salt stress as compared to evening
treatment. Thus, circadian transcriptional control of MAPK pathway components
leads to striking time-of-day-specific effects on the signaling status and
physiological response of the pathway.
PMID- 22087255
TI - Characterization of non-specific cytotoxic cell receptor protein 1: a new member
of the lectin-type subfamily of F-box proteins.
AB - Our previous microarray study showed that the non-specific cytotoxic cell
receptor protein 1 (Nccrp1) transcript is significantly upregulated in the
gastric mucosa of carbonic anhydrase IX (CA IX)-deficient (Car9(-/-)) mice. In
this paper, we aimed to characterize human NCCRP1 and to elucidate its
relationship to CA IX. Recombinant NCCRP1 protein was expressed in Escherichia
coli, and a novel polyclonal antiserum was raised against the purified full
length protein. Immunocytochemistry showed that NCCRP1 is expressed
intracellularly, even though it has previously been described as a transmembrane
protein. Using bioinformatic analyses, we identified orthologs of NCCRP1 in 35
vertebrate genomes, and up to five paralogs per genome. These paralogs are FBXO
genes whose protein products are components of the E3 ubiquitin ligase complexes.
NCCRP1 proteins have no signal peptides or transmembrane domains. NCCRP1 has
mainly been studied in fish and was thought to be responsible for the cytolytic
function of nonspecific cytotoxic cells (NCCs). Our analyses showed that in
humans, NCCRP1 mRNA is expressed in tissues containing squamous epithelium,
whereas it shows a more ubiquitous tissue expression pattern in mice. Neither
human nor mouse NCCRP1 expression is specific to immune tissues. Silencing CA9
using siRNAs did not affect NCCRP1 levels, indicating that its expression is not
directly regulated by CA9. Interestingly, silencing NCCRP1 caused a statistically
significant decrease in the growth of HeLa cells. These studies provide ample
evidence that the current name, "non-specific cytotoxic cell receptor protein 1,"
is not appropriate. We therefore propose that the gene name be changed to FBXO50.
PMID- 22087256
TI - Versatile roles of V-ATPases accessory subunit Ac45 in osteoclast formation and
function.
AB - Vacuolar-type H(+)-ATPases (V-ATPases) are macromolecular proton pumps that
acidify intracellular cargos and deliver protons across the plasma membrane of a
variety of specialized cells, including bone-resorbing osteoclasts. Extracellular
acidification is crucial for osteoclastic bone resorption, a process that
initiates the dissolution of mineralized bone matrix. While the importance of V
ATPases in osteoclastic resorptive function is well-defined, whether V-ATPases
facilitate additional aspects of osteoclast function and/or formation remains
largely obscure. Here we report that the V-ATPase accessory subunit Ac45
participates in both osteoclast formation and function. Using a siRNA-based
approach, we show that targeted suppression of Ac45 impairs intracellular
acidification and endocytosis, both are prerequisite for osteoclastic bone
resorptive function in vitro. Interestingly, we find that knockdown of Ac45 also
attenuates osteoclastogenesis owing to a reduced fusion capacity of osteoclastic
precursor cells. Finally, in an effort to gain more detailed insights into the
functional role of Ac45 in osteoclasts, we attempted to generate osteoclast
specific Ac45 conditional knockout mice using a Cathepsin K-Cre-LoxP system.
Surprisingly, however, insertion of the neomycin cassette in the Ac45-Flox(Neo)
mice resulted in marked disturbances in CNS development and ensuing embryonic
lethality thus precluding functional assessment of Ac45 in osteoclasts and
peripheral bone tissues. Based on these unexpected findings we propose that, in
addition to its canonical function in V-ATPase-mediated acidification, Ac45 plays
versatile roles during osteoclast formation and function.
PMID- 22087257
TI - Association of the sirtuin and mitochondrial uncoupling protein genes with
carotid plaque.
AB - OBJECTIVE: Sirtuins (SIRTs) and mitochondrial uncoupling proteins (UCPs) have
been implicated in cardiovascular diseases through the control of reactive oxygen
species production. This study sought to investigate the association between
genetic variants in the SIRT and UCP genes and carotid plaque. METHODS: In a
group of 1018 stroke-free subjects from the Northern Manhattan Study with high
definition carotid ultrasonography and genotyping, we investigated the
associations of 85 single nucleotide polymorphisms (SNPs) in the 11 SIRT and UCP
genes with the presence and number of carotid plaques, and evaluated interactions
of SNPs with sex, smoking, diabetes and hypertension as well as interactions
between SNPs significantly associated with carotid plaque. RESULTS: Overall, 60%
of subjects had carotid plaques. After adjustment for demographic and vascular
risk factors, T-carriers of the SIRT6 SNP rs107251 had an increased risk for
carotid plaque (odds ratio, OR = 1.71, 95% CI = 1.23-2.37, Bonferroni-corrected p
= 0.03) and for a number of plaques (rate ratio, RR = 1.31, 1.18-1.45, Bonferroni
corrected p = 1.4*10(-5)), whereas T-carriers of the UCP5 SNP rs5977238 had an
decreased risk for carotid plaque (OR = 0.49, 95% CI = 0.32-0.74, Bonferroni
corrected p = 0.02) and plaque number (RR = 0.64, 95% CI = 0.52-0.78, Bonferroni
corrected p = 4.9*10(-4)). Some interactions with a nominal p<=0.01 were found
between sex and SNPs in the UCP1 and UCP3 gene; between smoking, diabetes,
hypertension and SNPs in UCP5 and SIRT5; and between SNPs in the UCP5 gene and
the UCP1, SIRT1, SIRT3, SIRT5, and SIRT6 genes in association with plaque
phenotypes. CONCLUSION: We observed significant associations between genetic
variants in the SIRT6 and UCP5 genes and atherosclerotic plaque. We also found
potential effect modifications by sex, smoking and vascular risk factors of the
SIRT/UCP genes in the associations with atherosclerotic plaque. Further studies
are needed to validate our observations.
PMID- 22087258
TI - Time-resolved transcriptomics and bioinformatic analyses reveal intrinsic stress
responses during batch culture of Bacillus subtilis.
AB - We have determined the time-resolved transcriptome of the model gram-positive
organism B. subtilis during growth in a batch fermentor on rich medium. DNA
microarrays were used to monitor gene transcription using 10-minute intervals at
40 consecutive time points. From the growth curve and analysis of all gene
expression levels, we identified 4 distinct growth phases and one clear
transition point: a lag phase, an exponential growth phase, the transition point
and the very clearly separated early and late stationary growth phases. The gene
expression profiles suggest the occurrence of stress responses at specific times
although no external stresses were applied. The first one is a small induction of
the SigB regulon that occurs at the transition point. Remarkably, a very strong
response is observed for the SigW regulon, which is highly upregulated at the
onset of the late stationary phase. Bioinformatic analyses that were performed on
our data set suggest several novel putative motifs for regulator binding. In
addition, the expression profiles of several genes appeared to correlate with the
oxygen concentration. This data set of the expression profiles of all B. subtilis
genes during the entire growth curve on rich medium constitutes a rich repository
that can be further mined by the scientific community.
PMID- 22087259
TI - Visualization of the left extraperitoneal space and spatial relationships to its
related spaces by the visible human project.
AB - BACKGROUND: The major hindrance to multidetector CT imaging of the left
extraperitoneal space (LES), and the detailed spatial relationships to its
related spaces, is that there is no obvious density difference between them.
Traditional gross anatomy and thick-slice sectional anatomy imagery are also
insufficient to show the anatomic features of this narrow space in three
dimensions (3D). To overcome these obstacles, we used a new method to visualize
the anatomic features of the LES and its spatial associations with related
spaces, in random sections and in 3D. METHODS: In conjunction with Mimics(r) and
Amira(r) software, we used thin-slice cross-sectional images of the upper
abdomen, retrieved from the Chinese and American Visible Human dataset and the
Chinese Virtual Human dataset, to display anatomic features of the LES and
spatial relationships of the LES to its related spaces, especially the gastric
bare area. The anatomic location of the LES was presented on 3D sections
reconstructed from CVH2 images and CT images. PRINCIPAL FINDINGS: What calls for
special attention of our results is the LES consists of the left sub
diaphragmatic fat space and gastric bare area. The appearance of the fat pad at
the cardiac notch contributes to converting the shape of the anteroexternal
surface of the LES from triangular to trapezoidal. Moreover, the LES is adjacent
to the lesser omentum and the hepatic bare area in the anterointernal and right
rear direction, respectively. CONCLUSION: The LES and its related spaces were
imaged in 3D using visualization technique for the first time. This technique is
a promising new method for exploring detailed communication relationships among
other abdominal spaces, and will promote research on the dynamic extension of
abdominal diseases, such as acute pancreatitis and intra-abdominal
carcinomatosis.
PMID- 22087260
TI - Extracellular matrix ligand and stiffness modulate immature nucleus pulposus cell
cell interactions.
AB - The nucleus pulposus (NP) of the intervertebral disc functions to provide
compressive load support in the spine, and contains cells that play a critical
role in the generation and maintenance of this tissue. The NP cell population
undergoes significant morphological and phenotypic changes during maturation and
aging, transitioning from large, vacuolated immature cells arranged in cell
clusters to a sparse population of smaller, isolated chondrocyte-like cells.
These morphological and organizational changes appear to correlate with the first
signs of degenerative changes within the intervertebral disc. The extracellular
matrix of the immature NP is a soft, gelatinous material containing multiple
laminin isoforms, features that are unique to the NP relative to other regions of
the disc and that change with aging and degeneration. Based on this knowledge, we
hypothesized that a soft, laminin-rich extracellular matrix environment would
promote NP cell-cell interactions and phenotypes similar to those found in
immature NP tissues. NP cells were isolated from porcine intervertebral discs and
cultured in matrix environments of varying mechanical stiffness that were
functionalized with various matrix ligands; cellular responses to periods of
culture were assessed using quantitative measures of cell organization and
phenotype. Results show that soft (<720 Pa), laminin-containing extracellular
matrix substrates promote NP cell morphologies, cell-cell interactions, and
proteoglycan production in vitro, and that this behavior is dependent upon both
extracellular matrix ligand and substrate mechanical properties. These findings
indicate that NP cell organization and phenotype may be highly sensitive to their
surrounding extracellular matrix environment.
PMID- 22087261
TI - Mono-(2-ethylhexyl) phthalate directly alters the expression of Leydig cell genes
and CYP17 lyase activity in cultured rat fetal testis.
AB - Exposure to phthalates in utero alters fetal rat testis gene expression and
testosterone production, but much remains to be done to understand the mechanisms
underlying the direct action of phthalate within the fetal testis. We aimed to
investigate the direct mechanisms of action of mono-(2-ethylhexyl) phthalate
(MEHP) on the rat fetal testis, focusing on Leydig cell steroidogenesis in
particular. We used an in vitro system based on the culture for three days, with
or without MEHP, of rat fetal testes obtained at 14.5 days post-coitum.Exposure
to MEHP led to a dose-dependent decrease in testosterone production. Moreover,
the production of 5 alpha-dihydrotestosterone (5alpha-DHT) (-68%) and
androstenedione (-54%) was also inhibited by 10 uM MEHP, whereas 17 alpha
hydroxyprogesterone (17alpha-OHP) production was found to increase (+41%).
Testosterone synthesis was rescued by the addition of androstenedione but not by
any of the other precursors used. Thus, the hormone data suggested that
steroidogenesis was blocked at the level of the 17,20 lyase activity of the
P450c17 enzyme (CYP17), converting 17alpha-OHP to androstenedione. The subsequent
gene expression and protein levels supported this hypothesis. In addition to
Cyp17a1, microarray analysis showed that several other genes important for testes
development were affected by MEHP. These genes included those encoding insulin
like factor 3 (INSL3), which is involved in controlling testicular descent, and
Inha, which encodes the alpha subunit of inhibin B.These findings indicate that
under in vitro conditions known to support normal differentiation of the fetal
rat testis, the exposure to MEHP directly inhibits several important Leydig cell
factors involved in testis function and that the Cyp17a1 gene is a specific
target to MEHP explaining the MEHP-induced suppression of steroidogenesis
observed.
PMID- 22087262
TI - Concurrent HDAC and mTORC1 inhibition attenuate androgen receptor and hypoxia
signaling associated with alterations in microRNA expression.
AB - Specific inhibitors towards Histone Deacetylases (HDACs) and Mammalian Target of
Rapamycin Complex 1 (mTORC1) have been developed and demonstrate potential as
treatments for patients with advanced and/or metastatic and castrate resistant
prostate cancer (PCa). Further, deregulation of HDAC expression and mTORC1
activity are documented in PCa and provide rational targets to create new
therapeutic strategies to treat PCa. Here we report the use of the c-Myc
adenocarcinoma cell line from the c-Myc transgenic mouse with prostate cancer to
evaluate the in vitro and in vivo anti-tumor activity of the combination of the
HDAC inhibitor panobinostat with the mTORC1 inhibitor everolimus.
Panobinostat/everolimus combination treatment resulted in significantly greater
antitumor activity in mice bearing androgen sensitive Myc-CaP and castrate
resistant Myc-CaP tumors compared to single treatments. We identified that
panobinostat/everolimus combination resulted in enhanced anti-tumor activity
mediated by decreased tumor growth concurrent with augmentation of p21 and p27
expression and the attenuation of angiogenesis and tumor proliferation via
androgen receptor, c-Myc and HIF-1alpha signaling. Also, we observed altered
expression of microRNAs associated with these three transcription factors.
Overall, our results demonstrate that low dose concurrent panobinostat/everolimus
combination therapy is well tolerated and results in greater anti-tumor activity
compared to single treatments in tumor bearing immuno-competent mice. Finally,
our results suggest that response of selected miRs could be utilized to monitor
panobinostat/everolimus in vivo activity.
PMID- 22087263
TI - Human cumulus cells molecular signature in relation to oocyte nuclear maturity
stage.
AB - The bi-directional communication between the oocyte and the surrounding cumulus
cells (CCs) is crucial for the acquisition of oocyte competence. We investigated
the transcriptomic profile of human CCs isolated from mature and immature oocytes
under stimulated cycle. We used human Genome U133 Plus 2.0 microarrays to perform
an extensive analysis of the genes expressed in human CCs obtained from patients
undergoing intra-cytoplasmic sperm injection. CC samples were isolated from
oocyte at germinal vesicle, stage metaphase I and stage metaphase II. For
microarray analysis, we used eight chips for each CC category. Significance
analysis of microarray multiclass was used to analyze the microarray data.
Validation was performed by RT-qPCR using an independent cohort of CC samples. We
identified differentially over-expressed genes between the three CC categories.
This study revealed a specific signature of gene expression in CCs issued from
MII oocyte compared with germinal vesicle and metaphase I. The CC gene expression
profile, which is specific of MII mature oocyte, can be useful as predictors of
oocyte quality.
PMID- 22087264
TI - Gene expression-based chemical genomics identifies potential therapeutic drugs in
hepatocellular carcinoma.
AB - Hepatocellular carcinoma (HCC) is an aggressive tumor with a poor prognosis.
Currently, only sorafenib is approved by the FDA for advanced HCC treatment;
therefore, there is an urgent need to discover candidate therapeutic drugs for
HCC. We hypothesized that if a drug signature could reverse, at least in part,
the gene expression signature of HCC, it might have the potential to inhibit HCC
related pathways and thereby treat HCC. To test this hypothesis, we first built
an integrative platform, the "Encyclopedia of Hepatocellular Carcinoma genes
Online 2", dubbed EHCO2, to systematically collect, organize and compare the
publicly available data from HCC studies. The resulting collection includes a
total of 4,020 genes. To systematically query the Connectivity Map (CMap), which
includes 6,100 drug-mediated expression profiles, we further designed various
gene signature selection and enrichment methods, including a randomization
technique, majority vote, and clique analysis. Subsequently, 28 out of 50
prioritized drugs, including tanespimycin, trichostatin A, thioguanosine, and
several anti-psychotic drugs with anti-tumor activities, were validated via MTT
cell viability assays and clonogenic assays in HCC cell lines. To accelerate
their future clinical use, possibly through drug-repurposing, we selected two
well-established drugs to test in mice, chlorpromazine and trifluoperazine. Both
drugs inhibited orthotopic liver tumor growth. In conclusion, we successfully
discovered and validated existing drugs for potential HCC therapeutic use with
the pipeline of Connectivity Map analysis and lab verification, thereby
suggesting the usefulness of this procedure to accelerate drug repurposing for
HCC treatment.
PMID- 22087265
TI - Maternal bereavement and childhood asthma-analyses in two large samples of
Swedish children.
AB - BACKGROUND: Prenatal factors such as prenatal psychological stress might
influence the development of childhood asthma. METHODOLOGY AND PRINCIPAL
FINDINGS: We assessed the association between maternal bereavement shortly before
and during pregnancy, as a proxy for prenatal stress, and the risk of childhood
asthma in the offspring, based on two samples of children 1-4 (n = 426,334) and 7
12 (n = 493,813) years assembled from the Swedish Medical Birth Register.
Exposure was maternal bereavement of a close relative from one year before
pregnancy to child birth. Asthma event was defined by a hospital contact for
asthma or at least two dispenses of inhaled corticosteroids or montelukast. In
the younger sample we calculated hazards ratios (HRs) of a first-ever asthma
event using Cox models and in the older sample odds ratio (ORs) of an asthma
attack during 12 months using logistic regression. Compared to unexposed boys,
exposed boys seemed to have a weakly higher risk of first-ever asthma event at 1
4 years (HR: 1.09; 95% confidence interval [CI]: 0.98, 1.22) as well as an asthma
attack during 12 months at 7-12 years (OR: 1.10; 95% CI: 0.96, 1.24). No
association was suggested for girls. Boys exposed during the second trimester had
a significantly higher risk of asthma event at 1-4 years (HR: 1.55; 95% CI: 1.19,
2.02) and asthma attack at 7-12 years if the bereavement was an older child (OR:
1.58; 95% CI: 1.11, 2.25). The associations tended to be stronger if the
bereavement was due to a traumatic death compared to natural death, but the
difference was not statistically significant. CONCLUSIONS/SIGNIFICANCE: Our
results showed some evidence for a positive association between prenatal stress
and childhood asthma among boys but not girls.
PMID- 22087266
TI - Characterization of visual percepts evoked by noninvasive stimulation of the
human posterior parietal cortex.
AB - Phosphenes are commonly evoked by transcranial magnetic stimulation (TMS) to
study the functional organization, connectivity, and excitability of the human
visual brain. For years, phosphenes have been documented only from stimulating
early visual areas (V1-V3) and a handful of specialized visual regions (V4,
V5/MT+) in occipital cortex. Recently, phosphenes were reported after applying
TMS to a region of posterior parietal cortex involved in the top-down modulation
of visuo-spatial processing. In the present study, we systematically
characterized parietal phosphenes to determine if they are generated directly by
local mechanisms or emerge through indirect activation of other visual areas.
Using technology developed in-house to record the subjective features of
phosphenes, we found no systematic differences in the size, shape, location, or
frame-of-reference of parietal phosphenes when compared to their occipital
counterparts. In a second experiment, discrete deactivation by 1 Hz repetitive
TMS yielded a double dissociation: phosphene thresholds increased at the
deactivated site without producing a corresponding change at the non-deactivated
location. Overall, the commonalities of parietal and occipital phosphenes, and
our ability to independently modulate their excitability thresholds, lead us to
conclude that they share a common neural basis that is separate from either of
the stimulated regions.
PMID- 22087267
TI - Immunogenicity and reactogenicity of 2009 influenza A (H1N1) inactivated
monovalent non-adjuvanted vaccine in elderly and immunocompromised patients.
AB - BACKGROUND: Immunosuppressed individuals present serious morbidity and mortality
from influenza, therefore it is important to understand the safety and
immunogenicity of influenza vaccination among them. METHODS: This multicenter
cohort study evaluated the immunogenicity and reactogenicity of an inactivated,
monovalent, non-adjuvanted pandemic (H1N1) 2009 vaccine among the elderly, HIV
infected, rheumatoid arthritis (RA), cancer, kidney transplant, and juvenile
idiopathic arthritis (JIA) patients. Participants were included during routine
clinical visits, and vaccinated according to conventional influenza vaccination
schedules. Antibody response was measured by the hemagglutination-inhibition
assay, before and 21 days after vaccination. RESULTS: 319 patients with cancer,
260 with RA, 256 HIV-infected, 149 elderly individuals, 85 kidney transplant
recipients, and 83 with JIA were included. The proportions of seroprotection,
seroconversion, and the geometric mean titer ratios postvaccination were,
respectively: 37.6%, 31.8%, and 3.2 among kidney transplant recipients, 61.5%,
53.1%, and 7.5 among RA patients, 63.1%, 55.7%, and 5.7 among the elderly, 59.0%,
54.7%, and 5.9 among HIV-infected patients, 52.4%, 49.2%, and 5.3 among cancer
patients, 85.5%, 78.3%, and 16.5 among JIA patients. The vaccine was well
tolerated, with no reported severe adverse events. CONCLUSIONS: The vaccine was
safe among all groups, with an acceptable immunogenicity among the elderly and
JIA patients, however new vaccination strategies should be explored to improve
the immune response of immunocompromised adult patients. (ClinicalTrials.gov,
NCT01218685).
PMID- 22087268
TI - Conformational toggling of yeast iso-1-cytochrome C in the oxidized and reduced
states.
AB - To convert cyt c into a peroxidase-like metalloenzyme, the P71H mutant was
designed to introduce a distal histidine. Unexpectedly, its peroxidase activity
was found even lower than that of the native, and that the axial ligation of heme
iron was changed to His71/His18 in the oxidized state, while to Met80/His18 in
the reduced state, characterized by UV-visible, circular dichroism, and resonance
Raman spectroscopy. To further probe the functional importance of Pro71 in
oxidation state dependent conformational changes occurred in cyt c, the solution
structures of P71H mutant in both oxidation states were determined. The
structures indicate that the half molecule of cyt c (aa 50-102) presents a kind
of "zigzag riveting ruler" structure, residues at certain positions of this
region such as Pro71, Lys73 can move a big distance by altering the tertiary
structure while maintaining the secondary structures. This finding provides a
molecular insight into conformational toggling in different oxidation states of
cyt c that is principle significance to its biological functions in electron
transfer and apoptosis. Structural analysis also reveals that Pro71 functions as
a key hydrophobic patch in the folding of the polypeptide of the region (aa 50
102), to prevent heme pocket from the solvent.
PMID- 22087269
TI - Beta-catenin accelerates human papilloma virus type-16 mediated cervical
carcinogenesis in transgenic mice.
AB - Human papilloma virus (HPV) is the principal etiological agent of cervical cancer
in women, and its DNA is present in virtually all of these tumors. However,
exposure to the high-risk HPV types alone is insufficient for tumor development.
Identifying specific collaborating factors that will lead to cervical cancer
remains an unanswered question, especially because millions of women are exposed
to HPV. Our earlier work using an in vitro model indicated that activation of the
canonical Wnt pathway in HPV-positive epithelial cells was sufficient to induce
anchorage independent growth. We therefore hypothesized that constitutive
activation of this pathway might function as the "second hit." To address this
possibility, we developed two double-transgenic (DT) mouse models, K14
E7/DeltaN87betacat and K14-HPV16/DeltaN87betacat that express either the proteins
encoded by the E7 oncogene or the HPV16 early region along with constitutively
active beta-catenin, which was expressed by linking it to the keratin-14 (K14)
promoter. We initiated tumor formation by treating all groups with estrogen for
six months. Invasive cervical cancer was observed in 11% of the K14
DeltaN87betacat mice, expressing activated beta-catenin and in 50% of the animals
expressing the HPV16 E7 oncogene. In double-transgenic mice, coexpression of beta
catenin and HPV16 E7 induced invasive cervical cancer at about 7 months in 94% of
the cases. We did not observe cervical cancer in any group unless the mice were
treated with estrogen. In the second model, K14-HPV16 mice suffered cervical
dysplasias, but this phenotype was not augmented in HPV16/DeltaN87betacat mice.
In summary, the phenotypes of the K14-E7/DeltaN87betacat mice support the
hypothesis that activation of the Wnt/beta-catenin pathway in HPV-associated
premalignant lesions plays a functional role in accelerating cervical
carcinogenesis.
PMID- 22087270
TI - Typologies of prescription opioid use in a large sample of adults assessed for
substance abuse treatment.
AB - BACKGROUND: As a population, non-medical prescription opioid users are not well
defined. We aimed to derive and describe typologies of prescription opioid use
and nonmedical use using latent class analysis in an adult population being
assessed for substance abuse treatment. METHODS: Latent class analysis was
applied to data from 26,314 unique respondents, aged 18-70, self-reporting past
month use of a prescription opioid out of a total of 138,928 cases (18.9%)
collected by the Addiction Severity Index-Multimedia Version (ASI-MV(r)), a
national database for near real-time prescription opioid abuse surveillance. Data
were obtained from November 2005 through December 2009. Substance abuse
treatment, criminal justice, and public assistance programs in the United States
submitted data to the ASI-MV database (n = 538). Six indicators of the latent
classes derived from responses to the ASI-MV, a version of the ASI modified to
collect prescription opioid abuse and chronic pain experience. The latent class
analysis included respondent home ZIP code random effects to account for nesting
of respondents within ZIP code. RESULTS: A four-class adjusted latent class model
fit best and defined clinically interpretable and relevant subgroups: Use as
prescribed, Prescribed misusers, Medically healthy abusers, and Illicit users.
Classes varied on key variables, including race/ethnicity, gender, concurrent
substance abuse, duration of prescription opioid abuse, mental health problems,
and ASI composite scores. Three of the four classes (81% of respondents)
exhibited high potential risk for fatal opioid overdose; 18.4% exhibited risk
factors for blood-borne infections. CONCLUSIONS: Multiple and distinct profiles
of prescription opioid use were detected, suggesting a range of use typologies at
differing risk for adverse events. Results may help clinicians and policy makers
better focus overdose and blood-borne infection prevention efforts and
intervention strategies for prescription opioid abuse reduction.
PMID- 22087271
TI - Parental age and lifespan influence offspring recruitment: a long-term study in a
seabird.
AB - Recent studies of wild populations provide compelling evidence that survival and
reproduction decrease with age because of senescence, a decline in functional
capacities at old ages. However, in the wild, little is known about effects of
parental senescence on offspring quality. We used data from a 21-year study to
examine the role of parental age on offspring probability of recruitment in a
long-lived bird, the blue-footed booby (Sula nebouxii). Offspring probability of
recruiting into the breeding population varied over the life of parents and
effects age were similar in mothers and fathers. Offspring recruitment was high
when parents were roughly 6-12 years old and low before and after then. Effects
of parental age on offspring recruitment varied with lifespan (parental age at
last reproduction) and previous breeding experience. Offspring recruitment from
young and old parents with long reproductive lifespans was greater than that of
offspring from parents with short lifespans at young and old ages. For parents
with little previous breeding experience recruitment of offspring decreased with
their hatch date, but experienced parents were no similarly affected. We found
evidence of terminal effects on offspring recruitment in young parents but not in
older parents, suggesting that senescence is more likely a gradual process of
deterioration than a process of terminal illness. Failure to recruit probably
reflects mortality during the first years after independence but also during the
fledgling transition to full independence. Our results show effects of parental
age and quality on offspring viability in a long-lived wild vertebrate and
support the idea that wild populations are composed of individuals of different
quality, and that this individual heterogeneity can influence the dynamics of age
structured populations.
PMID- 22087272
TI - Detection of human rhinovirus C viral genome in blood among children with severe
respiratory infections in the Philippines.
AB - Human rhinovirus (HRV) C was recently identified as the third species of HRV
using a molecular technique. Infections caused by previously identified HRVs (A
and B) are thought to be limited to the respiratory tract; however, pathogenesis
of HRVC is still largely unknown. A total of 816 nasopharyngeal swabs from
hospitalized children with severe respiratory infections in the Philippines (May
2008-May 2009) were tested for HRV by reverse transcription polymerase chain
reaction (RT-PCR), and 243 samples (29.8%) were positive for HRV. Among these
patients, serum samples were also tested to determine whether specific HRV
species were associated with viremia. Only 30 serum samples (12.3%) were positive
for HRV. However, the HRV positive rates were different among HRV species, 3%
(4/135) for HRVA, 0% (0/25) for HRVB, and 31% (26/83) for HRVC, and were the
highest on 2 days after the onset of symptoms. These results suggest that HRVC
may have a different pathogenicity and can more commonly cause viremia than HRVA
and HRVB. Serum positive rates for HRV are affected by age, i.e., higher positive
rates for those aged 1 year or more. HRVC that were detected from serum exhibited
the same level of sequence diversity as those positive only for nasopharyngeal
samples in phylogenetic analysis. However, all HRVA which were detected from
serum were clustered in a monophyletic clade based on their 5' non-coding region
(NCR) sequences, which is closely related with a certain HRVC genotype (A2) in 5'
NCR. This finding suggests that the 5'NCR region may be associated with viremia.
PMID- 22087273
TI - Characterization of family IV UDG from Aeropyrum pernix and its application in
hot-start PCR by family B DNA polymerase.
AB - Recombinant uracil-DNA glycosylase (UDG) from Aeropyrum pernix (A. pernix) was
expressed in E. coli. The biochemical characteristics of A. pernix UDG (ApeUDG)
were studied using oligonucleotides carrying a deoxyuracil (dU) base. The optimal
temperature range and pH value for dU removal by ApeUDG were 55-65 degrees C and
pH 9.0, respectively. The removal of dU was inhibited by the divalent ions of Zn,
Cu, Co, Ni, and Mn, as well as a high concentration of NaCl. The opposite base in
the complementary strand affected the dU removal by ApeUDG as follows:
U/C~U/G>U/T~U/AP~U/->U/U~U/I>U/A. The phosphorothioate around dU strongly
inhibited dU removal by ApeUDG. Based on the above biochemical characteristics
and the conservation of amino acid residues, ApeUDG was determined to belong to
the IV UDG family. ApeUDG increased the yield of PCR by Pfu DNA polymerase via
the removal of dU in amplified DNA. Using the dU-carrying oligonucleotide as an
inhibitor and ApeUDG as an activator of Pfu DNA polymerase, the yield of
undesired DNA fragments, such as primer-dimer, was significantly decreased, and
the yield of the PCR target fragment was increased. This strategy, which aims to
amplify the target gene with high specificity and yield, can be applied to all
family B DNA polymerases.
PMID- 22087274
TI - Reduced polymorphism associated with X chromosome meiotic drive in the stalk-eyed
fly Teleopsis dalmanni.
AB - Sex chromosome meiotic drive has been suggested as a cause of several
evolutionary genetic phenomena, including genomic conflicts that give rise to
reproductive isolation between new species. In this paper we present a population
genetic analysis of X chromosome drive in the stalk-eyed fly, Teleopsis dalmanni,
to determine how this natural polymorphism influences genetic diversity. We
analyzed patterns of DNA sequence variation at two X-linked regions (comprising
1325 bp) approximately 50 cM apart and one autosomal region (comprising 921 bp)
for 50 males, half of which were collected in the field from one of two
allopatric locations and the other half were derived from lab-reared individuals
with known brood sex ratios. These two populations are recently diverged but
exhibit partial postzygotic reproductive isolation, i.e. crosses produce sterile
hybrid males and fertile females. We find no nucleotide or microsatellite
variation on the drive X chromosome, whereas the same individuals show levels of
variation at autosomal regions that are similar to field-collected flies.
Furthermore, one field-caught individual collected 10 years previously had a
nearly identical X haplotype to the drive X, and is over 2% divergent from other
haplotypes sampled from the field. These results are consistent with a selective
sweep that has removed genetic variation from much of the drive X chromosome. We
discuss how this finding may relate to the rapid evolution of postzygotic
reproductive isolation that has been documented for these flies.
PMID- 22087275
TI - On the time course of vocal emotion recognition.
AB - How quickly do listeners recognize emotions from a speaker's voice, and does the
time course for recognition vary by emotion type? To address these questions, we
adapted the auditory gating paradigm to estimate how much vocal information is
needed for listeners to categorize five basic emotions (anger, disgust, fear,
sadness, happiness) and neutral utterances produced by male and female speakers
of English. Semantically-anomalous pseudo-utterances (e.g., The rivix jolled the
silling) conveying each emotion were divided into seven gate intervals according
to the number of syllables that listeners heard from sentence onset. Participants
(n = 48) judged the emotional meaning of stimuli presented at each gate duration
interval, in a successive, blocked presentation format. Analyses looked at how
recognition of each emotion evolves as an utterance unfolds and estimated the
"identification point" for each emotion. Results showed that anger, sadness,
fear, and neutral expressions are recognized more accurately at short gate
intervals than happiness, and particularly disgust; however, as speech unfolds,
recognition of happiness improves significantly towards the end of the utterance
(and fear is recognized more accurately than other emotions). When the gate
associated with the emotion identification point of each stimulus was calculated,
data indicated that fear (M = 517 ms), sadness (M = 576 ms), and neutral (M = 510
ms) expressions were identified from shorter acoustic events than the other
emotions. These data reveal differences in the underlying time course for
conscious recognition of basic emotions from vocal expressions, which should be
accounted for in studies of emotional speech processing.
PMID- 22087276
TI - Functional diversification of thylakoidal processing peptidases in Arabidopsis
thaliana.
AB - Thylakoidal processing peptidase (TPP) is responsible for removing amino-terminal
thylakoid-transfer signals from several proteins in the thylakoid lumen. Three
TPP isoforms are encoded by the nuclear genome of Arabidopsis thaliana. Previous
studies showed that one of them termed plastidic type I signal peptidase 1
(Plsp1) was necessary for processing three thylakoidal proteins and one protein
in the chloroplast envelope in vivo. The lack of Plsp1 resulted in seedling
lethality, apparently due to disruption of proper thylakoid development. The
physiological roles of the other two TPP homologs remain unknown. Here we show
that the three A. thaliana TPP isoforms evolved to acquire diverse functions.
Phylogenetic analysis revealed that TPP may have originated before the
endosymbiotic event, and that there are two groups of TPP in seed plants: one
includes Plsp1 and another comprises the other two A. thaliana TPP homologs,
which are named as Plsp2A and Plsp2B in this study. The duplication leading to
the two groups predates the gymnosperm-angiosperm divergence, and the separation
of Plsp2A and Plsp2B occurred after the Malvaceae-Brassicaceae diversification.
Quantitative reverse transcription-PCR assay revealed that the two PLSP2 genes
were co-expressed in both photosynthetic tissues and roots, whereas the PLSP1
transcript accumulated predominantly in photosynthetic tissues. Both PLSP2 genes
were expressed in the aerial parts of the plsp1-null mutant at levels comparable
to those in wild-type plants. The seedling-lethal phenotype of the plsp1-null
mutant could be rescued by a constitutive expression of Plsp1 cDNA but not by
that of Plsp2A or Plsp2B. These results indicate that Plsp1 and Plsp2 evolved to
function differently, and that neither of the Plsp2 isoforms is necessary for
proper thylakoid development in photosynthetic tissues.
PMID- 22087277
TI - Protein characterization of a candidate mechanism SNP for Crohn's disease: the
macrophage stimulating protein R689C substitution.
AB - High throughput genome wide associations studies (GWAS) are now identifying a
large number of genome loci related to risk of common human disease. Each such
locus presents a challenge in identifying the relevant underlying mechanism. Here
we report the experimental characterization of a proposed causal single
nucleotide polymorphism (SNP) in a locus related to risk of Crohn's disease and
ulcerative colitis. The SNP lies in the MST1 gene encoding Macrophage Stimulating
Protein (MSP), and results in an R689C amino acid substitution within the beta
chain of MSP (MSPbeta). MSP binding to the RON receptor tyrosine kinase activates
signaling pathways involved in the inflammatory response. We have purified wild
type and mutant MSPbeta proteins and compared biochemical and biophysical
properties that might impact the MSP/RON signaling pathway. Surface plasmon
resonance (SPR) binding studies showed that MSPbeta R689C affinity to RON is
approximately 10-fold lower than that of the wild-type MSPbeta and differential
scanning fluorimetry (DSF) showed that the thermal stability of the mutant
MSPbeta was slightly lower than that of wild-type MSPbeta, by 1.6 K. The
substitution was found not to impair the specific Arg483-Val484 peptide bond
cleavage by matriptase-1, required for MSP activation, and mass spectrometry of
tryptic fragments of the mutated protein showed that the free thiol introduced by
the R689C mutation did not form an aberrant disulfide bond. Together, the studies
indicate that the missense SNP impairs MSP function by reducing its affinity to
RON and perhaps through a secondary effect on in vivo concentration arising from
reduced thermodynamic stability, resulting in down-regulation of the MSP/RON
signaling pathway.
PMID- 22087278
TI - AMG 837: a novel GPR40/FFA1 agonist that enhances insulin secretion and lowers
glucose levels in rodents.
AB - Agonists of GPR40 (FFA1) have been proposed as a means to treat type 2 diabetes.
Through lead optimization of a high throughput screening hit, we have identified
a novel GPR40 agonist called AMG 837. The objective of these studies was to
understand the preclinical pharmacological properties of AMG 837. The activity of
AMG 837 on GPR40 was characterized through GTPgammaS binding, inositol phosphate
accumulation and Ca(2+) flux assays. Activity of AMG 837 on insulin release was
assessed on isolated primary mouse islets. To determine the anti-diabetic
activity of AMG 837 in vivo, we tested AMG 837 using a glucose tolerance test in
normal Sprague-Dawley rats and obese Zucker fatty rats. AMG 837 was a potent
partial agonist in the calcium flux assay on the GPR40 receptor and potentiated
glucose stimulated insulin secretion in vitro and in vivo. Acute administration
of AMG 837 lowered glucose excursions and increased glucose stimulated insulin
secretion during glucose tolerance tests in both normal and Zucker fatty rats.
The improvement in glucose excursions persisted following daily dosing of AMG 837
for 21-days in Zucker fatty rats. Preclinical studies demonstrated that AMG 837
was a potent GPR40 partial agonist which lowered post-prandial glucose levels.
These studies support the potential utility of AMG 837 for the treatment of type
2 diabetes.
PMID- 22087279
TI - Parathyroid hormone-related protein is not required for normal ductal or alveolar
development in the post-natal mammary gland.
AB - PTHrP is necessary for the formation of the embryonic mammary gland and, in its
absence, the embryonic mammary bud fails to form the neonatal duct system. In
addition, PTHrP is produced by the breast during lactation and contributes to the
regulation of maternal calcium homeostasis during milk production. In this study,
we examined the role of PTHrP during post-natal mammary development. Using a
PTHrP-lacZ transgenic mouse, we surveyed the expression of PTHrP in the
developing post-natal mouse mammary gland. We found that PTHrP expression is
restricted to the basal cells of the gland during pubertal development and
becomes expressed in milk secreting alveolar cells during pregnancy and
lactation. Based on the previous findings that overexpression of PTHrP in cap and
myoepithelial cells inhibited ductal elongation during puberty, we predicted that
ablation of native PTHrP expression in the post-natal gland would result in
accelerated ductal development. To address this hypothesis, we generated two
conditional models of PTHrP-deficiency specifically targeted to the postnatal
mammary gland. We used the MMTV-Cre transgene to ablate the floxed PTHrP gene in
both luminal and myoepithelial cells and a tetracycline-regulated K14-tTA;tetO
Cre transgene to target PTHrP expression in just myoepithelial and cap cells. In
both models of PTHrP ablation, we found that mammary development proceeds
normally despite the absence of PTHrP. We conclude that PTHrP signaling is not
required for normal ductal or alveolar development.
PMID- 22087280
TI - Monitoring CD27 expression to evaluate Mycobacterium tuberculosis activity in HIV
1 infected individuals in vivo.
AB - The level of bacterial activity is only poorly defined during asymptomatic
Mycobacterium tuberculosis (MTB) infection. The objective was to study the
capacity of a new biomarker, the expression of the T cell maturation marker CD27
on MTB-specific CD4 T cells, to identify active tuberculosis (TB) disease in
subjects from a MTB and HIV endemic region. The frequency and CD27 expression of
circulating MTB-specific CD4 T cells was determined in 96 study participants
after stimulation with purified protein derivative (PPD) using intracellular
cytokine staining for IFNgamma (IFNgamma). Subjects were then stratified by their
TB and HIV status. Within PPD responders, a CD27(-) phenotype was associated with
active TB in HIV(-) (p = 0.0003) and HIV(+) (p = 0.057) subjects, respectively.
In addition, loss of CD27 expression preceded development of active TB in one HIV
seroconverter. Interestingly, in contrast to HIV(-) subjects, MTB-specific CD4 T
cell populations from HIV(+) TB-asymptomatic subjects were often dominated by
CD27(-) cells. These data indicate that down-regulation of CD27 on MTB-specific
CD4 T cell could be used as a biomarker of active TB, potentially preceding
clinical TB disease. Furthermore, these data are consistent with the hypothesis
that late, chronic HIV infection is frequently associated with increased
mycobacterial activity in vivo. The analysis of T cell maturation and activation
markers might thus be a useful tool to monitor TB disease progression.
PMID- 22087281
TI - Up-regulation of Th17 cells may underlie inhibition of Treg development caused by
immunization with activated syngeneic T cells.
AB - BACKGROUND: Our previous work showed that mice immunized with attenuated
activated syngeneic T cells (aTCV) led to damping Treg function which resulted in
enhancing anti-tumor immunity. It is well known that DC plays a very important
role in controlling Th cell differentiation; whether DC involves Treg attenuation
in immunized mice remained unknown. In this study, we provided evidence that
increased mature DC (mDC) after immunization with aTCV skewed Th17
differentiation, which resulted in inhibition of Treg differentiation through IL
6 signaling pathway. PRINCIPAL FINDINGS: In the present study, we found that the
frequency of mDCs increased dramatically in the immunized mice accompanied by
lower Treg cells compared to the controls. Moreover, both DCs and serum derived
from the immunized mice suppressed Treg differentiation in vitro, respectively.
mDCs generated from bone marrow precursor cells in vitro strongly inhibited Treg
development and simultaneously drove Th17 differentiation with elevated IL-6
production. However, PD-L1, a potent Treg inducer did not show effect on Treg
down-regulation. Assay with transwell systems showed that cell-cell contact was
necessary for IL-6 production to a threshold to activate Th17 transcriptional
factor RORgammat and to inhibit Treg counterpart Foxp3. CONCLUSIONS: Our results
implicate up-regulated Th17 development might be one of mechanisms of enhancing
anti-tumor immunity induced by immunization with aTCV, which provide a novel
insight in numerous mechanisms responsible for anti-tumor immunity.
PMID- 22087283
TI - The proteasome inhibitor bortezomib enhances ATRA-induced differentiation of
neuroblastoma cells via the JNK mitogen-activated protein kinase pathway.
AB - Neuroblastoma (NB) is the most common extracranial solid tumor in childhood.
Differentiated human NBs are associated with better outcome and lower stage;
induction of differentiation is considered to be therapeutically advantageous.
All-trans retinoic acid (ATRA) has been shown to induce the differentiation of
neuroblastoma (NB) cell lines. The proteasome inhibitor bortezomib inhibits cell
growth and angiogenesis in NBs. Here, we investigated the synergistic effect
between bortezomib and ATRA in inducing NB cell differentiation in different NB
cell lines. Bortezomib combined with ATRA had a significantly enhanced
antiproliferative effect. This inhibition was characterized by a synergistic
increase in neuronal differentiation. At the same time, the combination therapy
showed little neuronal toxicity which was assessed in primary cultures of rat
cerebellar granule cells by the MTT assay, PI staining. The combination of
bortezomib and ATRA triggered increased differentiation through the activation of
proteins, including RARalpha, RARbeta, RARgamma, p-JNK and p21, compared with
ATRA treatment alone. Using JNK inhibitor SP600125 to block JNK-dependent
activity, the combination therapy-induced neuronal differentiation was partially
attenuated. In addition, p21 shRNA had no effect on the combination therapy
induced neuronal differentiation. The in vivo antitumor activities were examined
in human NB cell xenografts and GFP-labeled human NB cell xenografts. Treatment
of human NB cell CHP126-bearing nude mice with ATRA plus bortezomib resulted in
more significant tumor growth inhibition than mice treated with either drug
alone. These findings provide the rationale for the development of a new
therapeutic strategy for NB based on the pharmacological combination of ATRA and
bortezomib.
PMID- 22087282
TI - Alzheimer's disease and non-demented high pathology control nonagenarians:
comparing and contrasting the biochemistry of cognitively successful aging.
AB - The amyloid cascade hypothesis provides an economical mechanistic explanation for
Alzheimer's disease (AD) dementia and correlated neuropathology. However, some
nonagenarian individuals (high pathology controls, HPC) remain cognitively intact
while enduring high amyloid plaque loads for decades. If amyloid accumulation is
the prime instigator of neurotoxicity and dementia, specific protective
mechanisms must enable these HPC to evade cognitive decline. We evaluated the
neuropathological and biochemical differences existing between non-demented (ND)
HPC and an age-matched cohort with AD dementia. The ND-HPC selected for our study
were clinically assessed as ND and possessed high amyloid plaque burdens. ELISA
and Western blot analyses were used to quantify a group of proteins related to
APP/Abeta/tau metabolism and other neurotrophic and inflammation-related
molecules that have been found to be altered in neurodegenerative disorders and
are pivotal to brain homeostasis and mental health. The molecules assumed to be
critical in AD dementia, such as soluble or insoluble Abeta40, Abeta42 and tau
were quantified by ELISA. Interestingly, only Abeta42 demonstrated a significant
increase in ND-HPC when compared to the AD group. The vascular amyloid load which
was not used in the selection of cases, was on the average almost 2-fold greater
in AD than the ND-HPC, suggesting that a higher degree of microvascular
dysfunction and perfusion compromise was present in the demented cohort.
Neurofibrillary tangles were less frequent in the frontal cortices of ND-HPC.
Biochemical findings included elevated vascular endothelial growth factor,
apolipoprotein E and the neuroprotective factor S100B in ND-HPC, while anti
angiogenic pigment epithelium derived factor levels were lower. The lack of clear
Abeta-related pathological/biochemical demarcation between AD and ND-HPC suggests
that in addition to amyloid plaques other factors, such as neurofibrillary tangle
density and vascular integrity, must play important roles in cognitive failure.
PMID- 22087284
TI - Interactions between genetic variants in the adiponectin, adiponectin receptor 1
and environmental factors on the risk of colorectal cancer.
AB - BACKGROUND: Metabolic syndrome traits play an important role in the development
of colorectal cancer. Adipokines, key metabolic syndrome cellular mediators, when
abnormal, may induce carcinogenesis. METHODOLOGY/PRINCIPAL FINDINGS: To
investigate whether polymorphisms of important adipokines, adiponectin (ADIPOQ)
and its receptors, either alone or in combination with environmental factors, are
implicated in colorectal cancer, a two-stage case-control study was conducted. In
the first stage, we evaluated 24 tag single nucleotide polymorphisms (tag SNPs)
across ADIPOQ ligand and two ADIPOQ receptors (ADIPOR1 and ADIPOR2) among 470
cases and 458 controls. One SNP with promising association was then analyzed in
stage 2 among 314 cases and 355 controls. In our study, ADIPOQ rs1063538 was
consistently associated with increased colorectal cancer risk, with an odds ratio
(OR) of 1.94 (95%CI: 1.48-2.54) for CC genotype compared with TT genotype. In two
factor gene-environment interaction analyses, rs1063538 presented significant
interactions with smoking status, family history of cancer and alcohol use, with
ORs of 4.52 (95%CI: 2.78-7.34), 3.18 (95%CI: 1.73-5.82) and 1.97 (95%CI: 1.27
3.04) for smokers, individuals with family history of cancer or drinkers with CC
genotype compared with non-smokers, individuals without family history of cancer
or non-drinkers with TT genotype, respectively. Multifactor gene-environment
interactions analysis revealed significant interactions between ADIPOQ rs1063538,
ADIPOR1 rs1539355, smoking status and BMI. Individuals carrying one, two and at
least three risk factors presented 1.18-fold (95%CI:0.89-fold to 1.58-fold), 1.87
fold (95%CI: 1.38-fold to 2.54-fold) and 4.39-fold (95%CI: 2.75-fold to 7.01
fold) increased colorectal cancer risk compared with those who without risk
factor, respectively (P(trend) <0.0001). CONCLUSIONS/SIGNIFICANCE: Our results
suggest that variants in ADIPOQ may contribute to increased colorectal cancer
risk in Chinese and this contribution may be modified by environmental factors,
such as smoking status, family history of cancer and BMI.
PMID- 22087285
TI - Hedgehog signaling antagonist GDC-0449 (Vismodegib) inhibits pancreatic cancer
stem cell characteristics: molecular mechanisms.
AB - BACKGROUND: Recent evidence from in vitro and in vivo studies has demonstrated
that aberrant reactivation of the Sonic Hedgehog (SHH) signaling pathway
regulates genes that promote cellular proliferation in various human cancer stem
cells (CSCs). Therefore, the chemotherapeutic agents that inhibit activation of
Gli transcription factors have emerged as promising novel therapeutic drugs for
pancreatic cancer. GDC-0449 (Vismodegib), orally administrable molecule belonging
to the 2-arylpyridine class, inhibits SHH signaling pathway by blocking the
activities of Smoothened. The objectives of this study were to examine the
molecular mechanisms by which GDC-0449 regulates human pancreatic CSC
characteristics in vitro. METHODOLOGY/PRINCIPAL FINDINGS: GDC-0499 inhibited cell
viability and induced apoptosis in three pancreatic cancer cell lines and
pancreatic CSCs. This inhibitor also suppressed cell viability, Gli-DNA binding
and transcriptional activities, and induced apoptosis through caspase-3
activation and PARP cleavage in pancreatic CSCs. GDC-0449-induced apoptosis in
CSCs showed increased Fas expression and decreased expression of PDGFRalpha.
Furthermore, Bcl-2 was down-regulated whereas TRAIL-R1/DR4 and TRAIL-R2/DR5
expression was increased following the treatment of CSCs with GDC-0449.
Suppression of both Gli1 plus Gli2 by shRNA mimicked the changes in cell
viability, spheroid formation, apoptosis and gene expression observed in GDC-0449
treated pancreatic CSCs. Thus, activated Gli genes repress DRs and Fas
expressions, up-regulate the expressions of Bcl-2 and PDGFRalpha and facilitate
cell survival. CONCLUSIONS/SIGNIFICANCE: These data suggest that GDC-0499 can be
used for the management of pancreatic cancer by targeting pancreatic CSCs.
PMID- 22087286
TI - Comparative proteomic approach identifies PKM2 and cofilin-1 as potential
diagnostic, prognostic and therapeutic targets for pulmonary adenocarcinoma.
AB - Lung cancer is the leading cause of cancer-related death in the world. Non-small
cell lung carcinomas (Non-SCLC) account for almost 80% of lung cancers, of which
40% were adenocarcinomas. For a better understanding of the molecular mechanisms
behind the development and progression of lung cancer, particularly lung
adenocarcinoma, we have used proteomics technology to search for candidate
prognostic and therapeutic targets in pulmonary adenocarcinoma. The protein
profile changes between human pulmonary adenocarcinoma tissue and paired
surrounding normal tissue were analyzed using two-dimensional polyacrylamide gel
electrophoresis (2-DE) based approach. Differentially expressed protein-spots
were identified with ESI-Q-TOF MS/MS instruments. As a result, thirty two
differentially expressed proteins (over 2-fold, p<0.05) were identified in
pulmonary adenocarcinoma compared to normal tissues. Among them, two proteins
(PKM2 and cofilin-1), significantly up-regulated in adenocarcinoma, were selected
for detailed analysis. Immunohistochemical examination indicated that enhanced
expression of PKM2 and cofilin-1 were correlated with the severity of epithelial
dysplasia, as well as a relatively poor prognosis. Knockdown of PKM2 expression
by RNA interference led to a significant suppression of cell growth and induction
of apoptosis in pulmonary adenocarcinoma SPC-A1 cells in vitro, and tumor growth
inhibition in vivo xenograft model (P<0.05). In addition, the shRNA expressing
plasmid targeting cofilin-1 significantly inhibited tumor metastases and
prolonged survival in LL/2 metastatic model. While additional works are needed to
elucidate the biological significance and molecular mechanisms of these altered
proteins identified in this study, PKM2 and cofilin-1 may serve as potential
diagnostic and prognostic biomarkers, as well as therapeutic targets for
pulmonary adenocarcinoma.
PMID- 22087287
TI - Involvement of FOXO transcription factors, TRAIL-FasL/Fas, and sirtuin proteins
family in canine coronavirus type II-induced apoptosis.
AB - n our previous study, we have shown that canine coronavirus type II (CCoV-II)
activates both extrinsic and intrinsic apoptotic pathway in a canine fibrosarcoma
cell line (A-72 cells). Herein we investigated the role of Sirtuin and Forkhead
box O (FOXO) families in this experimental model using Nortern Blot and Western
Blot analysis. Our results demonstrated that mitochondrial SIRT3 and SIRT4
protein expression increased from 12 and 24 h post infection (p.i.) onwards,
respectively, whereas the nuclear SIRT1 expression increased during the first 12
h p.i. followed by a decrease after 36 h p.i., reaching the same level of control
at 48 h p.i. Sirtuins interact with/and regulate the activity of FOXO family
proteins, and we herein observed that FOXO3A and FOXO1 expression increased
significantly and stably from 12 h p.i. onwards. In addition, CCoV-II induces a
remarkable increase in the expression of TNF-related apoptosis-inducing ligand
(TRAIL), while we observed a slight up-regulation of FasL/Fas at 36 p.i. with a
decrease of both proteins at the end of infection. Furthermore, we found that
virus infection increased both bax translocation into mitochondria and decreased
bcl-2 expression in cytosol in a time-dependent manner.These data suggest that
FOXO transcription factors mediate pro-apoptotic effects of CCoV-II, in part due
to activation of extrinsic apoptosis pathway, while some Sirtuin family members
(such as SIRT3 and SIRT4) may be involved in intrinsic apoptotic pathway.
Moreover, these results propose that TRAIL is an important mediator of cell death
induced by CCoV-II during in vitro infection.
PMID- 22087288
TI - A novel validation algorithm allows for automated cell tracking and the
extraction of biologically meaningful parameters.
AB - Automated microscopy is currently the only method to non-invasively and label
free observe complex multi-cellular processes, such as cell migration, cell
cycle, and cell differentiation. Extracting biological information from a time
series of micrographs requires each cell to be recognized and followed through
sequential microscopic snapshots. Although recent attempts to automatize this
process resulted in ever improving cell detection rates, manual identification of
identical cells is still the most reliable technique. However, its tedious and
subjective nature prevented tracking from becoming a standardized tool for the
investigation of cell cultures. Here, we present a novel method to accomplish
automated cell tracking with a reliability comparable to manual tracking.
Previously, automated cell tracking could not rival the reliability of manual
tracking because, in contrast to the human way of solving this task, none of the
algorithms had an independent quality control mechanism; they missed validation.
Thus, instead of trying to improve the cell detection or tracking rates, we
proceeded from the idea to automatically inspect the tracking results and accept
only those of high trustworthiness, while rejecting all other results. This
validation algorithm works independently of the quality of cell detection and
tracking through a systematic search for tracking errors. It is based only on
very general assumptions about the spatiotemporal contiguity of cell paths. While
traditional tracking often aims to yield genealogic information about single
cells, the natural outcome of a validated cell tracking algorithm turns out to be
a set of complete, but often unconnected cell paths, i.e. records of cells from
mitosis to mitosis. This is a consequence of the fact that the validation
algorithm takes complete paths as the unit of rejection/acceptance. The resulting
set of complete paths can be used to automatically extract important biological
parameters with high reliability and statistical significance. These include the
distribution of life/cycle times and cell areas, as well as of the symmetry of
cell divisions and motion analyses. The new algorithm thus allows for the
quantification and parameterization of cell culture with unprecedented accuracy.
To evaluate our validation algorithm, two large reference data sets were manually
created. These data sets comprise more than 320,000 unstained adult pancreatic
stem cells from rat, including 2592 mitotic events. The reference data sets
specify every cell position and shape, and assign each cell to the correct branch
of its genealogic tree. We provide these reference data sets for free use by
others as a benchmark for the future improvement of automated tracking methods.
PMID- 22087289
TI - The antiangiogenic 16K prolactin impairs functional tumor neovascularization by
inhibiting vessel maturation.
AB - BACKGROUND: Angiogenesis, the formation of new blood vessels from existing
vasculature, plays an essential role in tumor growth, invasion, and metastasis.
16K hPRL, the antiangiogenic 16-kDa N-terminal fragment of human prolactin was
shown to prevent tumor growth and metastasis by modifying tumor vessel
morphology. METHODOLOGY/PRINCIPAL FINDINGS: Here we investigated the effect of
16K hPRL on tumor vessel maturation and on the related signaling pathways. We
show that 16K hPRL treatment leads, in a murine B16-F10 tumor model, to a
dysfunctional tumor vasculature with reduced pericyte coverage, and disruption of
the PDGF-B/PDGFR-B, Ang/Tie2, and Delta/Notch pathways. In an aortic ring assay,
16K hPRL impairs endothelial cell and pericyte outgrowth from the vascular ring.
In addition, 16K hPRL prevents pericyte migration to endothelial cells. This
event was independent of a direct inhibitory effect of 16K hPRL on pericyte
viability, proliferation, or migration. In endothelial cell-pericyte cocultures,
we found 16K hPRL to disturb Notch signaling. CONCLUSIONS/SIGNIFICANCE: Taken
together, our data show that 16K hPRL impairs functional tumor neovascularization
by inhibiting vessel maturation and for the first time that an endogenous
antiangiogenic agent disturbs Notch signaling. These findings provide new
insights into the mechanisms of 16K hPRL action and highlight its potential for
use in anticancer therapy.
PMID- 22087290
TI - Displacement of the predominant dengue virus from type 2 to type 1 with a
subsequent genotype shift from IV to I in Surabaya, Indonesia 2008-2010.
AB - Indonesia has annually experienced approximately 100,000 reported cases of dengue
fever (DF) and dengue hemorrhagic fever (DHF) in recent years. However,
epidemiological surveys of dengue viruses (DENVs) have been limited in this
country. In Surabaya, the second largest city, a single report indicated that
dengue virus type 2 (DENV2) was the predominant circulating virus in 2003-2005.
We conducted three surveys in Surabaya during: (i) April 2007, (ii) June 2008 to
April 2009, and (iii) September 2009 to December 2010. A total of 231 isolates
were obtained from dengue patients and examined by PCR typing. We found that the
predominant DENV shifted from type 2 to type 1 between October and November 2008.
Another survey using wild-caught mosquitoes in April 2009 confirmed that dengue
type 1 virus (DENV1) was the predominant type in Surabaya. Phylogenetic analyses
of the nucleotide sequences of the complete envelope gene of DENV1 indicated that
all 22 selected isolates in the second survey belonged to genotype IV and all 17
selected isolates in the third survey belonged to genotype I, indicating a
genotype shift between April and September 2009. Furthermore, in December 2010,
isolates were grouped into a new clade of DENV1 genotype I, suggesting clade
shift between September and December 2010. According to statistics reported by
the Surabaya Health Office, the proportion of DHF cases among the total number of
dengue cases increased about three times after the type shift in 2008. In
addition, the subsequent genotype shift in 2009 was associated with the increased
number of total dengue cases. This indicates the need for continuous surveillance
of circulating viruses to predict the risk of DHF and DF.
PMID- 22087291
TI - Twist controls skeletal development and dorsoventral patterning by regulating
runx2 in zebrafish.
AB - BACKGROUND: Twist1a and twist1b are the principal components of twists that
negatively regulate a number of cellular signaling events. Expression of runx2
and downstream targets is essential for skeletal development and ventral
organizer formation and specification in early vertebrate embryos, but what
controls ventral activity of maternal runx2 and how twists function in zebrafish
embryogenesis still remain unclear. METHODOLOGY/PRINCIPAL FINDINGS: By studying
the loss of twist induced by injection of morpholino-oligonucleotide in
zebrafish, we found that twist1a and twist1b, but not twist2 or twist3, were
required for proper skeletal development and dorsoventral patterning in early
embryos. Overexpression of twist1a or twist1b following mRNA injection resulted
in deteriorated skeletal development and formation of typical dorsalized embryos,
whereas knockdown of twist1a and twist1b led to the formation of abnormal embryos
with enhanced skeletal formation and typical ventralized patterning.
Overexpression of twist1a or twist1b decreased the expression of runx2b, whereas
twist1a and twist1b knockdown increased runx2b expression. We have further
demonstrated that phenotypes induced by twist1a and twist1b knockdown were
rescued by runx2b knockdown. CONCLUSIONS/SIGNIFICANCE: Together, these results
suggest that twist1a and twist1b control skeletal development and dorsoventral
patterning by regulating runx2b in zebrafish and provide potential targets for
the treatment of diseases or syndromes associated with decreased skeletal
development.
PMID- 22087293
TI - Fish consumption measured during pregnancy and risk of cardiovascular diseases
later in life: an observational prospective study.
AB - Previous studies have indicated a protective effect of long chain n-3 PUFAs
against cardiovascular disease; however, the overall evidence remains uncertain,
and there is a general lack of knowledge in the field of cardiovascular
epidemiology in women. Therefore, the objective of this study was to explore the
association between fish intake and cardiovascular disease among 7429 women from
a prospective pregnancy cohort in Aarhus, Denmark, who were followed for 12-17
years. Exposure information derived from a questionnaire sent to the women in
gestation week 16, and daily fish consumption was quantified based on assumptions
of standard portion sizes and food tables. Information on admissions to hospital
was obtained from the Danish National Patient Registry and diagnoses of
hypertensive, cerebrovascular and ischaemic heart disease were used to define the
outcome: cardiovascular disease. During the follow-up period 263 events of
cardiovascular disease were identified. Overall, there was no association between
cardiovascular disease and fish intake, confidence intervals for effect estimates
in the different fish intake groups were wide, overlapped and for all but one
they encompassed unity. Restricting the analysis to women who had reported the
same fish intake in a questionnaire in gestation week 30 did not alter these
findings. In conclusion, our data from a prospective cohort of relatively young
and initially healthy women from Aarhus linked with information from registries
could not substantiate a protective effect of fish intake against cardiovascular
disease.
PMID- 22087292
TI - Bivariate genome-wide association analyses of femoral neck bone geometry and
appendicular lean mass.
AB - OBJECTIVE: Femoral neck geometric parameters (FNGPs), such as periosteal diameter
(W), cross-sectional area (CSA), cortical thickness (CT), buckling ratio (BR),
and section modulus (Z), are highly genetically correlated with body lean mass.
However, the specific SNPs/genes shared by these phenotypes are largely unknown.
METHODS: To identify the specific SNPs/genes shared between FNGPs and
appendicular lean mass (ALM), we performed an initial bivariate genome-wide
association study (GWAS) by scanning ~690,000 SNPs in 1,627 unrelated Han Chinese
adults (802 males and 825 females) and a follow-up replicate study in 2,286
unrelated US Caucasians. RESULTS: We identified 13 interesting SNPs that may be
important for both FNGPs and ALM. Two SNPs, rs681900 located in the HK2
(hexokinase 2) gene and rs11859916 in the UMOD (uromodulin) gene, were
bivariately associated with FNGPs and ALM (p = 7.58*10(-6) for ALM-BR and p =
2.93*10(-6) for ALM-W, respectively). The associations were then replicated in
Caucasians, with corresponding p values of 0.024 for rs681900 and 0.047 for
rs11859916. Meta-analyses yielded combined p values of 3.05*10(-6) and 2.31*10(
6) for rs681900 and rs11859916, respectively. Our findings are consistent with
previous biological studies that implicated HK2 and UMOD in both FNGPs and ALM.
Our study also identified a group of 11 contiguous SNPs, which spanned a region
of ~130 kb, were bivariately associated with FNGPs and ALM, with p values ranging
from 3.06*10(-7) to 4.60*10(-6) for ALM-BR. The region contained two neighboring
miRNA coding genes, MIR873 (MicroRNA873) and MIR876 (MicroRNA876). CONCLUSION:
Our study implicated HK2, UMOD, MIR873 and MIR876, as pleiotropic genes
underlying variation of both FNGPs and ALM, thus suggesting their important
functional roles in co-regulating both FNGPs and ALM.
PMID- 22087294
TI - Relative changes in krill abundance inferred from Antarctic fur seal.
AB - Antarctic krill Euphausia superba is a predominant species in the Southern Ocean,
it is very sensitive to climate change, and it supports large stocks of fishes,
seabirds, seals and whales in Antarctic marine ecosystems. Modern krill stocks
have been estimated directly by net hauls and acoustic surveys; the historical
krill density especially the long-term one in the Southern Ocean, however, is
unknown. Here we inferred the relative krill population changes along the West
Antarctic Peninsula (WAP) over the 20th century from the trophic level change of
Antarctic fur seal Arctocephalus gazella using stable carbon (delta(13)C) and
nitrogen (delta(15)N) isotopes of archival seal hairs. Since Antarctic fur seals
feed preferentially on krill, the variation of delta(15)N in seal hair indicates
a change in the proportion of krill in the seal's diets and thus the krill
availability in local seawater. For the past century, enriching fur seal
delta(15)N values indicated decreasing krill availability. This is agreement with
direct observation for the past ~30 years and suggests that the recently
documented decline in krill populations began in the early parts of the 20th
century. This novel method makes it possible to infer past krill population
changes from ancient tissues of krill predators.
PMID- 22087295
TI - Expression of the neuregulin receptor ErbB4 in the brain of the rhesus monkey
(Macaca mulatta).
AB - We demonstrated recently that frontal cortical expression of the Neuregulin (NRG)
receptor ErbB4 is restricted to interneurons in rodents, macaques, and humans.
However, little is known about protein expression patterns in other areas of the
brain. In situ hybridization studies have shown high ErbB4 mRNA levels in various
subcortical areas, suggesting that ErbB4 is also expressed in cell types other
than cortical interneurons. Here, using highly-specific monoclonal antibodies, we
provide the first extensive report of ErbB4 protein expression throughout the
cerebrum of primates. We show that ErbB4 immunoreactivity is high in association
cortices, intermediate in sensory cortices, and relatively low in motor cortices.
The overall immunoreactivity in the hippocampal formation is intermediate, but is
high in a subset of interneurons. We detected the highest overall
immunoreactivity in distinct locations of the ventral hypothalamus, medial
habenula, intercalated nuclei of the amygdala and structures of the ventral
forebrain, such as the islands of Calleja, olfactory tubercle and ventral
pallidum, and medium expression in the reticular thalamic nucleus. While this
pattern is generally consistent with ErbB4 mRNA expression data, further
investigations are needed to identify the exact cellular and subcellular sources
of mRNA and protein expression in these areas. In contrast to in situ
hybridization in rodents, we detected only low levels of ErbB4-immunoreactivity
in mesencephalic dopaminergic nuclei but a diffuse pattern of immunofluorescence
that was medium in the dorsal striatum and high in the ventral forebrain,
suggesting that most ErbB4 protein in dopaminergic neurons could be transported
to axons. We conclude that the NRG-ErbB4 signaling pathway can potentially
influence many functional systems throughout the brain of primates, and suggest
that major sites of action are areas of the "corticolimbic" network. This
interpretation is functionally consistent with the genetic association of NRG1
and ERBB4 with schizophrenia.
PMID- 22087296
TI - A map of copy number variations in Chinese populations.
AB - It has been shown that the human genome contains extensive copy number variations
(CNVs). Investigating the medical and evolutionary impacts of CNVs requires the
knowledge of locations, sizes and frequency distribution of them within and
between populations. However, CNV study of Chinese minorities, which harbor the
majority of genetic diversity of Chinese populations, has been underrepresented
considering the same efforts in other populations. Here we constructed, to our
knowledge, a first CNV map in seven Chinese populations representing the major
linguistic groups in China with 1,440 CNV regions identified using Affymetrix SNP
6.0 Array. Considerable differences in distributions of CNV regions between
populations and substantial population structures were observed. We showed that
~35% of CNV regions identified in minority ethnic groups are not shared by Han
Chinese population, indicating that the contribution of the minorities to genetic
architecture of Chinese population could not be ignored. We further identified
highly differentiated CNV regions between populations. For example, a common
deletion in Dong and Zhuang (44.4% and 50%), which overlaps two keratin
associated protein genes contributing to the structure of hair fibers, was not
observed in Han Chinese. Interestingly, the most differentiated CNV deletion
between HapMap CEU and YRI containing CCL3L1 gene reported in previous studies
was also the highest differentiated regions between Tibetan and other
populations. Besides, by jointly analyzing CNVs and SNPs, we found a CNV region
containing gene CTDSPL were in almost perfect linkage disequilibrium between
flanking SNPs in Tibetan while not in other populations except HapMap CHD.
Furthermore, we found the SNP taggability of CNVs in Chinese populations was much
lower than that in European populations. Our results suggest the necessity of a
full characterization of CNVs in Chinese populations, and the CNV map we
constructed serves as a useful resource in further evolutionary and medical
studies.
PMID- 22087297
TI - The epigenetic modifier PRDM5 functions as a tumor suppressor through modulating
WNT/beta-catenin signaling and is frequently silenced in multiple tumors.
AB - BACKGROUND: PRDM (PRDI-BF1 and RIZ domain containing) proteins are zinc finger
proteins involved in multiple cellular regulations by acting as epigenetic
modifiers. We studied a recently identified PRDM member PRDM5 for its epigenetic
abnormality and tumor suppressive functions in multiple tumorigeneses.
METHODOLOGY/PRINCIPAL FINDINGS: Semi-quantitative RT-PCR showed that PRDM5 was
broadly expressed in human normal tissues, but frequently silenced or
downregulated in multiple carcinoma cell lines due to promoter CpG methylation,
including 80% (4/5) nasopharyngeal, 44% (8/18) esophageal, 76% (13/17) gastric,
50% (2/4) cervical, and 25% (3/12) hepatocellular carcinoma cell lines, but not
in any immortalized normal epithelial cell lines. PRDM5 expression could be
restored by 5-aza-2'-deoxycytidine demethylation treatment in silenced cell
lines. PRDM5 methylation was frequently detected by methylation-specific PCR
(MSP) in multiple primary tumors, including 93% (43/46) nasopharyngeal, 58%
(25/43) esophageal, 88% (37/42) gastric and 63% (29/46) hepatocellular tumors.
PRDM5 was further found a stress-responsive gene, but its response was impaired
when the promoter was methylated. Ectopic PRDM5 expression significantly
inhibited tumor cell clonogenicity, accompanied by the inhibition of TCF/beta
catenin-dependent transcription and downregulation of CDK4, TWIST1 and MDM2
oncogenes, while knocking down of PRDM5 expression lead to increased cell
proliferation. ChIP assay showed that PRDM5 bound to its target gene promoters
and suppressed their transcription. An inverse correlation between the expression
of PRDM5 and activated beta-catenin was also observed in cell lines.
CONCLUSIONS/SIGNIFICANCE: PRDM5 functions as a tumor suppressor at least
partially through antagonizing aberrant WNT/beta-catenin signaling and oncogene
expression. Frequent epigenetic silencing of PRDM5 is involved in multiple
tumorigeneses, which could serve as a tumor biomarker.
PMID- 22087298
TI - Association study on IL4, IL13 and IL4RA polymorphisms in mite-sensitized
persistent allergic rhinitis in a Chinese population.
AB - BACKGROUND: The IL4, IL13, and IL4 receptor alpha chain (IL4RA) genes are
candidate genes for atopic diseases. We hypothesized that the polymorphisms in
these genes are associated with persistent allergic rhinitis (PER). OBJECTIVE: To
investigate the association of the potential functional polymorphisms in IL4,
IL13, and IL4RA with PER induced by house dust mites in a Chinese population.
METHODS: Using the TaqMan method, we genotyped six single nucleotide
polymorphisms (SNPs) including C-590T in IL4, C-1055T and Arg130Gln in IL13, and
Ile50Val, Ser478Pro and Gln551Arg in IL4RA, in a case-control study of 265
patients with PER and 275 healthy controls. RESULTS: We found that the CT/CC
genotypes in IL4 C-590T were associated with a significantly decreased risk of
mite-sensitized PER [adjusted odds ratio (OR) = 0.64, 95% confidence interval
(CI) 0.45-0.92], compared to the TT genotype. Furthermore, PER patients with
CT/CC genotypes had significantly lower serum levels of total IgE than those with
TT genotype (P = 0.001). However, there was no significant association of the
IL13 and IL4RA polymorphisms with mite-sensitized PER (P>0.05). CONCLUSIONS: Our
results suggest that the C-590T polymorphism in IL4 may contribute to the
susceptibility to mite-sensitized PER in a Chinese population.
PMID- 22087299
TI - Outcomes of patients with benign liver diseases undergoing living donor versus
deceased donor liver transplantation.
AB - BACKGROUND/AIMS: The number of people undergoing living donor liver
transplantation (LDLT) has increased rapidly in many transplant centres. Patients
considering LDLT need to know whether LDLT is riskier than deceased donor liver
transplantation (DDLT). The aim of this study was to compare the outcomes of
patients undergoing LDLT versus DDLT. METHODS: A total of 349 patients with
benign liver diseases were recruited from 2005 to 2011 for this study. LDLT was
performed in 128 patients, and DDLT was performed in 221 patients. Pre- and intra
operative variables for the two groups were compared. Statistically analysed post
operative outcomes include the postoperative incidence of complication, biliary
and vascular complication, hepatitis B virus (HBV) recurrence, long-term survival
rate and outcomes of emergency transplantation. RESULTS: The waiting times were
22.10+/-15.31 days for the patients undergoing LDLT versus 35.81+/-29.18 days for
the patients undergoing DDLT. The cold ischemia time (CIT) was 119.34+/-19.75
minutes for the LDLT group and 346+/-154.18 for DDLT group. LDLT group had higher
intraoperative blood loss, but red blood cell (RBC) transfusion was not
different. Similar >= Clavien III complications, vascular complications,
hepatitis B virus (HBV) recurrence and long-term survival rates were noted. LDLT
patients suffered a higher incidence of biliary complications in the early
postoperative days. However, during the long-term follow-up period, biliary
complication rates were similar between the two groups. The long-term survival
rate of patients undergoing emergency transplantation was lower than of patients
undergoing elective transplantation. However, no significant difference was
observed between emergency LDLT and emergency DDLT. CONCLUSIONS: Patients
undergoing LDLT achieved similar outcomes to patients undergoing DDLT. Although
LDLT patients may suffer a higher incidence of early biliary complications, the
total biliary complication rate was similar during the long-term follow-up
period.
PMID- 22087300
TI - Marine reptiles.
PMID- 22087301
TI - Energy beyond food: foraging theory informs time spent in thermals by a large
soaring bird.
AB - Current understanding of how animals search for and exploit food resources is
based on microeconomic models. Although widely used to examine feeding, such
constructs should inform other energy-harvesting situations where theoretical
assumptions are met. In fact, some animals extract non-food forms of energy from
the environment, such as birds that soar in updraughts. This study examined
whether the gains in potential energy (altitude) followed efficiency-maximising
predictions in the world's heaviest soaring bird, the Andean condor (Vultur
gryphus). Animal-attached technology was used to record condor flight paths in
three-dimensions. Tracks showed that time spent in patchy thermals was broadly
consistent with a strategy to maximise the rate of potential energy gain.
However, the rate of climb just prior to leaving a thermal increased with thermal
strength and exit altitude. This suggests higher rates of energetic gain may not
be advantageous where the resulting gain in altitude would lead to a reduction in
the ability to search the ground for food. Consequently, soaring behaviour
appeared to be modulated by the need to reconcile differing potential energy and
food energy distributions. We suggest that foraging constructs may provide
insight into the exploitation of non-food energy forms, and that non-food energy
distributions may be more important in informing patterns of movement and
residency over a range of scales than previously considered.
PMID- 22087302
TI - Shared and unique patterns of embryo development in extremophile poeciliids.
AB - BACKGROUND: Closely related lineages of livebearing fishes have independently
adapted to two extreme environmental factors: toxic hydrogen sulphide (H(2)S) and
perpetual darkness. Previous work has demonstrated in adult specimens that fish
from these extreme habitats convergently evolved drastically increased head and
offspring size, while cave fish are further characterized by reduced pigmentation
and eye size. Here, we traced the development of these (and other) divergent
traits in embryos of Poecilia mexicana from benign surface habitats ("surface
mollies") and a sulphidic cave ("cave mollies"), as well as in embryos of the
sister taxon, Poecilia sulphuraria from a sulphidic surface spring ("sulphur
mollies"). We asked at which points during development changes in the timing of
the involved processes (i.e., heterochrony) would be detectible. METHODS AND
RESULTS: Data were extracted from digital photographs taken of representative
embryos for each stage of development and each type of molly. Embryo mass
decreased in convergent fashion, but we found patterns of embryonic fat content
and ovum/embryo diameter to be divergent among all three types of mollies. The
intensity of yellow colouration of the yolk (a proxy for carotenoid content) was
significantly lower in cave mollies throughout development. Moreover, while
relative head size decreased through development in surface mollies, it increased
in both types of extremophile mollies, and eye growth was arrested in mid-stage
embryos of cave mollies but not in surface or sulphur mollies. CONCLUSION: Our
results clearly demonstrate that even among sister taxa convergence in phenotypic
traits is not always achieved by the same processes during embryo development.
Furthermore, teleost development is crucially dependent on sufficient carotenoid
stores in the yolk, and so we discuss how the apparent ability of cave mollies to
overcome this carotenoid-dependency may represent another potential mechanism
explaining the lack of gene flow between surface and cave mollies.
PMID- 22087303
TI - Identifying temporal codes in spontaneously active sensory neurons.
AB - The manner in which information is encoded in neural signals is a major issue in
Neuroscience. A common distinction is between rate codes, where information in
neural responses is encoded as the number of spikes within a specified time frame
(encoding window), and temporal codes, where the position of spikes within the
encoding window carries some or all of the information about the stimulus. One
test for the existence of a temporal code in neural responses is to add
artificial time jitter to each spike in the response, and then assess whether or
not information in the response has been degraded. If so, temporal encoding might
be inferred, on the assumption that the jitter is small enough to alter the
position, but not the number, of spikes within the encoding window. Here, the
effects of artificial jitter on various spike train and information metrics were
derived analytically, and this theory was validated using data from afferent
neurons of the turtle vestibular and paddlefish electrosensory systems, and from
model neurons. We demonstrate that the jitter procedure will degrade information
content even when coding is known to be entirely by rate. For this and additional
reasons, we conclude that the jitter procedure by itself is not sufficient to
establish the presence of a temporal code.
PMID- 22087304
TI - Astrocyte-secreted factors modulate a gradient of primary dendritic arbors in
nucleus laminaris of the avian auditory brainstem.
AB - Neurons in nucleus laminaris (NL) receive binaural, tonotopically matched input
from nucleus magnocelluaris (NM) onto bitufted dendrites that display a gradient
of dendritic arbor size. These features improve computation of interaural time
differences, which are used to determine the locations of sound sources. The
dendritic gradient emerges following a period of significant reorganization at
embryonic day 15 (E15), which coincides with the emergence of astrocytes that
express glial fibrillary acidic protein (GFAP) in the auditory brainstem. The
major changes include a loss of total dendritic length, a systematic loss of
primary dendrites along the tonotopic axis, and lengthening of primary dendrites
on caudolateral NL neurons. Here we have tested whether astrocyte-derived
molecules contribute to these changes in dendritic morphology. We used an
organotypic brainstem slice preparation to perform repeated imaging of individual
dye-filled NL neurons to determine the effects of astrocyte-conditioned medium
(ACM) on dendritic morphology. We found that treatment with ACM induced a
decrease in the number of primary dendrites in a tonotopically graded manner
similar to that observed during normal development. Our data introduce a new
interaction between astrocytes and neurons in the auditory brainstem and suggest
that these astrocytes influence multiple aspects of auditory brainstem
maturation.
PMID- 22087305
TI - BDNF polymorphism predicts general intelligence after penetrating traumatic brain
injury.
AB - Neuronal plasticity is a fundamental factor in cognitive outcome following
traumatic brain injury. Brain-derived neurotrophic factor (BDNF), a member of the
neurotrophin family, plays an important role in this process. While there are
many ways to measure cognitive outcome, general cognitive intelligence is a
strong predictor of everyday decision-making, occupational attainment, social
mobility and job performance. Thus it is an excellent measure of cognitive
outcome following traumatic brain injury (TBI). Although the importance of the
single-nucleotide polymorphisms polymorphism on cognitive function has been
previously addressed, its role in recovery of general intelligence following TBI
is unknown. We genotyped male Caucasian Vietnam combat veterans with focal
penetrating TBI (pTBI) (n = 109) and non-head injured controls (n = 38) for 7
BDNF single-nucleotide polymorphisms. Subjects were administrated the Armed
Forces Qualification Test (AFQT) at three different time periods: pre-injury on
induction into the military, Phase II (10-15 years post-injury, and Phase III (30
35 years post-injury). Two single-nucleotide polymorphisms, rs7124442 and
rs1519480, were significantly associated with post-injury recovery of general
cognitive intelligence with the most pronounced effect at the Phase II time
point, indicating lesion-induced plasticity. The genotypes accounted for 5% of
the variance of the AFQT scores, independently of other significant predictors
such as pre-injury intelligence and percentage of brain volume loss. These data
indicate that genetic variations in BDNF play a significant role in lesion
induced recovery following pTBI. Identifying the underlying mechanism of this
brain-derived neurotrophic factor effect could provide insight into an important
aspect of post-traumatic cognitive recovery.
PMID- 22087306
TI - Are Helicobacter pylori and other Helicobacter species infection associated with
human biliary lithiasis? A meta-analysis.
AB - BACKGROUND: Since the isolation of Helicobacter species in biliary system, a
hypothetical question was raised about the role of these agents in the
development of cholelithiasis. This meta-analysis is to explore the association
between the Helicobacter infection and biliary lithiasis. METHODOLOGY/PRINCIPAL
FINDINGS: A systematic literature search was performed to identify all eligible
articles. Meta-analysis which was carried out using odds ratio and random effect
model, 95% confidence intervals for odds ratio was calculated. Quantitative
assessment of heterogeneity was explored by chi-square test with significance set
at P value 0.10 and was measured using I(2) statistic. Eighteen studies published
between 1998 and 2011 were finally eligible for meta-analysis. H. pylori, H.
bilis, H. hepaticus, H. pullorum and H. ganmani were studied. With heterogeneity
(I(2) = 69.5%, P<0.0001), significantly higher pooled infection rates of H.
pylori (OR: 2.59, 35.82% versus 26.75%, P = 0.01) and H. hepaticus (OR: 3.13,
31.30% versus 12.12%, P = 0.02) were observed in lithiasis group. Higher
prevalence of H. pylori in cholelithiasis patients were reported by studies from
East Asia, South Asia and South America. Evidences supporting the higher presence
of H. pylori in cholelithiasis patients could be found by PCR for detecting 16s
rRNA in bile, 26 kDa protein gene in biliary tissue and immunohistochemistry.
Using multiple detection tests could increase the detection rate of H. pylori.
CONCLUSIONS/SIGNIFICANCES: Our meta-analysis suggests a trend of higher presence
of H. pylori in cholelithiasis patients than control group and this trend was
significant in the regions with higher prevalence of this agent. Evidences
supporting the association between Helicobacter and cholelithiasis could be found
by using different tests but the gold standard for the identification of these
bacteria in biliary system has yet to be established. Considering obvious
heterogeneity, a large multi-center study will facilitate us to further clarify
the association between the Helicobacter infection and cholelithiasis.
PMID- 22087307
TI - CARD8 and NLRP1 undergo autoproteolytic processing through a ZU5-like domain.
AB - The "Function to Find Domain" (FIIND)-containing proteins CARD8 (Cardinal; Tucan)
and NLRP1 (NALP1; NAC) are well known components of inflammasomes, multiprotein
complexes responsible for activation of caspase-1, a regulator of inflammation
and innate immunity. Although identified many years ago, the role of the FIIND is
unknown. Here, we report that CARD8 and NLRP1 undergo autoproteolytic cleavage at
a conserved SF/S motif within the FIIND. Using bioinformatics and computational
modeling approaches, we detected striking structural similarity between the FIIND
and the ZU5-UPA domain present in the autoproteolytic protein PIDD. This allowed
us to generate a three-dimensional model and to gain insights in the molecular
mechanism of the cleavage. Site-directed mutagenesis experiments revealed that
the second serine of the SF/S motif is required for CARD8 and NLRP1
autoproteolysis. Furthermore, we discovered an important function for conserved
glutamic acid and histidine residues, located in proximity of the cleavage site
in regulating the autoprocessing efficiency. Altogether, these results identify a
function for the FIIND and show that CARD8 and NLRP1 are ZU5-UPA domain
containing autoproteolytic proteins, thus suggesting a novel mechanism for
regulating innate immune responses.
PMID- 22087308
TI - DNA damage stress and inhibition of Jak2-V617F cause its degradation and
synergistically induce apoptosis through activation of GSK3beta.
AB - The cytoplasmic tyrosine kinase Jak2 plays a crucial role in cytokine receptor
signaling in hematopoietic cells. The activated Jak2-V617F mutant is present in
most cases of BCR/ABL-negative myeloproliferative neoplasms and constitutively
activates downstream signals from homodimeric cytokine receptors, such as the
erythropoietin receptor (EpoR). Here we examine the effects of DNA damage stress
on Jak2 or Jak2-V617F and on induction of apoptosis in hematopoietic cells.
Etoposide or doxorubicin dose-dependently decreased the expression level of Jak2
in UT7 or 32D cells expressing EpoR in the absence of Epo and that of exogenously
expressed Jak2-V617F in UT7 cells when cotreated with the Jak2 inhibitor JakI-1
or AG490. Studies with pharmacological inhibitors and genetic manipulations
further showed that downregulation of the PI3K/Akt pathway leading to the
activation of GSK3beta may be involved in downregulation of Jak2 or Jak2-V617F as
well as in synergistic induction of Bax activation and apoptosis. The
downregulation of Jak2 was inhibited by the proteasome inhibitor MG132 or by
expression of both of loss-of-function mutants of c-Cbl and Cbl-b, E3 ubiquitin
ligases which facilitated ubiquitination of Jak2-V617F when co-expressed in 293T
cells. The pan-caspase inhibitor Boc-d-fmk also inhibited the Jak2 downregulation
as well as appearance of a 100-kDa fragment that contained the N-terminal portion
of Jak2 in response to DNA damage. Together, these data suggest that DNA damage
stress with simultaneous inhibition of the kinase activity causes degradation of
Jak2 or Jak2-V617F by caspase cleavage and proteasomal degradation through
GSK3beta activation, which is closely involved in synergistic induction of
apoptosis in hematopoietic cells.
PMID- 22087309
TI - Lymphangiogenesis in regional lymph nodes is an independent prognostic marker in
rectal cancer patients after neoadjuvant treatment.
AB - One of the major prognostic factors in rectal cancer is lymph node metastasis.
The formation of lymph node metastases is dependent on the existence of a
premetastatic niche. An important factor preceding metastasis are lymph vessels
which are located in the lymph node. Accordingly, the occurrence of intranodal
lymphangiogenesis is thought to indicate distant metastasis and worse prognosis.
To evaluate the significance of lymph node lymphangiogenesis, we studied formalin
fixed, paraffin embedded adenocarcinomas and regional lymph nodes of 203 rectal
cancer patients who were treated with neoadjuvant radiochemotherapy and
consecutive curative surgery with cancer free surgical margins (R0). Regional
lymph node lymph vessels were detected by immunohistochemistry for podoplanin (D2
40). Our results show that the presence of lymphatic vessels in regional lymph
nodes significantly affects the disease-free survival in univariate and
multivariate analyses. In contrast, there was no correlation between peritumoral
or intratumoral lymph vessel density and prognosis. Indeed, our study
demonstrates the importance of lymphangiogenesis in regional lymph nodes after
neoadjuvant radiochemotherapy and consecutive surgery as an independent
prognostic marker. Staining for intranodal lymphangiogenesis and methods of
intravital imaging of lymphangiogenesis and lymphatic flow may be a useful
strategy to predict long-term outcome in rectal cancer patients. Furthermore,
addition of VEGF-blocking agents to standardized neoadjuvant treatment schemes
might be indicated in advanced rectal cancer.
PMID- 22087310
TI - A plant virus movement protein regulates the Gcn2p kinase in budding yeast.
AB - Virus life cycle heavily depends on their ability to command the host machinery
in order to translate their genomes. Animal viruses have been shown to interfere
with host translation machinery by expressing viral proteins that either maintain
or inhibit eIF2alpha function by phosphorylation. However, this interference
mechanism has not been described for any plant virus yet. Prunnus necrotic
ringspot virus (PNRSV) is a serious pathogen of cultivated stone fruit trees. The
movement protein (MP) of PNRSV is necessary for the cell-to-cell movement of the
virus. By using a yeast-based approach we have found that over-expression of the
PNRSV MP caused a severe growth defect in yeast cells. cDNA microarrays analysis
carried out to characterise at the molecular level the growth interference
phenotype reported the induction of genes related to amino acid deprivation
suggesting that expression of MP activates the GCN pathway in yeast cells.
Accordingly, PNRSV MP triggered activation of the Gcn2p kinase, as judged by
increased eIF2alpha phosphorylation. Activation of Gcn2p by MP expression
required a functional Tor1p kinase, since rapamycin treatment alleviated the
yeast cell growth defect and blocked eIF2alpha phosphorylation triggered by MP
expression. Overall, these findings uncover a previously uncharacterised function
for PNRSV MP viral protein, and point out at Tor1p and Gcn2p kinases as candidate
susceptibility factors for plant viral infections.
PMID- 22087311
TI - Elevated N-terminal pro-brain natriuretic peptide is associated with mortality in
tobacco smokers independent of airflow obstruction.
AB - BACKGROUND: Tobacco use is associated with an increased prevalence of
cardiovascular disease. N-terminal pro-brain natiuretic peptide (NT-proBNP), a
widely available biomarker that is associated with cardiovascular outcomes in
other conditions, has not been investigated as a predictor of mortality in
tobacco smokers. We hypothesized that NT-proBNP would be an independent
prognostic marker in a cohort of well-characterized tobacco smokers without known
cardiovascular disease. METHODS: Clinical data from 796 subjects enrolled in two
prospective tobacco exposed cohorts was assessed to determine factors associated
with elevated NT-proBNP and the relationship of these factors and NT-proBNP with
mortality. RESULTS: Subjects were followed for a median of 562 (IQR 252-826)
days. Characteristics associated with a NT-proBNP above the median (>=49 pg/mL)
were increased age, female gender, and decreased body mass index. By time-to
event analysis, an NT-proBNP above the median (>=49 pg/mL) was a significant
predictor of mortality (log rank p = 0.02). By proportional hazard analysis
controlling for age, gender, cohort, and severity of airflow obstruction, an
elevated NT-proBNP level (>=49 pg/mL) remained an independent predictor of
mortality (HR = 2.19, 95% CI 1.07-4.46, p = 0.031). CONCLUSIONS: Elevated NT
proBNP is an independent predictor of mortality in tobacco smokers without known
cardiovascular disease, conferring a 2.2 fold increased risk of death. Future
studies should assess the ability of this biomarker to guide further diagnostic
testing and to direct specific cardiovascular risk reduction inventions that may
positively impact quality of life and survival.
PMID- 22087312
TI - Unbiased and automated identification of a circulating tumour cell definition
that associates with overall survival.
AB - Circulating tumour cells (CTC) in patients with metastatic carcinomas are
associated with poor survival and can be used to guide therapy. Classification of
CTC however remains subjective, as they are morphologically heterogeneous. We
acquired digital images, using the CellSearchTM system, from blood of 185
castration resistant prostate cancer (CRPC) patients and 68 healthy subjects to
define CTC by computer algorithms. Patient survival data was used as the training
parameter for the computer to define CTC. The computer-generated CTC definition
was validated on a separate CRPC dataset comprising 100 patients. The optimal
definition of the computer defined CTC (aCTC) was stricter as compared to the
manual CellSearch CTC (mCTC) definition and as a consequence aCTC were less
frequent. The computer-generated CTC definition resulted in hazard ratios (HRs)
of 2.8 for baseline and 3.9 for follow-up samples, which is comparable to the
mCTC definition (baseline HR 2.9, follow-up HR 4.5). Validation resulted in HRs
at baseline/follow-up of 3.9/5.4 for computer and 4.8/5.8 for manual definitions.
In conclusion, we have defined and validated CTC by clinical outcome using a
perfectly reproducing automated algorithm.
PMID- 22087313
TI - Free fatty acid-induced PP2A hyperactivity selectively impairs hepatic insulin
action on glucose metabolism.
AB - In type 2 Diabetes (T2D) free fatty acids (FFAs) in plasma are increased and
hepatic insulin resistance is "selective", in the sense that the insulin-mediated
decrease of glucose production is blunted while insulin's effect on stimulating
lipogenesis is maintained. We investigated the molecular mechanisms underlying
this pathogenic paradox. Primary rat hepatocytes were exposed to palmitate for
twenty hours. To establish the physiological relevance of the in vitro findings,
we also studied insulin-resistant Zucker Diabetic Fatty (ZDF) rats. While insulin
receptor phosphorylation was unaffected, activation of Akt and inactivation of
the downstream targets Glycogen synthase kinase 3alpha (Gsk3alpha and Forkhead
box O1 (FoxO1) was inhibited in palmitate-exposed cells. Accordingly, dose
response curves for insulin-mediated suppression of the FoxO1-induced
gluconeogenic genes and for de novo glucose production were right shifted, and
insulin-stimulated glucose oxidation and glycogen synthesis were impaired. In
contrast, similar to findings in human T2D, the ability of insulin to induce
triglyceride (TG) accumulation and transcription of the enzymes that catalyze de
novo lipogenesis and TG assembly was unaffected. Insulin-induction of these genes
could, however, be blocked by inhibition of the atypical PKCs (aPKCs). The
activity of the Akt-inactivating Protein Phosphatase 2A (PP2A) was increased in
the insulin-resistant cells. Furthermore, inhibition of PP2A by specific
inhibitors increased insulin-stimulated activation of Akt and phosphorylation of
FoxO1 and Gsk3alpha. Finally, PP2A mRNA levels were increased in liver, muscle
and adipose tissue, while PP2A activity was increased in liver and muscle tissue
in insulin-resistant ZDF rats. In conclusion, our findings indicate that FFAs may
cause a selective impairment of insulin action upon hepatic glucose metabolism by
increasing PP2A activity.
PMID- 22087314
TI - Novel common integration sites targeted by mouse mammary tumor virus insertion in
mammary tumors have oncogenic activity.
AB - Non-acute transforming retroviruses like mouse mammary tumor virus (MMTV) cause
cancer, at least in part, through integration near cellular genes involved in
growth control, thereby de-regulating their expression. It is well-established
that MMTV commonly integrates near and activates expression of members of the Wnt
and Fgf pathways in mammary tumors. However, there are a significant number of
tumors for which the proviral integration sites have not been identified. Here,
we used high through-put screening to identify common integration sites (CISs) in
MMTV-induced tumors from C3H/HeN and BALB/c mice. As expected, members of both
the Wnt and Fgf families were identified in this screen. In addition, a number of
novel CISs were found, including Tcf7l2, Antxr1/Tem8, and Arhgap18. We show here
that expression of these three putative oncogenes in normal murine mammary gland
cells altered their growth kinetics and caused their morphological transformation
when grown in three dimensional cultures. Additionally, expression of Tcf7l2 and
Antxr1/Tem8 sensitized cells to exogenous WNT ligand. As Tcf7l2, Antxr1/Tem8, and
Arhgap18 have been associated with human breast and other cancers, these data
demonstrate that MMTV-induced insertional mutation remains an important means for
identifying genes involved in breast cancer.
PMID- 22087315
TI - AF17 facilitates Dot1a nuclear export and upregulates ENaC-mediated Na+ transport
in renal collecting duct cells.
AB - Our previous work in 293T cells and AF17(-/-) mice suggests that AF17 upregulates
expression and activity of the epithelial Na(+) channel (ENaC), possibly by
relieving Dot1a-AF9-mediated repression. However, whether and how AF17 directly
regulates Dot1a cellular distribution and ENaC function in renal collecting duct
cells remain unaddressed. Here, we report our findings in mouse cortical
collecting duct M-1 cells that overexpression of AF17 led to preferential
distribution of Dot1a in the cytoplasm. This effect could be blocked by nuclear
export inhibitor leptomycin B. siRNA-mediated depletion of AF17 caused nuclear
accumulation of Dot1a. AF17 overexpression elicited multiple effects that are
reminiscent of aldosterone action. These effects include 1) increased mRNA and
protein expression of the three ENaC subunits (alpha, beta and gamma) and serum-
and glucocorticoid inducible kinase 1, as revealed by real-time RT-qPCR and
immunoblotting analyses; 2) impaired Dot1a-AF9 interaction and H3 K79 methylation
at the alphaENaC promoter without affecting AF9 binding to the promoter, as
evidenced by chromatin immunoprecipitation; and 3) elevated ENaC-mediated Na(+)
transport, as analyzed by measurement of benzamil-sensitive intracellular [Na(+)]
and equivalent short circuit current using single-cell fluorescence imaging and
an epithelial Volt-ohmmeter, respectively. Knockdown of AF17 elicited opposite
effects. However, combination of AF17 overexpression or depletion with
aldosterone treatment did not cause an additive effect on mRNA expression of the
ENaC subunits. Taken together, we conclude that AF17 promotes Dot1a nuclear
export and upregulates basal, but not aldosterone-stimulated ENaC expression,
leading to an increase in ENaC-mediated Na(+) transport in renal collecting duct
cells.
PMID- 22087317
TI - Predicting the timing of cherry blossoms in Washington, DC and Mid-Atlantic
States in response to climate change.
AB - Cherry blossoms, an icon of spring, are celebrated in many cultures of the
temperate region. For its sensitivity to winter and early spring temperatures,
the timing of cherry blossoms is an ideal indicator of the impacts of climate
change on tree phenology. Here, we applied a process-based phenology model for
temperate deciduous trees to predict peak bloom dates (PBD) of flowering cherry
trees (Prunus*yedoensis 'Yoshino' and Prunus serrulata 'Kwanzan') in the Tidal
Basin, Washington, DC and the surrounding Mid-Atlantic States in response to
climate change. We parameterized the model with observed PBD data from 1991 to
2010. The calibrated model was tested against independent datasets of the past
PBD data from 1951 to 1970 in the Tidal Basin and more recent PBD data from other
locations (e.g., Seattle, WA). The model performance against these independent
data was satisfactory (Yoshino: r(2) = 0.57, RMSE = 6.6 days, bias = 0.9 days and
Kwanzan: r(2) = 0.76, RMSE = 5.5 days, bias = -2.0 days). We then applied the
model to forecast future PBD for the region using downscaled climate projections
based on IPCC's A1B and A2 emissions scenarios. Our results indicate that PBD at
the Tidal Basin are likely to be accelerated by an average of five days by 2050 s
and 10 days by 2080 s for these cultivars under a mid-range (A1B) emissions
scenario projected by ECHAM5 general circulation model. The acceleration is
likely to be much greater (13 days for 2050 s and 29 days for 2080s) under a
higher (A2) emissions scenario projected by CGCM2 general circulation model. Our
results demonstrate the potential impacts of climate change on the timing of
cherry blossoms and illustrate the utility of a simple process-based phenology
model for developing adaptation strategies to climate change in horticulture,
conservation planning, restoration and other related disciplines.
PMID- 22087316
TI - Ethanol and reactive species increase basal sequence heterogeneity of hepatitis C
virus and produce variants with reduced susceptibility to antivirals.
AB - Hepatitis C virus (HCV) exhibits a high level of genetic variability, and
variants with reduced susceptibility to antivirals can occur even before
treatment begins. In addition, alcohol decreases efficacy of antiviral therapy
and increases sequence heterogeneity of HCV RNA but how ethanol affects HCV
sequence is unknown. Ethanol metabolism and HCV infection increase the level of
reactive species that can alter cell metabolism, modify signaling, and
potentially act as mutagen to the viral RNA. Therefore, we investigated whether
ethanol and reactive species affected the basal sequence variability of HCV RNA
in hepatocytes. Human hepatoma cells supporting a continuous replication of
genotype 1b HCV RNA (Con1, AJ242652) were exposed to ethanol, acetaldehyde,
hydrogen peroxide, or L-buthionine-S,R-sulfoximine (BSO) that decreases
intracellular glutathione as seen in patients. Then, NS5A region was sequenced
and compared with genotype 1b HCV sequences in the database. Ethanol and BSO
elevated nucleotide and amino acid substitution rates of HCV RNA by 4-18 folds
within 48 hrs which were accompanied by oxidative RNA damage. Iron chelator and
glutathione ester decreased both RNA damage and mutation rates. Furthermore,
infectious HCV and HCV core gene were sufficient to induce oxidative RNA damage
even in the absence of ethanol or BSO. Interestingly, the dn/ds ratio and
percentage of sites undergoing positive selection increased with ethanol and BSO,
resulting in an increased detection of NS5A variants with reduced susceptibility
to interferon alpha, cyclosporine, and ribavirin and others implicated in immune
tolerance and modulation of viral replication. Therefore, alcohol is likely to
synergize with virus-induced oxidative/nitrosative stress to modulate the basal
mutation rate of HCV. Positive selection induced by alcohol and reactive species
may contribute to antiviral resistance.
PMID- 22087318
TI - Grape proanthocyanidins induce apoptosis by loss of mitochondrial membrane
potential of human non-small cell lung cancer cells in vitro and in vivo.
AB - Lung cancer remains the leading cause of cancer-related deaths worldwide, and non
small cell lung cancer (NSCLC) represents approximately 80% of total lung cancer
cases. The use of non-toxic dietary phytochemicals can be considered as a
chemotherapeutic strategy for the management of the NSCLC. Here, we report that
grape seed proanthocyanidins (GSPs) induce apoptosis of NSCLC cells, A549 and
H1299, in vitro which is mediated through increased expression of pro-apoptotic
protein Bax, decreased expression of anti-apoptotic proteins Bcl2 and Bcl-xl,
disruption of mitochondrial membrane potential, and activation of caspases 9, 3
and poly (ADP-ribose) polymerase (PARP). Pre-treatment of A549 and H1299 cells
with the caspase-3 inhibitor (z-DEVD-fmk) significantly blocked the GSPs-induced
apoptosis of these cells confirmed that GSPs-induced apoptosis is mediated
through activation of caspases-3. Treatments of A549 and H1299 cells with GSPs
resulted in an increase in G1 arrest. G0/G1 phase of the cell cycle is known to
be controlled by cyclin dependent kinases (Cdk), cyclin-dependent kinase
inhibitors (Cdki) and cyclins. Our western blot analyses showed that GSPs-induced
G1 cell cycle arrest was mediated through the increased expression of Cdki
proteins (Cip1/p21 and Kip1/p27), and a simultaneous decrease in the levels of
Cdk2, Cdk4, Cdk6 and cyclins. Further, administration of 50, 100 or 200 mg
GSPs/kg body weight of mice by oral gavage (5 d/week) markedly inhibited the
growth of s.c. A549 and H1299 lung tumor xenografts in athymic nude mice, which
was associated with the induction of apoptotic cell death, increased expression
of Bax, reduced expression of anti-apoptotic proteins and activation of caspase-3
in tumor xenograft cells. Based on the data obtained in animal study, human
equivalent dose of GSPs was calculated, which seems affordable and attainable.
Together, these results suggest that GSPs may represent a potential therapeutic
agent for the non-small cell lung cancer.
PMID- 22087319
TI - External validation of Adjuvant! Online breast cancer prognosis tool.
Prioritising recommendations for improvement.
AB - BACKGROUND: Adjuvant! Online is a web-based application designed to provide 10
years survival probability of patients with breast cancer. Several predictors
have not been assessed in the original Adjuvant! Online study. We provide the
validation of Adjuvant! Online algorithm on two breast cancer datasets, and we
determined whether the accuracy of Adjuvant! Online is improved with other well
known prognostic factors. PATIENTS AND METHODS: The French data set is composed
of 456 women with early breast cancer. The Dutch data set is composed of 295
women less than 52 years of age. Agreement between observation and Adjuvant!
Online prediction was checked, and logistic models were performed to estimate the
prognostic information added by risk factors to Adjuvant! Online prediction.
RESULTS: Adjuvant! Online prediction was overall well-calibrated in the French
data set but failed in some subgroups of such high grade and HER2 positive
patients. HER2 status, Mitotic Index and Ki67 added significant information to
Adjuvant! Online prediction. In the Dutch data set, the overall 10-year survival
was overestimated by Adjuvant! Online, particularly in patients less than 40
years old. CONCLUSION: Adjuvant! Online needs to be updated to adjust
overoptimistic results in young and high grade patients, and should consider new
predictors such as Ki67, HER2 and Mitotic Index.
PMID- 22087320
TI - 8-Modified-2'-deoxyadenosine analogues induce delayed polymerization arrest
during HIV-1 reverse transcription.
AB - The occurrence of resistant viruses to any of the anti-HIV-1 compounds used in
the current therapies against AIDS underlies the urge for the development of new
drug targets and/or new drugs acting through novel mechanisms. While all anti-HIV
1 nucleoside analogues in clinical use and in clinical trials rely on ribose
modifications for activity, we designed nucleosides with a natural deoxyribose
moiety and modifications of position 8 of the adenine base. Such modifications
might induce a steric clash with helix alphaH in the thumb domain of the p66
subunit of HIV-1 RT at a distance from the catalytic site, causing delayed chain
termination. Eleven new 2'-deoxyadenosine analogues modified on position 8 of the
purine base were synthesized and tested in vitro and in cell-based assays. In
this paper we demonstrate for the first time that chemical modifications on
position 8 of 2'-deoxyadenosine induce delayed chain termination in vitro, and
also inhibit DNA synthesis when incorporated in a DNA template strand.
Furthermore, one of them had moderate anti-HIV-1 activity in cell-culture. Our
results constitute a proof of concept indicating that modification on the base
moiety of nucleosides can induce delayed polymerization arrest and inhibit HIV-1
replication.
PMID- 22087321
TI - Enhanced understanding of infectious diseases by fusing multiple datasets: a case
study on malaria in the Western Brazilian Amazon region.
AB - BACKGROUND: A common challenge to the study of several infectious diseases
consists in combining limited cross-sectional survey data, collected with a more
sensitive detection method, with a more extensive (but biased) syndromic sentinel
surveillance data, collected with a less sensitive method. Our article describes
a novel modeling framework that overcomes this challenge, resulting in enhanced
understanding of malaria in the Western Brazilian Amazon. METHODOLOGY/PRINCIPAL
FINDINGS: A cohort of 486 individuals was monitored using four cross-sectional
surveys, where all participants were sampled regardless of symptoms (aggressive
active case detection), resulting in 1,383 microscopy and 1,400 polymerase chain
reaction tests. Data on the same individuals were also obtained from the local
surveillance facility (i.e., passive and active case detection), totaling 1,694
microscopy tests. Our model accommodates these multiple pathogen and case
detection methods. This model is shown to outperform logistic regression in terms
of interpretability of its parameters, ability to recover the true parameter
values, and predictive performance. We reveal that the main infection determinant
was the extent of forest, particularly during the rainy season and in close
proximity to water bodies, and participation on forest activities. We find that
time residing in Acrelandia (as a proxy for past malaria exposure) decreases
infection risk but surprisingly increases the likelihood of reporting symptoms
once infected, possibly because non-naive settlers are only susceptible to more
virulent Plasmodium strains. We suggest that the search for asymptomatic carriers
should focus on those at greater risk of being infected but lower risk of
reporting symptoms once infected. CONCLUSIONS/SIGNIFICANCE: The modeling
framework presented here combines cross-sectional survey data and syndromic
sentinel surveillance data to shed light on several aspects of malaria that are
critical for public health policy. This framework can be adapted to enhance
inference on infectious diseases whenever asymptomatic carriers are important and
multiple datasets are available.
PMID- 22087322
TI - Regulation of interleukin-10 receptor ubiquitination and stability by beta-TrCP
containing ubiquitin E3 ligase.
AB - Interleukin-10 (IL-10) initiates potent anti-inflammatory effects via activating
its cell surface receptor, composed of IL-10R1 and IL-10R2 subunits. The level of
IL-10R1 is a major determinant of the cells' responsiveness to IL-10. Here, via a
series of biochemical analyses using 293T cells reconstituted with IL-10R1, we
identify the latter as a novel substrate of betaTrCP-containing ubiquitin E3
ligase. Within the intracellular tail of IL-10R1, a canonical ((318)DpSGFGpS) and
a slightly deviated ((369)DpSGICLQEP) betaTrCP recognition motif can additively
recruit betaTrCP in a phosphorylation-dependent manner. betaTrCP recruitment
leads to ubiquitination, endocytosis and degradation of IL-10R1, subsequently
reducing the cellular responsiveness to IL-10. Our study uncovers a novel
negative regulatory mechanism that may potentially affect IL-10 function in
target cells under physiological or pathological conditions.
PMID- 22087323
TI - Comparison of two devices and two breathing patterns for exhaled breath
condensate sampling.
AB - INTRODUCTION: Analysis of exhaled breath condensate (EBC) is a noninvasive method
to access the epithelial lining fluid of the lungs. Due to standardization
problems the method has not entered clinical practice. The aim of the study was
to assess the comparability for two commercially available devices in healthy
controls. In addition, we assessed different breathing patterns in healthy
controls with protein markers to analyze the source of the EBC. METHODS: EBC was
collected from ten subjects using the RTube and ECoScreen Turbo in a randomized
crossover design, twice with every device--once in tidal breathing and once in
hyperventilation. EBC conductivity, pH, surfactant protein A, Clara cell
secretory protein and total protein were assessed. Bland-Altman plots were
constructed to display the influence of different devices or breathing patterns
and the intra-class correlation coefficient (ICC) was calculated. The volatile
organic compound profile was measured using the electronic nose Cyranose 320. For
the analysis of these data, the linear discriminant analysis, the Mahalanobis
distances and the cross-validation values (CVV) were calculated. RESULTS: Neither
the device nor the breathing pattern significantly altered EBC pH or
conductivity. ICCs ranged from 0.61 to 0.92 demonstrating moderate to very good
agreement. Protein measurements were greatly influenced by breathing pattern, the
device used, and the way in which the results were reported. The electronic nose
could distinguish between different breathing patterns and devices, resulting in
Mahalanobis distances greater than 2 and CVVs ranging from 64% to 87%.
CONCLUSION: EBC pH and (to a lesser extent) EBC conductivity are stable
parameters that are not influenced by either the device or the breathing
patterns. Protein measurements remain uncertain due to problems of
standardization. We conclude that the influence of the breathing maneuver
translates into the necessity to keep the volume of ventilated air constant in
further studies.
PMID- 22087324
TI - The role of purinergic signaling on deformation induced injury and repair
responses of alveolar epithelial cells.
AB - Cell wounding is an important driver of the innate immune response of ventilator
injured lungs. We had previously shown that the majority of wounded alveolus
resident cells repair and survive deformation induced insults. This is important
insofar as wounded and repaired cells may contribute to injurious deformation
responses commonly referred to as biotrauma. The central hypothesis of this
communication states that extracellular adenosine-5' triphosphate (ATP) promotes
the repair of wounded alveolus resident cells by a P2Y2-Receptor dependent
mechanism. Using primary type 1 alveolar epithelial rat cell models subjected to
micropuncture injury and/or deforming stress we show that 1) stretch causes a
dose dependent increase in cell injury and ATP media concentrations; 2) enzymatic
depletion of extracellular ATP reduces the probability of stretch induced wound
repair; 3) enriching extracellular ATP concentrations facilitates wound repair;
4) purinergic effects on cell repair are mediated by ATP and not by one of its
metabolites; and 5) ATP mediated cell salvage depends at least in part on P2Y2-R
activation. While rescuing cells from wounding induced death may seem appealing,
it is possible that survivors of membrane wounding become governors of a
sustained pro-inflammatory state and thereby perpetuate and worsen organ function
in the early stages of lung injury syndromes. Means to uncouple P2Y2-R mediated
cytoprotection from P2Y2-R mediated inflammation and to test the preclinical
efficacy of such an undertaking deserve to be explored.
PMID- 22087325
TI - Combined phytochemistry and chemotaxis assays for identification and mechanistic
analysis of anti-inflammatory phytochemicals in Fallopia japonica.
AB - Plants provide a rich source of lead compounds for a variety of diseases. A novel
approach combining phytochemistry and chemotaxis assays was developed and used to
identify and study the mechanisms of action of the active compounds in F.
japonica, a medicinal herb traditionally used to treat inflammation. Based on a
bioactivity-guided purification strategy, two anthranoids, emodin and physcion,
were identified from F. japonica. Spectroscopic techniques were used to
characterize its crude extract, fractions and phytochemicals. The crude extract,
chloroform fraction, and anthranoids of F. japonica significantly inhibited CXCR4
mediated chemotaxis. Mechanistic studies showed that emodin and physcion
inhibited chemotaxis via inactivating the MEK/ERK pathway. Moreover, the crude
extract and emodin could prevent or treat type 1 diabetes in non-obese diabetic
(NOD) mice. This study illustrates the applicability of a combinational approach
for the study of anti-inflammatory medicine and shows the potential of F.
japonica and its anthranoids for anti-inflammatory therapy.
PMID- 22087327
TI - Memory in the neonate brain.
AB - BACKGROUND: The capacity to memorize speech sounds is crucial for language
acquisition. Newborn human infants can discriminate phonetic contrasts and
extract rhythm, prosodic information, and simple regularities from speech. Yet,
there is scarce evidence that infants can recognize common words from the
surrounding language before four months of age. METHODOLOGY/PRINCIPAL FINDINGS:
We studied one hundred and twelve 1-5 day-old infants, using functional near
infrared spectroscopy (fNIRS). We found that newborns tested with a novel
bisyllabic word show greater hemodynamic brain response than newborns tested with
a familiar bisyllabic word. We showed that newborns recognize the familiar word
after two minutes of silence or after hearing music, but not after hearing a
different word. CONCLUSIONS/SIGNIFICANCE: The data show that retroactive
interference is an important cause of forgetting in the early stages of language
acquisition. Moreover, because neonates forget words in the presence of some--but
not all--sounds, the results indicate that the interference phenomenon that
causes forgetting is selective.
PMID- 22087326
TI - Dissociation of bone resorption and bone formation in adult mice with a non
functional V-ATPase in osteoclasts leads to increased bone strength.
AB - Osteopetrosis caused by defective acid secretion by the osteoclast, is
characterized by defective bone resorption, increased osteoclast numbers, while
bone formation is normal or increased. In contrast the bones are of poor quality,
despite this uncoupling of formation from resorption.To shed light on the effect
of uncoupling in adult mice with respect to bone strength, we transplanted
irradiated three-month old normal mice with hematopoietic stem cells from control
or oc/oc mice, which have defective acid secretion, and followed them for 12 to
28 weeks.Engraftment levels were assessed by flow cytometry of peripheral blood.
Serum samples were collected every six weeks for measurement of bone turnover
markers. At termination bones were collected for uCT and mechanical testing. An
engraftment level of 98% was obtained. From week 6 until termination bone
resorption was significantly reduced, while the osteoclast number was increased
when comparing oc/oc to controls. Bone formation was elevated at week 6,
normalized at week 12, and reduced onwards. uCT and mechanical analyses of femurs
and vertebrae showed increased bone volume and bone strength of cortical and
trabecular bone.In conclusion, these data show that attenuation of acid secretion
in adult mice leads to uncoupling and improves bone strength.
PMID- 22087328
TI - Functional transforming growth factor-beta receptor type II expression by CD4+ T
cells in Peyer's patches is essential for oral tolerance induction.
AB - Our previous studies have shown that Peyer's patches (PPs) play a key role in the
induction of oral tolerance. Therefore, we hypothesized that PPs are an important
site for Transforming Growth Factor (TGF)-beta signaling and sought to prove that
this tissue is of importance in oral tolerance induction. We found that
expression of TGF-beta type II receptor (TGFbetaRII) by CD4(+) T cells increases
and persists in the PPs of normal C57BL/6 mice after either high- or low-dose
feeding of OVA when compared to mesenteric lymph nodes (MLNs) and spleen.
Approximately one-third of these TGFbetaRII(+) CD4(+) T cells express the
transcription factor Foxp3. Interestingly, the number of TGFbetaRII(+) CD4(+) T
cells in PPs decreased when OVA-fed mice were orally challenged with OVA plus
native cholera toxin (CT). In contrast, numbers of TGFbetaRII(+) CD4(+) T cells
were increased in the intestinal lamina propria (iLP) of these challenged mice.
Further, these PP CD4(+) TGFbetaRII(+) T cells upregulated Foxp3 within 2 hours
after OVA plus CT challenge. Mice fed PBS and challenged with OVA plus CT did not
reveal any changes in TGFbetaRII expression by CD4(+) T cells. In order to test
the functional property of TGFbetaRII in the induction of oral tolerance,
CD4dnTGFbetaRII transgenic mice, in which TGFbetaRII signaling is abrogated from
all CD4(+) T cells, were employed. Importantly, these mice could not develop oral
tolerance to OVA. Our studies show a critical, dose-independent, role for
TGFbetaRII expression and function by CD4(+) T cells in the gut-associated
lymphoid tissues, further underlining the vital role of PPs in oral tolerance.
PMID- 22087329
TI - Borrelia burgdorferi enolase is a surface-exposed plasminogen binding protein.
AB - Borrelia burgdorferi is the causative agent of Lyme disease, the most commonly
reported arthropod-borne disease in the United States. B. burgdorferi is a highly
invasive bacterium, yet lacks extracellular protease activity. In order to aid in
its dissemination, B. burgdorferi binds plasminogen, a component of the hosts'
fibrinolytic system. Plasminogen bound to the surface of B. burgdorferi can then
be activated to the protease plasmin, facilitating the bacterium's penetration of
endothelial cell layers and degradation of extracellular matrix components.
Enolases are highly conserved proteins with no sorting sequences or lipoprotein
anchor sites, yet many bacteria have enolases bound to their outer surfaces. B.
burgdorferi enolase is both a cytoplasmic and membrane associated protein.
Enolases from other pathogenic bacteria are known to bind plasminogen. We
confirmed the surface localization of B. burgdorferi enolase by in situ protease
degradation assay and immunoelectron microscopy. We then demonstrated that B.
burgdorferi enolase binds plasminogen in a dose-dependent manner. Lysine residues
were critical for binding of plasminogen to enolase, as the lysine analog
epsilonaminocaproic acid significantly inhibited binding. Ionic interactions did
not play a significant role in plasminogen binding by enolase, as excess NaCl had
no effects on the interaction. Plasminogen bound to recombinant enolase could be
converted to active plasmin. We conclude that B. burgdorferi enolase is a
moonlighting cytoplasmic protein which also associates with the bacterial outer
surface and facilitates binding to host plasminogen.
PMID- 22087330
TI - A three-hybrid system to probe in vivo protein-protein interactions: application
to the essential proteins of the RD1 complex of M. tuberculosis.
AB - BACKGROUND: Protein-protein interactions play a crucial role in enabling a
pathogen to survive within a host. In many cases the interactions involve a
complex of proteins rather than just two given proteins. This is especially true
for pathogens like M. tuberculosis that are able to successfully survive the
inhospitable environment of the macrophage. Studying such interactions in detail
may help in developing small molecules that either disrupt or augment the
interactions. Here, we describe the development of an E. coli based bacterial
three-hybrid system that can be used effectively to study ternary protein
complexes. METHODOLOGY/PRINCIPAL FINDINGS: The protein-protein interactions
involved in M. tuberculosis pathogenesis have been used as a model for the
validation of the three-hybrid system. Using the M. tuberculosis RD1 encoded
proteins CFP10, ESAT6 and Rv3871 for our proof-of-concept studies, we show that
the interaction between the proteins CFP10 and Rv3871 is strengthened and
stabilized in the presence of ESAT6, the known heterodimeric partner of CFP10.
Isolating peptide candidates that can disrupt crucial protein-protein
interactions is another application that the system offers. We demonstrate this
by using CFP10 protein as a disruptor of a previously established interaction
between ESAT6 and a small peptide HCL1; at the same time we also show that CFP10
is not able to disrupt the strong interaction between ESAT6 and another peptide
SL3. CONCLUSIONS/SIGNIFICANCE: The validation of the three-hybrid system paves
the way for finding new peptides that are stronger binders of ESAT6 compared even
to its natural partner CFP10. Additionally, we believe that the system offers an
opportunity to study tri-protein complexes and also perform a screening of
protein/peptide binders to known interacting proteins so as to elucidate novel
tri-protein complexes.
PMID- 22087331
TI - Prediction of transposable element derived enhancers using chromatin modification
profiles.
AB - Experimentally characterized enhancer regions have previously been shown to
display specific patterns of enrichment for several different histone
modifications. We modelled these enhancer chromatin profiles in the human genome
and used them to guide the search for novel enhancers derived from transposable
element (TE) sequences. To do this, a computational approach was taken to analyze
the genome-wide histone modification landscape characterized by the ENCODE
project in two human hematopoietic cell types, GM12878 and K562. We predicted the
locations of 2,107 and 1,448 TE-derived enhancers in the GM12878 and K562 cell
lines respectively. A vast majority of these putative enhancers are unique to
each cell line; only 3.5% of the TE-derived enhancers are shared between the two.
We evaluated the functional effect of TE-derived enhancers by associating them
with the cell-type specific expression of nearby genes, and found that the number
of TE-derived enhancers is strongly positively correlated with the expression of
nearby genes in each cell line. Furthermore, genes that are differentially
expressed between the two cell lines also possess a divergent number of TE
derived enhancers in their vicinity. As such, genes that are up-regulated in the
GM12878 cell line and down-regulated in K562 have significantly more TE-derived
enhancers in their vicinity in the GM12878 cell line and vice versa. These data
indicate that human TE-derived sequences are likely to be involved in regulating
cell-type specific gene expression on a broad scale and suggest that the enhancer
activity of TE-derived sequences is mediated by epigenetic regulatory mechanisms.
PMID- 22087332
TI - A two-compartment model of VEGF distribution in the mouse.
AB - Vascular endothelial growth factor (VEGF) is a key regulator of angiogenesis--the
growth of new microvessels from existing microvasculature. Angiogenesis is a
complex process involving numerous molecular species, and to better understand
it, a systems biology approach is necessary. In vivo preclinical experiments in
the area of angiogenesis are typically performed in mouse models; this includes
drug development targeting VEGF. Thus, to quantitatively interpret such
experimental results, a computational model of VEGF distribution in the mouse can
be beneficial. In this paper, we present an in silico model of VEGF distribution
in mice, determine model parameters from existing experimental data, conduct
sensitivity analysis, and test the validity of the model. The multiscale model is
comprised of two compartments: blood and tissue. The model accounts for
interactions between two major VEGF isoforms (VEGF(120) and VEGF(164)) and their
endothelial cell receptors VEGFR-1, VEGFR-2, and co-receptor neuropilin-1.
Neuropilin-1 is also expressed on the surface of parenchymal cells. The model
includes transcapillary macromolecular permeability, lymphatic transport, and
macromolecular plasma clearance. Simulations predict that the concentration of
unbound VEGF in the tissue is approximately 50-fold greater than in the blood.
These concentrations are highly dependent on the VEGF secretion rate. Parameter
estimation was performed to fit the simulation results to available experimental
data, and permitted the estimation of VEGF secretion rate in healthy tissue,
which is difficult to measure experimentally. The model can provide quantitative
interpretation of preclinical animal data and may be used in conjunction with
experimental studies in the development of pro- and anti-angiogenic agents. The
model approximates the normal tissue as skeletal muscle and includes endothelial
cells to represent the vasculature. As the VEGF system becomes better
characterized in other tissues and cell types, the model can be expanded to
include additional compartments and vascular elements.
PMID- 22087333
TI - Intentional modulation of the late positive potential in response to smoking cues
by cognitive strategies in smokers.
AB - Attentional bias is considered an important concept in addiction since it has
been found to correlate with subjective craving and is strongly associated with
relapse after periods of abstinence. Hence, investigating in ways to regulate
attention for drug cues would be of major clinical relevance. The present study
examined deliberate, cognitive modulation of motivated attention for smoking cues
in smokers. The effects of three different reappraisal strategies on an
electrophysiological measure of attentive processing were investigated. Early and
late LPP components in response to passively viewed neutral and smoking pictures
were compared with LPPs in response to smoking pictures that were reappraised
with three different reappraisal strategies. Results show that when smokers
actively imagine how pleasant it would be to smoke (pleasant condition), their
early LPP in response to smoking cues increases, but when smokers actively focus
on an alternative stimulus (distraction condition) or think of a rational,
uninvolved interpretation of the situation (rational condition), smoking-related
late LPP amplitude decreases to the processing level of neutral stimuli. Present
results are the first to indicate that smoking cue-elicited LPP amplitudes can be
modulated by cognitive strategies, suggesting that attentive processing of
smoking cues can be intentionally regulated by smokers with various levels of
dependence. Although cognitive strategies can lead to enhanced processing of
smoking cues, it is not completely clear whether cognitive strategies are also
successful in reducing smoking-related motivated attention. Although findings do
point in this direction, present study is best considered preliminary and a
starting point for other research on this topic. A focus on the distraction
strategy is proposed, as there are indications that this strategy is more
successful than the rational strategy in decreasing LPP amplitude.
PMID- 22087334
TI - Multigenic families in Ichnovirus: a tissue and host specificity study through
expression analysis of vankyrins from Hyposoter didymator Ichnovirus.
AB - The viral ankyrin (vankyrin) gene family is represented in all polydnavirus
(PDVs) genomes and encodes proteins homologous to I-kappaBs, inhibitors of NF
kappaB transcription factors. The structural similarities led to the hypothesis
that vankyrins mimic eukaryotic factors to subvert important physiological
pathways in the infected host. Here, we identified nine vankyrin genes in the
genome of the Hyposoter didymator Ichnovirus (HdIV). Time-course gene expression
experiments indicate that all members are expressed throughout parasitism of
Spodoptera frugiperda, as assessed using RNA extracted from whole larvae. To
study tissue and/or species specificity transcriptions, the expression of HdIV
vankyrin genes were compared between HdIV-injected larvae of S. frugiperda and S.
littoralis. The transcriptional profiles were similar in the two species,
including the largely predominant expression of Hd27-vank1 in all tissues
examined. However, in various insect cell lines, the expression patterns of HdIV
vankyrins differed according to species. No clear relationship between vankyrin
expression patterns and abundance of vankyrin-bearing genomic segments were found
in the lepidopteran cell lines. Moreover, in these cells, the amount of vankyrin
bearing genomic segments differed substantially between cytosol and nuclei of
infected cells, implying the existence of an unexpected step regulating the copy
number of HdIV segments in cell nuclei. Our in vitro results reveal a host
specific transcriptional profile of vankyrins that may be related to the success
of parasitism in different hosts. In Spodoptera hosts, the predominant expression
of Hd27-vank1 suggests that this protein might have pleiotropic functions during
parasitism of these insect species.
PMID- 22087335
TI - Isolation and characterization of neural crest-derived stem cells from dental
pulp of neonatal mice.
AB - Dental pulp stem cells (DPSCs) are shown to reside within the tooth and play an
important role in dentin regeneration. DPSCs were first isolated and
characterized from human teeth and most studies have focused on using this adult
stem cell for clinical applications. However, mouse DPSCs have not been well
characterized and their origin(s) have not yet been elucidated. Herein we
examined if murine DPSCs are neural crest derived and determined their in vitro
and in vivo capacity. DPSCs from neonatal murine tooth pulp expressed embryonic
stem cell and neural crest related genes, but lacked expression of mesodermal
genes. Cells isolated from the Wnt1-Cre/R26R-LacZ model, a reporter of neural
crest-derived tissues, indicated that DPSCs were Wnt1-marked and therefore of
neural crest origin. Clonal DPSCs showed multi-differentiation in neural crest
lineage for odontoblasts, chondrocytes, adipocytes, neurons, and smooth muscles.
Following in vivo subcutaneous transplantation with hydroxyapatite/tricalcium
phosphate, based on tissue/cell morphology and specific antibody staining, the
clones differentiated into odontoblast-like cells and produced dentin-like
structure. Conversely, bone marrow stromal cells (BMSCs) gave rise to osteoblast
like cells and generated bone-like structure. Interestingly, the capillary
distribution in the DPSC transplants showed close proximity to odontoblasts
whereas in the BMSC transplants bone condensations were distant to capillaries
resembling dentinogenesis in the former vs. osteogenesis in the latter. Thus we
demonstrate the existence of neural crest-derived DPSCs with differentiation
capacity into cranial mesenchymal tissues and other neural crest-derived tissues.
In turn, DPSCs hold promise as a source for regenerating cranial mesenchyme and
other neural crest derived tissues.
PMID- 22087336
TI - SdPI, the first functionally characterized Kunitz-type trypsin inhibitor from
scorpion venom.
AB - BACKGROUND: Kunitz-type venom peptides have been isolated from a wide variety of
venomous animals. They usually have protease inhibitory activity or potassium
channel blocking activity, which by virtue of the effects on predator animals are
essential for the survival of venomous animals. However, no Kunitz-type peptides
from scorpion venom have been functionally characterized. PRINCIPAL FINDINGS: A
new Kunitz-type venom peptide gene precursor, SdPI, was cloned and characterized
from a venom gland cDNA library of the scorpion Lychas mucronatus. It codes for a
signal peptide of 21 residues and a mature peptide of 59 residues. The mature
SdPI peptide possesses a unique cysteine framework reticulated by three disulfide
bridges, different from all reported Kunitz-type proteins. The recombinant SdPI
peptide was functionally expressed. It showed trypsin inhibitory activity with
high potency (K(i) = 1.6*10(-7) M) and thermostability. CONCLUSIONS: The results
illustrated that SdPI is a potent and stable serine protease inhibitor. Further
mutagenesis and molecular dynamics simulation revealed that SdPI possesses a
serine protease inhibitory active site similar to other Kunitz-type venom
peptides. To our knowledge, SdPI is the first functionally characterized Kunitz
type trypsin inhibitor derived from scorpion venom, and it represents a new class
of Kunitz-type venom peptides.
PMID- 22087337
TI - Innate host response in primary human hepatocytes with hepatitis C virus
infection.
AB - BACKGROUND AND AIM: The interaction between hepatitis C virus (HCV) and innate
antiviral defense systems in primary human hepatocytes is not well understood.
The objective of this study is to examine how primary human hepatocytes response
to HCV infection. METHODS: An infectious HCV isolate JFH1 was used to infect
isolated primary human hepatocytes. HCV RNA or NS5A protein in the cells was
detected by real-time PCR or immunofluorescence staining respectively. Apoptosis
was examined with flow cytometry. Mechanisms of HCV-induced IFN-beta expression
and apoptosis were determined. RESULTS: Primary human hepatocytes were
susceptible to JFH1 virus and released infectious virus. IFN-alpha inhibited
viral RNA replication in the cells. IFN-beta and interferon-stimulated genes were
induced in the cells during acute infection. HCV infection induced apoptosis of
primary human hepatocytes through the TRAIL-mediated pathway. Silencing RIG-I
expression in primary human hepatocytes inhibited IFN-beta and TRAIL expression
and blocked apoptosis of the cells, which facilitated viral RNA replication in
the cells. Moreover, HCV NS34A protein inhibited viral induced IFN-beta
expression in primary human hepatocytes. CONCLUSION: Innate host response is
intact in HCV-infected primary human hepatocytes. RIG-I plays a key role in the
induction of IFN and TRAIL by viruses and apoptosis of primary human hepatocytes
via activation of the TRAIL-mediated pathway. HCV NS34A protein appears to be
capable of disrupting the innate antiviral host responses in primary human
hepatocytes. Our study provides a novel mechanism by which primary human
hepatocytes respond to natural HCV infection.
PMID- 22087338
TI - Stable small animal ventilation for dynamic lung imaging to support computational
fluid dynamics models.
AB - Pulmonary computational fluid dynamics models require that three-dimensional
images be acquired over multiple points in the dynamic breathing cycle without
breath holds or changes in ventilatory mechanics. With small animals, these
requirements can result in long imaging times (~90 minutes), over which lung
mechanics, such as compliance, may gradually change if not carefully monitored
and controlled. These changes, caused by derecruitment of parenchymal tissue, are
manifested as an upward drift in peak inspiratory pressure (PIP) or by changes in
the pressure waveform and/or lung volume over the course of the experiment. We
demonstrate highly repeatable mechanical ventilation in anesthetized rats over a
long duration for dynamic lung x-ray computed tomography (CT) imaging. We
describe significant updates to a basic commercial ventilator that was acquired
for these experiments. Key to achieving consistent results was the implementation
of periodic deep breaths, or sighs, of extended duration to maintain lung
recruitment. In addition, continuous monitoring of breath-to-breath pressure and
volume waveforms and long-term trends in PIP and flow provide diagnostics of
changes in breathing mechanics.
PMID- 22087339
TI - Distribution of metals in the termite Tumulitermes tumuli (Froggatt): two types
of Malpighian tubule concretion host Zn and Ca mutually exclusively.
AB - The aim of this study was to determine specific distribution of metals in the
termite Tumulitermes tumuli (Froggatt) and identify specific organs within the
termite that host elevated metals and therefore play an important role in the
regulation and transfer of these back into the environment. Like other insects,
termites bio-accumulate essential metals to reinforce cuticular structures and
utilize storage detoxification for other metals including Ca, P, Mg and K.
Previously, Mn and Zn have been found concentrated in mandible tips and are
associated with increased hardness whereas Ca, P, Mg and K are accumulated in
Malpighian tubules. Using high resolution Particle Induced X-Ray Emission (PIXE)
mapping of whole termites and Scanning Electron Microscope (SEM) Energy
Dispersive X-ray (EDX) spot analysis, localised accumulations of metals in the
termite T. tumuli were identified. Tumulitermes tumuli was found to have
proportionally high Mn concentrations in mandible tips. Malpighian tubules had
significant enrichment of Zn (1.6%), Mg (4.9%), P (6.8%), Ca (2.7%) and K (2.4%).
Synchrotron scanning X-ray Fluorescence Microprobe (XFM) mapping demonstrated two
different concretion types defined by the mutually exclusive presence of Ca and
Zn. In-situ SEM EDX realisation of these concretions is problematic due to the
excitation volume caused by operating conditions required to detect minor amounts
of Zn in the presence of significant amounts of Na. For this reason, previous
researchers have not demonstrated this surprising finding.
PMID- 22087340
TI - Molecular typing of Treponema pallidum: a systematic review and meta-analysis.
AB - BACKGROUND: Syphilis is resurgent in many regions of the world. Molecular typing
is a robust tool for investigating strain diversity and epidemiology. This study
aimed to review original research on molecular typing of Treponema pallidum (T.
pallidum) with three objectives: (1) to determine specimen types most suitable
for molecular typing; (2) to determine T. pallidum subtype distribution across
geographic areas; and (3) to summarize available information on subtypes
associated with neurosyphilis and macrolide resistance. METHODOLOGY/PRINCIPAL
FINDINGS: Two researchers independently searched five databases from 1998 through
2010, assessed for eligibility and study quality, and extracted data. Search
terms included "Treponema pallidum," or "syphilis," combined with the subject
headings "molecular," "subtyping," "typing," "genotype," and "epidemiology."
Sixteen eligible studies were included. Publication bias was not statistically
significant by the Begg rank correlation test. Medians, inter-quartile ranges,
and 95% confidence intervals were determined for DNA extraction and full typing
efficiency. A random-effects model was used to perform subgroup analyses to
reduce obvious between-study heterogeneity. Primary and secondary lesions and ear
lobe blood specimens had an average higher yield of T. pallidum DNA (83.0% vs.
28.2%, chi(2) = 247.6, p<0.001) and an average higher efficiency of full
molecular typing (80.9% vs. 43.1%, chi(2) = 102.3, p<0.001) compared to plasma,
whole blood, and cerebrospinal fluid. A pooled analysis of subtype distribution
based on country location showed that 14d was the most common subtype, and
subtype distribution varied across geographic areas. Subtype data associated with
macrolide resistance and neurosyphilis were limited. CONCLUSIONS/SIGNIFICANCE:
Primary lesion was a better specimen for obtaining T. pallidum DNA than blood.
There was wide geographic variation in T. pallidum subtypes. More research is
needed on the relationship between clinical presentation and subtype, and further
validation of ear lobe blood for obtaining T. pallidum DNA would be useful for
future molecular studies of syphilis.
PMID- 22087341
TI - Life quality impairment caused by hookworm-related cutaneous larva migrans in
resource-poor communities in Manaus, Brazil.
AB - BACKGROUND: Hookworm-related cutaneous larva migrans (CLM) is a common but
neglected tropical skin disease caused by the migration of animal hookworm larvae
in the epidermis. The disease causes intense pruritus and is associated with
important morbidity. The extent to which CLM impairs skin disease-associated life
quality has never been studied. METHODS: A modified version of the Dermatology
Life Quality Index (mDLQI) was used to determine skin disease-associated life
quality in 91 adult and child patients with CLM, living in resource-poor
communities in Manaus, Brazil. Symptoms and signs were documented and skin
disease-associated life quality was semi-quantitatively assessed using mDLQI
scores. The assessment was repeated two and four weeks after treatment with
ivermectin. RESULTS: Ninety-one point five percent of the study participants
showed a considerable reduction of skin disease-associated life quality at the
time of diagnosis. The degree of impairment correlated with the intensity of
infection (rho = 0.76, p<0.001), the number of body areas affected (rho = 0.30; p
= 0.004), and the presence of lesions on visible areas of the skin (p = 0.002).
Intense pruritus, sleep disturbance (due to itching) and the feeling of shame
were the most frequent skin disease-associated life quality restrictions
(reported by 93.4%, 73.6%, and 64.8% of the patients, respectively). No
differences were observed in skin disease-associated life quality restriction
between boys and girls or men and women. Two weeks after treatment with
ivermectin, skin disease-associated life quality improved significantly. After
four weeks, 73.3% of the patients considered their disease-associated life
quality to have returned to normal. CONCLUSIONS: CLM significantly impaired the
skin disease-associated life quality in child and adult patients living in urban
slums in North Brazil. After treatment with ivermectin, life quality normalised
rapidly.
PMID- 22087342
TI - Comparison of two multilocus sequence based genotyping schemes for Leptospira
species.
AB - BACKGROUND: Several sequence based genotyping schemes have been developed for
Leptospira spp. The objective of this study was to genotype a collection of
clinical and reference isolates using the two most commonly used schemes and
compare and contrast the results. METHODS AND FINDINGS: A total of 48 isolates
consisting of L. interrogans (n = 40) and L. kirschneri (n = 8) were typed by the
7 locus MLST scheme described by Thaipadungpanit et al., and the 6 locus
genotyping scheme described by Ahmed et al., (termed 7L and 6L, respectively).
Two L. interrogans isolates were not typed using 6L because of a deletion of
three nucleotides in lipL32. The remaining 46 isolates were resolved into 21
sequence types (STs) by 7L, and 30 genotypes by 6L. Overall nucleotide diversity
(based on concatenated sequence) was 3.6% and 2.3% for 7L and 6L, respectively.
The D value (discriminatory ability) of 7L and 6L were comparable, i.e. 92.0 (95%
CI 87.5-96.5) vs. 93.5 (95% CI 88.6-98.4). The dN/dS ratios calculated for each
locus indicated that none were under positive selection. Neighbor joining trees
were reconstructed based on the concatenated sequences for each scheme. Both
trees showed two distinct groups corresponding to L. interrogans and L.
kirschneri, and both identified two clones containing 10 and 7 clinical isolates,
respectively. There were six instances in which 6L split single STs as defined by
7L into closely related clusters. We noted two discrepancies between the trees in
which the genetic relatedness between two pairs of strains were more closely
related by 7L than by 6L. CONCLUSIONS: This genetic analysis indicates that the
two schemes are comparable. We discuss their practical advantages and
disadvantages.
PMID- 22087343
TI - Development and evaluation of a new lateral flow immunoassay for serodiagnosis of
human fasciolosis.
AB - BACKGROUND: Human fasciolosis is a re-emerging disease worldwide and is caused by
species of the genus Fasciola (F. hepatica and F. gigantica). Human fasciolosis
can be diagnosed by classical coprological techniques, such as the Kato-Katz
test, to reveal parasite eggs in faeces. However, although 100% specific, these
methods are generally not adequate for detection of acute infections, ectopic
infections, or infections with low number of parasites. In such cases
immunological methods may be a good alternative and are recommended for use in
major hospitals where trained personnel are available, although they are not
usually implemented for individual testing. METHODOLOGY/PRINCIPAL FINDINGS: We
have developed a new lateral flow test (SeroFluke) for the serodiagnosis of human
fasciolosis. The new test was constructed with a recombinant cathepsin L1 from F.
hepatica, and uses protein A and mAb MM3 as detector reagents in the test and
control lines, respectively. In comparison with an ELISA test (MM3-SERO) the
SeroFluke test showed maximal specificity and sensitivity and can be used with
serum or whole blood samples. CONCLUSIONS/SIGNIFICANCE: The new test can be used
in major hospitals in hypoendemic countries as well as in endemic/hyperendemic
regions where point-of-care testing is required.
PMID- 22087345
TI - Fatal attraction phenomenon in humans: cat odour attractiveness increased for
toxoplasma-infected men while decreased for infected women.
AB - BACKGROUND: Latent toxoplasmosis, a lifelong infection with the protozoan
Toxoplasma gondii, has cumulative effects on the behaviour of hosts, including
humans. The most impressive effect of toxoplasmosis is the "fatal attraction
phenomenon," the conversion of innate fear of cat odour into attraction to cat
odour in infected rodents. While most behavioural effects of toxoplasmosis were
confirmed also in humans, neither the fatal attraction phenomenon nor any
toxoplasmosis-associated changes in olfactory functions have been searched for in
them. PRINCIPAL FINDINGS: Thirty-four Toxoplasma-infected and 134 noninfected
students rated the odour of urine samples from cat, horse, tiger, brown hyena and
dog for intensity and pleasantness. The raters were blind to their infection
status and identity of the samples. No signs of changed sensitivity of olfaction
were observed. However, we found a strong, gender dependent effect of
toxoplasmosis on the pleasantness attributed to cat urine odour (p = 0.0025).
Infected men rated this odour as more pleasant than did the noninfected men,
while infected women rated the same odour as less pleasant than did noninfected
women. Toxoplasmosis did not affect how subjects rated the pleasantness of any
other animal species' urine odour; however, a non-significant trend in the same
directions was observed for hyena urine. CONCLUSIONS: The absence of the effects
of toxoplasmosis on the odour pleasantness score attributed to large cats would
suggest that the amino acid felinine could be responsible for the fatal
attraction phenomenon. Our results also raise the possibility that the odour
specific threshold deficits observed in schizophrenia patients could be caused by
increased prevalence of Toxoplasma-infected subjects in this population rather
than by schizophrenia itself. The trend observed with the hyena urine sample
suggests that this carnivore, and other representatives of the Feliformia
suborder, should be studied for their possible role as definitive hosts in the
life cycle of Toxoplasma.
PMID- 22087346
TI - Adequate wound care and use of bed nets as protective factors against Buruli
Ulcer: results from a case control study in Cameroon.
AB - BACKGROUND: Buruli ulcer is an infectious disease involving the skin, caused by
Mycobacterium ulcerans. Its exact transmission mechanism remains unknown. Several
arguments indicate a possible role for insects in its transmission. A previous
case-control study in the Nyong valley region in central Cameroon showed an
unexpected association between bed net use and protection against Buruli ulcer.
We investigated whether this association persisted in a newly discovered endemic
Buruli ulcer focus in Bankim, northwestern Cameroon. METHODOLOGY/PRINCIPAL
FINDINGS: We conducted a case-control study on 77 Buruli ulcer cases and 153 age
, gender- and village-matched controls. Participants were interviewed about their
activities and habits. Multivariate conditional logistic regression analysis
identified systematic use of a bed net (Odds-Ratio (OR) = 0.4, 95% Confidence
Interval [95%CI] = [0.2-0.9], p-value (p) = 0.04), cleansing wounds with soap (OR
[95%CI] = 0.1 [0.03-0.3], p<0.0001) and growing cassava (OR [95%CI] = 0.3 [0.2
0.7], p = 0.005) as independent protective factors. Independent risk factors were
bathing in the Mbam River (OR [95%CI] = 6.9 [1.4-35], p = 0.02) and reporting
scratch lesions after insect bites (OR [95%CI] = 2.7 [1.4-5.4], p = 0.004). The
proportion of cases that could be prevented by systematic bed net use was 32%,
and by adequate wound care was 34%. CONCLUSIONS/SIGNIFICANCE: Our study confirms
that two previously identified factors, adequate wound care and bed net use,
significantly decreased the risk of Buruli ulcer. These associations withstand
generalization to different geographic, climatic and epidemiologic settings.
Involvement of insects in the household environment, and the relationship between
wound hygiene and M. ulcerans infection should now be investigated.
PMID- 22087344
TI - Induction of CD4(+)CD25(+)FOXP3(+) regulatory T cells during human hookworm
infection modulates antigen-mediated lymphocyte proliferation.
AB - Hookworm infection is considered one of the most important poverty-promoting
neglected tropical diseases, infecting 576 to 740 million people worldwide,
especially in the tropics and subtropics. These blood-feeding nematodes have a
remarkable ability to downmodulate the host immune response, protecting
themselves from elimination and minimizing severe host pathology. While several
mechanisms may be involved in the immunomodulation by parasitic infection,
experimental evidences have pointed toward the possible involvement of regulatory
T cells (Tregs) in downregulating effector T-cell responses upon chronic
infection. However, the role of Tregs cells in human hookworm infection is still
poorly understood and has not been addressed yet. In the current study we
observed an augmentation of circulating CD4(+)CD25(+)FOXP3(+) regulatory T cells
in hookworm-infected individuals compared with healthy non-infected donors. We
have also demonstrated that infected individuals present higher levels of
circulating Treg cells expressing CTLA-4, GITR, IL-10, TGF-beta and IL-17.
Moreover, we showed that hookworm crude antigen stimulation reduces the number of
CD4(+)CD25(+)FOXP3(+) T regulatory cells co-expressing IL-17 in infected
individuals. Finally, PBMCs from infected individuals pulsed with
excreted/secreted products or hookworm crude antigens presented an impaired
cellular proliferation, which was partially augmented by the depletion of Treg
cells. Our results suggest that Treg cells may play an important role in hookworm
induced immunosuppression, contributing to the longevity of hookworm survival in
infected people.
PMID- 22087347
TI - Unusual dengue virus 3 epidemic in Nicaragua, 2009.
AB - The four dengue virus serotypes (DENV1-4) cause the most prevalent mosquito-borne
viral disease affecting humans worldwide. In 2009, Nicaragua experienced the
largest dengue epidemic in over a decade, marked by unusual clinical
presentation, as observed in two prospective studies of pediatric dengue in
Managua. From August 2009-January 2010, 212 dengue cases were confirmed among 396
study participants at the National Pediatric Reference Hospital. In our parallel
community-based cohort study, 170 dengue cases were recorded in 2009-10, compared
to 13-65 cases in 2004-9. In both studies, significantly more patients
experienced "compensated shock" (poor capillary refill plus cold extremities,
tachycardia, tachypnea, and/or weak pulse) in 2009-10 than in previous years
(42.5% [90/212] vs. 24.7% [82/332] in the hospital study (p<0.001) and 17%
[29/170] vs. 2.2% [4/181] in the cohort study (p<0.001). Signs of poor peripheral
perfusion presented significantly earlier (1-2 days) in 2009-10 than in previous
years according to Kaplan-Meier survival analysis. In the hospital study, 19.8%
of subjects were transferred to intensive care, compared to 7.1% in previous
years - similar to the cohort study. DENV-3 predominated in 2008-9, 2009-10, and
2010-11, and full-length sequencing revealed no major genetic changes from 2008-9
to 2010-11. In 2008-9 and 2010-11, typical dengue was observed; only in 2009-10
was unusual presentation noted. Multivariate analysis revealed only "2009-10" as
a significant risk factor for Dengue Fever with Compensated Shock. Interestingly,
circulation of pandemic influenza A-H1N1 2009 in Managua was shifted such that it
overlapped with the dengue epidemic. We hypothesize that prior influenza A H1N1
2009 infection may have modulated subsequent DENV infection, and initial results
of an ongoing study suggest increased risk of shock among children with anti-H1N1
2009 antibodies. This study demonstrates that parameters other than serotype,
viral genomic sequence, immune status, and sequence of serotypes can play a role
in modulating dengue disease outcome.
PMID- 22087348
TI - Evaluation of the traditional and revised WHO classifications of Dengue disease
severity.
AB - Dengue is a major public health problem worldwide and continues to increase in
incidence. Dengue virus (DENV) infection leads to a range of outcomes, including
subclinical infection, undifferentiated febrile illness, Dengue Fever (DF), life
threatening syndromes with fluid loss and hypotensive shock, or other severe
manifestations such as bleeding and organ failure. The long-standing World Health
Organization (WHO) dengue classification and management scheme was recently
revised, replacing DF, Dengue Hemorrhagic Fever (DHF), and Dengue Shock Syndrome
(DSS) with Dengue without Warning Signs, Dengue with Warning Signs (abdominal
pain, persistent vomiting, fluid accumulation, mucosal bleeding, lethargy, liver
enlargement, increasing hematocrit with decreasing platelets) and Severe Dengue
(SD; dengue with severe plasma leakage, severe bleeding, or organ failure). We
evaluated the traditional and revised classification schemes against clinical
intervention levels to determine how each captures disease severity using data
from five years (2005-2010) of a hospital-based study of pediatric dengue in
Managua, Nicaragua. Laboratory-confirmed dengue cases (n = 544) were categorized
using both classification schemes and by level of care (I-III). Category I was
out-patient care, Category II was in-patient care that did not meet criteria for
Category III, which included ICU admission, ventilation, administration of
inotropic drugs, or organ failure. Sensitivity and specificity to capture
Category III care for DHF/DSS were 39.0% and 75.5%, respectively; sensitivity and
specificity for SD were 92.1% and 78.5%, respectively. In this data set, DENV-2
was found to be significantly associated with DHF/DSS; however, this association
was not observed with the revised classification. Among dengue-confirmed cases,
the revised WHO classification for severe dengue appears to have higher
sensitivity and specificity to identify cases in need of heightened care,
although it is no longer as specific for a particular pathogenic entity as was
the traditional schema.
PMID- 22087349
TI - [Atrial fibrillation, frequency, etiologic factors, evolution and treatment in a
cardiology department in Dakar, Senegal].
PMID- 22087350
TI - [Surgical complications of renal transplantation from living donors: experience
of the CHU Ibn Sina, Rabat].
PMID- 22087351
TI - Application of potential outcomes to an intentional weight loss latent variable
problem.
AB - Studies that explore the link between weight loss among obese individuals and
mortality have met with mixed results. One possible explanation is that total
weight loss may have contributions from weight loss that is intentional and
weight loss that is unintentional. The latter may be due to some underlying
condition that has a deleterious effect on subsequent mortality. Some studies
have then focused on subjects who intend to lose weight. However, in a population
there is no guarantee that weight loss among these individuals is due only to
their intention. This paper extends the work of Coffey et al., (2005) who treated
intentional weight loss as a latent variable. In particular, the problem is
reformulated using potential outcomes. This formulation more clearly identifies a
nonestimable correlation that arises because of the latent variable, and it
allows for the incorporation of covariate information that can tighten estimable
bounds for this correlation. We show in a data set from an experiment on mice
that substantial tightening of bounds is possible with a covariate that is
predictive of weight loss. These bounds can then, in turn, be used to estimate
bounds on a causal parameter in a linear model.
PMID- 22087352
TI - In Vitro Assessment of Apoptosis and Necrosis Following Cold Storage in a Human
Airway Cell Model.
AB - As advances in medical technology improve the efficacy of cell and tissue
transplantation, a void remains in our knowledge base as to the specific
molecular responses of cells to low-temperature storage. While much focus has
been given to solution formulation for tissue perfusion during storage,
investigations into cold exposure-induced complex molecular changes remain
limited. The intent of this study was to quantify the levels of cell death
following hypothermic storage in a lung cell model, establishing a foundation for
future in-depth molecular analysis. Normal human lung fibroblasts (IMR-90) were
stored for 1 day or 2 days and small airway epithelial cells (SAEC) were stored
for 5 days or 7 days at 4 degrees C in complete media, ViaSpan, or ViaSpan + pan
caspase (VI) inhibitor. (Poststorage viability was assessed for 3 days using
alamarBlue(TM).) Sample analysis revealed that IMR-90 cells stored in ViaSpan
remained 80% (+/-9) viable after 1 day of storage and 21% (+/-7) viable after 2
days of storage. SAEC cells stored in ViaSpan remained 81% (+/-5) viable after 5
days and 28% (+/-7) after 7 days. Microfluidic flow cytometry analysis of the
apoptotic and necrotic populations in the ViaSpan-stored samples revealed that in
the IMR-90 cells stored for 2 days, 7% of the population was apoptotic at 4-h
poststorage, while ~70% was identified as necrotic. Analysis of the SAEC cell
system following 7 days of ViaSpan storage revealed an apoptotic peak of 19% at 4
h poststorage and a corresponding necrotic peak of 19%. Caspase inhibition during
hypothermic storage increased viability 33% for IMR-90 and 25% for SAEC. Data
revealed a similar pattern of cell death, through both apoptosis and necrosis,
once the onset of cold storage failure began, implying a potential conserved
mechanism of cold-induced cell death. These data highlight the critical need for
a more in-depth understanding of the molecular changes that occur as a result of
cold exposure in cells and tissues.
PMID- 22087353
TI - Biobank Recruitment: Motivations for Nonparticipation.
AB - Molecular data, essential for genomics research, can be captured more efficiently
in large-scale, population-based biobanks of genetic material rather than by
individual studies. Biobanks also offer improved quality and reliability of
genetic samples and access through automated sample retrieval. However, it is
challenging to adequately inform participants of the broad nature of the research
and participation risks and benefits. In addition, recent studies suggest
concerns about data sharing and return of research results, or future research
topics (eg, stereotypical or potentially stigmatizing traits). We evaluated the
interest in participating in a biobank and reasons for nonparticipation.
PMID- 22087354
TI - A defect in the activities of Delta and Delta desaturases and pro-resolution
bioactive lipids in the pathobiology of non-alcoholic fatty liver disease.
AB - Non-alcoholic fatty liver disease (NAFLD) is a low-grade systemic inflammatory
condition, since liver and adipose tissue tumor necrosis factor-alpha (TNF-alpha)
and TNF receptor 1 transcripts and serum TNF-alpha levels are increased and IL-6(
/-) mice are less prone to NAFLD. Fatty liver damage caused by high-fat diets is
associated with the generation of pro-inflammatory prostaglandin E(2) (PGE(2)). A
decrease in the levels of arachidonic acid (AA), eicosapentaenoic acid (EPA) and
docosahexaenoic acid (DHA) and the usefulness of EPA and DHA both in the
prevention and management of NAFLD has been reported. AA, EPA and DHA and their
anti-inflammatory products lipoxins (LXs), resolvins and protectins suppress IL-6
and TNF-alpha and PGE(2) production. These results suggest that the activities of
Delta(6) and Delta(5) desaturases are reduced in NAFLD and hence, the dietary
essential fatty acids, linoleic acid (LA) and alpha-linolenic acid (ALA) are not
metabolized to their long-chain products AA, EPA and DHA, the precursors of anti
inflammatory molecules, LXs, resolvins and protectins that could pre vent NAFLD.
This suggests that an imbalance between pro- and anti-inflammatory bioactive
lipids contribute to NAFLD. Hence, it is proposed that plasma and tissue levels
of AA, EPA, DHA and LXs, resolvins and protectins could be used as predictors and
prognostic biomarkers of NAFLD. It is suggested that the synthesis and use of
more stable analogues of LXs, resolvins and protectins need to be explored in the
prevention and management of NAFLD.
PMID- 22087355
TI - Disordered eating behaviors in type 1 diabetic patients.
AB - Patients with type 1 diabetes mellitus are at high risk for disordered eating
behaviors (DEB). Due to the fact that type 1 diabetes mellitus is one of the most
common chronic illnesses of childhood and adolescence, the coexistence of eating
disorders (ED) and diabetes often affects adolescents and young adults. Since
weight management during this state of development can be especially difficult
for those with type 1 diabetes, some diabetics may restrict or omit insulin, a
condition known as diabulimia, as a form of weight control. It has been clearly
shown that ED in type 1 diabetics are associated with impaired metabolic control,
more frequent episodes of ketoacidosis and an earlier than expected onset of
diabetes-related microvascular complications, particularly retinopathy. The
management of these conditions requires a multidisciplinary team formed by an
endocrinologist/diabetologist, a nurse educator, a nutritionist, a psychologist
and, frequently, a psychiatrist. The treatment of type 1 diabetes patients with
DEB and ED should have the following components: diabetes treatment, nutritional
management and psychological therapy. A high index of suspicion of the presence
of an eating disturbance, particularly among those patients with persistent poor
metabolic control, repeated episodes of ketoacidosis and/or weight and shape
concerns are recommended in the initial stage of diabetes treatment, especially
in young women. Given the extent of the problem and the severe medical risk
associated with it, more clinical and technological research aimed to improve its
treatment is critical to the future health of this at-risk population.
PMID- 22087356
TI - Consequences of gestational and pregestational diabetes on placental function and
birth weight.
AB - Maternal diabetes constitutes an unfavorable environment for embryonic and
fetoplacental development. Despite current treatments, pregnant women with
pregestational diabetes are at increased risk for congenital malformations,
materno-fetal complications, placental abnormalities and intrauterine
malprogramming. The complications during pregnancy concern the mother (gravidic
hypertension and/or preeclampsia, cesarean section) and the fetus (macrosomia or
intrauterine growth restriction, shoulder dystocia, hypoglycemia and respiratory
distress). The fetoplacental impairment and intrauterine programming of diseases
in the offspring's later life induced by gestational diabetes are similar to
those induced by type 1 and type 2 diabetes mellitus. Despite the existence of
several developmental and morphological differences in the placenta from rodents
and women, there are similarities in the alterations induced by maternal diabetes
in the placenta from diabetic patients and diabetic experimental models. From
both human and rodent diabetic experimental models, it has been suggested that
the placenta is a compromised target that largely suffers the impact of maternal
diabetes. Depending on the maternal metabolic and proinflammatory derangements,
macrosomia is explained by an excessive availability of nutrients and an increase
in fetal insulin release, a phenotype related to the programming of glucose
intolerance. The degree of fetal damage and placental dysfunction and the
availability and utilisation of fetal substrates can lead to the induction of
macrosomia or intrauterine growth restriction. In maternal diabetes, both the
maternal environment and the genetic background are important in the complex and
multifactorial processes that induce damage to the embryo, the placenta, the
fetus and the offspring. Nevertheless, further research is needed to better
understand the mechanisms that govern the early embryo development, the induction
of congenital anomalies and fetal overgrowth in maternal diabetes.
PMID- 22087357
TI - Biochemical and cellular evidence of the benefit of a combination of cerium oxide
nanoparticles and selenium to diabetic rats.
AB - AIM: To study the combinative effects of nanocerium and selenium in a murine
model of diabetes. METHODS: Cerium oxide (CeO(2)) nanoparticles (60 mg/kg per
day) and sodium selenite (5 MUmol/kg per day) alone or in combination, or the
metal form of CeO(2) (60 mg/kg) were administered for 2 wk by intraperitoneal
injection to streptozotocin-induced diabetic rats. At the end of treatment blood
was collected, liver tissue dissected and then oxidative stress markers, extent
of energy depletion and lipid profile were evaluated. RESULTS: Antioxidant
enzymes and high density lipoprotein decreased whereas oxidative stress,
adenosine diphosphate/adenosine triphospahte levels, cholesterol, triglyceride
and low density lipoprotein increased on induction of diabetes. All were improved
by a combination of nanocerium and sodium selenite. There was a relative
amelioration by CeO(2) nanoparticles or sodium selenite alone, but the metal form
of CeO(2) showed no significant improvement. CONCLUSION: The combination of
nanocerium and sodium selenite is more effective than either alone in improving
diabetes-induced oxidative stress.
PMID- 22087359
TI - Interval from Prostate Biopsy to Robot-Assisted Laparoscopic Radical
Prostatectomy (RALP): Effects on Surgical Difficulties.
AB - PURPOSE: Traditionally, an interval of 4 to 6 weeks has been recommended after
prostate biopsy before open radical prostatectomy. However, such an interval is
not explicitly specified in robot-assisted laparoscopic radical prostatectomy
(RALP). This study was designed to determine whether the interval from prostate
biopsy to RALP affects surgical difficulties. MATERIALS AND METHODS: Between
January 2008 and May 2009, a total of 237 men underwent RALP in our institution.
The interval from biopsy to RALP was categorized as follows: <= 2 weeks, >2 to <=
4 weeks, >4 to <= 6 weeks, >6 to <= 8 weeks, and >8 weeks. Multivariate analysis
was used to identify whether the interval from prostate biopsy to RALP was an
independent predictor of operative time, estimated blood loss (EBL), margin
positivity, continence, and potency. RESULTS: Among the 5 groups, there were no
significant differences in age, body mass index (BMI), preoperative serum
prostate-specific antigen (PSA), prostate volume, or preoperative International
Index of Erectile Dysfunction-5 score (all p>0.05). In the multivariate analysis,
operative time was significantly associated with prostate volume. EBL was
associated with prostate volume and BMI. Margin positivity was associated with
preoperative serum PSA, prostate volume, and biopsy Gleason score. Postoperative
continence and potency were significantly associated with age. However, in
univariate and multivariate analyses, the interval from biopsy to RALP was not
significantly associated with operative time, EBL, margin positivity,
postoperative continence, or potency (all p>0.05). CONCLUSIONS: Our data suggest
that the interval from prostate biopsy to RALP is not related to surgical
difficulties.
PMID- 22087358
TI - Late-onset hypogonadism syndrome and lower urinary tract symptoms.
AB - Androgen replacement therapy (ART) is a widely accepted form of treatment
worldwide for aging men with late-onset hypogonadism (LOH) syndrome. Concurrent
with the progressive decline in testosterone from middle age, there is a gradual
increase in prostate volume, reflecting the development of benign prostatic
hyperplasia (BPH). Prostate growth is dependent on the presence of androgens, and
conversely, antiandrogen agents or orchidectomy can decrease prostate volume in
patients with BPH. Thus, it is important to investigate whether ART could have
any negative effects on prostatic disease or lower urinary tract symptoms (LUTS).
Although only limited amounts of information on the correlations between androgen
levels in aging men and clinical manifestations of LUTS are available, a few
recent studies have suggested that testosterone levels may have some beneficial
effects on various urinary functions in men. Androgen receptors are found in the
urothelium, urinary bladder, prostate, and urethra, and testosterone could have
an impact on the autonomic nervous system, bladder smooth muscle differentiation,
nitric oxide synthase, phosphodiesterase-5 and Rho/Rho-kinase activities, and
pelvic blood flow. In addition, some previous studies demonstrated that ART had
little effect on LUTS or urinary function in aging men with LOH syndrome.
Furthermore, some recent randomized controlled trials indicated that short-term
ART may be effective in the improvement of LUTS in hypogonadal men with mild BPH.
However, only limited information is available regarding the effects of longer
term ART or the safety of ART in men with severe BPH and LUTS, and further
studies are required to reach more definitive conclusions.
PMID- 22087360
TI - Prognostic factors and clinical outcomes of urological soft tissue sarcomas.
AB - PURPOSE: The purpose of this study was to elucidate prognostic factors for
survival and clinical outcomes of rological soft tissue sarcomas (STSs).
MATERIALS AND METHODS: This was a retrospective review of the medical records of
48 patients with urological STS treated from January 1982 to July 2009.
Demographic and pathological characteristics were compared. Patients'
demographics, clinico-pathological parameters, overall survival, and the factors
expected to predict survival, such as sex, age at diagnosis, primary organ,
surgical resection, metastasis, and mass size, were analyzed. We evaluated
differences in survival on the basis of histological subtype by Kaplan-Meier
analysis and multivariate Cox proportional hazards regression. RESULTS: The study
included 34 males (70.8%) and 14 females (29.1%). The mean age at diagnosis was
47.1 years (range, 3 to 80). The most common site was the retroperitoneum (n=16),
followed by the kidney (n=12), prostate (n=10), bladder (n=7), ureter (n=1), and
paratesticular region (n=1). Nineteen patients (39.5%) had other organ metastases
at diagnosis. The most common subtypes of sarcoma were leiomyosarcoma (50%),
rhabdomyosarcoma (18.7%), and liposarcoma (8%). The remaining 11 cases had other
histological subtypes (22.9%). Mean tumor size was 9.5 cm (range, 2.2 to 24).
Thirty-three patients (68.7%) underwent surgical resection. The overall survival
rate at 5 years was 51.4%. In the univariate and multivariate analysis, surgical
resection, primary tumor site, and metastasis at diagnosis remained significant
predictors of prognosis. Patients with retroperitoneal sarcoma had a higher
overall survival rate by 5 years compared with patients with other organ sarcoma.
CONCLUSIONS: The overall survival rate at 5 years was 51.4%. Surgical resection,
primary tumor site, and metastasis at diagnosis remained significant predictors
of prognosis.
PMID- 22087361
TI - Effect of Prostate Biopsy Hemorrhage on MRDW and MRS Imaging.
AB - PURPOSE: To retrospectively evaluate the effect of post-prostate-biopsy
hemorrhage on the interpretation of magnetic resonance diffusion-weighted (MRDW)
and magnetic resonance spectroscopic (MRS) imaging in the detection of prostate
cancer. We also investigated the optimal timing for magnetic resonance
examination after prostate biopsy. MATERIALS AND METHODS: We reviewed the records
of 135 men. All patients underwent prostate magnetic resonance imaging (MRI). The
prostate was divided into eight regions according to the biopsy site.
Subsequently, we measured hemorrhage on apparent diffusion coefficient (ADC)
values and (choline+creatinine)/citrate ([Cho+Cr]/Cit) ratios in the same regions
on the MRI. We investigated the effect of hemorrhage at ADC values and
(Cho+Cr)/Cit ratios on MRI and the relationship between prostate biopsy results
and MRI findings. RESULTS: The mean patient age was 68.7 years and the mean time
between biopsy and MRI was 23.5 days. The total hemorrhagic score demonstrated no
significant associations with intervals from biopsy to MRI. Higher hemorrhagic
scores were associated with higher ADC values, prostate cancer, and noncancer
groups, respectively (p<0.001). ADC values were lower in tumors than in normal
tissue (p<0.001), and ADC values were inversely correlated with tumor Gleason
score in biopsy cores (p<0.001). However, (Cho+Cr)/Cit ratios did not exhibit any
association with prostate biopsy results and hemorrhage. CONCLUSIONS: Hemorrhage
had no significant associations with the interval from biopsy to MRI. ADC values
may help to detect prostate cancer and predict the aggressiveness of cancer;
however, it is important to consider the bias effect of hemorrhage on the
interpretation of MRDW imaging given that hemorrhage affects ADC values.
PMID- 22087362
TI - Effect of Shifting from Combination Therapy to Monotherapy of alpha-Blockers or
5alpha-Reductase Inhibitors on Prostate Volume and Symptoms in Patients with
Benign Prostatic Hyperplasia.
AB - PURPOSE: Combination therapy of alpha-blockers and 5alpha-reductase inhibitors (5
ARIs) is widely used for the treatment of benign prostatic hyperplasia (BPH). We
aimed to study the effect on prostate volume and symptoms of shifting to
monotherapy in patients who previously received a combination therapy. MATERIALS
AND METHODS: A prospective study was conducted of 60 patients who were diagnosed
with BPH. Patients were aged 45 years or older and had a prostate volume of 30 cc
or more, International Prostate Symptom Score (IPSS) of 12 or above, maximal flow
rate (Qmax) of 15 ml/s or less, and prostate-specific antigen (PSA) level of less
than 10 ng/ml. The patients initially received a combination therapy of doxazosin
4 mg/day and finasteride 5 mg/day for 3 months and were then randomly assigned to
receive monotherapy for 3 months. The factors were then compared. RESULTS: A
total of 30 patients were assigned to doxazosin (group 1) and 30 to finasteride
(group 2) after the combination therapy. The percentage changes in prostate
volume, IPSS, and Qmax during the period from post-combination therapy to post
monotherapy were not significantly different between the two groups (p=0.052,
0.908, 0.081), whereas PSA significantly decreased in group 2 (p<0.001). IPSS was
not significantly different at post-combination therapy and at post-monotherapy
in both groups (p=0.858, 0.071). The prostate volume significantly increased from
40.97 cc at post-combination therapy to 44.29 cc at post-monotherapy in group 1
(p=0.001) and insignificantly increased from 38.32 cc to 38.61 cc in group 2
(p=0.696). CONCLUSIONS: Although the duration of drug administration was short in
this study, 5-ARI monotherapy could maintain the alleviated symptoms and reduce
the risk of acute urinary retention and surgery due to prostate regrowth in BPH
patients whose symptoms improved with combination therapy.
PMID- 22087363
TI - Relationship between Proximal Urethrovaginal Space Thickness and Detrusor
Overactivity in Women with Stress Urinary Incontinence.
AB - PURPOSE: Detrusor overactivity (DO) cannot be predicted by clinical symptoms.
Although it is possible that DO could be related to anatomical structures, scanty
data exist about the relations between DO and anatomical structures. The aim of
this study was to investigate anatomical differences in DO by measuring the
thickness of the urethrovaginal space (UVS) and the urethral length (UL) in women
with stress urinary incontinence (SUI). MATERIALS AND METHODS: Prospective data
were collected from 72 women with SUI who underwent the midurethral sling
operation. The subjects were divided into 2 groups according to the presence of
DO by preoperative urodynamic study (UDS). UVS thickness was measured by trans
vaginal ultrasound. UL was measured by using a urethral catheter and a ruler. UVS
thickness, UL, Q-tip, and urodynamic parameters, such as maximal urethral closure
pressure (MUCP) and Valsalva leak point pressure, were compared between the two
groups. RESULTS: Of 72 women, 23 patients had DO (31.9%). The proximal UVS was
significantly thinner (p<0.001) and the MUCP was significantly lower (p=0.008) in
women with DO. According to the receiver operating characteristic (ROC) curve
based DO prediction, the best cutoff value for UVS thickness was 0.84 cm (area
under the ROC curve 0.763). CONCLUSIONS: In this study, the proximal UVS was
significantly thinner and the MUCP was significantly lower in patients with DO. A
proximal UVS thickness of less than 0.84 cm was shown to be a predictive
parameter for the development of DO on preoperative UDS. A large-scale
prospective study is needed to validate these results.
PMID- 22087364
TI - Percutaneous decortication of cystic renal disease.
AB - PURPOSE: To assess the efficacy of percutaneous unroofing in the treatment of
simple renal cysts instead of laparoscopic decortication and open surgeries.
MATERIALS AND METHODS: From November 2009 to October 2010 at our department, 11
patients with 12 simple cyst units were managed by percutaneous unroofing. All
cysts were evaluated with ultrasonography and abdominal computed tomography. If
there were no contraindications, cyst wall resection was performed. A standard
transurethral resectoscope was used to resect the cyst wall, and the parenchymal
portion of the cyst was subsequently cauterized. The drain was left in place for
2 days. RESULTS: At the 5-month follow-up, patients were asked about their
symptoms and ultrasonography was performed. From 12 cyst units, 8 were completely
resolved, 3 were reduced to less than 50%, and 1 was persistent to near its
original size. Success was defined as a more than 50% reduction in cyst volume.
CONCLUSIONS: Simple renal cysts can be safely managed by percutaneous unroofing
with a success rate of more than 90%. This technique can offer several advantages
over open surgery, such as decreased length of hospital stay, improved
convalescence, and reduced risk of complications. Percutaneous resection also
avoids the multiple trocar sites, extensive dissection, and technical difficulty
associated with laparoscopy.
PMID- 22087365
TI - Comparison of an Indwelling Period Following Ureteroscopic Removal of Stones
between Double-J Stents and Open-Ended Catheters: A Prospective, Pilot,
Randomized, Multicenter Study.
AB - PURPOSE: The aim of this study was to evaluate whether long-term, postoperative
ureteral stenting is necessary after ureteroscopic removal of stones (URS) during
an uncomplicated surgical procedure. MATERIALS AND METHODS: We prospectively
examined 54 patients who underwent URS for lower ureteral stones from February
2010 to October 2010. Inclusion criteria were a stone less than 10 mm in
diameter, absence of ureteral stricture, and absence of ureteral injury during
surgery. We randomly placed 5 Fr. open-tip ureteral catheters in 26 patients and
removed the Foley catheter at postoperative day 1. The remaining 28 patients
received double-J stents that were removed at postoperative day 14 by cystoscopy
under local anesthesia. All patients provided visual analogue scale (VAS) pain
scores at postoperative days 1, 7, and 14 and completed the storage categories of
the International Prostate Symptom Score (IPSS) at postoperative day 7. RESULTS:
The VAS scores were not significantly different on postoperative day 1 but were
significantly smaller in the 1-day ureteral catheter group at postoperative days
7 and 14 (p<0.01). All of the storage categories of the IPSS were significantly
lower in the 1-day ureteral stent group (p<0.01). The ratio of patients who
needed intravenous analgesics because of severe postoperative flank pain was not
significantly different between the two groups (p=0.81). No patients experienced
severe flank pain after postoperative day 2, and no patients in either group had
any other complications. CONCLUSIONS: One-day ureteral catheter placement after
URS can reduce postoperative pain and did not cause specific complications
compared with conventional double-J stent placement.
PMID- 22087366
TI - The prevalence and clinical significance of urethritis and cervicitis in
asymptomatic people by use of multiplex polymerase chain reaction.
AB - PURPOSE: Our purpose was to conduct a screening test for urethritis or cervicitis
as a sexually transmitted disease (STD) by using multiplex polymerase chain
reaction(PCR) and to determine the prevalence of Chlamydia trachomatis, Neisseria
gonorrhoeae, Mycoplasma genitalium, Ureaplasma urealyticum, Mycoplasma hominis,
and Trichomonas vaginalis in asymptomatic people. MATERIALS AND METHODS: From
July 2010 to December 2010, 709 persons who came to the hospital for a general
checkup were tested. Multiplex PCR assays were done with first voided urine
samples or endocervical swabs by use of the Seeplex(r) STD6 ACE Detection kit.
RESULTS: The mean age in this study was 45.4+/-8.1 years. Among the 709 persons,
229 (32.3%) had a positive result for at least one microorganism, 48 (6.8%) had
two different species, 6 (0.8%) had three different species, and 1 person had
four different species. The overall prevalence of asymptomatic STDs such as
urethritis or cervicitis was 7.1% (50/709). The prevalence rates of chlamydia
trachomatis, Neisseria gonorrhoeae, Mycoplasma genitalium, Ureaplasma
urealyticum, Mycoplasma hominis, and Trichomonas vaginalis infection in
asymptomatic persons were 5.6% (40/709), 0.4% (3/709), 0.3% (2/709), 22.1%
(157/709), 11.6% (82/709), and 1.1% (8/709), respectively. CONCLUSIONS: With only
a single sample, we could identify the prevalence rates of six microorganisms and
the overall proportion of urethritis or cervicitis in asymptomatic people. This
proportion cannot be neglected; therefore, screening tests for sexually
transmitted diseases such as urethritis or ervicitis should be recommended to
asymptomatic people.
PMID- 22087367
TI - Impact of a retrotrigonal layer backup stitch on post-prostatectomy incontinence.
AB - PURPOSE: To evaluate the impact of a retrotrigonal layer backup stitch (RTBS)
during robot-assisted laparoscopic radical prostatectomy (RALP) on post
prostatectomy incontinence. MATERIALS AND METHODS: We compared the difference in
continence recovery between 94 patients (group 1, as historical controls) and 57
patients (group 2). The only technical difference between our two groups was the
incorporation of the retrotrigonal layer into the posterior aspect of the
vesicourethral anastomosis (group 1: without RTBS; group 2: with RTBS).
Postoperative continence recovery was defined as the use of no absorbent pads.
RESULTS: In group 1, the continence rate at 3, 6, and 12 months postoperatively
was 40.4%, 70.2%, and 90.4%, respectively;in group 2, the continence rate was
42.1%, 70.1%, and 89.7%, respectively. The median (95% confidence interval) time
to continence recovery was four months (range, 1 to 12 months) in group 1 and
four months (range, 1 to 9 months) in group 2. Kaplan-Meier curves showed no
significant difference in the recovery of continence between the two groups (log
rank test, p=0.629). CONCLUSIONS: A RTBS does not appear to improve urinary
incontinence after RALP. Further anatomical study and prospective randomized
studies will be needed to confirm this.
PMID- 22087368
TI - Unusual presentation of bilateral adrenocortical carcinoma mimicking adrenal
metastasis.
AB - A 75-year-old female visited our hospital with bilateral adrenal masses that were
detected incidentally during lumbar spine magnetic resonance imaging (MRI) for
the evaluation of radiating flank pain. Consecutive computed tomography and MRI
revealed bilateral adrenal masses with no evidence of lymph node enlargement or
local invasion; 2[(18)F]fluoro-2-deoxyglucose (FDG)-positron emission tomography
showed an intense FDG accumulation in both adrenal glands without abnormal FDG
uptake in extra-adrenal regions. The laboratory test results were within normal
ranges. We performed a bilateral adrenalectomy. The pathologic diagnosis of both
adrenal masses was consistent with adrenocortical carcinoma. The patient
recovered well with no complications.
PMID- 22087369
TI - Solitary testicular metastasis of prostate cancer mimicking primary testicular
cancer.
AB - We report a rare case of testicular metastasis from prostate cancer. A 68-year
old patient presented with a right testicular mass with discomfort. He had a
history of robot-assisted laparoscopic radical prostatectomy and had received
adjuvant radiation therapy and had been treated with androgen deprivation therapy
continuously at another institution. We performed a right inguinal orchiectomy.
The testicular mass was diagnosed as a metastasis from prostate carcinoma.
PMID- 22087370
TI - Erratum: pT3 Predictive Factors in Patients with a Gleason Score of 6 in Prostate
Biopsies.
AB - [This corrects the article on p. 598 in vol. 52, PMID: 22025953.].
PMID- 22087371
TI - Acute Coronary Syndrome, Diabetes and Hypertension: Oman must pay more attention
to chronic non-communicable diseases.
PMID- 22087372
TI - Shaken baby syndrome as a form of abusive head trauma.
AB - Shaken baby syndrome (SBS) is a form of abuse that is characterised by brain
injury. Because of the subtle and yet debilitating neurobehavioural impairment
which ensues, SBS represents a diagnostic dilemma for attending clinicians. The
situation is made worse by the young age of the affected child who may not be
capable of explaining what happened. SBS has been reported in many parts of the
world. To our knowledge, there is a dearth of literature on the topic from
Arab/Islamic countries. This article attempts to shed light on the syndrome by
reviewing information on the aetiology of SBS, as well as on its diagnosis and
the reasons for delayed diagnosis. The central aim of this review is to increase
awareness of SBS so that enlightened policies for prevention and intervention
could be developed in the region and particularly in Oman.
PMID- 22087373
TI - Health care market deviations from the ideal market.
AB - A common argument in the health policy debate is that market forces allocate
resources efficiently in health care, and that government intervention distorts
such allocation. Rarely do those making such claims state explicitly that the
market they refer to is an ideal in economic theory which can only exist under
very strict conditions. This paper explores the strict conditions necessary for
that ideal market in the context of health care as a means of examining the claim
that market forces do allocate resources efficiently in health care.
PMID- 22087374
TI - Acute coronary syndrome in oman: results from the gulf registry of acute coronary
events.
AB - Acute coronary syndrome (ACS) is the most common cause of cardiovascular
mortality and morbidity in Western countries. International guidelines for
diagnosis and treatment have been developed based on randomised clinical trials.
However, data from international registries report a lack of association between
guideline recommendations and actual clinical practice. Similarly, the Gulf Heart
Association initiated a registry called Gulf Registry of Acute Coronary Events
(Gulf RACE). This registry was developed to determine the characteristics and
management of ACS in the Gulf countries including Oman. Here, we report on the
results of the various Gulf RACE registry studies from Oman and compare our
results with the main Gulf RACE data as well as other international registries.
PMID- 22087375
TI - Diabetes Care in Oman: Obstacles and solutions.
AB - Diabetes has become one of the most challenging chronic diseases with its
prevalence increasing in most countries worldwide. The Arabian Gulf countries
face a similar increasing prevalence of diabetes. Diabetes care requires not only
the support of the health authorities, but the contribution of all the sectors of
the community and requires good financial support. In Oman, there are many
factors which affect the care of diabetes. In this article, these factors are
addressed and recommended solutions discussed.
PMID- 22087377
TI - A Novel Splice-site Allelic Variant is Responsible for Wilson Disease in an Omani
Family.
AB - OBJECTIVES: The objective of this study was to characterise Wilson's Disease (WD)
[OMIM 277900] genetically and test for allelic variants in the copper transport
gene (ATPase, Cu(++) transporting, beta polypeptide, ATP7B) responsible for the
disease in an Omani family. METHODS: Three index patients from an Omani family
had been previously diagnosed with WD. All three patients suffered neurological
symptoms and signs. Forty-six relatives in the family were screened for WD.
Eleven more individuals were positive, but asymptomatic. RESULTS: Thirteen non
disease-causing allelic gene variants, described previously, were identified in
the ATP7B gene from 46 family members. A putative novel disease-causing splice
site variant (c.2866-2A>G), which has not been reported previously, was detected
in this family. It is located upstream of exon 13 which encodes part of
transmembrane copper channel (Ch/Tm6). Reverse transcription polymerase chain
reaction was used to amplify a complementary DNA (cDNA) fragment containing exons
12, 13 and 14. Exon 13 was entirely skipped from the transcript which probably
would result in a defective ATP7B protein. CONCLUSION: A new ATP7B splice-site
allelic variant, found among the 14 WD patients segregated with the disease in a
recessive manner, suggests it is a disease-causing variant.
PMID- 22087376
TI - Prevalence of uncontrolled hypertension in primary care settings in Al seeb
wilayat, oman.
AB - OBJECTIVES: This study aimed to estimate the prevalence of uncontrolled
hypertension (HTN) among Omani hypertensive patients, on treatment and under
primary health care (PHC) follow-up in Al Seeb Wilayat, Oman. Socio-demographic
and clinical factors were explored for possible influence on blood pressure (BP)
control. METHODS: Based on an assumption of 50% prevalence of uncontrolled HTN, a
retrospective data collection was conducted on the last three follow-up visits of
411 randomly selected Omani adults (>=18 years) from 3,459 hypertensive patients.
Adequate BP control was defined using criteria from 7(th) Report of the Joint
National Committee on Prevention Detection Evaluation & Treatment of High Blood
Pressure (JNC-7). A P value of <0.05 and odds ratios with 95% confidence interval
were used to assess for association. RESULTS: The targets for adequate BP control
were achieved in 39% of the studied patients (95% confidence interval [CI]: 34
44%). Lower BP control was found among hypertensives with diabetes (6.4%, P =
<0.001) and renal disease (18.5%, P = 0.02); those with cardiovascular disease
(CVD) showed relatively better control (58%). Age and gender had no impact on BP
control. Most patients were only on one (24%) or two (47%) antihypertensive
medications, the most frequently used being beta-blockers (58.2%) and diuretics
(56.3%). CONCLUSION: HTN is not adequately controlled in over 60% of treated
patients; the presence of co-morbidity and less than aggressive treatment are
significant contributors. Improving the quality of HTN care is a priority;
effective efforts should be undertaken to improve BP control.
PMID- 22087378
TI - Gender difference in relationship of apnoea/hypopnoea index with body mass index
and age in the omani population.
AB - OBJECTIVES: Obstructive sleep apnoea/hypopnoea syndrome (OSAHS) is a disorder
characterised by repetitive upper airway collapse during sleep in association
with daytime sleepiness. It has an estimated prevalence of 2% and 4% among middle
aged women and men respectively. The aim of the study was to look at the
association of body mass index (BMI), age and gender and prevalence of OSAHS in
the Omani population. METHODS: Polysomnography reports and hospital medical
records of all patients who took part in the Sleep Study at the Sleep Laboratory
of the Clinical Physiology Department, Sultan Qaboos University Hospital, between
January 1995 and December 2006, were retrospectively reviewed. Data from both
sources was gathered and analysed. RESULTS: A total of 1,042 sleep studies were
conducted with 608 valid studies for analysis. The study showed that the
apnoea/hypopnoea index (AHI) >15 was more prevalent in men compared to women
(47.9% versus 33.5%, P = 0.001). There was significant correlation of AHI with
BMI (P <0.0001) among men compared to women (P = 0.1); however, age was
significantly correlated with AHI among women (P <0.0001), but not with men (P =
0.1). CONCLUSION: The results indicate that there is a gender difference in the
prevalence of OSAHS and obesity is a major risk factor for OSAHS among Omani men
whereas age is found to be a risk factor for OSAHS among women.
PMID- 22087379
TI - Intra-Operative Neural Response Telemetry and Acoustic Reflex Assessment using an
Advance-In-Stylet Technique and Modiolus-Hugging: A prospective cohort study.
AB - OBJECTIVES: The development of cochlear implant (CI) electrode arrays has focused
on the use of a minimally invasive technique involving a modiolus-hugging
placement of the electrode. The aim of this study was to evaluate the "advance-in
stylet" (AIS) technique compared to the advance-off-stylet (AOS) technique
recommended for the current cochlear Nucleus((r)) device. In the AIS technique,
the stylet is not removed. We evaluated the electrical auditory thresholds
measured when the stylet was removed (modiolus-hugging) compared to measurements
taken with the stylet in place (lateral wall cochlea electrode placement).
METHODS: In this prospective cohort study, 30 consecutive patients received
unilateral Nucleus Freedom((r)) CIs using AIS insertion. Measurement of the
acoustic reflex (AR) and neural response telemetry (NRT) were performed with the
stylet in place (lateral wall placement of the electrodes) and then removed
(perimodiolar placement), and the results compared. The responses were measured
in the basal, middle and apical turns in both groups-with and without stylet.
RESULTS: The AIS surgery was completed without complication in 30 patients (16
males and 14 females, age range 3-54 years [mean 11]). Based on neural response
telemetry, only apical electrodes showed statistically significant differences in
thresholds, but the AR was not significantly different before or after stylet
removal in any of the electrode groups tested. CONCLUSIONS: The effects of
modiolus-hugging do not seem to result in a large difference in electrical
stimulation thresholds so, in difficult cases, the stylet may be left in place
without significantly changing the thresholds, except perhaps at the apical turn.
PMID- 22087380
TI - Comparison of the Clinical Profile and Complications of Mixed Malarial Infections
of Plasmodium Falciparum and Plasmodium Vivax versus Plasmodium Falciparum Mono
infection.
AB - OBJECTIVES: This study aimed to compare the clinical presentations and
complications in patients having mixed malaria infection of Plasmodium falciparum
and Plasmodium vivax with those of patients with malaria due to a P. falciparum
mono-infection. METHODS: The medical records of malaria patients admitted to
Kasturba Medical College, Manipal, India, during the years 2008-10 were analysed.
Inclusion criteria were patients in whom P. falciparum and P. vivax coinfection
or P. falciparum mono-infection alone was confirmed on peripheral smear
examination. Exclusion criteria were patients in whom P. vivax infection alone
was diagnosed on peripheral smear examination. The sample size was twenty
patients diagnosed with mixed infection of P. falciparum and P. vivax and 60
patients diagnosed with P. falciparum mono-infection. RESULTS: 35% of mixed
infections had thrombocytopenia as compared to 51.7% of P. falciparum mono
infections. A total of 5% of the mixed infections had renal failure as compared
to 16.7% of the falciparum mono-infections. Total bilirubin was raised in 15.8%
of mixed infections and in 46.6% of falciparum mono-infections. Abnormal liver
enzymes were seen in 36.8% of mixed infections and in 66.6% of falciparum mono
infections. None of the mixed infections had a parasite index over 2% while it
was present in 28% of the falciparum mono-infections. CONCLUSION: Patients with
mixed infections were found to have a lower incidence of severe complications
such as anaemia, thrombocytopenia, liver and renal dysfunction and a lower
parasite index. Thus mixed malaria tends to have a more benign course as compared
to malaria due to P. falciparum mono-infection.
PMID- 22087381
TI - Perceptions and Attitudes of Medical Students towards Two Methods of Assessing
Practical Anatomy Knowledge.
AB - OBJECTIVES: Traditionally, summative practical examination in anatomy takes the
form of 'spotters' consisting of a stream of prosections, radiological images and
dissections with pins indicating specific structures. Recently, we have started
to administer similar examinations online using the quiz facility in MoodleTM (a
free, open-source web application for producing modular internet-based courses)
in addition to the traditional format. This paper reports on an investigation
into students' perceptions of each assessment environment. METHODS: Over a 3-year
period, practical assessment in anatomy was conducted either in traditional
format or online via learning management software called MoodleTM. All students
exposed to the two examination formats at the College of Medicine & Health
Sciences, Sultan Qaboos University, Oman, were divided into two categories:
junior (Year 3) and senior (Year 4). An evaluation of their perception of both
examination formats was conducted using a self-administered questionnaire
consisting of restricted and free response items. RESULTS: More than half of all
students expressed a clear preference for the online environment and believed it
was more exam-friendly. This preference was higher amongst senior students.
Compared to females, male students preferred the online environment. Senior
students were less likely to study on cadavers when the examination was conducted
online. Specimen quality, ability to manage time, and seating arrangements were
major advantages identified by students who preferred the online format.
CONCLUSION: Computer-based practical examinations in anatomy appeared to be
generally popular with our students. The students adopted a different approach to
study when the exam was conducted online as compared to the traditional
'steeplechase' format.
PMID- 22087382
TI - Successful Use of Alternative Anticoagulants in the Management of Heparin-induced
Thrombocytopenia with Thrombotic Complications: Report of 5 cases and review of
literature.
AB - Heparin is one of the most frequently used anticoagulants. It is easy to use, but
can be associated with life-threatening side effects. One of these is heparin
induced thrombocytopenia syndrome (HITS), which develops in about 3-5% of
patients exposed to heparin and is associated with thrombosis in 1% of cases. We
report here the successful treatment of five patients with HITS who were treated
with alternative anticoagulants namely danaparoid or hirudin. The median time
between their exposure to heparin and onset of symptoms and or signs was 10.2
days (range 7-14 days). Platelet counts decreased to a mean of 38.4 x 10(9) /l
(12-82 x 10(9)/l). All five patients had evidence of thrombosis; four patients
had clinical and radiological evidence of pulmonary emboli, one patient had
confirmed deep vein thrombosis (DVT) and one patient had extensive skin necrosis
of the thighs and abdomen. Platelet aggregation test were positive in two
patients, inconclusive in one patient and negative in two patients. Two patients
were anticoagulated with danaparoid and three with hirudin until their platelet
counts returned to normal between 4 and 14 days (average 6 days) following the
recognition of the syndrome. Our patients had significant morbidity, but no
mortality. Immediate withdrawal of heparin is of paramount importance and
introduction of alternative anticoagulant is necessary in the presence of
thrombosis.
PMID- 22087383
TI - Submyomatous Cornual Pregnancy: Managed surgically after failed medical
management.
AB - Cornual pregnancy constitutes an emergency while its diagnosis and management
remain a challenge. Anatomical abnormalities in the uterus, such as fibroids in
the cornual region, make the management even more difficult. A nulliparous
patient presented with an ectopic pregnancy at the right cornua under a huge
fibroid. Despite multiple doses of methotrexate for a cornual ectopic gestation,
the serum beta human chorionic gonadotropin (beta-hcG) levels doubled on the
fifth day and a viable fetus was demonstrated on imaging. Thus surgical
intervention in the form of laparoscopy followed by laparotomy, myomectomy of a
large cornual fibroid and cornuostomy was performed. The serum beta human
chorionic gonadotropin result was negative three weeks later. Surgical
intervention in the form of myomectomy and cornuostomy was necessary to preserve
fertility in this unusual presentation of cornual ectopic pregnancy.
PMID- 22087384
TI - Schwannoma of the Cervical Symphathetic Chain: First case report from Oman.
AB - Schwannomas are benign, encapsulated and slow-growing nerve sheath tumours
arising from Schwann cells. The schwannoma arising from the cervical sympathetic
chain (SCSC) is a very rare tumour. It usually presents as a slow growing,
painless and asymptomatic neck mass, hence preoperative clinical diagnosis is
difficult. Radiological investigation and fine needle aspiration cytology make
only a small contribution to its preoperative diagnosis, histopathological
examination being much more useful. We report here the first case report of SCSC
from Oman. It occurred in a 45 year-old female and was successfully excised.
PMID- 22087385
TI - Late-onset Visual Loss in Osteopetrosis.
AB - Late-onset visual loss is a complication of nerve entrapment and increased
intracranial pressure. We hereby describe the first case in Eastern Africa. A 23
year-old lady presented with sudden blindness, headaches and body weakness. She
had previously had treatment for multiple unexplained fractures. Findings of
optic nerve entrapment explained this blindness. This case highlights the need to
have a high index of suspicion in cases of unexplained fractures with late-onset
blindness.
PMID- 22087386
TI - Keystone design sliding skin flap for the management of small full thickness
burns.
AB - Deep dermal burns and full thickness burns are generally managed by excision and
split thickness skin grafting. The skin graft may lead to unacceptable colour
changes and be aesthetically unacceptable. Also, there may be a contour defect
and, furthermore, it is followed by varying degrees of contracture. The keystone
design sliding flap, first described in 2003, avoids the need for grafting and is
not associated with any skin graft problems. We report two cases of the use of
this flap as the primary surgery in reconstruction of small full thickness burn
defects.
PMID- 22087387
TI - Bilateral elastofibroma dorsi.
PMID- 22087388
TI - Maternal Triglycerides: Underestimated predictors of neonatal birth weight.
PMID- 22087389
TI - Re: vitamin d deficiency in omani women.
PMID- 22087390
TI - Re: Improving Road Safety through Deterrence-Based Initiatives.
PMID- 22087391
TI - Medical Tourism: Beneficence or maleficence?
PMID- 22087392
TI - Blunt Cerebrovascular Injuries: A review of the literature.
AB - Blunt cerebrovascular injuries (BCVI) have been a topic of interest to many
researchers worldwide as evidenced by the vast amount of available literature.
The interest in these rare injuries is probably due to the significant
possibility of mortality and morbidity amongst patients who sustain them, when
the employment of radiological screening methods could prevent such an outcome.
Recognition of these injuries is the most important step towards prevention of
adverse outcomes. We present a comprehensive review of the literature regarding
the mechanism of injury, imaging, management, and complications of BCVI. Articles
were identified through a search of MEDLINE and the Cochrane Central Register of
Controlled Trials using the keywords Blunt; Vascular; Carotid; Vertebral; Trauma;
Stroke; Management, and Endovascular. The search was limited to humans and
articles in English.
PMID- 22087393
TI - Umbilical Cord Blood Banking and Transplantation: A short review.
AB - It is more than 20 years since the first cord blood transplant (CBT) was
performed, following the realisation that cord blood (CB), which is normally
wasted, is rich in progenitor cells and capable of rescuing haematopoiesis. Since
then it has been appreciated that CB is rich in stem cells, and has many other
features not the least of which is its ability to rescue the transplanted patient
without a rigid need for full human lymphocyte antigen (HLA) compatibility. Also
it is easily accessible, relatively free from infections and poses no medical
risk to the donor. However, the quantity of the stem cells is rather small, thus
predominantly restricting its use to children or adults requiring double units.
In Oman, we have taken a keen interest in stem cell research and also CBT. We see
such activities as an avenue for our patients, for whom a compatible bone marrow
(BM) or a peripheral blood donor cannot be found, to have an alternative in the
form of CBT. This has encouraged us to establish a national voluntary cord blood
bank (CBB) which is a valuable option open to a selected group of patients, as
compared to the controversial private CBB. This national CBB will have a better
representation of HLA-types common in the region, an improvement on relying on
banks in other countries. Considering the need for stem cell transplant/therapy
in this country, it is only appropriate that this sort of bank is established to
cater for some of these requirements.
PMID- 22087394
TI - Neonatal Screening: Mean haemoglobin and red cell indices in cord blood from
Omani neonates.
AB - OBJECTIVES: The aim of this study was to validate the interpretation of red blood
cell indices in complete blood count (CBC) and high performance liquid
chromatography (HPLC) results on cord blood samples in consecutive Omani
neonates. METHODS: Cord blood samples from 7,837 neonates, were analysed with CBC
and HPLC using the beta-thalassaemia short programme. Direct sequencing of
abnormal samples with HbS, HbD, HbE and HbC was performed to validate the HPLC
results. Additionally, in cases with HbA beta10%, the beta-globin gene was
directly sequenced for beta-thalassaemia mutation analysis. RESULTS: Overall,
4,042 subjects (51.58%) had normal HPLC (HbA 22.88+/-8.03; HbF 77.02+/-8.04),
whereas the presence of Hb Barts in the remaining 3,795 cases (48.42%) indicated
the presence of alpha-thalassaemia. No case of HbH was detected. In the former
subgroup respectively, the mean Hb (15.38+/-2.04 g/dl) red blood cell (RBC) count
(4.69+/-0.68 * 10(12)/l), Hct (50.5+/-7.18%), mean corpuscular volume (MCV)
(107.66+/-7.75 fl), mean corpuscular haemoglobin (MCH) (33.31+/-4.07 pg), mean
corpuscular haemoglobin concentration (MCHC) (30.98+/-3.44 g/dl), red cell
distribution width (RDW) (17.01+/-2.17%) whereas, in the latter group with alpha
thalassaemia, it was (14.79+/-2.90 g/dl); (5.09+/-0.77 * 10(12)/l); (49.7+/
7.40%); (97.29+/-13.8 fl); (29.74+/-11.80 pg); (30.39+/-3.6 g/dl), and (18.09+/
2.56%) respectively. DNA sequencing of samples with abnormal haemoglobin could
validate the CBC and HLPC interpretations in all cases. CONCLUSION: This is the
first study comparing the hemoglobin and red cell indices in the cord blood from
newborn Omani subjects with those from other countries in the region, showing
comparable results to those seen in Saudi neonates. The study also validates the
CBC and HPLC interpretations of the cord blood red cell indices in the Omani
neonate. The incidence of alpha-thalassaemia diagnosed by the presence of Hb
Barts in cord blood of neonates was 48.42%.
PMID- 22087395
TI - Simultaneous Detection of Dengue NS1 Antigen, IgM plus IgG and Platelet
Enumeration during an Outbreak.
AB - OBJECTIVES: During 2010, there was an increase in dengue virus infections in New
Delhi, India compared to 2009. This study was conducted at Sant Parmanand
Hospital during this outbreak to determine the utility of a 'Dengue Package',
comprising simultaneous detection of dengue non-structural protein 1 (NS1), anti
dengue IgM, anti-dengue IgG and platelet enumeration for early diagnosis, better
case management and faster public health response. METHODS: Blood samples were
tested for Dengue NS1, IgM and IgG using the single-step immunochromatigrahic One
step dengue NS1 Ag and IgG/IgM test, while platelets were enumerated with
automatic analysers yielding results within 1-2 hours. RESULTS: Of the 1,886
patients screened with the 'Dengue Package', 678 and 1208 were NS1-positive and
negative respectively, in different combinations. In 394 cases, NS1 was
exclusively positive while 29 were also IgG positive. In 942 cases NS1, IgM and
IgG were negative (triple negative). The platelet counts in the NS1 positives
were lower than the NS1 negatives, mean and standard deviation (SD) 116.8+/-70.2
* 10(9)/L: 95% confidence interval (CI) 66.6-74.1 and 167.2+/-94.0 * 10(9)/L,
P<0.0001. Platelet counts were <20 * 10(9)/L in 20 NS1 antigen-positives and 42
NS1 antigen-negatives. CONCLUSION: During the 2010 outbreak, swift availability
of the 'Dengue Package' assisted patient management, platelet transfusions,
implementation of anti-vector measures and public health notifications. Testing
for NS1 assisted the diagnosis of an additional 22.4% cases; of these 394 had
evidence of primary infection and 29 of secondary infection. The 'Dengue Package'
was useful in tackling the rise in suspected cases.
PMID- 22087396
TI - Medical Tourism Abroad: A new challenge to Oman's health system - Al Dakhilya
region experience.
AB - OBJECTIVES: This study aimed to understand why people seek medical advice abroad
given the trouble and expense this entails. The types of medical problems for
which treatment abroad was sought, preferred destinations and satisfaction with
the treatment were explored. A secondary aim was to give feedback to stakeholders
in the health care system on how to handle this issue and meet the needs of the
community. METHODS: 45 patients who had recently travelled abroad for treatment
were asked to complete a questionnaire or were interviewed by telephone. RESULTS:
40 questionnaires were received. 68% of the respondents were male. Orthopaedic
diseases were the most common conditions leading patients to seek treatment
abroad. Thailand was the most popular destination followed by India (50% and 30%
respectively). 85% of respondents went abroad for treatment only, 10% for
treatment and tourism and 2.5% were healthy, but travelled abroad for a checkup.
Interestingly, 15% of the participants went abroad without first seeking medical
care locally. Out of those initially treated in Oman, 38.2% had no specific
diagnosis and 38.2% had received treatment, but it was not effective. 73% of
respondents obtained information on treatment abroad from a friend. The Internet
and medical tourism offices were the least used sources of information. 15% of
the patients experienced complications after their treatment abroad. CONCLUSION:
Various facts about medical treatment abroad need to be disseminated to the
public. This will necessitate greater effort in public health promotion and
education.
PMID- 22087397
TI - Prevalence and Impact of Dysmenorrhoea among Omani High School Students.
AB - OBJECTIVES: The objectives of this study were to determine the prevalence of
dysmenorrhoea in Omani high schoolgirls, its impact and the treatment used.
METHODS: A cross-sectional survey was carried out in May 2010 on 404 girls from
two public high schools in the Muscat region. Data were collected by self
administered questionnaire including information on demographics, prevalence of
dysmenorrhoea, severity, its impact, and the treatment used. RESULTS: Overall,
94% (n = 380) of the participants had dysmenorrhoea. It was mild in 27% (n =
104), moderate in 41% (n = 155), and severe in 32% (n = 121). Dysmenorrhoea was
the cause of limited sports activities in 81%, decreased class concentration in
75%, restricted homework in 59%, school absenteeism in 45%, limited social
activities in 25%, and decreased academic performance in 8% of the affected
students. Only 3% (n = 10) had consulted a physician; 21% (n = 80) self
medicated, and 55% (n = 210) took no action. The commonest drugs used were
paracetamol (n = 60, 16%), ibuprofen (n = 29, 8%) and mefenemic acid (n = 12,
3%). There was no statistically significant correlation between dysmenorrhoea,
demographics and menstrual characteristics. CONCLUSION: Dysmenorrhoea is a
prevalent and yet undertreated menstrual disorder among Omani adolescent
schoolgirls. The pain suffered can be severe and disabling. Doctors should
therefore be prepared to discuss this more freely with schoolgirls. In addition,
there is a need for education regarding dysmenorrhoea and treatment options to
minimise the impact on school, sports, social and daily activities.
PMID- 22087398
TI - Information mastery, effective health care, evidence-based practice and the
otolaryngologist.
AB - OBJECTIVES: The objectives of this study were to study the information-seeking
behaviour of otolaryngologists in Oman, and their willingness to learn and
acquire evidence-based practice (EBP) skills. METHODS: A cross-sectional survey
was carried out by distribution of a questionnaire to 63 otolaryngologists
(ranging from residents to consultants) employed in Oman who attended a national
otolaryngology meeting in January 2010. RESULTS: Forty-nine completed
questionnaires were received; 57% of the respondents had more than 10 years'
experience, and 60% were from tertiary care; 38.8% "totally agreed", and 36.7%
"partially agreed" that EBP would improve the quality of care and thus provide
effective health care to patients. More than 46.9% had 1-5 questions per week,
18.4% had 11 or more questions per week at the point-of-care; 69.4% were willing
to acquire information mastery skills. There was a statistical correlation
between the number of years of experience, the number of questions, and
willingness to acquire information mastery skills. CONCLUSION: In day-to-day
clinical practice, web-based resources are of increasing significance. Most
otolaryngologists in Oman not only believed that it is essential to acquire
information mastery skills, but also that effective health care depends on such
skills and on EBP. Most were willing to acquire these skills. In the future,
these skills will be vital in helping otolaryngologists deliver effective health
care solutions.
PMID- 22087399
TI - Laparoscopic surgery recording as an adjunct to conventional modalities of
teaching gross anatomy.
AB - OBJECTIVES: In order to increase their effectiveness, methods of teaching
morphological sciences need to be revised to incorporate the recent technological
advances made in the field of medicine. Teaching human structure with
conventional methods of prosections using dissected cadaveric specimen alone
quite often fails to prepare students adequately for their clinical training. A
learner-oriented method, incorporating three dimensional spatial anatomy and more
closely mirroring the clinical setting, is required. METHODS: With these
challenges in mind, a 30-minute slow-paced video recording of a cholecystectomy
performed laproscopically on a 45 year-old lady was adapted to supplement the
conventional teaching of anatomy of the abdomen and pelvis. This study was
carried out in October 2010. RESULTS: The subjects of this study were 84 students
in a first year preclinical MD course in human structure at the private Oman
Medical College. Their feedback was obtained via questionnaire and revealed that
the video presentation helped the students to realise the significance of the
anatomical details learnt during the human structure course. CONCLUSION:
Recordings of laparoscopic surgeries are an effective preclinical anatomy
teaching resource in student-centred learning. They also help the students to
appreciate the clinical relevance of gross anatomy and enhance their motivation
to learn.
PMID- 22087400
TI - Nephropathic Cystinosis: First reported case in Oman.
AB - Cystinosis is an autosomal recessive, lysosomal storage disease characterised by
the accumulation of the amino acid cystine in different organs and tissues. It is
a multisystemic disease that can present with renal and extra renal
manifestations. There are three types of cystinosis, infantile nephropathic
cystinosis being the most severe form. In this report we present the classic
clinical features of nephropathic cystinosis in an Omani child. This condition
remains quite rare in the Middle East and is the first reported case of
nephropathic cystinosis in the Omani population.
PMID- 22087401
TI - Atypical case of acute Fatty liver of pregnancy.
AB - Acute fatty liver of pregnancy (AFLP) is a life threatening obstetric emergency.
The most common presentation is malaise, nausea, vomiting and epigastric pain
followed by jaundice. Due to high maternal and perinatal mortality, early
diagnosis, prompt delivery and supportive care are required. We report an
atypical case of AFLP and discuss the management and complications of this rare
obstetric disorder.
PMID- 22087402
TI - Cannabis Exposure in an Omani Child: First case report from Oman.
AB - We report a confirmed case of cannabis exposure in an Omani female child with
developmental delay. Cannabis exposure in children can lead to many consequences;
for example, chronic use can result in developmental delay, abnormal behaviour,
and hyperactivity while there is a risk of coma with acute exposure. It is
important for clinicians to consider substance abuse as a differential diagnosis
for similar presentations in paediatric patients, noting that children are at
risk of cannabis exposure if their parents/caregivers are cannabis users.
PMID- 22087403
TI - Laparoscopic repair of traumatic intraperitoneal bladder rupture.
AB - Intraperitoneal rupture of the bladder is an uncommon condition that is usually
caused by pelvic fractures. This is a true surgical emergency managed
conventionally by open laparotomy with single or double layer repair. We present
a case of successful laparoscopic repair of an intraperitoneal bladder rupture
secondary to blunt abdominal trauma and pelvic fracture in a 37 year-old man. The
repair was done using single layer repair, with successful results.
PMID- 22087404
TI - Complications of Central Venous Catheterisation: Breakage of guidewire-a disaster
averted.
AB - Central venous catheterisation (CVC) is a common bedside invasive procedure done
in medical practice. Even though it is a safe procedure when done with ultrasound
guidance, difficulties and complications do occur even in experienced hands.
Here, we describe the difficulties encountered in the form of the breakage of the
guidewire while inserting a CVC in a patient with sickle cell disease.
PMID- 22087405
TI - Newly diagnosed acute myeloid leukemia with neck swelling.
PMID- 22087406
TI - Is it not the time to stop the use of Scoline (suxamethonium chloride) for rapid
sequence intubation?
PMID- 22087407
TI - GlideScope for Assessment of Recurrent Laryngeal Nerve Integrity after Thyroid
Surgery.
PMID- 22087408
TI - Re: Hepatitis B Vaccine Coverage and the Immune Response in Children under ten
years old in Sana'a, Yemen-We need to work much harder to control hepatitis B
virus infection in developing countries.
PMID- 22087409
TI - Re: dengue haemorrhagic Fever presenting as acute abdomen.
PMID- 22087410
TI - In memory of Ralph Steinman.
PMID- 22087411
TI - A short-term clinical study of marginal bone level change around microthreaded
and platform-switched implants.
AB - PURPOSE: The marginal bone levels around implants following restoration are used
as a reference for evaluating implant success and survival. Two design concepts
that can reduce crestal bone resorption are the microthread and platform
switching concepts. The aims of this study were to analyze the placement of
microthreaded and platform-switched implants and their short-term survival rate,
as well as the level of bone around the implants. METHODS: The subjects of this
study were 27 patients (79 implants) undergoing treatment with microthreaded and
platform-switched implants between October 2008 and July 2009 in the Dental
Hospital of Yonsei University Department of Periodontology. The patients received
follow-up care more than 6 months after the final setting of the prosthesis, at
which time periapical radiographs were taken. The marginal bone level was
measured from the reference point to the lowest observed point of contact between
the marginal bone and the fixture. Comparisons were made between radiographs
taken at the time of fixture installation and those taken at the follow-up visit.
RESULTS: During the study period (average of 11.8 months after fixture
installation and 7.4 months after the prosthesis delivery), the short-term
survival rate of microthreaded and platform-switched implants was 100% and the
marginal bone loss around implants was 0.16+/-0.08 mm, the latter of which is
lower than the previously reported values. CONCLUSIONS: This short-term clinical
study has demonstrated the successful survival rates of a microthread and
platform-switched implant system, and that this system is associated with reduced
marginal bone loss.
PMID- 22087412
TI - The evaluation of the correlation between histomorphometric analysis and micro
computed tomography analysis in AdBMP-2 induced bone regeneration in rat
calvarial defects.
AB - PURPOSE: Micro-computed tomography (micro-CT) has been widely used in the
evaluation of regenerated bone tissue but the reliability of micro-CT has not yet
been established. This study evaluated the correlation between histomorphometric
analysis and micro-CT analysis in performing new bone formation measurement.
METHODS: Critical-size calvarial defects were created using a 8 mm trephine bur
in a total of 24 Sprague-Dawley rats, and collagen gel mixed with autogenous rat
bone marrow stromal cells (BMSCs) or autogenous rat BMSCs transduced by
adenovirus containing bone morphogenic protein-2 (BMP-2) genes was loaded into
the defect site. In the control group, collagen gel alone was loaded into the
defect. After 2 and 4 weeks, the animals were euthanized and calvaria containing
defects were harvested. Micro-CT analysis and histomorphometric analysis of each
sample were accomplished and the statistical evaluation about the correlation
between both analyses was performed. RESULTS: New bone formation of the BMP-2
group was greater than that of the other groups at 2 and 4 weeks in both
histomorphometric analysis and micro-CT analysis (P=0.026, P=0.034).
Histomorphometric analysis of representative sections showed similar results to
histomorphometric analysis with a mean value of 3 sections. Measurement of new
bone formation was highly correlated between histomorphometric analysis and micro
CT analysis, especially at the low lower threshold level at 2 weeks (adjusted
r(2)=0.907, P<0.001). New bone formation of the BMP-2 group analyzed by micro-CT
tended to decline sharply with an increasing lower threshold level, and it was
statistically significant (P<0.001). CONCLUSIONS: Both histomorphometric analysis
and micro-CT analysis were valid methods for measurement of the new bone in rat
calvarial defects and the ability to detect the new bone in micro-CT analysis was
highly influenced by the threshold level in the BMP-2 group at early stage.
PMID- 22087413
TI - Response of osteoblast-like cells cultured on zirconia to bone morphogenetic
protein-2.
AB - PURPOSE: The aim of this study was to compare osteoblast behavior on zirconia and
titanium under conditions cultured with bone morphogenetic protein-2. METHODS:
MC3T3-E1 cells were cultured on sandblasted zirconia and sandblasted/etched
titanium discs. At 24 hours after seeding MC3T3-E1, the demineralized bone matrix
(DBM) gel alone and the DBM gel with bone morphogenetic protein-2 (BMP-2) were
added to the culture medium. The surface topography was examined by confocal
laser scanning microscopy. Cellular proliferation was measured at 1, 4, and 7
days after gel loading. Alkaline phosphatase activity was measured at 7 days
after gel loading. The mRNA expression of ALPase, bone sialoprotein, type I
collagen, runt-related transcription factor 2 (Runx-2), osteocalcin, and osterix
were evaluated by real-time polymerase chain reaction at 4 days and 7 days.
RESULTS: At 1, 4, and 7 days after loading the DBM gel alone and the DBM gel with
BMP-2, cellular proliferation on the zirconia and titanium discs was similar and
that of the groups cultured with the DBM gel alone and the DBM gel with BMP-2 was
not significantly different, except for titanium with BMP-2 gel. ALPase activity
was higher in the cells cultured with BMP-2 than in the other groups, but there
was no difference between the zirconia and titanium. In ALPase, bone
sialoprotein, osteocalcin, Runx-2 and osterix gene expression, that of cells on
zirconia or titanium with BMP-2 gel was much more highly increased than titanium
without gel at day 7. The gene expression level of cells cultured on zirconia
with BMP-2 was higher than that on titanium with BMP-2 at day 7. CONCLUSIONS: The
data in this study demonstrate that the osteoblastic cell attachment and
proliferation of zirconia were comparable to those of titanium. With the
stimulation of BMP-2, zirconia has a more pronounced effect on the proliferation
and differentiation of the osteoblastic cells compared with titanium.
PMID- 22087414
TI - The effect of erbium-doped: yttrium, aluminium and garnet laser irradiation on
the surface microstructure and roughness of double acid-etched implants.
AB - PURPOSE: One of the most frequent complications related to dental implants is
peri-implantitis, and the characteristics of implant surfaces are closely related
to the progression and resolution of inflammation. Therefore, a technical
modality that can effectively detoxify the implant surface without modification
to the surface is needed. The purpose of this study was to evaluate the effect of
erbium-doped: yttrium, aluminium and garnet (Er:YAG) laser irradiation on the
microstructural changes in double acid-etched implant surfaces according to the
laser energy and the application duration. METHODS: The implant surface was
irradiated using an Er:YAG laser with different application energy levels (100
mJ/pulse, 140 mJ/pulse, and 180 mJ/pulse) and time periods (1 minute, 1.5
minutes, and 2 minutes). We then examined the change in surface roughness value
and microstructure. RESULTS: In a scanning electron microscopy evaluation, the
double acid-etched implant surface was not altered by Er:YAG laser irradiation
under the condition of 100 mJ/pulse at 10 Hz for any of the irradiation times.
However, we investigated the reduced sharpness of the specific ridge
microstructure that resulted under the 140 mJ/pulse and 180 mJ/pulse conditions.
The reduction in sharpness became more severe as laser energy and application
duration increased. In the roughness measurement, the double acid-etched implants
showed a low roughness value on the valley area before the laser irradiation.
Under all experimental conditions, Er:YAG laser irradiation led to a minor
decrease in surface roughness, which was not statistically significant.
CONCLUSIONS: The recommended application settings for Er:YAG laser irradiation on
double acid-etched implant surface is less than a 100 mJ/pulse at 10 Hz, and for
less than two minutes in order to detoxify the implant surface without causing
surface modification.
PMID- 22087415
TI - The effect of fibronectin-coated implant on canine osseointegration.
AB - PURPOSE: The purpose of this study was to characterize the osseointegration of
the fibronectin-coated implant surface. METHODS: Sand-blasted, large-grit, acid
etched (SLA) surface implants, with or without a thin calcium phosphate and
fibronectin coating, were placed in edentulous mandibles of dogs 8 weeks after
extraction. All dogs were sacrificed forhistological and histomorphometric
evaluation after 4- and 8-week healing periods. RESULTS: All types of implants
were clinically stable without any mobility. Although the bone-to-implant contact
and bone density of the SLA implants coated with calcium phosphate
(CaP)/fibronectin were lower than the uncoated SLA implants, there were no
significant differences between the uncoated SLA surface group and the SLA
surface coated with CaP/fibronectin group. CONCLUSIONS: Within the limits of this
study, SLA surfaces coated with CaP/fibronectin were shown to have comparable
bone-to-implant contact and bone density to uncoated SLA surfaces.
PMID- 22087416
TI - The use of definitive implant abutments for the fabrication of provisional
crowns: a case series.
AB - PURPOSE: The anterior region is a challenge for most clinicians to achieve
optimal esthetics with dental implants. The provisional crown is a key factor in
the success of obtaining pink esthetics around restorations with single implants,
by soft tissue and inter-proximal papilla shaping. Provisional abutments bring
additional costs and make the treatment more expensive. Since one of the aims of
the clinician is to reduce costs and find more economic ways to raise patient
satisfaction, this paper describes a practical method for chair-side fabrication
of non-occlusal loaded provisional crowns used by the authors for several years
successfully. METHODS: Twenty two patients (9 males, 13 females; mean age, 36,72
years) with one missing anterior tooth were treated by using the presented
method. Metal definitive abutments instead of provisional abutments were used and
provisional crowns were fabricated on the definitive abutments for all of the
patients. The marginal fit was finished on a laboratory analogue and temporarily
cemented to the abutments. The marginal adaptation of the crowns was evaluated
radiographically. RESULTS: The patients were all satisfied with the final
appearance and no complications occurred until the implants were loaded with
permanent restorations. CONCLUSIONS: The use of the definitive abutments for
provisional crowns instead of provisional abutments reduces the costs and the
same results can be obtained.
PMID- 22087417
TI - Secondary closure of an extraction socket using the double-membrane guided bone
regeneration technique with immediate implant placement.
AB - PURPOSE: Immediate implantation presents challenges regarding site healing,
osseointegration, and obtaining complete soft-tissue coverage of the extraction
socket, especially in the posterior area. This last issue is addressed herein
using the double-membrane (collagen membrane+high-density polytetrafluoroethylene
[dPTFE] membrane) technique in two clinical cases of posterior immediate implant
placement. METHODS: An implant was placed immediately after atraumatically
extracting the maxillary posterior tooth. The gap between the coronal portion of
the fixture and the adjacent bony walls was filled with allograft material. In
addition, a collagen membrane (lower) and dPTFE membrane (upper) were placed in a
layer-by-layer manner to enable the closure of the extraction socket without a
primary flap closure, thus facilitating the preservation of keratinized mucosa.
The upper dPTFE membrane was left exposed for 4 weeks, after which the membrane
was gently removed using forceps without flap elevation. RESULTS: There was
considerable plaque deposition on the outer surface of the dPTFE membrane but not
on the inner surface. Moreover, scanning electron microscopy of the removed
membrane revealed only a small amount of bacteria on the inner surface of the
membrane. The peri-implant tissue was favorable both clinically and
radiographically after a conventional dental-implant healing period. CONCLUSIONS:
Secondary closure of the extraction socket and immediate guided bone regeneration
using the double-membrane technique may produce a good clinical outcome after
immediate placement of a dental implant in the posterior area.
PMID- 22087418
TI - The evolution of urogenital tissue engineering.
PMID- 22087420
TI - Dissimilar effects of tolterodine on detrusor overactivity in awake rats with
chemical cystitis and partial bladder outlet obstruction.
AB - PURPOSE: We investigated bladder function, with a special focus on nonvoiding
contractions (NVCs), in awake rats with chronic chemical cystitis and bladder
outlet obstruction (BOO) by use of simultaneous registrations of intravesical and
intraabdominal pressures. In addition, we tested the effects of tolterodine on
the NVCs in these models. METHODS: A total of 20 female Sprague-Dawley rats were
used in this study. In eight rats, chemical cystitis was induced by intravesical
instillation of HCl. Twelve rats were subjected to sham instillations or partial
BOO. Four weeks after intravesical instillation or 2 weeks after partial BOO,
cystometrograms were obtained by use of simultaneous recording of intravesical
and intraabdominal pressure in all unanesthetized, unrestrained rats in metabolic
cages. RESULTS: A total of 17 rats survived. In the rats with acute injury by
HCl, 50% showed detrusor overactivity (DO), which was not seen in the sham group.
The cystitis group had lower DO pressure without a difference in DO frequency
compared with the BOO group. After the administration of tolterodine, the
cystitis group showed no difference in DO frequency or pressure, whereas the BOO
group showed decreased values for both parameters. CONCLUSIONS: Our study showed
that toleterodine produced no effect on DO during the filling phase in rats with
chronic chemical cystitisbut decreased the frequency and pressure of DO in rats
with BOO. Clinically, studies are needed to improve the treatment effect of
anticholinergic drugs ininterstitial cystitis patients with overactive bladder.
PMID- 22087421
TI - Exploring the potential of flunarizine for Cisplatin-induced painful uremic
neuropathy in rats.
AB - PURPOSE: The present study was designed to explore the potential of flunarizine
for cisplatin induced painful uremic neuropathy in rats. METHODS: Cisplatin (2
mg/kg; i.p., for 5 consecutive days) was administered and renal uremic markers
i.e., serum creatinine were estimated on days 4 and 25. Behavioral changes were
assessed in terms of thermal hyperalgesia (hot plate, plantar, tail immersion,
and tail flick tests at different time intervals). Biochemical analysis of total
calcium, superoxide anion, DNA, and transketolase, and myeloperoxidase activity
in tissue samples was also performed. Furthermore, flunarizine (100, 200, and 300
uM/kg; p.o., for 21 consecutive days) was administered to evaluate its potency on
uremic neuropathy, and the results were compared with those for the carbamazepine
treated (30 mg/kg; p.o., for 21 consecutive days) groups. RESULTS: Flunarizine
attenuated the cisplatin-induced uremic neuropathy, and the degree of behavioral
and biochemical changes in serum and tissue samples in a dose dependent manner.
The medium and high doses of flunarizine were shown to produce a significant
effect on cisplatin induced painful uremic neuropathy. CONCLUSIONS: Our results
indicate the potential of flunarizine for anti-oxidative, anti-inflammatory, and
neuroprotective actions. Therefore, it may have use as a novel therapeutic agent
for the management of painful uremic neuropathy.
PMID- 22087419
TI - Regenerative medicine strategies for treating neurogenic bladder.
AB - Neurogenic bladder is a general term encompassing various neurologic dysfunctions
of the bladder and the external urethral sphincter. These can be caused by damage
or disease. Therapeutic management options can be conservative, minimally
invasive, or surgical. The current standard for surgical management is bladder
augmentation using intestinal segments. However, because intestinal tissue
possesses different functional characteristics than bladder tissue, numerous
complications can ensue, including excess mucus production, urinary stone
formation, and malignancy. As a result, investigators have sought after
alternative solutions. Tissue engineering is a scientific field that uses
combinations of cells and biomaterials to encourage regeneration of new, healthy
tissue and offers an alternative approach for the replacement of lost or
deficient organs, including the bladder. Promising results using tissue
engineered bladder have already been obtained in children with neurogenic bladder
caused by myelomeningocele. Human clinical trials, governed by the Food and Drug
Administration, are ongoing in the United States in both children and adults to
further evaluate the safety and efficacy of this technology. This review will
introduce the principles of tissue engineering and discuss how it can be used to
treat refractory cases of neurogenic bladder.
PMID- 22087422
TI - Korean version of the overactive bladder symptom score questionnaire: translation
and linguistic validation.
AB - PURPOSE: The overactive bladder symptom score (OABSS) consists of 4 questions
regarding OAB symptoms. The aim of this study was to develop Korean version of
the OABSS from the original Japanese version, with subsequent linguistic
validation. METHODS: Between February and May 2008, the translation and
linguistic validation process was performed as follows: a forward translation,
reconciliation, backward translation, cognitive debriefing, and final
proofreading. RESULTS: A forward translation from the original version of the
OABSS to the Korean language was carried out by 2 native Korean speakers, who
were also fluent in Japanese. Reconciliation was made after review of both
translations by a panel consisting of both translators and one of the authors.
Another bilingual translator who had never seen the original version of the OABSS
carried out a translation of the reconciled version back into Japanese, and the
original and backward-translated versions were subsequently compared. After
discussion of all discrepancies between both versions by the panel, a second
Korean version was produced. During cognitive debriefing, 5 outpatients with OAB
reported that each question of the Korean version was significant and appropriate
for their symptoms. However, 2 patients said that some parts of the questions or
instructions were not clear or were not easy to understand. According to the
cognitive debriefing, some words and phrases were revised into more
understandable expressions. CONCLUSIONS: A Korean version of the OABSS was
developed and linguistic validation was performed. Further studies are needed to
assess the reproducibility and validity of the questionnaire in Korean
populations.
PMID- 22087423
TI - The impact of overactive bladder on health-related quality of life, sexual life
and psychological health in Korea.
AB - PURPOSE: We aimed to estimate the prevalence of overactive bladder (OAB) in
Korea, to assess the variation in prevalence by sex and age, and to measure the
impact of OAB on quality of life. METHODS: A population-based, cross-sectional
telephone survey was conducted between April and June 2010 with a questionnaire
regarding the prevalence of OAB, demographics, and the impact of OAB on quality
of life. A geographically stratified random sample of men and women aged >=30
years was selected. RESULTS: The overall prevalence of OAB was 22.9% (male, 19%;
female, 26.8%). Of a total of 458 participants with OAB, 37.6% and 19.9% reported
moderate or severe impact on their daily life and sexual life (5.6% and 3.5%,
respectively, in participants without OAB). Anxiety and depression were reported
by 22.7% and 39.3% of participants with OAB, respectively (9.7% and 22.8%,
respectively, in participants without OAB). Only 19.7% of participants with OAB
had consulted a doctor for their voiding symptoms, but 50.7% of respondents with
OAB were willing to visit a hospital for the management of their OAB symptoms.
CONCLUSIONS: This study confirmed that OAB symptoms are highly prevalent in
Korea, and many sufferers appear to have actively sought medical help. OAB has
severe effects on daily and sexual life as well as psychological health.
PMID- 22087424
TI - Effect of 5-alpha Reductase Inhibitor on Storage Symptoms in Patients with Benign
Prostatic Hyperplasia.
AB - PURPOSE: Many patients with benign prostatic hyperplasia (BPH) have storage
symptoms. The aim of this study was to evaluate the effects of treatment with a 5
alpha reductase inhibitor (5ARI) on storage symptoms in patients with BPH.
METHODS: This study was conducted in 738 patients with lower urinary tract
symptoms secondary to BPH. Patients with a prostate volume of higher than 30 mL
on the transrectal ultrasound were classified into two groups: group A, in which
an alpha blocker was solely administered for at least 12 months, and group B, in
which a combination treatment regimen of an alpha blocker plus 5ARI was used.
This was followed by an analysis of the changes in parameters such as the total
International Prostate Symptom Score (IPSS), voiding symptom subscore, and
storage symptom subscore between the two groups. In addition, we examined whether
there was a significant difference between the two groups in the degree of change
in storage symptoms between before and after the pharmacological treatment.
RESULTS: Of the 738 men, 331 had a prostate volume >=30 mL, including 150
patients in group A and 181 patients in group B. Total IPSS, the voiding symptom
subscore, and the storage symptom subscore were significantly lower after
treatment than before treatment in both groups (P<0.05). A comparison of the
degree of change between before and after treatment, however, showed no
significant differences in the storage symptom subscore between the two groups
(P>0.05). CONCLUSIONS: Alpha blocker and 5ARI combination treatment is effective
for patients with BPH including storage symptoms. However, 5ARI does not exert a
significant effect on storage symptoms in BPH patients.
PMID- 22087425
TI - Predictors of Successful Trial without Catheter for Postoperative Urinary
Retention Following Non-Urological Surgery.
AB - PURPOSE: To investigate the success rate of trial without catheter (TWOC) for
postoperative urinary retention (POUR) after non-urological surgery and to
determine predictors of successful TWOC. METHODS: A total of 104 patients who
underwent non-urological surgery and were referred to the department of urology
for POUR were included in this retrospective study. All eligible patients
underwent indwelling catheterization as an initial treatment and then TWOC was
performed 3 to 7 days later. POUR was defined as micturition difficulty with
greater than 400 mL of postvoid residual (PVR) urine volume measured by
catheterization after non-urological surgery. Successful TWOC was defined as
voiding with less than 100 mL of PVR urine volume. Predictive factors were
identified by multivariate regression analysis. All definitions corresponded to
recommendations of the International Continence Society. RESULTS: The mean age of
the patients was 65.2 (range, 23 to 92) years. There were 45 male and 59 female
patients. Intraoperative indwelling catheterization was performed in 69 (66.3%)
patients. Mean duration of indwelling catheterization for POUR was 5.0 (range,
3.0 to 7.0) days and 83 (79.8%) patients received medication with an alpha
blocker. A successful TWOC was observed in 70 (67.4%) patients. The mean age of
the patients with failure of TWOC was significantly higher than that of the
patients with successful TWOC. The percentages of female patients, spinal
surgery, and prone position during surgery in patients with unsuccessful TWOC
were higher than in those with successful TWOC. In the multivariate logistic
regression analysis, age and location of surgery (spine vs. non-spine) were the
independent predictors of successful TWOC for POUR. CONCLUSIONS: Our data suggest
that older age and spinal surgery may be important risk factors for failure of
TWOC for POUR after non-urological surgery. Thus, adequate prevention measures
may be necessary for POUR after non-urological surgery, especially in patients
with these risk factors.
PMID- 22087426
TI - Voiding dysfunction after total mesorectal excision in rectal cancer.
AB - PURPOSE: The aim of this study was to assess the voiding dysfunction after rectal
cancer surgery with total mesorectal excision (TME). METHODS: This was part of a
prospective study done in the rectal cancer patients who underwent surgery with
TME between November 2006 and June 2008. Consecutive uroflowmetry, post-voided
residual volume, and a voiding questionnaire were performed at preoperatively and
postoperatively. RESULTS: A total of 50 patients were recruited in this study,
including 28 male and 22 female. In the comparison of the preoperative data with
the postoperative 3-month data, a significant decrease in mean maximal flow rate,
voided volume, and post-voided residual volume were found. In the comparison with
the postoperative 6-month data, however only the maximal flow rate was decreased
with statistical significance (P=0.02). In the comparison between surgical
methods, abdominoperineal resection patients showed delayed recovery of maximal
flow rate, voided volume, and post-voided residual volume. There was no
significant difference in uroflowmetry parameters with advances in rectal cancer
stage. CONCLUSIONS: Voiding dysfunction is common after rectal cancer surgery but
can be recovered in 6 months after surgery or earlier. Abdominoperineal resection
was shown to be an unfavorable factor for postoperative voiding. Larger
prospective study is needed to determine the long-term effect of rectal cancer
surgery in relation to male and female baseline voiding condition.
PMID- 22087427
TI - Efficacy of anticholinergics for chronic prostatitis/chronic pelvic pain syndrome
in young and middle-aged patients: a single-blinded, prospective, multi-center
study.
AB - PURPOSE: Chronic prostatitis/chronic pelvic pain syndrome (CP/CPPS) exhibits
variable lower urinary tract symptoms (LUTS). The aim of this study was to
evaluate the incidence of LUTS and the efficacy of an anticholinergic agent in
young and middle-aged CP/CPPS patients. METHODS: Ninety-six men with CP/CPPS were
randomly assigned in a single-blind fashion and received either ciprofloxacin
(group 1, 49 patients) or ciprofloxacin and solifenacin (5 mg/day; group 2, 47
patients) for 8 weeks. The National Institutes of Health chronic prostatitis
symptom index (NIH-CPSI), the International Prostate Symptom Score (IPSS), and
the International Index of Erectile Function-5 (IIEF-5) were used to grade the
patients' symptoms and the quality of life impact at the start of the study, and
at 4 and 8 weeks from the initiation of the study. RESULTS: There was no
significant difference between groups 1 and 2 with respect to age, duration of
disease, or sub-domains of the IPSS, NIH-CPSI, or IIEF-5 at baseline. Of these
patients, 67.4% had LUTS. Statistically significant differences were determined
via the NIH-CPSI for total score and the pain and urinary domain scores.
Statistically significant differences were determined via the IPSS for total
score and the storage domain score. The total score of the IIEF-5 increased, but
the change was not significant. There was no statistically significant difference
in residual urine. CONCLUSIONS: Many CP/CPPS patients had LUTS. Solifenacin in
CP/CPPS demonstrated improvements in the NIH-CPSI and the IPSS total score and
storage score. Storage factors significantly improved via the NIH-CPSI and IPSS
assessments in the solifenacin treatment group.
PMID- 22087428
TI - Atrophy of the tongue as the presenting feature of metastatic prostate cancer.
AB - Prostate cancer is the most frequently diagnosed solid organ cancer in men and is
the second leading cause of cancer-related deaths in men in the United Kingdom.
Commonly, it metastasizes to bones and lymph nodes, however, in advanced
hormonerefractory disease it may involve the skull base leading to associated
cranial nerve palsies. Cranial nerve palsy as the presenting feature of advanced
hormone-sensitive prostate cancer is extremely rare. To the best of our
knowledge, we report the first case of solitary hypoglossal nerve palsy as the
presenting feature of advanced prostate cancer. Neurologists, neurosurgeons and
otolaryngologists may be the first clinicians to see such a patient; therefore,
prostate cancer should be amongst the differential diagnoses considered in middle
aged and elderly men presenting with a cranial neuropathy and evidence of skull
metastasis.
PMID- 22087429
TI - Erratum: urodynamic findings in an awake chemical cystitis rat model observed by
simultaneous registrations of intravesical and intraabdominal pressures.
AB - [This corrects the article on p. 54 in vol. 14, PMID: 21120177.].
PMID- 22087430
TI - Erratum: Tape Shortening for Recurrent Stress Urinary Incontinence After
Transobturator Tape Sling: 3-Year Follow-up Results.
AB - [This corrects the article on p. 164 in vol. 14, PMID: 21179334.].
PMID- 22087431
TI - Pathogenesis of Interstitial Lung Disease in Children and Adults.
AB - Interstitial lung diseases (ILDs) occur across the lifespan, from birth to
advanced age. However, the causes, clinical manifestations, histopathology, and
management of ILD differ greatly among infants, older children, and adults. The
historical approach of classifying childhood ILD (chILD) using adult
classification schemes may therefore have done more harm than good. Nevertheless,
identification of novel forms of chILD in the past decade, such as surfactant
metabolism dysfunction disorders and neuroendocrine cell hyperplasia of infancy
(NEHI), as well as genomic analysis of adult ILDs, has taught us that identical
genotypes may result in distinct phenotypes at different ages and developmental
stages, and that lung developmental pathways and cellular phenotypes are often
recapitulated in adult ILDs. Thus comparison of the pathophysiology of ILD in
children and adults in the context of lung development is useful in understanding
the pathogenesis of these disorders, and may lead to novel therapeutic
interventions for ILDs at all ages.
PMID- 22087433
TI - Bioactive substances with anti-neoplastic efficacy from marine invertebrates:
Porifera and Coelenterata.
AB - An ever increasing demand for new lead compounds in the pharmaceutical industry
has led scientists to search for natural bioactive products. Based on this
extensive research, marine invertebrates now represent a rich source of novel
substances with significant anti-neoplastic activities. As the current approach
of synthesizing new and chemically modifying old drugs seems to have slowed down,
and the identification of new anticancer drugs is not too promising, a new
approach is clearly needed. The objective of this review is to present up-to-date
data on these newer compounds. Based on the data summarized in this short review,
it is clear that marine invertebrates represent an extremely important source of
compounds with potential anti-cancer effects. Considering that we tested only a
tiny number of Porifera and Coelenterata, the best is yet to come.
PMID- 22087432
TI - Genetic Basis of Children's Interstitial Lung Disease.
AB - Specific genetic causes for children's interstitial lung disease (chILD) have
been identified within the past decade. These include deletions of or mutations
in genes encoding proteins important in surfactant production and function (SP-B,
SP-C, and ABCA3), surfactant catabolism (GM-CSF receptor), as well as
transcription factors important for surfactant production (TTF1) or lung
development (Fox F1), with heterozygous deletions or loss-of-function mutations
of the latter resulting in alveolar capillary dysplasia (ACD) with misalignment
of the pulmonary veins. Familial pulmonary fibrosis in adults may result from
mutations in genes encoding components of telomerase and SP-A2. While not yet
reported in children, the expression of these genes in alveolar type II
epithelial cells supports a key role for the disruption of normal homeostasis in
this cell type in the pathogenesis of interstitial lung disease. The
identification of specific genetic causes for chILD now allows for the
possibility of non-invasive diagnosis, and provides insight into basic cellular
mechanisms that may allow the development of novel therapies.
PMID- 22087434
TI - Bioactive substances with anti-neoplastic efficacy from marine invertebrates:
Bryozoa, Mollusca, Echinodermata and Urochordata.
AB - The marine environment provides a rich source of natural products with potential
therapeutic application. This has resulted in an increased rate of pharmaceutical
agents being discovered in marine animals, particularly invertebrates. Our
objective is to summarize the most promising compounds which have the best
potential and may lead to use in clinical practice, show their biological
activities and highlight the compounds currently being tested in clinical trials.
In this paper, we focused on Bryozoa, Mollusca, Echinodermata and Urochordata.
PMID- 22087436
TI - First Medical Olympiad.
PMID- 22087435
TI - Epidermal growth factor receptor and K-Ras in non-small cell lung cancer
molecular pathways involved and targeted therapies.
AB - Lung cancer is currently the leading cause of cancer death in Western nations.
Non-small cell lung cancer (NSCLC) represents 80% of all lung cancers, and
adenocarcinoma is the predominant histological type. Despite the intensive
research carried out on this field and therapeutic advances, the overall
prognosis of these patients remains unsatisfactory, with a 5-year overall
survival rate of less than 15%. Nowadays, pharmacogenetics and pharmacogenomics
represent the key to successful treatment. Recent studies suggest the existence
of two distinct molecular pathways in the carcinogenesis of lung adenocarcinoma:
one associated with smoking and activation of the K-Ras oncogene and the other
not associated with smoking and activation of the epidermal growth factor
receptor (EGFR). The K-ras mutation is mainly responsible for primary resistance
to new molecules which inhibit tyrosine kinase EGFR (erlotinib and gefitinib) and
most of the EGFR mutations are responsible for increased tumor sensitivity to
these drugs. This article aims to conduct a systematic review of the literature
regarding the molecular pathways involving the EGFR, K-Ras and EGFR targeted
therapies in NSCLC tumor behavior.
PMID- 22087437
TI - Currently applied cross-sectional diagnostic modalities and imaging guided
interventional modalities for treatment of neuroendocrine tumors.
AB - Technological advances in conventional cross-sectional diagnostic imaging have
allowed important modalities like ultrasonography, endoscopic ultrasonography,
multi-detector computed tomography, nuclear medicine single photon emission
tomography, positron emission tomography and magnetic resonance imaging to
exhibit an increasingly important role in the diagnosis and management of
patients with neuroendocrine tumors (NET). The arterial supply of metastatic
lesions provides promising treatment options through the arterial route, hence
transcatheter arterial embolization has a key role in the therapeutic management
of metastatic NET. The various transcatheter methods of NET treatment are
discussed, including radio-embolization. Imaging-guided percutaneous
interventional radiologic methods of ablation are also discussed as applicable
for the effective management of primary and metastatic NET. An approach to
represent the physical and technical principles on which ablative methods rely
and their clinical significance has been attempted.
PMID- 22087438
TI - Reduced grey matter metabolism due to white matter edema allows optimal
assessment of brain tumors on 18F-FDG-PET.
AB - The main aim of this research was to demonstrate that the cortical and
subcortical grey matter hypometabolism as revealed by fluorine-18
fluorodesoxyglucose-positron emission tomography ((18)F-FDG-PET) imaging in brain
tumors is related to associated edema as demonstrated by magnetic resonance
imaging (MRI). This in turn enhances the ability to assess disease activity in
the tumor and the degree of loss of cerebral function in the adjacent and distant
structures. We evaluated brain T1 and T2 weighted MRI and (18)F-FDG-PET scans of
29 patients (19 adult, 10 pediatric) with history of brain tumor. Tumor histology
types included 21 gliomas, 1 melanoma, 1 primitive neuroectodermal tumor, 3
medulloblastomas and 3 ependymomas. The majority of scans were performed within
the same week (94% <1 month. The extent of hypo and hypermetabolism was assessed
on the (18)F-FDG-PET scans. A template of 12 regions of interest (ROI) was
applied and the laterality indices of the regional counts (signal intensity) were
computed. Extent of edema, enhancement, and anatomical change were assessed on
the MRI scans. Extent of edema in the same ROI was evaluated by a 6-point
semiquantitative scale and laterality indices were generated. Metabolic activity
of the grey matter was correlated with the extent of edema using these indices.
In all cases where edema was present, significant hypometabolism was observed in
the adjacent structures. Overall, there was a strong correlation between the
extent of edema and severity of hypometabolism (r=0.92, P=0.01). This was true
regardless of the location of edema, whether there was history of radiation
treatment (r=0.91, P=0.03), or not (r=0.97, P=0.17). In conclusion, edema
independent of underlying variables appeared to contribute significantly to
cortical and sub-cortical grey matter hypometabolism observed in patients with
brain tumors. This would indicate that brain tumors can be successfully assessed
by (18)F-FDG-PET and therefore the efforts for utilizing other tracers may not be
justified.
PMID- 22087439
TI - Differentiation of histological subtypes in lung cancer with 18F-FDG-PET 3-point
imaging and kinetic analysis.
AB - The purpose of this study was to evaluate differences in histological subtypes of
lung cancer using (18)F-FDG-PET 3-point imaging and kinetic analysis. Subjects
comprised 44 patients with histologically proven lung cancer (squamous cell
carcinoma (SCC), n=18; well-differentiated adenocarcinoma (WDA), n=9;
poorly/moderately differentiated adenocarcinoma (non-WDA), n=17) who underwent
(18)F-FDG-PET/CT examinations at 1, 2 h and 3 h after injection of 185 MBq of
(18)F-FDG, approximately. Mean standardized uptake value (SUV) in each lesion was
measured at each time point and the increase rate of SUV (IR_SUV) was calculated.
SUV and IR_SUV were compared among the 3 groups. In addition, to estimate
differences in kinetic parameters for each group, kinetic analysis based on a 3
compartment model was performed. Our results showed SUV differed significantly at
every time point among the 3 groups. IR_SUV between 2 and 3 h post-injection
(IR_SUV (2-3)) differed significantly among the 3 groups, while both IR_SUV(1-3)
and IR_SUV(1-2) were significantly higher in SCC than in WDA. In kinetic
analyses, both K1 and k3 showed significant differences among the 3 groups, with
highest values in SCC and lowest in WDA. In conclusion, (18)F-FDG-PET 3-point
imaging and kinetic analysis enabled the differentiation of histological subtypes
in lung cancer, arising from differences in glucose transporter density and
enzymatic activity of hexokinase.
PMID- 22087440
TI - Serum differential proteomics analysis between papillary thyroid cancer patients
with 131I-avid and those with non-131I-avid lung metastases.
AB - Our aim was to compare the differences in serum protein fingerprints between
papillary thyroid carcinoma (PTC) patients with (131)I-avid lung metastases and
those with non-(131)I-avid lung metastases, and to establish a screening model
for screening (131)I uptake in lung metastases. We collected serum samples from
46 PTC patients with (131)I-avid lung metastases (Group A) and 23 PTC patients
with non-(131)I-avid lung metastases (Group B) respectively, and both groups were
matched for age and sex, without history of other tumors. Among them, 28 cases
(19 cases in Group A, and 9 cases in Group B) were enrolled in the training set
to establish the decision tree model, and another 41 cases (27 cases in Group A,
and 14 cases in Group B)were incorporated for blind test set. The serum protein
fingerprints were profiled using surface enhanced laser desorption/ionization
time-of-flight mass spectrometry (SELDI-TOF-MS, USA) and the difference between
the two groups was compared using the Ciphergen Proteinchip 3.1 software.
Bioinformatics analysis was performed to construct the decision tree model based
on the data of the training set, and the blind test was also conducted for blind
test set. Our results showed that a total of 151 valid protein peaks were
detected at the molecular range of 1300 Da to 15,000 Da, among which 7 were
significantly different between Group A and Group B (P< 0.05). The blind test was
conducted via the decision tree model, with a sensitivity of 92.6% (25/27) and a
specificity of 85.7% (12/14). In conclusion, the difference in the serum protein
fingerprints between Group A and Group B is quite accurate for screenning (131)I
uptake in the lung metastases from PTC, conferring important clinical value for
the prediction of (131)I uptake and guiding of personalized (131)I treatment
decisions.
PMID- 22087441
TI - Ventricular ejection fraction in patients with dilated cardiomyopathy calculated
by gated blood pool SPET processing software: correlation with multigated
acquisition and first pass radionuclide ventriculography.
AB - This study was performed to find out the left ventricular ejection fraction
(LVEF) and right ventricular ejection fraction (RVEF) in patients with dilated
cardiomyopathy (DCM) by using commercially available automated gated blood pool
scintigraphy (GBPS) processing software and to correlate it with first pass
radionuclide ventriculography (FPRNV) and planar multigated acquisition (MUGA).
However, till date, no literature exists studying the application of GBPS and
planar radionuclide ventriculography techniques in the setting of patients with
DCM as a single cohort. Forty-one patients having DCM were prospectively included
in the study. First pass RNV and MUGA were performed at rest after in-vivo
labeling of red blood cells in all patients. Immediately after obtaining the
planar views, GBPS was performed and LVEF and RVEF were calculated. Our results
showed that the %LVEF values (mean+/-SD) calculated by MUGA, GBPS and echo
cardiography were 31+/-11, 34+/-12 and 32+/-11, respectively. The % RVEF values
(mean+/-SD) calculated by FPRNV and GBPS were 46+/-14 and 43+/-17, respectively.
The LVEF values calculated by MUGA, GBPS and echcardiography showed very good
correlation r=0.924 and r=0.844, respectively and for both P <0.0001. Bland
Altman plot showed overestimation for LVEF (and a tendency for overestimation of
RVEF) values calculated by GBPS compared to MUGA. Values of RVEF calculated by
GBPS and FPRNV also showed good correlation (r=0.88; P< 0.0001). In conclusion,
the automated GBPS for LVEF and RVEF calculation using GBPS SPET can be routinely
applied in DCM patients. Given the practical difficulties with FPRNV like good
bolus administration, quantitative blood pool SPET (QBPS) can be used to
calculate RVEF. Similarly MUGA and GBPS can be used to calculate LVEF.
PMID- 22087442
TI - Does the association of 18F-FDG uptake intensity and lesion topography reveal
histological phenotype and tumor differentiation in esophageal cancer?
AB - In daily clinical practice, the esophageal squamous cell cancer (ESCC) is
considered to be more (18)F-FDG avid than adenocarcinoma (EAD). To date, the few
studies concerning the existence of a real metabolic difference based on
esophageal cancer (EC) histology, show divergent and not definitive results. A
retrospective analysis of (18)F-FDG PET/CT of 87 patients with ESCC and EAD was
performed to investigate the role played by both histopathological subtype and
tumor differentiation in the characterization of glucose metabolic profile of EC.
Esophageal squamous cell cancer was well differentiated (WD) in 42 cases and
poorly differentiated (PD) in 12 patients. Twenty-one of the 33 patients had WD
EAD, while 12 had a PD EAD. The (18)F-FDG maximal standardized uptake value
(SUV(max)) was determined for all lesions and used for inter and intra-group
comparison. In ESCC, the SUV(max) ranged from 4 to 31 with a mean value of 16+/
6. In EAD, the SUV(max) ranged from 2 to 25 with a mean value of 10+/-6. A
statistically significant difference (P<0.0001) was found between ESCC and EAD.
According to histological classification and tumor differentiation, we obtained
the following results: a) the SUV(max) values of WD ESCC and WD EAD were 17+/-5
(range: 7-31) and 7+/-3 (range: 2-12) respectively (P<0.00001), b) the SUV(max)
values of PD ESCC and PD EAD were 11+/-4 (range: 4-19) and 17+/-6 (range: 7-25)
respectively (P<0.05). Moreover, a statistically significant difference of
SUV(max) values was found between WD and PD ESCC (P<0.005) as well as between WD
and PD differentiated EAD (P<0.0001). In order to predict tumor histology (ESCC,
EAD) from both SUV(max) and lesion location, a multivariate discriminant analysis
was performed on the whole population with a resulting diagnostic accuracy equal
to 82% (P<0.00001). In conclusion, we provide additional arguments about (18)F
FDG uptake difference between ESCC and EAD as well as between poorly and well
differentiated forms of both EC histological subtypes.
PMID- 22087443
TI - Prospective evaluation of technetium-99m ECD SPET in mild traumatic brain injury
for the prediction of sustained neuropsychological sequels.
AB - Our aim was to evaluate whether single photon emission tomography (SPET) versus
computed tomography (CT) in acute phase of mild traumatic brain injury (MTBI) was
better for the prediction of sustained neuropsychological symptoms beyond a
typical recovery period. Forty five patients with MTBI were prospectively
evaluated with clinical and neuropsychological exams, structural imaging using CT
and perfusion study by(99m)Tc-ethylene cysteinate dimer ((99m)Tc-ECD) SPET
within a week of the head trauma. After an interval ranging from 6 to 12
(median: 9) months, all patients were re-evaluated by standard neuropsychological
tests for the assessment of sustained personality changes, imbalance and memory
deficits. Our results showed that, 25 patients had abnormal brain perfusion on
(99m)Tc-ECD SPET. In 19 cases of total 20 normal (99m)Tc-ECD SPET studies, no
sign of memory deficit and imbalance was observed. Negative predictive value
(NPV) for both complications was 95%. NPV of CT for the prediction of memory
deficit and imbalance were 77.4% and 90.3%, respectively. The risk of developing
sustained memory deficits and imbalance in patients with positive (99m)Tc-ECD
SPET were 40% and 20%, respectively. A perfusion abnormality on (99m)Tc-ECD SPET
was associated with a greater chance of long-standing memory deficits (odds
ratio=13.49, P=0.020)while neither CT nor (99m)Tc-ECD SPET could independently
predict the personality changes in these patients. The patients with
abnormalities on both CT and SPET images faced a significant relative risk of
complications, 1.63 times, higher than the others. In conclusion, our study
indicated that (99m)Tc-ECD SPET imaging or CT imaging alone, could not predict
the occurrence of sustained complications after MTBI. Concurrent use of both
imaging modalities performed shortly after MTBI may yield the best results, as
the combination of abnormalities in both cerebral structure and perfusion could
indicate the patients with 1.63 times higher risk of sustained memory deficits,
personality changes and imbalance.
PMID- 22087444
TI - Effectiveness of radiosynoviorthesis in the treatment of chronic synovitis of
small and middle-sized joints affected by rheumatoid arthritis.
AB - Our aim was to describe and evaluate our experience in the treatment of
radiosynoviorthesis (RSO) of small and middle-sized joints in patients with
rheumatoid arthritis (RA). Eighty six patients with RA enrolled in the study.
The criterion for enrolment was destructive process of the joint detected by X
rays and classified as a stage II-III according to Larsen. Seventy-six middle
sized joints were treated each with 74MBq or rhenium-186 sulphate and other 80
small joints with 10-40MBq of erbium-169 citrate each. The effect of treatment
was evaluated at 6 and 12 months following the RSO treatment. The obtained values
were compared with those of the initial state. The inflammatory and structural
changes in activity were assessed according to the results of ultrasound
examination, regression of pain, swelling of the joint and the improvement in
mobility. The data obtained were statistically processed with the Chi-square
test. Our results showed that RSO significantly decreased pain of the affected
joints, however the influence upon joint motion was minimal. The best treatment
results were observed in shoulders and elbows, while the ankles were the worst to
respond to the RSO treatment. The significant (P<0.05) beneficial effect of the
RSO treatment on pain and swelling reduction were only transient started on 1
week to 1 month and declined over 12 months. In conclusion, our study showed that
RSO is a suitable alternative in the treatment of chronic synovitis, with a low
potential of adverse effects. However the beneficial effect on pain and swelling
reduction was only transient and declined over 12 months.
PMID- 22087445
TI - Evaluation of diagnostic performance of 18F-FDG-PET compared to CT in detecting
potential causes of fever of unknown origin in an academic centre.
AB - Determining the cause of fever of unknown origin (FUO) often proves challenging
to attending physicians and the role of conventional imaging in this setting has
been uncertain. In this retrospective study, we examined the role of fluorine-18
fluorodesoxyglucose-positron emission tomography ((18)F-FDG-PET) compared to
computed tomography (CT) in diagnosing the potential etiology of FUO. To
accomplish this task, we identified patients with FUO who underwent (18)F-FDG-PET
for detecting the source of fever. Twenty-four patients (16 males and 8 females,
age range = 17-80, mean age = 49.5) were examined with (18)F-FDG-PET of which 18
were also assessed with a diagnostic CT (within 3 weeks, mean interval = 7.5
days). The PET and CT findings were reviewed and the presence of focal (18)F-FDG
uptake or gross CT lesions was considered a potential site causing FUO. Of
patients who underwent PET alone, 5/6 were reported as positive. Of the 18 who
had both PET and diagnostic CT, PET was positive in 18 and CT was positive in
only 7 cases. Of positive findings on PET, etiologies included infection (11),
non-infectious inflammation (8), lymphoma (3), and other cancers (1). Of positive
findings on CT, etiologies included infection (3), lymphoma (1), non-infectious
inflammation (2) and other cancers (1). Importantly, we found no cases with
positive CT and negative PET findings. In conclusion, accordingly to our
findings, (18)F-FDG-PET appears to be of great value in assessing patients with
FUO, especially when caused by infection or inflammation. Fluorine-18 FDG-PET is
more sensitive than diagnostic CT in detecting and localizing diseased sites, and
is the optimal imaging modality to evaluate patients with FUO.
PMID- 22087446
TI - Imaging myocardial inflammation of various etiologies with 99mTc-depreotide
SPET/CT.
AB - Previous reports suggested the accumulation of technetium-99m-depreotide
trifluoroacetate ((99m)Tc-D) at the sites of active infection or inflammation.
Binding of depreotide to over-expressed somatostatin receptors in activated
lymphocytes and macrophages probably accounts for the depiction of inflammation.
We speculated that myocardial inflammation could also be illustrated by (99m)Tc-D
scintigraphy. We report on 3 patients with the clinical diagnosis of myocarditis
of various etiologies, in which (99m)Tc-D SPET/CT demonstrated obvious tracer
uptake in the myocardium of the left ventricle. In conclusion, we suggest that
depreotide imaging can depict myocardial inflammation, thus supporting clinical
diagnosis.
PMID- 22087447
TI - Sensitivity of PET/MR images in liver metastases from colorectal carcinoma.
AB - Our aim was to evaluate the sensitivity of positron emission tomography/magnetic
resonance image (PET/MRI) in the detection of liver metastases in patients from
colorectal cancer as compared with computed tomography (CT), magnetic resonance
imaging (MRI), PET and PET/CT images. From April 2008 to April 2010, twenty-four
patients (mean age 56.5+/-10.5 years) with liver metastases from colorectal
cancer diagnosed by pathology were retrospectively studied as above. All image
data were respectively collected and fused. PET/CT and PET/MRI fusion images were
successfully performed with a PET-MR-CT robot transmission-fusion imaging system.
Pathologic findings and clinical follows-up were performed as referenced
standards. Images were reviewed independently by at least three experts. We
found a total number of 121 metastatic lesions and 35 of them, with a maximum
diameter less than 1cm. According to a per-lesion analysis, the sensitivity on
liver metastases was 64.5%, 80.2% and 54.5% on CT, MRI and PET, respectively.
Based on reconstruction imaging analysis, PET/CT and PET/MRI showed sensitivities
of 84.2% and 98.3%. Sensitivity comparison of PET/MRI had superior sensitivity of
98.08%. Paired data analysis (McNemar) resulted a type I error which equated to
0.05. There was a statistically significant difference between CT and MRI or PET
for the detection of patients with liver metastatic lesions (P<0.05). However,
PET/MRI can efficiently detect more metastatic lesions than PET/CT (P<0.05) among
those with diameter <1cm. In conclusion, PET/MRI was a quite efficient
diagnostic modality compared to conventional imaging modalities and should be
considered the procedure of choice in the detection of liver metastatic lesions
from colorectal cancer.
PMID- 22087448
TI - Myocardial scintigraphy, echocardiography and proBNP for early detection of
myocardial cardiotoxicity in breast cancer patients after chemo-radiotherapy.
AB - The most severe side effect in breast cancer patients, treated with chemotherapy
and/or radiotherapy is cardiotoxicity, leading to chronic heart failure and
worsening the quality of life. The aim of our study was to detect early in these
patients signs of cardiotoxicity. Twenty four breast cancer patients were
included in our study after combined treatment (chemo and radiotherapy). We
studied myocardial function by gated single photon emission tomography (GSPET
MS), echocardiography (EC) and 32 amino acid polypeptide B-type natriuretic
peptide (ProBNP) measurements. We found early signs of cardiotoxicity in 10/24
investigated patients. All patients had no clinical symptoms, and normal
electrocardiogram and left ventricular ejection fraction (LVEF). According to
results from the performed tests, patients were divided in 4 groups: a) Normal
systolic and diastolic LV function, normal ProBNP value, normal myocardial
scintigraphy in 14/24 patients. b) Diastolic dysfunction, increased ProBNP value,
hypoperfused defects in myocardial scintigraphy in 5/24 patients. c) Diastolic
dysfunction, normal ProBNP value, hypoperfused defects in myocardial scintigraphy
in 3/24 patients. d) Normal systolic and diastolic LV function, normal ProBNP
value, hypoperfused defects in myocardial scintigraphy in 2/24 patients. In
conclusion, in patients with breast cancer and asymptomatic cardiotoxicity, by
applying GSPET-MS, ProBNP measurements and EC diastolic function tests, we
detected early signs of myocardial damage in 10/24 patients 6-12 months after
chemotherapy and radiotherapy.
PMID- 22087449
TI - (111)In-pentetreotide SPET/CT in carcinoid tumours: is the role of hybrid systems
advantageous in abdominal or thoracic lesions?
AB - Our aim was to evaluate the different clinical value of (111)In-pentetreotide
hybrid SPET/CT versus SPET alone in detecting carcinoid tumours located in the
thoracic and abdominal region. Twenty-four patients with carcinoid tumours
histologically proven (13 of abdominal origin, 11 of thoracic origin) underwent
(111)In-pentetreotide SPET/CT with hybrid system (Millennium VG with Hawkeye,
G.E.M.S., USA) composed of a dual head gamma camera equipped with a low dose X
ray tube. Single photon emission tomography images were performed 4h and 24h
after (111)In-pentetreotide intravenous administration, while SPET/CT co
registered images were performed at 4h. Scintigraphic images were first evaluated
alone and then re-interpreted by adding transmission fused data. Nine of the 13
patients with tumours of abdominal origin showed pathological SPET images, while
4/13 were negative. Seven out of the 11 patients with tumour of thoracic origin
had pathological SPET findings, while 4/11 were negative. In all, 11/24 subjects
disclosed abdominal pathological uptake and 10/24 thoracic. In 6/11 abdominal
cases SPET/CT allowed anatomical localization of lesions, while in 2/10 in
thoracic cases. Additional data were provided by SPET/CT in 8/24 cases (6
abdominal, 2 thoracic), by transmission images characterized as lesions not
expressing somatostatin receptors. Sensitivity of SPET alone in all carcinoids
was 72%, negative predictive value (NPV) was 50% and accuracy was 78%.
Considering abdominal lesions (independently of the origin) sensitivity of SPET
alone was 64.7%, NPV was 40%, accuracy was 71.4%. For thoracic lesions
sensitivity of SPET alone was 83.3%, NPV was 66.7% and accuracy was 87.5%. For
SPET/CT considering together all carcinoids and also separately lesions of
abdominal and of thoracic origin, sensitivity, NPV and accuracy were always 100%.
In conclusion, SPET/CT imaging was more useful to anatomically detect carcinoids
either in abdomen or in thorax and specifically lesions not expressing
somatostatin receptors, as compared to SPET alone.
PMID- 22087450
TI - Quantification and reduction of attenuation related artifacts in SPET by applying
attenuation model during iterative image reconstruction: a Monte Carlo study.
AB - Photon attenuation is one of the main causes of the quantitative errors and
artifacts in SPET. A transmission or CT based attenuation map is necessary to
correct for the effects of attenuation accurately. In this research, some
important attenuation related artifacts are described. A fast and memory
efficient iterative algorithm is proposed for attenuation correction. Ordered
subset expectation maximization (OSEM) algorithm with attenuation model was
applied for image reconstruction. Monte Carlo simulation was used to create the
projections in this study. Different voxel based phantoms with uniform and non
uniform activity distributions and attenuation maps were employed to evaluate the
accuracy of this algorithm. The NCAT digital phantom was also used to
investigate the attenuation effects on myocardial perfusion SPET in men and
women. Projections free from the effect of attenuation were also simulated. The
reconstructed image from these attenuation-free projections was considered as
reference image. Our attenuation correction algorithm was evaluated by its
ability to recover activity and to remove attenuation related artifacts. The
mean-square-error (MSE) between reference and corrected image and image contrast
were calculated for quantitative evaluation of this algorithm. A variety of
attenuation related artifacts were observed. Moreover anterior wall of myocardial
perfusion images of female phantom and inferior wall in male phantom were
affected by attenuation. All of the attenuation related artifacts were removed
after attenuation correction. Quantitatively, the MSE values between reference
images and corrected images were reduced by about 900% for all phantoms. In
conclusion, by applying our new method for incorporating attenuation model during
OSEM, we were able to eliminate a variety of artifacts and errors, which is a
necessary step for quantitative SPET.
PMID- 22087451
TI - The diagnostic role of gated myocardial perfusion imaging and radionuclide
ventriculography in severe congenital heart disease.
AB - Several techniques have been applied for the assessment of severe congenital
heart diseases (SCHD) including echocardiography, cardiac catheterization with
angiocardiography, and more recently, cardiovascular multi detector tomography
and magnetic resonance imaging (MRI). The value of gated single photon emission
tomography (GSPET) myocardial perfusion imaging (MPI) and radionuclide
ventriculography (RNV) for evaluating myocardial ischemia, tissue viability, and
left ventricular function in SCHD is less apparent. The risk of radiation
exposure is greatest in the youngest patients. Both, GSPET MPI and RNV seem to be
underutilized in pediatric clinical practice due to increased radiation
exposure. We have reviewed basic and specific technical and diagnostic aspects,
as well as specific clinical indications of GSPET MPI and RNV in children with
SCHD in comparison with other cardiology methods. Some of our own tests are also
presented where they apply. In conclusion, GSPET MPI and RNV can provide clinical
relevant information of functional significance of SCHD in pediatric patients
especially when the other cardiology methods are indeterminate. With regard to
radiation exposure appropriate patient selection and recommendations for
reduction of radiation exposure are of great importance.
PMID- 22087452
TI - Kummell's disease: pathophysiology, diagnosis, treatment and the role of nuclear
medicine. Rationale according to our experience.
AB - Kummell's disease (KD) is a rare clinical entity and includes patients, who after
a trivial trauma and an asymptomatic period, develop a progressive vertebral body
collapse and a painful kyphosis. The main pathologic eliciting event still
remains unclear. Vertebral body collapse can be the result of infection,
malignant neoplasia or trauma. It may be difficult to distinguish among them,
particularly in osteoporosis. To explain the time lag between initial trauma and
vertebral collapse, the hypothesis of ischemic necrosis was suggested. Many
authors considering KD as a case of mere vertebral osteonecrosis have wrongly
reported cases of osteonecrosis without a spinal trauma, as KD. The fact that
intravertebral vacuum cleft often coexists with vertebral osteonecrosis further
added to confusion. Various imaging modalities including bone scan support the
diagnosis of KD. It is described that bone single photon emission tomography
(SPET) or SPET/computed tomography scintigraphy using dynamic and static,
acquisition can identify the chronicity of the lesions.
PMID- 22087453
TI - (99m)Tc-DMSA scintigraphy diagnosing crossed renal ectopia with fusion in a three
years old boy.
AB - A 3 years old boy with a history of surgery for orchidopexy was admitted to our
hospital with fever and abdominal pain. Clinical examination and laboratory
investigations revealed urinary tract infection with renal involvement.
Ultrasonography demonstrated a solitary left kidney and raised the suspicion of a
fusion anomaly. Voiding cystography disclosed grade III vesicoureteral reflux
and technetium-99m dimercaptosuccinic acid scintigraphy revealed right to left
crossed renal ectopia with fusion (L-shaped kidney). The patient is undergoing
standard follow-up for the early detection of possible renal complications. In
conclusion, L-shaped kidney is a rare entity and the (99m)Tc-DMSA scintigraphy
played an important role on timely diagnosis.
PMID- 22087454
TI - Hashimoto thyroiditis: A "true" adenoma visualized as a hot nodule in the
presence of overt hypothyroidism.
AB - A 63 years old woman with Hashimoto's thyroiditis, on thyroxin treatment
presented imaging studies concordant with a multinodular goiter, a "hot" nodule
in the left lobe and partial suppression of the right lobe. After thyroxin
withdrawal overt hypothyroidism developed, yet the patient's imaging studies were
not altered. This is a case of hypothyroidism in a patient with Hashimoto's
thyroiditis, multinodular goiter and a concomitant "hot nodule", showed on
scintigraphy, as a functioning adenoma in a non functioning thyroid. This finding
is quite rare as no specific percentages are mentioned in the literature. To our
knowledge this is the first such case described in Greece.
PMID- 22087455
TI - Detection by (18)F-FDG PET of unsuspected extensive bone marrow metastases in a
case of basosquamous carcinoma of the cheek.
AB - Basosquamous carcinoma (BSC) is a rare type of malignancy with features of both
basal cell carcinoma (BCC) and squamous cell carcinoma (SCC) with a potential for
aggressive behaviour infiltration and destruction. First reported by MacCormac
in 1910 in a series of rodent ulcers, this entity does have an increased risk of
recurrence and metastases as well, which distinguish it from other forms of
basal cell carcinoma. The overall incidence of basosquamous carcinoma ranges from
1.2% to 2.7%. An unusual case of basosquamous carcinoma (BSC) is presented where
18- fluorodeoxyglucose positron emission tomography ((18)F-FDG-PET) scan
diagnosed unsuspected extensive metastatic disease in the bone marrow, which was
further proven histopathologically. The patient was a 32 years old man with
history of recently diagnosed basosquamous carcinoma of left cheek involving left
lower eyelid and left eyeball. Contrast enhanced computed tomography(ceCT) of the
head and neck demonstrated involvement of the left cheek skin by the malignancy
along with erosion of zygomatic bone and phthisis bulbi of the left eye. The
serum alkaline phosphatase was elevated (255units, normal range 50-150units).
The patient was referred for (18)F-FDG PET, for disease status evaluation. The
scan showed intense tracer uptake in the left zygomatic region, the site of known
primary disease. Intense tracer uptake was noted in the multiple lesions of bone
marrow of axial as well as appendicular skeleton. The scan appearance was highly
suggestive of metastatic bone marrow involvement. A bone marrow biopsy was
performed to confirm the scan findings. Guided by the (18)F-FDG PET scan
findings, bone marrow biopsy was performed and metastatic basosquamous carcinoma
was diagnosed. We believe this is the first reported case of basosquamous
carcinoma where extensive metastatic bone marrow disease was diagnosed with the
aid of (18)F-FDG PET. At first diagnosis, an advanced stage of BSC is often
present. Due to its metastatic potential, extensive primary surgical resection of
BSC, possibly completed by radiation or photodynamic adjuvant treatment is
recommended. Given the aggressive nature of basosquamous carcinoma, whole body
(18)F-FDG PET is very useful in diagnosing metastatic BSC. In conclusion, this is
the first reported case of the use of (18)F-FDG PET study for diagnosing
metastatic bone marrow disease in a patient with basosquamous carcinoma.
PMID- 22087456
TI - Positive lymphoscintigraphy (ILS) and negative computed tomography for metastatic
penile cancer.
AB - Penile carcinoma usually occurs in older than 40 years men with an incidence in
western communities of 0.5 to 1.6 per 100,000 men per year while in developing
countries the rate is much higher in men. Extensive lymph node dissection of
lymphatic inguinal metastases evident by inguinal lymphoscintigraphy (ILS)
induces improved overall survival. A 75 years old male with penile squamous cell
carcinoma stage pT2N0M0 of less than 2cm diameter, with tumor invasion of the
penis corpora underwent partial penectomy with a 2-cm disease-free margin. Three
months postoperation, computed tomography (CT) was negative for local recurrence
or distant metastases. A dynamic ILS was performed after local anaesthesia and
intradermal injection of 80MBq of (99m)Tc-nanocolloid at the lower edge of the
left and right inguinal ducts. The lymphatic chain and a hot spot suggestive of
a first draining lymph node appeared after 15min on the right inguinal region in
the second zone according to Daseler mapping. The left inguinal area was
negative for sentinel node (SN). In view of this finding an exploratory
laparotomy was performed and pathology showed that this lymph node that was
probably a SN was infiltrated by the squamous cell carcinoma. The patient was
upstaged to T2N1M0 and scheduled to receive adjuvant chemotherapy with two
courses of cisplatin and 5-fluorouracil. While T1 and T2 tumours of diameters
<2cm are best treated with penile-preserving methods such as circumciand/or local
excision. Tumours of T2 >2cm, T3 tumours, and T4 tumours are treated with glans
amputation and/or partial or total penile amputation. Imaging with magnetic
resonance imaging (MRI) or computed tomography (CT) scan do not always give
accurate staging information, because positive findings are usually found only
in patients with clinically palpable, enlarged inguinal lymph nodes. Computed
tomography and MRI have low sensitivity to identify occult metastases, because
they present criteria for malignant involvement mainly based on the size of the
lesions. The main pitfall of these diagnostic modalities is due to occult
metastatic disease occurring within normal sized nodes. Approximatively 20% of
the patients with non palpable lymph nodes harbour occult inguinal metastases,
and there is evidence that this group of patients may benefit from early surgical
dissection of the inguinal nodes, compared to a wait-and-see policy. It is
understood that current imaging techniques cannot accurately detect occult
metastases, while ILS is more reliable. In 80% of patients with penile cancer,
after ILS, drainage to both groins is observed. Bilateral nodes are often
visualized early, sometimes asynchronously with one of the lymph nodes filling
late. This is why delayed images are recommended. Pitfalls of ILS are: a)
Contamination of the skin with the tracer and b) radiopharmaceutical entering
the blood. There are also several reasons that may account for absent or faint SN
uptake: low dose of the tracer or low tracer quality, patient's age (better in
young patients), tumor involvement of the sentinel node, and finally too short or
too long interval between tracer injection and ILS. The ILS can be mapped
according to Daseler's inguinal zones. Penile cancer drains directly to the nodes
in the superior and central Daseler zones. According to others, the majority
(73%) of SN was located in the medial superior, 8.7% in the lateral superior, and
18.3% in the central zone. No drainage was seen on the two inferior quadrants.
The majority (62.1%) of higher-tier nodes was found in the external iliac zone.
Inguinal LS can save us from watchful waiting in cases of otherwise occult
metastases. In conclusion, ILS has shown lymph node metastases while clinical and
CT examinations were negative. The false positive and false negative results of
ILS are mentioned.
PMID- 22087457
TI - (18)F-FDG positron emission tomography/computed tomography and (99m)Tc-MDP
skeletal scintigraphy in a case of Erdheim-Chester disease.
AB - Erdheim-Chester disease (ECD), first described by Jakob Erdheim and William
Chester in 1930, is a rare form of non-Langerhan's cell histiocytosis with
unknown aetiology, is charaterized by systemic xanthogranulomatous infiltrative
disease. To date, about 350 cases of ECD have been described in the medical
literature. The typical ECD diagnostic triad is bone pain, diabetes insipidus
and bilateral exophthalmos. A 24 years old man came at our attention for
polydipsia with nocturnal and diurnal polyuria, anorexia, febrile episodes
(38(o)C), and arthromyalgia especially in the knees. Physical examination showed
bilateral periorbital xanthelasma. Blood exams showed increase of plasma
osmolarity, haematocrit, sodium and urea and decrease of potassium. Urine exams
showed just decreased urine specific gravity, (1.001;normal range: 1.010-1.030)
suggestive for central diabetes insipidus (CDI). Brain magnetic resonance with
gadolinium enhancement showed the presence of multiple hyperintense lesions
expecially in neurohypophysis (swollen and with markedly contrast enhancement).
All these data raised the suspision of neurosarcoidosis, so a chest and abdomen
contrast enhancement computed tomography was performed, which didn't show
abnormalities, making less possible the diagnosis of sarcoidosis. Two weeks
later, whole-body (from head to pelvis) plus lower limbs 18-fluorine-labelled 2
deoxy-2-fluoro-D-glucose positron emission tomography/computed tomography ((18)F
FDG PET/CT) was performed. Uptake of (18)F-FDG was observed in the upper portion
of the midbrain area (SUV(max) 7.1) and the pituitary gland (SUV(max) 7.3), and
diffuse bone marrow uptake of (18)F-FDG in the proximal epiphysis and metaphysis
of both humeri and thigh bones (SUV(max) 6.5), shoulder blades, pelvis bones and
the L2 vertebral body (SUV(max) 3.9). This (18)F-FDG PET/CT confirmed the
presence of brain lesion seen in MRI , the absence of visceral lesions, but also
showed the presence of an atypical bone uptake of (18)F-FDG, leading to the
suspision of ECD. A technetium-99m-methyl-diphosphonate skeletal scintigraphy
((99m)Tc-MDP) scan showed diffuse uptake of the radiopharmaceutical, in the
diaphysis of long bones and in the left portion of the body and the spinous
process of L2. Considering the difficulties of an osteomedullary or brain biopsy,
biopsy was performed on a right anterior thoracic cutaneous xanthelasma.
Histology showed lipid-laden histiocytes (CD1a-, CD68+, S-100 protein -) with
small nuclei, Touton giant, lymphocytic infiltrates, eosinophils and fibrosis,
ECD gold standard patterns as reported in literature. The patient was discharged
with the diagnosis of ECD with central nervous system (CNS) manifestations, and
treatment started. The diagnosis can be lead by the most charateristic bone
findings of symmetrical osteosclerosis of the long bones, especially the lower
limbs (tibia and fibula), involving metaphyses and diaphyses but sparing
epiphyses. The typical pattern of osteoscerosis of the long bones reflects
increased osteoblastic activity. About half of all ECD patients may experience
extraskeletal manifestations, including CNS. Visceral involvement in ECD is not
specific, and this enforces the diagnostic value of skeletal imaging findings.
Furthermore xanthomas can be found at any location on the skin, especially the
eyelids as in our patient. For visceral involvement, CT is most useful, while MRI
is more sensitive for CNS lesions. Involvement of CNS may be frequently revealed
clinically by diabetes insipidus. Few case reports have shown that (18)F-FDG
PET/CT scanning could be useful in assessing the extension of ECD lesions. Both
radiography and (99m)Tc-MDP skeletal scintigraphy may reveal osteosclerosis of
the long bones, which is a typical finding in ECD. The typical bone pattern of
(18)F-FDG PET/CT scan is specific for ECD and (99m)Tc-MDP skeletal scintigraphy
may be performed in patients in whom initial (18)F-FDG PET/CT scans present the
possibility of ECD diagnosis. Others reported that (18)F-FDG PET/CT scans had
good sensitivity (66.7%) and specificity (92.3%) as compared with MRI of the CNS
involvement or lesions. In conclusion, the (18)F-FDG PET/CT scan and the (99m)Tc
MDP scan depicted many of the most relevant lesions of ECD for the initial
assessment of ECD in our patient.
PMID- 22087458
TI - Comparison between one day and two days protocols for sentinel node mapping of
breast cancer patients.
AB - Sentinel node biopsy can decrease the morbidity of breast cancer treatment
significantly by sparing many patients of axillary lymph node dissection and
resulting arm lymphedema. Despite widespread use of sentinel node mapping for
breast cancer patients almost all aspects of this procedure are controversial;
such as: type of the radiotracer, eligibility, time of injection, etc. One of
these controversial issues is the efficacy of 2 days protocol (injection of the
tracer on one day and sentinel node mapping and surgery on the following day).
The main reason to perform 2 days protocol is the ease of operation room
scheduling the patient does not need to complete injection and imaging in the
nuclear medicine department. Despite widespread use of 2 days protocol for
sentinel node mapping, very few studies have specifically evaluated this protocol
in comparison to 1 day protocol and also the false negative rate which is the
better index of sentinel node mapping success. Most of the above studies used
tracers with large particle size such as (99m)Tc-sulfur colloid. Tracers with
small particle size can theoretically be washed out from the real sentinel nodes
and move to the second echelon nodes, so some recommended using large particle
size radiotracers for the 2 days protocol. In this study, we compared the false
negative rate of sentinel node mapping between 1 and 2 days protocols using
intradermal injection of (99m)Tc-antimony sulfide colloid ((99m)Tc-SbSC) which
has very small particle size. Eighty patients with early stage breast cancer
(clinical stages of I and II) were evaluated. The diagnosis of the breast cancer
was established by either excisional or core needle biopsy. The patients didn't
take any chemotherapeutic drug before surgery and were divided into two groups: 1
day (Group I) and 2 days (Group II) protocols (45 in Group I and 35 in Group II).
For Group I, periareolar intradermal injections of 0.5Bq/0.2mL (99m)Tc-SbSC were
applied for patients without previous excisional biopsy. For patients with
excisional biopsy two intradermal injections of 0.5Bq/0.2mL (99m)Tc-SbSC were
used on both sides of the incision line. All injections were followed by gentle
massage for 1min. For Group II, the same injection techniques were used but the
dose of the tracer was doubled. Anterior, and lateral spot views were acquired
30min after the injection (5min/image, 128Chi128 matrix) using a dual head gamma
camera (E.CAM Siemens) and parallel hole low energy high resolution collimator.
The operation was performed 4h (for Group I) or 20h (for Group II) post
radiotracer injection. All patients received 2mL patent blue V dye in a subdermal
and periareolar fashion, 2min after general anesthesia. A surgical gamma probe
(EUROPROBE, France) was used for harvesting the sentinel lymph nodes during
surgery. As sentinel node was defined any blue node or any node with an ex vivo
radioisotope count of twofold or greater than the axillary background. After
completion of sentinel node biopsy, all patients underwent standard axillary
lymph node dissection. The study was approved by our local ethical committee and
all patients gave their informed consent before inclusion into the study.
Quantitative data were expressed as mean+/-SD. For comparison between groups,
independent sample student's t-test for quantitative variables, and chi-square or
Fisher's exact tests for categorical variables were used. P-values less than 0.05
were considered statistically significant. SPSS version 11.5 was used for
statistical analyses. The patients characteristics are shown in Table I. These
general characteristics were not significantly different between the study
groups (P>0.05). Detection rate was 100% for both Groups. The median number of
sentinel nodes in both Groups was one sentinel node. The mean number of detected
sentinel nodes during surgery was not statistically different between groups
(1.28+/-0.7 and 1.32+/-0.6 for Group I and II respectively). One false negative
sentinel node case with positive axillary nodes after dissection was found in
both groups. This amounts to 6.25% and 6.66% false negative rate for Group I and
II patients respectively. During surgery mean count rate at the injection site
was 243123+/-22134 and 29430+/-2125 for Groups I and II, respectively. Mean count
rate at the sentinel nodes was 4345+/-457 and 2375+/-356 for Groups I and II,
respectively. Although the mean count rate at the injection site and the sentinel
nodes were both higher in Group I of the study compared to Group II (P<0.0001
for both), the mean ratio of sentinel to injection site was statistically higher
in Group II (P<0.0001). The 2 days protocol allows that the required
lymphoscintigraphy imaging (including delayed views) can be performed before and
during operation without any time limits. Most studies have reported similar to
ours detection or false negative rates for both protocols. Our study showed
comparable mean number of harvested sentinel nodes by the two protocols which is
against the hypothesis of moving the tracer to other sentinel nodes by time.
Others had similar results. The count rate of the sentinel nodes during surgery
was statistically acceptable. Similar results have been reported by others too.
Although we didn't evaluate radiation exposure in our study, this was acceptable
in other studies and Buscombe et al showed a maximum effective dose of
2.6MUSv/MBq for these patients and even assuming this highest value the patient
exposure was very low compared to many other procedures. In conclusion, two days
protocol gives the sentinel node biopsy team considerable flexibility and
lymphoscintigrpahy imaging can be completed before surgery. Finding of the
axillary sentinel node during surgery is also being easier. False negative rates
as well as the detection rate for one day and two days protocols are comparable.
PMID- 22087459
TI - A first described chest wall metastasis from colon cancer demonstrated with (18)F
FDG PET/CT.
AB - It is well known that, haematogenous colon cancer metastases are most commonly
found in the liver, less likely in the lungs through the paravertebral venous
system and rarely in other organs. Sporadic clinical cases of colon cancer
metastases to the abdominal wall, the thyroid or the adrenal glands have been
reported. Here, we present an uncommon case of chest wall metastasis from colon
cancer demonstrated with 2-fluoro [fluorine-18]-2-deoxy-D-glucose ((18)F-FDG)
positron emission tomography/computed tomography (PET/CT). A 52 years old female
patient was examined after she felt a swelling mass above her left breast. Tumor
makers, such as serum cancer embryonic antigen (CEA) 146.22kU/L (normal
range:0.00~37.0kU/L) and CA19-9 (258.16MUg/L (normal range:0.00~10.0MUg/L) and
neuron-specific enolase (NSE) 78.2 (normal range: 0.00~17.00) were abnormally
high. Chest CT revealed the soft tissue density mass on the left anterior chest
wall with invasion of left 4th rib, and CT-guided biopsy showed a poorly
differentiated adenocarcinoma of unkown origin. The patient was then referred
for the (18)F-FDG-PET scan which was performed one hour after the intravenous
injection of 370MBq of (18)F-FDG (Discovery Camera, VCT, GE, USA) and showed in
addition to the chest mass, abnormal (18)F-FDG accumulation in both lungs, left
supraclavicular and peritoneal lymph nodes. Furthermore, high (18)F-FDG uptake
was detected in the sigmoid. Pathology findings from colonoscopy confirmed that
this was a sigmoid colon adenocarcinoma. So far, chest wall metastasis from
colon cancer as an initial finding has not been reported. Usually, an initial
chest wall mass is hardly suspected to be a colon cancer metastasis. Abnormal
serum tumor markers such as CEA and CA19-9 supported the diagnosis of a
gastrointestinal adenocarcinoma. In our case, we found high serum NSE and normal
findings of bowel wall on the CT scan, thus without the positive (18)F-FDG
findings, one would probably consider as first diagnosis: chest wall metastasis
from lung cancer, or a neuroendocrine tumor. The unusual finding in this case was
that on the CT images there was no obvious local density of the intestine, no
bowel wall thickening, or suspicious nodular lesions. Segmental (18)F-FDG
accumulation seen in the sigmoid colon had early maximum standardized uptake
value (SUV(max)) 7.3 and in 1h delayed estimation, 8.1. Colonoscopy showed that
the (18)F-FDG-avid area at the colon was circular and thickened. "Hot" lesions
found in both lungs, the supraclavicular and retroperitoneal lymph nodes by (18)F
FDG PET/CT scan were considered to be most probably metastases from colon
adenocarcinoma. In conclusion, PET as a rather simple procedure and less
dependent on bowel preparation diagnosed the primary colon cancer, its metastases
and specifically a first described chest wall metastasis, while CT alone did not
show the primary tumor.
PMID- 22087460
TI - Can pain influence the severity of findings of an (18)F-FDG PET/CT scan in
loosening hip arthroplasty?
AB - Pain is a common symptom after loosening and/or after infection of arthroplasty.
The aim of the present case report is to indicate that fluorine-18-fluorodeoxy
glucose positron emission tomography/computerized tomography((18)F-FDG PET/CT)
scan can be used for the evaluation of pain at the site of arthroplasty with a
semi quantitative measurement. An 84 years old male patient, with a history of
papillary thyroid cancer was referred to our Nuclear Medicine Department for an
(18)F-FDG PET/CT scan for evaluation of his metastatic disease. He reported right
hip prosthesis thirty years ago and now suffered from significant pain in the
right hip joint which on a scale from 1 to 10 could be rated as grade 8. White
blood cell count, sedimentation rate and C-reactive protein were within normal
limits. Four years ago he also had severe pain on the right leg that could be
rated as grade 8, and underwent an (18)F-FDG PET/CT scan that showed a maximum
standardized uptake value (SUV(max)) of 9.8. A year later, at a follow up (18)F
FDG PET/CT scan and under a similar severe pain, SUV(max) was 10. The patient
had a hip prosthesis in the left leg 3 years ago, for which he reported pain that
could be rated as grade 3 and the SUV(max) was then 3.7. The degree of (18)F-FDG
uptake is related to cellular metabolic rate and to the number of glucose
transporters. In inflammation, the activated inflammatory cells demonstrate
increased expression of glucose transporters and the affinity of glucose
transporters for deoxyglucose is increased by various cytokines and growth
factors. Furthermore, when the mononuclear cells and the granulocytes are
activated by certain infectious humoral stimuli, they use large amounts of
glucose by the hexose monophosphate shunt and the rate of oxygen uptake is
intensely increased, during the so called "respiratory burst". In the present
case, it was interesting to note that the degree of (18)F-FDG uptake at the sites
of loosening hip arthroplasty was related to the severity of pain. Signs of
infection or inflammation although not obvious in our case, could not be
excluded because sensitivity, specificity and accuracy of PET for detecting
infection associated with hip prostheses has been reported to be, 90%, 89.3% and
89.5%, respectively and sensitivity and specificity for detecting periprosthetic
inflammation 100% and 45.5%,respectively. In another study using as a criterion
for periprosthetic infection the increased (18)F-FDG activity at the bone
prosthesis interface of the femoral component, sensitivity, specificity, positive
and negative predictive values for the (18)F-FDG PET study where 85%, 93%, 80%
and 95%, respectively. Inflammation, often intense, may be present in aseptic
loosening and characterized by increased periprosthetic (18)F-FDG uptake. In
conclusion, in our case the degree of (18)F-FDG uptake in a loosening hip
arthroplasty was related to the severity of pain although inflammation or
infection could also play some role. Further studies are required to prove this
suggestion.
PMID- 22087461
TI - A pregnant woman with metastatic papillary thyroid carcinoma and paraplegia:
Multiple considerations involved in the management.
AB - A 35 years old primigravida hailing from a humble, rural background with no
previous history related to thyroid carcinoma, presented with acute paraparesis
at the last trimester of pregnancy and was diagnosed to harbor metastatic
papillary thyroid carcinoma (PTC) following magnetic resonance imaging (MRI) of
the spine with guided biopsy, which demonstrated near complete collapse of D5
and D10 vertebral bodies with altered signal on the D4 to D6 and D9 to D11
vertebral bodies, in addition to a gravid uterus and a large goiter. There was
also evidence of bilateral nodular lesions in the lung parenchyma and a fairly
large hepatic lesion in segment 8 of the liver . Histopathology revealed
metastatic follicular variant of thyroid papillary carcinoma. This case with
challenging presentation had multiple issues to be resolved during its
management: a) acute paraparesis and the requirement of radioiodine ((131)I)
treatment soon after total thyroidectomy, b) her first valuable pregnancy that
required to be managed successfully, c) the poor general condition, d) the
abstinence from iodine containing medications, in relation to the Cesarean
section planned, e) the timing of total thyroidectomy, f) postnatal care of the
newborn and g) radioprotective measures. All were important considerations in
the management of this patient. Iodine restricted diet and medications were
recommended and were communicated to the obstetricians involved in the patient.
The patient underwent Cesarean section and total thyroidectomy at the same
sitting. The newborn baby was healthy and was started on artificial feeding.
Recombinant TSH primed protocol was not considered immediately in view of a major
surgery being undertaken and the poor general condition, so that the patient
would not require frequent support during the isolation period. In the first 3
weeks of the postoperative period, she was put on T3 substitution and after a 2
weeks gap was given (131)I and whole body diagnostic scan was undertaken 48h
after the administration of (131)I scan dose. Both the diagnostic and post (131)I
treatment scan demonstrated multiple foci of (131)I uptake in the skeleton, lungs
and liver. Following discharge from the isolation ward, adequate separation from
the infant was ensured and the childcare was undertaken by relatives. The
patient had a remarkable improvement clinically. During the next 3-1/2 years she
was treated 2 more times with (131)I with cumulative doses of about 25.9GBq. The
last post-treatment scan is depicted in. She has been presently ambulatory with
complete resolution of paraplegia and a significantly better quality of life
without any requirement of support, despite the presence of extensive skeletal
disease. A recent review entitled "Approach to the pregnant patient with thyroid
cancer", addresses this topic as a separate category. Similar emphasis has also
been given by other authors while dealing with these patients. In our experience,
patients with PTC metastatic lesions in the vertebrae show better response
compared to those with large flat bone metastases likely related to the small
size of the former. In conclusion, a teamwork of surgeons, obstetricians, nuclear
medicine physicians as well as the strong support by the relatives, was necessary
to favorably treat this patient with metastatic PTC, paraplegia and pregnancy.
PMID- 22087462
TI - The half maximum time of (99m)Tc-DTPA renography measured in healthy kidney
donors, compared to (131)I-OIH.
AB - Technetium-99m-diethylene triamine pentaacetic acid ((99m)Tc-DTPA) has been
widely used after (131)I-ortho-hippurate ((131)I-OIH) for renography and to test
renal function. Only a few reports refer to normal values range of (99m)Tc-DTPA
renography half maximum time (HMT). We have measured the normal value range of
(99m)Tc-DTPA renography HMT in our department, of 433 healthy kidney donors from
2007 to 2010, and compared these results with those of (131)I-OIH renography.
There were 326 men and 107 women, 18y-69y (median age 29y), subjects were
measured before the donation of their kidneys operation and their biochemical,
ultrasound and renal function tests were normal. All subjects drunk at least 1
litre of tap water before renography. The (99m)Tc-DTPA dynamic scintigraphy was
performed in the posterior view by injecting intravenously as a bolus 185-296MBq.
Dynamic imaging was performed immediately after the injection, using a high
resolution low-energy general purpose collimator and a large field of view dual
detector gamma-camera (Hawkeye; General Electric Medical Systems, USA). Matrix
was 64Chi64, the phase acquisition time of blood perfusion was 1s/frame and 30
frames were collected. Dynamic acquisition was 30s/frame and 39 frames were
collected. Total acquisition time was 20min. We defined as background two
regions of interest around the kidneys and the aorta, for radioactive decay
correction. We also compared (99m)Tc-DTPA renography HMT values with the HMT
values of (131)I-OIH, between the two kidneys, and between men and women. The
findings were evaluated by using frequency distribution analysis, paired Sample
Student's t-test and one sample t test, with a level of significance P<0.05. We
used the SPSS 10.0 statistical software. Since values beyond a high boundary were
regarded as unusual, we used the P(95), i.e. " 95% of HMT reference ranges value"
to determine the medical reference range of values, as the HMT normal limit.
This reference value is used especially when the data shows a skewed
distribution. For the HMT (P(95) value), the normal reference values found
between mean values of the left and the right kidney were: 10.76+/-4.14min and
10.89+/-4.55min, respectively and P=0.416, two tailed. For the left kidney HMT,
there was no significant difference between men: 10.90+/-4.31min and women:
10.33+/-3.57min, (t=1.235, v=432, P=0.2186, two tailed), and similar findings
were found between men's right kidney HMT: 11.02+/-4.89min and women's right
kidney HMT: 10.49+/-3.32min, (t=1.253, v=266.59, P=0.211, two tailed). By
comparing the mean value of (99m)Tc-DTPA renography HMT measured (10.76min,
10.89min) with the mean value of (131)I renography HMT that we found in the
literature as referring to both left and right kidneys (4min). We found a
significant difference (P=0.000, two tailed). Renography may be used to diagnose
urinary tract obstruction, estimate the split renal function and is useful.
PMID- 22087463
TI - Information about Macedonian medicine in ancient Greece.
AB - Ancient Greek Macedonians were highly interested in the improvement of their
physical and psychological health. At first, they worshiped the mythical god
Asclepius and his daughter Hygieia. In at least 24 places in northern Greece, in
Halkidiki, Thessaloniki, Kozani, Kavala, Thassos, Serres and other places,
archaelogical findings were related to Asclepius. Macedonian kings were also
interested in the development of medicine, for the sake of their fellow citizens
and their soldiers. Characteristic examples are the close relations of
Hippocrates with king Perdikas (5(th) century B.C.) and of Nicomachus
(Aristotle's father being a physician) with king Amintas. Alexander the Great had
as his personal physician, the famous physician Philippos of Acarnania. An
incident between Alexander and Philippos of Acarnania shows the respect of
Macedonian kings to their doctors: Alexander became ill after a bath in the
frozen river Cydnus (near ancient Tarsus). At this time he received a letter from
his general Parmenion for not to trust his physician. Alexander gave this letter
to Philippos to read it and while Philippos was reading it and was rather
frightened, he saw Alexander drinking the medicine he had given him. We may note
that Alexander the Great as a student of Aristotle had a general education about
medicine. Archaeological findings revealed two funerary monuments of physicians:
a doctor from Thasos, who practiced in Pella as a public physician during the 3rd
quarter of the 4(th) century B.C. and a physician named Alexander, who lived in
the 1rst half of the 5(th) century A.D. The tomb of a third physician, probably a
surgeon, excavated in Pydna, near mount Olympus (3(rd) century BC)also indicates
the importance of physicians in Macedonia. Archaeological findings, like surgical
knives, from the Hellinistic and Roman periods, found in the city of Veria, also
showed the respect of Ancient Greeks to medicine and to their physicians. An
example is the skeleton of a young woman with an anterior cranial hole found in
Veria. This trauma was attributed to a delicate surgical operation, perhaps
performed to alleviate endocranial pressure.
PMID- 22087464
TI - [Medical behavior (deontology) towards our students, our colleagues, our patients
and the society].
AB - In this paper we try to describe the importance of a dignified behavior of the
physician to his students, colleagues, patients and the society. We come to the
conclusion that even if the other party is not showing the best of behavior, the
physician has not only the responsibility and the duty but it is very much for
his own interest to show a dignified and useful behavior to others. This is the
main route for having a good reputation which will help him better exercise his
medical duties and offer him personal happiness. Jeremy Bentham, an English
judge and philosopher formed the Greek word deontology to mean correct behavior
stating that this behavior is morally useful and a source of pleasure. The codes
of Hammurabi, of the Indian Ayr Veda, of the Egyptians, the teaching of
Aristotle, Hippocrates and others also describe medical deontology.
PMID- 22087465
TI - [Is major depression in middle-aged men correlated with bone density decrease? A
study on Greek population].
AB - During the last 10 years there has been an increasing interest in studying the
coexistence of osteoporosis and depression in the male population, due to the
increased morbidity and mortality in the patients' life. The present
retrospective study evaluates the effect of major depression on the bone density
of Greek middle-aged men. By using the method of dual-energy X-rays
absorptiometry (DEXA) we reviewed the bone mineral density (BMD) studies
performed in the lumbar spine (LS) and/or femoral neck, in 97 men of the white
race, aged 55 years with mean age+/-standard deviation (SD)= 65+/-10.6 years, and
body mass index (BMI) 30.2+/-4. The patients were divided in two groups, based on
the coexistence (Group A) or absence of major depression (Group B). In group A
men, the incidence of osteoporosis was 34.8% and osteopenia 39.5%, while in group
B the corresponding percentages were 9.3% and 42.6%. Statistically significant
difference was observed in mean BMD between Group A (0.876+/-0.170) and Group B
(0.961+/-0.136) at the level of P=0.008, and also in mean T score (-1.72+/-1.57
versus -1.06+/-1.17) at the level of P value: 0.02 in both groups, while the
diagnosis of osteoporosis between the two groups was statistically significantly
different too (P=0.001. Pearson R: 0.3, P=0.004). Furthermore, the model of
multiple linear regression and ANOVA table revealed statistically significantly
depression of 0.252 and 0.575 units in mean BMD value and mean T score
respectively in man with major depression in comparison to a mentally healthy man
of the same age and BMI (regression coefficient b, P< 0.02). In conclusion, we
observed statistically significant difference in mean BMD and mean T score values
between major depression and mentally healthy men. Moreover, almost triple
relative risk for the development of osteoporosis was shown in men with
depression as compared to mentally healthy men (RR: 3.8, P=0.02). Cortisone
intake, hypertension and smoking were statistically independent factors for male
depression and BMD. The clarification of the underlying interactive mechanism
between major depression, sympathetic system activation, age and bone resorption
is important.
PMID- 22087466
TI - A portable total reflection X-ray fluorescence spectrometer with a diamond-like
carbon coated X-ray reflector.
AB - A diamond-like carbon (DLC) coated quartz glass sample holder is used in a
portable total reflection X-ray fluorescence (TXRF) spectrometer. A spot area of
a water sample on the DLC sample holder becomes smaller than that on a quartz
glass sample holder usually used in TXRF analysis because DLC is more
hydrophobic. Therefore, the use of the DLC sample holder enhances fluorescent X
rays reaching a detector compared with the use of a quartz glass sample holder,
leading to improvement in detection sensitivity. A detection limit of 28 pg is
achieved for Cr in a river water sample when using the DLC sample holder.
PMID- 22087467
TI - Gold patterned biochips for on-chip immuno-MALDI-TOF MS: SPR imaging coupled
multi-protein MS analysis.
AB - Matrix-assisted laser desorption/ionization time-of-flight mass spectrometry
(MALDI-TOF-MS) analysis of immuno-captured target protein efficiently complements
conventional immunoassays by offering rich molecular information such as protein
isoforms or modifications. Direct immobilization of antibodies on MALDI solid
support enables both target enrichment and MS analysis on the same plate,
allowing simplified and potentially multiplexing protein MS analysis. Reliable on
chip immuno-MALDI-TOF MS for multiple biomarkers requires successful adaptation
of antibody array biochips, which also must accommodate consistent reaction
conditions on antibody arrays during immuno-capture and MS analysis. Here we
developed a facile fabrication process of versatile antibody array biochips for
reliable on-chip MALDI-TOF-MS analysis of multiple immuno-captured proteins.
Hydrophilic gold arrays surrounded by super-hydrophobic surfaces were formed on a
gold patterned biochip via spontaneous chemical or protein layer deposition. From
antibody immobilization to MALDI matrix treatment, this hydrophilic/phobic
pattern allowed highly consistent surface reactions on each gold spot. Various
antibodies were immobilized on these gold spots both by covalent coupling or
protein G binding. Four different protein markers were successfully analyzed on
the present immuno-MALDI biochip from complex protein mixtures including serum
samples. Tryptic digests of captured PSA protein were also effectively detected
by on-chip MALDI-TOF-MS. Moreover, the present MALDI biochip can be directly
applied to the SPR imaging system, by which antibody and subsequent antigen
immobilization were successfully monitored.
PMID- 22087468
TI - Fabrication and performance of a microfluidic traveling-wave electrophoresis
system.
AB - A microfluidic traveling-wave electrophoresis (TWE) system is reported that uses
a locally defined traveling electric field wave within a microfluidic channel to
achieve band transport and separation. Low voltages, over a range of -0.5 to +0.5
V, are used to avoid electrolysis and other detrimental redox reactions while the
short distance between electrodes, ~25 MUm, provides high electric fields of ~200
V cm(-1). It is expected that the low voltage requirements will simplify the
future development of smaller portable devices. The TWE device uses four
interdigitated electrode arrays: one interdigitated electrode array pair is on
the top of the microchannel and the other interdigitated electrode array pair is
on the microchannel bottom. The top and bottom substrates are joined by a PDMS
spacer that has a nominal height of 15 MUm. A pinched injection scheme is used to
define a narrow sample band within an injection cross either electrokinetically
or hydrodynamically. Separation of two dyes, fluorescein and FLCA, with baseline
resolution is achieved in less than 3 min and separation of two proteins, insulin
and casein is demonstrated. Investigation of band broadening with fluorescein
reveals that sample band widths equivalent to the diffusion limit can be achieved
within the microfluidic channel, yielding highly efficient separations. This low
level of band broadening can be achieved with capillary electrophoresis, but is
not routinely observed in microchannel electrophoresis. Sample enrichment can be
achieved very easily with TWE using a device with converging electric field waves
controlled by two sets of independently controlled interdigitated electrodes
arrays positioned serially along the microchannel. Sample enrichment of 40-fold
is achieved without heterogeneous buffer/solvent systems, sorptive, or
permselective materials. While there is much room for improvement in device
fabrication, and many capabilities are yet to be demonstrated, it is anticipated
that the capabilities and performance demonstrated herein will enable new lab-on
a-chip processes and systems.
PMID- 22087469
TI - Porphyrin based porous organic polymers: novel synthetic strategy and
exceptionally high CO2 adsorption capacity.
AB - Iron containing porous organic polymers (Fe-POPs) have been synthesized by a
facile one-pot bottom-up approach to porphyrin chemistry by an extended aromatic
substitution reaction between pyrrole and aromatic dialdehydes in the presence of
small amount of Fe(III). The Fe-POPs possess very high BET surface area, large
micropores and showed excellent CO(2) capture (~19 wt%) at 273 K/1 bar.
PMID- 22087470
TI - Current and future directions in frailty research.
AB - The concept of frailty has been evolving dramatically for the past 30 years.
Through its evolution, a variety of single and multidimensional models have been
used to describe frailty. This article reviews the current literature related to
the defining dimensions of frailty and identifies the gaps in the literature
requiring additional research. A detailed literature review was performed to
identify key dimensions and models currently being used to define frailty,
classify interventions that have been developed to reverse frailty, and identify
potential areas for future research within this field. Despite the large body of
research defining the dimensions of frailty, no consensus exists on a
comprehensive, operational definition. A standardized definition will be critical
to design effective interventions at earlier stages along the continuum of
frailty and interpret findings from evaluation studies. Identified gaps in the
literature include studies supporting the utility of expanding the definition of
frailty to incorporate social determinants, studies evaluating the role of
obesity in the development of frailty, and the need for longitudinal studies for
defining the pathways to developing frailty. This review highlights the need for
an accurate definition of frailty and for longitudinal research to explore the
development of frailty and evaluate the effectiveness of the frailty reversal
interventions that may avert or delay adverse outcomes within this susceptible
population. These future research needs are discussed within the context of the
growing pressures to bring down health care costs, and the role of comparative
effectiveness research and cost-effectiveness research in identifying
interventions with the potential to help slow the growth of health care spending
among the elderly.
PMID- 22087471
TI - Plasmon coupling in silver nanocube dimers: resonance splitting induced by edge
rounding.
AB - Absolute extinction cross sections of individual silver nanocube dimers are
measured using spatial modulation spectroscopy in correlation with their
transmission electron microscopy images. For very small interparticle distances
and an incident light polarized along the dimer axis, we give evidence for a
clear splitting of the main dipolar surface plasmon resonance which is found to
be essentially induced by cube edge rounding effects. Supported by discrete
dipole approximation and finite element method calculations, this phenomenon
highlights the high sensitivity of the plasmonic coupling to the exact shape of
the effective capacitor formed by the facing surfaces of both particles,
especially in the regime of very close proximity.
PMID- 22087472
TI - Surface charge-specific cytotoxicity and cellular uptake of tri-block copolymer
nanoparticles.
AB - A series of monodisperse (45 +/- 5 nm) fluorescent nanoparticles from tri-block
copolymers (polymeric nanoparticles (PNPs)) bearing different surface charges
were synthesised and investigated for cytotoxicity in NR8383 and Caco-2 cells.
The positive PNPs were more cytotoxic and induced a higher intracellular reactive
oxygen species production than the neutral and negative ones. The cytotoxicity of
positive PNPs with quaternary ammonium groups decreased with increasing steric
bulk. The intracellular uptake and cellular interactions of these different PNPs
were also tested in NR8383 cells by confocal laser scanning microscopy, which
revealed higher uptake for positive than for negative PNPs. Also positive PNPs
were found to interact much more with cell membranes, whereas the negative PNPs
were internalised mainly by lysosomal endocytosis. Uptake of positive PNPs
decreased with increasing steric bulk around the positive charge. A surface
charge-specific interaction of clathrin for positive PNPs and caveolin receptors
for negative PNPs was observed. These findings confirm that surface charge is
important for the cytotoxicity of these PNPs, while they additionally point to
considerable additional effects of the steric shielding around positive charges
on PNP cytotoxicity.
PMID- 22087473
TI - Establishment and application of event-specific polymerase chain reaction methods
for two genetically modified soybean events, A2704-12 and A5547-127.
AB - For implementation of the issued regulations and labeling policies for
genetically modified organism (GMO) supervision, the polymerase chain reaction
(PCR) method has been widely used due to its high specificity and sensitivity. In
particular, use of the event-specific PCR method based on the flanking sequence
of transgenes has become the primary trend. In this study, both qualitative and
quantitative PCR methods were established on the basis of the 5' flanking
sequence of transgenic soybean A2704-12 and the 3' flanking sequence of
transgenic soybean A5547-127, respectively. In qualitative PCR assays, the limits
of detection (LODs) were 10 copies of haploid soybean genomic DNA for both A2704
12 and A5547-127. In quantitative real-time PCR assays, the LODs were 5 copies of
haploid soybean genomic DNA for both A2704-12 and A5547-127, and the limits of
quantification (LOQs) were 10 copies for both. Low bias and acceptable SD and RSD
values were also achieved in quantification of four blind samples using the
developed real-time PCR assays. In addition, the developed PCR assays for the two
transgenic soybean events were used for routine analysis of soybean samples
imported to Shanghai in a 6 month period from October 2010 to March 2011. A total
of 27 lots of soybean from the United States and Argentina were analyzed: 8 lots
from the Unites States were found to have the GM soybean A2704-12 event, and the
GM contents were <1.5% in all eight analyzed lots. On the contrary, no GM soybean
A5547-127 content was found in any of the eight lots. These results demonstrated
that the established event-specific qualitative and quantitative PCR methods
could be used effectively in routine identification and quantification of GM
soybeans A2704-12 and A5547-127 and their derived products.
PMID- 22087475
TI - Proteomic and transcriptomic analyses of rigid and membranous cuticles and
epidermis from the elytra and hindwings of the red flour beetle, Tribolium
castaneum.
AB - The insect cuticle is a composite biomaterial made up primarily of chitin and
proteins. The physical properties of the cuticle can vary greatly from hard and
rigid to soft and flexible. Understanding how different cuticle types are
assembled can aid in the development of novel biomimetic materials for use in
medicine and technology. Toward this goal, we have taken a combined proteomics
and transcriptomics approach with the red flour beetle, Tribolium castaneum, to
examine the protein and gene expression profiles of the elytra and hindwings,
appendages that contain rigid and soft cuticles, respectively. Two-dimensional
gel electrophoresis analysis revealed distinct differences in the protein
profiles between elytra and hindwings, with four highly abundant proteins
dominating the elytral cuticle extract. MALDI/TOF mass spectrometry identified 19
proteins homologous to known or hypothesized cuticular proteins (CPs), including
a novel low complexity protein enriched in charged residues. Microarray analysis
identified 372 genes with a 10-fold or greater difference in transcript levels
between elytra and hindwings. CP genes with higher expression in the elytra
belonged to the Rebers and Riddiford family (CPR) type 2, or cuticular proteins
of low complexity (CPLC) enriched in glycine or proline. In contrast, a majority
of the CP genes with higher expression in hindwings were classified as CPR type
1, cuticular proteins analogous to peritrophins (CPAP), or members of the Tweedle
family. This research shows that the elyra and hindwings, representatives of
rigid and soft cuticles, have different protein and gene expression profiles for
structural proteins that may influence the mechanical properties of these
cuticles.
PMID- 22087476
TI - Preface.
PMID- 22087474
TI - C-terminal tetrapeptides inhibit Abeta42-induced neurotoxicity primarily through
specific interaction at the N-terminus of Abeta42.
AB - Inhibition of amyloid beta-protein (Abeta)-induced toxicity is a promising
therapeutic strategy for Alzheimer's disease (AD). Previously, we reported that
the C-terminal tetrapeptide Abeta(39-42) is a potent inhibitor of neurotoxicity
caused by Abeta42, the form of Abeta most closely associated with AD. Here,
initial structure-activity relationship studies identified key structural
requirements, including chirality, side-chain structure, and a free N-terminus,
which control Abeta(39-42) inhibitory activity. To elucidate the binding site(s)
of Abeta(39-42) on Abeta42, we used intrinsic tyrosine (Y) fluorescence and
solution-state NMR. The data suggest that Abeta(39-42) binds at several sites, of
which the predominant one is located in the N-terminus of Abeta42, in agreement
with recent modeling predictions. Thus, despite the small size of Abeta(39-42)
and the hydrophobic, aliphatic nature of all four side-chains, the interaction of
Abeta(39-42) with Abeta42 is controlled by specific intermolecular contacts
requiring a combination of hydrophobic and electrostatic interactions and a
particular stereochemistry.
PMID- 22087477
TI - Mechanism from isotope effects.
AB - Abstract Isotope effects represent perhaps one of the most versatile tools
available to investigators interested in the determination of reaction mechanism,
particularly in the case of the mechanistic enzymologist. Interpretation of
isotope effect data is somewhat more difficult for enzyme reactions, since the
chemical or isotope-dependent step(s) is(are) normally not solely rate-limiting
as they are for non-enzyme-catalyzed reactions. One can, however, take advantage
of rate-limitation by multiple steps in an enzyme-catalyzed reaction to obtain
information on a number of aspects of mechanism. In this paper, simple theory for
the application of isotope effects to reaction mechanism is developed, and
applied to organic reactions and those catalyzed by enzymes. Techniques used to
measure isotope effects depend somewhat on the isotope used, that is radioisotope
vs. stable isotope, or hydrogen isotope vs. heavier atoms. Techniques to be
discussed include competitive and noncompetitive (or internal discrimination)
measurements. In enzymecatalyzed reactions, information can be obtained on the
order of addition of reactants and release of products, and this will be
illustrated using the 6-phosphogluconate and alcohol dehydrogenase reactions. The
use of multiple isotope effects can be used to distinguish between stepwise and
concerted reactions, and this will be illustrated with the formate and glucose 6
phosphate dehydrogenase and malic enzyme reactions.
PMID- 22087478
TI - Metabolite Pools and Metabolic Branching as Factors of in-vivo Isotope
Discriminations by Kinetic Isotope Effects.
AB - Abstract Inter- and intra-molecular non-statistical isotope distributions do
not only require the existence of a kinetic isotope effect on a defined enzyme
catalyzed reaction, but also the prerequisite that this reaction is located at a
metabolic branching point. Furthermore a metabolic and isotopic balance demand
that the extent of the isotopic shift is reciprocal to the products' yields. On
this base the (13)C-enrichment of L-ascorbic acid in position C-1 and the
depletion of glycerol in C-1 are interpreted. The (13)C-pattern of natural malic
acid is discussed as a consequence of isotope effects on the carboxylation of
pyruvate and PEP and on the pyruvate dehydrogenase reaction. The patterns of
natural products synthezised by transfer of "active acetaldehyde" is proposed to
be due to an isotope effect on the thiamine pyrophosphate containing lyase
reaction. An isotope effect on the reduction of "active formaldehyde" to "active
methyl" and the existence of corresponding pools is responsible for (13)C
enrichments and depletions of natural products in positions bearing these
intermediates. Finally a model for the main nitrogen pools and for isotope
discriminations between alpha-amino, omega-amino-N and amide pools in plants is
proposed.
PMID- 22087479
TI - Isotope abundance ratios of sr in wine provenance determinations, in a tree-root
activity study, and of pb in a pollution study on tree-rings.
AB - Abstract In this contribution, the various and fundamentally different uses and
applications of isotope signatures (of both heavy and light elements) are
discussed. Examples are given for the successful use of (87)Sr/(86)Sr in
uncovering fraud in wine trade. Also, in an experiment related to "Waldsterben",
(87)Sr/(86)Sr analyses reveal rather unexpected responses of spruces and maple
trees to mechanical damage of their roots. In another study, from (206)Pb/(207)Pb
(and (208)Pb/(207)Pb) analyses of tree growth-rings it is demonstrated that they
do not accurately record lead burdens in the environment. This is contrary to
current views on the subject of tree-rings as reliable banks of past heavy metal
pollutions of the biosphere. Furthermore, new perspectives of applications of
isotopes in biological tissues, including those of cosmogenic and nucleogenic
origin will be shortly outlined.
PMID- 22087480
TI - The Effect of Diet Quality on delta(13)C and delta(15)N in the Tissues of
Locusts, Locusta migratoria L.
AB - Abstract Locust nymphs were raised from hatching to adult locusts on either
seedling wheat (C(3)) or maize (C(4)), to determine whether relative
enrichments/depletions of (15)N and (13)C within body tissues are influenced by
diet. The maize contained less hexose sugars and protein per gram than wheat.
The isotopic spacing between the food and the whole insect was found to differ
between the two diets. The lower quality maize diet showed an overall +5.10/00
enrichment in delta(15)N compared to + 2.80/00 for wheat, possibly due to
increased fractionation due to protein recycling. The maize diet resulted in
increased depletion in lipid and trehalose and depletion in chitin relative to
diet. The results for both delta(15)N and delta(13)C suggest that substrate
recycling was occurring on the low quality maize diet. Therefore diet quality
determines the enrichment/depletion in delta(15)N and delta(13)C within
organisms.
PMID- 22087482
TI - Sulfur isotopic composition of mangroves.
AB - Abstract Sulfur isotope ratios of mangrove leaves of 19 species were compared
to discuss the species-specific characteristics of sulfur uptake and
assimilation. The members of Rhizophora and Bruguiera always show remarkable
enrichments of the light isotope, giving negative delta(34)S values in most
cases. The elaborated root systems of such species seem to be closely related to
their sulfur absorbing systems as an adaptation to their anaerobic soil
conditions.
PMID- 22087483
TI - Dinitrogen fixation of microbe-plant associations as affected by nitrate and
ammonium supply.
AB - Abstract The dinitrogen fixation activity of Azospirillum sp., and Pantoea
agglomerans strains was determined by (15)N(2) incorporation after incubation
with (15)N(2) labeled air or/and by acetylene reduction. These bacterial strains
were able to fix N(2) both in pure culture and in association with wheat plants
in hydroponics. Nitrogenase activity of Azospirillum sp., in pure culture was
more rapidly inhibited by the addition of NH(4) (+) than NO(3) (-). The N(2)
fixation of P. agglomerans decreased only by NH(4) (+) -addition, but was
stimulated by NO(3) (-). Nitrogen fixation in association with wheat plants
remained unaffected by both N compounds. However, nitrogen derived from the
atmosphere (N(dfa)) contributed only very little to the overall nitrogen
nutrition of the plants.
PMID- 22087484
TI - Determination of (13)C/(12)C-Ratios in Rumen Produced Methane and CO(2) of Cows,
Sheep and Camels.
AB - Abstract Naturally produced methane shows different delta(13)C-values with
respect to its origin, e.g., geological or biological. Methane-production of
ruminants is considered to be the dominant source from the animal kingdom.
Isotopic values of rumen methane-given in literature-range between -800/00 and
500/00 and are related to feed composition and also sampling techniques. Keeping
cows, camels and sheep under identical feed conditions and sampling rumen gases
via implanted fistulae we compared delta(PDB) (13)C-values of methane and CO(2)
between the species. Referring to mean values obtained from 4 or 5 samples at
different times of 11 animals (n = 47) we calculated delta(PDB) (13)C-medians
resulting in small but not significant differences within and significant
differences between the species for CO(2) and methane. The delta(PDB) (13)C
differences between methane and CO(2) were statistically equal within and also
between the species. Therefore a linear regression of methane values on CO(2) is
appropriate and leads to: delta(PDB) (13)C(methane)0/00 = 1,57 * delta(PDB)
(13)C(CO(2))0/00-470/00 with a correlation coefficient of r = 0,87.
PMID- 22087485
TI - Wild Rabbit Host and Some Parasites Show Trophic-Level Relationships for
delta(13)C and delta(15)N: A First Report.
AB - Abstract We report the first isotopic study of an animal host-parasite system.
Parasitic, intestinal nematodes, Graphidium strigosum and Passalurus ambiguus,
were (15)N-enriched relative to their host, the European rabbit Oryctolagus
cuniculus, while parasitic cestodes, Cittataenia denticulata and Mosgovoyia
pectinata, were (15)N-depleted, suggesting different trophic relationships. Host
embryos were more similar in their delta(13)C and delta(15)N values to maternal
muscle than were any of the parasites. Coprophagy, the direct recycling of food
by the rabbit eating its own faeces, did not lead to isotopic differences between
stomach contents and faeces, suggesting that the major point for isotopic
discrimination in lagomorph nitrogen metabolism is in the animal rather than in
the gut. We conclude that bulk delta(13)C and delta(15)N can reveal valuable new
information about host-parasite relationships, and these could be explored
further at the biochemical level using compound-specific isotopic analyses.
PMID- 22087486
TI - Amino Acid (15)n/(14)n analysis at natural abundances: a new tool for soil
organic matter studies in agricultural systems.
AB - Abstract The effects of landuse, fertilizer history and soil type on the
quantity and isotopic quality of hydrolysable soil amino acids were examined in 3
grassland and 2 arable soils. Results showed, (i) that overall concentrations of
individual amino acids were highest in the grassland soils, (ii) that
0/00delta(15)N values of the individual amino acids differed considerably between
the five soils, and (iii) that the combination of amino acid 0/00delta(15)N
values and concentrations could be used to distinguish between landuse, crop type
and fertilizer history. This preliminary study indicates that the pathways of
transformation of soil amino acid N are influenced by long term N inputs and that
associated biological processes are reflected in differences in concentrations
and 0/00delta(15)N values of individual soil amino acids.
PMID- 22087488
TI - Estimation of urea production rate with [(15)n(2)]urea and [(13)c]urea to measure
catabolic rates in diabetes mellitus.
AB - Abstract For verifying catabolic states in insulin-dependent patients and dogs
the method estimating urea production rates with (13)C and with doubly (15)N
labeled urea, respectively, has been established. For a fast steady state of urea
tracer dilution, a prime of 600 times the continuous infusion rate had to be
injected. Urea was isolated from plasma samples by protein precipitation and
cation exchange chromatography with a consecutive derivatization of the dried
urea fraction (trimethylsilyl derivatives). The masses of the fragment ions m/z
189 ((14)N(14)N), 190 ((14)N(15)N) and 191 ((15)N(15)N) urea are monitored to
estimate the [(15)N(2)]urea frequency in the overall body urea pool in mol
percent excess (MPE). 1 to 15 ng of derivatized urea were measured efficiently.
An excellent correlation between expected standard and measured MPE (r = 0.9977)
was achieved from solutions containing 1 to 7% [(15)N(2)]urea. The interassay
coefficient of variation amounted to < 10% for a [(15)N(2)]urea portion of >= 3%.
Normoglycemic diabetic patients who were treated with insulin overnight showed
significantly higher urea production compared to healthy controls (9.22 +/- 2.07
vs. 5.4 +/- 0.32 MUmol.kg(-1) . min(-1); p < 0.05). Measurements in chronic
diabetic dogs proved an increased rate of amino acid catabolism (+ 20% urea
production) in systemic versus portal application of insulin in paired studies.
This increased nitrogen load in diabetics may accelerate progression of diabetic
nephropathy. - Thus, the established stable isotope technique may serve as a
sensitive and useful indicator of amino acid catabolism in clinical and
experimental research.
PMID- 22087489
TI - Labelling studies for structure elucidation of a new hydroxymetabolite of
tramadol.
AB - Abstract Tramadol, racemic 1-(3-methoxyphenyl)-2
(dimethylaminomethyl)cyclohexane-1-ol, is an effective analgesic drug.
Metabolites of tramadol described so far originate from O- and N-demethylation
and are excreted in urine directly or after conjugation. A further metabolite was
found in human liver microsome incubations and in the urine of volunteers after
ingestion of tramadol. To elucidate the structure of the new metabolite, seven
deuterated isotopomers of tramadol have been synthesized and ingested by
volunteers. The mass spectra of the metabolites derived showed (i) that it was a
hydroxy metabolite, (ii) that the hydroxy group was not located on the aromatic
ring, the side chain, or the positions 2 and 6 of the cyclohexane ring, (iii)
that the hydroxy-group was introduced to one of the the positions 3, 4 or 5 of
the cyclohexane ring. The hydroxy metabolite was formed preferentially from the (
)-enantiomer, (1S,2S)-tramadol.
PMID- 22087490
TI - Whole body protein metabolism estimated by (15)n tracer experiments and body
composition of mice selected for different growth parameters.
AB - Abstract Whole body protein synthesis was investigated in growing male mice
which were long-time selected for high carcass protein amount (DU-6P, protein
line) or for high body weight (DU-6, growth line) and in the unselected randomly
bred control (DU-Ks). Six mice/line were housed singly in metabolic cages for the
estimation of N balance, whole body protein synthesis (end-product method, single
dose of (15)N-labelled amino-acid mixture), and N distribution in the body.
Another six mice/line were used for the determination of the body composition.
All mice had free access to a commercial stock diet (crude protein 268 g, gross
energy 19 MJ/kg dry matter) and to water. Body weight of both selection lines was
about twice that of control mice at the same age. Selection for high body weight
resulted in higher body fat content. Scaled to the corresponding body protein
pools, the protein synthesis rates of selected mice were significantly higher
than in controls, but were not significantly different between both selection
lines in contrast to the protein deposition rates. The higher protein accretion
in the protein line in comparison to the growth line seems to be due to a
combination of a lower protein breakdown and an increased protein synthesis rate.
PMID- 22087491
TI - Significance of diagnostic parameters in [(13)c]octanoic Acid gastric emptying
breath tests*.
AB - Abstract Two novel characteristic parameters, the latency time (t (lat)) and
the ascension time (t (asc)), are proposed for evaluation of non-invasive
[(13)C]octanoic acid breath tests for assessment of the gastric emptying of
solids. In breath tests performed in control subjects (n = 30) and diabetic
patients (n = 100), the usefulness of these parameters was compared to
conventional parameters, i.e., gastric half emptying-time t (1/2,b )) and lag
phase (t (lag,b )). The proposed parameters were only loosely correlated
(controls, r = 0.199; diabetics, 0.616). A strong correlation was found between
the conventional parameters (controls, r = 0.891; diabetics, r = 0.962). Based on
the conventional method, 36 patients were suspicious of delayed gastric emptying
including 24 patients which exhibited a simultaneous delay in both parameters.
Using the new parameters, a total of 46 patients were suspicious of delayed
gastric emptying with 15 and 20 having isolated delay in t (lat) and t (asc),
respectively. We conclude that the novel parameters may be more appropriate for
examination of the different phases of gastric emptying and for evaluation of
gastric emptying disturbances in diabetic patients than the parameters
conventionally used for this purpose.
PMID- 22087493
TI - Saisonale Variation von Deuterium und Sauerstoff-18 in Luftfeuchte und
Niederschlag uber Antarktika.
AB - Abstract D and (18)O distributions were investigated in Antarctic precipitation
(falling snow) and in water vapour to study their dependance on season and
sampling site. Long-term sampling at the former German Georg Forster Station
during 1978-93 and at the Japanese Syowa Station during 1994-97 allow conclusions
about the present seasonal isotopic variations in the water inflow to Antarctica.
The deltaD and delta(18)O values of precipitation at these East Antarctic coastal
stations were compared with corresponding data from the West Antarctic Georg von
Neumayer and Halley stations. The monthly means of these long-term data sets show
typical hysteresis-like seasonal patterns of isotopic composition. Significant
time lags exist regarding station temperatures, while water vapour deltaD values
do not show such hysteresis patterns. Here, half-yearly and even quarter-yearly
time components were found by Fourier analysis. Attempts were made to describe
the variation in deltaD and delta(18)O values of water vapour and precipitation
as well as in the resulting deuterium excess by the mixed cloud isotopic model
(MCIM) of Ciais and Jouzel.
PMID- 22087494
TI - Isotope-geochemical and mineralogical-petrographic characteristics of the
pergamon altar marble.
AB - Abstract We report preliminary results of our provenance study of marble from
the Telephos Frieze of the Pergamon altar. The emphasis here is on the stable
isotope geochemistry of marble. The obtained delta(13)C values (2.4 to 3.50/00)
vary insignificantly. However, the delta(18)O values give two clusters. The
isotopically light marbles (close to -9.50/00) derive from panels 1-8 and the
heavy marbles (-3.5 to -1.00/00) derive from panels 11-50. Mineralogical,
petrographic and geochemical investigations (accessory minerals, grain size
distribution, rare earth elements) further refined the marble characteristics. In
spite of certain differences observed (grain size distributions, isotopy and
contents of certain elements) for the two marble groups, the present data support
a common provenance. So far, east Aegean islands and the Marmara region are
favored.
PMID- 22087495
TI - Methane-derived carbonates in a native sulfur deposit: stable isotope and trace
element discriminations related to the transformation of aragonite to calcite.
AB - Abstract Stable isotope ((13)C, (18)O, (34)S) and trace element (Sr(2+),
Mg(2+), Mn(2+), Ba(2+), Na(+)) investigations of elemental sulfur, primary
calcites and mixtures of aragonite with secondary, post-aragonitic calcite from
sulfur-bearing limestones have provided new insights into the geochemistry of the
mineral forming environment of the native sulfur deposit at Machow (SE-Poland).
The carbon isotopic composition of carbonates (delta(13)C = -41 to -470/00 vs.
PDB) associated with native sulfur (delta(34)S = + 10 to + 150/00 vs. V-CDT)
relates their formation to the microbiological anaerobic oxidation of methane and
the reduction of sulfate derived from Miocene gypsum. From a comparison with
experimentally derived fractionation factors the element ratios of the aqueous
fluids responsible for carbonate formation are estimated. In agreement with field
and laboratory observations, ratios near seawater composition are obtained for
primary aragonite, whereas the fluids were relatively enriched in dissolved
calcium during the formation of primary and secondary calcites. Based on the
oxygen isotope composition of the carbonates (delta(18)O = -3.9 to -5.90/00 vs.
PDB) and a secondary SrSO(4) (delta(18)O = + 200/00 vs. SMOW; delta(34)S = +
590/00 vs. V-CDT), maximum formation temperatures of 35 degrees C (carbonates)
and 47 degrees C (celestite) are obtained, in agreement with estimates for West
Ukraine sulfur ores. The sulfur isotopic composition of elemental sulfur
associated with carbonates points to intense microbial reduction of sulfate
derived from Miocene gypsum (delta(34)S ~ + 230/00) prior to the re-oxidation of
dissolved reduced sulfur species.
PMID- 22087496
TI - Variations of delta(15)N-Values and Hydrolyzable Amino Acids in Settling
Particles in the Ocean.
AB - Abstract The modification of nitrogen isotopic signals during particle
sedimentation in the sea is of great interest for the use of sedimentary
delta(15)N-values as a paleoceanographic tool. The effect of organic matter
degradation on such modification was studied by analyzing nitrogen, hydrolyzable
amino acids (THAA) and delta(15)N-values in a suit of marine settling particles
collected from the Bay of Bengal, Indian Ocean, by using time-series sediment
traps, and in underlying sediments. The flux of settling particles showed
temporal variations which are related to the monsoons, the major climatic feature
of this marine region. During high flux periods settling particles are enriched
in nitrogenous material that is less degraded and exhibit higher delta(15)N
values than particles showing characteristics of degradation. At the sediment
surface more than 95% of the settling particulate nitrogen is lost and the
delta(15)N-values of the residual sedimentary nitrogen are higher than those of
settling particles. The observed increase is interpreted to be due to
fractionation during degradation of organic matter.
PMID- 22087501
TI - Editorial board page for "Isotopes in Environmental and Health Studies", Volume
33, Number 1-2.
AB - Abstract This is a scanned image of the original Editorial Board page(s) for
this issue.
PMID- 22087498
TI - Determination of (13)CO(2)/(12)CO(2) Ratio by IRMS and NDIRS.
AB - Abstract Breath tests using (13)C-labelled substrates require the measurement
of (13)CO(2)/(12)CO(2) ratio in breath gas samples. Next to isotope ratio mass
spectrometry (IRMS), which is very sensitive but also complex and expensive,
alternatively isotope selective nondispersive infrared spectrometry (NDIRS) can
be used to determine the (13)CO(2)/(12)CO(2) ratio in expired breath. In this
study we compared NDIRS- with IRMS-results to investigate whether the less
expensive and very simply to operate NDIRS works as reliable as IRMS. For this
purpose we applicated 1-(13)C-Phenylalanine to patients with advanced liver
cirrhosis and healthy volunteers and took duplicated breath samples for IRMS and
NDIRS at selected time points. Our data show a good correlation between these two
methods for a small number of samples as required for simple breath tests. Longer
series, where repeated measurements are required on the NDIRS instrument lead to
a decreasing correlation. This indicates the superiority of IRMS concerning
(13)CO(2)-kinetics over longer time periods.
PMID- 22087502
TI - Relating rates of catalyst sintering to the disappearance of individual
nanoparticles during Ostwald ripening.
AB - Sintering of nanoparticles (NPs) of Ni supported on MgAl(2)O(4) was monitored in
situ using transmission electron microscopy (TEM) during exposure to an equimolar
mixture of H(2) and H(2)O at a pressure of 3.6 mbar at 750 degrees C, conditions
relevant to methane steam reforming. The TEM images revealed an increase in the
mean particle size due to disappearance of smaller, immobile NPs and the
resultant growth of the larger NPs. A new approach for predicting the long-term
sintering of NPs is presented wherein microscopic observations of the ripening of
individual NPs (over a span of a few seconds) are used to extract energetic
parameters that allow a description of the collective behavior of the entire
population of NPs (over several tens of minutes).
PMID- 22087503
TI - Use of non-parametric item response theory to develop a shortened version of the
Positive and Negative Syndrome Scale (PANSS).
AB - BACKGROUND: Nonparametric item response theory (IRT) was used to examine (a) the
performance of the 30 Positive and Negative Syndrome Scale (PANSS) items and
their options ((levels of severity), (b) the effectiveness of various subscales
to discriminate among differences in symptom severity, and (c) the development of
an abbreviated PANSS (Mini-PANSS) based on IRT and a method to link scores to the
original PANSS. METHODS: Baseline PANSS scores from 7,187 patients with
Schizophrenia or Schizoaffective disorder who were enrolled between 1995 and 2005
in psychopharmacology trials were obtained. Option characteristic curves (OCCs)
and Item Characteristic Curves (ICCs) were constructed to examine the probability
of rating each of seven options within each of 30 PANSS items as a function of
subscale severity, and summed-score linking was applied to items selected for the
Mini-PANSS. RESULTS: The majority of items forming the Positive and Negative
subscales (i.e. 19 items) performed very well and discriminate better along
symptom severity compared to the General Psychopathology subscale. Six of the
seven Positive Symptom items, six of the seven Negative Symptom items, and seven
out of the 16 General Psychopathology items were retained for inclusion in the
Mini-PANSS. Summed score linking and linear interpolation was able to produce a
translation table for comparing total subscale scores of the Mini-PANSS to total
subscale scores on the original PANSS. Results show scores on the subscales of
the Mini-PANSS can be linked to scores on the original PANSS subscales, with very
little bias. CONCLUSIONS: The study demonstrated the utility of non-parametric
IRT in examining the item properties of the PANSS and to allow selection of items
for an abbreviated PANSS scale. The comparisons between the 30-item PANSS and the
Mini-PANSS revealed that the shorter version is comparable to the 30-item PANSS,
but when applying IRT, the Mini-PANSS is also a good indicator of illness
severity.
PMID- 22087504
TI - Vascular measures to detect earlier macrovascular disease.
PMID- 22087505
TI - Nonsingular adsorption/desorption of chlorpyrifos in soils and sediments:
experimental results and modeling.
AB - At environmentally relevant concentrations in soils and sediments, chlorpyrifos,
a hydrophobic organic insecticide, showed strong adsorption that correlated
significantly with organic matter content. Chlorpyrifos desorption followed a
nonsingular falling desorption isotherm that was estimated using a memory
dependent mathematical model. Desorption of chlorpyrifos was biphasic in nature,
with a labile and nonlabile component. The labile component comprised 18-28% of
the original solid-phase concentration, and the residue was predicted to slowly
partition to the aqueous phase, implying long-term desorption from contaminated
soils or sediments. The newly proposed mechanism to explain sorption/desorption
hysteresis and biphasic desorption is the unfavorable thermodynamic energy
landscape arising from limitation of diffusivity of water molecules through the
strongly hydrophobic domain of soils and sediments. Modeling results suggest that
contaminated soils and sediments could be secondary long-term sources of
pollution. Long-term desorption may explain the detection of chlorpyrifos and
other hydrophobic organic compounds in aquatic systems far from application
sites, an observation that contradicts conventional transport predictions.
PMID- 22087506
TI - Combining indoor residual spraying with chlorfenapyr and long-lasting
insecticidal bed nets for improved control of pyrethroid-resistant Anopheles
gambiae: an experimental hut trial in Benin.
AB - BACKGROUND: Neither indoor residual spraying (IRS) nor long-lasting insecticidal
nets (LLINs) are able to fully interrupt transmission in holoendemic Africa as
single interventions. The combining of IRS and LLINs presents an opportunity for
improved control and management of pyrethroid resistance through the simultaneous
presentation of unrelated insecticides. METHOD: Chlorfenapyr IRS and a pyrethroid
impregnated polyester LLIN (WHO approved) were tested separately and together in
experimental huts in southern Benin against pyrethroid resistant Anopheles
gambiae and Culex quinquefasciatus. The bed nets were deliberately holed with
either six or 80 holes to examine the effect of increasing wear and tear on
protectiveness. Anopheles gambiae were genotyped for the kdr gene to assess the
combination's potential to prevent the selection of pyrethroid resistance.
RESULTS: The frequency of kdr was 84%. The overall mortality rates of An. gambiae
were 37% and 49% with the six-hole and 80-hole LLINs, respectively, and reached
57% with chlorfenapyr IRS. Overall mortality rates were significantly higher with
the combination treatments (82-83%) than with the LLIN or IRS individual
treatments. Blood feeding (mosquito biting) rates were lowest with the 6-hole
LLIN (12%), intermediate with the 80-hole LLIN (32%) and highest with untreated
nets (56% with the 6-hole and 54% with the 80-hole nets). Blood feeding (biting)
rates and repellency of mosquitoes with the combination of LLIN and chlorfenapyr
IRS showed significant improvement compared to the IRS treatment but did not
differ from the LLIN treatments indicating that the LLINs were the primary agents
of personal protection. The combination killed significantly higher proportions
of Cx. quinquefasciatus (51%, 41%) than the LLIN (15%, 13%) or IRS (32%)
treatments. CONCLUSION: The chlorfenapyr IRS component was largely responsible
for controlling pyrethroid-resistant mosquitoes and the LLIN component was
largely responsible for blood feeding inhibition and personal protection.
Together, the combination shows potential to provide additional levels of
transmission control and personal protection against pyrethroid-resistant
mosquitoes, thereby justifying the additional resources required. Chlorfenapyr
has potential to manage pyrethroid resistance in the context of an expanding
LLIN/IRS strategy.
PMID- 22087507
TI - Miscibility behavior and nanostructure of monolayers of the main phospholipids of
Escherichia coli inner membrane.
AB - We report a thermodynamic study of the effect of calcium on the mixing properties
at the air-water interface of two phospholipids that mimic the inner membrane of
Escherichia coli: 1-palmitoyl-2-oleoyl-sn-glycero-3-phosphoethanolamine and 1
palmitoyl-2-oleoyl-sn-glycero-3-phosphoglycerol. In this study, pure POPE and
POPG monolayers and three mixed monolayers, chi(POPE) = 0.25, 0.5, and 0.75, were
analyzed. We show that for chi(POPE) = 0.75, the values of the Gibbs energy of
mixing were negative, which implies attractive interactions. We used atomic force
microscopy to study the structural properties of Langmuir-Blodgett monolayers
that were transferred onto mica substrate at lateral surface pressures of 25 and
30 mN m(-1). The topographic images of pure POPE and POPG monolayers exhibited
two domains of differing size and morphology, showing a step height difference
within the range expected for liquid-condensed and liquid-expanded phases. The
images captured for chi(POPE) = 0.25 were featureless, and for chi(POPE) = 0.5
small microdomains were observed. The composition that mimics quantitatively the
proportions found in the inner membrane of E. coli , chi(POPE) = 0.75, showed
large liquid condensed domains in the liquid expanded phase. The extension of
each domain was quantitatively analyzed. Because calcium is used in the formation
of supported bilayers of negatively charged phospholipids, the possible influence
of the nanostructure of the apical on the distal monolayer is discussed.
PMID- 22087508
TI - The National Agricultural Laboratories of Brazil and the control of residues and
contaminants in food.
AB - The laboratory activity of the Ministry of Agriculture, Livestock and Food Supply
in Brazil has a history that is richer than most people are aware of. The
institutions that today are known as National Agricultural Laboratory - Lanagros
were once a smaller initiative that suffered ups and downs throughout the
decades. The recognition that the Lanagros have today - as reference centres with
open communication channels with some of the world's greater laboratories in
residue and contaminants in food analyses - is the fruit of several years of hard
work, good ideas and a strong will never to let down society. Today the Lanagros
act not only by performing analyses for the monitoring and investigation
programmes, but also in the research and development of analytical methods,
providing technical advice on the elaboration of guidelines and normatives,
international negotiation and the evaluation of other laboratories. The Lanagros
work in an ISO 17025 environment, and they are now being directed and prepared to
be able to respond to outbreaks and crises related to the presence of residues
and contaminants in food, with the readiness, quickness and reliability that an
emergency requires. Investments are allocated strategically and have been giving
concrete results, all to the benefit of consumers.
PMID- 22087509
TI - Mollenyne A, a long-chain chlorodibromohydrin amide from the sponge Spirastrella
mollis.
AB - The structure of mollenyne A, a cytotoxic nitrogenous halogenated long-chain
carboxamide from the sponge Spirastrella mollis, was elucidated by integrated
spectroscopic analysis, including CD, and chemical conversion.
PMID- 22087510
TI - Francophones living with HIV/AIDS in Ontario: the unknown reality of an invisible
cultural minority.
AB - A strong body of evidence demonstrates that education, prevention and
intervention, in the context of HIV/AIDS, should take ethno-racial diversity into
account. The current research focuses on the cultural sensitivity manifested by
AIDS service organisation ASO professionals towards Francophone minority persons
living with HIV/AIDS Francophone PHAs in the two main urban centres of Ontario:
Ottawa and Toronto. More specifically, this qualitative research will describe
two different points of view: Francophone PHA service users n=17 and ASO
professionals n=12. Data were collected from multiple focus groups and analysed
using a phenomenological methodology. The analysis revealed that an important
difference exists in the perceptions of language as an integral part of ethno
racial diversity. For ASO professionals, language is perceived as a simple tool
of communication. For Francophones living with HIV/AIDS, however, language is
perceived as a way to convey sensitivity to their cultural reality and a full
recognition of their Canadian citizenship. This research showed that cultural
sensitivity should include a linguistic aspect when it comes to health-related
services, especially in the context of an officially bilingual country.
PMID- 22087511
TI - Coincidence of remission of postpartum Graves' disease and use of omega-3 fatty
acid supplements.
AB - I developed Graves' Disease four months postpartum. After one year on
propylthiouracil, I learned that omega-3 fatty acids may reduce inflammation
associated with certain autoimmune disorders, although no investigations for
thyroiditis have been reported. Within eight weeks of beginning flaxseed oil
supplements, TSH levels normalized, but fell somewhat when flaxseed was decreased
and PTU discontinued. During another pregnancy, plasma TSH normalized, but was
again suppressed by four weeks postpartum, then undetectable by four months. This
time, flaxseed supplementation alone coincided with TSH normalization. Omega-3
fatty acids should be investigated as a potential treatment for autoimmune
thyroid disease.
PMID- 22087512
TI - Exploring the role of social capital in supporting a regional medical education
campus.
AB - INTRODUCTION: To help address physician shortages in the underserved community of
Prince George, Canada, the University of British Columbia (UBC) and various
partners created the Northern Medical Program (NMP), a regional distributed site
of UBC's medical doctor undergraduate program. Early research on the impacts of
the NMP revealed a high degree of social connectedness. The objective of the
present study was to explore the role of social capital in supporting the
regional training site and the benefits accrued to a broad range of stakeholders
and network partners. METHODS: In this qualitative study, 23 semi-structured
interviews were conducted with community leaders in 2007. A descriptive content
analysis based on analytic induction technique was employed. Carpiano's Bourdieu
based framework of 'neighbourhood' social capital was adapted to empirically
describe how social capital was produced and mobilized within and among networks
during the planning and implementation of the NMP. RESULTS: Results from this
study reveal that the operation of social capital and the related concept of
social cohesion are multifaceted, and that benefits extend in many directions,
resulting in somewhat unanticipated benefits for other key stakeholders and
network partners of this medical education program. Participants described four
aspects of social capital: (i) social cohesion; (ii) social capital resources;
(iii) access to social capital; and (iv) outcomes of social capital. CONCLUSIONS:
The findings of this study suggest that the partnerships and networks formed in
the NMP planning and implementation phases were the foundation for social capital
mobilization. The use of Carpiano's spatially-bounded model of social capital was
useful in this context because it permitted the characterization of relations and
networks of a tight-knit community body. The students, faculty and administrators
of the NMP have benefitted greatly from access to the social capital mobilized to
make the NMP operational. Taking account of the dynamic and multifaceted
operation of social capital helps one move beyond a view of geographic
communities as simply containers or sinks of capital investment, and to
appreciate the degree to which they may act as a platform for productive network
formation and expansion.
PMID- 22087513
TI - The impact of sodium aescinate on acute lung injury induced by oleic acid in
rats.
AB - Acute lung injury (ALI) and acute respiratory distress syndrome (ARDS) are
associated with high rates of morbidity and mortality. Currently, several
surfactant or anti-inflammatory drugs are under test as treatments for ALI.
Sodium aescinate (SA) has been shown to exert anti-inflammatory and antiedematous
effects. In the present work, the authors explored the effects of SA and the
possible mechanisms of SA action in rats with ALI induced by oleic acid (OA)
administration. Eight groups of rats received infusions of normal saline (NS) or
OA. Rats exposed to OA were pretreated with 1 mg/kg of SA, or posttreated with SA
at low (1 mg/kg), medium (2 mg/kg), or high (6 mg/kg) dose; a positive-control
group received methylprednisolone. The pressure of oxygen in arterial blood
(P(O(2))) levels, the pulmonary wet/dry weight (W/D) ratios, and indices of
quantitative assessment (IQA) of histological lung injury were obtained 2 or 6
hours after OA injection (0.1 mL/kg, intravenously). The levels of superoxide
dismutase (SOD), malondialdehyde (MDA), matrix metalloproteinase gelatinase B
(MMP-9), and tissue inhibitor of metalloproteinase (TIMP-1) in both plasma and
lung tissue were also determined. Both pre- and posttreatment with SA improved OA
induced pulmonary injury, increased P(O(2)) and SOD values, lowered IQA scores,
and decreased the lung W/D ratio and MDA and MMP-9 levels in plasma and lung
tissue. SA appeared to abrogate OA-induced ALI by modulating the levels of SOD,
MDA, and MMP-9 in plasma and lung tissue.
PMID- 22087514
TI - The antifibrosis effect of adrenomedullin in human lung fibroblasts.
AB - Adrenomedullin (AM) is a regulatory peptide involved in cellular proliferation
and protein synthesis. The authors investigated AM and the AM receptor system in
the human fetal lung fibroblasts (HFLFs), and assessed whether AM can inhibit
proliferation and collagen synthesis in HFLFs under hypoxia. Fibroblasts were
exposed to hypoxia (2% O(2)) after the addition of AM. The effects of AM and
transforming growth factor beta1 (TGF-beta1) on the proliferation of fibroblasts
were determined by the methanethiosulfonate (MTS) assay. Total collagen synthesis
was determined by [(3)H]proline incorporation. TGF-beta1 levels in the culture
supernatant were measured by enzyme-linked immunosorbent assay (ELISA). The
concentration of intracellular calciumion ([Ca(2+)](i)) in fibroblasts was
detected with a laser scanning confocal microscope. AM, adrenomedullin receptor
(ADMR), calcitonin receptor-like receptor (CRLR), AM receptor chaperone receptor
activity-modifying protein-1 (RAMP1),RAMP2, and RAMP3 were detected in the HFLFs.
The hypoxia-induced increases in cell proliferation, collagen synthesis, and TGF
beta1 production were inhibited by AM. AM also inhibited proliferation and
collagen synthesis in fibroblasts induced by TGF-beta1. AM caused a decrease of
the hypoxia-induced [Ca(2+)](i) in fibroblasts. This study suggests that AM is
produced by HFLFs and AM may function as an antifibrosis factor that protects
cells from hypoxic pulmonary damage through its receptors.
PMID- 22087515
TI - Preoperative versus postoperative initiation of thromboprophylaxis following
major orthopedic surgery: safety and efficacy of postoperative administration
supported by recent trials of new oral anticoagulants.
AB - In European countries, low-molecular-weight heparin is generally initiated
preoperatively for thromboprophylaxis in hip or knee replacement surgery. The
objective of this review is to compare pre- and postoperative thromboprophylaxis
strategies using available evidence, and discuss the challenges and issues that
arise. Surgery is the first step in the process of thrombus formation, but
thrombosis is not an instant process and the formation and growth of the thrombus
can take several days or weeks. Hence, it may be possible to stop this process if
thromboprophylaxis is initiated after surgery. Meta-analyses or systematic
reviews comparing pre- and postoperative initiation of therapy have found no
consistent differences in efficacy and similar safety (bleeding rates) between
the two strategies. The recently available oral anticoagulant dabigatran
etexilate provides thromboprophylaxis when administered postoperatively and is as
safe as preoperative enoxaparin. Further support for the use of postoperative
oral thromboprophylaxis in hip or knee replacement surgery has been provided by
the phase III clinical trials of rivaroxaban and apixaban versus preoperative
enoxaparin. Postoperative thromboprophylaxis offers the opportunity to change
management practices in Europe. As postoperative initiation may have a clinical
benefit in some settings (e.g. for neuraxial anesthesia) and practical advantages
(e.g. allowing same-day admission), it is a worthwhile thromboprophylactic
strategy for hip or knee replacement surgery.
PMID- 22087516
TI - Comparative evaluation of IS6110 PCR via conventional methods in rapid diagnosis
of new and previously treated cases of extrapulmonary tuberculosis.
AB - In developing countries the diagnosis of extrapulmonary tuberculosis (EPTB) is a
major burning challenge. EPTB encounters many problems like pauci-bacillary
nature, inadequate specimen volume. All the limitations reflect in the poor
contribution of conventional bacteriological technique in the establishment of
diagnosis of EPTB. Nucleic acid amplification methods are rapid and sensitive has
modified strategies for the detection of mycobacterial DNA. A fragment of DNA of
123 bp belonging to insertion sequence IS6110 based on specific gene of
Mycobacterium tuberculosis complex was amplified by polymerase chain reaction
(PCR) for the rapid diagnosis of EPTB. The present study was to comparative
evaluation of IS6110 PCR via conventional methods in the rapid diagnosis of new
and Previously treated cases of extra pulmonary tuberculosis. Four hundred fifty
specimens were collected from suspected cases of EPTB were processed for
Mycobacteria by Zeihl Neelson (ZN) staining and BACTEC culture for M.
tuberculosis. All the specimens were also processed for IS6110 based PCR
amplification with primers targeting 123 bp fragment of insertion element IS6110
of M. tuberculosis complex. We found significant difference was seen in
sensitivities of different tests. Of these 450 specimens, 60 (13.4%) were
positive for AFB by ZN staining, 202 (45%) for BACTEC culture and IS6110 PCR were
positive for M. tuberculosis complex in 283 (63%) specimens (p< 0.05). However,
there was no significant difference (p< 0.05) as far as specificity of different
tests. We found that IS6110 PCR has higher sensitivity than smear microscopy and
BACTEC culture in both cases of new cases as well as in previously treated cases.
IS6110 PCR can be highly useful in diagnosis of new and treated cases of EPTB. It
may facilitate therapeutic decisions for those with suspected of EPTB.
PMID- 22087517
TI - [The effect of acute internal problems for mortality in respiratory intensive
care unit patients].
AB - Acute internal problems in the respiratory intensive care unit (ICU) and risk
factors affecting mortality in the acute treatment applications were
investigated. All patients in 20-bed intensive care unit for chest diseases
enrolled to this prospective observational cohort study during 2008. Patients
were classified as living in group 1 and deaths in group 2. Demographics and
acute internal problems (arrhythmias, acute kidney-liver failure, dopamine,
doputamin, perlinganit infusion) were recorded. Multiple regression analysis was
performed for factors affecting mortality. There were 603 patients during the
study period, group 1, n= 503 (83.4%), group 2, n= 100 (16.6%). Both groups were
similar in terms of age and gender. Odds ratio (OR), 95% confidence interval
(CI), p value for internal problems of acute risk factors for mortality were
found as; septic shock OR: 22.52, CI 8.11-62.57, p< 0.000; need of perlinganit
infusion OR: 9.28, CI: 1.61-53.37, p< 0.012; the presence of arrhythmia, OR:
7.81, CI: 3.46-17.65, p< 0.000; acute renal failure, OR: 2.88, CI: 1.24-6.65, p<
0.013 and the need for dopamine OR: 2.83, CI: 1.06-7.65, p< 0.037, respectively.
Internal problems such as cardiac and renal dysfunction can devolop in
respiratory ICU patients with pulmonary diseases and these problems constitude
additional risk factors for mortalitiy. While the number of ICU is increasing
with new health policies, each internal requirement and personnel equipped to
treat the problem quickly must be considered.
PMID- 22087518
TI - The prevalence of occupational asthma among denim bleachery workers in Kayseri.
AB - The bleachery procedure is the most frequent method used to decolorize denims
since sandblasting has been shown to cause silicosis. The aim of this study was
to determined the prevalence of occupational asthma among denim bleachery workers
in Kayseri. The study was conducted in 4 factories, in which jean bleachery was
performed, in Kayseri between December 2008 and February 2009. Overall, forty
four subjects, 22 from the bleachery section and 22 from the other sections, were
included. A questionnaire about respiratory symptoms was administered. Pulmonary
function tests (PFTs) and serial peak expiratory flow (PEF) measurements were
performed. All subjects were evaluated by posteroanterior chest x-rays. The
prevalence of occupational asthma (OA) in the bleachery and other section workers
was 23.8% and 9.1%, respectively (p> 0.05). Within workers, exercise dyspnea
(23.3%) and wheezing (20.9%) were the most frequent symptoms. The relationship
between the duration of employment and PFTs in bleachery workers (n= 21) was
negatively correlated and statistically significant with FEV1, FEF25-75
(moderate; r= -0.477, -0.449, respectively; p< 0.05) and FEV1/FVC, FEV1% (well;
r= -0.588, -0.509, respectively; p< 0.05). The results of the present study
suggest that exposure to denim-bleaching agents plays an important role in the
occurrence of respiratory symptoms, reduction in pulmonary functions, and
induction of occupational asthma.
PMID- 22087519
TI - Reliability and validity of the Turkish version of the pediatric sleep
questionnaire: a tool for prediction of sleep related breathing disorder.
AB - Use of a questionnaire that predicts the diagnosis and influence of sleep related
breathing disorder (SRBD) may be a cost-effective method to aid in both
diagnostic algorithm and therapy. Therefore, the aim of this study was to adapt
Pediatric Sleep Questionnaire (PSQ) into Turkish and to test the validity and
reliability of the Turkish questionnaire. Total of 111 children (59 male, 52
female) aged 2 to 17 years who had symptoms suggestive of SRBD were enrolled
consecutively. Demographic characteristics such as age and gender of all children
enrolled in the study were recorded. All parents were questioned about symptom
severity, frequency and duration. Lastly, PSQ was administered to all parents.
Mean age of the children enrolled in the study was 8.1 +/- 3.4 years. Total PSQ
score ranged between 0 and 0.95 and mean score was 0.35 +/- 0.22. Comparison of
total PSQ scores between children reporting different symptom frequencies
demonstrated that PSQ score increased as the symptom frequency increased (p<
0.001). There was a significant difference of all PSQ scores among the groups (p<
0.05 for all). Total PSQ score for children that did not report snoring was 0.2
+/- 0.5 wile that for the ones who snore throughout sleep was 3.8 +/- 0.5 (p<
0.001). Cronbach's alpha values for all domains of PSQ were satisfactory. All
items were significantly correlated with their corresponding scale. Turkish
version of PSQ is a valid and reliable tool that may be used in the initial
evaluation of Turkish children with symptoms suggestive of SRBD.
PMID- 22087520
TI - Evaluation of diagnostic accuracy of computed tomography to assess the
angioarchitecture of pulmonary sequestration.
AB - Bronchopulmonary sequestration is an unusual congenital malformation consisting
of abnormal lung tissue that lacks normal communication with the tracheobronchial
tree. The diagnosis of pulmonary sequestration is based on identifying this
systemic arterial supply. We aimed to evaluate the sensitivity of multidetector
computed tomography in demonstrating the feeding artery and draining veins.
Between 2003 and 2008, 8 patients (6 males, 2 females) ranging in age from 5 to
49 years with a diagnosis of pulmonary sequestration were identified. All
patients underwent evaluation with chest tomography (spiral or multi detector
tomography) and digital subtraction angiography. Aberrant systemic arterial
supply was demonstrated in all cases: from the descending thoracic aorta (n= 6);
arcus aorta (n= 1), internal mammarial artery (n= 1), intercostal arteries (n= 2)
and celiac axis (n= 1). Four patients underwent surgery which confirmed the
angioarchitecture depicted on angiography. One patient underwent angiography with
embolization using. Computed tomography especially multidetector computed
tomography is a powerful noninvasive technique for the detection of pulmonary
sequestration.
PMID- 22087521
TI - Clinical presentations and diagnostic work-up in sarcoidosis: a series of Turkish
cases (clinics and diagnosis of sarcoidosis).
AB - Sarcoidosis is an idiopathic granulomatous disease. It usually affects the lung.
The diagnosis may be problematic since the known causes of granulomatous
inflammation must be excluded. This multicenter study aimed to evaluate the
clinical presentations and diagnostic approaches of sarcoidosis. The study
protocol was sent via internet, and the participants were asked to send the
information (clinical, radiological and diagnostic) on newly diagnosed
sarcoidosis cases. 293 patients were enrolled within two years. Pulmonary
symptoms were found in 73.3% of the patients, and cough was the most common one
(53.2%), followed by dyspnea (40.3%). Constitutional symptoms were occured in
half of the patients. The most common one was fatigue (38.6%). The most common
physical sign was eritema nodosum (17.1%). The most common chest radiograhical
sign was bilateral hilar lymphadenomegaly (78.8%). Staging according to chest X
ray has revealed that most of the patients were in Stage I and Stage II (51.9%
and 31.7%, respectively). Sarcoidosis was confirmed histopathologically in 265
(90.4%) patients. Although one-third of the bronchoscopy was revealed normal,
mucosal hyperemi (19.8%) and external compression of the bronchial wall (16.8%)
were common abnormal findings. The 100% success rate was obtained in
mediastinoscopy among the frequently used sampling methods. Transbronchial biopsy
was the most frequently used method with 48.8% success rate. Considering
sarcoidosis with its most common and also rare findings in the differential
diagnosis, organizing the related procedures according to the possibly effected
areas, and the expertise of the team would favour multimodality diagnosis.
PMID- 22087522
TI - [Varicella pneumonia requiring invasive mechanical ventilation].
AB - We aimed to report a case of varicella pneumonia that resulted in respiratory
failure requiring mechanical ventilation. The patient was a 40-year-old man whose
rashes started after his childeren developed varicella and who had a high fever,
sputum and sputum with blood, cough, cold and shiver four days before admission.
A treatment was commenced by an antiviral acyclovir and ampiric ampicillin
sulbactam therapy. Although a supporting oxygen treatment, the patient whose
oxygen saturation did not increase and respiratory rate was high was commenced by
an invasive mechanical ventilation because of a respiratory failure. The patient
that had a recovery in clinical symptoms after 36 hours was extubated and was
discharged from hospital by the following week.
PMID- 22087523
TI - Giant mediastinal parathyroid adenoma.
AB - Primary hyperparathyroidism is most commonly caused by either a parathyroid
adenoma or parathyroid hyperplasia. Parathyroid adenomas also rarely attain huge
proportions. We present a case of a 63-year-old female patient causing
hypercalcemia and the mass which is located in the mediastinum. High intact
parathormone level secondary to an ectopic hypersecretory parathyroid adenoma
were detected (642 pg/mL). It was removed via a right thoracic approach. In this
case parathyroid adenoma measuring 7 x 5 x 4 cm and weighing 145 g; to our
knowledge the greatest mass reported in the literature. Despite its huge size it
did not cause many of the hypercalcemic symptoms.
PMID- 22087524
TI - Bilateral multiple tumor-like endobronchial tuberculosis, diagnosed with
bronchoscopic examination.
AB - Endobronchial tuberculosis is defined as tuberculosis infection of
tracheobronchial tree and it is not seen often in adult population. In the
absence of parenchymal disease endobronchial tuberculosis is less well-recognized
and can lead to difficulties in diagnosis. Our aim is to introduce a rare form of
tuberculosis that is important because of high probability of developing severe
bronchostenosis during its course. We report a 20-year-old woman who presented
with two-month history of severe non-productive cough, shortness of breath, and
hemoptysis. After clinical and radiological evaluation, flexible bronchoscopy
showed bilateral multiple tumorous lesions that were seen from main carina down
to the both main bronchus. The biopsy samples revealed EBTB diagnosis and
antituberculosis therapy was given. At the second month of the therapy,
rebronchoscopy revealed almost disappearance of the polypoid lesions. The patient
healed without any stenosis. This case report is a reminder that endobronchial
tuberculosis must take into consideration in differential diagnosis of
endobronchial lesions. In patients with endobronchial tuberculosis healing
without any complication could be achieved with timely diagnosis and commencement
of early treatment.
PMID- 22087525
TI - Recurrent pulmonary tumoral embolism and sudden death as the presenting symptom
of Wilms' tumor.
AB - Only 4-6% of children with renal tumor show inferior vena caval or right atrial
infiltration at presentation. Tumor emboli are even rarer, and so far, sudden
death as the presenting symptom has only been described at presentation in Wilms'
tumor in eight cases. We report a unique case of Wilms' tumor that presented with
small pulmonary emboli and immediately after as that was diagnosed before death
by detection of small emboli and immediately after sudden death as massive
pulmonary embolism. It seems that in cases of invasive vascular infiltration with
a stable hemodynamic condition at diagnosis immediate surgery may be necessary.
PMID- 22087526
TI - [Bronchoscopy suite].
AB - Flexible bronchoscopy is usually performed at a tertiary care facility in a
general endoscopy unit or a specially designed bronchoscopy suite. The procedure
can also be performed outside the designated facility if the qualified personnel
and required equipment can be mobilized. In this review, we discuss the
essentials of a modern bronchoscopy suite based on the available information from
the literature.
PMID- 22087527
TI - [A new alternative treatment in COPD: phosphodiesterase-4 inhibitors].
AB - Chronic obstructive pulmonary disease (COPD) is a disease which is characterized
with progressive airflow obstruction and abnormal inflammatory response caused by
noxious gases and particles. Recently oral phosphodiesterase-4 (PDE-4) inhibitors
which block activation of inflammatory cells, are experimented as a new approach.
Last studies showed that these drugs improve symptoms, pulmonary functions and
quality of life, reduce the numbers of acute attacks, suppress bronchial
inflammation in COPD. However these drugs lead to adverse reactions such as
vomiting, diarrhea and headache. In this review we discussed roflumilast (Daxas)
which was accepted by Food and Drug Administration (FDA), included in treatment
of sever COPD in "The Global Initiative for Chronic Obstructive Lung Disease
(GOLD)" guideline.
PMID- 22087528
TI - Turkish Thoracic Society asthma management and prevention guideline: key points.
AB - Asthma still has high morbidity and cost despite all advances in pathogenesis,
diagnosis and treatment. Although asthma can be controlled with proper diagnosis
and treatment, the low rates of control in our country and in the world can not
be attributed to the variable course of the disease and patients' psycho-social
behaviours for chronic disease. In this context, Turkish Thoracic Society (TTS)
has decided to update Asthma Diagnosis and Management Guide latest published in
2000. National data were collected, compiled and prepared by authors, and final
form given by the TTS Asthma and Allergy Study Group, after presenting to
consultant individuals and institutions. In June 2009, the National Asthma
Management and Prevention Guideline were published in Turkish. In this paper, we
aimed to present the national guide in English with its basics and individual
differences.
PMID- 22087529
TI - Thorax as an extraintestinal target for inflammatory bowel disease.
PMID- 22087530
TI - [Bird Breeder's Disease (report of two cases)].
PMID- 22087531
TI - A case of pulmonary embolism confirmed by endobronchial ultrasound.
PMID- 22087532
TI - Perceptions of environmental changes and lethargic crab disease among crab
harvesters in a Brazilian coastal community.
AB - BACKGROUND: Lethargic Crab Disease (LCD) has caused significant mortalities in
the population of Ucides cordatus crabs in the Mucuri estuary in Bahia State,
Brazil, and has brought social and economic problems to many crab-harvesting
communities that depend on this natural resource. The present work examined the
perceptions of members of a Brazilian crab harvesting community concerning
environmental changes and the Lethargic Crab Disease. METHODS: Field work was
undertaken during the period between January and April/2009, with weekly or
biweekly field excursions during which open and semi-structured interviews were
held with local residents in the municipality of Mucuri, Bahia State, Brazil. A
total of 23 individuals were interviewed, all of whom had at least 20 years of
crab-collecting experience in the study region. Key-informants (more experienced
crab harvesters) were selected among the interviewees using the "native
specialist" criterion. RESULTS: According to the collectors, LCD reached the
Mucuri mangroves between 2004 and 2005, decimating almost all crab population in
the area, and in 2007, 2008 and 2009 high mortalities of U. cordatus were again
observed as a result of recurrences of this disease in the region. In addition to
LCD, crabs were also suffering great stock reductions due to habitat degradation
caused by deforestation, landfills, sewage effluents, domestic and industrial
wastes and the introduction of exotic fish in the Mucuri River estuary. The
harvesting community was found to have significant ecological knowledge about the
functioning of mangrove swamp ecology, the biology of crabs, and the mass
mortality that directly affected the economy of this community, and this
information was largely in accordance with scientific knowledge. CONCLUSIONS: The
study of traditional knowledge makes it possible to better understand human
interactions with the environment and aids in the elaboration of appropriate
strategies for natural resource conservation.
PMID- 22087533
TI - The interaction of bovine serum albumin with doxorubicin-loaded superparamagnetic
iron oxide nanoparticles: spectroscope and molecular modelling identification.
AB - To take a comprehensive evaluation of the bio-safety of doxorubicin-loaded
superparamagnetic iron oxide nanoparticles (SPION), the interaction of bovine
serum albumin (BSA) with the drug delivery was investigated by multi
spectroscopic techniques and molecular modelling calculation. Ultraviolet
absorption and synchronous fluorescence results elucidate that DOX-SPION unfold
the framework conformation of BSA, leading to changes in the microenvironment of
amide moieties. Circular dichroism (CD) data show that the content of alpha-helix
decreases from 68.62% to 62.76%, which shows the changes of protein's secondary
structure quantificationally. Through Stern-Volmer analysis, the quenching mode
is determined to be static interaction, forming a stable bioconjugate. The
molecular model illustrates that DOX prefers a highly polar binding site at the
external region of domains ? of BSA, and the hydrogen bonds are marked. This work
elucidates that the drug delivery has deleterious effects on the frame
conformation of protein, affecting its physiological function.
PMID- 22087534
TI - Preparation of a tea polyphenol nanoliposome system and its physicochemical
properties.
AB - Tea polyphenol is rich in green tea with diverse biological activities. However,
its application in the food industry is limited due to its instability toward
oxygen and light. In this study, the preparation of tea polyphenol liposome by
the thin film ultrasonic dispersion method was performed in order to enhance the
bioavailability of tea polyphenol. The process conditions were optimized using
response surface analysis, and the optimal parameters were as follows: ratio of
tea polyphenol to lecithin, 0.125:1; ratio of lecithin to cholesterol, 4:1;
phosphate buffered saline (PBS) pH, 6.62; ultrasonic time, 3.5 min. The
theoretical and practical entrapment efficiency were 60.36% and 60.09 +/- 0.69%,
respectively. Furthermore, physicochemical properties including size
distribution, zeta potential, permeability, infrared spectrum and in vitro
release of liposomal formulations were determined. The mean size of tea
polyphenol liposome was 160.4 nm, and the zeta-potential value was -67.2. The tea
polyphenol liposome was formed by physical interaction, and the in vitro release
process followed a first-order equation. The results indicated that the prepared
tea polyphenol liposome was stable and suitable for more widespread application.
PMID- 22087535
TI - Comparative study of the affinity and metabolism of type I and type II binding
quinoline carboxamide analogues by cytochrome P450 3A4.
AB - Compounds that coordinate to the heme-iron of cytochrome P450 (CYP) enzymes are
assumed to increase metabolic stability. However, recently we observed that the
type II binding quinoline carboxamide (QCA) compounds were metabolically less
stable. To test if the higher intrinsic clearance of type II binding compounds
relative to type I binding compounds is general for other metabolic
transformations, we synthesized a library of QCA compounds that could undergo N
dealkylation, O-dealkylation, benzylic hydroxylation, and aromatic hydroxylation.
The results demonstrated that type II binding QCA analogues were metabolically
less stable (2- to 12-fold) at subsaturating concentration compared to type I
binding counterparts for all the transformations. When the rates of different
metabolic transformations between type I and type II binding compounds were
compared, they were found to be in the order of N-demethylation > benzylic
hydroxylation> O-demethylation > aromatic hydroxylation. Finally, for the QCA
analogues with aza-heteroaromatic rings, we did not detect metabolism in aza
aromatic rings (pyridine, pyrazine, pyrimidine), indicating that
electronegativity of the nitrogen can change regioselectivity in CYP metabolism.
PMID- 22087536
TI - Deactivating chemical agents using enzyme-coated nanofibers formed by
electrospinning.
AB - The coaxial electrospinning technique was investigated as a novel method to
create stabilized, enzyme-containing fibers that have the potential to provide
enhanced protection from chemical agents. Electrospinning is a versatile
technique for the fabrication of polymer fibers with large length (cm to km):
diameter (nm to MUm) aspect ratios. The large surface to volume ratios, along
with the biofriendly nature of this technique, enables the fabrication of fiber
mats with high enzyme concentrations, which amplify the catalytic activity per
unit volume of membrane. Blended composite (single-source) fibers incorporate
enzyme throughout the fiber, which may limit substrate accessibility to the
enzyme. In contrast, core/sheath fibers can be produced by coaxial
electrospinning with very high enzyme loading (>80%) in the sheath without
noticeable loss of enzymatic activity. Several core-sheath combinations have been
explored with the toxin-mitigating enzyme DFPase in order to achieve fibers with
optimum properties. The concentration of fluoride released, normalized for the
amount of protein incorporated into the sheath, was used as a measure of the
enzyme activity versus time. The coaxial core/sheath combination of PEO and
DFPase produced the highest activity (~7.3 mM/mg).
PMID- 22087537
TI - Differential glycomics of epithelial membrane glycoproteins from urinary
exovesicles reveals shifts toward complex-type N-glycosylation in classical
galactosemia.
AB - A variety of genetic variations in the galactose-1-phosphate uridyltransferase
(GALT) gene cause profound activity loss of the enzyme and acute toxic effects
mediated by accumulating metabolic intermediates of galactose in newborns induced
by dietary galactose. However, even on a severely galactose-restricted diet,
patients develop serious long-term complications of the CNS and ovaries, which
may result from damaging perturbations in cell biology caused by endogenously
synthezised galactose. Under galactose stress, the cosubstrate of GALT, galactose
1-phosphate, accumulates and disturbs catabolic and anabolic pathways of the
carbohydrate metabolism with potential effects on protein glycosylation and
membrane localization of glycoprotein receptors, like the epidermal growth factor
receptor. To address this issue in view of a cellular pathomechanism, we
performed a differential semiquantitative N-glycomics study of membrane proteins.
A suitable noninvasive cellular material derived from epithelial plasma membranes
was found in urinary exovesicles and in the shed Tamm-Horsfall protein. By
applying matrix-assisted laser ionization mass spectrometry on permethylated,
PNGaseF released N-glycans, we demonstrate that GALT deficiency is associated
with dramatic shifts from prevalent high-mannose-type glycans found in healthy
subjects toward complex-type N-linked glycosylation in patients. These N
glycosylation shifts were observed on exosomal N-glycoproteins but not on the
Tamm-Horsfall glycoprotein, which showed predominant high-mannose-type
glycosylation with M6.
PMID- 22087538
TI - Expression of ghrelin and its receptors in ovarian endometrioma.
AB - Endometriosis is a common gynaecological disorder manifesting by implantation and
growth of endometrial tissue outside the uterine cavity. The evidence accumulates
that endometriosis may be associated with abrogated regulation of energy balance.
Ghrelin is one of the most important orexigenic factor which may also play a role
in regulation of inflammatory and angiogenic reactions. The present study was
aimed at investigating expression profile of ghrelin and its receptors
(GHSR1alpha and GHSR1beta) in endometriotic lesions. The study included ovarian
cysts and peritoneal fluid specimens obtained laparoscopically from 20 women with
revised American Fertility Society stage III or IV endometriosis. Expression of
specific mRNAs was assessed by reverse transcription-polymerase chain reaction.
Expression of ghrelin and GHSR1alpha protein was studied by immunohistochemical
staining with specific antibodies. Ghrelin and its receptors mRNA expression was
found in all tested specimens. Specific mRNAs for these factors were also
expressed in the peritoneal leukocytes. Immunohistochemical staining revealed
expression of ghrelin and GHSR1alpha both in glandular endometrioid epithelium
and in some stromal cells, particularly in some fibroblasts, blood vessels and
infiltrating leukocytes. Co-localization of ghrelin and its receptors strongly
suggests that this neuropeptide may affect development and growth of
endometriotic lesions and may influence local inflammatory and angiogenic
response.
PMID- 22087539
TI - Methanolysis of thioamide promoted by a simple palladacycle is accelerated by
10(8) over the methoxide-catalyzed reaction.
AB - Palladacycle 1 catalyzes the methanolytic cleavage of N-methyl-N-(4
nitrophenyl)thiobenzamide (4) via a mechanism involving formation of a Pd-bound
tetrahedral intermediate (TI). The rate constant for decomposition of the complex
formed between 1, methoxide, and 4 is 9.3 s(-1) at 25 degrees C; this reaction
produces methyl thiobenzoate and N-methyl-4-nitroaniline. The ratio of the second
order rate constant for the catalyzed reaction, given as k(cat)/K(d), relative to
that of the methoxide-promoted reaction is 3 * 10(8), representing a very large
catalysis of thioamide bond cleavage by a synthetic metal complex.
PMID- 22087540
TI - Synthesis, absolute configuration, and bacterial mutagenicity of the 8
stereoisomeric vicinal diol epoxides at the terminal benzo ring of carcinogenic
dibenz[a,h]anthracene.
AB - The synthesis of the 8 possible stereoisomeric diol epoxides (DEs) at the
terminal benzo ring of carcinogenic dibenz[a,h]anthracene (DBA) is reported.
trans-3,4-Dihydroxy-3,4-dihydro-DBA (1) afforded the 4 bay region DEs: the
enantiomeric pairs of the anti diastereomers (+)-3/(-)-3 and of the syn
diastereomers (-)-4/(+)-4, respectively. trans-1,2-Dihydroxy-1,2-dihydro-DBA (2)
served as precursor of the 4 reverse DEs: the enantiomeric pairs of the anti
diastereomers (+)-5/(-)-5 and of the syn diastereomers (-)-6/(+)-6, respectively.
The transformation of the olefinic double bond in the enantiomeric trans
dihydrodiols to epoxides was achieved by either (i) oxidation with m
chloroperoxybenzoic acid or (ii) formation of a bromohydrin with N
bromoacetamide/H(2)O followed by dehydrobromination with an anion exchange resin.
Because of the pseudodiequatorial conformation of the hydroxyl groups in 1, both
reactions proceeded highly stereoselectively, while the stereoselectivity was
impaired by the pseudodiaxial conformation of the hydroxyl groups in 2.
Diastereomers and racemic compounds were efficiently separated without
derivatization by HPLC on achiral or chiral stationary phases, respectively. The
absolute configurations of the DEs were deduced from the absolute configuration
of 1 and 2 considering the regio- and stereoselectivity of the subsequent
reactions and resulted in (+)-(1R,2S,3S,4R)-3/(-)-(1S,2R,3R,4S)-3, (-)
(1S,2R,3S,4R)-4/(+)-(1R,2S,3R,4S)-4, (+)-(1R,2S,3S,4R)-5/(-)-(1S,2R,3R,4S)-5, and
(-)-(1R,2S,3R,4S)-6/(+)-(1S,2R,3S,4R)-6. The bacterial mutagenicity of the 8
stereoisomeric DEs was determined in histidine-dependent strains TA98 and TA100
of Salmonella typhimurium in the absence of a metabolizing system. In general,
the bay region DEs of DBA were stronger mutagens than the reverse DEs. In strain
TA98, the syn diastereomers of bay region DEs were stronger mutagens than their
anti isomers, while in the case of reverse DEs the anti diastereomers were more
potent than their syn isomers. In strain TA100, all syn diastereomers surpassed
the bacterial mutagenicity of their anti isomers. Concerning the bay region DEs
of DBA, this corresponds to the situation described for benzo[a]pyrene: of the 4
enantiomeric bay region DEs of DBA and benzo[a]pyrene, the syn diastereomer with
[(R,S)-diol (R,S)-epoxide] absolute configuration is the most potent mutagen in
both bacterial strains, while the anti isomer with [(S,R)-diol (R,S)-epoxide]
configuration is the weakest mutagen.
PMID- 22087541
TI - Three genetic polymorphisms of homocysteine-metabolizing enzymes and risk of
coronary heart disease: appraisal of a recent meta-analysis.
PMID- 22087542
TI - Mechanisms of crystalline silica-induced pulmonary toxicity revealed by global
gene expression profiling.
AB - A proper understanding of the mechanisms underlying crystalline silica-induced
pulmonary toxicity has implications in the management and potential prevention of
the adverse health effects associated with silica exposure including silicosis,
cancer and several auto-immune diseases. Human lung type II epithelial cells and
rat lungs exposed to crystalline silica were employed as experimental models to
determine global gene expression changes in order to understand the molecular
mechanisms underlying silica-induced pulmonary toxicity. The differential gene
expression profile induced by silica correlated with its toxicity in the A549
cells. The biological processes perturbed by silica exposure in the A549 cells
and rat lungs, as identified by the bioinformatics analysis of the differentially
expressed genes, demonstrated significant similarity. Functional categorization
of the differentially expressed genes identified cancer, cellular movement,
cellular growth and proliferation, cell death, inflammatory response, cell cycle,
cellular development, and genetic disorder as top ranking biological functions
perturbed by silica exposure in A549 cells and rat lungs. Results of our study,
in addition to confirming several previously identified molecular targets and
mechanisms involved in silica toxicity, identified novel molecular targets and
mechanisms potentially involved in silica-induced pulmonary toxicity. Further
investigations, including those focused on the novel molecular targets and
mechanisms identified in the current study may result in better management and,
possibly, reduction and/or prevention of the potential adverse health effects
associated with crystalline silica exposure.
PMID- 22087544
TI - Supported ionic liquid membranes for removal of dioxins from high-temperature
vapor streams.
AB - Dioxins and dioxin-like chemicals are predominantly produced by thermal processes
such as incineration and combustion at concentrations in the range of 10-100 ng
of I-TEQ/kg (I-TEQ = international toxic equivalents). In this work, a new
approach for the removal of dioxins from high-temperature vapor streams using
facilitated supported ionic liquid membranes (SILMs) is proposed. The use of
ceramic membranes containing specific ionic liquids, with extremely low
volatility, for dioxin removal from incineration sources is proposed owing to
their stability at very high temperatures. Supported liquid membranes were
prepared by successfully immobilizing the ionic liquids tri-C(8)-C(10)
alkylmethylammonium dicyanamide ([Aliquat][DCA]) and 1-n-octyl-3
methylimidazolium dicyanamide ([Omim][DCA]) inside the porous structure of
ceramic membranes. The porous inorganic membranes tested were made of titanium
oxide (TiO(2)), with a nominal pore size of 30 nm, and aluminum oxide
(Al(2)O(3)), with a nominal pore size of 100 nm. The ionic liquids were
characterized, and the membrane performance was assessed for the removal of
dioxins. Different materials (membrane pore size, type of ionic liquid, and
dioxin) and different operating conditions (temperature and flow rate) were
tested to evaluate the efficiency of SILMs for dioxin removal. All membranes
prepared were stable at temperatures up to 200 degrees C. Experiments with model
incineration gas were also carried out, and the results obtained validate the
potential of using ceramic membranes with immobilized ionic liquids for the
removal of dioxins from high-temperature vapor sources.
PMID- 22087543
TI - Undernutrition among HIV-positive children in Dar es Salaam, Tanzania:
antiretroviral therapy alone is not enough.
AB - BACKGROUND: The prevalence of HIV/AIDS has exacerbated the impact of childhood
undernutrition in many developing countries, including Tanzania. Even with the
provision of antiretroviral therapy, undernutrition among HIV-positive children
remains a serious problem. Most studies to examine risk factors for
undernutrition have been limited to the general population and ART-naive HIV
positive children, making it difficult to generalize findings to ART-treated HIV
positive children. The objectives of this study were thus to compare the
proportions of undernutrition among ART-treated HIV-positive and HIV-negative
children and to examine factors associated with undernutrition among ART-treated
HIV-positive children in Dar es Salaam, Tanzania. METHODS: From September to
October 2010, we conducted a cross-sectional survey among 213 ART-treated HIV
positive and 202 HIV-negative children in Dar es Salaam, Tanzania. We measured
the children's anthropometrics, socio-demographic factors, food security, dietary
habits, diarrhea episodes, economic status, and HIV clinical stage. Data were
analyzed using both univariate and multivariate methods. RESULTS: ART-treated HIV
positive children had higher rates of undernutrition than their HIV-negative
counterparts. Among the ART-treated HIV-positive children, 78 (36.6%) were
stunted, 47 (22.1%) were underweight, and 29 (13.6%) were wasted. Households of
ART-treated HIV-positive children exhibited lower economic status, lower levels
of education, and higher percentages of unmarried caregivers with higher
unemployment rates. Food insecurity was prevalent in over half of ART-treated HIV
positive children's households. Furthermore, ART-treated HIV-positive children
were more likely to be orphaned, to be fed less frequently, and to have lower
body weight at birth compared to HIV-negative children.In the multivariate
analysis, child's HIV-positive status was associated with being underweight (AOR
= 4.61, 95% CI 1.38-15.36 P = 0.013) and wasting (AOR = 9.62, 95% CI 1.72-54.02,
P = 0.010) but not with stunting (AOR = 0.68, 95% CI 0.26-1.77, P = 0.428).
Important factors associated with underweight status among ART-treated HIV
positive children included hunger (AOR = 9.90, P = 0.022), feeding frequency (AOR
= 0.02, p < 0.001), and low birth weight (AOR = 5.13, P = 0.039). Factors
associated with wasting among ART-treated HIV-positive children were diarrhea
(AOR = 22.49, P = 0.001) and feeding frequency (AOR = 0.03, p < 0.001).
CONCLUSION: HIV/AIDS is associated with an increased burden of child underweight
status and wasting, even among ART-treated children, in Dar es Salaam, Tanzania.
In addition to increasing coverage of ART among HIV-positive children,
interventions to ameliorate poor nutrition status may be necessary in this and
similar settings. Such interventions should aim at promoting adequate feeding
patterns, as well as preventing and treating diarrhea.
PMID- 22087546
TI - Effect of precursor-layer surface charge on the layer-by-layer assembly of
polyelectrolyte/nanoparticle multilayers.
AB - In this Article, we investigate the effect of a precursor layer, which is
composed of four bilayers of polyethyleneimine (PEI) and poly(sodium styrene
sulfonate) (PSS), on the subsequent LBL assembly of hybrid films composed of
indium tin oxide (ITO) nanoparticles and PSS. A precursor polyelectrolyte layer
is usually deposited to minimize interference by the substrate. It is shown here
that the "effective" surface charge of the precursor layer can significantly
affect the subsequent assembly behavior of [ITO/PSS](9.5) hybrid thin films.
Depending on the surface charge of the precursor layer, the subsequent LbL
assembly of [ITO/PSS](9.5) hybrid films can exhibit either one or two regimes.
When two growth regimes are present, the first one consists of a "recovery
regime", and the second is the expected "linear growth regime." The length of the
"recovery regime" is dependent on how much positive charge the precursor layer
possesses and how fast this surface charge can be compensated. This work reveals
for the first time that changes in the surface charge of the precursor layer can
have a significant effect on the subsequent LBL assembly process. The surface
charge of the precursor layer was investigated using zeta-potential measurements
on model silica microspheres. These experiments showed that the surface charge of
the precursor layer, [PEI/PSS](4), is dependent on the pH of the solution in
which it is immersed, and that it can reverse from a negatively charged surface
to a positively charged one, at sufficiently low pH due to the protonation of
PEI, despite having the negatively charged PSS layer as the outermost layer.
PMID- 22087545
TI - Mediterranean Diet Effect: an Italian picture.
AB - BACKGROUND: The purpose of this study was to evaluate the overall diet quality
effects, mainly on antioxidant nutritional status and some cytokines related to
the cellular immune response as well as oxidative stress in a healthy Italian
population group. METHODS: An observational study was conducted on 131 healthy
free-living subjects. Dietary intake was assessed by dietary diary. Standardised
procedures were used to make anthropometric measurements. On blood samples
(serum, plasma and whole blood) were evaluated: antioxidant status by vitamin A,
vitamin E, carotenoids, vitamin C, uric acid, SH groups, SOD and GPx activities;
lipid blood profile by total cholesterol, HDL cholesterol, LDL cholesterol,
triglycerides; total antioxidant capacity by FRAP and TRAP; the immune status by
TNF-alpha, and IL-10 cytokines; the levels of malondialdehyde in the erythrocytes
as marker of lipid peroxidation. RESULTS: The daily macronutrients intake (g/day)
have shown a high lipids consumption and significant differences between the
sexes with regard to daily micronutrients intake. On total sample mean
Mediterranean Diet Score (MDS) was 4.5 +/- 1.6 and no significant differences
between the sexes were present. A greater adherence to a Mediterranean dietary
pattern increases the circulating plasma levels of carotenoids (lutein plus
zeaxanthin, cryptoxanthin, alpha and beta-carotene), vitamin A and vitamin E. The
levels of endogenous antioxidants were also improved. We observed higher levels
in anti-inflammatory effect cytokines (IL-10) in subjects with MDS >= 6, by
contrast, subjects with MDS <= 3 show higher levels in sense of proinflammatory
(TNF alpha P < 0.05). Lower levels of MDA were associated with MDS > 4. Our data
suggest a protective role of vitamin A against chronic inflammatory conditions
especially in subjects with the highest adherence to the Mediterranean-type
dietary pattern. CONCLUSIONS: Mediterranean dietary pattern is associated with
significant amelioration of multiple risk factors, including a better
cardiovascular risk profile, reduced oxidative stress and modulation of
inflammation.
PMID- 22087547
TI - A mathematical model of psychotherapy: an investigation using dynamic non-linear
equations to model the therapeutic relationship.
AB - Mathematical models, such as the one developed by Gottman et al. (1998, 2000,
2002) to understand the interaction between husbands and wives, can provide novel
insights into the dynamics of the therapeutic relationship. A set of nonlinear
equations were used to model the changing emotional state of a therapist and
client. The results suggest: (1) The person that is most responsive to the other
achieves the most positive state, (2) the emotional state of the client
oscillates before reaching its final state, (3) therapy is least successful when
the therapist starts from a negative state, and (4) there is an inverse
relationship between models that change only the influence parameter and models
that change only the inertia parameter, creating a series of four basic models to
work with. These theoretical models require further, empirical investigation to
test the derived parameters. If validated, or revised based on observations of
therapist-client relationships in development, they could provide specific
direction in creating successful therapeutic relationships for training
clinicians and those already in practice.
PMID- 22087548
TI - Synthesis and fluorescence properties of novel pyrazine-boron complexes bearing a
beta-iminoketone ligand.
AB - A novel fluorescence dye based on pyrazine-boron complexes bearing a beta
iminoketone ligand has been synthesized by using a simple two-step reaction.
Synthesized complexes exhibited fluorescence in solution (F(max): 472-604 nm) and
in the solid state (F(max): 496-624 nm). These complexes showed a larger Stokes
shift (3690-4900 cm(-1)) than well-known boron dipyrromethene dyes (400-600 cm(
1), in most cases).
PMID- 22087549
TI - "The group" in integrated HIV and livelihoods programming: opportunity or
challenge?
AB - HIV care and treatment providers across sub-Saharan Africa are integrating
livelihood interventions to improve food security of their clientele. Many
integrated HIV and livelihood programmes (IHLPs) require the formation and use of
groups of HIV-infected/affected individuals as the operational target for
programme interventions, indeed, virtually without exception the group is the
focal point for material and intellectual inputs of IHLPs. We sought to
critically examine the group approach to programming among IHLPs in Uganda, and
to explore and problematise the assumptions underpinning this model. A case study
approach to studying 16 IHLPs was adopted. Each IHLP was treated as a case
comprising multiple in-depth interviews conducted with staff along the livelihood
programme chain. Additionally, in-depth interviews were conducted with staff from
The AIDS Support Organization (TASO), and with members of 71 HIV-infected TASO
registered client households. Our analysis reveals three important considerations
in IHLP programming regarding the group-centred approach: (1) Group membership is
widely held to confer benefits in the form of psycho-social and motivational
support, particularly in empowering individuals to access HIV services and handle
stigma. This is contrasted with the problem of stigma inherent in joining groups
defined by HIV-status; (2) Membership in groups can bring economic benefits
through the pooling of labour and resources. These benefits however need to be
set against the costs of membership, when members are required to make
contributions in the form of money, goods or labour; (3) Sharing of goods and
labour in the context of group membership allow members to access benefits which
would otherwise be inaccessible. In exchange, individual choice and control are
diminished and problems of resources held in common can arise. While the group
model can bring benefits to IHLP efficiency and by extension to food security,
and other outcomes, its application needs to be carefully scrutinised at the
individual programme level, in terms of whether it is an appropriate approach,
and in terms of mitigating potentially adverse effects.
PMID- 22087551
TI - The impact and management of nonmotor symptoms of Parkinson's disease.
AB - Parkinson's disease (PD) is a common neurodegenerative disorder diagnosed by the
presence of bradykinesia and at least 1 of the symptoms of rigidity, resting
tremor, or postural instability. It is increasingly recognized that nonmotor
symptoms are common and can adversely affect quality of life, yet they often are
not diagnosed and consequently are often untreated. Nonmotor symptoms include
neuropsychiatric issues such as anxiety, depression, hallucinations, impulse
control disorders, and cognitive impairment, as well as autonomic dysfunction,
which may present as gastrointestinal, urinary, and sexual disturbances. Nonmotor
symptoms also include excessive sweating, orthostatic hypotension, and sleep
disturbances. Management of PD requires recognition of both motor and nonmotor
symptoms as well as an understanding of the relationship between these symptoms
and how they can be affected by treatments for PD. Therapy should be
individualized for each patient, as treatments for the motor symptoms of PD can
improve some nonmotor symptoms while they can worsen others. In many cases,
symptom-specific treatments are necessary to control nonmotor symptoms of PD.
PMID- 22087550
TI - Role of blood cell-associated angiotensin II type 1 receptors in the cerebral
microvascular response to ischemic stroke during angiotensin-induced
hypertension.
AB - BACKGROUND: Angiotensin II type 1 receptor (AT1R) blockers lower the incidence of
ischemic stroke in hypertensive patients and attenuate brain inflammation and
injury in animal models. Although AT1R on both blood cells (BC) and vascular
endothelial cells (EC) can be activated by angiotensin II (Ang II) to elicit
inflammation, little is known about the relative contributions of AT1R expressed
on BC and EC to the brain injury responses to ischemia and reperfusion (I/R) in
the setting of angiotensin-induced hypertension. METHODS: The contributions of BC
and EC-associated AT1R to I/R-induced brain inflammation and injury were
evaluated using wild type (WT), AT1aR-/-, and bone marrow chimera mice with
either a BC+/EC+ (WT->WT) or BC-/EC+ (AT1aR-/-->WT) distribution of AT1aR. The
adhesion of leukocytes and platelets in venules, blood brain barrier (BBB)
permeability and infarct volume were monitored in postischemic brain of
normotensive and Ang II-induced hypertensive mice. RESULTS: The inflammatory
(blood cell adhesion) and injury (BBB permeability, infarct volume) responses
were greatly exaggerated in the presence of Ang II-induced hypertension. The Ang
II-enhanced responses were significantly blunted in AT1aR-/- mice. A similar
level of protection was noted in AT1aR-/- ->WT mice for BBB permeability and
infarct volume, while less or no protection was evident for leukocyte and
platelet adhesion, respectively. CONCLUSIONS: BC- and EC-associated AT1aR are
both involved in the brain injury responses to ischemic stroke during Ang II
hypertension, with EC AT1aR contributing more to the blood cell recruitment
response and BC AT1aR exerting a significant influence on the BBB disruption and
tissue necrosis elicited by I/R.
PMID- 22087552
TI - Advanced strategies for treatment of Parkinson's disease: the role of early
treatment.
AB - Parkinson's disease (PD) is associated with significant patient disability and
costs to the healthcare system. It is questioned whether early treatment may
improve outcomes and delay disability. Early treatment relies on early diagnosis,
which can be difficult to achieve because the diagnosis of PD is based on motor
symptoms, is clinical in nature, and is complicated by potential presentation of
nonmotor symptoms prior to motor symptoms. Economic analyses demonstrate that
treatments other than levodopa may be cost-effective. The lack of correlation
between Unified PD Rating Scale (UPDRS) outcomes and imaging studies of dopamine
uptake may reflect the inappropriate selection of study end points, since
activities of daily living scores may be more applicable than motor function
scores. Levodopa, the standard therapy for motor control of PD and one of the
most effective options, is associated with complications (a wearing-off effect)
when used long term. Other therapies, including dopamine agonists and monoamine
oxidase type-B (MAO-B) inhibitors, may limit the rate of dyskinesia relative to
levodopa-based regimens. It appears that early treatment with the MAO-B inhibitor
rasagiline (1 mg), as compared with late treatment, delays the onset of worsened
UPDRS score, especially the nonmotor activities of daily living subscore.
PMID- 22087553
TI - Implications for managed care for improving outcomes in Parkinson's disease:
balancing aggressive treatment with appropriate care.
AB - Disability in Parkinson's disease (PD) is due not only to progressive impairment
in balance, gait, and motor-related tasks, but also to several nonmotor symptoms
affecting autonomic, neuropsychiatric, and sensory functions. The prevalence of
PD in the United States is rising due to the expanding elderly population. Direct
medical costs associated with PD are significant and influenced by level of
disability and associated complexity of management. As new treatments are made
available, reevaluation of treatment benefits and paradigms is warranted, for
both motor and nonmotor symptoms of PD, to better manage outcomes. In addition to
evaluation of symptomatic therapies for PD, attention to advances in disease
modifying therapies and to management of nonmotor symptoms should be an integral
component of PD surveillance in the managed care environment.
PMID- 22087554
TI - EscI: a crucial component of the type III secretion system forms the inner rod
structure in enteropathogenic Escherichia coli.
AB - The T3SS (type III secretion system) is a multi-protein complex that plays a
central role in the virulence of many gram-negative bacterial pathogens. This
apparatus spans both bacterial membranes and transports virulence factors from
the bacterial cytoplasm into eukaryotic host cells. The T3SS exports substrates
in a hierarchical and temporal manner. The first secreted substrates are the
rod/needle proteins which are incorporated into the T3SS apparatus and are
required for the secretion of later substrates, the translocators and effectors.
In the present study, we provide evidence that rOrf8/EscI, a poorly characterized
locus of enterocyte effacement-encoded protein, functions as the inner rod
protein of the T3SS of EPEC (enteropathogenic Escherichia coli). We demonstrate
that EscI is essential for type III secretion and is also secreted as an early
substrate of the T3SS. We found that EscI interacts with EscU, the integral
membrane protein that is linked to substrate specificity switching, implicating
EscI in the substrate-switching event. Furthermore, we showed that EscI self
associates and interacts with the outer membrane secretin EscC, further
supporting its function as an inner rod protein. Overall, the results of the
present study suggest that EscI is the YscI/PrgJ/MxiI homologue in the T3SS of
attaching and effacing pathogens.
PMID- 22087555
TI - Change in self-reported emotional distress and parenting among parents referred
to inpatient child psychiatric family treatment.
AB - AIMS: Our aim was to examine changes in distress symptoms and parenting
dimensions among parents in child psychiatry services (clinic parents) (n = 102).
Parents were followed from referral and admission to 3-month and 12-month follow
ups of "treatment-as-usual" at inpatient family clinics. These measurements were
compared with a sample of community parent (n = 439) standards. METHODS:
Standardized questionnaires measuring the child's problems, parental anxiety and
depression symptoms (distress), and warmth protectiveness and authoritarianism
(parenting dimensions), were distributed to parents four times (T0-T1-T2-T3). The
family clinics received families whose children had long-term problems and
unsatisfactory previous treatment outcomes. RESULTS: Clinic mothers, but not
fathers, showed an improvement in distress symptoms at the 3-month (T2) and 12
month (T3) follow-ups relative to at admission (T1). Nevertheless, clinic mothers
displayed distress symptoms at all measurement points compared with community
parents. Parents of children with learning/developmental problems and attention
disorders showed significantly higher warmth scores at the 3-month and 12-month
follow-up compared with at admission, although the levels remained lower than
those of community parents. In contrast, parents of children with emotional
problems showed the same level of warmth as community parents and lower levels of
protectiveness, but no change in these parenting dimensions T1-T2. IMPLICATIONS:
Parental emotional distress symptoms and parenting characteristics should be
addressed systematically in child psychiatry to inform evaluations of the context
of the child's problems and the family's treatment needs. Systematic and
effective treatment components related to parenting should be implemented.
PMID- 22087556
TI - The influence of environmental factors on the generalisability of public health
research evidence: physical activity as a worked example.
AB - BACKGROUND: It is rare that decisions about investing in public health
interventions in a city, town or other location can be informed by research
generated in that specific place. It is therefore necessary to base decisions on
evidence generated elsewhere and to make inferences about the extent to which
this evidence is generalisable to the place of interest. In this paper we discuss
the issues involved in making such inferences, using physical activity as an
example. We discuss the ways in which elements of the structural, physical,
social and/or cultural environment (environmental factors [EFs]) can shape
physical activity (PA) and also how EFs may influence the effectiveness of
interventions that aim to promote PA. We then highlight the ways in which EFs may
impact on the generalisability of different types of evidence. DISCUSSION: We
present a framework for thinking about the influence of EFs when assessing the
generalisability of evidence from the location in which the evidence was
generated (place A) to the location to which the evidence is to be applied (place
B). The framework relates to similarities and differences between place A and
place B with respect to: a) the distributions of EFs; b) the causal pathways
through which EFs or interventions are thought to exert their effect on PA and c)
the ways in which EFs interact with each other. We suggest, using examples, how
this scheme can be used by public health professionals who are designing,
executing, reporting and synthesising research on PA; or designing/implementing
interventions. SUMMARY: Our analysis and scheme, although developed for physical
activity, may potentially be adapted and applied to other evidence and
interventions which are likely to be sensitive to influence by elements of the
structural, physical, social and/or cultural environment such as the epidemiology
of obesity and healthy weight promotion.
PMID- 22087557
TI - Antiproliferative activity of pomiferin in normal (MCF-10A) and transformed (MCF
7) breast epithelial cells.
AB - Pomiferin and osajin are prenylated isoflavones from Osage orange fruit that both
have potent antioxidant activity in a variety of assays. Pomiferin, in
particular, has strong activity against the superoxide anion in a
photochemiluminescence (PCL) assay system. In vitro, pomiferin, but not osajin,
demonstrated selective antiproliferative activity against the tumorigenic breast
epithelial cell line MCF-7 (IC(50) = 5.2 MUM) with limited toxicity toward
nontumorigenic breast epithelial cells (MCF-10A). The differential sensitivity of
normal and tumorigenic cells to the antiproliferative action of pomiferin was
examined further by using cDNA microarrays. With a stringent cutoff of p < 0.01,
a total of 94 genes were significantly differentially expressed between MCF-7 and
MCF-10A cells; 80 up-regulated and 14 down-regulated when cells were exposed to 5
MUM pomiferin for 24 h. Fold changes by microarray analysis were confirmed using
RT-qPCR, and the most significant changes were found with genes related to
antioxidant enzymes. Genes involved in mitotic inhibition and apoptotic
regulations were also found to be up-regulated. Pomiferin is therefore a good
anticancer candidate agent that may be useful either alone or in combination with
other therapeutic agents and, because of its selectivity toward tumor cells,
likely to have fewer side effects that classic chemotherapy drugs.
PMID- 22087558
TI - Virtual 3D tumor marking-exact intraoperative coordinate mapping improve post
operative radiotherapy.
AB - The quality of the interdisciplinary interface in oncological treatment between
surgery, pathology and radiotherapy is mainly dependent on reliable anatomical
three-dimensional (3D) allocation of specimen and their context sensitive
interpretation which defines further treatment protocols. Computer-assisted
preoperative planning (CAPP) allows for outlining macroscopical tumor size and
margins. A new technique facilitates the 3D virtual marking and mapping of frozen
sections and resection margins or important surgical intraoperative information.
These data could be stored in DICOM format (Digital Imaging and Communication in
Medicine) in terms of augmented reality and transferred to communicate patient's
specific tumor information (invasion to vessels and nerves, non-resectable tumor)
to oncologists, radiotherapists and pathologists.
PMID- 22087559
TI - Independent cytotoxic and inflammatory responses to zinc oxide nanoparticles in
human monocytes and macrophages.
AB - Significant public and scientific concerns remain for the use of nanoparticles
(NPs) in commercial products, particularly those applied topically for skin care.
There are currently a range of metal oxides formulated into many sunscreens that
are present at the nanoscale. In this study, we sought to determine the effect of
the size and dispersion of one type of these NPs (zinc oxide) on immune cell
function and cytotoxicity for human macrophages and monocytes, which are key
cells for particle and debris clearance in the skin. We have found that particle
size and coating, but surprisingly, not agglomeration, are key determinates of
nanoparticle cytotoxicity in an in vitro culture system of human immune cells.
Most importantly, we found that this nanoparticle-induced cellular immune
signalling, can be decoupled from cytotoxicity and surface coating, so that at an
equivalent cytotoxic load, smaller particles induce a greater cellular response.
PMID- 22087560
TI - Evolutionary insights into postembryonic development of adult intestinal stem
cells.
AB - In the adult vertebrate intestine, multi-potent stem cells continuously generate
all of the epithelial cells throughout the adulthood. While it has long been
known that the frog intestine is formed via the development of adult intestinal
stem cells during thyroid hormone (TH)-dependent metamorphosis, the basic
structure of the adult intestine is formed by birth in mammals and it is unclear
if the subsequent maturation of the intestine involves any changes in the
intestinal stem cells. Two recent papers showing that B lymphocyte-induced
maturation protein 1 (Blimp1) regulates postnatal epithelial stem cell
reprogramming during mouse intestinal maturation support the model that adult
intestinal stem cells are developed during postembryonic development in mammals,
in a TH-dependent process similar to intestinal remodeling during amphibian
metamorphosis. Since the formation of the adult intestine in both mammals and
amphibians is closely associated with the adaptation from aquatic to terrestrial
life during the peak of endogenous TH levels, the molecular mechanisms by which
the adult stem cells are developed are likely evolutionally conserved.
PMID- 22087561
TI - A 3D-QSAR-driven approach to binding mode and affinity prediction.
AB - A method for predicting the binding mode of a series of ligands is proposed. The
procedure relies on three-dimensional quantitative structure-activity
relationships (3D-QSAR) and does not require structural knowledge of the binding
site. Candidate alignments are automatically built and ranked according to a
consensus scoring function. 3D-QSAR analysis based on the selected binding mode
enables affinity prediction of new drug candidates having less than 10 rotatable
bonds.
PMID- 22087562
TI - Electronic stabilization of trigonal bipyramidal clusters: the role of the Sn(II)
ions in [Pt5(CO)5{Cl2Sn(MU-OR)SnCl2}3]3- (R = H, Me, Et, iPr).
AB - The new [Pt(5)(CO)(5){Cl(2)Sn(MU-OR)SnCl(2)}(3)](3-) (R = H, Me, Et, (i)Pr; 1-4)
clusters contain trigonal bipyramidal (TBP) Pt(5)(CO)(5) cores, as certified by
the X-ray structures of [Na(CH(3)CN)(5)][NBu(4)](2)[1].2CH(3)CN and
[PPh(4)](3)[4].3CH(3)COCH(3). The TBP geometry, which is rare for group 10
metals, is supported by an unprecedented interpenetration with a nonbonded
trigonal prism of tin atoms. By capping all the Pt(3) faces, the Sn(II) lone
pairs account for both Sn-Pt and Pt-Pt bonding, as indicated by DFT and
topological wave function studies. In the TBP interactions, the metals use their
vacant s and p orbitals using the electrons provided by Sn atoms, hence mimicking
the electronic picture of main group analogues, which obey the Wade's rule. Other
metal TBP clusters with the same total electron count (TEC) of 72 are different
because the skeletal bonding is largely contributed by d-d interactions (e.g.,
[Os(5)(CO)(14)(PR(3))(MU-H)(n)](n-2), n = 0, 1, 2). In 1-4, fully occupied d
shells at the Pt(ax) atoms exert a residual nucleophilicity toward the adjacent
main group Sn(II) ions permitting their hypervalency through unsual metal
donation.
PMID- 22087563
TI - Monounsaturated fatty acid, carbohydrate intake, and diabetes status are
associated with arterial pulse pressure.
AB - BACKGROUND: Diabetes is a global epidemic. Cardiovascular disease (CVD) is one of
the most prevalent consequences of diabetes. Nutrition is considered a modifiable
risk factor for CVD, particularly for individuals with diabetes; albeit, there is
little consensus on the role of carbohydrates, proteins and fats for arterial
health for persons with or without diabetes. In this study, we examined the
association of macronutrients with arterial pulse pressure (APP), a surrogate
measure of arterial health by diabetes status and race. METHODS: Participants
were 892 Mexican Americans (MA), 1059 Black, non-Hispanics (BNH) and 2473 White,
non-Hispanics (WNH) with and without diabetes of a weighted sample from the
National Nutrition and Health Examination Survey (NHANES) 2007-2008. The cross
sectional analysis was performed with IBM-SPSS version 18 with the complex sample
analysis module. The two-year sample weight for the sub-sample with laboratory
values was applied to reduce bias and approximate a nationally, representative
sample. Arterial stiffness was assessed by arterial pulse pressure (APP).
RESULTS: APP was higher for MA [B = 0.063 (95% CI 0.015 to 0.111), p = 0.013] and
BNH [B = 0.044 (95% CI 0.006 to 0.082), p = 0.018] than WNH, controlling for
diabetes, age, gender, body mass index (BMI), fiber intake, energy intake (Kcal)
and smoking. A two-way interaction of diabetes by carbohydrate intake (grams) was
inversely associated with APP [B = -1.18 (95% CI -0.178 to -0.058), p = 0.001],
controlling for race, age, gender, BMI, Kcal and smoking. BNH with diabetes who
consumed more mono-unsaturated fatty acids (MUFA) than WNH with diabetes had
lower APP [B = -0.112 (95%CI-0.179 to -0.045), p = 0.003] adjusting for saturated
fatty acids, Kcal, age, gender, BMI and smoking. CONCLUSION: Higher MUFA and
carbohydrate intake for persons with diabetes reflecting lower APP may be due to
replacement of saturated fats with CHO and MUFA. The associations of APP with
diabetes, race and dietary intake need to be confirmed with intervention and
prospective studies. Confirmation of these results would suggest that dietary
interventions for minorities with diabetes may improve arterial health.
PMID- 22087564
TI - Effects of maternal education on diet, anemia, and iron deficiency in Korean
school-aged children.
AB - BACKGROUND: We investigated the relationship among socioeconomic status factors,
the risk of anemia, and iron deficiency among school-aged children in Korea.
METHODS: The sample consisted of fourth-grade students aged 10 y recruited from
nine elementary schools in Korean urban areas in 2008 (n = 717). Anthropometric
and blood biochemistry data were obtained for this cross-sectional observational
study. Anemia was defined as hemoglobin levels lower than 11.5 g/dl. Iron
deficiency was defined as serum iron levels lower than 40 ug/dl. We also obtained
data on parental education from questionnaires and on children's diets from 3-day
food diaries. Parental education was categorized as low or high, with the latter
representing an educational level beyond high school. RESULTS: Children with more
educated mothers were less likely to develop anemia (P = 0.0324) and iron
deficiency (P = 0.0577) than were those with less educated mothers. This group
consumed more protein (P = 0.0004) and iron (P = 0.0012) from animal sources than
did the children of less educated mothers, as reflected by their greater
consumption of meat, poultry, and derivatives (P < 0.0001). Logistic regression
analysis revealed a significant inverse relationship between maternal education
and the prevalence of anemia (odds ratio: 0.52; 95% confidence interval: 0.32,
0.85). CONCLUSIONS: As a contributor to socioeconomic status, maternal education
is important in reducing the risk of anemia and iron deficiency and in increasing
children's consumption of animal food sources.
PMID- 22087565
TI - The EFSA health claims 'learning experience'.
AB - The European Food Safety Authority (EFSA) has recently highlighted the need to
provide scientific requirements for health claims and to find new regulatory
issues for healthy food products. For this reason, EFSA asked its Dietetic
Products, Nutrition and Allergies (NDA) panel to draft additional guidelines on
scientific assessment of these claims. A new approach for a strict substantiation
of health issues in selected fields: the Regulation (EC) No. 1924/2006
established in fact that health claims applications should only be authorized
after a high level of scientific validation conducted by EFSA using appropriate
measures in this evaluation. The legislation should not only protect consumers
but also define specific research areas with appropriate outcome measures to
assess the quality, relevance and adequacy of studies conducted for scientific
validation of health claims. The new system was not only a necessary support for
consumers to make the correct choice of products, but rather a way for EFSA to
demonstrate transparency of this new approach. This was the field of the
pharmaceutical industry, this new regulation is, therefore, also for EFSA, an
expensive learning process.
PMID- 22087566
TI - Self-repairing systems based on ionomers and epoxidized natural rubber blends.
AB - The development of materials with the ability of intrinsic self-repairing after
damage in a fashion resembling that of living tissues has important scientific
and technological implications, particularly in relation to cost-effective
approaches toward damage management of materials. Natural rubbers with epoxy
functional groups in the macromolecular chain (ENR) and ethylene-methacrylic acid
ionomers having acid groups partially neutralized with metal ions possess self
repairing behavior following high energy impacts. This research investigates the
self-repairing behavior of both ENR and ionomers during ballistic puncture test
on the basis of their thermal and mechanical properties. Heterogeneous blending
of ionomers and ENR have also been used here as a strategy to tune the thermal
and mechanical properties of the materials. Interestingly, blends of sodium ion
containing ionomer exhibit complete self-repairing behavior, whereas blends of
zinc ion containing ionomer show limited mending. The chemical structure studied
by FTIR and thermal analysis shows that both ion content of ionomer and
functionality of ENR have significant influence on the self-repairing behavior of
blends. The mobility of rubbery phases along with its interaction to ionomer
phase in the blends significantly changes the mending capability of materials.
The healing behavior of the materials has been discussed on the basis of their
thermal, mechanical, and rheological tests for each materials.
PMID- 22087567
TI - Phenotypic variation in a Chinese family with 46,XY and 46,XX 17alpha-hydroxylase
deficiency.
AB - BACKGROUND: 17alpha-hydroxylase deficiency is a rare autosomal recessive disorder
characterized by sexual infantilism, amenorrhea, hypertension and hypokalemia,
which is caused by mutations in the CYP17A1 gene. To date, more than 50 mutations
in this gene have been described. METHODS: The clinical features and biochemical
data of a pair of 46,XY and 46,XX Chinese siblings with 17alpha-hydroxylase
deficiency from Singapore were studied. Direct DNA sequence analysis of the
CYP17A1 gene was performed. RESULTS: There was significant phenotypic variation
between the siblings. The proband (46,XY) presented classically with sexual
infantilism, amenorrhea and hypertension. The younger sibling (46,XX) also
presented with amenorrhea, but she had breast development and absence of
hypokalemic hypertension. The same compound heterozygous mutations in CYP17A1
gene were identified in both patients. A missense mutation (P409R) was detected
in exon 7, and a 9-bp deletion (D487-S488-F489del) was detected in exon 8.
CONCLUSION: We confirmed the diagnosis of 17alpha-hydroxylase deficiency in these
two patients. Both P409R and D487-S488-F489del have been described previously and
are widely propagated in the Chinese population in East and Southeast Asia. We
propose that the phenotypic expression of affected individuals with 17alpha
hydroxylase deficiency is karyotype-dependent, with individuals having the 46,XX
karyotype having less pronounced clinical symptoms.
PMID- 22087568
TI - Silicon-based Lewis acid assisted cinchona alkaloid catalysis: highly
enantioselective aza-Michael reaction under solvent-free conditions.
AB - The study showed that a combination of an achiral silicon-based Lewis acid and
chiral Lewis base, such as iodotrimethylsilane (TMSI) and cinchonine, generated a
highly enantioselective catalyst system under solvent-free conditions which gave
aromatic beta-amino ketones with up to >99% ee. Mechanistic studies demonstrate
the enhanced asymmetric induction may be due to the combined and competitive
activation of a carbonyl moiety of chalcone with cinchonine and the silicon-based
Lewis acid in the aza-Michael reaction.
PMID- 22087569
TI - The need for support services for family carers of people with motor neurone
disease (MND): views of current and former family caregivers a qualitative study.
AB - PURPOSE: Family carers provide the majority of home-based care for people with
motor neurone disease (MND). Carers' need for, and use of, support services are
not fully understood; this study aimed to explore, from a qualitative
perspective, the views of current and former family carers of people with MND.
METHODS: A qualitative study was undertaken in Northwest England, using narrative
interviews with current (18) and former (10) carers of a family member with MND.
An optional longitudinal element involving diary completion was offered to the
current carers. Data were analyzed using a thematic framework approach. RESULTS:
Carer's needs vary, but encompass the provision of information and training,
availability of respite care, counselling, and access to trained paid-for carers.
CONCLUSIONS: There is need for a range of support services to be made available
from which carers can select those most appropriate for them. Some support
services are not always available for carers of this client group. There is a
need for carers to access greater manual handling and training for physical care.
Without sufficient support, carer burden can be overwhelming which may impact on
the place of care of the patient and ultimately has implications for health and
social care services.
PMID- 22087570
TI - In silico and in vitro genotoxicity evaluation of levofloxacin n-oxide, an
impurity in levofloxacin.
AB - Impurities in drug substances and drug products generally do not have beneficial
effects and may impose a risk without associated benefit. Levofloxacin n-oxide is
an impurity isolated from levofloxacin. However there is insufficient toxic
information about levofloxacin n-oxide. This study investigates the genotoxicity
of this impurity by in silico and in vitro methods. We used Derek, a commercial
structure-activity relationship software package as an in silico tool. The
results showed that there was a structural alert (quinolone-3-carboxylic acid or
naphthyridine analogue) in this impurity. Then the mouse lymphoma assay (MLA) and
chromosome aberration assay in Chinese hamster lung (CHL) cells were conducted in
vitro. Both assays were conducted in the presence or absence of S-9 mix. The test
impurity was not mutagenic in the test of MLA. While there was a statistically
significant increase in the number of metaphase CHL cells with structural
aberrations at the concentration of 1 mg/mL with S-9 mix, and the aberrations
rate is 6.5%. It did not significantly increase the number of structural
aberration in CHL cells in the presence (at other two doses) or absence of S-9
mix. Based on these assays, levofloxacin n-oxide could be controlled as a non
genotoxic impurity despite the DEREK alert for quinolone-3-carboxylic acid or
naphthyridine analogue.
PMID- 22087571
TI - Carbon nanotube-based robust steamphobic surfaces.
AB - The wetting behavior of a surface under steam condensation depends on its
intrinsic wettability and micrometer or nanoscale surface roughness. A typical
superhydrophobic surface may not be suitable as a steamphobic surface because of
the nucleation and growth of water inside the valleys and thus the failure to
form an air-liquid-solid composite interface. Here, we present the results of
steam condensation on chemically modified nanostructured carbon nanotube (CNT)
mats. We used a plasma-enhanced chemical vapor deposition (PECVD) process to
modify the intrinsic wettability of nanostructured CNT mats. The combination of
low surface energy achieved by PECVD and the nanoroughness of the surface
provides a mechanism to retain the superhydrophobicity of the CNT mats under
steam condensation. The ability to withstand steam temperature and pressure for
as long as 10 h implies the remarkably improved stability of the superhydrophobic
state of the surface. The thermodynamic calculations carried out using a unit
cell model clearly explain the steamphobic wetting behavior of the surface.
PMID- 22087572
TI - Neuropsychological assessment: principles, rationale, and challenges.
AB - Neuropsychological assessments are increasingly in demand for a wide range of
patients. This paper offers a survey of the basic aspects of neuropsychological
assessment that are of greatest importance for professionals (e.g.,
psychologists, psychiatrists, social workers, and lawyers) who are not trained in
neuropsychological testing, but who refer clients for neuropsychological
assessment. This survey could also serve neuropsychologists in their early stages
of training, by addressing some of the major issues related to the assessment
process. The range of goals that neuropsychological assessment may attain is
first outlined. Next, a model is presented that explains the rationale enabling
generalization from assessment to real-world functions that are the focus of
interest and the target of prediction. Issues that need to be considered before
deciding to conduct a neuropsychological evaluation are then introduced, and
sources of information available to the assessor are described. A description is
provided of what a neuropsychological assessment includes, with an emphasis on
its cognitive aspects. Finally, mention is made of some of the difficulties and
challenges that must be confronted in the course of a neuropsychological
assessment.
PMID- 22087573
TI - Role of clinical judgment and tissue harmonic imaging ultrasonography in
diagnosis of paediatric acute appendicitis.
AB - BACKGROUND: Appendicitis is the most common surgical emergency in children; yet,
diagnosis of equivocal presentations continues to challenge clinicians. AIM: The
objective of this study was to investigate the hypothesis that the use of a
modified clinical practice and harmonic ultrasonographic grading scores (MCPGS)
may improve the accuracy in diagnosing acute appendicitis in the pediatric
population. PATIENTS & METHODS: MAIN OUTCOME MEASURES: Sensitivity, specificity,
and accuracy of the modified scoring system. Five hundred and thirty patients
presented with suspected diagnosis of acute appendicitis during the period from
December 2000 to December 2009 were enrolled in this study. Children's data that
have already been published of those who presented with suspected diagnosis of
acute appendicitis- to whom a special clinical practice grading scores (CPGS)
incorporating clinical judgment and results of gray scale ultrasonography (US)
was applied- were reviewed and compared to the data of 265 pediatric patients
with equivocal diagnosis of acute appendicitis (AA), to whom a modified clinical
practice grading scores (MCPGS) was applied. Statistical analyses were carried
out using Z test for comparing 2 sample proportions and student's t-test to
compare the quantitative data in both groups. Sensitivity and specificity for the
2 scoring systems were calculated using Epi-Info software. RESULTS: The Number of
appendectomies declined from 200 (75.5%) in our previous CPGS to 187 (70.6%) in
the MCPGS (P > 0.05).Specificity was significantly higher when applying MCPGS
(90.7%) in this study compared to 70.47% in our previous work when CPGS was
applied (P < 0.01). Furthermore, the positive predictive value (PPV) was
significantly higher (95.72%) than in our previous study (82.88%), (P < 0.01).
Overall agreement (accuracy) of MCPGS was 96.98%. Kappa = 0.929 (P < 0.001).
Negative predictive power was 100%. And the Overall agreement (accuracy) was
96.98%. CONCLUSIONS: MCPGS tends to help in reduce the numbers of avoidable and
unnecessary appendectomies in suspected cases of pediatric acute appendicitis
that may help in saving hospital resources.
PMID- 22087574
TI - Belief in a just world, generalised self-efficacy and stigma may contribute to
unsafe sexual intentions via a reduced perception of vulnerability to HIV/AIDS
amongst young people in Ghana.
AB - Complacency about one's HIV risk status may reduce the intention to practise safe
sex. It was hypothesised that belief in a just world and stigmatising attitudes
may contribute to complacency and thereby have a negative impact on safe sex
intention. A sample of 238 young people in Ghana, ranging in age from 14 to 22,
completed the Generalised Self-Efficacy Scale and the Belief in a Just World
Scales for Self and Other, together with self-report measures of stigmatising
attitudes, perceived vulnerability to HIV/AIDS and intentions to practise safe
sex. Mediation analysis supported the hypothesis that stigma and just world
beliefs may have a negative impact on safe sex intention that is mediated by a
reduced perception of vulnerability. It was also found that generalised self
efficacy beliefs had a similar mediated impact. Each of the three factors had a
mediated impact that was relatively independent of the other two factors. In
addition, stigma had a negative direct (unmediated) effect on safe sex intention
(i.e., more stigma was associated with reduced safe sex intention); but
generalised self-efficacy beliefs had a positive direct effect (i.e., greater
belief was associated with increased safe sex intention). The study replicates
some previous research about stigma, and provides new evidence about the role of
just world and generalised self-efficacy beliefs. Implications for public health
programmes are discussed.
PMID- 22087575
TI - Alcohol use and psychiatric comorbid disorders predict deliberate self-harm
behaviour and other suicidality among depressed adolescent outpatients in 1-year
follow-up.
AB - BACKGROUND: Suicidality, including deliberate self-harm behaviour (DSH),
represents one of the most adverse and clinically serious consequences of
depression. More detailed longitudinal research is needed in order to find
clinical risk factors of DSH and other suicidal behaviour among depressed
adolescent outpatients in order to identify those at greatest risk of life
threatening behaviour. AIM: This follow-up study investigated alcohol use, Axis I
comorbid disorders, depressive and anxiety symptoms, and psychosocial functioning
as risk factors of suicidal behaviour, including DSH, among depressed adolescent
outpatients during a 1-year follow-up. METHODS: Consecutive depressed adolescent
outpatients (n = 189) aged 13-19 years were interviewed at baseline and at follow
up by using the Schedule for Affective Disorders and Schizophrenia for School
Aged Children-Present and Lifetime (K-SADS-PL) for DSM-IV Axis I diagnoses and
self-report questionnaires. Suicidal behaviour was assessed by K-SADS suicidality
items. RESULTS: During 1-year follow-up, one-quarter of all participants, and
almost three-quarters of suicidal adolescents had DSH. Alcohol use and mood
disorder with Axis I comorbidity at baseline predicted both DSH and other
suicidal behaviour during follow-up. Mood disorder during follow-up predicted all
forms of suicidal behaviour. CONCLUSIONS: Detection and effective treatment of
continuing mood disorder, comorbid disorders and alcohol use may significantly
improve clinician's ability to identify adolescent outpatients at high risk of
subsequent DSH and other suicidal behaviour. Treatment interventions should aim
at full recovery of depression.
PMID- 22087576
TI - The effect of adding whole-body vibration to squat training on the functional
performance and self-report of disease status in elderly patients with knee
osteoarthritis: a randomized, controlled clinical study.
AB - OBJECTIVES: The study objectives were to evaluate the effects of adding whole
body vibration to squat training on functional performance and self-report of
disease in elderly individuals with knee osteoarthritis (OA). DESIGN: This was a
prospective, randomized trial in which selected variables were evaluated at three
periods: 3 weeks prior to the training, immediately prior, and after the end of
the training. SUBJECTS: Twenty-three (23) elderly subjects were evaluated using
four functional performance tests: Berg Balance Scale (BBS), Timed Get Up and Go
Test (TGUG), Chair Stand Test (CST), and 6-Minute Walk Test (6MWT), and a self
report of the status of disease (WOMAC). INTERVENTIONS: The intervention lasted
for 12 weeks, 3 times per week. The participants were randomized into two groups:
(1) squat training with whole-body vibration, and (2) squat training without
vibration. RESULTS: Although there was no statistical difference in functional
performance and self-report of disease status between the groups, performance in
all the functional tests and in all the domains of WOMAC improved in the
vibration group compared to their initial status. In the exercise group,
performance improved only two tests (BBS and 6MWT), and there was a reduction in
self-reported pain (WOMAC) compared to their initial status. CONCLUSIONS:
Although the addition of whole-body vibration to squat training failed to result
in a significant improvement in functional performance and self-reported status
of knee osteoarthritis in the elderly, the intragroup results suggest that whole
body vibration may represent a feasible and effective way of improving the
functionality and self-perception of disease status in older adults with knee OA.
PMID- 22087577
TI - The use of insulin in elderly patients with type 2 diabetes mellitus.
AB - INTRODUCTION: Older patients with diabetes sometimes present comorbidities that
increase the risk of other common geriatric syndromes. In such patients,
treatment with insulin is usually started when full doses of oral hypoglycemic
agents are no longer adequate to achieve acceptable glycemic control. AREAS
COVERED: This article reviews the available literature on the use of insulin in
elderly patients with type 2 diabetes. The aims are to gain information on: the
benefits and risks of initiating insulin treatment, the efficacy and safety of
different types of insulin and the most appropriate initial dosing and titration
regimens. Thirteen published trials have evaluated the effects of different
insulin regimens in the management of elderly subjects with type 2 diabetes but,
given that older people are generally excluded in clinical studies with insulin,
only three published reports on subgroup analyses are limited to elderly
patients. EXPERT OPINION: The available literature shows that the addition of
insulin to current oral treatments is generally safe and effective in improving
metabolic control, with a low risk for hypoglycemia. Further research is needed
to better understand the most appropriate insulin regimens necessary to achieve
glycemic goals while appropriately addressing the risk of hypoglycemia.
PMID- 22087578
TI - IL-6 and soluble IL-6 receptor stimulate the production of MMPs and their
inhibitors via JAK-STAT and ERK-MAPK signalling in human chondrocytes.
AB - Elevated concentrations of IL-6 (interleukin-6) and sIL-6r (soluble IL-6
receptor) in the synovial fluid and serum of patients with arthritis have been
implicated in joint cartilage destruction. This study examined the effects of IL
6 and sIL-6r on the expression of MMPs (matrix metalloproteinases), TIMPs (tissue
inhibitor of metalloproteinases), the plasminogen activation system including tPA
(tissue-type PA), uPA (urokinase-type PA) and PAI-1 (PA inhibitor type 1) using
chondrocytes derived from normal human femur cartilage. The cells were cultured
with or without 50 ng/ml IL-6 and/or 30 ng/ml sIL-6r in the presence or absence
of the JAK3 (Janus kinase 3) inhibitor WHI-P131 or the MEK [MAPK (mitogen
activated protein kinase)/ERK (extracellular signal protein kinase) kinase]
inhibitor PD98059 for up to 28 days. The expression of MMPs, TIMPs, uPA, tPA and
PAI-1 was investigated at the mRNA and protein levels. MMP protein expression and
pSTAT3 (phosphorylation of signal transducer and activator of transcription 3)
and pERK (phosphorylation of ERK) were also measured. Treatment with both IL-6
and sIL-6r markedly increased the expression of MMP-1, MMP-13, TIMP-1 and PAI-1,
while significantly decreasing the expression of tPA and uPA and stimulating
pSTAT3 and pERK. Adding WHI-P131 or PD98059 decreased IL-6 and sIL-6r enhancement
of MMP-1, -3 and -13. The results suggest that IL-6 and sIL-6r stimulate the
production of MMPs and their inhibitor via JAK-STAT and ERK-MAPK signalling in
chondrocytes.
PMID- 22087580
TI - Spindles and active vortices in a model of confined filament-motor mixtures.
AB - BACKGROUND: Robust self-organization of subcellular structures is a key principle
governing the dynamics and evolution of cellular life. In fission yeast cells
undergoing division, the mitotic spindle spontaneously emerges from the
interaction of microtubules, motor proteins and the confining cell walls, and
asters and vortices have been observed to self-assemble in quasi-two dimensional
microtubule-kinesin assays. There is no clear microscopic picture of the role of
the active motors driving this pattern formation, and the relevance of continuum
modeling to filament-scale structures remains uncertain. RESULTS: Here we present
results of numerical simulations of a discrete filament-motor protein model
confined to a pressurised cylindrical box. Stable spindles, nematic
configurations, asters and high-density semi-asters spontaneously emerge, the
latter pair having also been observed in cytosol confined within emulsion
droplets. State diagrams are presented delineating each stationary state as the
pressure, motor speed and motor density are varied. We further highlight a
parameter regime where vortices form exhibiting collective rotation of all
filaments, but have a finite life-time before contracting to a semi-aster.
Quantifying the distribution of life-times suggests this contraction is a Poisson
process. Equivalent systems with fixed volume exhibit persistent vortices with
stochastic switching in the direction of rotation, with switching times obeying
similar statistics to contraction times in pressurised systems. Furthermore, we
show that increasing the detachment rate of motors from filament plus-ends can
both destroy vortices and turn some asters into vortices. CONCLUSIONS: We have
shown that discrete filament-motor protein models provide new insights into the
stationary and dynamical behavior of active gels and subcellular structures,
because many phenomena occur on the length-scale of single filaments. Based on
our findings, we argue the need for a deeper understanding of the microscopic
activities underpinning macroscopic self-organization in active gels and urge
further experiments to help bridge these lengths.
PMID- 22087579
TI - Impact of an abdominal belt on breathing patterns and scan efficiency in whole
heart coronary magnetic resonance angiography: comparison between the UK and
Japan.
AB - BACKGROUND: Long acquisition times and complex breathing motion patterns lead to
suboptimal image quality in whole heart coronary magnetic resonance angiography
(WHCMRA). To overcome this problem, an abdominal belt (BELT) has been suggested
by a Japanese group. However, its applicability in a Western population has not
been previously demonstrated. The purpose of this study was to investigate 1) how
the application of a BELT alters breathing patterns during MR scanning and 2)
whether the BELT has a similar impact on breathing patterns in UK and Japanese
patient populations. METHODS: 30 patients (15 in the UK and 15 in Japan) were
studied at 1.5 Tesla (Achieva, Philips Healthcare). Real time navigator
positioned through the right diaphragm in cranio-caudal direction was evaluated.
Measurements were performed in the supine position with free breathing for one
minute before and after a tight-fitting BELT was positioned around the patient's
abdomen. End expiratory position (EEP), end inspiratory position (EIP), end
expiratory duration (EED) for the right diaphragm and respiratory rate (RR) were
obtained. Scan efficiency (SE) was calculated as follows; SE = [the duration
within 5 mm gating window per minutes]/[RR interval]/[heart rate]. RESULTS:
Height and weight of UK patients were significantly larger than in the Japanese
population (171.2 +/- 10.8 cm vs 160.8 +/- 8.5 cm, p = 0.007; 80.5 +/- 22.5 kg vs
59.9 +/- 7.7 kg, p = 0.004). After fitting the BELT, EEP-EIP decreased (all
patients, 14.9 +/- 6.2 mm to 9.4 +/- 3.8 mm, p < 0.001; UK patients, 15.9 +/- 6.0
mm to 9.7 +/- 3.1 mm, p = 0.001; Japanese patients, 14.0 +/- 6.4 mm to 9.1 +/-
4.6 mm, p = 0.001), RR increased (all patients, 10.0 +/- 3.1 min(-1) to 11.2 +/-
3.0 min(-1), p = 0.003; UK patients, 9.5 +/- 2.8 min(-1) to 10.7 +/- 2.8 min(-1),
p = 0.038; Japanese patients, 10.4 +/- 3.5 min(-1) to 11.8 +/- 3.1 min(-1), p =
0.036), and calculated scan efficiency increased (all patients, 45.3 +/- 11.4% to
58.6 +/- 17.0%, p < 0.001; UK patients, 44.2 +/- 10.8% to 55.7 +/- 16.7%, p =
0.004; Japanese patients, 46.3 +/- 32.2% to 61.0 +/- 17.6%, p = 0.001). No
significant differences were found between UK and Japanese patients before and
after administration of the BELT. CONCLUSION: Using a BELT significantly
increases whole-heart coronary MR angiography scan efficiency in both UK and
Japanese patients.
PMID- 22087581
TI - Mental health and behaviour of students of public health and their correlation
with social support: a cross-sectional study.
AB - BACKGROUND: Future public health professionals are especially important among
students partly because their credibility in light of their professional messages
and activities will be tested daily by their clients; and partly because health
professionals' own lifestyle habits influence their attitudes and professional
activities. A better understanding of public health students' health and its
determinants is necessary for improving counselling services and tailoring them
to demand. Our aim was to survey public health students' health status and
behaviour with a focus on mental health. METHODS: A cross-sectional study was
carried out among public health students at 1-5-years (N = 194) with a self
administered questionnaire that included standardized items on demographic data,
mental wellbeing characterized by sense of coherence (SoC) and psychological
morbidity, as well as health behaviour and social support. Correlations between
social support and the variables for mental health, health status and health
behaviour were characterized by pairwise correlation. RESULTS: The response rate
was 75% and represented students by study year, sex and age in the Faculty.
Nearly half of the students were non-smokers, more than one quarter smoked daily.
Almost one-fifth of the students suffered from notable psychological distress.
The proportion of these students decreased from year 1 to 5. The mean score for
SoC was 60.1 and showed an increasing trend during the academic years. 29% of the
students lacked social support from their student peers. Significant positive
correlation was revealed between social support and variables for mental health.
Psychological distress was greater among female public health students than in
the same age female group of the general population; whereas the lack of social
support was a more prevalent problem among male students. CONCLUSIONS: Health
status and behaviour of public health students is similar to their non-students
peers except for their worse mental health. Future public health professionals
should be better prepared for coping with the challenges they face during their
studies. Universities must facilitate this process by providing helping services
targeted at those with highest risk, and developing training to improve coping
skills. Social support is also a potentially amenable determinant of mental
health during higher education.
PMID- 22087582
TI - Enhanced photoluminescence in Au-embedded ITO nanowires.
AB - Gold (Au)-embedded indium tin oxide (ITO) nanowires were synthesized by thermal
evaporation of a mixture of In(2)O(3,) SnO(2) and graphite powders on Si (100)
substrates coated with Au thin films followed by annealing. At the initial stages
of annealing, Au formed a continuous linear core located along the long axis of
each ITO nanowire. The morphology of the Au core changed from a continuous line
to a discrete line, and then to a droplet-like chain, finally evolving into a
peapod in which crystalline Au nanoparticles were encapsulated in crystalline ITO
with increasing annealing temperature. The ITO nanowires with the Au core showed
an emission band at ~380 nm in the ultraviolet region. The ultraviolet emission
intensity increased rapidly with increasing annealing temperature. The intensity
of emission from the Au-peapod ITO nanowires (annealed at 750 degrees C) was
approximately 20 times higher than that of the emission from the Au-core/ITO
shell ITO nanowires with a continuous linear shaped-Au core (annealed at 550
degrees C). This ultraintense ultraviolet emission might have originated mainly
from the enhanced crystalline quality of the annealed ITO nanowires.
PMID- 22087583
TI - Remarkable stereospecific conjugate additions to the Hsp90 inhibitor celastrol.
AB - Celastrol, an important natural product and Hsp90 inhibitor with a wide range of
biological and medical activities and broad use as a biological probe, acts by an
as yet undetermined mode of action. It is known to undergo Michael additions with
biological sulfur nucleophiles. Here it is demonstrated that nucleophiles add to
the pharmacophore of celastrol in a remarkable stereospecific manner. Extensive
characterization of the addition products has been obtained using NMR
spectrometry, nuclear Overhauser effects, and density functional theory to
determine facial selectivity and gain insight into the orbital interactions of
the reactive centers. This stereospecificity of celastrol may be important to its
protein target selectivity.
PMID- 22087584
TI - Structure change induced by terminal sulfur in noncentrosymmetric La2Ga2GeS8 and
Eu2Ga2GeS7 and nonlinear-optical responses in middle infrared.
AB - Two new noncentrosymmetric quaternary sulfides, La(2)Ga(2)GeS(8) (1) and
Eu(2)Ga(2)GeS(7) (2), have been synthesized by high-temperature solid-state
reactions. The structure change on going from 1 to 2 to the known
Li(2)Ga(2)GeS(6) (3) nicely shows that the reduced cation charge-compensation
requirement causes a decrease in the number of terminal S atoms per formula,
which is a key to determining the connectivity of the GaS(4) and GeS(4) building
units. Powder sample 2 exhibits a strong second-harmonic-generation (SHG)
response of about 1.6 times the benchmark AgGaS(2) at 2.05 MUm laser radiation, a
non type I phase-matchable behavior, and a comparable transparency region. The
SHG intensities of these compounds originate from the electronic transitions from
S 3p states to La/Eu/Li-S, Ga-S, and Ge-S antibonding states according to Vienna
ab initio simulation package studies.
PMID- 22087585
TI - Lipid-altering effects of a dietary supplement tablet containing free plant
sterols and stanols in men and women with primary hypercholesterolaemia: a
randomized, placebo-controlled crossover trial.
AB - This randomized, placebo-controlled, crossover trial assessed the lipid-altering
efficacy of a dietary supplement (tablet form) providing 1.8 g/day free (non
esterified) plant sterols and stanols versus placebo for 6 weeks as part of a
therapeutic lifestyle changes (TLC) diet in 32 men and women with primary
hypercholesterolaemia. Mean +/- SE baseline (end of a 5-week TLC diet lead-in)
lipid concentrations (mmol/l) were total cholesterol (TC), 5.88 +/- 0.08; non
high-density lipoprotein cholesterol (non-HDL-C), 4.71 +/- 0.09; low-density
lipoprotein cholesterol (LDL-C), 4.02 +/- 0.08; HDL-C, 1.17 +/- 0.06 and
triglycerides (TGs), 1.51 +/- 0.12. Differences from control in responses (plant
sterol/stanol - control) were significant (p < 0.05) for LDL-C ( - 4.9%), non-HDL
C ( - 3.6%) and TC ( - 2.8%). HDL-C and TG responses were not significantly
different between treatment conditions. These results indicate that 1.8 g/day
free plant sterols/stanols administered in a tablet produced favourable
lipoprotein lipid changes in men and women with hypercholesterolaemia.
PMID- 22087586
TI - Measurement of gender inequality in neighbourhoods of Quebec, Canada.
AB - INTRODUCTION: Few studies have measured gender inequality at levels lower than
the country. We sought to develop neighbourhood indicators of gender inequality,
and assess their ability to capture variability in gender inequality across
Quebec, Canada. METHODS: Aggregate 2001 census data for 11,564 neighbourhoods
were obtained for men and women. Twelve indicators of gender inequality
representing demographic/household characteristics, education, income,
work/leisure, and political participation were selected. Neighbourhood-level
gender inequality scores were computed for each indicator, and examined across
parts of Quebec (metropolitan areas, mid-sized cities, rural areas). Monte Carlo
simulations were used to assess the ability of indicators to capture
heterogeneity in gender inequality across neighbourhoods. RESULTS: Male-dominant
neighbourhood-level gender inequality tended to be present for average employment
income, labour force participation, employment rate, and employment in managerial
positions. Female-dominant gender inequality tended to be present for divorce,
single-headed households, and participation in unpaid housework, child and
elderly care. Neighbourhood-level gender inequality tended to vary across
metropolitan areas, mid-sized cities, and rural areas. Gender inequality scores
also varied within these geographic areas. For example, there was greater income
related gender inequality in high than low income neighbourhoods. Monte Carlo
simulations suggested that the variation in gender inequality across
neighbourhoods was greater than expected with chance alone. CONCLUSION:
Neighbourhood-level gender inequality tended to be present in Quebec, and varied
across parts of the province. Greater awareness of and research on neighbourhood
level gender inequality may be warranted to inform gender policies in Quebec and
other nations.
PMID- 22087587
TI - Use of venlafaxine in psychiatric disorders and climacteric syndrome: is a
therapeutic bridge?
AB - OBJECTIVE: To verify the efficacy of the double-action mechanism of venlafaxine
for depression and climacteric symptoms. METHODS: A group of 20 postmenopausal
women (age range 40-60 years) with diagnosis of major depressive disorder,
generalized anxiety disorder and climacteric symptoms was enrolled. All
participants received venlafaxine (75 mg/day) for 2 months. Clinical checkup and
evaluation test were repeated every 2 weeks for 2 months of treatment. RESULTS:
Before treatment, the mean scores for the clinical evaluation scales (Hamilton
Depression Rating Scale and Hamilton Anxiety Rating Scale) were 13.9 and 18.7,
respectively (mild-moderate severity). The general level of psychopathology was
not particularly high (Symptomatology Checklist-90, mean total 103), the most
common psychopathological dimensions were depression and somatization. The sample
suffered from mild climacteric syndrome (Kupperman Index Score, mean = 19.1).
Clinical improvement was visible after 2 weeks of treatment and continued until
the last checkup, 2 months after the start of treatment (final Hamilton
Depression Rating Scale and Hamilton Anxiety Rating Scale scores: 5.1 and 6.3,
respectively). Kupperman Index Scores at the end of the treatment period
demonstrated complete resolution of the climacteric syndrome (mean score = 6.57).
CONCLUSION: Venlafaxine is efficacy in treating both psychiatric disorders and
climacteric symptomatology.
PMID- 22087588
TI - Rationalizing tight ligand binding through cooperative interaction networks.
AB - Small modifications of the molecular structure of a ligand sometimes cause strong
gains in binding affinity to a protein target, rendering a weakly active chemical
series suddenly attractive for further optimization. Our goal in this study is to
better rationalize and predict the occurrence of such interaction hot-spots in
receptor binding sites. To this end, we introduce two new concepts into the
computational description of molecular recognition. First, we take a broader view
of noncovalent interactions and describe protein-ligand binding with a
comprehensive set of favorable and unfavorable contact types, including for
example halogen bonding and orthogonal multipolar interactions. Second, we go
beyond the commonly used pairwise additive treatment of atomic interactions and
use a small world network approach to describe how interactions are modulated by
their environment. This approach allows us to capture local cooperativity effects
and considerably improves the performance of a newly derived empirical scoring
function, ScorpionScore. More importantly, however, we demonstrate how an
intuitive visualization of key intermolecular interactions, interaction networks,
and binding hot-spots supports the identification and rationalization of tight
ligand binding.
PMID- 22087589
TI - Attraction of night-migrating birds to light-blue structures causes mass bird
deaths.
PMID- 22087590
TI - Using J-coupling constants for force field validation: application to hepta
alanine.
AB - A computational solution to the protein folding problem is the holy grail of
biomolecular simulation and of the corresponding force fields. The complexity of
the systems used for folding simulations precludes a direct feedback between the
simulations and the force fields, thus necessitating the study of simpler systems
with sufficient experimental data to allow force field optimization and
validation. Recent studies on short polyalanine peptides of increasing length (up
to penta-alanine) indicated the presence of a systematic deviation between the
experimental (NMR-derived) J-couplings and the great majority of biomolecular
force fields, with the chi(2) values for even the best-performing force fields
being in the 1.4-1.8 range. Here we show that by increasing the number of
residues to seven and by achieving convergence through an increase of the
simulation time to 2 MUs, we can identify one force field (the AMBER99SB force
field, out of the three force fields studied) which when compared with the
experimental J-coupling data (and for a specific set of Karplus equation
parameters and estimated J-coupling errors previously used in the literature)
gave a value of chi(2) = 0.99, indicating that full statistical consistency
between experiment and simulation is feasible. However, and as a detailed
analysis of the effects of estimated errors shows, the chi(2) values may be
unsuitable as indicators of the goodness of fit of the various biomolecular force
fields.
PMID- 22087591
TI - Bifunctional 3,3'-Ph2-BINOL-Mg catalyzed direct asymmetric vinylogous Michael
addition of alpha,beta-unsaturated gamma-butyrolactam.
AB - Bifunctional 3,3'-Ph(2)-BINOL-Mg catalyzed direct asymmetric vinylogous Michael
addition of alpha,beta-unsaturated gamma-butyrolactam has been developed. The
catalytic activity of this protocol was slightly affected by different types of
Michael acceptors, such as a variety of enones as well as alpha,beta-unsaturated
N-acylpyrroles. The Michael products were obtained with high
diastereoselectivities (up to 20:1) and excellent enantioselectivities (up to
98%).
PMID- 22087592
TI - Mind, self, country, and global society.
PMID- 22087593
TI - The feminization of aging: how will this impact on health outcomes and services?
AB - We conducted an integrative review to identify issues and challenges that face
aging women and to distinguish areas for future research. We found that many
older women continue to face inequities related to health and often are invisible
within the discourse of aging policy. In this article we argue for a greater
focus on the unique needs of women, a gendered approach to policy and
intervention development, and promotion of the health of women across the life
span. Policymakers, health care workers, and researchers need to consider the
perspective of gender as well as age when implementing and evaluating effective
interventions.
PMID- 22087594
TI - "They're made in factories and not by witches on the allotment": a qualitative
study of midlife women in the united kingdom, exploring their approaches to
complementary and alternative medicines.
AB - This article explores midlife women's experiences and approaches related to
complementary and alternative therapies (CAMS). Ninety-six midlife women were
asked about their use of CAMs as part of their overall approach to midlife
health. Qualitative thematic analysis was combined with a case-based approach.
Women set their experience of CAMs in the context of conventional medicine taking
and discussed their safety and different uses. For treatments requiring direct
contact with a practitioner, accessibility and quality of the relationship were
crucial. Four overall approaches could be discerned (political-critical,
pragmatic, careful and wellbeing-oriented) that dynamically interacted with
women's experiences.
PMID- 22087595
TI - Comparison of body composition measurement with whole body multifrequency
bioelectrical impedance and air displacement plethysmography in healthy middle
aged women.
AB - Our purpose was to evaluate accuracy of multifrequency bioelectrical impedance
analysis (MFBIA) using air displacement plethysmography (ADP) as the criterion
measure. Body composition of 27 women was assessed by ADP followed immediately by
MFBIA. There was a strong relationship (p = .01) between ADP and MFBIA in
absolute lean mass (r = 0.80), absolute fat mass (r = 0.99), percent lean mass (r
= 0.91), and percent fat mass (r = 0.91). Although MFBIA consistently
overestimated lean mass and underestimated fat mass compared with ADP, agreement
between measurements was within 2%-3% body fat. An accurate assessment tool,
MFBIA can be useful in clinical settings.
PMID- 22087596
TI - Body mass index in multiethnic midlife women: influence of demographic
characteristics and physical activity.
AB - We examined the influence of demographic characteristics and physical activity on
body mass index (BMI) in multiethnic midlife women. A sample of 236 African,
European, and Mexican/Central Americans aged 40-50 completed the initial, 1-year,
and 2-year assessments. The effects of demographic characteristics and physical
activity on BMI at the 2-year assessment were evaluated using hierarchical
multiple regression analysis. After controlling for other demographic factors,
ethnicity, annual household income, and physical activity at the initial
assessments were significant predictors of BMI. Body mass index (BMI) may be
influenced by socioeconomic status as well as ethnicity. Regular physical
activity should be encouraged to maintain a healthy BMI in midlife women.
PMID- 22087597
TI - Improving access to government health care in rural bangladesh: the voice of
older adult women.
AB - Our purpose in this study was to provide an in-depth understanding of the health
system-related barriers to utilization of health services by older women living
in rural Bangladesh. Interviews were conducted with 17 women in Bibirchar Union,
Sherpur district, Bangladesh, in June 2006. Three main barriers were identified:
perceived discrimination based on age, class, and gender; structural aspects of
the health care delivery system; and quality of care. Recommendations for change
in the delivery of health care in the rural regions of Bangladesh are made based
on the insights provided by this marginalized group of health care service users.
PMID- 22087598
TI - Representations and perceived consequences of menopause by peri- and post
menopausal portuguese women: a qualitative research.
AB - Our aim in conducting this study is to describe the representations and perceived
consequences of menopause, elicited through a semistructured interview with 36
Portuguese women, in peri- and post-menopause. The most prevalent response of the
interviewed women was to see menopause as a normal/neutral phase of their life
cycle (28.3%). Menses' cessation (58.7%) was identified as the most prevalent
positive consequence of menopause, and a range of psychological changes (18.3%)
was the most mentioned negative consequence. Health care provider's awareness of
women's attitudes will allow them to communicate more effectively and to
reinforce women's positive attitudes.
PMID- 22087601
TI - Can hip-fractured elderly patients maintain their rehabilitation achievements
after 1 year?
AB - PURPOSE: The purpose of this study is to characterize hip-fractured patients who
maintained or improved their functional ability, 1 year postdischarge from
rehabilitation and to identify factors associated with functional achievement
maintenance. METHODS: A retrospective study performed in a postacute geriatric
rehabilitation center. Two hundred thirty-three hip-fractured patients admitted
consecutively from January 2006 to September 2007 were enrolled in the study. The
patients were evaluated at discharge from rehabilitation and after 1 year, they
were classified into two groups: those who maintained or improved their motor
Functional Independence Measure (mFIM) scores and those who deteriorated. The
differences between the two patient groups relating to functional, clinical,
demographic and fracture-related variables were assessed. RESULTS: One year
postrehabilitation, 130 (55.8%) patients exhibited a decline in their mFIM score.
Patients whose 1-year mFIM score had improved were discharged from rehabilitation
with a less favorable mean mFIM score (50.7 +/- 18.5 vs. 55.6 +/- 15.2; p =
0.032), achieved a lower mean mFIM score gain during rehabilitation (12.0 +/- 9.7
vs. 14.6 +/- 8.1; p = 0.03), had a higher education level (p = 0.003) and had a
lower rate of chronic lung disease (p = 0.020) compared with patients whose 1
year mFIM score had deteriorated. After 1 year, only 21 patients (9%) were
functionally independent in activities of daily living and only 19 (8.2%) were
able to walk unassisted. Only 13 patients (5.6%) perceived that they had regained
their former functional level. CONCLUSIONS: A substantial decline in functional
ability of hip-fractured patients occurred 1 year postdischarge from
rehabilitation. Healthcare providers should be aware of the necessity for a long
term postrehabilitation physical training program to prevent functional decline.
Further efforts should be invested in motivating their patients to exercise.
PMID- 22087603
TI - The characteristics of the mechanoreceptors of the hip with arthrosis.
AB - Mechanoreceptors have been extensively studied in different joints and distinct
signals that convey proprioceptive information to the cortex. Several clinical
reports have established a link between the number of mechanoreceptors and a
deficient proprioceptive system; however, little or no literature suggest
concentration of mechanoreceptors might be affected by hip arthrosis. The purpose
of this study is first to determine the existence of mechanoreceptors and free
nerve endings in the hip joint and to distinguish between their conditions: those
with arthrosis and without arthrosis. Samples of 45 male hips were analyzed: 30
taken from patients with arthrosis that were submitted to total arthroplasty and
15 taken from male cadavers without arthrosis. The patients' ages ranged from 38
to75 years (average 56.5) and the cadavers' ages ranged from 21 to 50 years
(average 35.5). The capsule, labrum, and femoral head ligament tissues were
obtained during the arthroplasty procedure from 30 patients with arthrosis and
from 15 male cadavers. The tissue was cut into fragments of around 3 mm. Each
fragment was then immediately stained with gold chloride 1% solution and divided
into sections of 6 MUm thickness. The Mann-Whitney test was used for two groups
and the ANOVA, Friedman and Kruskal-Wallis tests for more than two groups.
Results show the mechanoreceptors (Pacini, Ruffini and Golgi corpuscles) and free
nerve endings are present in the capsule, femoral head ligament, and labrum of
the hip joint. When all the densities of the nerve endings were examined with
regard to those with arthrosis and those without arthrosis, the mechanoreceptors
of cadavers without arthrosis were found to be more pronounced and an increase in
free nerve endings could be observed (p = 0.0082). Further studies, especially
electrophysiological studies, need to be carried out to clarify the functions of
the mechanoreceptors in the joints.
PMID- 22087604
TI - Level of dietary protein intake affects glucose turnover in endurance-trained
men.
AB - BACKGROUND: To examine the effects of higher-protein diets on endogenous glucose
metabolism in healthy, physically active adults, glucose turnover was assessed in
five endurance-trained men (age 21.3 +/- 0.3 y, VO2peak 70.6 +/- 0.1 mL kg-1 min
1) who consumed dietary protein intakes spanning the current dietary reference
intakes. FINDINGS: Using a randomized, crossover design, volunteers consumed 4
week eucaloric diets providing either a low (0.8 g kg-1 d-1; LP), moderate (1.8 g
kg-1 d-1; MP), or high (3.6 g kg-1 d-1; HP) level of dietary protein. Glucose
turnover (Ra, glucose rate of appearance; and Rd glucose rate of disappearance)
was assessed under fasted, resting conditions using primed, constant infusions of
[6,6-2H2] glucose. Glucose Ra and Rd (mg kg-1 min-1) were higher for MP (2.8 +/-
0.1 and 2.7 +/- 0.1) compared to HP (2.4 +/- 0.1 and 2.3 +/- 0.2, P < 0.05) and
LP (2.3 +/- 0.1 and 2.2 +/- 0.1, P < 0.01) diets. Glucose levels (mmol/L) were
not different (P > 0.05) between LP (4.6 +/- 0.1), MP (4.8 +/- 0.1), and HP (4.7
+/- 0.1) diets. CONCLUSIONS: Level of protein consumption influenced resting
glucose turnover in endurance athletes in a state of energy balance with a higher
rate of turnover noted for a protein intake of 1.8 g kg-1 d-1. Findings suggest
that consumption of protein in excess of the recommended dietary allowance but
within the current acceptable macronutrient distribution range may contribute to
the regulation of blood glucose when carbohydrate intake is reduced by serving as
a gluconeogenic substrate in endurance-trained men.
PMID- 22087605
TI - Nocturnal heart rate variability parameters as potential fibromyalgia biomarker:
correlation with symptoms severity.
AB - INTRODUCTION: At present, there is neither a laboratory test nor an imaging
technique able to differentiate people with fibromyalgia (FM) from healthy
controls. This lack of an objective biomarker has hampered FM recognition and
research. Heart rate variability (HRV) analyses provide a quantitative marker of
autonomic nervous system activity. Nighttime is a stable period in which most
people are resting. Sleep is modulated by autonomic activity. Sleeping problems
are prominent in FM. The objectives of this study are: 1) to explore different
nocturnal HRV parameters as potential FM biomarkers and 2) to seek correlation
between such HRV parameters and diverse FM symptoms. METHODS: We studied 22 women
suffering from FM and 22 age-matched controls. All participants filled out
several questionnaires related to FM symptoms. All participants used a Holter
monitor over 24 hours while undertaking their routine activities during the day
and while sleeping at their homes at night. Time-domain HRV parameters analyzed
from 0000 to 0600 hours included, among others: mean normal-normal interbeat
intervals (mean NN), standard deviation of the NN intervals (SDNN), and standard
deviation of the successive NN differences (SDSD). RESULTS: Nocturnal SDNN of
less than 114 ms had the greatest predictive value to set apart patients from
controls with an odds ratio of 13.6 (95% confidence interval: 3.9 to 47.8). In
patients, decreased nighttime HRV markers indicative of sympathetic predominance
had significant correlations with several FM symptoms: SDSD was associated with
pain intensity (r = -0.65, P = 0.001). SDNN correlated with constipation (r =
0.53, P = 0.001), and mean NN with depression (r = -0.53, P = 0.001). Controls
displayed an opposite behavior. For them, increased nighttime SDNN correlated
with Fibromyalgia Impact Questionnaire scores (r = 0.69, P = 0.001) and with
other FM symptoms. CONCLUSIONS: Nocturnal HRV indices indicative of sympathetic
predominance are significantly different in FM women when compared to healthy
individuals. In FM patients, these HRV parameters correlated with several
symptoms including pain severity. Opposite associations were seen in controls. FM
may not be just one end of a continuous spectrum of common symptoms. Nocturnal
HRV analyses are potential FM biomarkers.
PMID- 22087606
TI - In vivo distribution of avidin-conjugated MX35 and (211)At-labeled, biotinylated
poly-L-lysine for pretargeted intraperitoneal alpha-radioimmunotherapy.
AB - PURPOSE: Avidin-coupled monoclonal antibody MX35 (avidin-MX35) and astatine-211
labeled, biotinylated, succinylated poly-l-lysine ((211)At-B-PL(suc)) were
administered in mice to assess potential efficacy as an intraperitoneal (i.p.)
therapy for microscopic tumors. We aimed to establish a timeline for pretargeted
radioimmunotherapy using these substances, and estimate the maximum tolerable
activity. METHODS: (125)I-avidin-MX35 and (211)At-B-PL(suc) were administered
i.p. in nude mice. Tissue distributions were studied at various time points and
mean absorbed doses were estimated from organ uptake of (211)At-B-PL(suc).
Studies of myelotoxicity were performed after administration of different
activities of (211)At-B-PL(suc). RESULTS: We observed low blood content of both
(125)I-avidin-MX35 and (211)At-B-PL(suc), indicating fast clearance. After sodium
perchlorate blocking, the highest (211)At uptake was found in kidneys. Red bone
marrow (RBM) accumulated some (211)At activity. Mean absorbed doses of special
interest were 2.3 Gy/MBq for kidneys, 0.4 Gy/MBq for blood, and 0.9 Gy/MBq for
RBM. An absorbed dose of 0.9 Gy to the RBM was found to be safe. These values
suggested that RBM would be the key dose-limiting organ in the proposed
pretargeting scheme, and that blood data alone was not sufficient for predicting
its absorbed dose. CONCLUSIONS: To attain a favorable distribution of activity
and avoid major toxicities, at least 1.0 MBq of (211)At-B-PL(suc) can be
administered 24 hours after an i.p. injection of avidin-MX35. These results
provide a basis for future i.p. therapy studies in mice of microscopic ovarian
cancer.
PMID- 22087607
TI - Radioprotective effect of Ocimum sanctum and amifostine on the salivary gland of
rats after therapeutic radioiodine exposure.
AB - The current study investigated the radioprotective effect of Ocimum sanctum on
the salivary gland of rats administered radioiodine ((131)I) and compared its
efficacy with a known radioprotectant, amifostine. The experimental rats were
divided in four groups and sacrificed in three different batches at 1, 3, and 6
months of time interval after 18.5 MBq/100g (i.p.) (131)I exposure. Six months
duration batch received (131)I exposure twice with the gap of 3 months. Two
groups of experimental rats were presupplemented with O. sanctum (40 mg/kg for 5
days, orally) and amifostine (200 mg/kg, s.c) before (131)I exposure separately.
Increased Technetium-99m-pertechnetate ((99m)TcO(4)(-)) uptake at 30 minutes post
injection in salivary glands of only (131)I exposed rats may imply delay in
clearance at 6 months of exposure in comparison to their counterparts sacrificed
at 1 month. Parotid gland histology showed atrophy with lipomatosis in only
(131)I exposed rats at 3 and 6 months of duration. O. sanctum and amifostine
presupplemented and subsequently exposed to (131)I rats at 3 and 6 months
duration exhibited comparable histopathology with controls. Our study indicates
possible radioprotective effect of O. sanctum and amifostine against high-dose
(131)I exposure.
PMID- 22087609
TI - Varicella-zoster virus prophylaxis with the traditional Chinese medicine Radix
isatidis (Banlangen) in patients with multiple myeloma treated with bortezomib.
PMID- 22087608
TI - Haemodynamic, endocrine and renal actions of adrenomedullin 5 in an ovine model
of heart failure.
AB - AM5 (adrenomedullin 5), a newly described member of the CGRP (calcitonin gene
related peptide) family, is reported to play a role in normal cardiovascular
physiology. The effects of AM5 in HF (heart failure), however, have not been
investigated. In the present study, we intravenously infused two incremental
doses of AM5 (10 and 100 ng/min per kg of body weight each for 90 min) into eight
sheep with pacing-induced HF. Compared with time-matched vehicle control
infusions, AM5 produced progressive and dose-dependent increases in left
ventricular dP/dt(max) [LD (low dose), +56 mmHg/s and HD (high dose), +152
mmHg/s] and cardiac output (+0.83 l/min and +1.81 l/min), together with
decrements in calculated total peripheral resistance (-9.4 mmHg/min per litre and
-14.7 mmHg/min per litre), mean arterial pressure (-2.8 mmHg and -8.4 mmHg) and
LAP (left atrial pressure; -2.6 mmHg and -5.6 mmHg) (all P<0.001). HD AM5
significantly raised PRA (plasma renin activity) (3.5-fold increment, P<0.001),
whereas plasma aldosterone levels were unchanged over the intra-infusion period
and actually fell in the post-infusion period (70% decrement, P<0.01), resulting
in a marked decrease in the aldosterone/PRA ratio (P<0.01). Despite falls in LAP,
plasma atrial natriuretic peptide and B-type natriuretic peptide concentrations
were maintained relative to controls. AM5 infusion also induced significant
increases in urine volume (HD 2-fold increment, P<0.05) and urine sodium (2.7
fold increment, P<0.01), potassium (1.7-fold increment, P<0.05) and creatinine
(1.4-fold increment, P<0.05) excretion and creatinine clearance (60% increment,
P<0.05). In conclusion, AM5 has significant haemodynamic, endocrine and renal
actions in experimental HF likely to be protective and compensatory in this
setting. These results suggest that AM5 may have potential as a therapeutic agent
in human HF.
PMID- 22087610
TI - Amulets and other uncommon treatments prescribed by traditional medicinal
practitioners of the Bede community residing in Porabari village of Dhaka
district, Bangladesh.
PMID- 22087611
TI - What is Bowenwork(r)? A systematic review.
AB - OBJECTIVES: The objectives of this study were to systematically review the
literature available on the complementary approach to healing known as
Bowenwork((r)) and to examine reported research methods. METHODS: To the authors'
knowledge, an exhaustive search of the computerized databases from the known
scientific community on all available published literature on Bowenwork((r)) was
conducted. Databases included Medline Ovid, PubMed, PsychINFO, and CINAHL((r)).
The literature search included English language studies (1985-September 5, 2009)
using the following search terms: Bowen Technique, Bowen Therapy, Bowtech, and
Bowenwork((r)). In addition, a hand search of individual journals noted to
publish complementary and alternative medicine articles was done (1997-2009).
Abstracts of all studies were reviewed. Studies were included if (1) they
referenced the original Bowenwork, (2) provided health-related outcomes, and (3)
provided quantitative or qualitative data. Excluded articles included
testimonials, duplicates, unrelated topics, literature reviews, articles lacking
verifiable sources, and studies from proprietary resources. RESULTS: Of the 309
citations obtained, only 15 articles met the inclusion criteria (randomized
clinical trial, n=1; quasi-experimental, n=2; mixed methods, n=3; cross
sectional, n=2; case study, n=7). Over half of these studies (53%) reported that
Bowenwork was effective for pain reduction and 33% reported improved mobility. In
addition, several studies (n=5) reported the effectiveness of Bowenwork((r)) on
the relief of symptoms experienced by persons living with a chronic illness, such
as multiple sclerosis. CONCLUSIONS: Bowenwork((r)) may provide a noninvasive and
affordable complementary approach to improvements in health. This intervention
may offer improvements in pain reduction for various conditions such as frozen
shoulder and migraines. While Bowenwork is recognized internationally, scientific
evidence is not well documented. Further research is needed to systematically
test this modality, before widespread recommendations can be given.
PMID- 22087612
TI - Investigation of the delayed neuronal effects of acupuncture manipulations.
AB - OBJECTIVES: The aim of this study was to investigate the delayed neuronal effects
of acupuncture manipulations by observing blood oxygen level-dependent (BOLD)
signal intensities. SUBJECTS: Fifteen (15) healthy, acupuncture-naive, right
handed subjects (all males; mean age, 23 years; range, 21-24 years) participated
in this study. DESIGN AND INTERVENTIONS: Each subject was scanned in eight
sessions that consisted of two repeated baseline scans (Period 1), two repeated
scans with acupuncture stimulation at right LR2 (Period 2), two repeated scans
with retention (Period 3), and two repeated scans after removal of the needle
(Period 4). OUTCOME MEASURES: Sixteen (16) regions of interest (ROI) were
defined. The BOLD signals for each session were obtained for each ROI. A mixed
effects analysis of variance (ANOVA) test was performed in order to investigate
the BOLD signal differences of the Periods in the 16 ROIs. RESULTS: The BOLD
signal intensities increased in Periods 2 and 3, and then started to decrease in
Period 4 in the right amygdala, supramarginal gyrus, temporal pole, and superior
temporal gyrus. However, the BOLD signal intensity in Period 4 was significantly
higher than that of Period 1. Especially, BOLD signal intensity was elevated
promptly in the insula and the parahippocampal gyrus, whereas it was persistently
elevated (delayed effect) in the amygdala. CONCLUSIONS: BOLD signals were
persistently elevated for at least 8 minutes after removal of the acupuncture
needle or for at least 19 minutes after rotation of an acupuncture needle in some
specific brain areas previously linked with LR2. In those specific brain ROIs,
neuronal activation accompanying and following acupuncture showed both prompt and
delayed effects.
PMID- 22087613
TI - Management of distress during climacteric years by homeopathic therapy.
AB - OBJECTIVES: The purpose of this study was to ascertain the usefulness of
homeopathic therapy in the management of distressing symptoms encountered during
climacteric years in women (primary objective) and also the changes brought about
in the levels of follicle-stimulating hormone (FSH) and lipid profile in these
women after homeopathic treatment (secondary objective). MATERIALS AND METHODS:
An open, multicenter, prospective, observational study was carried out to
ascertain the usefulness of homeopathic treatment in distress during climacteric
years (DDCY). Patients were enrolled from the general outpatient department of
the six Institutes/Units of Central Council for Research in Homoeopathy (CCRH)
and were required to complete a follow-up period of 1 year as per the protocol
designed by the CCRH. A uniform questionnaire assessing 15 predefined symptoms of
menopause was adopted, with assessment of each symptom at every visit. Levels of
serum FSH and lipid profile were monitored at entry and at completion. Effect
size of the study was also calculated. CARA Software was used for repertorization
of the presenting symptoms of menopause along with the characteristic attributes
of each patient to arrive at a simillimum. The selected medicine was prescribed
in a single dose as per the homeopathic principles. The assessment of the results
was made through statistical analysis using the Wilcoxon signed rank test on
Statistical Package for Social Sciences (SPSS) comparing symptom score at entry
and completion of 1 year of treatment and t test for analyzing improvement in
laboratory findings. RESULTS: Homeopathic therapy was found to be useful in
relieving menopausal distressing symptoms such as hot flashes, night sweats,
anxiety, palpitation, depression, insomnia, and so on. Influence on serum levels
of FSH, high-density lipoprotein, and low-density lipoprotein was not significant
but serum levels of cholesterol, triglycerides, and very-low-density lipoprotein
decreased significantly. Effect size of the study was found to be large. The
medicines found to be most frequently indicated and useful were Sepia, Lachesis,
Calcarea carb., Lycopodium, and Sulphur. CONCLUSIONS: This study proves the
usefulness of homeopathic medicines in relieving DDCY.
PMID- 22087614
TI - A pilot study of website information regarding aromatase inhibitors: dietary
supplement interactions.
AB - OBJECTIVES: Patients who have hormone receptor-positive breast cancer and who are
taking aromatase inhibitors (AIs) should understand the benefits and risks of
concomitant dietary supplement (DS) use. The International Society for
Integrative Oncology (SIO) encourages patients to discuss DS use with their
health care practitioners. The objective was to conduct a pilot study rating
Internet websites from the perspective of health care practitioners for
information about AI-DS interactions. DESIGN: Five (5) Internet websites
suggested by SIO were evaluated using the DISCERN instrument rating tool. The
available AI-DS information on these websites was rated by 4 evaluators: 2
naturopathic doctors, 1 oncology pharmacy resident, and a pharmacy student.
RESULTS: The overall rankings ranged from 1.6 to 3.9, with considerable
variability in the type of information available from the websites. The
interevaluator rankings of the websites ranged from 0.44 to 0.89. The evaluators
consistently found the most reliable, unbiased, and comprehensive information on
AI-DS interactions at the Natural Medicines Comprehensive Database and Memorial
Sloan-Kettering Cancer Center websites. However, more than one database was
needed for provision of optimal patient information on AI-DS interactions.
CONCLUSIONS: In order to effectively advise patients regarding AI-DS
interactions, more than one website should be evaluated to assess the potential
efficacy and safety of DS in women whose breast cancer is being treated with an
AI.
PMID- 22087616
TI - Pulmonary functions of children with asthma improve following massage therapy.
AB - OBJECTIVES: This study aimed at evaluating the effect of massage therapy on the
pulmonary functions of stable Egyptian children with asthma. DESIGN: This study
was an open, randomized, controlled trial. SETTINGS/LOCATION: The study was
conducted in pediatric allergy and chest unit of the New Children's Hospital of
Cairo University, Egypt. SUBJECTS AND INTERVENTIONS: Sixty (60) children with
asthma were divided randomly into two equal groups: massage therapy group and
control group. Subjects in the massage therapy group received a 20-minute massage
therapy by their parents at home before bedtime every night for 5 weeks in
addition to the standard asthma treatment. The control group received the
standard asthma treatment alone for 5 weeks. OUTCOME MEASURES: Spirometry was
performed for all children on the first and last days of the study. Forced
expiratory flow in first second (FEV1), forced vital capacity (FVC), FEV1/FVC and
peak expiratory flow (PEF) were recorded. RESULTS: At the end of the study, mean
FEV1 of the massage therapy group was significantly higher than controls (2.3-0.8
L versus 1.9-0.9 L, p=0.04). There was no significant difference in FVC (2.5-0.8
L versus 2.7-0.7 L, p=0.43). However, FEV1/FVC ratio showed a significant
improvement in the massage therapy group (92.3-21.5 versus 69.5-17, p<0.01). PEF
difference was not significant (263.5-39.6 L/minute versus 245.9-32 L/minute,
p=0.06). CONCLUSIONS: A beneficial role for massage therapy in pediatric asthma
is suggested. It improved the key pulmonary functions of the children, namely,
FEV1 and FEV1/FVC ratio. However, further research on a larger scale is
warranted.
PMID- 22087615
TI - A randomized, double-blinded, placebo-controlled study of the effect of a
combination of lemon verbena extract and fish oil omega-3 fatty acid on joint
management.
AB - OBJECTIVES: The aim of this study was to test the efficacy of an antioxidant/anti
inflammatory supplement containing standardized lemon verbena (Aloysia triphylla,
Lippia citriodora) extract and fish oil omega-3 fatty acid in a human pilot trial
as an alternative treatment for joint management. METHODS AND DESIGN: First,
antioxidant activity of the supplement was determined through an oxygen radical
absorbance capacity (ORAC) assay. In a randomized, double-blinded placebo
controlled trial, 45 subjects with pain discomfort received the nutritional
supplement or placebo for 9 weeks. Western Ontario MacMaster (WOMAC) and
Lequesne's questionnaires, which are disease-specific measurements validated to
measure joint dysfunction and pain, were administered and evaluated once per week
in the placebo and intervention groups. OUTCOME MEASURES: Pain and stiffness
symptoms, and joint function were determined once per week through recording
their respective WOMAC and Lequesne's scores in the placebo and intervention
groups. Statistically significant differences were determined at every
measurement point between the two groups. RESULTS: Lemon verbena extract showed
strong antioxidant properties as measured by the ORAC assay. The nutritional
supplement containing standardized lemon verbena extract (14% verbascoside, w/w)
and fish oil omega-3 fatty acid reduced symptoms of pain and stiffness
significantly, and improved physical function as shown by WOMAC and Lequesne's
scores after 9 weeks of treatment. WOMAC and Lequesne's total scores decreased
53% and 78%, respectively, at the end of the study compared to initial
conditions. Onset of the effect was observed at the third and fourth weeks, when
statistically significant differences were detected, compared to placebo.
CONCLUSIONS: This pilot study reveals that supplementation with lemon verbena
combined with omega-3 fatty acids may be considered for further investigation as
a complementary and alternative treatment for improving joint status in subjects
with joint discomfort.
PMID- 22087617
TI - Five keys to real transformation in health care.
AB - Transformation in health care requires a deeply holistic approach. Natural
leaders of such a transformation are the complementary and alternative medicine
practitioners who already share a vision of wellness, prevention, and optimal
human function. Central to this shared vision is lifestyle change for patients
and practitioners. Yet, to change a lifestyle is to change a self. Assisting
individuals to transform their very sense of self in order to live healthier,
more fulfilling lives centered on flourishing requires several important keys.
Visionary and unified leaders are the first key. Structural support through
coordination of health clinics locally and nationally is the second key. This can
be optimized by utilizing initiatives of the new Affordable Health Care Act,
because it provides a potential impetus for deep structural changes. An expanded
evidence base for multifactorial approaches to wellness lifestyles is the third
key. A reorganizational orientation with an emphasis on the right timing of
transformation is the fourth key. The fifth key is an Integral map, which brings
together the personal, behavioral, cultural, and social domains. By utilizing
such a map, one ensures that no aspect of the transformative revolution at hand
slips away due to any misplaced focus, such as emphasizing only on the things we
can see with our eyes. By embracing the essence of transformation in terms of a
wholeness to all reality, an evolutionary unifying field with interior depth and
exterior expression, health care is redefined more authentically.
PMID- 22087618
TI - Eribulin mesylate for the treatment of late-stage breast cancer.
AB - INTRODUCTION: Chemotherapy agents, particularly anthracycline and taxane, have
demonstrated their significance in metastatic breast cancer. However, improving
overall survival in late-stage breast cancer remains a challenge. Eribulin
mesylate, a new chemotherapy agent, has a proven significance in this setting.
Eribulin mesylate is a synthetic analog of a macrolide isolated from a marine
sponge. It inhibits microtubule polymerization, inducing mitosis arrest and
apoptosis, and aggregates soluble tubulin in nonproductive form. In Phase II
studies, this drug has shown a partial and stable response. The Phase III EMBRACE
study showed that eribulin mesylate improved overall survival, compared with the
physician's choice of treatment, in women who had received two to five prior
chemotherapy regimens, including anthracycline and taxane for advanced breast
cancer (median overall survival: 13.1 versus 10.6 months HR 0.81, p = 0.041).
This compound is well tolerated. The most common adverse event is neutropenia.
AREAS COVERED: This paper provides an introduction to the drug, eribulin
mesylate, along with an overview of the current drug market for late-stage breast
cancer; it also reviews its pharmacodynamics, pharmacokinetics and clinical
efficacy. EXPERT OPINION: Currently, eribulin mesylate is only the third single
agent chemotherapy that has improved overall survival (after anthracycline and
taxane) in advanced breast cancer. These results, particularly in heavily
pretreated breast cancer, suggest that this drug could become a new standard in
the treatment of metastatic breast cancer, and should, therefore, be further
developed in its earlier stages.
PMID- 22087619
TI - Frank wallace notestein (1902-1983).
AB - Abstract Frank W. Notestein, one of the architects of modern demography, died
on 18 February 1983, after a long struggle with emphysema. President Emeritus of
the Population Council, and former Professor of Demography at Princeton
University, he had been living in retirement in Newtown, Pennsylvania, with his
wife of fifty-six years, nee Daphne Limbach.
PMID- 22087620
TI - Fertility exposure analysis: A new method for assessing the contribution of
proximate determinants to fertility differentials.
AB - Summary Several recent papers have dealt with the problem of assessing the
impact of the proximate determinants on fertility. All these approaches rely on
combining a series of separately estimated aggregate level indicators. This paper
proposes an approach which uses individual-level data and thus permits regression
analyses as well as analyses for sub-groups. In the course of development it
became clear that there are several deficiencies and inconsistencies in the
measurement and formation of indices proposed elsewhere, which are overcome. We
illustrate our approach with data from the Dominican Republic. The approach used
involves attributing exposure to one or more of several states, including
pregnancy, lactational and non-lactational components of post-partum amenorrhoea,
absence of sexual relations and contraception. Key elements are efficacies of
contraception and components of post-partum infecundity and the treatment of
overlaps through an explicit hierarchy. We treat both unconditional (or additive)
and conditional analyses. Intriguing findings on the age-specific pattern of
fertility control emerge. Major advantages of regression analysis, such as
simultaneous treatment of several variables and estimation of sampling variation
are stressed.
PMID- 22087621
TI - The influence of child spacing on child survival.
AB - Summary This study evaluates the strength of the influence of spacing on child
survival. Data related to a traditional culture (Punjab) in which almost all
children are breast-fed up to the age of 17 months. An initial pregnancy history
survey, subsequent four years updating through continuous monitoring of vital
events and a second cross-sectional pregnancy history survey at the mid-point
provided a file containing information on pregnancies and survival of children of
5,018 women. The analysis first looks at the correlation between the lengths of
the preceding and subsequent intervals of index children, then examines whether
this correlation was related to the repeated pattern of child death or survival.
Next, the influence of the duration of the preceding interval on the survival of
the index child in general and after accounting for the fate of the preceding
child were considered. Then the influence of the length of the subsequent
interval on the survival of the index child after conception and after the birth
of the next child were studied. Lastly, regression analyses with preceding and
subsequent intervals as independent variables and age-specific survival or death
as the dependent variable were performed.
PMID- 22087622
TI - Old age and the demographic transition.
AB - Summary This paper examines the impact of the demographic transition upon the
potential supply of, and demand for, family support for the aged in Australia.
Using census and survey information on population cohorts entering old age,
comparisons are drawn concerning their surviving issue, household composition and
family membership. Long-term changes in fertility are shown to have had only a
small impact upon the supply of potential carers among relatives and, although
the demographic transition has led to a more universal inclusion of old people in
family networks, there have not been major changes through time in the
proportions living in extended family households. Short-term changes, however,
such as low fertility during the 1930s, have caused disordered cohort flow, with
the result that current generations of the elderly are members of deprived
cohorts in terms of their access to family support.
PMID- 22087623
TI - Estimating the incidence of widow and widower re-marriages in India from census
data.
AB - Summary In this paper we develop a method for estimating the incidence of widow
and widower re-marriages from the current marital status data given in a census
or survey. The method basically consists of reversing the procedure of estimating
adult mortality from information on widowhood status. The method is applied to
the all-India data from the Census of 1971. The principal result is that about
one-third of the ever widowed women and slightly fewer than two-thirds of the
ever widowed men were currently remarried in 1971. Similar application of the
method to earlier censuses, and to state-level data, may provide answers to some
of the riddles in Indian sociology.
PMID- 22087624
TI - The variance of population characteristics in stable populations, with
applications to the distribution of income.
AB - Summary Stable population theory has recently been used to analyse the effects
of changes in fertility and mortality on economic variables such as income per
head. In this paper more general results are derived to describe the effects of
changing vital rates on the variance and higher moments of the distribution of
some age-dependent variable. Simple analytical expressions are derived which
decompose the effects of changes in age structure into the effects on inter
cohort and intra-cohort variance. The results are easily applied to standard
measures of the distribution of income. By combining the analytical results with
actual age profiles of income and income variance from the United States and
Brazil it is observed that both the magnitude and direction of the effects of
population growth on measured inequality are sensitive to the specific age
profiles used. The most surprising result is that the Brazilian age profiles
suggest that higher growth rates may actually reduce measured inequality,
although the effect is relatively small.
PMID- 22087625
TI - Household structure and the tempo of family formation in comparative perspective.
AB - Summary Previous research on the relationship between extended family residence
and fertility has produced conflicting findings. In the present paper, we avoid a
major shortcoming of past work by focusing on residence and fertility at a given
stage of the life-cycle, i.e. the stage following first marriage. Results show
that residence with husband's parents reduces age at marriage. Residence with
wife's parents shows no such consistent effect. No evidence was found to support
the claim that extended family residence consistently affects the length of the
interval between marriage and the first birth. These findings are consistent
across four cultural/ethnic groups.
PMID- 22087626
TI - Fertility adaptation by rural-urban migrants in developing countries: The case of
Korea.
AB - Summary The purpose of this study is to develop and test a model to assess the
influence of rural-urban migration on fertility in less developed countries. Two
major reasons may account for lower fertility levels observed among such migrants
than among women who remained in rural areas: a selection effect, and adaptation
to constraints in the area of destination. Results of previous studies have only
rarely suggested that the effect of adaptation was significant. We use the
detailed personal migration and pregnancy histories recorded in the Korean World
Fertility Survey of 1974 and an autoregressive model to control for unobservable
variations in personal preferences for different family sizes between migrants
and non-migrants. Our study provides evidence that adaptation following rural
urban migration is a significant factor which explains the lower fertility of
rural-urban migrants compared with that of rural stayers.
PMID- 22087629
TI - Facing a "slow-motion disaster"--the UN meeting on noncommunicable diseases.
PMID- 22087630
TI - Preparation of highly exfoliated polyester-clay nanocomposites: process-property
correlations.
AB - A large number of polyester nanocomposite batches featuring different kinds of
nanoclay surface modifiers and up to 6 wt % nanoclay were manufactured using a
solvent-based technique. Montmorillonite platelets modified with ammonium ions of
different chemical architectures were examined to study the effect of ammonium
ions on the extent of surface reactions with long-chain fatty acids. The ammonium
montmorillonite was first dispersed and suspended in acetone. This suspension was
further esterificated with dotriacontanoic (lacceroic) acid to form high density
brushes on the clay surface. This led to achieving higher basal plane spacing of
the montmorillonite platelets due to the reduction of electrostatic interactions
holding them. The outcome of the surface esterification was analyzed by Fourier
transform infrared spectroscopy (FTIR) and X-ray diffraction (XRD). The
esterificated ammonium-modified clays were then mixed by five different mixing
strategies based on the use of a three-roll mill mixer (TRM) and/or
ultrasonication (US) to obtain the desired polyester-nanoclay dispersion,
intercalation, and exfoliation. The dispersion states of the modified nanoclay in
polymer were characterized from XRD, scanning electron microscopy (SEM), and low
and high magnification transmission electron microscopy (TEM). Mechanical,
thermal, and barrier properties of the resulting composites were experimentally
characterized. The Mori-Tanaka method along with an orientation distribution
function was used to verify the experimental effective stiffness of the polyester
nanocomposite systems. The aspect ratio of nanoclays and their level of
intercalation and/or exfoliation after mixing were also confirmed by the
comparison of the experimental diffusivity results with those of Fick's diffusion
model. Systems having 4 and 6 wt % esterificated ammonium nanoclay and prepared
according to a combined TRM/US mixing procedure showed optimal performance with
balanced properties and processing ease, thereby showing potential for use in the
automotive, transportation, and packaging industries.
PMID- 22087631
TI - Coercion within Danish psychiatry compared with 10 other European countries.
AB - BACKGROUND: In 2008, the European Committee for the Prevention of Torture and
Inhuman or Degrading Treatment or Punishment (CPT) criticized the use of
mechanical restraint in Denmark and referred to it as ill-treatment. What do
other European countries do better? To answer this question, we compared the use
of coercive measures regarding psychiatric inpatients in Denmark and comparable
European countries. AIMS: Comparing coercive measures from Denmark, Sweden,
Norway, Finland, Iceland, Belgium, The Netherlands, United Kingdom, Ireland,
France and Italy. METHODS: Review of international literature and a cross
sectional study performed as a questionnaire survey. RESULTS: Denmark used more
mechanical restraint and holding than Finland and Norway; however Sweden used
twice as much as Denmark. Finland used more seclusion than did the other
countries. Norway was the country that used the smallest amount of physical
coercion. Only Norway, Finland, Sweden and Denmark had comparable representative
data on coercion. CONCLUSIONS: Norway used less physical restraint than Denmark.
We could not find any obvious reasons for the differences in the use of physical
restraint. CLINICAL IMPLICATIONS: Comparing the factors surrounding coercion
between countries may serve as a basis for minimizing coercion and carrying it
out in the most acceptable manner for the patients, thereby providing better
psychiatric treatment in Europe.
PMID- 22087633
TI - Direct functionalization of M-C (M = Pt(II), Pd(II)) bonds using environmentally
benign oxidants, O2 and H2O2.
AB - Atom economy and the use of "green" reagents in organic oxidation, including
oxidation of hydrocarbons, remain challenges for organic synthesis. Solutions to
this problem would lead to a more sustainable economy because of improved access
to energy resources such as natural gas. Although natural gas is still abundant,
about a third of methane extracted in distant oil fields currently cannot be used
as a chemical feedstock because of a dearth of economically and ecologically
viable methodologies for partial methane oxidation. Two readily available "atom
economical" "green" oxidants are dioxygen and hydrogen peroxide, but few
methodologies have utilized these oxidants effectively in selective organic
transformations. Hydrocarbon oxidation and C-H functionalization reactions rely
on Pd(II) and Pt(II) complexes. These reagents have practical advantages because
they can tolerate moisture and atmospheric oxygen. But this tolerance for
atmospheric oxygen also makes it challenging to develop novel organometallic
palladium and platinum-catalyzed C-H oxidation reactions utilizing O(2) or
H(2)O(2). This Account focuses on these challenges: the development of M-C bond
(M = Pt(II), Pd(II)) functionalization and related selective hydrocarbon C-H
oxidations with O(2) or H(2)O(2). Reactions discussed in this Account do not
involve mediators, since the latter can impart low reaction selectivity and
catalyst instability. As an efficient solution to the problem of direct M-C
oxidation and functionalization with O(2) and H(2)O(2), this Account introduces
the use of facially chelating semilabile ligands such as di(2
pyridyl)methanesulfonate and the hydrated form of di(2-pyridyl)ketone that enable
selective and facile M(II)-C(sp(n)) bond functionalization with O(2) (M = Pt, n =
3; M = Pd, n = 3 (benzylic)) or H(2)O(2) (M = Pd, n = 2). The reactions proceed
efficiently in protic solvents such as water, methanol, or acetic acid. With the
exception of benzylic Pd(II) complexes, the organometallic substrates studied
form isolable high-valent Pt(IV) or Pd(IV) intermediates as a result of an
oxidant attack at the M(II) atom. The resulting high-valent M(IV) intermediates
undergo C-O reductive elimination, leading to products in high yields. Guidelines
for the synthesis of products containing other C-X bonds (X = OAc, Cl, Br) while
using O(2) or H(2)O(2) as oxidants are also discussed. Although the M(II)-C bond
functionalization reactions including high-valent intermediates are well
understood, the mechanism for the aerobic functionalization of benzylic Pd(II)
complexes will require a more detailed exploration. Importantly, further
optimization of the systems suitable for stoichiometric M(II)-C bond
functionalization led to the development of catalytic reactions, including
selective acetoxylation of benzylic C-H bonds with O(2) as the oxidant and
hydroxylation of aromatic C-H bonds with H(2)O(2) in acetic acid solutions. Both
reactions proceed efficiently with substrates that contain a directing
heteroatom. This Account also describes catalytic methods for ethylene
dioxygenation with H(2)O(2) using M(II) complexes supported by facially chelating
ligands. Mechanistic studies of these new oxidation reactions point to important
ways to improve their substrate scope and to develop "green" CH functionalization
chemistry.
PMID- 22087632
TI - Increasing safer sexual behavior among Lao kathoy through an integrated social
marketing approach.
AB - BACKGROUND: Although HIV prevalence has remained low in Laos thus far, there is
reason to be concerned that Lao male-to-female (MtF) transgender persons (kathoy)
and their partners may facilitate the spread of HIV. Little is known about how to
most effectively reach kathoy with HIV prevention programming. This paper
evaluates an intervention with Lao kathoy with the objective of increasing safe
sex with regular and casual partners. METHODS: Quantitative surveys were
administered in November 2004 (n = 288) and June 2006 (n = 415) using time
location sampling at venues where kathoy were known to congregate. Respondents
were aged 15-35 and from three urban centers in Laos. UNIANOVA tests were used to
compare baseline and follow-up survey data and to evaluate the impact of PSI's
kathoy-specific interventions on items that changed significantly over time.
RESULTS: Exposure to the intervention was associated with higher levels of condom
use at last anal sex with casual partners and greater use of water-based
lubricant. Exposure was also linked to improved perceptions of product
availability for condoms and water-based lubricant. Knowledge about the
importance of consistent condom use improved over time as well as the need to use
condoms with regular partners. Some HIV knowledge decreased over time and the
intention to use condoms with casual partners when water-based lubricant is
available also declined. CONCLUSIONS: Study results demonstrate the feasibility
of reaching kathoy with an integrated social marketing approach; combining
product promotion, peer education, and other types of interpersonal
communication. The approach was successful at increasing condom use with casual
partners and water-based lubricant use, but the importance of using condoms along
with water-based lubricant must be emphasized and modified strategies are
required for improving condom use with boyfriends. Future messages should
emphasize consistent condom use with all types of partners as well as improve
knowledge and correct misconceptions about HIV and AIDS, STIs, condom use, and
lubricant use. It is also important that authorities create an enabling
environment to support such interventions and help foster behavior change.
PMID- 22087634
TI - Molecular hydrogen tweezers: structure and mechanisms by neutron diffraction,
NMR, and deuterium labeling studies in solid and solution.
AB - The mechanism of reversible hydrogen activation by ansa-aminoboranes, 1-N-TMPH
CH(2)-2-[HB(C(6)F(5))(2)]C(6)H(4) (NHHB), was studied by neutron diffraction and
thermogravimetric mass-spectroscopic experiments in the solid state as well as
with NMR and FT-IR spectroscopy in solution. The structure of the ansa-ammonium
borate NHHB was determined by neutron scattering, revealing a short N-H...H-B
dihydrogen bond of 1.67 A. Moreover, this intramolecular H-H distance was
determined in solution to be also 1.6-1.8 A by (1)H NMR spectroscopic T(1)
relaxation and 1D NOE measurements. The X-ray B-H and N-H distances deviated from
the neutron and the calculated values. The dynamic nature of the molecular
tweezers in solution was additionally studied by multinuclear and variable
temperature NMR spectroscopy. We synthesized stable, individual isotopic isomers
NDDB, NHDB, and NDHB. NMR measurements revealed a primary isotope effect in the
chemical shift difference (p)Delta(1)H(D) = delta(NH) - delta(ND) (0.56 ppm), and
hence supported dihydrogen bonding. The NMR studies gave strong evidence that the
structure of NHHB in solution is similar to that in the solid state. This is
corroborated by IR studies providing clear evidence for the dynamic nature of the
intramolecular dihydrogen bonding at room temperature. Interestingly, no kinetic
isotope effect was detected for the activation of deuterium hydride by the ansa
aminoborane NB. Theoretical calculations attribute this to an "early transition
state". Moreover, 2D NOESY NMR measurements support fast intermolecular proton
exchange in aprotic CD(2)Cl(2) and C(6)D(6).
PMID- 22087635
TI - Facile O-H bond activation in alcohols by [Cp*RuCl((I)Pr2PSX)] (X = pyridyl,
quinolyl): a route to ruthenium(IV) hydrido(alkoxo) derivatives.
AB - The complexes [Cp*RuCl((i)Pr(2)PSX)] (X = pyridyl, quinolyl) react directly with
alcohols ROH (R = Me, Et, (i)Pr, (n)Pr) and NaBPh(4), affording the novel
cationic hydrido(alkoxo) derivatives [Cp*RuH(OR)((i)Pr(2)PSX)][BPh(4)]. These
ruthenium(IV) compounds result from the formal oxidative addition of the alcohol
to the 16-electron fragment {[Cp*Ru((i)Pr(2)PSX)](+)}, generated in situ upon
chloride dissociation. The hydrido(alkoxo) complexes are reversibly deprotonated
by a strong base such as KOBu(t), yielding the neutral alkoxides
[Cp*Ru(OR)((i)Pr(2)PSX)], which are remarkably stable toward beta elimination and
do not generate the corresponding hydrides. The hydrido(alkoxo) complexes undergo
a slow electron-transfer process, releasing H(2) and generating the dinuclear
ruthenium(III) complex [{Cp*Ru(kappa(2)-N,S-MU S-SC(5)H(4)N)}(2)][BPh(4)](2). In
this species, the Ru-Ru separation is very short and consistent with what is
expected for a Ru=Ru triple bond.
PMID- 22087636
TI - Six alkaloids inhibit secretion of IL-1alpha, TXB(2), ET-1 and E-selectin in LPS
induced endothelial cells.
AB - The aim of the research was to investigate the antiendotoxin effects of
Sinomenine, Fangchinoline, Stachydrine, Chuanxionggzine, Oxymartrine and
Evodiamine. Endothelial cells were challenged with 1 MUg/mL LPS for 3 h then
treated respectively with six alkaloids at three concentrations (1, 5 and 10
MUg/mL). The cells were incubated at 37 degrees C in a cell incubator for 21 h.
The supernatants were collected and analyzed the levels of interleukin-1alpha (IL
1alpha), thromboxane B(2) (TXB(2)), endothelin-1 (ET-1) and E-selectin by ELISA
kits. The results revealed that Sinomenine, Oxymartrine and Evodiamine inhibited
the production of IL-1alpha; Stachydrine, Chuanxionggzine and Evodiamine
inhibited the secretion of TXB(2); Sinomenine and Oxymartrine down-regulated ET-1
expression; Fangchinoline and Evodiamine decreased the level of E-selectin. All
these changes were significant. Taken together, the data suggested that six
alkaloids may effectively reduce inflammatory response via these cytokines.
PMID- 22087637
TI - Low incidence of paradoxical reductions in HDL-C levels in dyslipidemic patients
treated with fenofibrate alone or in combination with ezetimibe or
ezetimibe/simvastatin.
AB - BACKGROUND: Fibrates have been reported to cause paradoxical decreases in HDL-C
in certain patients. DESIGN AND METHODS: This post-hoc analysis explored the
frequency/magnitude of HDL-C reductions in a pooled database of mixed
dyslipidemic patients (LDL-C:3.4-5.7 mmol/L;TG:1.7-5.7 mmol/L) receiving placebo
(PBO), fenofibrate (FENO), ezetimibe plus FENO (EZE+FENO), or EZE/simvastatin
plus FENO (EZE/SIMVA+FENO) for 12 weeks. RESULTS: PBO-treated patients had the
highest incidence of HDL-C reductions from baseline (45%) compared with patients
taking FENO (14%), EZE+FENO (9%), or EZE/SIMVA+FENO (9%). Reductions <30%
reflected natural variability since the largest reduction in HDL-C approached 30%
in the PBO group. Only 3 patients exhibited HDL-C reductions >=30% (i.e., 2
patients in the FENO group and 1 in the EZE+FENO group). There were no
differences in demographic/biochemical characteristics between patients with and
without HDL-C reductions. CONCLUSIONS: The incidence of paradoxical HDL-C
reductions was low in mixed dyslipidemic patients receiving FENO alone or
combined with EZE or EZE/SIMVA.
PMID- 22087638
TI - Detection of IL-1beta in culture media supernatants of pre-implantation human
embryos; its relation with embryo grades and development.
AB - In this study, we aimed to determine whether human embryos secrete interleukin
1beta (IL-1beta) into culture media and its correlation with embryo grade and
development. Culture media supernatants of 100 embryos obtained from 39 cycles of
38 patients and cultivated individually were collected 2 and 3 days after
intracytoplasmic sperm injection (ICSI). IL-1beta concentrations of samples were
determined with ELISA and compared with embryo grades and blastomere numbers.
Embryo grades and the amount of IL-1beta they secreted were found not to be
correlated (p:0.559). Numbers of blastomeres each embryo had at 2nd and 3rd days
were found to be correlated with IL-1beta secreted (p:0.00 and p:0.00,
respectively). Mean amount of IL-1beta secreted by the embryos from ejaculated
sperm cycles were found to be significantly higher than that of embryos from TESE
cycles (p:0.016). Patient age and etiology of infertility were not correlated
with the amount of IL-1beta secreted and embryo grade. In conclusion,
preimplantation human embryos secrete IL-1beta in their media in amounts
correlated with their blastomere numbers.
PMID- 22087639
TI - Hot spots and transient pockets: predicting the determinants of small-molecule
binding to a protein-protein interface.
AB - Protein-protein interfaces are considered difficult targets for small-molecule
protein-protein interaction modulators (PPIMs ). Here, we present for the first
time a computational strategy that simultaneously considers aspects of energetics
and plasticity in the context of PPIM binding to a protein interface. The
strategy aims at identifying the determinants of small-molecule binding, hot
spots, and transient pockets, in a protein-protein interface in order to make use
of this knowledge for predicting binding modes of and ranking PPIMs with respect
to their affinity. When applied to interleukin-2 (IL-2), the computationally
inexpensive constrained geometric simulation method FRODA outperforms molecular
dynamics simulations in sampling hydrophobic transient pockets. We introduce the
PPIAnalyzer approach for identifying transient pockets on the basis of
geometrical criteria only. A sequence of docking to identified transient pockets,
starting structure selection based on hot spot information, RMSD clustering and
intermolecular docking energies, and MM-PBSA calculations allows one to enrich IL
2 PPIMs from a set of decoys and to discriminate between subgroups of IL-2 PPIMs
with low and high affinity. Our strategy will be applicable in a prospective
manner where nothing else than a protein-protein complex structure is known;
hence, it can well be the first step in a structure-based endeavor to identify
PPIMs.
PMID- 22087640
TI - China needs forest management rather than reforestation for carbon sequestration.
PMID- 22087641
TI - Quantum mechanical/molecular mechanical structure, enantioselectivity, and
spectroscopy of hydroxyretinals and insights into the evolution of color vision
in small white butterflies.
AB - Since Vogt's discovery of A(3)-retinal or 3-hydroxyretinal in insects in 1983 and
Matsui's discovery of A(4)-retinal or 4-hydroxyretinal in firefly squid in 1988,
hydroxyretinal-protein interactions mediating vision have remained largely
unexplored. In the present study, A(3)- and A(4)-retinals are theoretically
incorporated into squid and bovine visual pigments by use of the hybrid quantum
mechanics/molecular mechanics [SORCI+Q//B3LYP/6-31G(d):Amber96] method, and
insights into structure, enantioselectivity, and spectroscopy are gathered and
presented for the first time. Contrary to general perception, our findings rule
out the formation of a hydrogen bond between the hydroxyl-bearing beta-ionone
ring portion of retinal and opsin. Compared to A(1)-pigments, A(3)- and A(4)
pigments exhibit slightly blue-shifted absorption maxima due to increase in bond
length alternation of the hydroxyretinal. We suggest that (i) the binding site of
firefly squid (Watasenia scintillans) opsin is very similar to that of the
Japanese common squid (Todarodes pacificus) opsin; (ii) the molecular mechanism
of spectral tuning in small white butterflies involve sites S116 and T185 and
breaking of a hydrogen bond between sites E180 and T185; and finally (iii) A(3)
retinal may have occurred during the conversion of A(1)- to A(2)-retinal and
insects may have acquired them, in order to absorb light in the blue-green
wavelength region and to speed up the G-protein signaling cascade.
PMID- 22087642
TI - Amino triazolo diazepines (Ata) as constrained histidine mimics.
AB - Two synthetic routes for the synthesis of amino-triazolodiazepine (Ata) scaffolds
are presented. The scope of both of these proceeding through key intra- and
intermolecular Huisgen cycloaddition reactions is discussed. The replacement of
the His-Pro dipeptide segment in angiotensin IV by the dipeptide mimetic Ata-Gly
and subsequent biological evaluation in two inhibitory enzyme assays validated
the use of the Ata moiety as a His mimic given the equipotency of both peptidic
analogs.
PMID- 22087643
TI - Comment on benzene exposure ratio method.
PMID- 22087644
TI - Exploring variables associated with change in cognitive behaviour therapy (CBT)
for anxiety following traumatic brain injury.
AB - PURPOSE: In a pilot randomized controlled trial, we investigated the
effectiveness of a 12-weekly anxiety treatment programme adapted for individuals
with moderate-severe TBI, based on cognitive behaviour therapy (CBT) and
Motivational Interviewing (MI). The current study explored the variables
associated with treatment response and group differences in change expectancy and
working alliance. METHODS: Twenty-seven participants recruited from a brain
injury rehabilitation hospital were randomly assigned to MI + CBT, non-directive
counselling (NDC) + CBT and treatment-as-usual and assessors were blinded to
treatment conditions. Correlation and multiple regression were used to examine
the association between reduction in anxiety ratings and a number of clinical,
injury and cognitive variables. Random effects regression was used to examine
group difference in changes in working alliance and expectancy. RESULTS: There
was a trend suggesting that greater injury severity may be predictive of poorer
response to CBT and injury severity was significantly related to memory
functioning. Participants receiving MI pre-treatment showed a greater increase in
change expectancy at the end of CBT and at follow-up, but not at the end of MI.
CONCLUSIONS: There is a need to further investigate the effectiveness of
treatment for individuals with different injury severity and to explore the
relationship between change expectancy and treatment outcome.
PMID- 22087645
TI - High-risk HPV infection after five years in a population-based cohort of Chilean
women.
AB - BACKGROUND: The need to review cervical cancer prevention strategies has been
triggered by the availability of new prevention tools linked to human
papillomavirus (HPV): vaccines and screening tests. To consider these
innovations, information on HPV type distribution and natural history is
necessary. This is a five-year follow-up study of gynecological high-risk (HR)
HPV infection among a Chilean population-based cohort of women. FINDINGS: A
population-based random sample of 969 women from Santiago, Chile aged 17 years or
older was enrolled in 2001 and revisited in 2006. At both visits they answered a
survey on demographics and sexual history and provided a cervical sample for HPV
DNA detection (GP5+/6+ primer-mediated PCR and Reverse line blot genotyping).
Follow-up was completed by 576 (59.4%) women; 45 (4.6%) refused participation;
most losses to follow-up were women who were unreachable, no longer eligible or
had missing samples. HR-HPV prevalence increased by 43%. Incidence was highest in
women < 20 years of age (19.4%) and lowest in women > 70 (0%); it was three times
higher among women HR-HPV positive versus HPV negative at baseline (25.5% and
8.3%; OR 3.8, 95% CI 1.8-8.0). Type-specific persistence was 35.3%; it increased
with age, from 0% in women < 30 years of age to 100% in women > 70. An enrollment
Pap result ASCUS or worse was the only risk factor for being HR-HPV positive at
both visits. CONCLUSIONS: HR-HPV prevalence increased in the study population.
All HR-HPV infections in women < 30 years old cleared, supporting the current
recommendation of HR-HPV screening for women > 30 years.
PMID- 22087646
TI - Anodization of nanoporous alumina on impurity-induced hemisphere curved surface
of aluminum at room temperature.
AB - Nanoporous alumina which was produced by a conventional direct current
anodization [DCA] process at low temperatures has received much attention in
various applications such as nanomaterial synthesis, sensors, and photonics. In
this article, we employed a newly developed hybrid pulse anodization [HPA] method
to fabricate the nanoporous alumina on a flat and curved surface of an aluminum
[Al] foil at room temperature [RT]. We fabricate the nanopores to grow on a
hemisphere curved surface and characterize their behavior along the normal
vectors of the hemisphere curve. In a conventional DCA approach, the structures
of branched nanopores were grown on a photolithography-and-etched low-curvature
curved surface with large interpore distances. However, a high-curvature
hemisphere curved surface can be obtained by the HPA technique. Such a curved
surface by HPA is intrinsically induced by the high-resistivity impurities in the
aluminum foil and leads to branching and bending of nanopore growth via the
electric field mechanism rather than the interpore distance in conventional
approaches. It is noted that by the HPA technique, the Joule heat during the RT
process has been significantly suppressed globally on the material, and nanopores
have been grown along the normal vectors of a hemisphere curve. The curvature is
much larger than that in other literatures due to different fabrication methods.
In theory, the number of nanopores on the hemisphere surface is two times of the
conventional flat plane, which is potentially useful for photocatalyst or other
applications.PACS: 81.05.Rm; 81.07.-b; 82.45.Cc.
PMID- 22087647
TI - Replicated associations of TNFAIP3, TNIP1 and ETS1 with systemic lupus
erythematosus in a southwestern Chinese population.
AB - INTRODUCTION: Recent genome-wide and candidate gene association studies in large
numbers of systemic lupus erythematosus (SLE) patients have suggested
approximately 30 susceptibility genes. These genes are involved in three types of
biological processes, including immune complex processing, toll-like receptor
function and type I interferon production, and immune signal transduction in
lymphocytes, and they may contribute to the pathogenesis of SLE. To better
understand the genetic risk factors of SLE, we investigated the associations of
seven SLE susceptibility genes in a Chinese population, including FCGR3A, FCGR2A,
TNFAIP3, TLR9, TREX1, ETS1 and TNIP1. METHODS: A total of 20 SNPs spanning the
seven SLE susceptibility genes were genotyped in a sample of 564 unrelated SLE
patients and 504 unrelated healthy controls recruited from Yunnan, southwestern
China. The associations of SNPs with SLE were assessed by statistical analysis.
RESULTS: Five SNPs in two genes (TNFAIP3 and ETS1) were significantly associated
with SLE (corrected P values ranging from 0.03 to 5.5 * 10(-7)). Through
stratified analysis, TNFAIP3 and ETS1 showed significant associations with
multiple SLE subphenotypes (such as malar rash, arthritis, hematologic disorder
and antinuclear antibody) while TNIP1 just showed relatively weak association
with onset age. The associations of the SNPs in the other four genes were not
replicated. CONCLUSIONS: The replication analysis indicates that TNFAIP3, ETS1
and TNIP1 are probably common susceptibility genes for SLE in Chinese
populations, and they may contribute to the pathogenesis of multiple SLE
subphenotypes.
PMID- 22087648
TI - C2'-pyrene-functionalized triazole-linked DNA: universal DNA/RNA hybridization
probes.
AB - Development of universal hybridization probes, that is, oligonucleotides
displaying identical affinity toward matched and mismatched DNA/RNA targets, has
been a longstanding goal due to potential applications as degenerate PCR primers
and microarray probes. The classic approach toward this end has been the use of
"universal bases" that either are based on hydrogen-bonding purine derivatives or
aromatic base analogues without hydrogen-bonding capabilities. However,
development of probes that result in truly universal hybridization without
compromising duplex thermostability has proven challenging. Here we have used the
"click reaction" to synthesize four C2'-pyrene-functionalized triazole-linked 2'
deoxyuridine phosphoramidites. We demonstrate that oligodeoxyribonucleotides
modified with the corresponding monomers display (a) minimally decreased thermal
affinity toward DNA/RNA complements relative to reference strands, (b) highly
robust universal hybridization characteristics (average differences in thermal
denaturation temperatures of matched vs mismatched duplexes involving monomer W
are <1.7 degrees C), and (c) exceptional affinity toward DNA targets containing
abasic sites opposite of the modification site (DeltaT(m) up to +25 degrees C).
The latter observation, along with results from absorption and fluorescence
spectroscopy, suggests that the pyrene moiety is intercalating into the duplex
whereby the opposing nucleotide is pushed into an extrahelical position. These
properties render C2'-pyrene-functionalized triazole-linked DNA as promising
universal hybridization probes for applications in nucleic acid chemistry and
biotechnology.
PMID- 22087649
TI - The standardization of urine particle counting in medical laboratories--a Polish
experience with the EQA programme.
AB - BACKGROUND: Given the common problems with the standardization of urine particle
counting methods and the great variability in the results obtained by Polish
laboratories under international Labquality External Quality Assessment (EQA), we
initiated educational recovery activities. METHODS: Detailed instructions on how
to perform the standardized examination were sent to EQA participants, as was a
questionnaire forms which enabled information to be gathered in respect to the
procedures being applied. Laboratory results were grouped according to the method
declared on the EQA 'Result' form or according to a manual examination procedure
established on the basis of the questionnaire. The between-laboratory CVs for
leukocyte and erythrocyte counts were calculated for each group and compared
using the Mann-Whitney test. RESULTS: Significantly lower between-laboratory CVs
(p = 0.03) were achieved for leukocyte counting among the laboratories that
analysed control specimens in accordance with standardized procedures as compared
with those which used non-standardized procedures. We also observed a visible
lower variability for erythrocyte counting. Unfortunately despite our activities,
only a few of the Polish laboratories applied the standardized examination
procedures, and only 29% of the results could have been considered to be
standardized (16% - manual methods, 13% - automated systems). CONCLUSIONS: The
standardization of urine particle counting methods continues to be a significant
problem in medical laboratories and requires further recovery activities which
can be conducted using the EQA scheme.
PMID- 22087650
TI - Delineation of the Pasteurellaceae-specific GbpA-family of glutathione-binding
proteins.
AB - BACKGROUND: The Gram-negative bacterium Haemophilus influenzae is a glutathione
auxotroph and acquires the redox-active tripeptide by import. The dedicated
glutathione transporter belongs to the ATP-binding cassette (ABC)-transporter
superfamily and displays more than 60% overall sequence identity with the well
studied dipeptide (Dpp) permease of Escherichia coli. The solute binding protein
(SBP) that mediates glutathione transport in H. influenzae is a lipoprotein
termed GbpA and is 54% identical to E. coli DppA, a well-studied member of family
5 SBP's. The discovery linking GbpA to glutathione import came rather
unexpectedly as this import-priming SBP was previously annotated as a heme
binding protein (HbpA), and was thought to mediate heme acquisition. Nonetheless,
although many SBP's have been implicated in more than one function, a prominent
physiological role for GbpA and its partner permease in heme acquisition appears
to be very unlikely. Here, we sought to characterize five representative GbpA
homologs in an effort to delineate the novel GbpA-family of glutathione-specific
family 5 SBPs and to further clarify their functional role in terms of ligand
preferences. RESULTS: Lipoprotein and non-lipoprotein GbpA homologs were
expressed in soluble form and substrate specificity was evaluated via a number of
ligand binding assays. A physiologically insignificant affinity for hemin was
observed for all five GbpA homologous test proteins. Three out of five test
proteins were found to bind glutathione and some of its physiologically relevant
derivatives with low- or submicromolar affinity. None of the tested SBP family 5
allocrites interacted with the remaining two GbpA test proteins. Structure-based
sequence alignments and phylogenetic analysis show that the two binding-inert
GbpA homologs clearly form a separate phylogenetic cluster. To elucidate a
structure-function rationale for this phylogenetic differentiation, we determined
the crystal structure of one of the GbpA family outliers from H. parasuis.
Comparisons thereof with the previously determined structure of GbpA in complex
with oxidized glutathione reveals the structural basis for the lack of allocrite
binding capacity, thereby explaining the outlier behavior. CONCLUSIONS: Taken
together, our studies provide for the first time a collective functional look on
a novel, Pasteurellaceae-specific, SBP subfamily of glutathione binding proteins,
which we now term GbpA proteins. Our studies strongly implicate GbpA family SBPs
in the priming step of ABC-transporter-mediated translocation of useful forms of
glutathione across the inner membrane, and rule out a general role for GbpA
proteins in heme acquisition.
PMID- 22087651
TI - Transgenic analysis of the role of FKBP12.6 in cardiac function and intracellular
calcium release.
AB - FK506 binding protein12.6 (FKBP12.6) binds to the Ca(2+) release channel
ryanodine receptor (RyR2) in cardiomyocytes and stabilizes RyR2 to prevent
premature sarcoplasmic reticulum Ca(2+) release. Previously, two different mouse
strains deficient in FKBP12.6 were reported to have different abnormal cardiac
phenotypes. The first mutant strain displayed sex-dependent cardiac hypertrophy,
while the second displayed exercise-induced cardiac arrhythmia and sudden death.
In this study, we tested whether FKBP12.6-deficient mice that display
hypertrophic hearts can develop exercise-induced cardiac sudden death and whether
the hypertrophic heart is a direct consequence of abnormal calcium handling in
mutant cardiomyocytes. Our data show that FKBP12.6-deficient mice with cardiac
hypertrophy do not display exercise-induced arrhythmia and/or sudden cardiac
death. To investigate the role of FKBP12.6 overexpression for cardiac function
and cardiomyocyte calcium release, we generated a transgenic mouse line with
cardiac specific overexpression of FKBP12.6 using alpha-myosin heavy chain
(alphaMHC) promoter. MHC-FKBP12.6 mice displayed normal cardiac development and
function. We demonstrated that MHC-FKBP12.6 mice are able to rescue abnormal
cardiac hypertrophy and abnormal calcium release in FKBP12.6-deficient mice.
PMID- 22087652
TI - Where are all the biomarkers?
PMID- 22087653
TI - Combined proteomic technology in landmark study encourages advancement in
personalized multiple myeloma treatment.
PMID- 22087654
TI - Proteome profiling for the identification of lung cancer signatures.
AB - Comprehensive and in-depth discovery of the disease proteome is an important
issue in recent proteomics developments. Previous studies have shown a number of
biomarkers discovered in various diseases, including lung cancer. Some of them
are potentially useful in lung cancer diagnostics and prognostics. However, few
of them can act as organ-specific biomarkers to extensively compare multiple
cancer models. This article evaluates a recently published study employing
comparative proteomics on multiple genetically engineered mouse models and sheds
light on the usefulness and application of the discovered marker panel for human
lung cancer diagnostics.
PMID- 22087655
TI - Brain asymmetry: both sides of the story.
AB - Biological systems demonstrate asymmetry, while lateralization has been observed
from humans to lower animals structurally, functionally and behaviorally. This
may be derived from evolutionary, genetic, developmental, epigenetic and
pathologic factors. However, brain structure and function is complex, and
macroscopic or microscopic asymmetries are hard to discern from random
fluctuations. In this article, we discuss brain laterality and lateralization,
beginning with a brief review of the literature on brain structural and
functional asymmetries. We conclude with methods to detect and quantify
asymmetry, focusing on neuroproteomics, for retrieval of protein-expression
patterns, as a method of diagnosis and treatment monitoring. We suggest inter
hemispheric differential proteomics as a valid method to assess the experimental
and biological variations in the healthy brain, and neurologic and
neuropsychiatric disorders.
PMID- 22087657
TI - Proteomics of blood and derived products: what's next?
AB - Proteomics has changed the way proteins are analyzed in living systems. This
approach has been applied to blood products and protein profiling has evolved in
parallel with the development of techniques. The identification of proteins
belonging to red blood cell, platelets or plasma was achieved at the end of the
last century. Then, the questions on the applications emerged. Hence, several
studies have focused on problems related to blood banking and products, such as
the aging of blood products, identification of biomarkers, related diseases and
the protein-protein interactions. More recently, a mass spectrometry-based
proteomics approach to quality control has been applied in order to offer
solutions and improve the quality of blood products. The current challenge we
face is developing a closer relationship between transfusion medicine and
proteomics. In this article, these issues will be approached by focusing first on
the proteome identification of blood products and then on the applications and
future developments within the field of proteomics and blood products.
PMID- 22087656
TI - Recent advances in biomarker discovery in solid organ transplant by proteomics.
AB - The identification and clinical use of more sensitive and specific biomarkers in
the field of solid organ transplantation is an urgent need in medicine. Solid
organ transplantation has seen improvements in the short-term survival of
transplanted organs due to recent advancements in immunosuppressive therapy.
However, the currently available methods of allograft monitoring are not optimal.
Recent advancements in assaying methods for biomolecules such as genes, mRNA and
proteins have helped to identify surrogate biomarkers that can be used to monitor
the transplanted organ. These high-throughput 'omic' methods can help researchers
to significantly speed up the identification and the validation steps, which are
crucial factors for biomarker discovery efforts. Still, the progress towards
identifying more sensitive and specific biomarkers remains a great deal slower
than expected. In this article, we have evaluated the current status of biomarker
discovery using proteomics tools in different solid organ transplants in recent
years. This article summarizes recent reports and current status, along with the
hurdles in efficient biomarker discovery of protein biomarkers using proteomics
approaches. Finally, we will touch upon personalized medicine as a future
direction for better management of transplanted organs, and provide what we think
could be a recipe for success in this field.
PMID- 22087658
TI - Proteomic tools against the neglected pathology of snake bite envenoming.
AB - This article covers the application of proteomic tools ('venomics',
'antivenomics' and 'venom phenotyping') to study the composition and natural
history of snake venoms, and the cross-reactivity of antivenoms with homologous
and heterologous venoms, to help address the neglected pathology of snake bite
envenoming. The identification of evolutionary and immunological trends may help
to replace the traditional geographic- and phylogenetic-driven hypotheses for
antivenom production strategies with a more rational approach based on proteome
phenotype and immunological profile similarities. Antivenomics and venom
phenotyping may also contribute to expand the clinical range of currently
existing antidotes.
PMID- 22087659
TI - Mass spectrometry-based functional proteomics of poly(ADP-ribose) polymerase-1.
AB - PARP-1 is an abundant nuclear protein that plays an essential role in the
regulation of many genome integrity and chromatin-based processes, such as DNA
repair, replication or transcriptional regulation. PARP-1 modulates the function
of chromatin and nuclear proteins through several poly(ADP-ribose) (pADPr)
dependent pathways. Aside from the clearly established role of PARP-1 in the
maintenance of genome stability, PARP-1 also emerged as an important regulator
that links chromatin functions with extranuclear compartments. pADPr signaling
has notably been found to be responsible for PARP-1-mediated mitochondrial
dysfunction and cell death. Defining the mechanisms that govern the intrinsic
functions of PARP-1 is fundamental to the understanding of signaling networks
regulated by pADPr. The emergence of mass spectrometry-based proteomics and its
broad applications in the study of biological systems represents an outstanding
opportunity to widen our knowledge of the functional spectrum of PARP-1. In this
article, we summarize various PARP-1 targeted proteomics studies and proteome
wide analyses that shed light on its protein interaction partners, expression
levels and post-translational modifications.
PMID- 22087660
TI - Dissecting phosphorylation networks: lessons learned from yeast.
AB - Protein phosphorylation continues to be regarded as one of the most important
post-translational modifications found in eukaryotes and has been implicated in
key roles in the development of a number of human diseases. In order to elucidate
roles for the 518 human kinases, phosphorylation has routinely been studied using
the budding yeast Saccharomyces cerevisiae as a model system. In recent years, a
number of technologies have emerged to globally map phosphorylation in yeast. In
this article, we review these technologies and discuss how these phosphorylation
mapping efforts have shed light on our understanding of kinase signaling pathways
and eukaryotic proteomic networks in general.
PMID- 22087661
TI - Intact proteome fractionation strategies compatible with mass spectrometry.
AB - Proteome fractionation refers to separation at the level of intact proteins.
Proteome fractionation may precede sample digestion and subsequent peptide-level
separation and detection (i.e., bottom-up mass spectrometry [MS]). For top-down
MS, proteome fractionation acts as a stand-alone separation platform, since
intact proteins are directly analyzed by the mass spectrometer. Regardless of the
MS identification strategy, separation of intact proteins has clear benefits as a
result of decreasing sample complexity. However, this stage of the workflow also
creates considerable challenges, which are generally absent from the counterpart
peptide separation experiment. For example, maintaining protein solubility is a
key concern before, during and after separation. To this end, surfactants such as
sodium dodecyl sulfate may be employed during fractionation, so long as they are
eliminated prior to MS. In this article, current strategies for proteome
fractionation in a MS-compatible format are reviewed, illustrating the challenges
and outlooks on this important aspect of proteomics.
PMID- 22087663
TI - Post-genomics of Neisseria meningitidis: an update.
AB - Neisseria meningitidis infection still remains a major life-threatening bacterial
disease worldwide. The availability of bacterial genomic sequences generated a
paradigm shift in microbiological and vaccines sciences, and post-genomics
(comparative genomics, functional genomics, proteomics and a
combination/evolution of these techniques) played important roles in elucidating
bacterial biological complexity and pathogenic traits, at the same time
accelerating the development of therapeutic drugs and vaccines. This article
summarizes the most recent technological and scientific advances in meningococcal
biology and pathogenesis aimed at the development and characterization of
vaccines against the pathogenic meningococci.
PMID- 22087667
TI - Twentieth-century cohort marriage and divorce in England and Wales.
AB - Summary Marital status life tables, which follow a real or synthetic birth
cohort through life and the marital statuses of 'never married', 'presently
married', 'widowed', and 'divorced', reflect observed marriage, divorce and
mortality behaviour and provide a detailed record of a cohort's experience. The
present paper analyses such tables for cohorts of men and women born in England
and Wales between 1900 and 1945. The results show that the later cohorts deviate
substantially from the 'European pattern' of late marriage and high proportions
never marrying, and that a dramatic rise in divorce has taken place, so that
among the later cohorts one marriage out of four ends in divorce.
PMID- 22087669
TI - Analysing open birth interval distributions.
AB - Summary This paper began with the recognition that open birth interval
distributions are analogous to age distributions. It then became clear that
closed birth interval distributions are, somewhat more loosely, analogous to
mortality schedules, and that the relationship between open and closed birth
interval distributions could be explored by imitating the formal demography of
mortality and age distribution. Pursuing this programme, we develop the formal
demography of open and closed birth interval distributions and show that under
certain assumptions open interval distributions may be used to estimate closed
interval distributions, just as age distributions may be used to estimate
mortality. An illustrative application to Indonesian data is given.
PMID- 22087670
TI - Estimation of adult mortality using forward and backward projections.
AB - Summary In this paper it is shown that, contrary to our intuitive understanding
of the nature of population projection, the estimation of adult intercensal
mortality leads to different results depending on whether forward or backward
projection of the population is used. From this result a simple procedure is
developed that yields estimates of the completeness of adult mortality
registration. Finally, the nature and performance of a variety of methods that
have recently been developed to estimate adult mortality in the absence of
accurate data are compared.
PMID- 22087666
TI - Social class and socio-economic differentials in divorce in England and Wales.
AB - Summary The characteristics of a national sample of over 2,000 couples who
divorced in 1979 are analysed according to the social class and socio-economic
position of the husband. The demographic variables investigated for social class
and socio-economic differentials include ages at marriage and divorce, duration
of marriage, previous marital status, family size and the presence of a pre
maritally conceived child. In addition, an age-standardized measure, the
'standardized divorce ratio' is used to summarise the relative rates of divorce
for the different social classes and socio-economic groups. Using this measure,
the rate of divorce for couples in Social Class I is only half that for the
average couple, whereas for couples in Social Class V and couples in which the
husband is unemployed it is more than double.
PMID- 22087664
TI - Bluestockings, spinsters and pedagogues: Women college graduates, 1865-1910.
AB - Summary This article is a study of the demographic behaviour of women college
graduates in late nineteenth and early twentieth-century America. The nuptiality
and fertility patterns of this group of highly educated women are described, and
several explanations of their 'unusual' behaviour are evaluated. Marriage rates
of women college graduates declined during the second half of the nineteenth
century, even as more women attended college. Only about half the women
graduating during the 1890s ever married. Still, the number of children ever born
per alumna only varied between 1.0 and 1.5 for the graduation classes of 1865 to
1910. An explanation based on changing labour market opportunities for educated
men and women best explains this population's demographic patterns over time as
well as their deviations from those of other women in their birth cohort.
PMID- 22087668
TI - The effects of children on divorce and re-marriage: a multivariate analysis of
life table probabilities.
AB - Summary Using proportional hazards models and multiple decrement life tables to
analyse data from the 1973 National Survey of Family Growth, this study tests the
hypotheses that, net of the effects of such factors as age at separation or
divorce, the probabilities of divorce after separation and of re-marriage after
divorce would be lower for women with larger numbers of children or younger
children, and that these transitions would take longer than for women with fewer
or older children or women who were childless; and that there would be an
interaction between number of children and age of youngest child. Results
included: (1) the probability that mothers of two or more children would divorce
after separation was significantly lower than for childless women, or those with
only one child; (2) among whites, mothers of three or more children were at a
significant disadvantage regarding their chances of re-marriage, whereas the
probability that a black mother of three or more children would re-marry was no
smaller than that of a woman with fewer or no children; (3) among whites, the
presence of a youngest child aged between two and five years at separation
decreased the probability of divorce after separation; (4) there was no
interaction effect between number and age of children; and (5) in each category
of family size and age of youngest child, the probability that a black woman
would divorce after separation or re-marry after divorce was lower than for white
women. The results have important implications for the study of divorce and re
marriage, and for understanding of problems of single-parent families.
PMID- 22087673
TI - A rejoinder to S. K. Datta and J. B. Nugent.
AB - Abstract Extract The question of old-age security as a motivation for
fertility in less-developed rural areas can be put in clearer perspective by
pausing to consider the changing roles of land and offspring under the influence
of fundamental demographic upheaval. Under the pre-transition regime, one
generation approximately replaced the preceding one, particularly once unused but
usable land became scarce and the possibility of expanding farm operations became
remote. Judging from the settlement patterns and the history of the Maharashtrian
study area, such a circumstance probably obtained long before the secular drop in
mortality began. During this period, a single son, typically, would survive to
adulthood, gradually assuming control of the father's land (or the father's
trade, among non-agriculturalists) and, if the father lived long enough, would
eventually be a source of security in the father's old age. It is not
inappropriate to mention that this generational cycle no doubt fostered a strong
urge to leave the family land to a son, so that a sonless farmer would keenly
feel a lack of fulfilment. In fact, responses to certain survey questions suggest
that ancestral land and male progeny are still somehow connected, according to
the way village men think, to their sense of immortality. It would be hard,
consequently, to separate old-age security, the idea of 'continuing a lineage',
and the sense of immortality conferred by owning land into distinct motives for
conceiving children.
PMID- 22087677
TI - The public, political parties, and stem-cell research.
PMID- 22087671
TI - Transforming gompertz's function for fertility analysis: The development of a
standard for the relational gompertz function.
AB - Summary The relational Gompertz function improves upon the Gompertz for
fertility analysis by achieving a better fit in the tails of the distribution.
This is obtained by a transformation of the age scale corresponding to an
empirical standard. This standard is developed from Coale and Trussell's model
and is appropriate for use with populations of high fertility. The model is
tested on two sets of data and is shown to produce good estimates of completed
fertility even for data truncated at quite early ages. Good results are also
obtained for declining fertility.
PMID- 22087672
TI - Are old-age security and the utility of children in rural India really
unimportant?
AB - Abstract Extract In their recent paper in this journal M. and Carol Vlassoff
are to be commended for helping to remedy the dearth of empirical studies on the
old-age security motive for children (and particularly sons) in rural areas of
developing countries.(1) However, while the questionnaire which they applied to
357 ever-married men in a rural village in Maharashtra state in India is
potentially useful, several of the conclusions they derive from it are
unwarranted and, if left unquestioned, would undoubtedly have the effect of
setting back the serious investigation of the effects of this motive rather than
furthering it. The invalid or at least questionable inferences are taken up one
at a time in the order of their appearance:
PMID- 22087678
TI - War, drought, malnutrition, measles--a report from Somalia.
PMID- 22087683
TI - Case records of the Massachusetts General Hospital. Case 35-2011: A 33-year-old
woman with postpartum leukocytosis and Gram-positive bacteremia.
PMID- 22087682
TI - Images in clinical medicine: A tension bulla mimicking tension pneumothorax.
PMID- 22087681
TI - Extracorporeal membrane oxygenation for ARDS in adults.
AB - A 41-year-old woman presents with severe community-acquired pneumococcal
pneumonia. Chest radiography reveals diffuse bilateral infiltrates, and hypoxemic
respiratory failure develops despite appropriate antibiotic therapy. She is
intubated and mechanical ventilation is initiated with a volume- and pressure
limited approach for the acute respiratory distress syndrome (ARDS). Over the
ensuing 24 hours, her partial pressure of arterial oxygen (Pao2) decreases to 40
mm Hg, despite ventilatory support with a fraction of inspired oxygen (Fio2) of
1.0 and a positive end-expiratory pressure (PEEP) of 20 cm of water. She is
placed in the prone position and a neuromuscular blocking agent is administered,
without improvement in her Pao2. An intensive care specialist recommends the
initiation of extracorporeal membrane oxygenation (ECMO).
PMID- 22087684
TI - Childhood obesity and coronary heart disease.
PMID- 22087685
TI - Toward better treatment for lupus nephritis.
PMID- 22087691
TI - Tissue plasminogen activator and DNase in empyema.
PMID- 22087689
TI - Prevention of HIV-1 infection with antiretroviral therapy.
PMID- 22087695
TI - Chimeric antigen receptor-modified T cells in CLL.
PMID- 22087698
TI - Malpractice risk according to physician specialty.
PMID- 22087688
TI - Prevention of HIV-1 infection with antiretroviral therapy.
PMID- 22087694
TI - Chimeric antigen receptor-modified T cells in CLL.
PMID- 22087679
TI - Childhood adiposity, adult adiposity, and cardiovascular risk factors.
AB - BACKGROUND: Obesity in childhood is associated with increased cardiovascular
risk. It is uncertain whether this risk is attenuated in persons who are
overweight or obese as children but not obese as adults. METHODS: We analyzed
data from four prospective cohort studies that measured childhood and adult body
mass index (BMI, the weight in kilograms divided by the square of the height in
meters). The mean length of follow-up was 23 years. To define high adiposity
status, international age-specific and sex-specific BMI cutoff points for
overweight and obesity were used for children, and a BMI cutoff point of 30 was
used for adults. RESULTS: Data were available for 6328 subjects. Subjects with
consistently high adiposity status from childhood to adulthood, as compared with
persons who had a normal BMI as children and were nonobese as adults, had an
increased risk of type 2 diabetes (relative risk, 5.4; 95% confidence interval
[CI], 3.4 to 8.5), hypertension (relative risk, 2.7; 95% CI, 2.2 to 3.3),
elevated low-density lipoprotein cholesterol levels (relative risk, 1.8; 95% CI,
1.4 to 2.3), reduced high-density lipoprotein cholesterol levels (relative risk,
2.1; 95% CI, 1.8 to 2.5), elevated triglyceride levels (relative risk, 3.0; 95%
CI, 2.4 to 3.8), and carotid-artery atherosclerosis (increased intima-media
thickness of the carotid artery) (relative risk, 1.7; 95% CI, 1.4 to 2.2) (P <=
0.002 for all comparisons). Persons who were overweight or obese during childhood
but were nonobese as adults had risks of the outcomes that were similar to those
of persons who had a normal BMI consistently from childhood to adulthood (P>0.20
for all comparisons). CONCLUSIONS: Overweight or obese children who were obese as
adults had increased risks of type 2 diabetes, hypertension, dyslipidemia, and
carotid-artery atherosclerosis. The risks of these outcomes among overweight or
obese children who became nonobese by adulthood were similar to those among
persons who were never obese. (Funded by the Academy of Finland and others.).
PMID- 22087697
TI - Malpractice risk according to physician specialty.
PMID- 22087692
TI - Tissue plasminogen activator and DNase in empyema.
PMID- 22087665
TI - The Effect of birth spacing on childhood mortality in Pakistan.
AB - Summary In this study retrospective data from the 1975 Pakistan Fertility
Survey are used to examine the effects of birth spacing on infant and child
mortality. The length of the preceding interval between live births emerges as a
major determinant of mortality. The effect persists for rural and urban families,
for children of uneducated and educated mothers, for both boys and girls, and for
large and small families. The possibility that this relationship is the spurious
consequence of data defects or of a common cause, such as early weaning, is
examined but rejected. Once the length of the preceding interval is controlled,
the average spacing of earlier births is found to be unrelated to survivorship.
However, the length of the succeeding interval is significantly related to
survivorship during the second year of life.
PMID- 22087699
TI - HRAS mutation mosaicism causing urothelial cancer and epidermal nevus.
PMID- 22087686
TI - Beta-cell failure, stress, and type 2 diabetes.
PMID- 22087704
TI - Images in clinical medicine: Zoster of the tympanic membrane.
PMID- 22087707
TI - Local structure and charge distribution in mixed uranium-americium oxides:
effects of oxygen potential and Am content.
AB - Partitioning and transmutation (P&T) of minor actinides (MA) is currently studied
to reduce the nuclear waste inventory. In this context, the fabrication of MA
bearing materials is of great interest to achieve an effective recycling of these
highly radioactive elements. To ensure the in-pile behavior, nuclear oxide fuels
have to respect several criteria including preservation of the fluorite structure
and defined oxygen to metal ratio (O/M). In the case of Am bearing materials,
such as U(1-y)Am(y)O(2+/-x) (y = 0.10, 0.15, 0.20), the O/M determination is
quite challenging using conventional methods (TGA, XRD) because of the particular
thermodynamic properties of Am. Despite the lack of experimental data in the U-Am
O system, thermodynamical models are currently developed to effectively assess
the O/M ratio. In this work, the O/M ratios were calculated for various oxygen
potentials using the cation molar fraction determined by XAS measurements. These
results are an important addition to the experimental data available for the U-Am
O system. Moreover, XRD and XAS indicated that the fabrication of fluorite U(1
y)Am(y)O(2+/-x) solid solution was achieved for all Am content and oxygen
potentials investigated. On the basis of the molar fraction, a description of the
solid solution was proposed depending on the considered sintering conditions.
Finally, the occurrence of an unexpected charge compensation mechanism was
pointed out.
PMID- 22087705
TI - Work ability: concept and assessment from a physiotherapeutic perspective. An
interview study.
AB - The aim of this study was to ascertain experiences and perceptions among
physiotherapists (PTs) in Sweden regarding the concept of work ability as well as
their perspectives of their professional role in work ability assessments. We
conducted an in-depth interview study with four male and twelve female
physiotherapists working in the field of occupational health care, orthopaedics,
primary health care or rehabilitation. Qualitative content analysis was applied
to the data. Work ability was perceived as the ability to perform work tasks as
requested. Having the potential to adjust at work and to allocate resources,
having an attachment to the workplace and time factors were vital. The
physiotherapists were striving for a well-defined role within a multiprofessional
team, where work ability assessments were performed in a real work environment.
The PTs experienced contradictory roles in relation to the patient but believed
they could contribute with valuable material for assessments; this professional
help was not always requested. It was noted that there was a need for experience
and further education to enable PTs to further engage in work ability
assessments. It is important to improve collaboration and to further discuss the
work ability concept from the viewpoints of different professionals.
PMID- 22087708
TI - Influence of electric field on SERS: frequency effects, intensity changes, and
susceptible bonds.
AB - The fundamental mechanism proposed to explain surface-enhanced Raman scattering
(SERS) relies on electromagnetic field enhancement at optical frequencies. In
this work, we demonstrate the use of microfabricated, silver nanotextured
electrode pairs to study, in situ, the influence of low frequency (5 mHz to 1
kHz) oscillating electric fields on the SERS spectra of thiophenol. This applied
electric field is shown to affect SERS peak intensities and influence specific
vibrational modes of the analyte. The applied electric field perturbs the polar
analyte, thereby altering the scattering cross section. Peaks related to the
sulfurous bond which binds the molecule to the silver nanotexture exhibit strong
and distinguishable responses to the applied field, due to varying bending and
stretching mechanics. Density functional theory simulations are used to
qualitatively verify the experimental observations. Our experimental and
simulation results demonstrate that the SERS spectral changes relate to electric
field induced molecular reorientation, with dependence on applied field strength
and frequency. This demonstration creates new opportunities for external dynamic
tuning and multivariate control of SERS measurements.
PMID- 22087710
TI - Changing the world with an embryo.
PMID- 22087680
TI - Mycophenolate versus azathioprine as maintenance therapy for lupus nephritis.
AB - BACKGROUND: Maintenance therapy, often with azathioprine or mycophenolate
mofetil, is required to consolidate remission and prevent relapse after the
initial control of lupus nephritis. METHODS: We carried out a 36-month,
randomized, double-blind, double-dummy, phase 3 study comparing oral
mycophenolate mofetil (2 g per day) and oral azathioprine (2 mg per kilogram of
body weight per day), plus placebo in each group, in patients who met response
criteria during a 6-month induction trial. The study group underwent repeat
randomization in a 1:1 ratio. Up to 10 mg of prednisone per day or its equivalent
was permitted. The primary efficacy end point was the time to treatment failure,
which was defined as death, end-stage renal disease, doubling of the serum
creatinine level, renal flare, or rescue therapy for lupus nephritis. Secondary
assessments included the time to the individual components of treatment failure
and adverse events. RESULTS: A total of 227 patients were randomly assigned to
maintenance treatment (116 to mycophenolate mofetil and 111 to azathioprine).
Mycophenolate mofetil was superior to azathioprine with respect to the primary
end point, time to treatment failure (hazard ratio, 0.44; 95% confidence
interval, 0.25 to 0.77; P = 0.003), and with respect to time to renal flare and
time to rescue therapy (hazard ratio, <1.00; P < 0.05). Observed rates of
treatment failure were 16.4% (19 of 116 patients) in the mycophenolate mofetil
group and 32.4% (36 of 111) in the azathioprine group. Adverse events, most
commonly minor infections and gastrointestinal disorders, occurred in more than
95% of the patients in both groups (P = 0.68). Serious adverse events occurred in
33.3% of patients in the azathioprine group and in 23.5% of those in the
mycophenolate mofetil group (P = 0.11), and the rate of withdrawal due to adverse
events was higher with azathioprine than with mycophenolate mofetil (39.6% vs.
25.2%, P = 0.02). CONCLUSIONS: Mycophenolate mofetil was superior to azathioprine
in maintaining a renal response to treatment and in preventing relapse in
patients with lupus nephritis who had a response to induction therapy. (Funded by
Vifor Pharma [formerly Aspreva]; ALMS ClinicalTrials.gov number, NCT00377637.).
PMID- 22087700
TI - Nitric oxide during altitude acclimatization.
PMID- 22087706
TI - A qualitative exploration of smokers' views regarding aspects of a community
based mobile stop smoking service in the United Kingdom.
AB - BACKGROUND: Developing more accessible stop smoking services (SSS) is important,
particularly for reaching smokers from socio-economically deprived groups who are
more likely to smoke and less likely to quit in comparison to their more affluent
counterparts. A drop-in mobile SSS (MSSS) was piloted across 13 locations in
socio-economically deprived areas of Nottingham. METHODS: Semi-structured
telephone interviews were conducted to explore the views of 40 smokers who
registered with the MSSS. RESULTS: The MSSS appeared to trigger quit attempts.
For some of the participants the attempt was totally unplanned; for others, it
built on pre-existing thoughts about quitting which had not yet been acted upon.
Smokers interested in quitting were comfortable about approaching the MSSS,
whilst acknowledging that they did not feel pressured to register with the
service. The drop-in format of the MSSS was found to be more appealing than
making an appointment. In addition, several participants articulated that they
may not have utilised other SSS had they not come across the MSSS. CONCLUSIONS: A
MSSS may be an effective way to prompt quit attempts for smokers not planning to
quit and also reach smokers who would not engage with SSS.
PMID- 22087711
TI - More on change and veterinary medical education.
PMID- 22087712
TI - Ovariohysterectomy versus ovariectomy for elective sterilization of female dogs
and cats: is removal of the uterus necessary?
PMID- 22087713
TI - Supravalvular PA stenosis (PAS) of probable congenital origin.
PMID- 22087719
TI - Pathology in practice. Mannheimia haemolytica.
PMID- 22087720
TI - Therapeutic use of fish oils in companion animals.
PMID- 22087716
TI - Anesthesia case of the month. Administration of aged packed RBCs.
PMID- 22087722
TI - Phenylpropanolamine toxicosis in dogs: 170 cases (2004?2009).
AB - OBJECTIVE: To evaluate signalment, clinical signs, dose ingested, treatment
requirements, duration of hospitalization, and outcome of dogs exposed to
phenylpropanolamine. DESIGN: Retrospective case series. ANIMALS: 170 dogs with
potential PPA toxicosis evaluated between 2004 and 2009. PROCEDURES: Dogs with
potential PPA toxicosis were identified by reviewing the electronic database of
an animal poison control center. RESULTS: 66 of the 170 (39%) dogs reportedly did
not develop any clinical signs. Clinical signs reported in the remaining 104
(61%) dogs included agitation (n = 40), vomiting (27), mydriasis (19), lethargy
(17), tremor or twitching (16), panting (15), bradycardia (13), tachycardia (12),
hypertension (11), and erythema (8). Median dose ingested for all dogs was 29
mg/kg (13.2 mg/lb). Dogs developing clinical signs had a significantly higher
median dose ingested (373 mg/kg [170 mg/lb]) than did dogs that did not develop
clinical signs (18 mg/kg [8.2 mg/lb]). Likewise, median dose ingested for the 123
dogs treated as inpatients (36.9 mg/kg [16.8 mg/lb]) was significantly higher
than the median dose for the 14 dogs treated as outpatients (20.5 mg/kg [9.3
mg/lb]). Median duration of hospitalization was 18 hours (range, 4 to 72 hours),
and hospitalization time increased as the dose ingested increased. Survival rate
was 99.4% (169/170); the dog that died had ingested a dose of 145 mg/kg (65.9
mg/lb). CONCLUSIONS AND CLINICAL RELEVANCE: Results suggested that with
supportive care, the prognosis for dogs that had ingested an overdose of
phenylpropanolamine was excellent.
PMID- 22087718
TI - Animal behavior case of the month. Feline urine marking.
PMID- 22087723
TI - Clinical signs and histologic findings in dogs with odontogenic cysts: 41 cases
(1995-2010).
AB - OBJECTIVE: To characterize clinical signs and histologic findings in dogs with
odontogenic cysts and determine whether histologic findings were associated with
clinical features. DESIGN: Retrospective case series. ANIMALS: 41 dogs.
PROCEDURES: Medical records were reviewed to obtain clinical data, including
breed, age, sex, and lesion location. Microscopic sections and results of
diagnostic imaging were reviewed. RESULTS: Odontogenic cysts were identified in
41 dogs between 1995 and 2010. There were 29 dogs with dentigerous cysts, 1 with
a radicular cyst, 1 with a lateral periodontal cyst, and 1 with a gingival
inclusion cyst. In addition, 9 dogs with odontogenic cysts that had clinical and
histologic features suggestive of, but not diagnostic for, odontogenic
keratocysts seen in people were identified. In all 9 dogs, these cysts were
located in the maxilla and surrounded the roots of normally erupted teeth. Of the
29 dogs with dentigerous cysts, 23 had a single cyst, 5 had 2 cysts, and 1 had 3
cysts. Six cysts were associated with an unerupted canine tooth, and 30 were
associated with an unerupted first premolar tooth (1 cyst was associated both
with an unerupted canine tooth and with an unerupted first premolar tooth).
Dentigerous cysts were identified in a variety of breeds, but several
brachycephalic breeds were overrepresented, compared with the hospital population
during the study period. CONCLUSIONS AND CLINICAL RELEVANCE: Results suggested
that a variety of odontogenic cysts can occur in dogs. In addition, cysts that
resembled odontogenic keratocysts reported in people were identified. We propose
the term canine odontogenic parakeratinized cyst for this condition.
PMID- 22087725
TI - Abdominal computed radiography for the diagnosis of enterolithiasis in horses:
142 cases (2003?2007).
AB - OBJECTIVE: To evaluate the sensitivity and specificity of abdominal computed
radiography (CR) for the diagnosis of enterolithiasis in horses and to examine
how these parameters are affected by the number and anatomic location of
enteroliths and by gas distension of the gastrointestinal tract. DESIGN:
Retrospective case series. ANIMALS: Horses ? 1 year old that underwent abdominal
CR and subsequent exploratory laparotomy or postmortem examination. PROCEDURES: 3
reviewers blinded to signalment, history, clinical signs, and diagnoses
separately evaluated abdominal computed radiographs of horses included in the
study. Each set of radiographs was evaluated for the presence or absence of
enteroliths, the amount of gas distention, and the image quality. Signalment,
definitive diagnosis on the basis of findings on exploratory laparotomy or
postmortem examination, and the number and location of enteroliths were obtained
from medical records. RESULTS: Of the 142 cases reviewed, 58.4% (83/142) had
confirmed enterolithiasis. For the 3 reviewers, overall sensitivity was 85% and
specificity was 93%. Sensitivity was lower for small colon enteroliths than for
large colon enteroliths (50% and 94.5%, respectively) and was significantly
affected by gas distention. Sensitivity was not significantly affected by the
number of enteroliths. CONCLUSIONS AND CLINICAL RELEVANCE: Computed radiography
provided high sensitivity and high specificity for the diagnosis of
enterolithiasis in horses. Caution should be exercised when the radiographic
results are negative, as the sensitivity for small colon enterolithiasis was
relatively low and gas distension negatively affected detection of enteroliths.
Abdominal CR is indicated as a diagnostic test in horses examined for colic in
geographic regions in which enterolithiasis is endemic.
PMID- 22087724
TI - Monoclonal immunoglobulin protein production in two dogs with secretory B-cell
lymphoma with mott cell differentiation.
AB - CASE DESCRIPTION: A 9-year-old castrated male mixed-breed dog and a 7-year-old
spayed female Boston Terrier, with clinical histories of a liver mass (dog 1) and
bloody vomitus, diarrhea, and weight loss (dog 2), respectively, were referred
for further evaluation. CLINICAL FINDINGS: At the time of referral, each dog had
differing laboratory abnormalities; however, the serum total protein and globulin
concentrations were within reference range in both dogs. Cytologic examination of
fine-needle aspirates obtained from affected organs (a liver mass [dog 1] and
enlarged submandibular lymph node [dog 2]) revealed 2 main nucleated cell types:
atypical lymphoid cells and lesser numbers of Mott cells. With the use of serum
immunofixation electrophoresis and serum immunoglobulin quantification, a
monoclonal immunoglobulin protein was identified in both dogs and a final
diagnosis of secretory B-cell lymphoma with Mott cell differentiation (MCL) was
made. TREATMENT AND OUTCOME: Both dogs received chemotherapy for their disease.
The first dog was euthanized 8.5 months after diagnosis because of acute
respiratory distress of unknown etiology, and the second was euthanized 7 days
after diagnosis for worsening clinical disease and quality of life. CLINICAL
RELEVANCE: To our knowledge, this report is the first of a secretory form of MCL
in dogs. Findings indicate that in dogs with suspect MCL, even in patients that
lack characteristic hyperproteinemia or hyperglobulinemia, serum protein content
should be fully evaluated for the presence of a monoclonal immunoglobulin
protein. Such an evaluation that uses immunofixation electrophoresis and
immunoglobulin quantification will aid in the diagnosis of MCL in dogs.
PMID- 22087729
TI - Palladium-catalyzed benzylation of unprotected anthranilic acids with benzyl
alcohols in water.
AB - Palladium-catalyzed benzylation of unprotected anthranilic acids with benzyl
alcohols in the presence of Pd(OAc)(2) (5 mol %) and sodium
diphenylphosphinobenzene-3-sulfonate (TPPMS, 10 mol %) in water at 120 degrees C
for 16 h gave only dibenzylated anthranilic acids in good yields. Water may play
important roles for the smooth generation of the (eta(3)-benzyl)palladium species
by activation of the hydroxyl group of the benzyl alcohol.
PMID- 22087727
TI - The headache of high altitude and microgravity--similarities with clinical
syndromes of cerebral venous hypertension.
AB - Syndromes thought to have cerebral venous hypertension as their core, such as
idiopathic intracranial hypertension and jugular foramen outlet obstruction,
classically result in headaches. Do they provide an insight into the cause of the
headache that commonly occurs at altitude? The classic theory of the pathogenesis
of high altitude headache has been that it results from increased intracranial
pressure (ICP) secondary to hypoxemia in people who have less compliant
intracranial volumes (Roach and Hackett, 2001). However, there does not appear to
be a correlation between the headache of acute mountain sickness (AMS) and the
presence of cerebral edema (Bailey et al, 2006; Wilson et al, 2009). Research has
concentrated on arterial perfusion to the brain in hypoxia, but there has been
little study of venous drainage. Hypoxia results in markedly increased cerebral
blood flow; however, if it has been considered at all, venous outflow has to date
been assumed to be of little consequence. Retinal venous distension and the
increased venous blood demonstrated by near infra-red spectroscopy and more
recently by MRI imply that, in hypoxia, a relative venous insufficiency may
exist. Similarly, there is increasing evidence that manifestations of the fluid
shift during microgravity is of similar nature to idiopathic intracranial
hypertension, which is thought to be primarily a venous insufficiency condition.
The unique anthropomorphic adaptations of large brained biped humans with
cerebral venous systems that have to cope with large changes in hydrostatic
pressure may predispose us to conditions of inflow/outflow mismatch. In addition,
slight increases in central venous pressures (e.g., from hypoxia-induced
pulmonary vasoconstriction) may further compromise venous outflow at altitude. A
better understanding of cerebral venous physiology may enlighten us with regards
the pathogenesis of headaches currently considered idiopathic. It may also enable
us to trigger headaches for study and hence enable us to develop new treatment
strategies.
PMID- 22087728
TI - Structures and IR spectra of the Gramicidin S peptide: pushing the quest for low
energy conformations.
AB - An extensive molecular modeling study was carried out on the doubly protonated
cyclic decapeptide Gramicidin S following several recent gas-phase experiments.
Our computational strategy includes replica-exchange molecular dynamics
simulations with the new generation force field AMOEBA for exploration and
density functional calculations using several functionals for refinement of
structures and computation of IR spectra. This procedure yields low-energy
structures of which three are proposed to correspond to the three conformers
detected in low-temperature IR experiments. The most stable structure has C(2)
symmetry and four strong beta-sheet interactions between Orn and Val residues.
Furthermore, all the other peptidic N-H bonds are involved in seven-membered C(7)
motifs. The computed IR spectra of the three conformers are in good agreement
with the experimental ones in the 1400-2000 cm(-1) range. In the 3000-3600 cm(-1)
region, the computed spectrum is also in good agreement with experiment for the
main conformer, and predictions are made of structure-specific signatures for the
other two conformers. The accuracy of several density functionals is discussed in
detail. These results point out that efficient potential energy surface
explorations coupled to appropriate density functional theory (DFT) calculations
are able to reveal the structures of molecules as large and flexible as
decapeptides.
PMID- 22087721
TI - Evaluation of dexmedetomidine and ketamine in combination with various opioids as
injectable anesthetic combinations for castration in cats.
AB - OBJECTIVE: To compare the efficacy and cardiorespiratory effects of
dexmedetomidine-ketamine in combination with butorphanol, hydromorphone, or
buprenorphine with or without reversal by atipamezole in cats undergoing
castration. DESIGN: Prospective, randomized, split-plot, blinded study. ANIMALS:
30 healthy male cats. PROCEDURES: Cats were assigned to receive dexmedetomidine
(25 ?g/kg [11.4 ?g/lb]) and ketamine (3 mg/kg [1.4 mg/lb]) with butorphanol (0.2
mg/kg [0.09 mg/lb]; DKBut; n = 10), hydromorphone (0.05 mg/kg [0.023 mg/lb]; DKH;
10), or buprenorphine (30 ?g/kg [13.6 ?g/lb]; DKBup; 10). Drugs were administered
as a single IM injection. Supplemental isoflurane was administered to cats if the
level of anesthesia was inadequate for surgery. At the conclusion of surgery,
half the cats (5 cats in each treatment group) received atipamezole (250 ?g/kg
[113.6 ?g/lb], IM) and the remainder received saline (0.9% NaCl) solution IM. All
cats received meloxicam (0.2 mg/kg, SC) immediately prior to the conclusion of
surgery. RESULTS: All drug combinations induced lateral recumbency, and
intubation was achievable in 13 of 30 (43%) cats at 10 minutes after injection.
Supplemental isoflurane was needed for the surgery in 1 of 10 of the DKBut-, 2 of
10 of the DKH-, and 7 of 10 of the DKBup-treated cats. Cats that received
atipamezole had a significantly shorter recovery time. CONCLUSIONS AND CLINICAL
RELEVANCE: DKBut and DKH combinations were suitable injectable anesthetic
protocols for castration in cats commencing at 10 minutes after injection, but
cats receiving DKBup may require additional time or anesthetics for adequate
anesthesia.
PMID- 22087715
TI - ECG of the Month. ECG Interpretation.
PMID- 22087714
TI - Diagnostic imaging in veterinary dental practice. Chronic endodontic disease of
the right mandibular first molar tooth.
PMID- 22087731
TI - The utility of a bladder scan protocol using a portable ultrasonographic device
in subacute stroke patients.
AB - PURPOSE: To evaluate the clinical usefulness of a bladder scan protocol for
measuring urinary retention with a Portable Ultrasonographic Device (PUD) in
stroke patients. METHOD: This is case-control study. The study enrolled a total
of 52 stroke patients with post-void residual urine (PVR) volume > 100 mL. The
case group (n = 26) was managed using our bladder scan protocol until the PVR
volume fell below 100 mL. A PVR volume > 400 mL resulted in intermittent urinary
catheterization (IC). The control group (n = 26) was not managed using the
bladder scan protocol. We compared outcomes for the two groups. RESULTS: The case
and control groups were similar in terms of the total scanning period (days). The
number of scanning days after the PVR volume fell below < 100 mL was less for the
case than the control group (2.3 versus 8.5 days; p < 0.001). Scanning was
discontinued before the PVR volume fell below 100 mL in one case group and seven
control group patients (p < 0.05). The mean IC volume was nearly 400 mL in the
case group, without any bladder overdistensions and without any urinary tract
infections. CONCLUSIONS: Our bladder scan protocol for urinary retention after
stroke may be useful as this allows catheterization of an adequate urine volume
and reduces unnecessary bladder scanning.
PMID- 22087730
TI - Role of hexaminolevulinate-guided fluorescence cystoscopy in bladder cancer:
critical analysis of the latest data and European guidance.
AB - OBJECTIVE: Hexaminolevulinate (HAL) is an optical imaging agent used with
fluorescence cystoscopy (FC) for the detection of non-muscle-invasive bladder
cancer (NMIBC). Guidelines from the European Association of Urology (EAU) and a
recent, more detailed European expert consensus statement agree that HAL-FC has a
role in improving detection of NMIBC and provide recommendations on situations
for its use. Since the publication of the EAU guidelines and the European
consensus statement, new evidence on the efficacy of HAL-FC in reducing
recurrence of NMIBC, compared with white light cystoscopy (WLC), have been
published. MATERIAL AND METHODS: To consider whether these new trials have an
impact on the expert guidelines and on clinical practice (e.g. supporting
existing recommendations or providing evidence for a change or expansion of
practice), a group of bladder cancer experts from Denmark, Finland, Norway and
Sweden met to address the following questions: What is the relevance of the new
data on HAL-FC for clinical practice in managing NMIBC? What impact do the new
data have on European guidelines? How could HAL-FC be used in clinical practice?
and What further information on HAL-FC is required to optimize the management of
NMIBC? RESULTS AND CONCLUSIONS: This article reports the outcomes of the
discussion at the Nordic expert panel meeting, concluding that, in line with
European guidance, HAL-FC has an important role in the initial detection of NMIBC
and for follow-up of patients to assess tumour recurrence after WLC. It provides
practical advice, with an algorithm on the use of this diagnostic procedure for
urologists managing NMIBC.
PMID- 22087726
TI - Fish polar lipids retard atherosclerosis in rabbits by down-regulating PAF
biosynthesis and up-regulating PAF catabolism.
AB - BACKGROUND: Platelet activating factor (PAF) has been proposed as a key factor
and initial trigger in atherosclerosis. Recently, a modulation of PAF metabolism
by bioactive food constituents has been suggested. In this study we investigated
the effect of fish polar lipid consumption on PAF metabolism. RESULTS: The
specific activities of four PAF metabolic enzymes; in leukocytes, platelets and
plasma, and PAF concentration; either in blood cells or plasma were determined.
Samples were acquired at the beginning and at the end of a previously conducted
study in male New Zealand white rabbits that were fed for 45 days with
atherogenic diet supplemented (group-B, n = 6) or not (group-A, n = 6) with
gilthead sea bream (Sparus aurata) polar lipids.The specific activity of PAF
Acetylhydrolase (PAF-AH); a catabolic enzyme of PAF, was decreased in rabbits'
platelets of both A and B groups and in rabbits' leukocytes of group A (p <
0.05). On the other hand the specific activity of Lipoprotein-associated
Phospholipase A2 (Lp-PLA2); the catabolic enzyme of PAF in plasma was increased
in both A and B groups in both leukocytes and platelets (p < 0.05). PAF
cholinephosphotransferase (PAF-CPT); a biosynthetic enzyme of PAF showed
increased specific activity only in rabbits' leukocytes of group A (p < 0.05).
Neither of the two groups showed any change in Lyso-PAF-acetyltransferase (Lyso
PAF-AT) specific activity (p > 0.05). Free and bound PAF levels increased in
group A while decreased in group B (p < 0.05). CONCLUSIONS: Gilthead sea bream
(Sparus aurata) polar lipids modulate PAF metabolism upon atherosclerotic
conditions in rabbits leading to lower PAF levels and activity in blood of
rabbits with reduced early atherosclerotic lesions compared to control group.
PMID- 22087732
TI - Vibrational spectroscopic determination of local solvent electric field, solute
solvent electrostatic interaction energy, and their fluctuation amplitudes.
AB - IR probes have been extensively used to monitor local electrostatic and solvation
dynamics. Particularly, their vibrational frequencies are highly sensitive to
local solvent electric field around an IR probe. Here, we show that the
experimentally measured vibrational frequency shifts can be inversely used to
determine local electric potential distribution and solute-solvent electrostatic
interaction energy. In addition, the upper limits of their fluctuation amplitudes
are estimated by using the vibrational bandwidths. Applying this method to fully
deuterated N-methylacetamide (NMA) in D(2)O and examining the solvatochromic
effects on the amide I' and II' mode frequencies, we found that the solvent
electric potential difference between O(?C) and D(-N) atoms of the peptide bond
is about 5.4 V, and thus, the approximate solvent electric field produced by
surrounding water molecules on the NMA is 172 MV/cm on average if the molecular
geometry is taken into account. The solute-solvent electrostatic interaction
energy is estimated to be -137 kJ/mol, by considering electric dipole-electric
field interaction. Furthermore, their root-mean-square fluctuation amplitudes are
as large as 1.6 V, 52 MV/cm, and 41 kJ/mol, respectively. We found that the water
electric potential on a peptide bond is spatially nonhomogeneous and that the
fluctuation in the electrostatic peptide-water interaction energy is about 10
times larger than the thermal energy at room temperature. This indicates that the
peptide-solvent interactions are indeed important for the activation of chemical
reactions in aqueous solution.
PMID- 22087733
TI - Exposure to a dog elicits different cardiovascular and behavioral effects in
pregnant and lactating goats.
AB - BACKGROUND: Heart rate and plasma cortisol concentration are often used in
evaluation of physiological reactions to stress and fear, but arterial blood
pressure is rarely measured in farm animals. Goats are prey animals and can be
expected to react strongly to a predator, especially when they have kids. We
hypothesized that exposure to a dog elicits a flight response during pregnancy
and a fight response when goats have kids to defend. Arterial blood pressure and
heart rate should increase in both these cases, due to a synchronized discharge
of the sympathetic nervous system. METHODS: Seven goats were exposed to a dog for
15 minutes at 12 +/- 3 days before, and again at 10 +/- 1 days after,
parturition. Arterial blood pressure, heart rate, and activity were registered by
telemetry. Behavioral data were collected during 5 minute sessions, followed by
blood samples obtained via intrajugular catheters. Plasma cortisol concentration
was analyzed by radioimmunoassay. RESULTS: At the appearance of the dog, the mean
arterial blood pressure of the goats increased from 90 +/- 8 to 111 +/- 8 mmHg (p
< 0.001) during pregnancy and from 96 +/- 8 to 108 +/- 8 mmHg during lactation (p
< 0.001). Heart rate did not change at dog exposure during lactation, but
increased from 117 +/- 6 to 126 +/- 10 beats/min (p < 0.01) during pregnancy. Dog
exposure resulted in plasma cortisol concentration increasing from 17 +/- 1 to 43
+/- 7 nmol/l (p < 0.01) during pregnancy and from 21 +/- 1 to 49 +/- 6 nmol/l (p
< 0.01) during lactation. In response to the dog, goats vocalized at a higher
frequency and started to ruminate later during lactation compared to pregnancy.
CONCLUSIONS: When goats were exposed to a dog during pregnancy, their heart rate,
blood pressure, and plasma cortisol increased, in contrast to lactation when only
their blood pressure and plasma cortisol increased. However, when they were
lactating, goats vocalized more and started to ruminate later compared to when
they were pregnant.
PMID- 22087735
TI - Si nanowires by a single-step metal-assisted chemical etching process on
lithographically defined areas: formation kinetics.
AB - In this paper, we investigate the formation kinetics of Si nanowires [SiNWs] on
lithographically defined areas using a single-step metal-assisted chemical
etching process in an aqueous HF/AgNO3 solution. We show that the etch rate of
Si, and consequently, the SiNW length, is much higher on the lithographically
defined areas compared with that on the non-patterned areas. A comparative study
of the etch rate in the two cases under the same experimental conditions showed
that this effect is much more pronounced at the beginning of the etching process.
Moreover, it was found that in both cases, the nanowire formation rate is linear
with temperature in the range from 20 degrees C to 50 degrees C, with almost the
same activation energy, as obtained from an Arrhenius plot (0.37 eV in the case
of non-patterned areas, while 0.38 eV in the case of lithographically patterned
areas). The higher etch rate on lithographically defined areas is mainly
attributed to Si surface modification during the photolithographic process.PACS:
68; 68.65-k.
PMID- 22087736
TI - Self-assessment and objective determination of dexterity in patients with type 1
or type 2 diabetes mellitus.
AB - OBJECTIVE: Insulin-treated patients perform complex treatment activities during
daily routine, such as blood glucose measurements and insulin injections. We
aimed to identify suitable dexterity and cognitive function tests for diabetes
patients, and to compare the patient self-assessment of their dexterity skills
with the test results (Jebsen-Taylor hand function test, (JHFT), motoric
performance test (MLS), number connection test). METHOD: We enrolled 90 diabetes
patients (36 females, 54 males): 15 type 1 with clinically suspected dexterity
impairment (A: age: 60 +/- 9 years), 30 type 2 with clinically suspected
dexterity impairment (B: 61 +/- 10 years), 30 type 1 or type 2 patients with
visual impairment (C: 64 +/- 6 years), and 15 type 1 or type 2 patients without
obvious impairment (control group: D: 64 +/- 5 years). RESULTS: There were no
differences regarding neuropathy and slight impairments in the number connection
test in all groups. Patient self-assessment revealed that 33.4% in group A, 33.3%
in group B, 36.7% in group C and 13.7% in group D, considered themselves to have
dexterity impairment. However in the JHFT test, all patients from A (100%) and B
(100%), 33% from C, and 0% from D presented with dexterity impairment by only
passing less than four subtests. CONCLUSIONS: Impairment of dexterity was much
more frequent than believed by the patients themselves. It may be worthwhile to
consider these findings when classifying patients regarding their capabilities to
perform certain treatments or when assessing diabetes technology with human
subjects.
PMID- 22087742
TI - The quantitative analysis of thiamin and riboflavin and their respective vitamers
in fermented alcoholic beverages.
AB - This research aimed to develop a simple and effective method for analyzing
thiamin (B(1)), riboflavin (B(2)) and their respective vitamers by high
performance liquid chromatography (HPLC) in fermented alcoholic beverages. The
method developed here employs a phosphate buffer/methanol gradient elution on a
single reverse phase column, coupled with independent fluorescent detection
regimes. It also employs a precolumn derivatization to convert thiamin to
thiochrome via an alkaline potassium ferricyanide solution. The method described
here allowed a spike recovery of better than 97%, with a typical linear detection
range (R(2) >= 0.9997) between <= 5 and >= 500 MUg/L for all vitamers studied.
Lager style beers were found to contain significantly (p < 0.001) less thiamin
than other tested styles of beers (lager, 35.7 MUg/L; ale, 88.3 MUg/L;
stout/porters, 104.4 MUg/L; wheat beers, 130.7 MUg/L), which may be due to the
raw material and extensive processing that occurs for this style. There was no
statistical difference (p = 0.608) between the riboflavin content of each beer
style. Furthermore, wines and ciders contain less thiamin and riboflavin than
beer, which is also likely to be due to the base materials used and the
differences in processing steps to produce these beverages.
PMID- 22087737
TI - ReCount: a multi-experiment resource of analysis-ready RNA-seq gene count
datasets.
AB - BACKGROUND: RNA sequencing is a flexible and powerful new approach for measuring
gene, exon, or isoform expression. To maximize the utility of RNA sequencing
data, new statistical methods are needed for clustering, differential expression,
and other analyses. A major barrier to the development of new statistical methods
is the lack of RNA sequencing datasets that can be easily obtained and analyzed
in common statistical software packages such as R. To speed up the development
process, we have created a resource of analysis-ready RNA-sequencing datasets. 2
DESCRIPTION: ReCount is an online resource of RNA-seq gene count tables and
auxilliary data. Tables were built from raw RNA sequencing data from 18 different
published studies comprising 475 samples and over 8 billion reads. Using the
Myrna package, reads were aligned, overlapped with gene models and tabulated into
gene-by-sample count tables that are ready for statistical analysis. Count tables
and phenotype data were combined into Bioconductor ExpressionSet objects for ease
of analysis. ReCount also contains the Myrna manifest files and R source code
used to process the samples, allowing statistical and computational scientists to
consider alternative parameter values. 3 CONCLUSIONS: By combining datasets from
many studies and providing data that has already been processed from. fastq
format into ready-to-use. RData and. txt files, ReCount facilitates analysis and
methods development for RNA-seq count data. We anticipate that ReCount will also
be useful for investigators who wish to consider cross-study comparisons and
alternative normalization strategies for RNA-seq.
PMID- 22087738
TI - Are we moving in the right direction with osteoarthritis drug discovery?
AB - INTRODUCTION: The success of targeted biologic therapy against rheumatoid
arthritis has meant that much research has been devoted to investigating the
pathophysiology of osteoarthritis, in the hope of defining novel therapeutic
targets. Osteoarthritis has long been thought of mainly as a degenerative disease
of cartilage, with secondary bony damage and osteophytes. However, in recent
years, the importance of the synovium, and in particular the synovial
macrophages, has been highlighted in both in vitro and in vivo studies. AREAS
COVERED: The recent progress in osteoarthritis drug discovery, particularly with
regard to the search for therapeutic targets for this disease and the development
of disease-modifying anti-osteoarthritic drugs is critically assessed. Some
important recent research with regard to possible therapeutic targets in
osteoarthritis drug discovery is highlighted. EXPERT OPINION: The concept that
synovial macrophages and macrophage-produced cytokines, may play a role in
driving inflammatory and destructive signalling pathways in osteoarthritis, is of
importance for drug discovery in this disease, in spite of disappointing results
from early studies of anti-cytokine strategies in osteoarthritis clinical trials.
There is also an abundance of potential downstream therapeutic targets in
osteoarthritis, including the matrix metalloproteinases, the aggrecanases, iNOS
and elements of the Wnt pathway.
PMID- 22087740
TI - Stretching and breaking of ultrathin MoS2.
AB - We report on measurements of the stiffness and breaking strength of monolayer
MoS(2), a new semiconducting analogue of graphene. Single and bilayer MoS(2) is
exfoliated from bulk and transferred to a substrate containing an array of
microfabricated circular holes. The resulting suspended, free-standing membranes
are deformed and eventually broken using an atomic force microscope. We find that
the in-plane stiffness of monolayer MoS(2) is 180 +/- 60 Nm(-1), corresponding to
an effective Young's modulus of 270 +/- 100 GPa, which is comparable to that of
steel. Breaking occurs at an effective strain between 6 and 11% with the average
breaking strength of 15 +/- 3 Nm(-1) (23 GPa). The strength of strongest
monolayer membranes is 11% of its Young's modulus, corresponding to the upper
theoretical limit which indicates that the material can be highly crystalline and
almost defect-free. Our results show that monolayer MoS(2) could be suitable for
a variety of applications such as reinforcing elements in composites and for
fabrication of flexible electronic devices.
PMID- 22087743
TI - New family of silver(I) complexes based on hydroxyl and carboxyl groups decorated
arenesulfonic acid: syntheses, structures, and luminescent properties.
AB - Self-assembly of silver(I) salts and three ortho-hydroxyl and carboxyl groups
decorated arenesulfonic acids affords the formation of nine silver(I)-sulfonates,
(NH(4)).[Ag(HL1)(NH(3))(H(2)O)] (1), {(NH(4)).[Ag(3)(HL1)(2)(NH(3))(H(2)O)]}(n)
(2), [Ag(2)(HL1)(H(2)O)(2)](n) (3), [Ag(2)(HL2)(NH(3))(2)].H(2)O (4),
[Ag(H(2)L2)(H(2)O)](n) (5), [Ag(2)(HL2)](n) (6), [Ag(3)(L3)(NH(3))(3)](n) (7),
[Ag(2)(HL3)](n) (8), and [Ag(6)(L3)(2)(H(2)O)(3)](n) (9) (H(3)L1 = 2-hydroxyl-3
carboxyl-5-bromobenzenesulfonic acid, H(3)L2 = 2-hydroxyl-4
carboxylbenzenesulfonic acid, H(3)L3 = 2-hydroxyl-5-carboxylbenzenesulfonic
acid), which are characterized by elemental analysis, IR, TGA, PL, and single
crystal X-ray diffraction. Complex 1 is 3-D supramolecular network extended by
[Ag(HL1)(NH(3))(H(2)O)](-) anions and NH(4)(+) cations. Complex 2 exhibits 3-D
host-guest framework which encapsulates ammonium cations as guests. Complex 3
presents 2-D layer structure constructed from 1-D tape of sulfonate-bridged Ag1
dimers linked by [(Ag2)(2)(COO)(2)] binuclear units. Complex 4 exhibits 3-D
hydrogen-bonding host-guest network which encapsulates water molecules as guests.
Complex 5 shows 3-D hybrid framework constructed from organic linker bridged 1-D
Ag-O-S chains while complex 6 is 3-D pillared layered framework with the
inorganic substructure constructing from the Ag2 polyhedral chains interlinked by
Ag1 dimers and sulfonate tetrahedra. The hybrid 3-D framework of complex 7 is
formed by L3(-) trianions bridging short trisilver(I) sticks and silver(I)
chains. Complex 8 also presents 3-D pillared layered framework, and the inorganic
layer substructure is formed by the sulfonate tetrahedrons bridging
[(Ag1O(4))(2)(Ag2O(5))(2)](infinity) motifs. Complex 9 represents the first
silver-based metal-polyhedral framework containing four kinds of coordination
spheres with low coordination numbers. The structural diversities and evolutions
can be attributed to the synthetic methods, different ligands and coordination
modes of the three functional groups, that is, sulfonate, hydroxyl and carboxyl
groups. The luminescent properties of the nine complexes have also been
investigated at room temperature, especially, complex 1 presents excellent blue
luminescence and can sensitize Tb(III) ion to exhibit characteristic green
emission.
PMID- 22087739
TI - A systematic review of musculoskeletal disorders among school teachers.
AB - BACKGROUND: Musculoskeletal disorders (MSD) represent one of the most common and
most expensive occupational health problems in both developed and developing
countries. School teachers represent an occupational group among which there
appears to be a high prevalence of MSD. Given that causes of MSD have been
described as multi-factorial and prevalence rates vary between body sites and
location of study, the objective of this systematic review was to investigate the
prevalence and risk factors for MSD among teaching staff. METHODS: The study
involved an extensive search of MEDLINE and EMBASE databases in 2011. All studies
which reported on the prevalence and/or risk factors for MSD in the teaching
profession were initially selected for inclusion. Reference lists of articles
identified in the original search were then examined for additional publications.
Of the 80 articles initially located, a final group of 33 met the inclusion
criteria and were examined in detail. RESULTS: This review suggests that the
prevalence of self-reported MSD among school teachers ranges between 39% and 95%.
The most prevalent body sites appear to be the back, neck and upper limbs.
Nursery school teachers appear to be more likely to report suffering from low
back pain. Factors such as gender, age, length of employment and awkward posture
have been associated with higher MSD prevalence rates. CONCLUSION: Overall, this
study suggests that school teachers are at a high risk of MSD. Further research,
preferably longitudinal, is required to more thoroughly investigate the issue of
MSD among teachers, with a greater emphasis on the possible wider use of
ergonomic principles. This would represent a major step forward in the prevention
of MSD among teachers, especially if easy to implement control measures could be
recommended.
PMID- 22087744
TI - Toward a mechanistic understanding of exciton-mediated hydrosilylation on
nanocrystalline silicon.
AB - White-light initiated hydrosilylation of nanocrystalline porous silicon was found
to be far more efficient (in terms of both kinetics and yield) in the presence of
electron-accepting molecules with suitably high reduction potentials,
particularly halocarbons. It is known that absorption of visible light by
nanocrystalline silicon results in the formation of excitons (electron/hole
pairs) and that this exciton can be harnessed to drive a hydrosilylation reaction
with an alkene; the Si-C bond forms as a result of attack of the pi-electrons of
the alkene on the positively charged holes. In order to better understand the
white-light initiated mechanism through which this reaction takes place, and to
compare with UV-mediated photoemission on Si(111)-H, a series of electron
acceptors were screened for their effect on surface alkene hydrosilylation. A
very strong correlation between reduction potentials (E(red)) of the oxidant and
reaction efficiency was observed, with a minimum "turn-on" E(red) required for an
increase to take place. The oxidant appears to accept, or remove, the electron
from the nanocrystallite-bound exciton, favoring attack by the alkene on the
positively charged Si nanocrystallite, leading to Si-C bond formation. Radical
reactions were discounted for a number of reasons, including lack of effect of
radical traps, no apparent Si-Cl bond formation, lack of oxidation of the
surfaces, and others. Unlike with other oxidants such as nitro-aromatics,
halocarbons do not cause additional surface reactions and promote very clean,
fast, and selective hydrosilylation chemistry.
PMID- 22087741
TI - Ionizing radiation increases adhesiveness of human aortic endothelial cells via a
chemokine-dependent mechanism.
AB - Exposure to radiation from a variety of sources is associated with increased risk
of heart disease and stroke. Since radiation also induces inflammation, a
possible mechanism is a change in the adhesiveness of vascular endothelial cells,
triggering pro-atherogenic accumulation of leukocytes. To investigate this
mechanism at the cellular level, the effect of X rays on adhesiveness of cultured
human aortic endothelial cells (HAECs) was determined. HAECs were grown as
monolayers and exposed to 0 to 30 Gy X rays, followed by measurement of
adhesiveness under physiological shear stress using a flow chamber adhesion
assay. Twenty-four hours after irradiation, HAEC adhesiveness was increased, with
a peak effect at 15 Gy. Radiation had no significant effect on surface expression
of the endothelial adhesion molecules ICAM-1 and VCAM-1. Antibody blockade of the
leukocyte integrin receptors for ICAM-1 and VCAM-1, however, abolished the
radiation-induced adhesiveness. Since these leukocyte integrins can be activated
by chemokines presented on the endothelial cell surface, the effect of pertussis
toxin (PTX), an inhibitor of chemokine-mediated integrin activation, was tested.
PTX specifically inhibited radiation-induced adhesiveness, with no significant
effect on nonirradiated cells. Therefore, radiation induces increased
adhesiveness of aortic endothelial cells through chemokine-dependent signaling
from endothelial cells to leukocytes, even in the absence of increased expression
of the adhesion molecules involved.
PMID- 22087734
TI - Interleukin-1, tumor necrosis factor-alpha, and transforming growth factor-beta 1
and integrative meniscal repair: influences on meniscal cell proliferation and
migration.
AB - INTRODUCTION: Interleukin-1 (IL-1) and tumor necrosis factor-alpha (TNF-alpha)
are up-regulated in injured and osteoarthritic knee joints. IL-1 and TNF-alpha
inhibit integrative meniscal repair; however, the mechanisms by which this
inhibition occurs are not fully understood. Transforming growth factor-beta1 (TGF
beta1) increases meniscal cell proliferation and accumulation, and enhances
integrative meniscal repair. An improved understanding of the mechanisms
modulating meniscal cell proliferation and migration will help to improve
approaches for enhancing intrinsic or tissue-engineered repair of the meniscus.
The goal of this study was to examine the hypothesis that IL-1 and TNF-alpha
suppress, while TGF-beta1 enhances, cellular proliferation and migration in cell
and tissue models of meniscal repair. METHODS: A micro-wound assay was used to
assess meniscal cell migration and proliferation in response to the following
treatments for 0, 24, or 48 hours: 0 to 10 ng/mL IL-1, TNF-alpha, or TGF-beta1,
in the presence or absence of 10% serum. Proliferated and total cells were
fluorescently labeled and imaged using confocal laser scanning microscopy and the
number of proliferated, migrated, and total cells was determined in the micro
wound and edges of each image. Meniscal cell proliferation was also assessed
throughout meniscal repair model explants treated with 0 or 10 ng/mL IL-1, TNF
alpha, or TGF-beta1 for 14 days. At the end of the culture period, biomechanical
testing and histological analyses were also performed. Statistical differences
were assessed using an ANOVA and Newman-Keuls post hoc test. RESULTS: IL-1 and
TNF-alpha decreased cell proliferation in both cell and tissue models of meniscal
repair. In the presence of serum, TGF-beta1 increased outer zone cell
proliferation in the micro-wound and in the cross section of meniscal repair
model explants. Both IL-1 and TNF-alpha decreased the integrative shear strength
of repair and extracellular matrix deposition in the meniscal repair model
system, while TGF-beta1 had no effect on either measure. CONCLUSIONS: Meniscal
cell proliferation in vivo may be diminished following joint injury due to the up
regulation of inflammatory cytokines, thereby limiting native cellular repair of
meniscal lesions. Therefore, therapies that can promote meniscal cell
proliferation have promise to enhance meniscal repair and improve tissue
engineering strategies.
PMID- 22087751
TI - Check dam in the Loess Plateau of China: engineering for environmental services
and food security.
PMID- 22087752
TI - Fluorescence dynamics and dipole moment evolution of singlet exciton decay in
conjugated polymers.
AB - Both fluorescence dynamics and time-dependent electron transitions are introduced
within a previously developed molecule dynamics approach for treating conjugated
polymers. This is able to provide a panoramic view of luminescence dynamics
during singlet exciton decay, in which the fluorescence dynamics is largely
determined by the electron population and the evolution of the dipole moment. The
fluorescence intensity is weakened due to a reduced dipole moment and diminished
decay rate of the electron, which validates a previous assumption based on
experimental studies. The lifetime of the singlet exciton in a conjugated polymer
is found to be 1.2 ns, and the calculated time profile of the fluorescence
intensity is in agreement with recent experimental results.
PMID- 22087750
TI - Discovery of potent and highly selective thienopyridine Janus kinase 2
inhibitors.
AB - Developing Janus kinase 2 (Jak2) inhibitors has become a significant focus for
small molecule drug discovery programs in recent years due to the identification
of a Jak2 gain-of-function mutation in the majority of patients with
myeloproliferative disorders (MPD). Here, we describe the discovery of a
thienopyridine series of Jak2 inhibitors that culminates with compounds showing
100- to >500-fold selectivity over the related Jak family kinases in enzyme
assays. Selectivity for Jak2 was also observed in TEL-Jak cellular assays, as
well as in cytokine-stimulated peripheral blood mononuclear cell (PBMC) and whole
blood assays. X-ray cocrystal structures of 8 and 19 bound to the Jak2 kinase
domain aided structure-activity relationship efforts and, along with a previously
reported small molecule X-ray cocrystal structure of the Jak1 kinase domain,
provided structural rationale for the observed high levels of Jak2 selectivity.
PMID- 22087745
TI - Cellular diamine levels in cancer chemoprevention: modulation by ibuprofen and
membrane plasmalogens.
AB - BACKGROUND: To develop effective strategies in cancer chemoprevention, an
increased understanding of endogenous biochemical mediators that block metastatic
processes is critically needed. Dietary lipids and non-steroidal anti
inflammatory drugs (NSAIDs) have a published track record of providing protection
against gastrointestinal malignancies. In this regard, we examined the effects of
membrane plasmalogens and ibuprofen on regulation of cellular levels of diamines,
polyamine mediators that are augmented in cancer cells. For these studies we
utilized Chinese hamster ovary (CHO) cells and NRel-4 cells, a CHO cell line with
defective plasmalogen synthesis. RESULTS: NRel-4 cells, which possess cellular
plasmalogen levels that are 10% of control CHO cells, demonstrated 2- to 3-fold
increases in cellular diamine levels. These diamine levels were normalized by
plasmalogen replacement and significantly reduced by ibuprofen. In both cases the
mechanism of action appears to mainly involve increased diamine efflux via the
diamine exporter. The actions of ibuprofen were not stereospecific, supporting
previous studies that cyclooxygenase (COX) inhibition is unlikely to be involved
in the ability of NSAIDs to reduce intracellular diamine levels. CONCLUSIONS: Our
data demonstrate that ibuprofen, a drug known to reduce the risk of colorectal
cancer, reduces cellular diamine levels via augmentation of diamine efflux.
Similarly, augmentation of membrane plasmalogens can increase diamine export from
control and plasmalogen-deficient cells. These data support the concept that
membrane transporter function may be a therapeutic point of intervention for
dietary and pharmacological approaches to cancer chemoprevention.
PMID- 22087753
TI - The decarboxylative Strecker reaction.
AB - alpha-Amino acids react with aldehydes in the presence of a cyanide source to
form alpha-amino nitriles in what can be considered a decarboxylative variant of
the classical Strecker reaction. This unprecedented transformation does not
require the use of a metal catalyst and provides facile access to valuable alpha
amino nitriles that are inaccessible by traditional Strecker chemistry.
PMID- 22087756
TI - Characterization of C5 hydrocarbons relevant to catalysis.
AB - A recent in situ infrared study on the selective hydrogenation of C5 dienes and
monoenes over a Pd/Al(2)O(3) catalyst only reported incomplete vibrational
assignments for some of the reagents, intermediates, and products encountered in
that study. This work uses a combination of infrared absorption spectroscopy,
Raman, and inelastic neutron scattering to characterize the vibrational spectra
of pentane, 1-pentene, cis- and trans-2-pentene, cis- and trans-1,3-pentadiene,
1,4-pentadiene, cyclopentane, and cyclopentene. Ab initio calculations of the
potential energy surface, geometry, and vibrational transition energies were
performed and simulations of the vibrational spectra compared to the experimental
data. Complete vibrational assignments for the majority of the molecules are
presented. The potential for using gas-phase infrared measurements for studying
heterogeneously catalyzed gas-phase reactions is also briefly considered.
PMID- 22087755
TI - Application of the Andersen's health care utilization framework to secondary
complications of spinal cord injury: a scoping review.
AB - PURPOSE: The purpose of this scoping review was to identify research priority
areas related to secondary complications and associated health care use for
individuals with spinal cord injury (SCI). METHOD: DATA SOURCES: Peer-reviewed
journals were identified using CINAHL, MEDLINE, PubMed, Embase, Social Sciences
Abstracts, Social Works Abstract and PsycInfo search engines. Key references were
hand searched. STUDY SELECTION: A total of 289 abstracts were identified from the
initial search strategy. We removed studies that did not measure health care and
those that did not involve analytical investigation. DATA EXTRACTION: The
selected 31 studies were reviewed in detail using a coding template based on the
domains and sub-components of the Andersen model (i.e. environmental, population
characteristics, health behavior and outcome). RESULTS: Most studies measured
predisposing characteristics (e.g., age, gender) and need characteristics (e.g.,
level of injury). There was a notable absence of environmental characteristics
(e.g., health system, neighborhood variables), enabling characteristics and
health behaviors (beyond diet and nutrition). CONCLUSIONS: We identified a gap in
the SCI literature. Future research should focus on longitudinal study designs
with more representation of non-traumatic spinal cord injury, as well as
utilizing more advanced statistical analyses (i.e., multivariate level) to adjust
for confounding variables.
PMID- 22087754
TI - Exposure to repetitive tasks induces motor changes related to skill acquisition
and inflammation in rats.
AB - The authors elucidate exposure-response relationships between repetitive tasks,
inflammation, and motor changes with work-related musculoskeletal disorders.
Using a rat model of reaching and handle pulling, they examined effects of
performing a high-repetition, low-force (HRLF); low-repetition, high-force
(LRHF); or high-repetition, high-force (HRHF) task (2 hr/day, 3 days/week, 12
weeks) on reach rate and force, percentage of successful reaches, duration of
participation, and grip strength. Reach rate and reach force improved with HRLF,
and percentage success increased in all groups in Week 9, and in HRLF and HRHF in
Week 12, indicative of skill acquisition. Duration and grip strength showed force
dependent declines with task performance. A subset of HRHF rats received
ibuprofen in Weeks 5-12. Ibuprofen significantly improved reach rate, reach
force, and duration in treated rats, indicative of an inflammatory influence on
reach performance. Ibuprofen improved percentage of successful reaches in Week 9,
although this increase was not sustained. However, declines in grip strength, a
nocifensive behavior, were not prevented by ibuprofen. Examination of cervical
spinal cords of untreated and ibuprofen treated HRHF rats showed increased IL
1beta, an inflammatory cytokine, in neurons. These findings suggest that only a
preventive intervention could have addressed all motor declines.
PMID- 22087757
TI - Evaluation of chronic lymphocytic leukemia by oligonucleotide-based microarray
analysis uncovers novel aberrations not detected by FISH or cytogenetic analysis.
AB - BACKGROUND: Cytogenetic evaluation is a key component of the diagnosis and
prognosis of chronic lymphocytic leukemia (CLL). We performed oligonucleotide
based comparative genomic hybridization microarray analysis on 34 samples with
CLL and known abnormal karyotypes previously determined by cytogenetics and/or
fluorescence in situ hybridization (FISH). RESULTS: Using a custom designed
microarray that targets >1800 genes involved in hematologic disease and other
malignancies, we identified additional cryptic aberrations and novel findings in
59% of cases. These included gains and losses of genes associated with cell cycle
regulation, apoptosis and susceptibility loci on 3p21.31, 5q35.2q35.3,
10q23.31q23.33, 11q22.3, and 22q11.23. CONCLUSIONS: Our results show that
microarray analysis will detect known aberrations, including microscopic and
cryptic alterations. In addition, novel genomic changes will be uncovered that
may become important prognostic predictors or treatment targets for CLL in the
future.
PMID- 22087758
TI - Single nucleotide polymorphisms associated with risk for contralateral breast
cancer in the Women's Environment, Cancer, and Radiation Epidemiology (WECARE)
Study.
AB - INTRODUCTION: Genome-wide association studies, focusing primarily on unilateral
breast cancer, have identified single nucleotide polymorphisms (SNPs) in a number
of genomic regions that have alleles associated with a significantly increased
risk of breast cancer. In the current study we evaluate the contributions of
these previously identified regions to the risk of developing contralateral
breast cancer. The most strongly disease-associated SNPs from prior studies were
tested for association with contralateral breast cancer. A subset of these SNPs,
selected upon their main effects on contralateral breast cancer risk was further
evaluated for interaction with treatment modalities and estrogen receptor (ER)
status. METHODS: We genotyped 21 SNPs in 708 women with contralateral breast
cancer and 1394 women with unilateral breast cancer who serve as the cases and
controls in the Women's Environment, Cancer and Radiation Epidemiology (WECARE)
Study. Records of treatment and ER status were available for most of WECARE Study
participants. Associations of SNP genotypes and risk for contralateral breast
cancer were calculated with multivariable adjusted conditional logistic
regression methods. RESULTS: Multiple SNPs in the FGFR2 locus were significantly
associated with contralateral breast cancer, including rs1219648 (per allele rate
ratio (RR) = 1.25, 95%CI = 1.08-1.45). Statistically significant associations
with contralateral breast cancer were also observed at rs7313833, near the PTHLH
gene (per allele RR = 1.26, 95%CI = 1.08-1.47), rs13387042 (2q35) (per allele RR
= 1.19, 95%CI = 1.02-1.37), rs13281615 (8q24) (per allele RR = 1.21, 95%CI = 1.04
1.40), and rs11235127 near TMEM135 (per allele RR = 1.26, 95%CI = 1.04-1.53). The
A allele of rs13387042 (2q35) was significantly associated with contralateral
breast cancer in ER negative first tumors while the A allele of rs11235127 (near
TMEM135) was significantly associated with contralateral breast cancer in ER
positive first tumors. Although some SNP genotypes appeared to modify
contralateral breast cancer risk with respect to tamoxifen treatment or
particular radiation doses, trend tests for such effects were not significant.
CONCLUSIONS: Our results indicate that some common risk variants associated with
primary breast cancer also increase risk for contralateral breast cancer, and
that these risks vary with the ER status of the first tumor.
PMID- 22087759
TI - Peripheral leukocyte counts and outcomes after intracerebral hemorrhage.
AB - BACKGROUND: Intracerebral hemorrhage (ICH) is a devastating disease that carries
a 30 day mortality of approximately 45%. Only 20% of survivors return to
independent function at 6 months. The role of inflammation in the pathophysiology
of ICH is increasingly recognized. Several clinical studies have demonstrated an
association between inflammatory markers and outcomes after ICH; however the
relationship between serum biomarkers and functional outcomes amongst survivors
has not been previously evaluated. Activation of the inflammatory response as
measured by change in peripheral leukocyte count was examined and assessment of
mortality and functional outcomes after ICH was determined. FINDINGS: Patients
with spontaneous ICH admitted to a tertiary care center between January 2005 and
April 2010 were included. The change in leukocyte count was measured as the
difference between the maximum leukocyte count in the first 72 hours and the
leukocyte count on admission. Mortality was the primary outcome. Secondary
outcomes were mortality at 1 year, discharge disposition and the modified Barthel
index (MBI) at 3 months compared to pre-admission MBI. 423 cases were included.
The in-hospital mortality was 30.4%. The change in leukocyte count predicted
worse discharge disposition (OR = 1.258, p = 0.009). The change in leukocyte
count was also significantly correlated with a decline in the MBI at 3 months.
These relationships remained even after removal of all patients with evidence of
infection. CONCLUSIONS: Greater changes in leukocyte count over the first 72
hours after admission predicted both worse short term and long term functional
outcomes after ICH.
PMID- 22087760
TI - Self-microemulsifying smaller molecular volume oil (Capmul MCM) using non-ionic
surfactants: a delivery system for poorly water-soluble drug.
AB - The main purpose of this work is to formulate self-microemulsifying drug delivery
system (SMEDDS) using smaller molecular oil with Atorvastatin calcium as a model
drug. Solubility of the selected drug was accessed in oils and surfactants.
Percent transmittance (%T) test study was performed to identify the efficient
self-microemulsifying formulations. Those formulations which showed higher value
for %T were evaluated for droplet size, polydispersity index, zeta potential,
refractive index and cloud point measurement. Effect of drug loading on droplet
size, increasing dilution in different media, thermodynamic stability and in
vitro dissolution was performed to observe the performance of the selected
formulation. Further cytotoxicity and permeation enhancement studies were carried
out on Caco2 cell lines. Of all the oils accessed for drug solubility, Capmul MCM
showed higher solubility capacity for Atorvastatin calcium. Capmul MCM was better
microemulsified using combination of Tween 20 and Labrasol surfactant. Droplet
size was as low as 86.93 nm with polydispersity index and zeta potential at 0.195
+/- 0.011 and -7.27 +/- 3.11 mV respectively. The selected undiluted formulation
showed refractive index values ranging from 1.40 to 1.47 indicating the
isotropicity of the formulation. The selected formulation was robust to dilution
in different media and thermodynamically stable. Dissolution profile was enhanced
for the selected drug as compared to marketed formulation with t85% and DE values
at 10 min and 80.15 respectively. Also cytotoxicity measurement showed minimum
effect with good permeation enhancing capacity. Thus our study demonstrates the
use of smaller molecular oil (Capmul MCM) for developing self-microemulsifying
drug delivery system for better in vitro and in vivo performance.
PMID- 22087761
TI - Improving gene expression data interpretation by finding latent factors that co
regulate gene modules with clinical factors.
AB - BACKGROUND: In the analysis of high-throughput data with a clinical outcome,
researchers mostly focus on genes/proteins that show first-order relations with
the clinical outcome. While this approach yields biomarkers and biological
mechanisms that are easily interpretable, it may miss information that is
important to the understanding of disease mechanism and/or treatment response.
Here we test the hypothesis that unobserved factors can be mobilized by the
living system to coordinate the response to the clinical factors. RESULTS: We
developed a computational method named Guided Latent Factor Discovery (GLFD) to
identify hidden factors that act in combination with the observed clinical
factors to control gene modules. In simulation studies, the method recovered
masked factors effectively. Using real microarray data, we demonstrate that the
method identifies latent factors that are biologically relevant, and extracts
more information than analyzing only the first-order response to the clinical
outcome. CONCLUSIONS: Finding latent factors using GLFD brings extra insight into
the mechanisms of the disease/drug response. The R code of the method is
available at http://userwww.service.emory.edu/~tyu8/GLFD.
PMID- 22087762
TI - Beetroot betalain inhibits peroxynitrite-mediated tyrosine nitration and DNA
strand cleavage.
AB - Two major betalains, red-purple betacyanins and yellow betaxanthins, were
isolated from red beetroots (Beta vulgaris L.), and their peroxynitrite (ONOO(-))
scavenging capacity was investigated. Apparent colours of the betalains were
bleached by the addition of ONOO(-), and the absorbance decreases were suppressed
in the presence of glutathione, a ONOO(-) scavenger. After bleaching, a new
absorption maximum was observed at 350 nm in the spectrum of the resulting
reaction mixture. New peaks were detected from HPLC analysis of the reaction
products of betanin, a representative constituent of red beetroot betacyanins,
treated with ONOO(-) monitoring at 350 nm, and the intensity of the major peak
was positively correlated with ONOO(-) concentration. Betanin inhibited the ONOO(
) (0.5 mM)-dependent nitration of tyrosine (0.1 mM). Additionally, the IC(50)
value of betanin (19.2 MUM) was lower than that of ascorbate (79.6 MUM). The
presence of betanin (0.05-1.0 mM) also inhibited ONOO(-) (0.5 mM)-dependent DNA
strand cleavage in a concentration-dependent manner. These results suggest that
betalains can protect cells from nitrosative stress in addition to protecting
them from oxidative stresses.
PMID- 22087763
TI - Differential signalling through ALK-1 and ALK-5 regulates leptin expression in
mesenchymal stem cells.
AB - Leptin plays a central role in maintaining energy balance, with multiple other
systemic effects. Despite leptin importance in peripheral regulation of
mesenchymal stem cells (MSC) differentiation, little is known about its
expression mechanism. Leptin is often described as adipokine, while it is
expressed by other cell types. We have recently shown an in vitro leptin
expression, enhanced by glucocorticoids in synovial fibroblasts (SVF). Here, we
investigated leptin expression in MSC from bone marrow (BM-MSC) and umbilical
cord matrix (UMSC). Results showed that BM-MSC, but not UMSC, expressed leptin
that was strongly enhanced by glucocorticoids. Transforming growth factor beta1
(TGF-beta1) markedly inhibited the endogenous- and glucocorticoid-induced leptin
expression in BM-MSC. Since TGF-beta1 was shown to signal via ALK-5-Smad2/3
and/or ALK-1-Smad1/5 pathways, we analyzed the expression of proteins from both
pathways. In BM-MSC, TGF-beta1 increased phosphorylated Smad2 (p-Smad2)
expression, while ALK-5 inhibitor (SB431542) induced leptin expression and
significantly restored TGF-beta1-induced leptin inhibition. In addition, both
prednisolone and SB431542 increased p-Smad1/5 expression. These results suggested
the ALK-5-Smad2 pathway as an inhibitor of leptin expression, while ALK-1-Smad1/5
as an activator. Indeed, Smad1 expression silencing induced leptin expression
inhibition. Furthermore, prednisolone enhanced the expression of TGF-betaRII
while decreasing p-Smad2 in BM-MSC and SVF but not in UMSC. In vitro
differentiation revealed differential osteogenic potential in SVF, BM-MSC, and
UMSC that was correlated to their leptin expression potential. Our results
suggest that ALK-1/ALK-5 balance regulates leptin expression in MSC. It also
underlines UMSC as leptin nonproducer MSC for cell therapy protocols where leptin
expression is not suitable.
PMID- 22087764
TI - Overlapping distribution of osteopontin and calcium in the ischemic core of rat
brain after transient focal ischemia.
AB - Osteopontin (OPN), an adhesive glycoprotein, has recently been proposed to act as
an opsonin that facilitates phagocytosis of neuronal debris by macrophages in the
ischemic brain. The present study was designed to elucidate the process whereby
OPN binds to neuronal cell debris in a rat model of ischemic stroke. Significant
co-localization of the OPN protein and calcium deposits in the ischemic core were
observed by combining alizarin red staining and OPN immunohistochemistry. In
addition, electron microscopy (EM) using the osmium/potassium dichromate method
revealed that electron-dense precipitates, typical of calcium deposits, were
localized mainly along the periphery of putative degenerating neurites. This
topical pattern of calcium precipitates resembled the distribution of OPN as
detected by immunogold-silver EM. Combining immunogold-silver EM and electron
probe microanalysis further demonstrated that the OPN protein was localized at
the periphery of cell debris or degenerating neurites, corresponding with locally
higher concentrations of calcium and phosphorus, and that the relative magnitude
of OPN accumulation was comparable to that of calcium and phosphorus. These data
suggest that calcium precipitation provides a matrix for the binding of the OPN
protein within the debris or degenerating neurites induced by ischemic injury.
Therefore, OPN binding to calcium deposits may be involved in phagocytosis of
such debris, and may participate in the regulation of ectopic calcification in
the ischemic brain.
PMID- 22087767
TI - Overoxidation of phenol by hexachloroiridate(IV).
AB - It has been previously established that the aqueous oxidation of phenol by a
deficiency of [IrCl(6)](2-) proceeds through the production of [IrCl(6)](3-) and
phenoxyl radicals. Coupling of the phenoxyl radicals leads primarily to 4,4'
biphenol, 2,2'-biphenol, 2,4'-biphenol, and 4-phenoxyphenol. Overoxidation occurs
through the further oxidation of these coupling products, leading to a rather
complex mixture of final products. The rate laws for oxidation of the four
coupling products by [IrCl(6)](2-) have the same form as those for the oxidation
of phenol itself: -d[Ir(IV)]/dt = {(k(ArOH) + k(ArO(-))K(a)/[H(+)])/(1 +
K(a)/[H(+)])}[ArOH](tot)[Ir(IV)]. Values for k(ArOH) and k(ArO(-)) have been
determined for the four substrates at 25 degrees C and are assigned to H(2)O
PCET and electron-transfer mechanisms, respectively. Kinetic simulations of a
combined mechanism that includes the rate of oxidation of phenol as well as the
rates of these overoxidation steps show that the degree of overoxidation is
rather limited at high pH but quite extensive at low pH. This pH-dependent
overoxidation leads to a pH-dependent stoichiometric factor in the rate law for
oxidation of phenol and causes some minor deviations in the rate law for
oxidation of phenol. Empirically, these minor deviations can be accommodated by
the introduction of a third term in the rate law that includes a "pH-dependent
rate constant", but this approach masks the mechanistic origins of the effect.
PMID- 22087766
TI - 'It brought joy in my home as in the area of my wife.' How recently circumcised
adult men ascribe value to and make sense of male circumcision.
AB - The present study used a phenomenological approach to explore the everyday-life
experiences of male circumcision (MC) and to learn how recently circumcised men
ascribe value to and make sense of MC. Thirteen recently circumcised Zambian men
were identified through the snowball technique and interviewed using a semi
structured interviewer's guide. Findings indicate that the post-operational
experience of adult MC smoothly fits into the everyday-life experience of the men
interviewed and is perceived and experienced as a broader social health issue,
which largely transcends both the personal HIV risk of the individual male and
the private sphere. The study provides three important lessons for MC programmes:
(1) the broad social aspects of MC put forward by the interviewees suggest the
potential for a popular intervention and, consequently, a large uptake of MC; (2)
findings suggest that in addition to emphasising the HIV protective effect of MC,
MC promotion should also highlight the social, sexual and romantic values
perceived and experienced by the interviewees of this study; (3) the analysis
reveals potentially harmful misconceptions about the health benefits of MC,
demonstrating the importance of proper pre- and post-circumcision counselling as
well as public health messages related to MC.
PMID- 22087765
TI - Construction of permanently inducible miRNA-based expression vectors using site
specific recombinases.
AB - BACKGROUND: RNA interference (RNAi) is a conserved gene silencing mechanism
mediated by small inhibitory microRNAs (miRNAs).Promoter-driven miRNA expression
vectors have emerged as important tools for delivering natural or artificially
designed miRNAs to eukaryotic cells and organisms. Such systems can be used to
query the normal or pathogenic functions of natural miRNAs or messenger RNAs, or
to therapeutically silence disease genes. RESULTS: As with any molecular cloning
procedure, building miRNA-based expression constructs requires a time investment
and some molecular biology skills. To improve efficiency and accelerate the
construction process, we developed a method to rapidly generate miRNA expression
vectors using recombinases instead of more traditional cut-and-paste molecular
cloning techniques. In addition to streamlining the construction process, our
cloning strategy provides vectors with added versatility. In our system, miRNAs
can be constitutively expressed from the U6 promoter, or inducibly expressed by
Cre recombinase. We also engineered a built-in mechanism to destroy the vector
with Flp recombinase, if desired. Finally, to further simplify the construction
process, we developed a software package that automates the prediction and design
of optimal miRNA sequences using our system. CONCLUSIONS: We designed and tested
a modular system to rapidly clone miRNA expression cassettes. Our strategy
reduces the hands-on time required to successfully generate effective constructs,
and can be implemented in labs with minimal molecular cloning expertise. This
versatile system provides options that permit constitutive or inducible miRNA
expression, depending upon the needs of the end user. As such, it has utility for
basic or translational applications.
PMID- 22087768
TI - Synthesis and molecular recognition studies of the HNK-1 trisaccharide and
related oligosaccharides. The specificity of monoclonal anti-HNK-1 antibodies as
assessed by surface plasmon resonance and STD NMR.
AB - The human natural killer cell carbohydrate, HNK-1, plays function-conducive roles
in peripheral nerve regeneration and synaptic plasticity. It is also the target
of autoantibodies in polyneuropathies. It is thus important to synthesize
structurally related HNK-1 carbohydrates for optimizing its function-conducive
roles, and for diagnosis and neutralization of autoantibodies in the fatal
Guillain-Barre syndrome. As a first step toward these goals, we have synthesized
several HNK-1 carbohydrate derivatives to assess the specificity of monoclonal
HNK-1 antibodies from rodents: 2-aminoethyl glycosides of selectively O-sulfated
trisaccharide corresponding to the HNK-1 antigen, its nonsulfated analogue, and
modified structures containing 3-O-fucosyl or 6-O-sulfo substituents in the N
acetylglucosamine residues. These were converted, together with several related
oligosaccharides, into biotin-tagged probes to analyze the precise carbohydrate
specificity of two anti-HNK-1 antibodies by surface plasmon resonance that
revealed a crucial role of the glucuronic acid in antibody binding. The
contribution of the different oligosaccharide moieties in the interaction was
shown by saturation transfer difference (STD) NMR of the complex consisting of
the HNK-1 pentasaccharide and the HNK-1 412 antibody.
PMID- 22087775
TI - Forced unbinding of individual urea-aminotriazine supramolecular polymers by
atomic force microscopy: a closer look at the potential energy landscape and
binding lengths at fixed loading rates.
AB - Atomic force microscopy-based single-molecule force spectroscopy (AFM-SMFS) was
used to study the forced unbinding of quadruple self-complementary hydrogen
bonded urea-aminotriazine (UAT) complexes in hexadecane (HD). To elucidate the
bond strength of individual linkages the unbinding forces of UAT supramolecular
polymers were investigated for the first time. The bond rupture was probed at
three different, fixed piezo retraction rates in far from equilibrium conditions.
The number of supramolecular bonds (N) between AFM tip and the surface was
determined by independent knowledge of the linker length. The observed rupture
force of urea-aminotriazine (UAT)-based supramolecular polymer chains was found
to decrease with increasing rupture length. The dependence of the most probable
rupture force on N was in quantitative agreement with the theory of uncooperative
bond rupture for supramolecular linkages switched in series. Experiments with
three different, fixed loading rates provided identical values (within the
experimental error) for the characteristic bond length x(beta) and the off-rate
constant in the absence of force k(off)(f = 0). The value of x(beta) was found to
agree with literature data on the hydrogen-bond distance obtained via
crystallographic data of the hydrogen-bonded dimer. This work broadens the scope
of our previous report showing that relevant parameters of the bond energy
landscape can be derived from a single data set of rupture events at a fixed
loading rate for supramolecular linkages switched in series.
PMID- 22087774
TI - Impact of chronic kidney disease on the presence and severity of aortic stenosis
in patients at high risk for coronary artery disease.
AB - OBJECTIVE: We evaluated the impact of chronic kidney disease (CKD) on the
presence and severity of aortic stenosis (AS) in patients at high risk for
coronary artery disease (CAD). METHODS: One hundred and twenty consecutive
patients who underwent invasive coronary angiography were enrolled. Aortic valve
area (AVA) was calculated by the continuity equation using transthoracic
echocardiography, and was normalized by body surface area (AVA index). RESULTS:
Among all 120 patients, 78% had CAD, 55% had CKD (stage 3: 81%; stage 4: 19%),
and 34% had AS (AVA < 2.0 cm2). Patients with AS were older, more often female,
and had a higher frequency of CKD than those without AS, but the prevalence of
CAD and most other coexisting conventional risk factors was similar between
patients with and without AS. Multivariate linear regression analysis indicated
that only CKD and CAD were independent determinants of AVA index with
standardized coefficients of -0.37 and -0.28, respectively. When patients were
divided into 3 groups (group 1: absence of CKD and CAD, n = 16; group 2: presence
of either CKD or CAD, n = 51; and group 3: presence of both CKD and CAD, n = 53),
group 3 had the smallest AVA index (1.19 +/- 0.30*# cm2/m2, *p < 0.05 vs. group
1: 1.65 +/- 0.32 cm2/m2, and #p < 0.05 vs. group 2: 1.43 +/- 0.29* cm2/m2) and
the highest peak velocity across the aortic valve (1.53 +/- 0.41*# m/sec; *p <
0.05 vs. group 1: 1.28 +/- 0.29 m/sec, and #p < 0.05 vs. group 2: 1.35 +/- 0.27
m/sec). CONCLUSION: CKD, even pre-stage 5 CKD, has a more powerful impact on the
presence and severity of AS than other conventional risk factors for
atherosclerosis in patients at high risk for CAD.
PMID- 22087776
TI - Education in gerontology and geriatrics comes of age.
PMID- 22087777
TI - Integrating and evaluating geriatrics in medical school: a novel approach for the
challenge.
AB - Brown Medical School developed a comprehensive curriculum in which enriched aging
content increased from 22 to 80 hours in preclerkship courses and was also added
for clerkships, residencies, and nongeriatrician physicians. Innovative
evaluation strategies are also described. Highlights include "treasure hunts" in
the anatomy laboratory, a Scholarly Concentration in Aging, Schwartz
Communication Sessions, a Website of aging-related materials, and a monthly
column in the state medical journal. Evaluation includes "tracking" to compute
the "dose" of aging content, and "journaling" and focus groups to evaluate
students' responses. Integrating geriatrics across a broad range of courses and
clinical experiences is feasible.
PMID- 22087778
TI - Building psychosocial programming in geriatrics fellowships: a consortium model.
AB - Geriatric psychosocial problems are prevalent and significantly affect the
physical health and overall well-being of older adults. Geriatrics fellows
require psychosocial education, and yet to date, geriatrics fellowship programs
have not developed a comprehensive geriatric psychosocial curriculum. Fellowship
programs in the New York tristate area collaboratively created the New York
Metropolitan Area Consortium to Strengthen Psychosocial Programming in Geriatrics
Fellowships in 2007 to address this shortfall. The goal of the Consortium is to
develop model educational programs for geriatrics fellows that highlight
psychosocial issues affecting elder care, share interinstitutional resources, and
energize fellowship program directors and faculty. In 2008, 2009, and 2010,
Consortium faculty collaboratively designed and implemented a psychosocial
educational conference for geriatrics fellows. Cumulative participation at the
conferences included 146 geriatrics fellows from 20 academic institutions taught
by interdisciplinary Consortium faculty. Formal evaluations from the participants
indicated that the conference: a) positively affected fellows' knowledge of,
interest in, and comfort with psychosocial issues; b) would have a positive
impact on the quality of care provided to older patients; and c) encouraged
valuable interactions with fellows and faculty from other institutions. The
Consortium, as an educational model for psychosocial learning, has a positive
impact on geriatrics fellowship training and may be replicable in other
localities.
PMID- 22087779
TI - Prevalence, formation, maintenance, and evaluation of interdisciplinary student
aging interest groups.
AB - The authors describe the prevalence, formation, maintenance, and evaluation of
student aging interest groups. They conducted a cross-sectional electronic survey
of the 46 academic medical centers funded by the Donald W. Reynolds Foundation.
To evaluate their group of approximately 50 students, the authors conducted an
electronic pretest and posttest of attitudes toward interdisciplinary education
and knowledge about aging. Twenty-nine of 32 responding institutions funded by
the Reynolds Foundation conducted a group; only medical students participated in
one half of these groups. Panel presentations were the most prevalent group
activity. Evaluation of their group revealed that an interprofessional service
learning experience had the greatest impact on student perceptions of the
educational preparation and competency of other disciplines. At posttest, medical
students in their group had significantly less positive perceptions of actual
cooperation between disciplines than did physical therapy or pharmacy students.
Aging interest groups conducted by institutions funded by the Reynolds Foundation
did not have a high level of interdisciplinary participation. Intermittent
exposure to other disciplines during a small number of group activities may be
insufficient to overcome "disciplinary split" and achieve interprofessional team
orientation without changes in the structure of medical education.
PMID- 22087780
TI - Effective teaching methods for geriatric competencies.
AB - This study assesses how effective classroom sessions are at teaching geriatric
competencies to medical students. At Stony Brook Medical School, most geriatric
competencies are taught in the Ambulatory Care Clerkship during small-group
educational sessions. Clinical exposure to reinforce these specialized skills
varies with preceptor assignment. A student's ability to perform geriatric
assessments was evaluated by scores on an Objective Structured Clinical Exam
(OSCE) with a geriatric patient. Scores from students who received additional
clinical practice of these skills were compared with scores from students who did
not. No significant difference in OSCE scores were seen between the two groups.
PMID- 22087781
TI - Medical readers' theater: relevance to geriatrics medical education.
AB - Medical Readers' Theater (MRT) is an innovative and simple way of helping medical
students to reflect on difficult-to-discuss topics in geriatrics medical
education, such as aging stereotypes, disability and loss of independence,
sexuality, assisted living, relationships with adult children, and end-of-life
issues. The authors describe a required MRT experience involving third-year
medical students on their Family Medicine clerkship and volunteer residents from
a nearby continuing care retirement community. Evaluation of the program shows
positive benefits to student and senior participants in terms of greater
awareness of each other's perspectives and improved communication.
PMID- 22087782
TI - Interest in long-term care among health services administration students.
AB - The aging of the population has created increased opportunities for health
administrators in long-term care. This study consisted of a cross-sectional
survey of 68 undergraduate health services administration students to explore
factors related to interest in a career in long-term care administration. One
third expressed interest working in the field. Experience in long-term care
settings, quality of contact with unrelated older adults, satisfaction working
with the elderly, and confidence in the ability to work in the field were
positively associated with interest in long-term care administration. The
findings have important implications for experiential learning in health
administration programs.
PMID- 22087783
TI - Evaluation of the person-centered care essentials program: importance of trainers
in achieving targeted outcomes.
AB - A person-centered care (PCC) training program was developed and disseminated to
84 institutes for retired religious persons across the United States. The program
was delivered via a train-the-trainer model wherein institute trainers attended a
2-day training conference, then taught the material to direct care workers (DCWs)
at their respective sites. Evaluation of the training showed that DCWs' attitudes
toward and knowledge of PCC improved after training. The relationship between
trainers' perception of the ability of their site to implement PCC training and
DCW knowledge improvement varied by training module. Training regarding the
physical environment resulted in the smallest gains in DCW knowledge.
PMID- 22087784
TI - Information needs of family caregivers of persons with cognitive versus physical
deficits.
AB - This study compared information needs of caregivers of persons with dementia with
caregivers of those who received rehabilitation treatment. Caregivers were
provided a 48-item survey and asked to choose their top ten information needs.
Dementia caregivers' (n = 33) top needs were dealing with forgetfulness/confusion
(91%) and repeating questions/actions (61%) in care recipients. Rehabilitation
caregivers' (n = 40) top needs were handling care recipients' mobility problems
(75%) and dealing with their own stress (65%). Dementia caregivers focused on
care recipients' needs related to behavioral symptoms, whereas rehabilitation
caregivers focused on needs related to physical care of care recipients and their
own needs.
PMID- 22087786
TI - Scope and mechanistic studies of electrophilic alkoxyetherification.
AB - A one-pot electrophilic alkoxyetherification using an olefin, a cyclic ether, a
carboxylic acid, and N-bromosuccinimide has been developed. The oxygen
nucleophiles, the olefinic substrates, and the cyclic ether partners can be
varied to produce a wide range of alkoxyether derivatives.
PMID- 22087787
TI - Challenges to providing HIV prevention education to youth with disabilities in
South Africa.
AB - PURPOSE: In South Africa, little is known how HIV prevention education is
implemented in schools for learners with disabilities. This article reports on
findings from a study exploring the extent to which HIV education is reached to
people with disabilities in South Africa, and the challenges faced by educators
providing HIV prevention education to learners with disabilities. METHOD: A
survey questionnaire completed by 34 schools for learners with special education
needs in the Western Cape province of South Africa. Additional complimentary data
were collected through interviews with a total of 21 members of staff at schools
for learners with disabilities. RESULTS: Respondents recognise the importance of
providing HIV prevention education for people with disabilities. Staff reports
some challenges in providing HIV prevention education: barriers to communication;
discomfort about issues of sexuality and disability; disagreements among staff
about what is appropriate content for sexual health education; and fears of
promoting sexual activity. CONCLUSIONS: There is a need for HIV prevention
education to be specifically customized to the needs of the specific population.
A general programme, which is included as part of a general curriculum and
generally tailored to "mainstream" schools, would need to be adapted according to
specific needs and disabling barriers faced.
PMID- 22087788
TI - Controlled dynamics at an avoided crossing interpreted in terms of dynamically
fluctuating potential energy curves.
AB - The nonadiabatic nuclear wavepacket dynamics on the coupled two lowest
(1)Sigma(+) states of the LiF molecule under the action of a control pulse is
investigated. The control is achieved by a modulation of the characteristics of
the potential energy curves using an infrared field with a cycle duration
comparable to the time scale of nuclear dynamics. The transition of population
between the states is interpreted on the basis of the coupled nuclear wavepacket
dynamics on the effective potential curves, which are transformed from the
adiabatic potential curves with use of a diabatic representation that
diagonalizes the dipole-moment matrix of the relevant electronic states. The
basic feature of the transition dynamics is characterized in terms of the notion
of the collision between the dynamical crossing point and nuclear wavepackets
running on such modulated potential curves, and the transition amplitude is
mainly dominated by the off-diagonal matrix element of the time-independent
electronic Hamiltonian in the present diabatic representation. The importance of
the geometry dependence of the intrinsic dipole moments as well as of the
diabatic coupling potential is illustrated both theoretically and numerically.
PMID- 22087789
TI - Centrosomal and mitotic abnormalities in cell lines derived from papillary
thyroid cancer harboring specific gene alterations.
AB - BACKGROUND: Differentiated thyroid carcinoma offers a good model to investigate
the possible correlation between specific gene mutations and chromosome
instability. Papillary thyroid neoplasms are characterized by different mutually
exclusive genetic alterations, some of which are associated with aneuploidy and
aggressive phenotype. RESULTS: We investigated the centrosome status and mitotic
abnormalities in three thyroid carcinoma-derived cell lines, each maintaining the
specific, biologically relevant gene alteration harbored by the parental tumors:
RET/PTC1 rearrangement in TPC1; heterozygous and homozygous BRAFV600E mutation in
K1 and in B-CPAP, respectively. B-CPAP cells showed a statistically significant
(P < 0.01) higher frequency of abnormal mitotic figures compared to TPC1 and K1
cells. CONCLUSIONS: Our data indicate that RET/PTC1 oncogenic activity is not
related to mitotic chromosome impairment and missegregation whereas, based on the
consistent difference in types/frequencies of centrosome and spindle
abnormalities observed between K1 and B-CPAP cells, the hetero/homozygous allelic
status of BRAFV600E mutation seems to be not irrelevant in respect to chromosomal
instability development.
PMID- 22087790
TI - Characteristics, practice patterns, and outcomes in patients with acute
hypertension: European registry for Studying the Treatment of Acute hyperTension
(Euro-STAT).
AB - INTRODUCTION: Although effective strategies are available for the management of
chronic hypertension, less is known about treating patients with acute, severe
elevations in blood pressure. Using data from the European registry for Studying
the Treatment of Acute hyperTension (Euro-STAT), we sought to evaluate 'real
life' management practices and outcomes in patients who received intravenous
antihypertensive therapy to treat an episode of acute hypertension. METHODS: Euro
STAT is a European, hospital-based, observational study of consecutive adult
patients treated with intravenous antihypertensive therapy while in the emergency
department, perioperative unit or ICU. Enrolment took place between 1 July and 15
October 2009 in 11 hospitals in 7 European countries (Austria, Belgium, Germany,
Italy, Spain, Sweden and the United Kingdom). RESULTS: The study population was
composed of 791 consecutive patients (median age 69 years, 37% women). Median
arterial blood pressure before treatment was 166 mmHg systolic blood pressure
(IQR 141 to 190 mmHg) and 80 mmHg diastolic blood pressure (IQR 68 to 95).
Nitroglycerine was the most commonly used antihypertensive treatment overall (40%
of patients), followed by urapidil (21%), clonidine (16%) and furosemide (8%).
Treatment was associated with hypotension in almost 10% of patients. Overall 30
day mortality was 4%, and new or worsening end-organ damage occurred in 19% of
patients. CONCLUSIONS: High blood pressure requiring intravenous therapy is
currently managed with a variety of agents in Europe, with those most commonly
used being nitroglycerine, urapidil and clonidine. Patients with acute
hypertension have substantial concomitant morbidity and mortality, and
intravenous antihypertensive treatment is associated with hypotension in almost
10% of cases.
PMID- 22087791
TI - Knowledge of obstetric danger signs and birth preparedness practices among women
in rural Uganda.
AB - BACKGROUND: Improving knowledge of obstetric danger signs and promoting birth
preparedness practices are strategies aimed at enhancing utilization of skilled
care in low-income countries. The aim of the study was to explore the association
between knowledge of obstetric danger signs and birth preparedness among recently
delivered women in south-western Uganda. METHODS: The study included 764 recently
delivered women from 112 villages in Mbarara district. Community survey methods
were used and 764 recently delivered women from 112 villages in Mbarara district
were included in study. Interviewer administered questionnaire were used to
collect data. Logistic regression analyses were conducted to explore the
relationship between knowledge of key danger signs and birth preparedness.
RESULTS: Fifty two percent of women knew at least one key danger sign during
pregnancy, 72% during delivery and 72% during postpartum. Only 19% had knowledge
of 3 or more key danger signs during the three periods. Of the four birth
preparedness practices; 91% had saved money, 71% had bought birth materials, 61%
identified a health professional and 61% identified means of transport. Overall
35% of the respondents were birth prepared. The relationship between knowledge of
at least one key danger sign during pregnancy or during postpartum and birth
preparedness showed statistical significance which persisted after adjusting for
probable confounders (OR 1.8, 95% CI: 1.2-2.6) and (OR 1.9, 95% CI: 1.2-3.0)
respectively. Young age and high levels of education had synergistic effect on
the relationship between knowledge and birth preparedness. The associations
between knowledge of at least one key danger sign during childbirth or knowledge
that prolonged labour was a key danger sign and birth preparedness were not
statistically significant. CONCLUSIONS: The prevalence of recently delivered
women who had knowledge of key danger signs or those who were birth prepared was
very low. Since the majority of women attend antenatal care sessions, the quality
and methods of delivery of antenatal care education require review so as to
improve its effectiveness. Universal primary and secondary education programmes
ought to be promoted so as to enhance the impact of knowledge of key danger signs
on birth preparedness practices.
PMID- 22087792
TI - AluScan: a method for genome-wide scanning of sequence and structure variations
in the human genome.
AB - BACKGROUND: To complement next-generation sequencing technologies, there is a
pressing need for efficient pre-sequencing capture methods with reduced costs and
DNA requirement. The Alu family of short interspersed nucleotide elements is the
most abundant type of transposable elements in the human genome and a recognized
source of genome instability. With over one million Alu elements distributed
throughout the genome, they are well positioned to facilitate genome-wide
sequence amplification and capture of regions likely to harbor genetic variation
hotspots of biological relevance. RESULTS: Here we report on the use of inter-Alu
PCR with an enhanced range of amplicons in conjunction with next-generation
sequencing to generate an Alu-anchored scan, or 'AluScan', of DNA sequences
between Alu transposons, where Alu consensus sequence-based 'H-type' PCR primers
that elongate outward from the head of an Alu element are combined with 'T-type'
primers elongating from the poly-A containing tail to achieve huge amplicon
range. To illustrate the method, glioma DNA was compared with white blood cell
control DNA of the same patient by means of AluScan. The over 10 Mb sequences
obtained, derived from more than 8,000 genes spread over all the chromosomes,
revealed a highly reproducible capture of genomic sequences enriched in genic
sequences and cancer candidate gene regions. Requiring only sub-micrograms of
sample DNA, the power of AluScan as a discovery tool for genetic variations was
demonstrated by the identification of 357 instances of loss of heterozygosity,
341 somatic indels, 274 somatic SNVs, and seven potential somatic SNV hotspots
between control and glioma DNA. CONCLUSIONS: AluScan, implemented with just a
small number of H-type and T-type inter-Alu PCR primers, provides an effective
capture of a diversity of genome-wide sequences for analysis. The method, by
enabling an examination of gene-enriched regions containing exons, introns, and
intergenic sequences with modest capture and sequencing costs, computation
workload and DNA sample requirement is particularly well suited for accelerating
the discovery of somatic mutations, as well as analysis of disease-predisposing
germline polymorphisms, by making possible the comparative genome-wide scanning
of DNA sequences from large human cohorts.
PMID- 22087794
TI - Gastric neuroendocrine carcinoma after long-term use of proton pump inhibitor.
AB - We present a case of a gastric neuroendocrine carcinoma in a patient with a
history of long-term proton pump inhibitor (PPI) use. A 49-year-old man using PPI
for the last 15 years due to gastroesophageal reflux disease developed
progressive dysphagia, dyspepsia and weight loss. Upper gastrointestinal
endoscopy, endoscopic ultrasonography and abdominal CT diagnosed a malignant
tumor localized to a hiatal hernia. Fasting serum chromogranin A and gastrin
concentrations were elevated (32 nmol/l and 159 pmol/l, respectively).
Helicobacter pylori PCR analysis of antral biopsies was negative. Biopsies from
endoscopically normal oxyntic mucosa showed enterochromaffin-like (ECL) cell
hyperplasia. Tumor biopsies revealed a poorly differentiated neuroendocrine
carcinoma. Sevier-Munger staining, immunohistochemistry and electron microscopy
indicated ECL cell as origin of the tumor cells. Concerns have previously been
raised about the safety of long-term PPI use due to a possible increased risk of
cancer. This case illustrates a patient with a poorly differentiated
neuroendocrine carcinoma with ECL cell characteristics probably induced by
hypergastrinemia secondary to long-term PPI use.
PMID- 22087795
TI - A randomised crossover placebo-controlled trial investigating the effect of brown
seaweed (Ascophyllum nodosum and Fucus vesiculosus) on postchallenge plasma
glucose and insulin levels in men and women.
AB - This study examined the impact of brown seaweed on post-load plasma glucose and
insulin concentrations in men and women. Twenty-three participants (11 men, 12
women) aged 19-59 years were recruited in this double-blind, randomized, placebo
controlled crossover study. The test product consisted of a commercially
available blend of brown seaweed (Ascophyllum nodosum and Fucus vesiculosus) with
known inhibitory action on alpha-amylase and alpha-glucosidase activities
(InSea2). Two 250 mg seaweed capsules and 2 placebo capsules were consumed on
each occasion 30 min prior to the consumption of 50 g of carbohydrates from
bread. Plasma glucose and insulin concentrations were measured over a period of 3
h postcarbohydrate ingestion at predetermined time points. Both treatments were
separated by a 1-week washout period. Data were analysed using mixed models for
repeated measures. Compared with placebo, consumption of seaweed was associated
with a 12.1% reduction in the insulin incremental area under the curve (p = 0.04,
adjusted for baseline) and a 7.9% increase in the Cederholm index of insulin
sensitivity (p < 0.05). The single ingestion of 500 mg of brown seaweed had no
significant effect on the glucose response (p = 0.24, adjusted for baseline).
Glucose and insulin responses were similar between men and women. Consumption of
the seaweed capsules was not associated with any adverse event. These data
suggest that brown seaweed may alter the insulin homeostasis in response to
carbohydrate ingestion.
PMID- 22087796
TI - Malleability of human skeletal muscle sarcoplasmic reticulum to short-term
training.
AB - This study investigated the hypothesis that adaptations would occur in the
sarcoplasmic reticulum in vastus lateralis soon after the onset of aerobic-based
training consistent with reduced Ca2+-cycling potential. Tissue samples were
extracted prior to (0 days) and following 3 and 6 days of cycling performed for 2
h at 60%-65% of peak aerobic power (VO2(peak)) in untrained males (VO2(peak) = 47
+/- 2.3 mL.kg-1.min-1; mean +/- SE, n = 6) and assessed for changes (nmol.mg
protein-1.min-1) in maximal Ca2+-ATPase activity (V(max)), Ca2+-uptake, and Ca2+
release (phase 1 and phase 2) as well as the sarcoplasmic (endoplasmic) reticulum
Ca2+-ATPase (SERCA) isoforms. Training resulted in reductions (p < 0.05) in
SERCA1a at 6 days (-14%) but not at 3 days. For SERCA2a, reductions (p < 0.05)
were also noted only at 6 days (-7%). For V(max), depressions (p < 0.05) were
found at 6 days (172 +/- 11) but not at 3 days (176 +/- 13; p < 0.10) compared
with 0 days (192 +/- 11). These changes were accompanied by a lower (p < 0.05)
Ca2+-uptake at both 3 days (-39%) and 6 days (-48%). A similar pattern was found
for phase 1 Ca2+-release with reductions (p < 0.05) of 37% observed at 6 days and
23% (p = 0.21) at 3 days of training, respectively. In a related study using the
same training protocol and participant characteristics, microphotometric
determinations of V(max) indicated reductions (p < 0.05) in type I at 3 days (
27%) and at 6 days (-34%) and in type IIA fibres at 6 days (-17%). It is
concluded that in response to aerobic-based training, sarcoplasmic reticulum Ca2+
cycling potential is reduced by adaptations that occur soon after training onset.
PMID- 22087797
TI - Short-term muscle power and speed in preschoolers exhibit stronger tracking than
physical activity.
AB - The purpose of this study was to examine the tracking of short-term muscle power,
speed, and physical activity over a 15-month period in a sample of healthy
Canadian preschool-aged children. Seventeen preschoolers (age, 4.4 +/- 0.8 years)
completed exercise testing and physical activity monitoring at baseline and
follow-up separated by 14.6 +/- 4.1 months. Short-term muscle power was measured
using a modified 10-s Wingate test with peak power and mean power normalized to
body mass. Speed was assessed with a 25-m dash. Physical activity was measured by
accelerometry (Actigraph GT1M) using a 3-s epoch over 7 consecutive days. Total
physical activity and moderate-to-vigorous physical activity, expressed as a
percentage of accelerometer wear time, were examined. Tracking of the variables
between year 1 and year 2 was analyzed using Spearman rank order correlations and
Kappa statistics. Paired t-tests were used to assess differences in performance
and physical activity between year 1 and year 2. Total physical activity was not
significantly different at year 2 (p > 0.05) and showed fair tracking (r = 0.51,
p = 0.05; K = 0.30). Moderate-to-vigorous physical activity was increased at year
2 (p = 0.03) and exhibited poor tracking (r = 0.29, p = 0.28; K = 0.00). Short
term muscle power and speed was increased at year 2 (p < 0.0001) and exhibited
significant tracking: peak power (r = 0.72, p = 0.001; K = 0.46), mean power (r =
0.83, p = 0.00004; K = 0.82), and 25-m dash (r = 0.82, p = 0.0001; K = 0.47).
Moderate-to-vigorous physical activity increased in this sample of boys and girls
during the preschool years, and short-term muscle power and speed exhibited
stronger tracking than physical activity.
PMID- 22087798
TI - Distinct differentiation potential of "MSC" derived from cord blood and umbilical
cord: are cord-derived cells true mesenchymal stromal cells?
AB - Mesenchymal stromal cells (MSC) with distinct differentiation properties have
been reported in many adult [eg, bone marrow (BM)] or fetal tissues [eg, cord
blood (CB); umbilical cord (UC)] and are defined by their specific surface
antigen expression and multipotent differentiation potential. The MSC identity of
these cells should be validated by applying well-defined readout systems if a
clinical application is considered. In order to determine whether cells isolated
from human UC fulfill the criteria defined for MSC, the immunophenotype and
differentiation potential including gene expression analysis of the most relevant
lineage-specific markers were analyzed in the presented report in combination
with the HOX-gene expression. Cells from the UC do not differentiate into
osteoblasts demonstrated by Alizarin Red and Von Kossa staining in addition to
real-time polymerase chain reaction (PCR)-analysis of runt-related transcription
factor 2, bone sialoprotein, osteocalcin, osterix, bone morphogenetic proteins 2
and 4. Oil Red O staining as well as PCR analysis of peroxisome proliferator
activated receptor-gamma, fatty acid-binding protein 4, and perilipin revealed an
absent adipogenic differentiation. The lack of potential to differentiate into
chondrocytes was documented by Alcian-Blue periodic acid-Schiff, Safranin O
staining, and real-time PCR analysis of SOX9. Furthermore, neither endothelial
nor myogenic differentiation was documented after induction of UC-MSC. In
comparison to CB- and BM-derived cells, UC cells revealed an absent trilineage
differentiation capacity in vitro. Therefore, these cells should not be termed
"mesenchymal stromal cells". The UC cells can be distinguished from CB- and BM
derived cells as well as from pericytes and foreskin fibroblasts by the
expression of HOX-genes and the cell surface antigens CD56 and CD146.
PMID- 22087799
TI - Functionalized STAT1 siRNA nanoparticles regress rheumatoid arthritis in a mouse
model.
AB - AIM: To develop and characterize an RGD peptide functionalized poly(lactide-co
glycolytic) acid (PLGA) nanosystem to deliver a STAT1 siRNA to joint tissues in a
mouse model of rheumatoid arthritis. METHODS: RGD-PLGA polymer was synthesized
and used in preparing functionalized nanoparticles loaded with either tracking
material or siRNA. The properties of the nanoparticles and stability of siRNA
after encapsulation was assessed. Nanoparticle distribution was determined both
noninvasively and based on analysis of dissected organs from arthritic and
healthy mice. Arthritic mice were treated with weekly doses of STAT1 siRNA-loaded
nanoparticles or controls. Clinical disease was assessed. Paws of arthritic mice
were sectioned for histology or processed for RNA. STAT1, Mrc-1, and IL-10 mRNA
abundance was determined by quantitative PCR. RESULTS: Nanoparticles protected
the siRNA from serum degradation. The presence of RGD peptide on the
nanoparticles increased paw tissue uptake in arthritic mice. Furthermore, RGD
functionalization increased lung delivery of nanoparticles in arthritic mice but
not in control mice. Disease regressed in the STAT1 siRNA-treated animals and
progressed in all control groups. STAT1 mRNA levels were decreased in paws of
treated animals, while Mrc-1 and IL-10 mRNA levels were increased. CONCLUSION:
RGD functionalized PLGA nanoparticles encapsulating STAT1-targeted siRNAs are
efficacious in the treatment of established arthritis, possibly through a
selective inhibition of macrophage and dendritic cell activation.
PMID- 22087800
TI - Synthesis, pharmacoscintigraphic evaluation and antitumor efficacy of
methotrexate-loaded, folate-conjugated, stealth albumin nanoparticles.
AB - The present study aims to develop a multifunctional nanoformulation based on
technetium-99m labeled, folate conjugated, methotrexate-loaded human serum
albumin nanoparticles (HSA NPs) and explore their potential in cancer
theragnostics. MATERIALS & METHODS: Methotrexate-loaded HSA NPs were synthesized
by a reverse microemulsion technique, followed by chemical crosslinking with
glutaraldehyde. These NPs were conjugated with folic acid (FA) through a
hydrophilic polyethylene glycol spacer to render them long-circulatory and
augment their tumor-specific localization. The therapeutic conjugate was further
radiolabeled with a gamma-emitter technetium-99m for real-time monitoring of its
blood clearance kinetics and biodistribution through the measurement of
blood/organ-associated radioactivity and scintigraphic imaging. RESULTS &
CONCLUSION: In vitro cell-uptake and cytotoxicity studies corroborated that FA
conjugation enabled these NPs to specifically target and kill folate-receptor
overexpressing cancer cells via S phase arrest. Blood clearance kinetics and
biodistribution studies clearly indicated that circulation time, as well as tumor
specific localization of methotrexate-loaded HSA nanocarriers, could be
significantly augmented upon polyethylene glycolylation and conjugation of FA.
Finally, we demonstrated that these targeted HSA NPs inhibited tumor growth more
effectively, as compared with the nontargeted controls.
PMID- 22087801
TI - Expert Delphi survey on research and development into drugs for neglected
diseases.
AB - BACKGROUND: Tropical infectious diseases are called neglected, because they are,
inter alia, characterized by an R&D deficit. A similar deficit exists for rare
(orphan) diseases which neither promise a sufficient return on R&D investment. To
encourage the development of treatments for rare diseases, orphan drug acts were
created which contain financial and non-financial incentives for the
pharmaceutical industry. Similar instruments aimed exclusively at neglected
diseases do not yet exist. Proposals for a regulatory approach to promote R&D for
neglected diseases include the application of selected orphan drug incentives, or
the implementation of a Medical Research and Development Treaty (MRDT) with
national funding obligations for medical R&D. We compiled and analyzed experts'
opinions on causes for the treatment deficit for neglected diseases and on
desirable and feasible measures to promote neglected disease R&D. Hereby, the
focus was on mechanisms contained in orphan drug regulations and in the Medical
Research and Development Treaty draft (Discussion draft 4, 2005). Lastly, we
solicited experts' opinions on the desirability and feasibility of a regulatory
instrument to foster R&D for neglected diseases. METHODS: An international online
Delphi survey was conducted with 117 (first round) and 56 (second round) experts
of different professional backgrounds and professional affiliations who
formulated and ranked causes and solutions related to the treatment deficit for
neglected diseases. RESULTS: In both rounds of survey, the majority of the
participating experts (88.4% first round, 86.8% second round) advocated the
development of a regulatory instrument to promote R&D for neglected diseases.
Most experts (77.9% first round, 79.3% second round) also considered this to be a
feasible option. With the exception of market exclusivity, which was viewed
critically, key provisions contained in orphan drug regulations were judged
favorably also for neglected diseases. A majority (87.1% first round, 77.2%
second round) supported national funding obligations for neglected diseases which
are proposed by the Medical Research and Development Treaty draft. CONCLUSIONS:
While not all features of orphan drug regulations and of the MRDT draft received
equal support, the view was expressed that a regulatory instrument would be a
desirable and feasible measure to promote R&D for neglected diseases.
PMID- 22087802
TI - Identification and characterization of genes differentially expressed in
cherimoya (Annona cherimola Mill) after exposure to chilling injury conditions.
AB - Cherimoyas (Annona cherimola), like other subtropical/tropical fruits, are
susceptible to damage from exposure to temperatures between 0 and 5 degrees C
(chilling injury, CI), which may affect fruit quality. To increase our
understanding of the molecular mechanisms involved in the CI response, a forward
suppression subtractive hybridization (SSH) cDNA library was constructed. In this
work, we obtained 75 genes that could potentially be involved in the CI response.
The CI induced activation of genes that are involved in a range of metabolic
pathways, such as primary metabolism, transport, and endomembrane traffic, among
others. We also characterized the expression of 12 selected genes in different A.
cherimola tissues by polymerase chain reaction (PCR), and we confirmed the
differential expression of a subset in CI fruits by real-time quantitative PCR
(qPCR). The expression of six A. cherimola genes: annexin (AcAnex), UDP-glucose
pyrophosphorylase (AcUGP), syntaxin of plants 71 (AcSyp71), 1-aminocyclopropane-1
carboxylic-acid synthase (AcACS), ubiquitin carrier-like protein (AcUCP), and
enolase (AcEnol), was up-regulated after cold storage for 12 days at 0 degrees
C. These results imply that selected genes could be related to the development of
internal browning observed in cherimoyas after exposure to CI conditions. The
information generated in this study provides new clues that may aid in
understanding the cherimoya ripening process.
PMID- 22087804
TI - Periodontal disease decreases insulin sensitivity and insulin signaling.
AB - BACKGROUND: The purpose of this study is to investigate whether local
inflammatory events, such as periodontal disease, are able to increase tumor
necrosis factor-alpha (TNF-alpha) plasmatic concentration and decrease insulin
sensitivity and insulin signaling in non-diabetic rats. METHODS: Forty-eight male
Wistar rats (2 months old) were divided into two groups, with either ligature
induced periodontal disease (LPD) or control conditions (CN). Experiments were
performed in both groups 28 days after ligature placement. Plasmatic
concentration of glycemia and TNF-alpha (n = 10) were analyzed by the glucose
oxidase and enzyme-linked immunosorbent assay method, respectively. Insulin
sensitivity (n = 7) was measured using the insulin tolerance test. Insulin signal
transduction (n = 7) was measured by pp185 tyrosine phosphorylation status in
insulin-sensitive tissues using the Western blotting method. RESULTS: The LPD
group showed decreased insulin sensitivity (P <0.05), although no glycemic
alterations were noted (P >0.05). TNF-alpha plasmatic concentration was higher in
LPD rats compared to CN rats. In addition, a decrease in the pp185 tyrosine
phosphorylation status was observed after insulin stimulus in both white adipose
and skeletal muscle tissues of the LPD group compared with the CN group.
CONCLUSIONS: LPD is able to cause alterations to both insulin signaling and
insulin sensitivity, probably because of the elevation of TNF-alpha plasmatic
concentration. Thus, the present results emphasize the importance of the
prevention of local inflammatory diseases, such as periodontitis, to prevent
diabetes mellitus.
PMID- 22087803
TI - Double-masked randomized clinical trial evaluating the effect of a
triclosan/copolymer dentifrice on periodontal healing after one-stage full-mouth
debridement.
AB - BACKGROUND: This study evaluates the effect of triclosan/copolymer dentifrice on
the 6-month clinical response of patients with generalized severe chronic
periodontitis (GSCP) treated with one-stage, full-mouth ultrasonic debridement
(FMUD). METHODS: Thirty patients diagnosed with GSCP (>=8 teeth presenting
probing depth [PD] >=5 mm and bleeding on probing [BOP]) were selected and
randomly allocated to a control group (n = 15) subjected to FMUD and daily use of
a placebo dentifrice or to a test group (n = 15) subjected to FMUD and daily use
of a triclosan/copolymer dentifrice. Patients were analyzed for the following
parameters: full-mouth plaque index (FMPI), full-mouth BOP score (FMBS), gingival
recession, PD, and clinical attachment level (CAL). Patients were evaluated at 3
and 6 months by a calibrated and masked examiner. RESULTS: Initially, the groups
presented similar periodontal conditions, with no significant differences in any
of the parameters evaluated (P >0.05). In both groups, improvements in all
periodontal parameters (P <0.05) were seen at the completion of the experimental
period. Additionally, the test group showed lower FMPI (3 months) and FMBS (3 and
6 months) than the control group (P <0.05). Moreover, the CAL gain was
significantly greater in the test group, especially at initially deep pockets (PD
<=7 mm). Whereas in the control group the CAL gain in deep pockets was 2.7 +/-
0.6 mm, in the test group the CAL gain was 3.6 +/- 1.4 mm (P <0.05). CONCLUSION:
Within the limits of the present study, the use of triclosan/copolymer dentifrice
promoted additional clinical benefits in the treatment of GSCP treated by one
stage FMUD.
PMID- 22087805
TI - Clinical and microbiologic effects of commercially available dentifrice
containing aloe vera: a randomized controlled clinical trial.
AB - BACKGROUND: Certain plants used in folk medicine serve as a source of therapeutic
agents that have antimicrobial and other multipotential effects. This
prospective, randomized, placebo, and positively controlled clinical trial was
designed to evaluate the clinical and microbiologic effects of a commercially
available dentifrice containing aloe vera on the reduction of plaque and gingival
inflammation in patients with gingivitis. METHODS: Ninety patients diagnosed with
chronic generalized gingivitis were selected and randomly divided into three
groups: group 1, placebo toothpaste; group 2, toothpaste containing aloe vera;
and group 3, toothpaste with polymer and fluoride containing triclosan. Clinical
evaluation was undertaken using a gingival index, plaque was assessed using a
modification of the Quigley-Hein index, and microbiologic counts were assessed at
baseline, 6 weeks, 12 weeks, and 24 weeks. A subjective evaluation was also
undertaken by questionnaire. RESULTS: Toothpaste containing aloe vera showed
significant improvement in gingival and plaque index scores as well as
microbiologic counts compared with placebo dentifrice. These improvements were
comparable to those achieved with toothpaste containing triclosan. CONCLUSION:
Toothpaste containing aloe vera may be a useful herbal formulation for chemical
plaque control agents and improvement in plaque and gingival status.
PMID- 22087806
TI - A novel intraoral diabetes screening approach in periodontal patients: results of
a pilot study.
AB - BACKGROUND: This pilot study examines whether a novel diabetes screening approach
using gingival crevicular blood (GCB) could be used to test for hemoglobin A1c
(HbA1c) during periodontal visits. METHODS: Finger-stick blood (FSB) samples from
120 patients and GCB samples from those patients with adequate bleeding on
probing (BOP) were collected on special blood collection cards and analyzed for
HbA1c levels in a laboratory. The Pearson correlation coefficient was used to
measure correlation between FSB and GCB HbA1c values for 75 paired FSB and GCB
samples. A receiver-operator characteristic curve (ROC) analysis was performed to
determine an optimal GCB HbA1c criterion value for a positive diabetes screen.
RESULTS: For the 75 paired samples, the Pearson correlation coefficient was
0.842. The ROC analysis identified a criterion value of 6.3% for the GCB HbA1c
test with high sensitivity (0.933) and high specificity (0.900), corresponding to
FSB HbA1c values >=6.5% (in the diabetes range). Using this GCB HbA1c criterion
value for 27 additional paired samples, in which there was an unidentified
component observed to coelute within the elution window of GCB HbA1c in the
laboratory, there was agreement between FSB and GCB values for 24 of the pairs
according to whether both were within or outside of the diabetes range.
CONCLUSION: Using a criterion value of 6.3%, GCB samples are acceptable for HbA1c
testing to screen for diabetes in most persons with BOP at the GCB collection
site.
PMID- 22087807
TI - Lipopolysaccharide and hypoxia-induced HIF-1 activation in human gingival
fibroblasts.
AB - BACKGROUND: We previously reported that chronic periodontal inflammation causes
the accumulation of the transcriptional activator hypoxia-inducible factor-1alpha
(HIF-1alpha) in human gingival fibroblasts (HGFs) in vivo. Here, evidence is
provided that bacterial lipopolysaccharides (LPS) and cellular hypoxia, both
associated with periodontitis, can individually, or in combination, lead to the
accumulation and activation of HIF-1 in HGF in vitro. METHODS: Primary gingival
fibroblasts were cultured from human gingival biopsies. HIF-1alpha peptide from
HGFs treated with Escherichia coli LPS under normoxia or hypoxia was detected by
nuclear protein extraction, immunoprecipitation, immunoblotting, and
immunocytofluorescence. HIF-1alpha transcripts were detected using reverse
transcription polymerase chain reaction (PCR). The transcript expression levels
of vascular endothelial growth factor-A (VEGF-A), a downstream gene of HIF
1alpha, were assessed by quantitative real-time PCR. RESULTS: Two HIF-1alpha
splicing transcription variants were found to be constitutively expressed in
HGFs. E. coli LPS induced a dose- and time-dependent nuclear accumulation of HIF
1alpha peptide in HGFs. This accumulation could be attenuated by treatment with a
Toll-like receptor 4 (TLR4)-neutralizing antibody. Under hypoxia, LPS further
increased HIF-1alpha accumulation in HGFs. VEGF-A transcript expression was
upregulated by LPS under both normoxia and hypoxia but was downregulated by
pretreatment with TLR4-neutralizing antibody or the specific HIF-1alpha inhibitor
3-(5'-hydroxymethyl-2'-furyl)-1-benzyl indazole. CONCLUSION: LPS induces the
nuclear accumulation of HIF-1alpha in HGFs and induces HIF-1 biologic activity
under normoxia or hypoxia possibly through TLR4.
PMID- 22087808
TI - Catalytic proficiency: the extreme case of S-O cleaving sulfatases.
AB - As benchmarks for judging the catalytic power of sulfate monoesterases, we sought
to determine the rates of spontaneous hydrolysis of unactivated alkyl sulfate
monoesters by S-O bond cleavage. Neopentyl sulfate proved to be unsuitable for
this purpose, since it was found to undergo hydrolysis by a C-O bond cleaving
mechanism with rearrangement of its carbon skeleton. Instead, we examined the
temperature dependence of the spontaneous hydrolyses of aryl sulfate monoesters,
which proceed by S-O cleavage. Extrapolation of a Bronsted plot [log(k(25)(N)) =
(-1.81 +/- 0.09) pK(a)(LG) + (3.6 +/- 0.7)] based on the rate constants at 25
degrees C for hydrolysis of a series of sulfate monoesters to a pK(a)(LG) value
of 16.1, typical of an aliphatic alcohol, yields k(25)(N) = 3 * 10(-26) s(-1).
Comparison of that value with established k(cat) values of bacterial sulfatases
indicates that these enzymes produce rate enhancements (k(cat)/k(uncat)) of up to
2 * 10(26)-fold for the hydrolysis of sulfate monoesters. These rate enhancements
surpass by several orders of magnitude the ~10(21)-fold rate enhancements that
are generated by phosphohydrolases, the most powerful biological catalysts
previously known. The hydrolytic rates of phosphate and sulfate monoesters are
compared directly, and the misleading impression that the two classes of ester
are of similar reactivity is dispelled.
PMID- 22087814
TI - Correlation between coronary artery disease severity, left ventricular mass index
and carotid intima media thickness, assessed by radio-frequency.
AB - BACKGROUND: Intima-media thickness of the common carotid artery (CCA-IMT) is a
validated marker of systemic atherosclerosis process. The aim of this study was
to evaluate the association between coronary artery disease (CAD), left
ventricular hypertrophy (LVH) and CCA-IMT, assessed by Radio Frequency-Quality
Intima Media Thickness (RFQIMT) method, the next generation of IMT real-time
measurement, based on the direct analysis of the radiofrequency signal and
endowed with high accuracy and reproducibility in early detection of arterial
wall thickness. METHODS: 115 patients (76 men, mean age: 65.1 +/- 12 years)
referred to our department and shown significant (>= 70% luminal obstruction)
stenosis at least in one major epicardial coronary artery were studied. Coronary
angiograms were divided for severity and extent of the disease: 79 patients (69%)
had one, 24 patients (21%) two, 12 patients (10%) three major epicardial coronary
arteries with >= 70% stenosis. All patients underwent echocardiography and
carotid ultrasound examination, assessed by RF. RESULTS: Dividing RFQIMT data in
tertiles, dyslipidaemia (31 patients with IMT >= 1.20 mm vs 16 with IMT = 0.91
1.19 vs 25 with IMT <= 0.9, p = 0.004), LVMI (153.5 +/- 20.6 g/m2 in IMT >= 1.20
mm vs 131.2 +/- 8.4 g/m2 in IMT = 0.91-1.19 mm vs 114.3 +/- 11.1 g/m2 in IMT <=
0.9 mm, P < 0.001) and number of high stenosed coronary arteries (IMT >= 1.20 mm
population more often showed three vessel diseases than IMT <= 0.90 mm one, P <
0.001) seemed to be significantly related to CCA-IMT increases. Furthermore, LVMI
is positively related to IMT (r = 0.91; P < 0.001). In a multivariate regression
model (R2 = 0.88), RFQIMT remained significantly associated with the dyslipidemia
(regression coefficient +/- standard error [SE]: 0.057 +/- 0.023; p = 0.017),
LVMI (regression coefficient +/- SE: 0.01 +/- 0.001; P < 0.0001) and number of
damaged coronaries (regression coefficient +/- SE: 0.0174 +/- 0.028; P < 0.0001).
CONCLUSIONS: RFQIMT is a sophisticated method for carotid ultrasound evaluation.
Its evaluation in patients with at least one important major epicardial coronary
vessel stenosis would help the accuracy in the general assessment of the number
of coronary lesions in these patients.
PMID- 22087815
TI - beta-1,3-D-glucan schizophyllan/poly(dA) triple-helical complex in dilute
solution.
AB - A certain length of poly(deoxyadenylic acid) (dA(X)) can form a novel complex
with beta-1,3-D-glucan schizophyllan (SPG) with a stoichiometric composition of
one dA binding two main chain glucoses. We measured dilute solution properties
for the complex with light and small-angle X-ray scattering as well as intrinsic
viscosity and found that the complex behaves as a semiflexible rod without
branching or cross-linking. We analyzed the data with the wormlike cylinder
model, and the chain dimensions and the persistence length for the complexes were
consistently determined. The chain flexibility was reduced to almost 25% upon
complexation for dA/SPG and to 15% for S-dA/SPG, where S-dA denotes the
phosphorothioated DNA analogue. The changes in the molar mass per unit length and
the diameter indicated that the helix was elongated or stretched along the axis
direction upon the complexation.
PMID- 22087816
TI - The N-aryl aminocarbonyl ortho-substituent effect in Cu-catalyzed aryl amination
and its application in the synthesis of 5-substituted 11-oxo-dibenzodiazepines.
AB - Double amination of ortho-substituted aryl bromides proceeded under mild
conditions to afford 5-substituted 11-oxo-dibenzodiazepines, which revealed that
there is a strong ortho-substituent effect caused by N-aryl aminocarbonyl groups
during copper-catalyzed aryl amination.
PMID- 22087817
TI - Factors that influence low back pain in people with a stoma.
AB - PURPOSE: People with a stoma believe that there is a link between their surgery
and low back pain (LBP). AIM: To explore factors relating to (i) core stability
in people with a stoma and LBP and (ii) biopsychosocial factors related to LBP.
METHODS: Adults with an ileostomy and LBP (n = 17) completed (i) a range of
standardised instruments, (ii) clinical tests and (iii) an ultrasound scan of
right and left transversus abdominis (TrA). RESULTS: The findings showed moderate
pain and disability: RMDQ: median = 12 (IQR: 9.5-13), EQ-5D health state: mean =
6.9 (+/-1.75), BPI pain severity: median = 4.5 (IQR: 2.87-5.4). The TrA
contraction was less on the operated than the unoperated side and this was linked
to less control for BKFO to the operated side, and the presence of a parastomal
hernia. Co-morbidities were associated with greater balance problems during the
stork test (p < 0.05). Men had more fear avoidance (p < 0.05) on the FABQ
regarding physical activity. DISCUSSION: Abdominal function may be altered after
stoma surgery leading to reduced ability to perform functional tasks and a
possible increased risk of back pain. These results should be viewed with caution
due to the small sample size.
PMID- 22087818
TI - Effects of BCR-ABL inhibitors on anti-tumor immunity.
AB - In chronic myeloid leukemia (CML), BCR-ABL-mediated oncogenic signaling can be
successfully targeted with the BCRABL- inhibitors imatinib, nilotinib, and
dasatinib leading to complete cytogenetic (Philadelphia chromosome not detectable
upon cytogenetic testing of bone marrow) and even complete molecular (BCR-ABL not
detectable by PCR in peripheral blood) responses. However, CML apparently can not
be cured by BCR-ABL inhibitors alone, likely due to treatment-resistance of CML
stem/progenitor cells, which provokes a relapse of disease after cessation of
therapy. Evidence from patients treated with allogenic stem cell transplantation
or IFN-alpha points to an important role of anti-tumor immunity for durable
control of CML disease. Data from multiple in vitro and ex vivo studies indicate
that BCR-ABL inhibitors may also influence anti-tumor immunity. Varying effects
on different immune effector cell subsets and of the different compounds have
been reported, the latter being due to their particular and diverse potency and
spectrum of target kinases. As multiple approaches presently aim to combine BCR
ABL inhibition with immunotherapeutic strategies to improve disease control in
CML, immunomodulatory effects of the available BCR-ABL inhibitors may be of
direct clinical relevance. Here we review the available data regarding the
effects of imatinib, nilotinib, and dasatinib on dendritic cells, T cells and
natural killer cells as important cellular components of anti-tumor immunity.
PMID- 22087819
TI - Proteasome inhibitors and modulators of angiogenesis in multiple myeloma.
AB - Survival of patients affected by Multiple Myeloma (MM), a B-cell tumor of
malignant plasma cells, has dramatically improved, owing to the recent
introduction of the proteasome inhibitor (PI) Bortezomib and of the
immunomodulatory drugs (IMiDs). This major advance originates from accumulating
knowledge on MM biology, leading to the development of drugs targeting not only
MM cells, but also their microenvironment. Indeed, the disease develops as a
result of genetic abnormalities and of reciprocal interactions between MM cells
and the permissive BM microenvironment, which delivers growth- and pro-survival
signals and confers resistance to drugs. As for solid tumors, bone marrow (BM)
angiogenesis is emerging as a critical component of MM development and
progression, and hence as an attractive therapeutic target for the disease. The
patho-physiology of MM associated angiogenesis is complex and involves a plethora
of soluble factors, cellular players and mechanisms. Moreover, the hypoxic
microenvironment inside the BM might significantly contribute to the induction
and maintenance of a pro-angiogenic profile, given the well-known role of hypoxia
in promoting angiogenesis in all its forms. Here we present an overview of the
literature focusing on the mechanisms implicated in the "angiogenic switch",
which corresponds to the transition from the avascular to the vascular phase of
the disease. We also review evidence on the anti-angiogenic effects of PI and
IMiDs, which substantially contribute to their anti-MM activity. Finally, we
summarize possible caveats and perspectives about antiangiogenic strategies that
could be addressed to improve the efficacy of treatments for MM patients.
PMID- 22087820
TI - Modulating mesenchymal stromal cell function with cholesterol synthesis
inhibitors.
AB - There is increasing evidence that statins, inhibitors of 3-hydroxyl-3
methylglutaryl coenzyme A reductase, can effectively be used not only in the
treatment of hypercholesterolemia, but also in other human disorders; indeed,
statins have strong anti-inflammatory and immunomodulatory effects, so that they
can influence the onset and outcome of inflammation and autoimmunity. On the
other hand, it has been shown that statins can affect growth and survival of
solid tumour and leukemic cells, thus they have been proposed in the treatment of
neoplasias as multiple myeloma, in association with drugs, as thalidomide, known
to act on the cancer microenvironment. In the current view, tumor
microenvironment include many cell types that interact with tumor cells: among
them, stromal and endothelial cells, macrophages and dendritic cells, the various
types of lymphocytes such as NK cells, B and T cells. The interplay between all
these cell populations, and the balance between these, determines whether there
is a tumour cell growth promotion or inhibition. In haematological malignancies,
such as multiple myeloma, chronic lymphocytic and myeloid leukemias and
follicular lymphomas, the survival, drug-resistance and proliferation of leukemic
cells have been shown to be largely dependent on a supportive microenvironment,
so that some cellular components of it, mainly mesenchymal stromal cells, cancer
associated fibroblasts and macrophages, are now proposed as targets of new
therapies. Herein, we analyze the effects that statins can exert on cancer cells,
stromal cells and human natural killer cells, to discuss whether they can be
proposed as anti-cancer drugs.
PMID- 22087821
TI - Aminobisphosphonates and Toll-like receptor ligands: recruiting Vgamma9Vdelta2 T
cells for the treatment of hematologic malignancy.
AB - Gamma delta (gammadelta) T cells are intrinsically important for preventing the
development and progression of hematologic cancers. These innate T cells are
particularly suited for the application of cancer therapy due to the fact they:
1) recognize transformed cells independent of antigen processing or presentation
by classical MHC molecules, and 2) embody the anti-tumour effector functions of
both NK cells and cytotoxic T cells. It was serendipitously discovered that
aminobisphosphonates (ABP), a class of drugs used as adjuvant cancer therapy for
the treatment of malignant osteolytic bone disease, have the unexpected side
effect of potently activating the antitumour effector functions of human
peripheral gammadelta T cells. Such beneficial therapeutic synergisms are rare,
and no time has been wasted to determine how to best harness the anti-cancer
potential of gammadelta T cells and ABP. Despite promising experimental results,
the full clinical potential of this immunotherapeutic strategy has been hampered
by the subversive strategies employed by cancer cells to obstruct activation of
anti-tumour immune responses. These include the promotion of regulatory T cells
(Tregs) that maintain tumour tolerance and the corruption of dendritic cell (DC)
function and maturation. Toll-like receptor (TLR) agonists have a long history of
breaking free of tumour-induced immune-suppression by resetting DC function and
abrogating Treg induced tolerance. This review presents data to support the
notion that TLR signalling may perfectly complement the anti-tumour synergy of
ABP and activated gammadelta T cells, and this combined innate artillery could
provide the necessary ammunition to topple malignancy's stronghold on the immune
system.
PMID- 22087823
TI - Biomolecules produced by mangrove-associated microbes.
AB - This review summarizes the sources and characteristics of various natural
products that can be extracted from mangrove-associated microbes with a focus on
bioactivity, highlighting the unique chemical diversity of these metabolic
products.
PMID- 22087822
TI - The role of the adenosinergic pathway in immunosuppression mediated by human
regulatory T cells (Treg).
AB - Tumor-induced dysfunction of immune cells is a common problem in cancer. Tumors
induce immune suppression by many different mechanisms, including accumulation of
regulatory T cells (Treg). Adaptive Treg (Tr1) generated in the tumor
microenvironment express CD39 and CD73 ectonucleotidases, produce adenosine and
are COX2+PGE2+. Adenosine and PGE2 produced by Tr1 or tumor cells bind to their
respective receptors on the surface of T effector cells (Teff) and cooperate in
up-regulating cytosolic 3'5'-cAMP levels utilizing adenylyl cyclase isoform 7 (AC
7). In Teff, increased cAMP mediates suppression of anti-tumor functions. Treg,
in contrast to Teff, seem to require high cAMP levels for mediating suppression.
This differential requirement of Treg and Teff for cAMP offers an opportunity for
pharmacologic interventions using selected inhibitors of the adenosine/PGE2
pathways. Blocking of adenosine/PGE2 production by Tr1 or blocking binding of
these factors to their receptors on T cells or inhibition of cAMP synthesis in
Teff all represent novel therapeutic strategies that used in combination with
conventional therapies could restore anti-tumor functions of Teff . At the same
time, these inhibitors could disarm Tr1 cells by depriving them of the factors
promoting their generation and activity or by down-regulating 3'5'-cAMP levels.
Thus, the pharmacologic control of Treg-Teff interactions offers a novel strategy
for restoration of anti-tumor Teff functions and silencing of Treg. Used in
conjunction with anti-cancer drugs or with immune therapies, this strategy has a
potential to improve therapeutic effects by preventing or reversing tumor-induced
immune suppression.
PMID- 22087824
TI - Determinants of increased cardiovascular disease in obesity and metabolic
syndrome.
AB - Obesity is associated with an increased mortality and morbidity for
cardiovascular disease (CVD) and adipose tissue is recognised as an important
player in obesity-mediated CVD. The diagnosis of the metabolic syndrome (MS)
appears to identify substantial additional cardiovascular risk above and beyond
the individual risk factors, even though the pathophysiology underlying this
evidence is still unravelled. The inflammatory response related to fat
accumulation may influence cardiovascular risk through its involvement not only
in body weight homeostasis, but also in coagulation, fibrinolysis, endothelial
dysfunction, insulin resistance (IR) and atherosclerosis. Moreover, there is
evidence that oxidative stress may be a mechanistic link between several
components of MS and CVD, through its role in inflammation and its ability to
disrupt insulin-signaling. The cross-talk between impaired insulin-signaling and
inflammatory pathways enhances both metabolic IR and endothelial dysfunction,
which synergize to predispose to CVD. Persistent platelet
hyperreactivity/activation emerges as the final pathway driven by intertwined
interactions among IR, adipokine release, inflammation, dyslipidemia and
oxidative stress and provides a pathophysiological explanation for the excess
risk of atherothrombosis in this setting. Despite the availability of multiple
interventions to counteract these metabolic changes, including appropriate diet,
regular exercise, antiobesity drugs and bariatric surgery, relative failure to
control the incidence of MS and its complications reflects both the
multifactorial nature of these diseases as well as the scarce compliance of
patients to established strategies. Evaluation of the impact of these therapeutic
strategies on the pathobiology of atherothrombosis, as discussed in this review,
will translate into an optimized approach for cardiovascular prevention.
PMID- 22087825
TI - Serotonin and its receptors in the human CNS with new findings - a mini review.
AB - Serotonin (5-hydroxytryptamine, 5-HT) is well known to be closely associated with
emotional disorders, such as depression and schizophrenia. The seven main members
of 5-HT receptor family including the different subtypes are involved in the
functional pathways in the brain and their balance in activity helps to maintain
the normal mental stability. As any detrimental changes in the 5-HT system is
believed to alter emotion in human, different drugs including serotonin reuptake
inhibitors (SSRIs) are nowadays commonly used as anti- depressives. In this
review, 5-HT(1A) and 5-HT(2A) receptors and serotonergic positive cells in the
human were highlighted in particular. It is hoped that this review will give a
map of these major 5-HT receptors and serotonergic neurons in the human CNS to
facilitate further deciphering of their functions.
PMID- 22087826
TI - Flavonoids as acetylcholinesterase inhibitors.
AB - Flavonoids are new promising potential natural compounds for treating Alzheimer's
disease (AD). Actually most promising drugs for symptomatic treatment of AD are
acetylcholinesterase inhibitors (AChEI). Flavonoids with AChE inhibitory activity
and due to their well known antioxidant activity could be new multipotent drugs
for AD treatment. This work focuses on natural and synthetic flavonoids
inhibitors of the enzyme acetylcholinesterase (AChE). Over, all this review
refers to 128 flavonoids, which are classified in chemical structure, and
summarizes 64 references.
PMID- 22087827
TI - Nanostructures for drug delivery to the brain.
AB - This review aims to summarize present approaches employed in delivering drugs to
the central nervous system. Changes in blood-brain barrier (BBB) function have
been reported in several neurological disorders. A brief description of the blood
brain barrier and the main pathologies related to this barrier disfunction are
described. Treatments for these disorders are based on several available
strategies for delivering drugs into the brain, through circumvention of the BBB,
as disruption of the BBB, prodrugs, molecular Trojan horses, among others.
Particular attention will be placed on nanocarriers and more specifically on
polymeric nanoparticles, which are presented as the most promising strategy for
CNS delivery, helping drugs to be targeted more efficiently to the brain. This
also allows attacking previously untreatable disorders such as brain tumors and
other neurodegenerative diseases. New strategies and technologies commercialized
by different pharmaceutical companies are also included.
PMID- 22087828
TI - Immunossupressant and organ transplantation: immunophilins targeting agent and
alternative therapies.
AB - Since the first attempt to replace a dysfunctional organ, clinics and scientific
had to overcome many setbacks in order to warrant the success and viability of
both the organ and the receptor. Despite the improvement of surgical procedures,
some grafts fail within the following days or week due to immunologic rejection.
Many ongoing researches are still seeking the perfect immunossupresors.
Calcineurin targeting agents have been consolidated as a worldwide
immnunossupressant therapy, but due to its widely functional role in many cell
types, this strategy often represents a highly risk therapy due to side effects
observed with these agents. Here we summarized the latest and past knowledge
regarding immunossupression therapies, including the promising and widely used
Immunophilin-targeting antagonist therapies.
PMID- 22087829
TI - Insights into immunophilin structure and function.
AB - The immunophilins are proteins which are capable of influencing the immune
response in combination with an immunosuppressive drug. Their natural function,
however, is mainly the cis/trans isomerization of peptidyl-prolyl bonds in other
proteins. This review lists all immunophilin structure coordinates currently
available in the RCSB protein data bank and highlights the key active-site
factors that define their catalytic and immunological action. In addition, an
overview of biologically-relevant functions is provided for various immunophilin
members.
PMID- 22087830
TI - The chemical biology of immunophilin ligands.
AB - The immunophilin ligands cyclosporin A, FK506 and rapamycin are best known for
their immunosuppressive properties and their clinical use in transplantation
medicine. These compounds or their analogs are also clinically used or
investigated in various types of cancer, coronary angioplasty, dermatology,
hepatitis C infections, and neuroprotection. Furthermore, the role of
immunophilins in various pathologies is increasingly being recognized, supporting
the preclinical drug development for novel immunophilin targets. Finally,
immunophilin ligands are widely used as sophisticated tools in chemical biology.
This review shows the progress on three major areas made in the last five years.
An update of the immunosuppressive ligands and their clinical applications is
discussed in the first part of the review, followed by a discussion about the
emerging immunophilin targets and their respective ligands. The final section
gives a detailed assessment of immunophilin ligand-based tools.
PMID- 22087831
TI - Role of FK506 binding proteins in neurodegenerative disorders.
AB - Protein misfolding has been implicated in the pathophysiology of several
neurodegenerative 'amyloidoses' that includes Alzheimer's, Parkinson's,
Huntington's disease, frontotemporal dementia and amyotrophic lateral sclerosis.
Accumulation of misfolded proteins into ordered fibrillar intra- or extracellular
amyloids results in brain lesions that in turn lead to injury and neuronal loss.
The appearance of protein aggregates in the diseased brain hints at an inability
of cellular chaperones to properly assist folding of client proteins. Not
surprisingly, studies involving cell-based and animal models of the
neurodegenerative diseases have shown that overexpression of molecular chaperones
can provide neuroprotection. Together with identification of new targets for
symptomatic relief of motor and non-motor defects in neurodegenerative disorders,
there is a critical unmet clinical need for the development of novel
neuroprotective molecules. One such promising class of compounds are
neuroimmunophilin ligands (NILs). Derived from FK506 (tacrolimus), NILs have been
shown to be efficacious in a number of neurodegenerative disorders. The ability
of these nonimmunosuppressive NILs to protect neurons is modulated, in part, by a
large family of co-chaperone proteins called the FK506 binding proteins (FKBPs).
This review focuses on the roles of FKBPs in neurodegenerative disorders with an
emphasis on the cellular mechanisms responsible for their neuroprotective and
neurotrophic activities. We discuss the structural features of FKBPs and the mode
of action of NILs. For brevity, we limit our discussion to those FKBPs that are
particularly enriched in the nervous system. We hope that such information will
aid in the rational design of new and improved NILs for ameliorating
neurodegenerative disorders.
PMID- 22087832
TI - Immunophilin dysfunction and neuropathology.
AB - In case of nervous damages, like nervous system trauma or various
neurodegenerative diseases such as dementia or Parkinson, several treatments are
available to restore neurological function. In spite of these treatments, results
are often insufficient or not satisfactory in many neurologic diseases,
especially for central nervous system (CNS) lesions. To minimize neurological
dysfunction, it is critical to reduce neuronal death, avoiding loss of the
synaptic connections, and securing viable neurons to extend axons. Unfortunately,
there are no effective strategies to fulfill these basic needs except for some
cases of peripheral neural damage up to now. Rescue of damaged neurons,
stimulation of neurogenesis and transplantation of nervous tissue are strategies
proposed to prevent neurodegenerative disorders. A number of studies have
recently reported successful axon regeneration and neurological recovery by using
immunosuppressants, such as FK506. Immunosuppressants act as excellent agents for
enhancing the rate and extent of axon regeneration and neurological recovery.
FK506 and other neuroimmunophilin ligands (NILs) might reverse neuronal
degeneration. In several animal models mimicking Parkinson's disease, dementia
and surgical damage, NILs induces resprouting, by acting as neurotrophic agents
and preventing nerve damage, although more studies are necessary to identify new
NILs with neuroprotective action, but lacking the side immunological effects
observed in the ligands analyzed to date. This review explores the new clinical
role of immunosuppressants in the treatment of nerve surgery of autologous,
allografts or xenografts. Results of studies regarding immunosuppressant
treatment of nervous system trauma and neurodegenerative diseases, like
neurogenic erectile dysfunction, will be here considered.
PMID- 22087833
TI - Immunophilins and cardiovascular complications.
AB - Immunophilins belong to a highly conserved family of proteins with cis-trans
peptidyl-prolyl isomerase activity, generally classified by their ability to
selectively bind specific immunosuppressive drugs, thereby regulating their
activity. Immunophilins include Cyclophilins (CyPs), which are specific targets
of the immunosuppressant drug cyclosporin A (CsA); FKBPs (FK506-binding
proteins), that are sensitive to both FK506 (tacrolimus) and rapamycin
(sirolimus); and FCBPs which are sensitive to CsA and FK506. Immunophilins are
expressed in multiple human tissues, including brain, heart, kidney, liver and
lung and regulate functions as diverse as intracellular calcium signaling,
protein transport, protein folding and gene transcription. In particular,
immunophilins play key functional roles in the cardiovascular system, where they
can associate with proteins such as ryanodine and IP3 receptors (RyR and IP3R),
calcineurin, and mitochondrial permeability transition pore (MPTP) and Heat-shock
proteins-caveolin-cholesterol complex and regulate their function. The biological
importance of immunophilins is further revealed by the pathophysiology, as they
have been implicated in several cardiovascular diseases, including vascular
stenosis, atherosclerosis, heart failure and arrhythmias. This review summarizes
some of the most recent studies on immunophilins and focuses on their roles in
the mechanisms underlying the cardiovascular disease.
PMID- 22087834
TI - Immunophilins and thrombotic disorders.
AB - The immunophilin family includes a large group of proteins with peptidyl prolyl
isomerase activity (PPI-ase). Immunophilins chaperone activity has been
documented to be crucial for the correct folding and activation of many proteins.
Thus, they have been subjected of intense investigation since they were firstly
described in the last decades of the past century. Many of these studies have
been focused on leukocyte constitutively expressed immunophilins, due to their
relevance in the correct folding, and subsequently, sensitization and activation
of the glycoprotein receptor (RGBs) of lymphocyte T CD4+ and Treg, hence
regulating immunological responses against pathogen insults. Several clinical
trials have been completed in the last decade reporting that administration of
immunophilin-binding drugs, derived from macrolide lactones, like cyclosporine A
(CsA) and tacrolimus (FK506), induced successful results in preventing organ
rejection. By contrast, the expression of immunophilins and their physiological
function remain poorly investigated in others cell types, such as platelets,
where a reduced number of studies presenting evidences of immunophilins
expression and their physiological contribution have been published, despite a
number of clinical trials have noticed side effects of these drugs in thrombosis
and platelet count, thus suggesting a possible regulatory function of
immunophilins in human platelets, which is reviewed here.
PMID- 22087836
TI - Interacting with gamma-secretase for treating Alzheimer's disease: from
inhibition to modulation.
AB - Drugs currently used for the treatment of Alzheimer's disease (AD) produce
limited clinical benefits, and there is no disease-modifying therapy yet
available. Compounds that inhibit or modulate gamma-secretase, the pivotal enzyme
that generates beta-amyloid (Abeta), are potential therapeutics for AD. This
article briefly reviews the profile of gamma-secretase inhibitors and modulators
that have reached the clinic. Studies in both transgenic and non-transgenic
animal models of AD have indicated that gamma-secretase inhibitors, administered
by the oral route, are able to lower brain Abeta concentrations. However, scanty
data are available on the effects of these compounds on brain Abeta deposition
after prolonged administration. gamma-Secretase inhibitors may cause
abnormalities in the gastrointestinal tract, thymus, spleen, skin, and decrease
in lymphocytes and alterations in hair color in experimental animals and in man,
effects believed to be associated with the inhibition of the cleavage of Notch, a
transmembrane receptor involved in regulating cell-fate decisions. Unfortunately,
two large Phase III clinical trials of semagacestat in mild-to-moderate AD
patients were prematurely interrupted because of the observation of a detrimental
cognitive and functional effect of the drug. These detrimental effects were
mainly ascribed to the inhibition of the processing of an unknown substrate of
gamma-secretase. It has been also hypothesized that the detrimental cognitive
effects observed after semagacestat administration are due to the accumulation of
the neurotoxic precursor of Abeta (the carboxy-terminal fragment of amyloid
precursor protein, APP, or CTFbeta) resulting from the block of the gamma
secretase cleavage activity on APP. Some non-steroidal anti-inflammatory drugs
and other small organic molecules have been found to modulate gamma-secretase
shifting its cleavage activity from longer to shorter Abeta species without
affecting Notch cleavage. However, two large Phase III studies in mild AD
patients with tarenflurbil, a putative gamma-secretase modulator, were also
completely negative. The failure of tarenflurbil was ascribed to low potency and
brain penetration. New more selective gamma-secretase inhibitors and more potent,
more brain penetrant gamma-secretase modulators are being developed with the hope
of overcoming the previous setbacks. Further understanding of the reasons of the
failures of these gamma-secretase-based drugs in AD may be important for the
future research on effective treatments for this devastating disease.
PMID- 22087837
TI - Re-wiring the circuit: mitochondria as a pharmacological target in liver disease.
AB - Mitochondria play a key role in intracellular energy-generating processes, cell
life and death, and are heavily involved in several metabolic pathways by
integrating signaling networks; thus, a very large number of conditions are
characterized by mitochondrial bioenergetic in humans. Often, mitochondrial
changes are directly or indirectly dependent on the activation of intracellular
stress cascades or death receptor-mediated pathways. Reactive oxygen species
(ROS) formation, glutathione (GSH) depletion, protein alkylation and respiratory
complex alterations are major events associated with mitochondrial dysfunction
and represent critical initiating events in most forms of chronic liver disease.
Through creating an analogy with a disrupted electric circuit gone bad, the
present review focuses initially on how hepatic mitochondrial bioenergetics is
affected in the context of drug and disease-induced liver failure and how
targeting mitochondria with several antioxidant agents can be helpful for
preventing the disruption of the mitochondrial electric circuit.
PMID- 22087835
TI - The emerging role of large immunophilin FK506 binding protein 51 in cancer.
AB - FK506 binding protein 51 (FKBP51) is an immunophilin physiologically expressed in
lymphocytes. Very recently, aberrant expression of this protein was found in
melanoma; FKBP51 expression correlates with melanoma aggressiveness and is
maximal in metastatic lesions. FKBP51 promotes NF-kappaB activation and is
involved in the resistance to genotoxic agents, including anthracyclines and
ionizing radiation. FKBP51 is a cochaperone with peptidyl-prolyl isomerase
activity that regulates several biological processes through protein-protein
interaction. There is increasing evidence that FKBP51 hyperexpression is
associated with cancer and this protein has a relevant role in sustaining cell
growth, malignancy, and resistance to therapy. There is also evidence that FKBP
ligands are potent anticancer agents, in addition to their immunosuppressant
activity. In particular, rapamycin and its analogs have shown antitumor activity
across a variety of human cancers in clinical trials. Although, classically,
rapamycin actions are ascribed to inhibition of mTOR, recent studies indicate
FKBP51 is also an important molecular determinant of the drug's anticancer
activity. The aim of this article is to review the functions of FKBP51,
especially in view of the recent findings that this protein is a potential
oncogene when deregulated and a candidate target for signaling therapies against
cancer.
PMID- 22087838
TI - The 2009 influenza pandemic: promising lessons for antiviral therapy for future
outbreaks.
AB - The influenza A virus is the main circulating influenza virus in the human
population. It can cause disease also in birds and other mammals and is
responsible for annual epidemics and occasional pandemics. The most known and
deadly pandemic was the "Spanish flu" (influenza type A/H1N1), which struck the
human population between 1918 and 1919, with probably the heaviest toll ever
recorded in terms of human lives. The most recent flu pandemic, caused in 2009 by
the swine-origin reassortant virus (pH1N1), has raised several critical issues in
terms of our preparedness in responding fast to new pandemic influenza strains.
Probably, the most instructive lesson that has been learned from the 2009
pandemic, was that the speed of manufacturing and distributing an effective
vaccine will not be able to keep up with the pace of a rapidly spreading pandemic
virus, failing to grant accessibility to the vaccine for a significant percentage
of the susceptible population, before the onset of the pandemic peak. Thus, our
first and most effective line of defense against a pandemic influenza virus,
particularly in the early phases, are the antiviral drugs. Here we analyze our
current understanding of the influenza pandemic viruses, in general, and of the
pH1N1 in particular, along with the most recent approaches being pursued to
design new anti-influenza drugs.
PMID- 22087840
TI - Waterpipe smoking among health sciences university students in Iran: perceptions,
practices and patterns of use.
AB - BACKGROUND: In recent years waterpipe smoking has become a popular practice
amongst young adults in eastern Mediterranean countries, including Iran. The aim
of this study was to assess waterpipe smoking perceptions and practices among
first-year health sciences university students in Iran and to identify factors
associated with the initiation and maintenance of waterpipe use in this
population. RESULTS: Out of 371 first-year health sciences students surveyed, 358
eight students completed a self-administered questionnaire in the classrooms
describing their use and perceptions towards waterpipe smoking. Two hundred and
ninety six responders met study inclusion criteria. Waterpipe smoking was common
among first-year health sciences university students, with 51% of students
indicating they were current waterpipe smokers. Women were smoking waterpipes
almost as frequently as men (48% versus 52%, respectively). The majority of
waterpipe smokers (75.5%) indicated that the fun and social aspect of waterpipe
use was the main motivating factor for them to continue smoking. Of waterpipe
smokers, 55.3% were occasional smokers, using waterpipes once a month or less,
while 44.7% were frequent smokers, using waterpipes more than once a month. A
large number of frequent waterpipe smokers perceived that waterpipe smoking was a
healthier way to use tobacco (40.6%) while only 20.6% thought it was addictive.
Compared to occasional smokers, significantly more frequent smokers reported
waterpipe smoking was relaxing (62.5% vs. 26.2%, p = 0.002), energizing (48.5%
vs. 11.4%, p = 0.001), a part of their culture (58.8% vs. 34.1%, p = 0.04), and
the healthiest way to use tobacco (40.6% vs. 11.1%, p = 0.005). CONCLUSIONS:
Social and recreational use of waterpipes is widespread among first-year health
sciences university students in Iran. Women and men were almost equally likely to
be current waterpipe users. Public health initiatives to combat the increasing
use of waterpipes among university students in Iran must consider the equal
gender distribution and its perception by many waterpipe smokers as being a
healthier and non-addictive way to use tobacco.
PMID- 22087839
TI - Extracellular signal-regulated kinases modulate DNA damage response - a
contributing factor to using MEK inhibitors in cancer therapy.
AB - The Raf-MEK-ERK pathway is commonly activated in human cancers, largely
attributable to the extracellular signal-regulated kinases (ERKs) being a common
downstream target of growth factor receptors, Ras, and Raf. Elevation of these up
stream signals occurs frequently in a variety of malignancies and ERK kinases
play critical roles in promoting cell proliferation. Therefore, inhibition of MEK
mediated ERK activation is very appealing in cancer therapy. Consequently,
numerous MEK inhibitors have been developed over the years. However, clinical
trials have yet to produce overwhelming support for using MEK inhibitors in
cancer therapy. Although complex reasons may have contributed to this outcome, an
alternative possibility is that the MEK-ERK pathway may not solely provide
proliferation signals to malignancies, the central scientific rationale in
developing MEK inhibitors for cancer therapy. Recent developments may support
this alternative possibility. Accumulating evidence now demonstrated that the MEK
ERK pathway contributes to the proper execution of cellular DNA damage response
(DDR), a major pathway of tumor suppression. During DDR, the MEK-ERK pathway is
commonly activated, which facilitates the proper activation of DDR checkpoints to
prevent cell division. Inhibition of MEK-mediated ERK activation, therefore,
compromises checkpoint activation. As a result, cells may continue to proliferate
in the presence of DNA lesions, leading to the accumulation of mutations and
thereby promoting tumorigenesis. Alternatively, reduction in checkpoint
activation may prevent efficient repair of DNA damages, which may cause apoptosis
or cell catastrophe, thereby enhancing chemotherapy's efficacy. This review
summarizes our current understanding of the participation of the ERK kinases in
DDR.
PMID- 22087841
TI - Disseminated intravascular coagulation or acute coagulopathy of trauma shock
early after trauma? An observational study.
AB - INTRODUCTION: It is debated whether early trauma-induced coagulopathy (TIC) in
severely injured patients reflects disseminated intravascular coagulation (DIC)
with a fibrinolytic phenotype, acute coagulopathy of trauma shock (ACoTS) or yet
other entities. This study investigated the prevalence of overt DIC and ACoTS in
trauma patients and characterized these conditions based on their biomarker
profiles. METHODS: An observational study was carried out at a single Level I
Trauma Center. Eighty adult trauma patients (>=18 years) who met criteria for
full trauma team activation and had an arterial cannula inserted were included.
Blood was sampled a median of 68 minutes (IQR 48 to 88) post-injury. Data on
demography, biochemistry, injury severity score (ISS) and mortality were
recorded. Plasma/serum was analyzed for biomarkers reflecting tissue/endothelial
cell/glycocalyx damage (histone-complexed DNA fragments, Annexin V,
thrombomodulin, syndecan-1), coagulation activation/inhibition
(prothrombinfragment 1+2, thrombin/antithrombin-complexes, antithrombin, protein
C, activated protein C, endothelial protein C receptor, protein S, tissue factor
pathway inhibitor, vWF), factor consumption (fibrinogen, FXIII), fibrinolysis (D
dimer, tissue-type plasminogen activator, plasminogen activator inhibitor-1) and
inflammation (interleukin (IL)-6, terminal complement complex (sC5b-9)).
Comparison of patients stratified according to the presence or absence of overt
DIC (International Society of Thrombosis and Hemostasis (ISTH) criteria) or ACoTS
(activated partial thromboplastin time (APTT) and/or international normalized
ratio (INR) above normal reference). RESULTS: No patients had overt DIC whereas
15% had ACoTS. ACoTS patients had higher ISS, transfusion requirements and
mortality (all P < 0.01) and a biomarker profile suggestive of enhanced tissue,
endothelial cell and glycocalyx damage and consumption coagulopathy with low
protein C, antithrombin, fibrinogen and FXIII levels, hyperfibrinolysis and
inflammation (all P < 0.05). Importantly, in non-ACoTS patients, apart from
APTT/INR, higher ISS correlated with biomarkers of enhanced tissue, endothelial
cell and glycocalyx damage, protein C activation, coagulation factor consumption,
hyperfibrinolysis and inflammation, that is, resembling that observed in patients
with ACoTS. CONCLUSIONS: ACoTS and non-ACoTS may represent a continuum of
coagulopathy reflecting a progressive early evolutionarily adapted hemostatic
response to the trauma hit and both are parts of TIC whereas DIC does not appear
to be part of this early response.
PMID- 22087842
TI - Effects of a robot-assisted training of grasp and pronation/supination in chronic
stroke: a pilot study.
AB - BACKGROUND: Rehabilitation of hand function is challenging, and only few studies
have investigated robot-assisted rehabilitation focusing on distal joints of the
upper limb. This paper investigates the feasibility of using the HapticKnob, a
table-top end-effector device, for robot-assisted rehabilitation of grasping and
forearm pronation/supination, two important functions for activities of daily
living involving the hand, and which are often impaired in chronic stroke
patients. It evaluates the effectiveness of this device for improving hand
function and the transfer of improvement to arm function. METHODS: A single group
of fifteen chronic stroke patients with impaired arm and hand functions (Fugl
Meyer motor assessment scale (FM) 10-45/66) participated in a 6-week 3-hours/week
rehabilitation program with the HapticKnob. Outcome measures consisted primarily
of the FM and Motricity Index (MI) and their respective subsections related to
distal and proximal arm function, and were assessed at the beginning, end of
treatment and in a 6-weeks follow-up. RESULTS: Thirteen subjects successfully
completed robot-assisted therapy, with significantly improved hand and arm motor
functions, demonstrated by an average 3.00 points increase on the FM and 4.55 on
the MI at the completion of the therapy (4.85 FM and 6.84 MI six weeks post
therapy). Improvements were observed both in distal and proximal components of
the clinical scales at the completion of the study (2.00 FM wrist/hand, 2.55 FM
shoulder/elbow, 2.23 MI hand and 4.23 MI shoulder/elbow). In addition,
improvements in hand function were observed, as measured by the Motor Assessment
Scale, grip force, and a decrease in arm muscle spasticity. These results were
confirmed by motion data collected by the robot. CONCLUSIONS: The results of this
study show the feasibility of this robot-assisted therapy with patients
presenting a large range of impairment levels. A significant homogeneous
improvement in both hand and arm function was observed, which was maintained 6
weeks after end of the therapy.
PMID- 22087844
TI - Deposition of aerosol particles in a model vitreous chamber.
AB - The purpose of this study was to assess quantitatively the aerosol deposition in
a model eye chamber to identify the mechanism(s) of deposition and delivery
efficiency for application in retinal disease treated with vitrectomy. Dry
aerosol particles were produced with mixtures of fluorescein and a variable
concentration of cesium chloride, which ranged in aerodynamic size from 0.6 to
1.3 um. The aerosol was injected through a small inlet tube into Teflon chambers
that had a vented, spherical cavity (diameter 3/4"). Two filling times of 60 s
and 90 s were used. Although significant loss occurred in the syringe, the mass
deposited within the chambers increased with aerosol concentration and ranged
from 0.5 to nearly 15 ug. Between 60 and 90% of the mass was deposited on the
lower surface of the chamber. The mechanism of deposition was consistent with
diffusion through a boundary layer during filling followed by sedimentation of
the remaining suspended aerosol particles. Based on these results, an aerosol
with a median particle size of 1.3 um was shown to provide a therapeutically
effective dose of 5-fluorouracil. The approach is general and can be applied to
the aerosol delivery of other drugs to the vitreous chamber.
PMID- 22087843
TI - Cell type-dependent gene regulation by Staufen2 in conjunction with Upf1.
AB - dendritic mRNA transport machines. Although Stau2 is thought to be involved in
the dendritic targeting of several mRNAs in neurons, the mechanism whereby Stau2
regulates these mRNAs is unknown. To elucidate the functions of Stau2, we
screened for novel binding partners by affinity purification of GST-tagged Stau2
from 293F cells. RESULTS: Three RNA helicases, RNA helicase A, Upf1 and Mov10,
were identified in Stau2-containing complexes. We focused our studies on Upf1, a
key player in nonsense-mediated mRNA decay. Stau2 was found to bind directly to
Upf1 in an RNA-independent manner in vitro. Tethering Stau2 to the 3'
untranslated region (UTR) of a reporter gene had little effect on its expression
in HeLa cells. In contrast, when the same tethering assay was performed in 293F
cells, we observed an increase in reporter protein levels. This upregulation of
protein expression by Stau2 turned out to be dependent on Upf1. Moreover, we
found that in 293F cells, Stau2 upregulates the reporter mRNA level in an Upf1
independent manner. CONCLUSIONS: These results indicate that the recruitment of
Stau2 alone or in combination with Upf1 differentially affects the fate of mRNAs.
Moreover, the results suggest that Stau2-mediated fate determination could be
executed in a cell type-specific manner.
PMID- 22087845
TI - Investigation of ABCB1 1236 and 2677 SNPs in patients with peptic ulcer.
AB - OBJECTIVE: P-gp, encoded by ABCB1 gene, is an ATP-binding membrane pump, which
exports substrates from the cell including drugs and xenobiotics. Changes in the
function of P-gp as a result of polymorphism could have an impact in some
diseases' risks and treatment outcomes. The aim of the study was to determine the
significance of the ABCB1 gene SNPs: 1236 and 2677 for peptic ulcer risk and
development of Helicobacter pylori infection in peptic ulcer patients. MATERIAL
AND METHODS: One hundred and ninety-five biopsy specimens obtained from peptic
ulcer patients (investigated group) were genotyped using sequencing for common
SNPs of ABCB1: 1236 and 2677. Genotyping data were compared with the results from
healthy subjects (control) and with the presence of H. pylori infection, which
was estimated by urease test. RESULTS: No statistically significant difference in
frequency of genotypes and alleles for the SNPs were found between the
investigated group and the control. However, in the peptic ulcer patients, mutant
TT homozygotes and those who carried at least one allele T for the polymorphisms
1236 and 2677 were observed more frequently than the control group. In the peptic
ulcer group, there were no significant dependences between the presence of H.
pylori infection and the investigated polymorphisms other than more frequent
occurrence of TT 1236 homozygous in the group of infected women (p = 0.0298).
CONCLUSIONS: The TT genotype and the mutated allele T for the polymorphisms 1236
and 2677 could increase peptic ulcer risk. ABCB1 1236 polymorphism may also be
associated with an increased likelihood of H. pylori infection development,
especially in women.
PMID- 22087846
TI - Exercise metabolism during moderate-intensity exercise in children with cystic
fibrosis following heavy-intensity exercise.
AB - Muscle metabolism is increased following exercise in healthy individuals,
affecting exercise metabolism during subsequent physical work. We hypothesized
that following heavy-intensity exercise (HIE), disease factors in children with
cystic fibrosis (CF) would further exacerbate exercise metabolism and perceived
exertion during subsequent exercise. Nineteen children with CF (age, 13.4 +/- 3.1
years; 10 female) and 19 healthy controls (age, 13.8 +/- 3.5 years; 10 female)
performed 10 bouts of HIE interspersed with 1 min of recovery between each bout.
Three minutes later participants completed a 10-min moderate-intensity exercise
(MIE) test (test 1). The MIE test was subsequently repeated 1 h (test 2) and 24 h
(test 3) later. Each MIE test was identical and participants exercised at
individualized work rates, calibrated by an initial graded maximal
cardiopulmonary exercise test, while metabolic and perceived exertion
measurements were taken. Following HIE, mixed-model ANOVAs showed a significant
difference in oxygen uptake (VO2) and rating of perceived exertion (RPE) between
the 2 groups across the MIE tests (p < 0.01). In controls, VO2 (L.min-1) and RPE
decreased significantly from test 1 to test 2 (p < 0.01) and test 2 to test 3 (p
< 0.05). However, in children with CF, VO2 (L.min-1) increased significantly from
test 1 to test 2 (p < 0.01), while RPE did not differ, both VO2 and RPE decreased
significantly from test 2 to test 3 (p < 0.01). In conclusion, following HIE the
metabolic and perceptual responses to MIE in both groups decreased 24 h later
during test 3. These data show that children with mild-to-moderate CF have the
capability to perform HIE and 24 h allows sufficient time for recovery.
PMID- 22087848
TI - Psychomotor deficits associated with hyponatremia: a retrospective analysis.
AB - Hyponatremia (serum sodium concentration [Na+] < 136 mEq/L) is a potentially life
threatening condition. Recent evidence (Renneboog, Musch, Vandemergel, Manto, &
Decaux, 2006) shows that even mild hyponatremia is associated with disorders of
balance/gait. This retrospective analysis explored the influence of serum [Na+]
on neuropsychological (NP) measurements at baseline from 44 patients with chronic
hyponatremia who participated in an efficacy and safety study of an experimental
compound over a decade ago. Group mean serum [Na+] was 124.8 +/- 4.9 mEq/L. Age
adjusted partial correlations were computed between serum [Na+] and NP
measurements, 39% of which were statistically significant--all involving
psychomotor functioning. These findings replicate and extend previous
observations that psychomotor deficits are, at least in part, associated with
hyponatremia in these patients. While chronic hyponatremia is known to have
deleterious effects on quality of life, motor and gait disturbances represent
manifestations of mild hyponatremia that have until now gone unrecognized. A new
class of medication, vasopressin antagonists, has been shown to correct
hyponatremia. It will be important to explore the effects of correcting
hyponatremia on psychomotor functioning in individuals with hyponatremia.
PMID- 22087847
TI - beta-PIX is critical for transplanted mesenchymal stromal cell migration.
AB - Bone marrow-derived mesenchymal stromal cells (MSCs) have been used successfully
as a source of stem cells for treating neurodegenerative diseases. However, for
reasons that are not clear, autologous MSC transplants have not yielded
successful results in human trials. To test one possible reason, we compared the
migratory ability of MSCs from amyotrophic lateral sclerosis (ALS) patients with
those of healthy controls. We found that MSCs derived from ALS patients (ALS
MSCs) had a reduced ability to migrate, which may explain why autologous
transplantation is not successful. We also found that expression of one of the
intracellular factors implicated in migration, beta-PIX, was significantly
reduced in ALS-MSCs compared with healthy stem cells. Restoration of beta-PIX
expression by genetic manipulation restored the migratory ability of ALS-MSCs,
and inhibition of beta-PIX expression with shRNA reduced the migration of healthy
MSCs. We suggest that transplantation of allogeneic or genetically modified
autologous stem cells might be a more promising strategy for ALS patients than
transplantation of autologous stem cells.
PMID- 22087849
TI - Integrated microdevice for long-term automated perfusion culture without shear
stress and real-time electrochemical monitoring of cells.
AB - Electrochemical techniques based on ultramicroelectrodes (UMEs) play a
significant role in real-time monitoring of chemical messengers' release from
single cells. Conversely, precise monitoring of cells in vitro strongly depends
on the adequate construction of cellular physiological microenvironment. In this
paper, we developed a multilayer microdevice which integrated high aspect ratio
poly(dimethylsiloxane) (PDMS) microfluidic device for long-term automated
perfusion culture of cells without shear stress and an independently addressable
microelectrodes array (IAMEA) for electrochemical monitoring of the cultured
cells in real time. Novel design using high aspect ratio between circular "moat"
and ring-shaped micropillar array surrounding cell culture chamber combined with
automated "circular-centre" and "bottom-up" perfusion model successfully provided
continuous fresh medium and a stable and uniform microenvironment for cells. Two
weeks automated culture of human umbilical endothelial cell line (ECV304) and
neuronal differentiation of rat pheochromocytoma (PC12) cells have been realized
using this device. Furthermore, the quantal release of dopamine from individual
PC12 cells during their culture or propagation process was amperometrically
monitored in real time. The multifunctional microdevice developed in this paper
integrated cellular microenvironment construction and real-time monitoring of
cells during their physiological process, and would possibly provide a versatile
platform for cell-based biomedical analysis.
PMID- 22087850
TI - Implementation of patient education at first and second dispensing of statins in
Dutch community pharmacies: the sequel of a cluster randomized trial.
AB - BACKGROUND: As a result of the previous part of this trial, many patients with
cardiovascular disease were expected to receive a statin for the first time. In
order to provide these patients with comprehensive information on statins, as
recommended by professional guidance, education at first and second dispensing of
statins had to be implemented. This study was designed to assess the
effectiveness of an intensive implementation program targeted at pharmacy project
assistants on the frequency of providing education at first dispensing (EAFD) and
education at second dispensing (EASD) of statins in community pharmacies.
METHODS: The participating community pharmacies were clustered on the basis of
local collaboration, were numbered by a research assistant and subsequently an
independent statistician performed a block randomization, in which the cluster
size (number of pharmacies in each cluster) was balanced. The pharmacies in the
control group received a written manual on the implementation of EAFD and EASD;
the pharmacies in the intervention group received intensive support for the
implementation. The impact of the intensive implementation program on the
implementation process and on the primary outcomes was examined in a random
coefficient logistic regression model, which took into account that patients were
grouped within pharmacy clusters. RESULTS: Of the 37 pharmacies in the
intervention group, 17 pharmacies (50%) provided EAFD and 12 pharmacies (35.3%)
provided EASD compared to 14 pharmacies (45.2%, P = 0.715) and 12 pharmacies
(38.7%, P = 0.899), respectively, of the 34 pharmacies in the control group. In
the intervention group a total of 72 of 469 new statin users (15.4%) received
education and 49 of 393 patients with a second statin prescription (12.5%)
compared to 78 of 402 new users (19.4%, P = 0.944) and 35 of 342 patients with a
second prescription (10.2%, P = 0.579) in the control group. CONCLUSION: The
intensive implementation program did not increase the frequency of providing EAFD
and EASD of statins in community pharmacies. TRIAL REGISTRATION:
clinicaltrials.gov NCT00509717.
PMID- 22087851
TI - Effects of auxins on sorgoleone accumulation and genes for sorgoleone
biosynthesis in sorghum roots.
AB - Sorgoleone is a major component of the hydrophobic root exudate of Sorghum
bicolor and is of particular interest to plant chemical ecology as well as
agriculture. Sorgoleone was evaluated in this study to observe the expression
levels of genes involved in its biosynthesis in response to auxins. Sorgoleone
content varied widely according to the duration of application and the
concentrations of the auxins. When the application time was increased, the
sorgoleone content increased accordingly for all concentrations of IBA (1, 3, and
5 mg/L) and at 1 mg/L for both IAA and NAA. In this study, five different
sorgoleone biosynthetic genes were observed, namely DES2, DES3, ARS1, ARS2, and
OMT3, which are upregulated in response to IAA, IBA, and NAA. Transcript
accumulation was apparent for all genes, but particularly for DES2, which
increased up to 475-fold and 180-fold following 72 h exposure to NAA and IBA,
respectively, compared to no treatment.
PMID- 22087856
TI - Photocatalytic reduction of carbon dioxide over Ag cocatalyst-loaded ALa4Ti4O15
(A = Ca, Sr, and Ba) using water as a reducing reagent.
AB - Ag cocatalyst-loaded ALa(4)Ti(4)O(15) (A = Ca, Sr, and Ba) photocatalysts with
3.79-3.85 eV of band gaps and layered perovskite structures showed activities for
CO(2) reduction to form CO and HCOOH by bubbling CO(2) gas into the aqueous
suspension of the photocatalyst powder without any sacrificial reagents. Ag
cocatalyst-loaded BaLa(4)Ti(4)O(15) was the most active photocatalyst. A liquid
phase chemical reduction method was better than impregnation and in situ
photodeposition methods for the loading of the Ag cocatalyst. The Ag cocatalyst
prepared by the liquid-phase chemical reduction method was loaded as fine
particles with the size smaller than 10 nm on the edge of the BaLa(4)Ti(4)O(15)
photocatalyst powder with a plate shape during the CO(2) reduction. CO was the
main reduction product rather than H(2) even in an aqueous medium on the
optimized Ag/BaLa(4)Ti(4)O(15) photocatalyst. Evolution of O(2) in a
stoichiometric ratio (H(2)+CO:O(2) = 2:1 in a molar ratio) indicated that water
was consumed as a reducing reagent (an electron donor) for the CO(2) reduction.
Thus, an uphill reaction of CO(2) reduction accompanied with water oxidation was
achieved using the Ag/BaLa(4)Ti(4)O(15) photocatalyst.
PMID- 22087857
TI - The influence of topical prostaglandin analogues in inflammation after selective
laser trabeculoplasty treatment.
AB - PURPOSE: Reducing intraocular pressure (IOP) seems to be the only treatment that
slows progression in glaucoma. The IOP can be decreased by pharmaceutical
treatment, laser [selective laser trabeculoplasty (SLT)] treatment, or surgery.
Prostaglandin analogues have been postulated to share action mechanisms with SLT
and to possibly diminish the effects of SLT treatment. The aim of the current
study was to investigate the effects of prostaglandin analogues in inflammation
and IOP reduction after SLT treatment. METHODS: Prospective nonrandomized study.
One hundred and eighteen patients were included in the study. INCLUSION CRITERIA:
Glaucoma (open-angle or pseudoexfoliation glaucoma) patients who will be treated
with SLT. Inflammation was measured with a laser flare meter (Kowa FM-500).
Measurements were made before SLT and then 2 h, 1 week, and 1 month after SLT
treatment. IOP was also checked at the same time intervals. The SLT treatment was
performed over 90 degrees . All patients were divided into two groups: those
receiving prostaglandins analogues and those treated with nonprostaglandin
analogues. RESULTS: Inflammation before and after SLT showed no significant
difference between the groups at all the time intervals studied (t-test, before:
P=0.16; 2 h: P=0.14; 1 week: P=0.12; and 1 month: P=0.36). IOP reduction showed
no significant difference between the groups (t-test, P=0.31). CONCLUSIONS: SLT
treatment effects do not seem to be influenced by the use of prostaglandin
analogues.
PMID- 22087858
TI - Upregulation of low-density lipoprotein receptor after exposure to transforming
growth factor-beta2 in tenon's capsule fibroblasts from patients with glaucoma.
AB - PURPOSE: The aim of this study was to investigate the dynamic alteration of a low
density lipoprotein receptor (LDLr) and its binding and uptake of LDL after
exposure to transforming growth factor-beta(2) (TGF-beta(2)) in Tenon's capsule
fibroblasts from glaucoma patients. METHODS: Tenon's capsule fibroblasts obtained
from patients who had undergone selective glaucoma surgery were cultured and
stimulated with different concentrations (0.1-10 ng/mL) of TGF-beta(2) for 1, 3,
5, and 7 days. Expression of LDLr mRNA was detected with relative quantification
real-time reverse transcription-polymerase chain reaction, and expression of the
corresponding protein was demonstrated with western blot. The extent of binding
and uptake of 1,1'-dioctadecyl-3,3,3',3'-tetramethyl-indocarbocyanine perchlorate
(DiI)-labeled LDL by GTFs was also checked. RESULTS: After exposure to TGF
beta(2), LDLr was upregulated at the mRNA and protein levels in a concentration
dependent and/or time-dependent manner with a peak at a concentration of 5 ng/mL
TGF-beta(2). Binding and uptake of LDL were time dependent, reaching saturation
at the first 6 h. CONCLUSIONS: This study shows that LDLr has a dose- and/or time
effect relationship with TGF-beta(2) in Tenon's capsule fibroblasts from glaucoma
patients. The results suggest that LDLr may play an important role in wound
healing and scar formation in the activated Tenon's capsule fibroblasts from
patients with glaucoma.
PMID- 22087859
TI - Effect of the Cannabinoid Receptor-1 antagonist SR141716A on human adipocyte
inflammatory profile and differentiation.
AB - BACKGROUND: Obesity is characterized by inflammation, caused by increase in
proinflammatory cytokines, a key factor for the development of insulin
resistance. SR141716A, a cannabinoid receptor 1 (CB1) antagonist, shows
significant improvement in clinical status of obese/diabetic patients. Therefore,
we studied the effect of SR141716A on human adipocyte inflammatory profile and
differentiation. METHODS: Adipocytes were obtained from liposuction. Stromal
vascular cells were extracted and differentiated into adipocytes. Media and cells
were collected for secretory (ELISA) and expression analysis (qPCR). Triglyceride
accumulation was observed using oil red-O staining. Cholesterol was assayed by a
fluorometric method. 2-AG and anandamide were quantified using isotope dilution
LC-MS. TLR-binding experiments have been conducted in HEK-Blue cells. RESULTS: In
LPS-treated mature adipocytes, SR141716A was able to decrease the expression and
secretion of TNF-a. This molecule has the same effect in LPS-induced IL-6
secretion, while IL-6 expression is not changed. Concerning MCP-1, the basal
level is down-regulated by SR141716A, but not the LPS-induced level. This effect
is not caused by a binding of the molecule to TLR4 (LPS receptor). Moreover,
SR141716A restored adiponectin secretion to normal levels after LPS treatment.
Lastly, no effect of SR141716A was detected on human pre-adipocyte
differentiation, although the compound enhanced adiponectin gene expression, but
not secretion, in differentiated pre-adipocytes. CONCLUSION: We show for the
first time that some clinical effects of SR141716A are probably directly related
to its anti-inflammatory effect on mature adipocytes. This fact reinforces that
adipose tissue is an important target in the development of tools to treat the
metabolic syndrome.
PMID- 22087860
TI - Comparison of lichen, conifer needles, passive air sampling devices, and snowpack
as passive sampling media to measure semi-volatile organic compounds in remote
atmospheres.
AB - A wide range of semivolatile organic compounds (SOCs), including pesticides and
polycyclic aromatic hydrocarbons (PAHs), were measured in lichen, conifer
needles, snowpack and XAD-based passive air sampling devices (PASDs) collected
from 19 different U.S. national parks in order to compare the magnitude and
mechanism of SOC accumulation in the different passive sampling media. Lichen
accumulated the highest SOC concentrations, in part because of its long (and
unknown) exposure period, whereas PASDs accumulated the lowest concentrations.
However, only the PASD SOC concentrations can be used to calculate an average
atmospheric gas-phase SOC concentration because the sampling rates are known and
the media is uniform. Only the lichen and snowpack SOC accumulation profiles were
statistically significantly correlated (r = 0.552, p-value <0.0001) because they
both accumulate SOCs present in the atmospheric particle-phase. This suggests
that needles and PASDs represent a different composition of the atmosphere than
lichen and snowpack and that the interpretation of atmospheric SOC composition is
dependent on the type of passive sampling media used. All four passive sampling
media preferentially accumulated SOCs with relatively low air-water partition
coefficients, while snowpack accumulated SOCs with higher log K(OA) values
compared to the other media. Lichen accumulated more SOCs with log K(OA) > 10
relative to needles and showed a greater accumulation of particle-phase PAHs.
PMID- 22087861
TI - Ultrasound-responsive thrombus treatment with zinc-stabilized gelatin nano
complexes of tissue-type plasminogen activator.
AB - This study is undertaken to design zinc-stabilized gelatin nano-complexes of
tissue-type plasminogen activator (t-PA) for thrombolytic therapy where the t-PA
activity can be recovered in the blood circulation upon ultrasound irradiation.
Various molecular weights of gelatin were complexed with t-PA by their simply
mixing in aqueous solution. Then, zinc acetate, calcium acetate or magnesium
acetate was added to form nano-sized gelatin-t-PA complexes. The complexes had
the apparent molecular size of about 100 nm. When zinc ions were added to the
gelatin-t-PA complexes, the t-PA activity was suppressed most strongly to 57% of
the original, free t-PA activity. Upon ultrasound exposure in vitro, the t-PA
activity was fully recovered. A cell culture experiment with L929 fibroblasts
demonstrated no cytotoxicity of complexes at the concentration used for the in
vivo experiment. The half-life of t-PA in the blood circulation prolonged by the
complexation with gelatin and zinc ions. The zinc-stabilized t-PA-gelatin complex
is a promising t-PA delivery system which can manipulate the thrombolytic
activity by the local ultrasound irradiation.
PMID- 22087863
TI - Maximum a posteriori Bayesian estimation of mycophenolic Acid area under the
concentration-time curve: is this clinically useful for dosage prediction yet?
AB - This review seeks to summarize the available data about Bayesian estimation of
area under the plasma concentration-time curve (AUC) and dosage prediction for
mycophenolic acid (MPA) and evaluate whether sufficient evidence is available for
routine use of Bayesian dosage prediction in clinical practice. A literature
search identified 14 studies that assessed the predictive performance of maximum
a posteriori Bayesian estimation of MPA AUC and one report that retrospectively
evaluated how closely dosage recommendations based on Bayesian forecasting
achieved targeted MPA exposure. Studies to date have mostly been undertaken in
renal transplant recipients, with limited investigation in patients treated with
MPA for autoimmune disease or haematopoietic stem cell transplantation. All of
these studies have involved use of the mycophenolate mofetil (MMF) formulation of
MPA, rather than the enteric-coated mycophenolate sodium (EC-MPS) formulation.
Bias associated with estimation of MPA AUC using Bayesian forecasting was
generally less than 10%. However some difficulties with imprecision was evident,
with values ranging from 4% to 34% (based on estimation involving two or more
concentration measurements). Evaluation of whether MPA dosing decisions based on
Bayesian forecasting (by the free website service https://pharmaco.chu
limoges.fr) achieved target drug exposure has only been undertaken once. When MMF
dosage recommendations were applied by clinicians, a higher proportion (72-80%)
of subsequent estimated MPA AUC values were within the 30-60 mg . h/L target
range, compared with when dosage recommendations were not followed (only 39-57%
within target range). Such findings provide evidence that Bayesian dosage
prediction is clinically useful for achieving target MPA AUC. This study,
however, was retrospective and focussed only on adult renal transplant
recipients. Furthermore, in this study, Bayesian-generated AUC estimations and
dosage predictions were not compared with a later full measured AUC but rather
with a further AUC estimate based on a second Bayesian analysis. This study also
provided some evidence that a useful monitoring schedule for MPA AUC following
adult renal transplant would be every 2 weeks during the first month post
transplant, every 1-3 months between months 1 and 12, and each year thereafter.
It will be interesting to see further validations in different patient groups
using the free website service. In summary, the predictive performance of
Bayesian estimation of MPA, comparing estimated with measured AUC values, has
been reported in several studies. However, the next step of predicting dosages
based on these Bayesian-estimated AUCs, and prospectively determining how closely
these predicted dosages give drug exposure matching targeted AUCs, remains
largely unaddressed. Further prospective studies are required, particularly in
non-renal transplant patients and with the EC-MPS formulation. Other important
questions remain to be answered, such as: do Bayesian forecasting methods devised
to date use the best population pharmacokinetic models or most accurate
algorithms; are the methods simple to use for routine clinical practice; do the
algorithms actually improve dosage estimations beyond empirical recommendations
in all groups that receive MPA therapy; and, importantly, do the dosage
predictions, when followed, improve patient health outcomes?
PMID- 22087864
TI - Kinetic nomograms assist individualization of drug regimens.
AB - BACKGROUND AND OBJECTIVES: Therapeutic drug monitoring is applied to a range of
drugs. To predict an appropriate dosing regimen, models based on Bayesian
techniques have been used. However, this approach requires a well trained
professional and sophisticated software. The objectives of this study were first
to develop kinetic nomograms as a useful tool to achieve individual drug blood
concentrations within the therapeutic window, using few samples and in a short
period of time; and second to evaluate the performance of these nomograms in
dosage adjustment and compare them with the Bayesian procedure by use of
simulation. METHODS: Kinetic nomograms involve collection of concentration-time
profiles following repeated administrations of a fixed identification protocol
and targeting of a steady-state concentration. The profiles divide the
concentration-time space into several areas, each of them corresponding to a
given adjusted drug dose. Kinetic nomograms are grounded on the statistical
description of the interindividual variability provided by population
pharmacokinetic approaches. To use them, the assayed drug concentration in a
blood sample is first located in the kinetic nomogram and then the dose
corresponding to the area containing this location is read. Evaluation of
performance and comparison with the traditional Bayesian procedure were done by a
simulation study using the immunosuppressant drug sirolimus (rapamycin). All
calculations were performed by use of Matlab software. RESULTS: The simulation
study confirmed the need for individual dosage adjustment; 71.6% of individuals
underwent modification of the identification protocol of 1 mg twice daily in
order to reach steady-state trough concentrations of 8 ng/mL. When the regimens
were adjusted by kinetic nomograms and the Bayesian procedure, the steady-state
trough concentrations of sirolimus showed low variability (coefficients of
variation [CVs] of 23.4% and 24.0%, respectively) as compared with those obtained
by standard recommended protocols of 4 mg once daily (CV 68.6%). The doses
adjusted by kinetic nomograms and the Bayesian procedure were linearly linked and
highly correlated (r = 0.96), and both provided simultaneous control of minimum
and maximum drug concentrations (63.9% and 68.7% of cases between 6 and 20 ng/mL,
respectively). CONCLUSION: Kinetic nomograms allow rapid and reliable dosage
adjustment after the start of drug therapy. They are interesting alternatives to
the cumbersome Bayesian procedure, and they provide dosage adjustment even for
drugs that exhibit large intraindividual variability. In the clinical context,
kinetic nomograms render individual dosage adjustment a simplified bedside
application, and they could assist population studies aiming at dose
individualization.
PMID- 22087865
TI - Effect of cytochrome P450 3A4 inducers on the pharmacokinetic, pharmacodynamic
and safety profiles of bortezomib in patients with multiple myeloma or non
Hodgkin's lymphoma.
AB - BACKGROUND AND OBJECTIVE: Bortezomib, an antineoplastic agent with proteasome
inhibitory activity, is extensively metabolized by the hepatic microsomal
cytochrome P450 (CYP) enzymes CYP3A4 and CYP2C19. Drugs that affect these enzymes
may therefore have an impact on the pharmacological profile of bortezomib. This
study evaluated the effects of co-administration of a potent CYP3A4 inducer
(rifampicin [rifampin]) and a weak CYP3A4 inducer (dexamethasone) on the
pharmacokinetic, pharmacodynamic and safety profiles of bortezomib. PATIENTS AND
METHODS: Patients aged >=18 years with relapsed or refractory multiple myeloma or
non-Hodgkin's lymphoma received intravenous bortezomib 1.3 mg/m2, administered on
days 1, 4, 8 and 11 of a 21-day cycle, for 3 cycles. In stage 1, patients were
randomized (1 : 1) to receive bortezomib alone or in combination with oral
rifampicin 600 mg once daily on days 4-10 during cycle 3 only. If the mean area
under the plasma concentration-time curve (AUC) of bortezomib was reduced by
>=30% during rifampicin co-administration, then stage 2 was initiated, in which
patients received bortezomib with dexamethasone 40 mg once daily on days 1-4 and
days 9-12 during cycle 3 only. Blood samples were collected on days 11 through 14
of cycles 2 and 3 before and after bortezomib administration, at prespecified
time points, for pharmacokinetic and pharmacodynamic (proteasome inhibition)
assessments. RESULTS: Twelve patients in the bortezomib-alone arm, six patients
in the bortezomib plus rifampicin arm and seven patients in the bortezomib plus
dexamethasone arm were included in the pharmacokinetics-evaluable set. Rifampicin
reduced the mean AUC from 0 to 72 hours (AUC(72h)) of bortezomib by approximately
45% (223 ng . h/mL in cycle 2 vs 123 ng . h/mL in cycle 3), while dexamethasone
had no effect (mean AUC(72h): 179 ng . h/mL in cycle 2 vs 170 ng . h/mL in cycle
3). Proteasome inhibition parameters in peripheral blood were unaffected by
rifampicin or dexamethasone. Safety profiles were similar across the treatment
arms and consistent with previous experience of bortezomib. CONCLUSIONS: In
patients with multiple myeloma or non-Hodgkin's lymphoma, co-administration of
rifampicin decreased the exposure to bortezomib but did not affect the proteasome
inhibition or safety profiles; co-administration of dexamethasone did not affect
the exposure to bortezomib, proteasome inhibition or safety profiles. Concomitant
administration of bortezomib with strong CYP3A4 inducers such as rifampicin is
not recommended, as it may result in a reduction of the clinical effect, whereas
concomitant administration of weak CYP3A4 inducers such as dexamethasone does not
affect the pharmacological profile of bortezomib.
PMID- 22087866
TI - Population pharmacokinetic meta-analysis of denosumab in healthy subjects and
postmenopausal women with osteopenia or osteoporosis.
AB - BACKGROUND AND OBJECTIVE: Inhibition of the receptor activator of nuclear factor
kappa-B ligand (RANKL) is a therapeutic target for treatment of bone disorders
associated with increased bone resorption, such as osteoporosis. The objective of
this analysis was to characterize the population pharmacokinetics of denosumab
(AMG 162; Prolia(r)), a fully human IgG2 monoclonal antibody that binds to RANKL,
in healthy subjects and postmenopausal women with osteopenia or osteoporosis.
METHODS: A total of 22944 serum free denosumab concentrations from 495 healthy
subjects and 1069 postmenopausal women with osteopenia or osteoporosis were
pooled. Denosumab was administered as either a single intravenous dose (n = 36),
a single subcutaneous dose (n = 469) or multiple subcutaneous doses (n = 1059),
ranging from 0.01 to 3 mg/kg (or 6-210 mg as fixed mass dosages), every 3 or 6
months for up to 48 months. An open, two-compartment pharmacokinetic model with a
quasi-steady-state approximation of the target-mediated drug disposition model
was used to describe denosumab pharmacokinetics, using NONMEM Version 7.1.0
software. Subcutaneous absorption was characterized by the first-order absorption
rate constant (k(a)), with constant absolute bioavailability over the range of
doses that were evaluated. Clearance and volume of distribution parameters were
scaled by body weight, using a power model. Model evaluation was performed
through visual predictive checks. RESULTS: The subcutaneous bioavailability of
denosumab was 64%, and the k(a) was 0.00883 h-1. The central volume of
distribution and linear clearance were 2.49 L/66 kg and 3.06 mL/h/66 kg,
respectively. The baseline RANKL level, quasi-steady-state constant and RANKL
degradation rate were 614 ng/mL, 138 ng/mL and 0.00148 h-1, respectively. Between
subject variability in model parameters was moderate. A fixed dose of 60 mg
provided RANKL inhibition similar to that achieved by equivalent body weight
based dosing. The effects of age and race on the area under the serum
concentration-time curve of denosumab were less than 15% over the range of
covariate values that were evaluated. CONCLUSIONS: The non-linearity in denosumab
pharmacokinetics is probably due to RANKL binding, and denosumab dose adjustment
based on the patient demographics is not warranted.
PMID- 22087868
TI - Population pharmacokinetic/pharmacodynamic analyses as the basis for dosing of
therapeutic monoclonal antibodies.
PMID- 22087867
TI - Application of a systems approach to the bottom-up assessment of pharmacokinetics
in obese patients: expected variations in clearance.
AB - BACKGROUND AND OBJECTIVES: The maintenance dose of a drug is dependent on drug
clearance, and thus any biochemical and physiological changes in obesity that
affect parameters such as cardiac output, renal function, expression of drug
metabolizing enzymes and protein binding may result in altered clearance compared
with that observed in normal-weight subjects (corrected or uncorrected for body
weight). Because of the increasing worldwide incidence of obesity, there is a
need for more information regarding the optimal dosing of drug therapy to be made
available to prescribers. This is usually provided via clinical studies in obese
people; however, such studies are not available for all drugs that might be used
in obese subjects. Incorporation of the relevant physiological and biochemical
changes into predictive bottom-up pharmacokinetic models in order to optimize
dosage regimens may offer a logical way forward for the cases where no clinical
data exist. The aims of the current report are to apply such a 'systems approach'
to identify the likelihood of observing variations in the clearance of drugs in
obesity and morbid obesity for a set of compounds for which clinical data, as
well as the necessary in vitro information, are available, and to provide a
framework for assessing other drugs in the future. METHODS: The population
specific changes in demographic, physiological and biochemical parameters that
are known to be relevant to obese and morbidly obese subjects were collated and
incorporated into two separate population libraries. These libraries, together
with mechanistic in vitro-in vivo extrapolations (IVIVE) within the Simcyp
Population-based SimulatorTM, were used to predict the clearance of oral
alprazolam, oral caffeine, oral chlorzoxazone, oral ciclosporin, intravenous and
oral midazolam, intravenous phenytoin, oral theophylline and oral triazolam. The
design of the simulated studies was matched as closely as possible with that of
the clinical studies. Outcome was measured by the predicted ratio of the
clearance of the drug in obese and lean subjects +/- its 90% confidence interval,
compared with observed values. The overall statistical measures of the
performance of the model to detect differences in compound clearance between
obese and lean populations were investigated by measuring sensitivity,
specificity, positive predictive value (PPV) and negative predictive value (NPV).
A power calculation was carried out to investigate the impact of the sample size
on the overall outcome of clinical studies. RESULTS: The model was successful in
predicting clearance in obese subjects, with the degree to which simulations
could mimic the outcome of in vivo studies being greater than 60% for six of the
eight drugs. A clear difference in the clearance of chlorzoxazone was correctly
picked up via simulation. The overall statistical measures of the performance of
the Simcyp Simulator were 100% sensitivity, 66% specificity, 60% PPV and 100%
NPV. Studies designed on the basis of the ratio of the absolute values required
substantial numbers of participants in order to detect a significant difference,
except for phenytoin and chlorzoxazone, where the ratios of the weight-normalized
clearances generally showed statistically significant differences with a smaller
number of subjects. CONCLUSION: Extension of a mechanistic predictive
pharmacokinetic model to accommodate physiological and biochemical changes
associated with obesity and morbid obesity allowed prediction of changes in drug
clearance on the basis of in vitro data, with reasonable accuracy across a range
of compounds that are metabolized by different enzymes. Prediction of the effects
of obesity on drug clearance, normalized by various body size scalars, is of
potential value in the design of clinical studies during drug development and in
the introduction of dosage adjustments that are likely to be needed in clinical
practice.
PMID- 22087869
TI - Obesity and its impact on drug therapy: are we ready for this change?
PMID- 22087871
TI - Histological analysis of surgical lumbar intervertebral disc tissue provides
evidence for an association between disc degeneration and increased body mass
index.
AB - BACKGROUND: Although histopathological grading systems for disc degeneration are
frequently used in research, they are not yet integrated into daily care routine
pathology of surgical samples. Therefore, data on histopathological changes in
surgically excised disc material and their correlation to clinical parameters
such as age, gender or body mass index (BMI) is limited to date. The current
study was designed to correlate major physico-clinical parameters from a
population of orthopaedic spine center patients (gender, age and BMI) with a
quantitative histologic degeneration score (HDS). METHODS: Excised lumbar disc
material from 854 patients (529 men/325 women/mean age 56 (15-96) yrs.) was
graded based on a previously validated histologic degeneration score (HDS) in a
cohort of surgical disc samples that had been obtained for the treatment of
either disc herniation or discogenic back pain. Cases with obvious inflammation,
tumor formation or congenital disc pathology were excluded. The degree of
histological changes was correlated with sex, age and BMI. RESULTS: The HDS (0-15
points) showed significantly higher values in the nucleus pulposus (NP) than in
the annulus fibrosus (AF) (Mean: NP 11.45/AF 7.87), with a significantly higher
frequency of histomorphological alterations in men in comparison to women.
Furthermore, the HDS revealed a positive significant correlation between the BMI
and the extent of histological changes. No statistical age relation of the
degenerative lesions was seen. CONCLUSIONS: This study demonstrated that
histological disc alterations in surgical specimens can be graded in a reliable
manner based on a quantitative histologic degeneration score (HDS). Increased BMI
was identified as a positive risk factor for the development of symptomatic,
clinically significant disc degeneration.
PMID- 22087872
TI - Pathogenesis and phylogenetic analyses of canine distemper virus strain ZJ7
isolate from domestic dogs in China.
AB - A new isolate of canine distemper virus (CDV), named ZJ7, was isolated from lung
tissues of a dog suspected with CDV infection using MDCK cells. The ZJ7 isolate
induced cytopathogenic effects of syncytia in MDCK cell after six passages. In
order to evaluate pathogenesis of ZJ7 strain, three CDV sero-negative dogs were
intranasally inoculated with its virus suspension. All infected dogs developed
clinical signs of severe bloody diarrhea, conjunctivitis, ocular discharge, nasal
discharge and coughing, fever and weight loss at 21 dpi, whereas the mock group
infected with DMEM were normal. The results demonstrated that CDV-ZJ7 strain
isolated by MDCK cell was virulent, and the nucleotide and amino acid sequences
of strain ZJ7 had no change after isolation by MDCK cell when compared with the
original virus from the fresh tissues. Molecular and phylogenetic analyses for
the nucleocapsid (N), phosphoprotein (P) and receptor binding haemagglutinin (H)
gene of the ZJ7 isolate clearly showed it is joins to the Asia 1 group cluster of
CDV strains, the predominant genotype in China.
PMID- 22087874
TI - Biopharmaceutical evaluation of diclofenac sodium controlled release tablets
prepared from gum karaya--chitosan polyelectrolyte complexes.
AB - The phenomena of polymer interactions and formation of polyelectrolyte complexes
(PECs) of oppositely charged polymers have been the focus of interest in
fundamental and applied research. Such PECs may possess unique properties that
are different from those of individual polymers. In the present study, attempts
were made to prepare PECs of negative colloid gum karaya (GK) and positively
charged polysaccharide chitosan (CH). The association and factors affecting the
interactions between GK and CH were studied by pH and conductivity studies. The
dried complexes were characterized by X-ray diffraction, Fourier transformed
Infrared (FTIR) Spectroscopy, Scanning Electron Microscopy. The PECs were
utilized for encapsulation of diclofenac sodium. Selected polyelectrolyte
microparticles were compressed into tablets and were compared with commercial
sustained release product Voveran SR(r). Positive results of the study indicated
the applicability of PECs in the design of oral controlled release drug delivery
systems.
PMID- 22087873
TI - Functional coupling analysis suggests link between the obesity gene FTO and the
BDNF-NTRK2 signaling pathway.
AB - BACKGROUND: The Fat mass and obesity gene (FTO) has been identified through
genome wide association studies as an important genetic factor contributing to a
higher body mass index (BMI). However, the molecular context in which this effect
is mediated has yet to be determined. We investigated the potential molecular
network for FTO by analyzing co-expression and protein-protein interaction
databases, Coxpresdb and IntAct, as well as the functional coupling predicting
multi-source database, FunCoup. Hypothalamic expression of FTO-linked genes
defined with this bioinformatics approach was subsequently studied using
quantitative real time-PCR in mouse feeding models known to affect FTO
expression. RESULTS: We identified several candidate genes for functional
coupling to FTO through database studies and selected nine for further study in
animal models. We observed hypothalamic expression of Profilin 2 (Pfn2), cAMP
dependent protein kinase catalytic subunit beta (Prkacb), Brain derived
neurotrophic factor (Bdnf), neurotrophic tyrosine kinase, receptor, type 2
(Ntrk2), Signal transducer and activator of transcription 3 (Stat3), and Btbd12
to be co-regulated in concert with Fto. Pfn2 and Prkacb have previously not been
linked to feeding regulation. CONCLUSIONS: Gene expression studies validate
several candidates generated through database studies of possible FTO
interactors. We speculate about a wider functional role for FTO in the context of
current and recent findings, such as in extracellular ligand-induced neuronal
plasticity via NTRK2/BDNF, possibly via interaction with the transcription factor
CCAAT/enhancer binding protein beta (C/EBPbeta).
PMID- 22087875
TI - A mechanistic study on the amidation of esters mediated by sodium formamide.
AB - Kinetic and computational studies on the amidation of esters with mixtures of
formamide and sodium methoxide are described. Rate studies are consistent with a
fast deprotonation of formamide followed by two reversible acyl transfers
affected by solvent participation. MP2 calculations suggest that the first acyl
transfer between the ester and sodium formamide is rate-determining. The
transition structures leading to the formation and collapse of the first
tetrahedral intermediate are calculated to be isoenergetic.
PMID- 22087876
TI - Investigation of the role of hydrophilic chain length in amphiphilic
perfluoropolyether/poly(ethylene glycol) networks: towards high-performance
antifouling coatings.
AB - The facile preparation of amphiphilic network coatings having a hydrophobic
dimethacryloxy-functionalized perfluoropolyether (PFPE-DMA; M(w) = 1500 g mol(
1)) crosslinked with hydrophilic monomethacryloxy functionalized poly(ethylene
glycol) macromonomers (PEG-MA; M(w) = 300, 475, 1100 g mol(-1)), intended as non
toxic high-performance marine coatings exhibiting antifouling characteristics is
demonstrated. The PFPE-DMA was found to be miscible with the PEG-MA. Photo-cured
blends of these materials containing 10 wt% of PEG-MA oligomers did not swell
significantly in water. PFPE-DMA crosslinked with the highest molecular weight
PEG oligomer (ie PEG1100) deterred settlement (attachment) of algal cells and
cypris larvae of barnacles compared to a PFPE control coating. Dynamic mechanical
analysis of these networks revealed a flexible material. Preferential segregation
of the PEG segments at the polymer/air interface resulted in enhanced antifouling
performance. The cured amphiphilic PFPE/PEG films showed decreased advancing and
receding contact angles with increasing PEG chain length. In particular, the
PFPE/PEG1100 network had a much lower advancing contact angle than static contact
angle, suggesting that the PEG1100 segments diffuse to the polymer/water
interface quickly. The preferential interfacial aggregation of the larger PEG
segments enables the coating surface to have a substantially enhanced resistance
to settlement of spores of the green seaweed Ulva, cells of the diatom Navicula
and cypris larvae of the barnacle Balanus amphitrite as well as low adhesion of
sporelings (young plants) of Ulva, adhesion being lower than to a polydimethyl
elastomer, Silastic T2.
PMID- 22087878
TI - Subwavelength imaging using phase-conjugating nonlinear nanoantenna arrays.
AB - We investigate the use of nonlinear metasurfaces formed by plasmonic nanoantennas
loaded with chi(3) nonlinear elements, in order to realize subwavelength imaging
based on phase conjugation and time reversal. The nanoantennas' plasmonic
resonance is used to boost the nonlinear response over an ultrathin surface,
meeting the conditions for efficient phase conjugation necessary for imaging
applications. Pairing two such surfaces, we put forward a realistic design for a
time-reversal 'perfect lens', which can overcome the limitations in resolution
and sensitivity to losses typical of negative-index lenses.
PMID- 22087877
TI - Hypoxia acts through multiple signaling pathways to induce metallothionein
transactivation by the metal-responsive transcription factor-1 (MTF-1).
AB - Metal-responsive transcription factor-1 (MTF-1) is essential for the induction of
genes encoding metallothionein by metals and hypoxia. Here, we studied the
mechanism controlling the activation of MTF-1 by hypoxia. Hypoxia activation of
Mt gene transcription is dependent on the presence of metal regulatory elements
(MREs) in the promoter of Mt genes. We showed that MREa and MREd are the main
elements controlling mouse Mt-1 gene induction by hypoxia. Transfection
experiments in Mtf-1-null cells showed that MTF-1 is essential for induction by
hypoxia. Chromatin immunoprecipitation analysis showed that MTF-1 DNA-binding
activity was strongly enhanced in the presence of zinc but not by hypoxia.
Notably, hypoxia inducible factor- (HIF) 1alpha was recruited to the Mt-1
promoter in response to hypoxia but not to zinc. MTF-1 activation was inhibited
by PKC, JNK, and PI3K inhibitors and by the electron transport chain inhibitors
rotenone and myxothiazol, but not by the antioxidant N-acetylcysteine. We showed
that prolyl-hydroxylase inhibitors can activate MTF-1, but this activation
requires the presence of HIF-1alpha. Finally, HIF-dependent transcription is
enhanced in the presence of MTF-1 and induction of an MRE promoter is stimulated
by HIF-1alpha, thus indicating cooperation between these 2 factors. However,
coimmunoprecipitation experiments did not suggest direct interaction between MTF
1 and HIF-1alpha.
PMID- 22087879
TI - Motion of light adatoms and molecules on the surface of few-layer graphene.
AB - Low-voltage aberration-corrected transmission electron microscopy (TEM) is
applied to investigate the feasibility of continuous electron beam cleaning of
graphene and monitor the removal of residual species as present on few-layer
graphene (FLG) surfaces. This combined approach allows us to detect light adatoms
and evaluate their discontinuous sporadic motional behavior. Furthermore, the
formation and dynamic behavior of isolated molecules on the FLG surface can be
captured. The preferential source of adatoms and adsorbed molecules appeared to
be carbonaceous clusters accumulated from residual solvents on the graphene
surface. TEM image simulations provide potential detail on the observed molecular
structures. Molecular dynamics simulations confirm the experimentally observed
dynamics occurring on the energy scale imposed by the presence of the 80 kV
electron beam and help elucidate the underlying mechanisms.
PMID- 22087880
TI - Customary adolescent sexual practices among the Akha of northern Lao PDR:
considerations for public health.
AB - Based on interviews and focus group discussions conducted in northern Laos, this
study explores Akha understandings of customary first pre-pubertal sex acts, a
thonh thong ('break through vagina' [BV]) for girls and yaha heu ('open foreskin'
[OF]) for boys, which are thought to enable the maturing of bodies into
adulthood. The study also examines the practice of a thor ta yang ('Welcome
Guest') in which sexually initiated girls have sex with male visitors to Akha
villages. The study found that many young women experience BV as painful and
traumatic. However, since all Akha in the study 'knew' that young bodies will not
mature into attractive, healthy adults if they do not perform BV and OF,
attitudes were largely positive. Both men and women are at increasing risk of
STIs, including HIV, since resettlement and an influx of non-Akha into the area.
We conclude that Akha villagers should be included in the planning of future
public health strategies that do not violate their cultural commitments and
dignity but that help them resist potential exploitation and threats to their
health. Such strategies might include sexual education programs and encouraging
the delay of BV and OF.
PMID- 22087881
TI - Gated photochromism in triarylborane-containing dithienylethenes: a new approach
to a "lock-unlock" system.
AB - Photochromic inactive dithienylethene derivatives appended with 3- or 5
dimesitylboryl-2,2'-bithiophene have been synthesized. Upon fluoride ion-binding,
the photochromic reactivity is "unlocked", displaying a novel gated photochromic
property.
PMID- 22087882
TI - Character of devitrification, viewed from enthalpic paths, of the vapor-deposited
ethylbenzene glasses.
AB - Enthalpic path and enthalpy-relaxation rates of ethylbenzene glasses prepared by
vapor deposition at various temperatures, T(D), were examined on heating
intermittently with a high-precision adiabatic calorimeter. It was confirmed that
when T(D) is in the range 0.79-0.96T(g), the enthalpies elucidated at their
preparation temperatures, i.e., T(D), are lower than those of the liquid-cooled
glass. The fictive temperature T(f) at which the enthalpy path of each glass
crosses the enthalpy curve expected for the equilibrium supercooled liquid was
observed to be lowest when T(D) = 0.92T(g) = 105 K. The glasses revealed two
remarkable characteristics: first, the temperature of the peak in the endothermic
effect, which corresponds to the temperature T(g,dev) of the steepest
devitrification, was observed to increase in correlation with the low-enthalpic
nature of the glasses. Second, the devitrification manner was quite different
between the glasses with T(D) < 0.92T(g) and T(D) >= 0.92T(g), even if the two
glasses have the same T(f); the former devitrified gradually and the latter
relatively sharply.
PMID- 22087883
TI - Effect of haemolysis and repeated freeze-thawing cycles on wild boar serum
antibody testing by ELISA.
AB - BACKGROUND: Monitoring wildlife diseases is needed to identify changes in disease
occurrence. Wildlife blood samples are valuable for this purpose but are often
gathered haemolysed. To maximise information, sera often go through repeated
analysis and freeze-thaw cycles. Herein, we used samples of clean and haemolysed
Eurasian wild boar (Sus scrofa) serum stored at -20 degrees C and thawed up to
five times to study the effects of both treatments on the outcome of a commercial
ELISA test for the detection of antibodies against Suid Herpesvirus 1 (ADV).
RESULTS: The estimated prevalence of antibodies against ADV was 50-53% for clean
and haemolysed sera. Hence, haemolysis did not reduce the mean observed serum
antibody prevalence. However, 10 samples changed their classification after
repeated freeze-thawing. This included 3 (15%) of the clean sera and 7 (41%) of
the haemolysed sera. CONCLUSIONS: We recommend (1) establishing more restrictive
cut-off values when testing wildlife sera, (2) recording serum quality prior to
sample banking, (3) recording the number of freezing-thawing cycles and (4) store
sera in various aliquots to reduce repeated usage. For instance, sera with more
than 3 freeze-thaw cycles and a haemolysis of over 3 on a scale of 4 should
better be discarded for serum antibody monitoring. Even clean (almost not
haemolysed) sera should not go through more than 5 freeze-thaw cycles.
PMID- 22087885
TI - A laboratory training model using fresh sheep spines for pedicular screw
fixation.
AB - BACKGROUND: Laboratory training models are essential for developing and refining
surgical skills prior to clinical application of spinal surgery. A simple
simulation model is needed for young residents to learn how to handle instruments
and to perform safe posterior lumbar approaches. Our aim is to present a
practical laboratory model using a fresh sheep lumbar spine that simulates the
pedicular screw fixation in spine surgery. METHODS: The material consists of a
fresh cadaveric spine from a 2-year-old sheep. A two-step approach was designed
for posterior lumbar approaches including placement of lumbar pedicular screws.
RESULTS: The model simulates standard posterior lumbar pedicular screw fixation
of the human spine. CONCLUSIONS: The cadaveric sheep spine represents a useful
method to accustom trainees of neurosurgery and spine surgery residents and
simulates posterior lumbar pedicular screw fixation performed in the human spine.
PMID- 22087884
TI - A framework and a measurement instrument for sustainability of work practices in
long-term care.
AB - BACKGROUND: In health care, many organizations are working on quality improvement
and/or innovation of their care practices. Although the effectiveness of
improvement processes has been studied extensively, little attention has been
given to sustainability of the changed work practices after implementation. The
objective of this study is to develop a theoretical framework and measurement
instrument for sustainability. To this end sustainability is conceptualized with
two dimensions: routinization and institutionalization. METHODS: The exploratory
methodological design consisted of three phases: a) framework development; b)
instrument development; and c) field testing in former improvement teams in a
quality improvement program for health care (N teams = 63, N individual = 112).
Data were collected not until at least one year had passed after
implementation.Underlying constructs and their interrelations were explored using
Structural Equation Modeling and Principal Component Analyses. Internal
consistency was computed with Cronbach's alpha coefficient. A long and a short
version of the instrument are proposed. RESULTS: The chi2- difference test of the
-2 Log Likelihood estimates demonstrated that the hierarchical two factor model
with routinization and institutionalization as separate constructs showed a
better fit than the one factor model (p < .01). Secondly, construct validity of
the instrument was strong as indicated by the high factor loadings of the items.
Finally, the internal consistency of the subscales was good. CONCLUSIONS: The
theoretical framework offers a valuable starting point for the analysis of
sustainability on the level of actual changed work practices. Even though the two
dimensions routinization and institutionalization are related, they are clearly
distinguishable and each has distinct value in the discussion of sustainability.
Finally, the subscales conformed to psychometric properties defined in
literature. The instrument can be used in the evaluation of improvement projects.
PMID- 22087886
TI - Implementing an exact finite boundary integral equation method for finite rough
surfaces (L).
AB - An exact integral equation approach to solving scattering from a finite section
of roughness in an otherwise infinitely flat surface was described in DeSanto and
Martin [J. Acoust. Soc. Am. 102, 67-77]. In this letter, the details of a
numerical implementation of this approach are presented for a rough pressure
release surface. An example comparing the results from this method to those from
a standard, truncated integral approach is given.
PMID- 22087887
TI - Measuring static thermal permeability and inertial factor of rigid porous
materials (L).
AB - An acoustic method based on sound transmission is proposed for deducing the
static thermal permeability and the inertial factor of porous materials having a
rigid frame at low frequencies. The static thermal permeability of porous
material is a geometrical parameter equal to the inverse trapping constant of the
solid frame [Lafarge et al., J. Acoust. Soc. Am. 102, 1995 (1997)] and is an
important characteristic of the porous material. The inertial factor [Norris., J.
Wave Mat. Interact. 1, 365 (1986)] describes the fluid structure interactions in
the low frequency range (1-3 kHz). The proposed method is based on a temporal
model of the direct and inverse scattering problems for the propagation of
transient audible frequency waves in a homogeneous isotropic slab of porous
material having a rigid frame. The static thermal permeability and the inertial
factor are determined from the solution of the inverse problem. The minimization
between experiment and theory is made in the time domain. Tests are performed
using industrial plastic foams. Experimental and theoretical data are in good
agreement. Furthermore, the prospects are discussed. This method has the
advantage of being simple, rapid, and efficient.
PMID- 22087888
TI - The influence of actual and imputed talker gender on fricative perception,
revisited (L).
AB - To examine the role of perceived gender on fricative identification, a study was
conducted in which listeners identified /s/-/?/ and /s/-/theta/ continua combined
with vowels produced by a man and a woman. These were acoustically modified to be
consistent with different-sized vocal tracts (VT), and were presented with
pictures of men or women. Listeners identified more tokens of /s/ in the /s/-/?/
and more tokens of /theta/ in the /s/-/theta/ continuum when these sounds were
combined with men's vowels, with vowels consistent with a 17 cm VT, and with
pictures of men. Results support the hypothesis that listeners incorporate
information about talker gender during fricative perception.
PMID- 22087889
TI - The effect of temporal asymmetry on amplitude modulation detection using pure
tone carriers (L).
AB - The effect of temporal asymmetry on amplitude modulation detection was studied
using sawtooth modulators with rising (ramped) or falling (damped) temporal
envelopes within each period of modulation. For pure-tone carriers, damped
modulation was more detectable than ramped modulation for a 5-kHz carrier (by a
threshold difference of 3.2 dB on average) but not for a 1-kHz carrier. The
threshold difference obtained at 5 kHz between the ramped and damped modulators
was consistent across modulation rates (8-128 Hz). This carrier frequency
dependence suggests that the effect of temporally asymmetry on modulation
detection originates from envelope-based, within-channel mechanisms.
PMID- 22087890
TI - Experimental source characterization techniques for studying the acoustic
properties of perforates under high level acoustic excitation.
AB - This paper discusses experimental techniques for obtaining the acoustic
properties of in-duct samples with non-linear acoustic characteristic. The
methods developed are intended both for studies of non-linear energy transfer to
higher harmonics for samples only accessible from one side such as wall treatment
in aircraft engine ducts or automotive exhaust systems and for samples accessible
from both sides such as perforates or other top sheets. When harmonic sound waves
are incident on the sample nonlinear energy transfer results in sound generation
at higher harmonics at the sample (perforate) surface. The idea is that these
sources can be characterized using linear system identification techniques
similar to one-port or two-port techniques which are traditionally used for
obtaining source data for in-duct sources such as IC-engines or fans. The
starting point will be so called polyharmonic distortion modeling which is used
for characterization of nonlinear properties of microwave systems. It will be
shown how acoustic source data models can be expressed using this theory. Source
models of different complexity are developed and experimentally tested. The
results of the experimental tests show that these techniques can give results
which are useful for understanding non-linear energy transfer to higher
harmonics.
PMID- 22087891
TI - Nonlinear progressive wave equation for stratified atmospheres.
AB - The nonlinear progressive wave equation (NPE) [McDonald and Kuperman, J. Acoust.
Soc. Am. 81, 1406-1417 (1987)] is expressed in a form to accommodate changes in
the ambient atmospheric density, pressure, and sound speed as the time-stepping
computational window moves along a path possibly traversing significant altitude
differences (in pressure scale heights). The modification is accomplished by the
addition of a stratification term related to that derived in the 1970s for linear
range-stepping calculations and later adopted into Khokhlov-Zabolotskaya
Kuznetsov-type nonlinear models. The modified NPE is shown to preserve acoustic
energy in a ray tube and yields analytic similarity solutions for vertically
propagating N waves in isothermal and thermally stratified atmospheres.
PMID- 22087892
TI - High-accuracy acoustic detection of nonclassical component of material
nonlinearity.
AB - The aim is to assess the nonclassical component of material nonlinearity in
several classes of materials with weak, intermediate, and high nonlinear
properties. In this contribution, an optimized nonlinear resonant ultrasound
spectroscopy (NRUS) measuring and data processing protocol applied to small
samples is described. The protocol is used to overcome the effects of
environmental condition changes that take place during an experiment, and that
may mask the intrinsic nonlinearity. External temperature fluctuation is
identified as a primary source of measurement contamination. For instance, a
variation of 0.1 degrees C produced a frequency variation of 0.01%, which is
similar to the expected nonlinear frequency shift for weakly nonlinear materials.
In order to overcome environmental effects, the reference frequency measurements
are repeated before each excitation level and then used to compute nonlinear
parameters. Using this approach, relative resonant frequency shifts of 10(-5) can
be measured, which is below the limit of 10(-4) often considered as the limit of
NRUS sensitivity under common experimental conditions. Due to enhanced
sensitivity resulting from the correction procedure applied in this work,
nonclassical nonlinearity in materials that before have been assumed to only be
classically nonlinear in past work (steel, brass, and aluminum) is reported.
PMID- 22087893
TI - Whistling of an orifice in a reverberating duct at low Mach number.
AB - An experimental investigation of the parameters controlling the whistling
frequency and amplitude of an orifice in a confined turbulent flow is undertaken.
A circular single hole orifice with sharp edges, a hole diameter equal to 0.015 m
and a thickness equal to 0.005 m, is arranged in an air test rig with an inner
diameter equal to 0.03 m. The Mach number ranges around 0.02 and the Reynolds
number around 10(4). Variable reflecting boundary conditions are arranged
upstream and downstream, and several flow velocities are tested. It is found that
the Bode-Nyquist criterion accurately predicts the conditions of self-sustained
oscillation and the value of the whistling frequency. Furthermore, it is found
that the acoustic velocity in whistling regime varies from 1% to 15% of the
steady flow velocity, and that it depends on the overall acoustic reflection of
the surrounding pipe and on the Strouhal number.
PMID- 22087894
TI - A nonlocal effective operator for coupling forward and backward propagating modes
in inhomogeneous media.
AB - In an acoustic waveguide spatial inhomogeneities couple the forward and backward
propagating modal amplitudes. To address the nature of such coupling the integral
equation for the range-dependent modal amplitudes is decomposed into components
that satisfy the asymptotic boundary conditions of the free Green's function
operator. An equivalent set of equations is obtained by eliminating the
components that become the asymptotically backward propagating channels to leave
a set of integral equations that describe only the components that become
asymptotically the forward propagating channels. The elimination of the
components that become asymptotically the backward propagating channels is done
at the expense of introducing a nonlocal effective coupling operator. The
nonlocal operator contains all the effects of the asymptotically backward
propagating field on the asymptotically forward propagating field. An expansion
of the effective coupling operator allows an investigation of the importance of
the coupling and provides a systematic approach to add correction terms to the
forward only equation. Idealistic underwater waveguides with various degrees of
inhomogeneities are used to illustrate the main features of the convergence
characteristics for the expansion.
PMID- 22087895
TI - Experimental testing of the variable rotated elastic parabolic equation.
AB - A series of laboratory experiments was conducted to obtain high-quality data for
acoustic propagation in shallow water waveguides with sloping elastic bottoms.
Accurate modeling of transmission loss in these waveguides can be performed with
the variable rotated parabolic equation method. Results from an earlier
experiment with a flat or sloped slab of polyvinyl chloride (PVC) demonstrated
the necessity of accounting for elasticity in the bottom and the ability of the
model to produce benchmark-quality agreement with experimental data [J. M. Collis
et al., J. Acoust. Soc. Am. 122, 1987-1993 (2007)]. This paper presents results
of a second experiment, using two PVC slabs joined at an angle to create a
waveguide with variable bottom slope. Acoustic transmissions over the 100-300 kHz
band were received on synthetic horizontal arrays for two source positions. The
PVC slabs were oriented to produce three different simulated waveguides: flat
bottom followed by downslope, upslope followed by flat bottom, and upslope
followed by downslope. Parabolic equation solutions for treating variable slopes
are benchmarked against the data.
PMID- 22087896
TI - Extension of the angular spectrum method to calculate pressure from a spherically
curved acoustic source.
AB - The angular spectrum method is an accurate and computationally efficient method
for modeling acoustic wave propagation. The use of the typical 2D fast Fourier
transform algorithm makes this a fast technique but it requires that the source
pressure (or velocity) be specified on a plane. Here the angular spectrum method
is extended to calculate pressure from a spherical transducer-as used extensively
in applications such as magnetic resonance-guided focused ultrasound surgery-to a
plane. The approach, called the Ring-Bessel technique, decomposes the curved
source into circular rings of increasing radii, each ring a different distance
from the intermediate plane, and calculates the angular spectrum of each ring
using a Fourier series. Each angular spectrum is then propagated to the
intermediate plane where all the propagated angular spectra are summed to obtain
the pressure on the plane; subsequent plane-to-plane propagation can be achieved
using the traditional angular spectrum method. Since the Ring-Bessel calculations
are carried out in the frequency domain, it reduces calculation times by a factor
of approximately 24 compared to the Rayleigh-Sommerfeld method and about 82
compared to the Field II technique, while maintaining accuracies of better than
96% as judged by those methods for cases of both solid and phased-array
transducers.
PMID- 22087897
TI - Evidence of the harmonic Faraday instability in ultrasonic atomization
experiments with a deep, inviscid fluid.
AB - A popular method for generating micron-sized aerosols is to submerge ultrasonic
(omega~MHz) piezoelectric oscillators in a water bath. The submerged oscillator
atomizes the fluid, creating droplets with radii proportional to the wavelength
of the standing wave at the fluid surface. Classical theory for the Faraday
instability predicts a parametric instability driving a capillary wave at the
subharmonic (omega/2) frequency. For many applications it is desirable to reduce
the size of the droplets; however, using higher frequency oscillators becomes
impractical beyond a few MHz. Observations are presented that demonstrate that
smaller droplets may also be created by increasing the driving amplitude of the
oscillator, and that this effect becomes more pronounced for large driving
frequencies. It is shown that these observations are consistent with a transition
from droplets associated with subharmonic (omega/2) capillary waves to harmonic
(omega) capillary waves induced by larger driving frequencies and amplitudes, as
predicted by a stability analysis of the capillary waves.
PMID- 22087898
TI - Forced vibrations of a bubble in a liquid-filled elastic vessel.
AB - There is increasing demand for accurate characterization of the in vivo behavior
of microbubble agents used for ultrasound imaging and therapy. This study
examines bubble-vessel interaction, in particular the propagation of disturbances
along the vessel wall. Finite element simulations of a 3 MUm radius microbubble
suspended in a viscous liquid and enclosed in a 4 MUm radius elastic vessel were
performed, and the results compared with existing analytical results for wave
propagation in elastic liquid-filled tubes. The vessel wall was shown to have a
significant effect upon the amplitude of bubble oscillation and hence acoustic
radiation from it, as well as distension of the vessel wall. It was found that
the most important factor was the ratio of the excitation frequency to the
natural "ring" frequency of the vessel which in turn depends upon its dimensions
and mechanical properties. As this ratio increases, the motion of the vessel wall
becomes increasingly localized to the site of the bubble. It was also shown that
the validity of the results obtained using the applied model of vessel elasticity
is limited to frequencies below the ring frequency, and this should be taken into
account in the development of protocols for ultrasound safety and/or therapeutic
procedures.
PMID- 22087899
TI - Study of acoustic field modulation in the regenerator by double loudspeakers
method.
AB - A model to modulate acoustic field in a regenerator of a thermoacoustic system by
the double loudspeakers method is presented in this paper. The equations are
derived for acoustic field modulation. They represent the relations among
acoustic field (complex pressure p(0), complex velocity u(0), and acoustic
impedance Z(0)), driving parameters of loudspeakers (voltage amplitude and its
phase difference), and operating parameters involved in a matrix H (frequency,
temperature of regenerator). The range of acoustic field is adjustable and
limited by the maximal driving voltages of loudspeakers according to driving
parameters. The range is simulated and analyzed in the amplitude-phase and
complex coordinate planes for a given or variable H. The simulated results
indicate that the range has its intrinsic characteristics. The expected acoustic
field in a regenerator can be obtained feasibly by the modulation.
PMID- 22087900
TI - Two-dimensional virtual array for ultrasonic nondestructive evaluation using a
time-reversal chaotic cavity.
AB - Despite its introduction more than a decade ago, a two-dimensional ultrasonic
array remains a luxury in nondestructive evaluation because of the complexity and
cost associated with its fabrication and operation. This paper describes the
construction and performance of a two-dimensional virtual array that solves these
problems. The virtual array consists of only two transducers (one each for
transmit and receive) and an aluminum chaotic cavity, augmented by a 10 * 10
matrix array of rectangular rods. Each rod, serving as an elastic waveguide, is
calibrated to emit a collimated pulsed sound beam centered at 2.5 MHz using the
reciprocal time reversal. The resulting virtual array is capable of pulse-echo
interrogation of a solid sample in direct contact along 10 * 10 scan lines.
Three-dimensional imaging of an aluminum test piece, the nominal thickness of
which is in the order of 1 cm, is successfully carried out using the virtual
array.
PMID- 22087901
TI - Theoretical and experimental study of the nonlinear resonance vibration of
cementitious materials with an application to damage characterization.
AB - This paper presents a theoretical and experimental study of the nonlinear
flexural vibration of a cement-based material with distributed microcracks caused
by an important deterioration mechanism, alkali-silica reaction (ASR). The
general equation of motion is derived for the flexural vibration of a slender
beam with the nonlinear hysteretic constitutive relationship for consolidated
materials, and then an approximate formula for excitation-dependent resonance
frequency is obtained. A downward shift of the resonance frequency is related to
the nonlinearity parameters defined in the constitutive relationship. Vibration
experiments are conducted on standard mortar bar samples undergoing progressive
ASR damage. The absolute nonlinearity parameters are determined from these
experimental results using the theoretical solution in order to investigate their
dependence on the damage state of the material. With the progress of the ASR
damage, the absolute value of the hysteresis nonlinearity parameter increases by
as much as six times from the intact (undamaged) state in the sample with highly
reactive aggregate; this is in contrast to a change of about 16% in the linear
resonance frequency. It is demonstrated that the combined theoretical and
experimental approach developed in this research can be used to quantitatively
characterize ASR damage in mortar samples and other cement-based materials.
PMID- 22087902
TI - Band structures of phononic-crystal plates in the form of a sandwich-layered
structure.
AB - This study investigates the propagation of Lamb waves in phononic-crystal plates
in the form of a sandwich-layered structure. The composite plates are composed of
periodic layers bilaterally deposited on both sides of the homogeneous core
layer. Using the analyses of the band structures and the transmission spectra, it
is revealed that the core layer may induce significant modulations to the lower
order Lamb modes. The modulations are ascribed to the reshaped particle
displacement fields of the eigenmodes. Prominently, the core layer made of soft
material (rubber) combines the identical eigenmodes of the periodic layers into a
pair of asymmetric and symmetric modes in which case the periodic layers vibrate
independently. However, the core layer made of hard material (tungsten) or medium
hardness material (silicon) couples the periodic layers tightly, in which case
the composites vibrate as a whole. In addition, it is found that the phononic
band gaps are very sensitive to the thickness of the core layer; this could be
indispensable to practical applications such as bandgap tuning.
PMID- 22087903
TI - Matryoshka locally resonant sonic crystal.
AB - The results of numerical modeling of sonic crystals with resonant array elements
are reported. The investigated resonant elements include plain slotted cylinders
as well as their various combinations, in particular, Russian doll or Matryoshka
configurations. The acoustic band structure and transmission characteristics of
such systems have been computed with the use of finite element methods. The
general concept of a locally resonant sonic crystal is proposed that utilizes
acoustic resonances to form additional band gaps that are decoupled from Bragg
gaps. An existence of a separate attenuation mechanism associated with the
resonant elements that increases performance in the lower frequency regime has
been identified. The results show a formation of broad band gaps positioned
significantly below the first Bragg frequency. For low frequency broadband
attenuation, a most optimal configuration is the Matryoshka sonic crystal, where
each scattering unit is composed of multiple concentric slotted cylinders. This
system forms numerous gaps in the lower frequency regime, below Bragg bands,
while maintaining a reduced crystal size viable for noise barrier technology. The
finding opens alternative perspectives for the construction of sound barriers in
the low frequency range usually inaccessible by traditional means including
conventional sonic crystals.
PMID- 22087904
TI - MP3 player listening sound pressure levels among 10 to 17 year old students.
AB - Using a manikin, equivalent free-field sound pressure level measurements were
made from the portable digital audio players of 219 subjects, aged 10 to 17 years
(93 males) at their typical and "worst-case" volume levels. Measurements were
made in different classrooms with background sound pressure levels between 40 and
52 dBA. After correction for the transfer function of the ear, the median
equivalent free field sound pressure levels and interquartile ranges (IQR) at
typical and worst-case volume settings were 68 dBA (IQR = 15) and 76 dBA (IQR =
19), respectively. Self-reported mean daily use ranged from 0.014 to 12 h. When
typical sound pressure levels were considered in combination with the average
daily duration of use, the median noise exposure level, Lex, was 56 dBA (IQR =
18) and 3.2% of subjects were estimated to exceed the most protective
occupational noise exposure level limit in Canada, i.e., 85 dBA Lex. Under worst
case listening conditions, 77.6% of the sample was estimated to listen to their
device at combinations of sound pressure levels and average daily durations for
which there is no known risk of permanent noise-induced hearing loss, i.e., <=
75 dBA Lex. Sources and magnitudes of measurement uncertainties are also
discussed.
PMID- 22087905
TI - Acoustical properties of double porosity granular materials.
AB - Granular materials have been conventionally used for acoustic treatment due to
their sound absorptive and sound insulating properties. An emerging field is the
study of the acoustical properties of multiscale porous materials. An example of
these is a granular material in which the particles are porous. In this paper,
analytical and hybrid analytical-numerical models describing the acoustical
properties of these materials are introduced. Image processing techniques have
been employed to estimate characteristic dimensions of the materials. The model
predictions are compared with measurements on expanded perlite and activated
carbon showing satisfactory agreement. It is concluded that a double porosity
granular material exhibits greater low-frequency sound absorption at reduced
weight compared to a solid-grain granular material with similar mesoscopic
characteristics.
PMID- 22087906
TI - Temporal-envelope constancy of speech in rooms and the perceptual weighting of
frequency bands.
AB - Three experiments measured constancy in speech perception, using natural-speech
messages or noise-band vocoder versions of them. The eight vocoder-bands had
equally log-spaced center-frequencies and the shapes of corresponding "auditory"
filters. Consequently, the bands had the temporal envelopes that arise in these
auditory filters when the speech is played. The "sir" or "stir" test-words were
distinguished by degrees of amplitude modulation, and played in the context;
"next you'll get _ to click on." Listeners identified test-words appropriately,
even in the vocoder conditions where the speech had a "noise-like" quality.
Constancy was assessed by comparing the identification of test-words with low or
high levels of room reflections across conditions where the context had either a
low or a high level of reflections. Constancy was obtained with both the natural
and the vocoded speech, indicating that the effect arises through temporal
envelope processing. Two further experiments assessed perceptual weighting of the
different bands, both in the test word and in the context. The resulting
weighting functions both increase monotonically with frequency, following the
spectral characteristics of the test-word's [s]. It is suggested that these two
weighting functions are similar because they both come about through the
perceptual grouping of the test-word's bands.
PMID- 22087907
TI - Focusing of longitudinal ultrasonic waves in air with an aperiodic flat lens.
AB - Modeling and experimental results of an ultrasonic aperiodic flat lens for use in
air are presented. Predictive modeling of the lens is performed using a hybrid
genetic-greedy algorithm constrained to a linear structure. The optimized design
parameters are used to fabricate a lens. A method combining a fiber-disk
arrangement and scanning laser vibrometer measurements is developed to
characterize the acoustic field distribution generated by the lens. The focal
spot size is determined to be 0.88 of the incident wavelength of 80-90 kHz at a
distance of 2.5 mm from the lens. Theoretically computed field distributions,
optimized frequency of operation, and spatial resolution focal length are
compared with experimental measurements. The differences between experimental
measurements and the theoretical computations are analyzed. The theoretical
calculation of the focal spot diameter is 1.7 mm which is 48% of the experimental
measurement at a frequency of 80-90 kHz. This work illustrates the capabilities
of a hybrid algorithm approach to design of flat acoustic lenses to operate in
air with a resolution of greater than the incident wavelength and the challenges
of characterizing acoustic field distribution in air.
PMID- 22087908
TI - Optimum array design to maximize Fisher information for bearing estimation.
AB - Source bearing estimation is a common application of linear sensor arrays. The
Cramer-Rao bound (CRB) sets a lower bound on the achievable mean square error
(MSE) of any unbiased bearing estimate. In the spatially white noise case, the
CRB is minimized by placing half of the sensors at each end of the array.
However, many realistic ocean environments have a mixture of both white noise and
spatially correlated noise. In shallow water environments, the correlated ambient
noise can be modeled as cylindrically isotropic. This research designs a fixed
aperture linear array to maximize the bearing Fisher information (FI) under these
noise conditions. The FI is the inverse of the CRB, so maximizing the FI
minimizes the CRB. The elements of the optimum array are located closer to the
array ends than uniform spacing, but are not as extreme as in the white noise
case. The optimum array results from a trade off between maximizing the array
bearing sensitivity and minimizing output noise power variation over the bearing.
Depending on the source bearing, the resulting improvement in MSE performance of
the optimized array over a uniform array is equivalent to a gain of 2-5 dB in
input signal-to-noise ratio.
PMID- 22087909
TI - Wave field synthesis of moving virtual sound sources with complex radiation
properties.
AB - An approach to the synthesis of moving virtual sound sources with complex
radiation properties in wave field synthesis is presented. The approach exploits
the fact that any stationary sound source of finite spatial extent radiates
spherical waves at sufficient distance. The angular dependency of the radiation
properties of the source under consideration is reflected by the amplitude and
phase distribution on the spherical wave fronts. The sound field emitted by a
uniformly moving monopole source is derived and the far-field radiation
properties of the complex virtual source under consideration are incorporated in
order to derive a closed-form expression for the loudspeaker driving signal. The
results are illustrated via numerical simulations of the synthesis of the sound
field of a sample moving complex virtual source.
PMID- 22087910
TI - Enhancement of interaural level differences improves sound localization in
bimodal hearing.
AB - Users of a cochlear implant together with a contralateral hearing aid-so-called
bimodal listeners-have difficulties with localizing sound sources. This is mainly
due to the distortion of interaural time and level difference cues (ITD and ILD),
and limited ITD sensitivity. An algorithm is presented that enhances ILD cues.
Horizontal plane sound-source localization performance of six bimodal listeners
was evaluated in (1) a real sound field with their clinical devices, (2) in a
virtual sound field, under direct computer control, and (3) in a virtual sound
field with ILD enhancement. The results in the real sound field did not differ
significantly from the results in the virtual field, and ILD enhancement improved
localization performance by 4 degrees -10 degrees absolute error, relative to a
mean absolute error of 28 degrees in the condition without ILD enhancement.
PMID- 22087911
TI - Characterization of the perceived sound of trauma-induced tinnitus in gerbils.
AB - Tinnitus often develops following inner ear pathologies, like acoustic trauma.
Therefore, an acoustic trauma model of tinnitus in gerbils was established using
a modulated acoustic startle response. Cochlear trauma evoked by exposure to
narrow-band noise at 10 kHz was assessed by auditory brainstem responses (ABR)
and distortion product otoacoustic emissions (DPOAE). Threshold shift amounted to
about 25 dB at frequencies > 10 kHz. Induction of a phantom-noise perception was
documented by an acoustic startle response paradigm. A reduction of the gap
prepulse inhibition of acoustic startle (GPIAS) was taken as evidence for
tinnitus at the behavioral level. Three to five weeks after trauma the ABR and
DPOAE thresholds were back to normal. At that time, a reduction of GPIAS in the
frequency range 16-20 kHz indicated a phantom noise perception. Seven weeks post
trauma the tinnitus-affected frequency range became narrow and shifted to the
center-trauma frequency at 10 kHz. Taken together, by investigating frequency
dependent effects in detail, this study in gerbils found trauma-evoked tinnitus
developing in the frequency range bordering the low frequency slope of the
induced noise trauma. This supports the theory of lateral inhibition as the
physiological basis of tinnitus.
PMID- 22087912
TI - Behavioral estimates of basilar-membrane compression: additivity of forward
masking in noise-masked normal-hearing listeners.
AB - Cochlear hearing loss is often associated with a loss of basilar-membrane (BM)
compression, which in turn may contribute to degraded processing of
suprathreshold stimuli. Behavioral estimates of compression may therefore be
useful as long as they are valid over a wide range of levels and frequencies.
Additivity of forward masking (AFM) may provide such a measure, but research to
date lacks normative data from normal-hearing (NH) listeners at high sound
levels, which is necessary to evaluate data from hearing-impaired (HI) listeners.
The present study measured AFM in six NH listeners for signal frequencies of 500,
1500, and 4000 Hz in the presence of background noise, designed to elevate signal
thresholds to levels similar to those experienced by HI listeners. Results
consistent with compressive BM responses were found for all six listeners at 500
Hz, five listeners at 1500 Hz, but only two listeners at 4000 Hz. Further
measurements in the absence of background noise also indicated a lack of
consistent compression at 4000 Hz at higher signal levels, in contrast to earlier
results collected at lower levels. A better understanding of this issue will be
required before AFM can be used as a general behavioral estimate of BM
compression.
PMID- 22087913
TI - A neurocognitive model of recognition and pitch segregation.
AB - This paper describes a neurocognitive model of pitch segregation in which it is
proposed that recognition mechanisms initiate early in auditory processing
pathways so that long-term memory templates may be employed to segregate and
integrate auditory features. In this model neural representations of pitch height
are primed by the location and pattern of excitation across auditory filter
channels in relation to long-term memory templates for common stimuli. Since
waveform driven pitch mechanisms may produce information at multiple frequencies
for tonal stimuli, pitch priming was assumed to include competitive inhibition
that would allow only one pitch estimation at any time. Consequently concurrent
pitch information must be relayed to short-term memory via a parallel mechanism
that employs pitch information contained in the long-term memory template of the
chord. Pure tones, harmonic complexes and two pitch chords of harmonic complexes
were correctly classified by the correlation of templates comprising auditory
nerve excitation and off-frequency inhibition with the excitation patterns of
stimuli. The model then replicated behavioral data for pitch matching of
concurrent vowels. Comparison of model outputs to the behavioral data suggests
that inability to recognize a stimulus was associated with poor pitch segregation
due to the use of inappropriate pitch priming strategies.
PMID- 22087914
TI - Voice segregation by difference in fundamental frequency: evidence for harmonic
cancellation.
AB - Two experiments investigated listeners' ability to use a difference of two
semitones in fundamental frequency (F0) to segregate a target voice from harmonic
complex tones, with speech-like spectral profiles. Masker partials were in random
phase (experiment 1) or in sine phase (experiment 2) and stimuli were presented
over headphones. Target's and masker's harmonicity were each distorted by F0
modulation and reverberation. The F0 of each source was manipulated (monotonized
or modulated by 2 semitones at 5 Hz) factorially. In addition, all sources were
presented from the same location in a virtual room with controlled reverberation,
assigned factorially to each source. In both experiments, speech reception
thresholds increased by about 2 dB when the F0 of the masker was modulated and
increased by about 6 dB when, in addition to F0 modulation, the masker was
reverberant. Masker partial phases did not influence the results. The results
suggest that F0-segregation relies upon the masker's harmonicity, which is
disrupted by rapid modulation. This effect is compounded by reverberation. In
addition, F0-segregation was found to be independent of the depth of masker
envelope modulations.
PMID- 22087915
TI - Effects of the selective disruption of within- and across-channel cues to
comodulation masking release.
AB - In many experiments on comodulation masking release (CMR), both across- and
within-channel cues may be available. This makes it difficult to determine the
mechanisms underlying CMR. The present study compared CMR in a flanking-band (FB)
paradigm for a situation in which only across-channel cues were likely to be
available [FBs placed distally from the on-frequency band (OFB)] and a situation
where both across- and within-channel cues might have been available (proximally
spaced FBs, for which larger CMRs have previously been observed). The use of
across-channel cues was selectively disrupted using a manipulation of auditory
grouping factors, following Dau et al. [J. Acoust. Soc. Am. 125, 2182-2188(2009)]
and the use of within-channel cues was selectively disrupted using a manipulation
called "OFB reversal," following Goldman et al. [J. Acoust. Soc. Am. 129, 3181
3193 (2011)]. The auditory grouping manipulation eliminated CMR for the distal-FB
configuration and reduced CMR for the proximal-FB configuration. This may
indicate that across-channel cues are available for proximal FB placement. CMR
for the proximal-FB configuration persisted when both manipulations were used
together, which suggests that OFB reversal does not entirely eliminate within
channel cues.
PMID- 22087916
TI - The importance for speech intelligibility of random fluctuations in "steady"
background noise.
AB - Spectrally shaped steady noise is commonly used as a masker of speech. The
effects of inherent random fluctuations in amplitude of such a noise are
typically ignored. Here, the importance of these random fluctuations was assessed
by comparing two cases. For one, speech was mixed with steady speech-shaped noise
and N-channel tone vocoded, a process referred to as signal-domain mixing (SDM);
this preserved the random fluctuations of the noise. For the second, the envelope
of speech alone was extracted for each vocoder channel and a constant was added
corresponding to the root-mean-square value of the noise envelope for that
channel. This is referred to as envelope-domain mixing (EDM); it removed the
random fluctuations of the noise. Sinusoidally modulated noise and a single
talker were also used as backgrounds, with both SDM and EDM. Speech
intelligibility was measured for N = 12, 19, and 30, with the target-to
background ratio fixed at -7 dB. For SDM, performance was best for the speech
background and worst for the steady noise. For EDM, this pattern was reversed.
Intelligibility with steady noise was consistently very poor for SDM, but near
ceiling for EDM, demonstrating that the random fluctuations in steady noise have
a large effect.
PMID- 22087917
TI - Discrimination of the spectral density of multitone complexes.
AB - Spectral density (D), defined as the number of partials comprising a sound
divided by its bandwidth, has been suggested as cue for the identification of the
size and shape of sound sources. Few data are available, however, on the ability
of listeners to discriminate differences in spectral density. In a cue
comparison, forced-choice procedure with feedback, three highly practiced
listeners discriminated differences in the spectral density of multitone
complexes varying in bandwidth (W = 500-1500 Hz), center frequency (f(c) = 500
2000 Hz), and number of tones (N = 6-31). To reduce extraneous cues for
discrimination, the overall level of the complexes was roved, and the frequencies
were drawn at random uniformly over a fixed bandwidth and center frequency for
each presentation. Psychometric functions were obtained relating percent correct
discrimination to DeltaD in each condition. For D < 0.02 Hz(-1), the steepness of
the functions remained constant across conditions, but for D > 0.02 Hz(-1), they
increased with D. The increase, moreover, was accompanied by a reduction in the
upper asymptote of the functions. The data were well fit by a model in which
spectral density discrimination is determined by the frequency separation of
components on an equivalent rectangular bandwidth scale, yielding a roughly
constant Weber fraction of DeltaD/D = 0.3.
PMID- 22087918
TI - The effect of hearing loss on the resolution of partials and fundamental
frequency discrimination.
AB - The relationship between the ability to hear out partials in complex tones,
discrimination of the fundamental frequency (F0) of complex tones, and frequency
selectivity was examined for subjects with mild-to-moderate cochlear hearing
loss. The ability to hear out partials was measured using a two-interval task.
Each interval included a sinusoid followed by a complex tone; one complex
contained a partial with the same frequency as the sinusoid, whereas in the other
complex that partial was missing. Subjects had to indicate the interval in which
the partial was present in the complex. The components in the complex were
uniformly spaced on the ERB(N)-number scale. Performance was generally good for
the two "edge" partials, but poorer for the inner partials. Performance for the
latter improved with increasing spacing. F0 discrimination was measured for a
bandpass-filtered complex tone containing low harmonics. The equivalent
rectangular bandwidth (ERB) of the auditory filter was estimated using the
notched-noise method for center frequencies of 0.5, 1, and 2 kHz. Significant
correlations were found between the ability to hear out inner partials, F0
discrimination, and the ERB. The results support the idea that F0 discrimination
of tones with low harmonics depends on the ability to resolve the harmonics.
PMID- 22087919
TI - The Timbre Toolbox: extracting audio descriptors from musical signals.
AB - The analysis of musical signals to extract audio descriptors that can potentially
characterize their timbre has been disparate and often too focused on a
particular small set of sounds. The Timbre Toolbox provides a comprehensive set
of descriptors that can be useful in perceptual research, as well as in music
information retrieval and machine-learning approaches to content-based retrieval
in large sound databases. Sound events are first analyzed in terms of various
input representations (short-term Fourier transform, harmonic sinusoidal
components, an auditory model based on the equivalent rectangular bandwidth
concept, the energy envelope). A large number of audio descriptors are then
derived from each of these representations to capture temporal, spectral,
spectrotemporal, and energetic properties of the sound events. Some descriptors
are global, providing a single value for the whole sound event, whereas others
are time-varying. Robust descriptive statistics are used to characterize the time
varying descriptors. To examine the information redundancy across audio
descriptors, correlational analysis followed by hierarchical clustering is
performed. This analysis suggests ten classes of relatively independent audio
descriptors, showing that the Timbre Toolbox is a multidimensional instrument for
the measurement of the acoustical structure of complex sound signals.
PMID- 22087920
TI - Effects of inducer continuity on auditory stream segregation: comparison of
physical and perceived continuity in different contexts.
AB - The factors influencing the stream segregation of discrete tones and the
perceived continuity of discrete tones as continuing through an interrupting
masker are well understood as separate phenomena. Two experiments tested whether
perceived continuity can influence the build-up of stream segregation by
manipulating the perception of continuity during an induction sequence and
measuring streaming in a subsequent test sequence comprising three triplets of
low and high frequency tones (LHL-[ellipsis (horizontal)]). For experiment 1, a
1.2-s standard induction sequence comprising six 100-ms L-tones strongly promoted
segregation, whereas a single extended L-inducer (1.1 s plus 100-ms silence) did
not. Segregation was similar to that following the single extended inducer when
perceived continuity was evoked by inserting noise bursts between the individual
tones. Reported segregation increased when the noise level was reduced such that
perceived continuity no longer occurred. Experiment 2 presented a 1.3-s
continuous inducer created by bridging the 100-ms silence between an extended L
inducer and the first test-sequence tone. This configuration strongly promoted
segregation. Segregation was also increased by filling the silence after the
extended inducer with noise, such that it was perceived like a bridging inducer.
Like physical continuity, perceived continuity can promote or reduce test
sequence streaming, depending on stimulus context.
PMID- 22087922
TI - Binaural interference in bilateral cochlear-implant listeners.
AB - This work was aimed at determining whether binaural interference occurs in
electric hearing, and if so, whether it occurs as a consequence of perceptual
grouping (central explanation) or if it is related to the spread of excitation in
the cochlea (peripheral explanation). Six bilateral cochlear-implant listeners
completed a series of experiments in which they judged the lateral position of a
target pulse train, lateralized via interaural time or level differences, in the
presence of an interfering diotic pulse train. The target and interferer were
presented at widely separated electrode pairs (one basal and one apical). The
results are broadly similar to those reported for acoustic hearing. All listeners
but one showed significant binaural interference in at least one of the stimulus
conditions. In all cases of interference, a robust recovery was observed when the
interferer was presented as part of an ongoing stream of identical pulse trains,
suggesting that the interference was at least partly centrally mediated. Overall,
the results suggest that both simultaneous and sequential grouping mechanisms
operate in electric hearing, at least for stimuli with a wide tonotopic
separation.
PMID- 22087921
TI - Level-dependent changes in detection of temporal gaps in noise markers by adults
with normal and impaired hearing.
AB - Compression in the basilar-membrane input-output response flattens the temporal
envelope of a fluctuating signal when more gain is applied to lower level than
higher level temporal components. As a result, level-dependent changes in gap
detection for signals with different depths of envelope fluctuation and for
subjects with normal and impaired hearing may reveal effects of compression. To
test these assumptions, gap detection with and without a broadband noise was
measured with 1, 000-Hz-wide (flatter) and 50-Hz-wide (fluctuating) noise markers
as a function of marker level. As marker level increased, background level also
increased, maintaining a fixed acoustic signal-to-noise ratio (SNR) to minimize
sensation-level effects on gap detection. Significant level-dependent changes in
gap detection were observed, consistent with effects of cochlear compression. For
the flatter marker, gap detection that declines with increases in level up to mid
levels and improves with further increases in level may be explained by an
effective flattening of the temporal envelope at mid levels, where compression
effects are expected to be strongest. A flatter effective temporal envelope
corresponds to a reduced effective SNR. The effects of a reduction in compression
(resulting in larger effective SNRs) may contribute to better-than-normal gap
detection observed for some hearing-impaired listeners.
PMID- 22087923
TI - Combined spectral and temporal enhancement to improve cochlear-implant speech
perception.
AB - The present study examined the effect of combined spectral and temporal
enhancement on speech recognition by cochlear-implant (CI) users in quiet and in
noise. The spectral enhancement was achieved by expanding the short-term Fourier
amplitudes in the input signal. Additionally, a variation of the Transient
Emphasis Spectral Maxima (TESM) strategy was applied to enhance the short
duration consonant cues that are otherwise suppressed when processed with
spectral expansion. Nine CI users were tested on phoneme recognition tasks and
ten CI users were tested on sentence recognition tasks both in quiet and in
steady, speech-spectrum-shaped noise. Vowel and consonant recognition in noise
were significantly improved with spectral expansion combined with TESM. Sentence
recognition improved with both spectral expansion and spectral expansion combined
with TESM. The amount of improvement varied with individual CI users. Overall the
present results suggest that customized processing is needed to optimize
performance according to not only individual users but also listening conditions.
PMID- 22087924
TI - Phonation threshold pressure and onset frequency in a two-layer physical model of
the vocal folds.
AB - The influence of vocal fold geometry and stiffness on phonation onset was
experimentally investigated using a body-cover physical model of the vocal folds.
Results showed that a lower phonation threshold pressure and phonation onset
frequency can be achieved by reducing body-layer or cover-layer stiffness,
reducing medial surface thickness, or increasing cover-layer depth. Increasing
body-layer stiffness also restricted vocal fold motion to the cover layer and
reduced prephonatory glottal opening. Excitation of anterior-posterior modes was
also observed, particularly for large values of the body-cover stiffness ratio.
The results of this study were also discussed in relation to previous theoretical
and experimental studies.
PMID- 22087925
TI - Segmentation of expiratory and inspiratory sounds in baby cry audio recordings
using hidden Markov models.
AB - The paper describes an application of machine learning techniques to identify
expiratory and inspiration phases from the audio recording of human baby cries.
Crying episodes were recorded from 14 infants, spanning four vocalization
contexts in their first 12 months of age; recordings from three individuals were
annotated manually to identify expiratory and inspiratory sounds and used as
training examples to segment automatically the recordings of the other 11
individuals. The proposed algorithm uses a hidden Markov model architecture, in
which state likelihoods are estimated either with Gaussian mixture models or by
converting the classification decisions of a support vector machine. The
algorithm yields up to 95% classification precision (86% average), and its
ability generalizes over different babies, different ages, and vocalization
contexts. The technique offers an opportunity to quantify expiration duration,
count the crying rate, and other time-related characteristics of baby crying for
screening, diagnosis, and research purposes over large populations of infants.
PMID- 22087926
TI - A cross-language study of compensation in response to real-time formant
perturbation.
AB - Past studies have shown that when formants are perturbed in real time, speakers
spontaneously compensate for the perturbation by changing their formant
frequencies in the opposite direction to the perturbation. Further, the pattern
of these results suggests that the processing of auditory feedback error operates
at a purely acoustic level. This hypothesis was tested by comparing the response
of three language groups to real-time formant perturbations, (1) native English
speakers producing an English vowel /epsilon/, (2) native Japanese speakers
producing a Japanese vowel (/e([inverted perpendicular])/), and (3) native
Japanese speakers learning English, producing /epsilon/. All three groups showed
similar production patterns when F1 was decreased; however, when F1 was
increased, the Japanese groups did not compensate as much as the native English
speakers. Due to this asymmetry, the hypothesis that the compensatory production
for formant perturbation operates at a purely acoustic level was rejected.
Rather, some level of phonological processing influences the feedback processing
behavior.
PMID- 22087927
TI - The interpretation of speech reception threshold data in normal-hearing and
hearing-impaired listeners: steady-state noise.
AB - Speech-in-noise-measurements are important in clinical practice and have been the
subject of research for a long time. The results of these measurements are often
described in terms of the speech reception threshold (SRT) and SNR loss. Using
the basic concepts that underlie several models of speech recognition in steady
state noise, the present study shows that these measures are ill-defined, most
importantly because the slope of the speech recognition functions for hearing
impaired listeners always decreases with hearing loss. This slope can be
determined from the slope of the normal-hearing speech recognition function when
the SRT for the hearing-impaired listener is known. The SII-function (i.e., the
speech intelligibility index (SII) against SNR) is important and provides
insights into many potential pitfalls when interpreting SRT data. Standardized
SNR loss, sSNR loss, is introduced as a universal measure of hearing loss for
speech in steady-state noise. Experimental data demonstrates that, unlike the SRT
or SNR loss, sSNR loss is invariant to the target point chosen, the scoring
method or the type of speech material.
PMID- 22087928
TI - Prediction of the influence of reverberation on binaural speech intelligibility
in noise and in quiet.
AB - Reverberation usually degrades speech intelligibility for spatially separated
speech and noise sources since spatial unmasking is reduced and late reflections
decrease the fidelity of the received speech signal. The latter effect could not
satisfactorily be predicted by a recently presented binaural speech
intelligibility model [Beutelmann et al. (2010). J. Acoust. Soc. Am. 127, 2479
2497]. This study therefore evaluated three extensions of the model to improve
its predictions: (1) an extension of the speech intelligibility index based on
modulation transfer functions, (2) a correction factor based on the room
acoustical quantity "definition," and (3) a separation of the speech signal into
useful and detrimental parts. The predictions were compared to results of two
experiments in which speech reception thresholds were measured in a reverberant
room in quiet and in the presence of a noise source for listeners with normal
hearing. All extensions yielded better predictions than the original model when
the influence of reverberation was strong, while predictions were similar for
conditions with less reverberation. Although model (3) differed substantially in
the assumed interaction of binaural processing and early reflections, its
predictions were very similar to model (2) that achieved the best fit to the
data.
PMID- 22087929
TI - An evaluation of objective measures for intelligibility prediction of time
frequency weighted noisy speech.
AB - Existing objective speech-intelligibility measures are suitable for several types
of degradation, however, it turns out that they are less appropriate in cases
where noisy speech is processed by a time-frequency weighting. To this end, an
extensive evaluation is presented of objective measure for intelligibility
prediction of noisy speech processed with a technique called ideal time frequency
(TF) segregation. In total 17 measures are evaluated, including four advanced
speech-intelligibility measures (CSII, CSTI, NSEC, DAU), the advanced speech
quality measure (PESQ), and several frame-based measures (e.g., SSNR).
Furthermore, several additional measures are proposed. The study comprised a
total number of 168 different TF-weightings, including unprocessed noisy speech.
Out of all measures, the proposed frame-based measure MCC gave the best results
(rho = 0.93). An additional experiment shows that the good performing measures in
this study also show high correlation with the intelligibility of single-channel
noise reduced speech.
PMID- 22087931
TI - Linking multiple relaxation, power-law attenuation, and fractional wave
equations.
AB - The acoustic wave attenuation is described by an experimentally established
frequency power law in a variety of complex media, e.g., biological tissue,
polymers, rocks, and rubber. Recent papers present a variety of acoustical
fractional derivative wave equations that have the ability to model power-law
attenuation. On the other hand, a multiple relaxation model is widely recognized
as a physically based description of the acoustic loss mechanisms as developed by
Nachman et al. [J. Acoust. Soc. Am. 88, 1584-1595 (1990)]. Through assumption of
a continuum of relaxation mechanisms, each with an effective compressibility
described by a distribution related to the Mittag-Leffler function, this paper
shows that the wave equation corresponding to the multiple relaxation approach is
identical to a given fractional derivative wave equation. This work therefore
provides a physically based motivation for use of fractional wave equations in
acoustic modeling.
PMID- 22087930
TI - The effects of selective consonant amplification on sentence recognition in noise
by hearing-impaired listeners.
AB - Weak consonants (e.g., stops) are more susceptible to noise than vowels, owing
partially to their lower intensity. This raises the question whether hearing
impaired (HI) listeners are able to perceive (and utilize effectively) the high
frequency cues present in consonants. To answer this question, HI listeners were
presented with clean (noise absent) weak consonants in otherwise noise-corrupted
sentences. Results indicated that HI listeners received significant benefit in
intelligibility (4 dB decrease in speech reception threshold) when they had
access to clean consonant information. At extremely low signal-to-noise ratio
(SNR) levels, however, HI listeners received only 64% of the benefit obtained by
normal-hearing listeners. This lack of equitable benefit was investigated in
Experiment 2 by testing the hypothesis that the high-frequency cues present in
consonants were not audible to HI listeners. This was tested by selectively
amplifying the noisy consonants while leaving the noisy sonorant sounds (e.g.,
vowels) unaltered. Listening tests indicated small (~10%), but statistically
significant, improvements in intelligibility at low SNR conditions when the
consonants were amplified in the high-frequency region. Selective consonant
amplification provided reliable low-frequency acoustic landmarks that in turn
facilitated a better lexical segmentation of the speech stream and contributed to
the small improvement in intelligibility.
PMID- 22087932
TI - Quantifying seismic survey reverberation off the Alaskan North Slope.
AB - Shallow-water airgun survey activities off the North Slope of Alaska generate
impulsive sounds that are the focus of much regulatory attention. Reverberation
from repetitive airgun shots, however, can also increase background noise levels,
which can decrease the detection range of nearby passive acoustic monitoring
(PAM) systems. Typical acoustic metrics for impulsive signals provide no
quantitative information about reverberation or its relative effect on the
ambient acoustic environment. Here, two conservative metrics are defined for
quantifying reverberation: a minimum level metric measures reverberation levels
that exist between airgun pulse arrivals, while a reverberation metric estimates
the relative magnitude of reverberation vs expected ambient levels in the
hypothetical absence of airgun activity, using satellite-measured wind data. The
metrics are applied to acoustic data measured by autonomous recorders in the
Alaskan Beaufort Sea in 2008 and demonstrate how seismic surveys can increase the
background noise over natural ambient levels by 30-45 dB within 1 km of the
activity, by 10-25 dB within 15 km of the activity, and by a few dB at 128 km
range. These results suggest that shallow-water reverberation would reduce the
performance of nearby PAM systems when monitoring for marine mammals within a few
kilometers of shallow-water seismic surveys.
PMID- 22087933
TI - The mechanism of interaction between focused ultrasound and microbubbles in blood
brain barrier opening in mice.
AB - The activation of bubbles by an acoustic field has been shown to temporarily open
the blood-brain barrier (BBB), but the trigger cause responsible for the
physiological effects involved in the process of BBB opening remains unknown.
Here, the trigger cause (i.e., physical mechanism) of the focused ultrasound
induced BBB opening with monodispersed microbubbles is identified. Sixty-seven
mice were injected intravenously with bubbles of 1-2, 4-5, or 6-8 MUm in diameter
and the concentration of 10(7) numbers/ml. The right hippocampus of each mouse
was then sonicated using focused ultrasound (1.5 MHz frequency, 100 cycles pulse
length, 10 Hz pulse repetition frequency, 1 min duration). Peak-rarefactional
pressures of 0.15, 0.30, 0.45, or 0.60 MPa were applied to identify the threshold
of BBB opening and inertial cavitation (IC). Our results suggest that the BBB
opens with nonlinear bubble oscillation when the bubble diameter is similar to
the capillary diameter and with inertial cavitation when it is not. The bubble
may thus have to be in contact with the capillary wall to induce BBB opening
without IC. BBB opening was shown capable of being induced safely with nonlinear
bubble oscillation at the pressure threshold and its volume was highly dependent
on both the acoustic pressure and bubble diameter.
PMID- 22087934
TI - Low frequency narrow-band calls in bottlenose dolphins (Tursiops truncatus):
signal properties, function, and conservation implications.
AB - Dolphins routinely use sound for social purposes, foraging and navigating. These
sounds are most commonly classified as whistles (tonal, frequency modulated,
typical frequencies 5-10 kHz) or clicks (impulsed and mostly ultrasonic).
However, some low frequency sounds have been documented in several species of
dolphins. Low frequency sounds produced by bottlenose dolphins (Tursiops
truncatus) were recorded in three locations along the Gulf of Mexico. Sounds were
characterized as being tonal with low peak frequencies (mean = 990 Hz), short
duration (mean = 0.069 s), highly harmonic, and being produced in trains. Sound
duration, peak frequency and number of sounds in trains were not significantly
different between Mississippi and the two West Florida sites, however, the time
interval between sounds within trains in West Florida was significantly shorter
than in Mississippi (t = -3.001, p = 0.011). The sounds were significantly
correlated with groups engaging in social activity (F=8.323, p=0.005). The peak
frequencies of these sounds were below what is normally thought of as the range
of good hearing in bottlenose dolphins, and are likely subject to masking by boat
noise.
PMID- 22087935
TI - Characteristics of biosonar signals from the northern bottlenose whale,
Hyperoodon ampullatus.
AB - The biosonar pulses from free-ranging northern bottlenose whales (Hyperoodon
ampullatus) were recorded with a linear hydrophone array. Signals fulfilling
criteria for being recorded close to the acoustic axis of the animal (a total of
10 clicks) had a frequency upsweep from 20 to 55 kHz and durations of 207 to 377
MUs (measured as the time interval containing 95% of the signal energy). The
source level of these signals, denoted pulses, was 175-202 dB re 1 MUPa rms at 1
m. The pulses had a directionality index of at least 18 dB. Interpulse intervals
ranged from 73 to 949 ms (N = 856). Signals of higher repetition rates had
interclick intervals of 5.8-13.1 ms (two sequences, made up of 59 and 410 clicks,
respectively). These signals, denoted clicks, had a shorter duration (43-200 MUs)
and did not have the frequency upsweep characterizing the pulses of low
repetition rates. The data show that the northern bottlenose whale emits signals
similar to three other species of beaked whale. These signals are distinct from
the three other types of biosonar signals of toothed whales. It remains unclear
why the signals show this grouping, and what consequences it has on echolocation
performance.
PMID- 22087936
TI - Similarities in echolocation strategy and click characteristics between a
Pseudorca crassidens and a Tursiops truncatus.
AB - A previous comparative analysis of normalized click amplitude spectra from a
Tursiops truncatus has shown that those frequencies with the lowest click-to
click variability in spectral content were the frequencies the animal paid
attention to during target discrimination tasks. In that case, the dolphin only
paid attention to the frequency range between 29-42 kHz which had a significantly
higher degree of consistency in spectral content than frequencies above 42 kHz.
Here it is shown that despite their morphological and behavioral differences,
this same pattern of consistency was used by a Pseudorca crassidens performing a
similar discrimination task. This comparison between species provides a
foundation for using spectral level variability to determine the frequencies most
important for echolocation in rare species and non-captive animals. Such results
provide key information for successful management.
PMID- 22087937
TI - Source level reduction and sonar beam aiming in landing big brown bats (Eptesicus
fuscus).
AB - Reduction of echolocation call source levels in bats has previously been studied
using set-ups with one microphone. By using a 16 microphone array, sound pressure
level (SPL) variations, possibly caused by the scanning movements of the bat, can
be excluded and the sonar beam aiming can be studied. During the last two meters
of approach flights to a landing platform in a large flight room, five big brown
bats aimed sonar beams at the landing site and reduced the source level on
average by 7 dB per halving of distance. Considerable variation was found among
the five individuals in the amount of source level reduction ranging from 4 to 9
dB per halving of distance. These results are discussed with respect to automatic
gain control and intensity compensation and the combination of the two effects.
It is argued that the two effects together do not lead to a stable echo level at
the cochlea. This excludes a tightly coupled closed loop feed back control system
as an explanation for the observed reduction of signal SPL in landing big brown
bats.
PMID- 22087938
TI - Effects of noise levels and call types on the source levels of killer whale
calls.
AB - Accurate parameter estimates relevant to the vocal behavior of marine mammals are
needed to assess potential effects of anthropogenic sound exposure including how
masking noise reduces the active space of sounds used for communication.
Information about how these animals modify their vocal behavior in response to
noise exposure is also needed for such assessment. Prior studies have reported
variations in the source levels of killer whale sounds, and a more recent study
reported that killer whales compensate for vessel masking noise by increasing
their call amplitude. The objectives of the current study were to investigate the
source levels of a variety of call types in southern resident killer whales while
also considering background noise level as a likely factor related to call source
level variability. The source levels of 763 discrete calls along with
corresponding background noise were measured over three summer field seasons in
the waters surrounding the San Juan Islands, WA. Both noise level and call type
were significant factors on call source levels (1-40 kHz band, range of 135.0
175.7 dB(rms) re 1 [micro sign]Pa at 1 m). These factors should be considered in
models that predict how anthropogenic masking noise reduces vocal communication
space in marine mammals.
PMID- 22087939
TI - A re-evaluation of auditory filter shape in delphinid odontocetes: evidence of
constant-bandwidth filters.
AB - The auditory filter shape of delphinid odontocetes was previously considered to
be typically mammalian constant-quality in which filter bandwidths increase
proportionally with frequency. Recent studies with porpoises demonstrate constant
bandwidth portions of the auditory filter. The critical ratios for a bottlenose
dolphin were measured between 40 and 120 kHz by behaviorally determining the
subject's ability to detect pure tones in the presence of white noise. Critical
ratios as a function of frequency were constant, indicating the auditory filter
acts as a constant-bandwidth system in this frequency range. Re-analysis of past
studies supports these findings, and suggests the delphinid auditory system is
best characterized as a constant-Q system below 40 kHz and a constant-bandwidth
like system between 40 kHz and 120 kHz before returning to a constant Q pattern
at the highest frequencies.
PMID- 22087940
TI - Effects of auditory recognition learning on the perception of vocal features in
European starlings (Sturnus vulgaris).
AB - Learning to recognize complex sensory signals can change the way they are
perceived. European starlings (Sturnus vulgaris) recognize other starlings by
their song, which consists of a series of complex, stereotyped motifs. Song
recognition learning is accompanied by plasticity in secondary auditory areas,
suggesting that perceptual learning is involved. Here, to investigate whether
perceptual learning can be observed behaviorally, a same-different operant task
was used to measure how starlings perceived small differences in motif structure.
Birds trained to recognize conspecific songs were better at detecting variations
in motifs from the songs they learned, even though this variation was not
directly necessary to learn the associative task. Discrimination also improved as
the reference stimulus was repeated multiple times. Perception of the much larger
differences between different motifs was unaffected by training. These results
indicate that sensory representations of motifs are enhanced when starlings learn
to recognize songs.
PMID- 22087941
TI - Subjective loudness level measurements and equal loudness contours in a
bottlenose dolphin (Tursiops truncatus).
AB - Loudness level measurements in human listeners are straightforward; however, it
is difficult to convey the concepts of loudness matching or loudness comparison
to (non-human) animals. For this reason, prior studies have relied upon objective
measurements, such as response latency, to estimate equal loudness contours in
animals. In this study, a bottlenose dolphin was trained to perform a loudness
comparison test, where the listener indicates which of two sequential tones is
louder. To enable reward of the dolphin, most trials featured tones with
identical or similar frequencies, but relatively large sound pressure level
differences, so that the loudness relationship was known. A relatively small
percentage of trials were "probe" trials, with tone pairs whose loudness
relationship was not known. Responses to the probe trials were used to construct
psychometric functions describing the loudness relationship between a tone at a
particular frequency and sound pressure level and that of a reference tone at 10
kHz with a sound pressure level of 90, 105, or 115 dB re 1 MUPa. The loudness
relationships were then used to construct equal loudness contours and auditory
weighting functions that can be used to predict the frequency-dependent effects
of noise on odontocetes.
PMID- 22087942
TI - Excitation threshold for subharmonic generation from contrast microbubbles.
AB - Six models of contrast microbubbles are investigated to determine the excitation
threshold for subharmonic generation. The models are applied to a commercial
contrast agent; its characteristic parameters according to each model are
determined using experimentally measured ultrasound attenuation. In contrast to
the classical perturbative result, the minimum threshold for subharmonic
generation is not always predicted at excitation with twice the resonance
frequency; instead it occurs over a range of frequencies from resonance to twice
the resonance frequency. The quantitative variation of the threshold with
frequency depends on the model and the bubble radius. All models are transformed
into a common interfacial rheological form, where the encapsulation is
represented by two radius dependent surface properties-effective surface tension
and surface dilatational viscosity. Variation of the effective surface tension
with radius, specifically having an upper limit (resulting from strain softening
or rupture of the encapsulation during expansion), plays a critical role. Without
the upper limit, the predicted threshold is extremely large, especially near the
resonance frequency. Having a lower limit on surface tension (e.g., zero surface
tension in the buckled state) increases the threshold value at twice the
resonance frequency, in some cases shifting the minimum threshold toward
resonance.
PMID- 22087943
TI - Comparison of fundamental, second harmonic, and superharmonic imaging: a
simulation study.
AB - In medical ultrasound, fundamental imaging (FI) uses the reflected echoes from
the same spectral band as that of the emitted pulse. The transmission frequency
determines the trade-off between penetration depth and spatial resolution. Tissue
harmonic imaging (THI) employs the second harmonic of the emitted frequency band
to construct images. Recently, superharmonic imaging (SHI) has been introduced,
which uses the third to the fifth (super) harmonics. The harmonic level is
determined by two competing phenomena: nonlinear propagation and frequency
dependent attenuation. Thus, the transmission frequency yielding the optimal
trade-off between the spatial resolution and the penetration depth differs for
THI and SHI. This paper quantitatively compares the concepts of fundamental,
second harmonic, and superharmonic echocardiography at their optimal transmission
frequencies. Forward propagation is modeled using a 3D-KZK implementation and the
iterative nonlinear contrast source (INCS) method. Backpropagation is assumed to
be linear. Results show that the fundamental lateral beamwidth is the narrowest
at focus, while the superharmonic one is narrower outside the focus. The lateral
superharmonic roll-off exceeds the fundamental and second harmonic roll-off.
Also, the axial resolution of SHI exceeds that of FI and THI. The far-field pulse
echo superharmonic pressure is lower than that of the fundamental and second
harmonic. SHI appears suited for echocardiography and is expected to improve its
image quality at the cost of a slight reduction in depth-of-field.
PMID- 22087991
TI - Bubbles. Foreword.
PMID- 22087992
TI - Review of scattering and extinction cross-sections, damping factors, and
resonance frequencies of a spherical gas bubble.
AB - Perhaps the most familiar concepts when discussing acoustic scattering by bubbles
are the resonance frequency for bubble pulsation, the bubbles' damping, and their
scattering and extinction cross-sections, all of which are used routinely in
oceanography, sonochemistry, and biomedicine. The apparent simplicity of these
concepts is illusory: there exist multiple, sometimes contradictory definitions
for their components. This paper reviews expressions and definitions in the
literature for acoustical cross-sections, resonance frequencies, and damping
factors of a spherically pulsating gas bubble in an infinite liquid medium,
deriving two expressions for "resonance frequency" that are compared and
reconciled with two others from the reviewed literature. In order to prevent
errors, care is needed by researchers when combining results from different
publications that might have used internally correct but mutually inconsistent
definitions. Expressions are presented for acoustical cross-sections associated
with forced pulsations damped by liquid shear and (oft-neglected) bulk or
dilatational viscosities, gas thermal diffusivity, and acoustic re-radiation. The
concept of a dimensionless "damping coefficient" is unsuitable for radiation
damping because different cross-sections would require different functional forms
for this parameter. Instead, terms based on the ratio of bubble radius to
acoustic wavelength are included explicitly in the cross-sections where needed.
PMID- 22087993
TI - The acoustic emissions of cavitation bubbles in stretched vortices.
AB - Pairs of unequal strength, counter-rotating vortices were produced in order to
examine the inception, dynamics, and acoustic emission of cavitation bubbles in
rapidly stretching vortices. The acoustic signatures of these cavitation bubbles
were characterized during their inception, growth, and collapse. Growing and
collapsing bubbles often produced a sharp, broadband, pop sound. The spectrum of
these bubbles, and the peak resonant frequency can generally be related to
quiescent flow bubble dynamics and corresponding resonant frequencies. However,
some elongated cavitation bubbles produced a short tonal burst, or chirp, with
frequencies on the order of a few kilohertz. Theses frequencies are too low to be
related to resonant frequencies of a bubble in a quiescent flow. Instead, the
frequency content of the acoustic signal during bubble inception and growth is
related to the volumetric oscillations of the bubble while it interacted with
vortical flow that surrounds the bubble (i.e., the resonant frequency of the
vortex-bubble system). A relationship was determined between the observed peak
frequency of the oscillations, the highly stretched vortex properties, and the
water nuclei content. It was found that different cavitation spectra could relate
to different flow and fluid properties and therefore would not scale in the same
manner.
PMID- 22087994
TI - Regimes of bubble volume oscillations in a pipe.
AB - The effect of an acoustically driven bubble on the acoustics of a liquid-filled
pipe is theoretically analyzed and the dimensionless groups of the problem are
identified. The different regimes of bubble volume oscillations are predicted
theoretically with these dimensionless groups. Three main regimes can be
identified: (1) For small bubbles and weak driving, the effect of the bubble
oscillations on the acoustic field can be neglected. (2) For larger bubbles and
still small driving, the bubble affects the acoustic field, but due to the small
driving, a linear theory is sufficient. (3) For large bubbles and large driving,
the two-way coupling between the bubble and the flow dynamics requires the
solution of the full nonlinear problem. The developed theory is then applied to
an air bubble in a channel of an inkjet printhead. A numerical model is developed
to test the predictions of the theoretical analysis. The Rayleigh-Plesset
equation is extended to include the influence of the bubble volume oscillations
on the acoustic field and vice versa. This modified Rayleigh-Plesset equation is
coupled to a channel acoustics calculation and a Navier-Stokes solver for the
flow in the nozzle. The numerical simulations indeed confirm the predictions of
the theoretical analysis.
PMID- 22087995
TI - Effect of static pressure on acoustic energy radiated by cavitation bubbles in
viscous liquids under ultrasound.
AB - The effect of static pressure on acoustic emissions including shock-wave
emissions from cavitation bubbles in viscous liquids under ultrasound has been
studied by numerical simulations in order to investigate the effect of static
pressure on dispersion of nano-particles in liquids by ultrasound. The results of
the numerical simulations for bubbles of 5 MUm in equilibrium radius at 20 kHz
have indicated that the optimal static pressure which maximizes the energy of
acoustic waves radiated by a bubble per acoustic cycle increases as the acoustic
pressure amplitude increases or the viscosity of the solution decreases. It
qualitatively agrees with the experimental results by Sauter et al. [Ultrason.
Sonochem. 15, 517 (2008)]. In liquids with relatively high viscosity (~200 mPa
s), a bubble collapses more violently than in pure water when the acoustic
pressure amplitude is relatively large (~20 bar). In a mixture of bubbles of
different equilibrium radius (3 and 5 MUm), the acoustic energy radiated by a 5
MUm bubble is much larger than that by a 3 MUm bubble due to the interaction with
bubbles of different equilibrium radius. The acoustic energy radiated by a 5 MUm
bubble is substantially increased by the interaction with 3 MUm bubbles.
PMID- 22087996
TI - Validation of an approximate model for the thermal behavior in acoustically
driven bubbles.
AB - The chemical production of radicals inside acoustically driven bubbles is
determined by the local temperature inside the bubbles. Therefore, modeling of
chemical reaction rates in bubbles requires an accurate evaluation of the
temperature field and the heat exchange with the liquid. The aim of the present
work is to compare a detailed partial differential equation model in which the
temperature field is spatially resolved with an ordinary differential equation
model in which the bubble contents are assumed to have a uniform average
temperature and the heat exchanges are modeled by means of a boundary layer
approximation. The two models show good agreement in the range of pressure
amplitudes in which the bubble is spherically stable.
PMID- 22087997
TI - Modeling cavitation nucleation from laser-illuminated nanoparticles subjected to
acoustic stress.
AB - In an earlier work by Farny et al. [ARLO 6, 138-143 (2005).] it was demonstrated
that the acoustic cavitation threshold in a tissue mimicking gel phantom can be
lowered from 4.5 to ~1 MPa by "seeding" the optically transparent phantom with
light absorptive gold nanoparticles and irradiating these absorbers with
nanosecond pulses of laser light at intensities less than 10 mJ/cm(2). As a
follow-up study, a three-stage numerical model was developed to account for
prenucleation heating, the nucleation and formation of the vapor cavity, and the
resulting vapor bubble dynamics. Through examination of the radius-time evolution
of the cavity, the combined thresholds for laser radiant exposure and acoustic
peak pressure required to induce inertial cavitation are deduced. It is found
that the threshold pressure decreases when laser exposure increases; but the rate
depends on exposure levels and the size of the particle. Investigations of the
roles of particle size and laser pulse length are performed and optimum choices
for these parameters determined in order to obtain inertial cavitation at the
lowest possible acoustic pressure and laser intensity.
PMID- 22087998
TI - A fractional Fourier transform analysis of a bubble excited by an ultrasonic
chirp.
AB - The fractional Fourier transform is proposed here as a model based, signal
processing technique for determining the size of a bubble in a fluid. The bubble
is insonified with an ultrasonic chirp and the radiated pressure field is
recorded. This experimental bubble response is then compared with a series of
theoretical model responses to identify the most accurate match between
experiment and theory which allows the correct bubble size to be identified. The
fractional Fourier transform is used to produce a more detailed description of
each response, and two-dimensional cross correlation is then employed to identify
the similarities between the experimental response and each theoretical response.
In this paper the experimental bubble response is simulated by adding various
levels of noise to the theoretical model output. The method is compared to the
standard technique of using time-domain cross correlation. The proposed method is
shown to be far more robust at correctly sizing the bubble and can cope with much
lower signal to noise ratios.
PMID- 22087999
TI - Combined optical and acoustical detection of single microbubble dynamics.
AB - A detailed understanding of the response of single microbubbles subjected to
ultrasound is fundamental to a full understanding of the contrast-enhancing
abilities of microbubbles in medical ultrasound imaging, in targeted molecular
imaging with ultrasound, and in ultrasound-mediated drug delivery with
microbubbles. Here, single microbubbles are isolated and their ultrasound-induced
radial dynamics recorded with an ultra-high-speed camera at up to 25 million
frames per second. The sound emission is recorded simultaneously with a
calibrated single element transducer. It is shown that the sound emission can be
predicted directly from the optically recorded radial dynamics, and vice versa,
that the nanometer-scale radial dynamics can be predicted from the acoustic
response recorded in the far field.
PMID- 22088000
TI - Ambient acceleration dependence of single-bubble sonoluminescence.
AB - Much of the research performed to study SBSL deals with the influence of external
parameters (e.g., the host water temperature, the ambient pressure, the type and
amount of dissolved gas in the liquid, to name a few) on the bubble dynamics and
light emission. In the current paper, work carried out to study the influence of
another external parameter-ambient acceleration-is described. The experiments
described here were performed on the NASA KC-135 which provided both periods of
reduced gravity (10(-3) g) and increased gravity (1.8 g) by flying repeated
parabolic maneuvers. The resulting measurements are compared with the predictions
of a numerical model and can be understood in the context of the changing
hydrostatic head pressure and buoyant force acting on the bubble.
PMID- 22088001
TI - Quantitative observations of cavitation activity in a viscoelastic medium.
AB - Quantitative experimental observations of single-bubble cavitation in
viscoelastic media that would enable validation of existing models are presently
lacking. In the present work, single bubble cavitation is induced in an agar gel
using a 1.15 MHz high intensity focused ultrasound transducer, and observed using
a focused single-element passive cavitation detection (PCD) transducer. To enable
quantitative observations, a full receive calibration is carried out of a
spherically focused PCD system by a bistatic scattering substitution technique
that uses an embedded spherical scatterer and a hydrophone. Adjusting the
simulated pressure received by the PCD by the transfer function on receive and
the frequency-dependent attenuation of agar gel enables direct comparison of the
measured acoustic emissions with those predicted by numerical modeling of single
bubble cavitation using a modified Keller-Miksis approach that accounts for
viscoelasticity of the surrounding medium. At an incident peak rarefactional
pressure near the cavitation threshold, period multiplying is observed in both
experiment and numerical model. By comparing the two sets of results, an estimate
of the equilibrium bubble radius in the experimental observations can be made,
with potential for extension to material parameter estimation. Use of these
estimates yields good agreement between model and experiment.
PMID- 22088002
TI - Investigation of noninertial cavitation produced by an ultrasonic horn.
AB - This paper reports on noninertial cavitation that occurs beyond the zone close to
the horn tip to which the inertial cavitation is confined. The noninertial
cavitation is characterized by collating the data from a range of measurements of
bubbles trapped on a solid surface in this noninertial zone. Specifically, the
electrochemical measurement of mass transfer to an electrode is compared with
high-speed video of the bubble oscillation. This gas bubble is shown to be a
"noninertial" event by electrochemical surface erosion measurements and "ring
down" experiments showing the activity and motion of the bubble as the sound
excitation was terminated. These measurements enable characterization of the
complex environment produced below an operating ultrasonic horn outside of the
region where inertial collapse can be detected. The extent to which solid
boundaries in the liquid cause the frequencies and shapes of oscillatory modes on
the bubble wall to differ from their free field values is discussed.
PMID- 22088003
TI - Measuring and modeling the bubble population produced by an underwater explosion.
AB - Underwater explosions have been studied intensively in the United States since
1941 [e.g., R. H. Cole, Underwater Explosions (Princeton University Press,
Princeton, NJ, 1945), pp. 3-13]. Research to date has primarily focused on the
initial shock and subsequent pressure waves caused by the oscillations of the
"gas-globe" resulting from charge detonation. These phenomena have relatively
short timescales (typically less than 2 s). However, after the gas-globe rises
through the water column and breaks the surface, there remains behind a cloud of
bubbles and perhaps debris from the explosion container which has been markedly
less studied. A recent experiment measured the spatial and temporal acoustic
response of the bubble cloud resulting from a 13.6 kg PBXN-111 charge detonated
at 15.2 m (50 ft) depth. A directional projector was used to propagate linear
frequency-modulated (5-65 kHz) and 40 kHz tonal pulses through the bubble cloud.
Two hydrophone arrays were positioned so as to measure the energy lost in
propagating through the bubble cloud. Three methods have been utilized to invert
measurements and estimate the bubble population. The bubble population estimates
have been used to develop a model for the bubble population resulting from an
underwater explosion.
PMID- 22088004
TI - Suppressing shape instabilities to discover the Bjerknes force instability (L).
AB - For sufficiently strong acoustic forcing in a standing wave field, subresonant
size bubbles are predicted to be repelled from the pressure antinode. Single
bubble sonoluminescence (SBSL) conditions in water do not allow the observation
of this instability. This study investigates the possibility that increasing the
viscosity of the host liquid can preferentially suppress shape instabilities of a
bubble and allow SBSL experiments to be limited by the Bjerknes force
instability.
PMID- 22088005
TI - Sound propagation in water containing large tethered spherical encapsulated gas
bubbles with resonance frequencies in the 50 Hz to 100 Hz range.
AB - The efficacy of large tethered encapsulated gas bubbles for the mitigation of low
frequency underwater noise was investigated with an acoustic resonator technique.
Tethered latex balloons were used as the bubbles, which had radii of
approximately 5 cm. Phase speeds were inferred from the resonances of a water and
balloon-filled waveguide approximately 1.8 m in length. The Commander and
Prosperetti effective-medium model [J. Acoust. Soc. Am. 85, 732-746 (1989)]
quantitatively described the observed dispersion from well below to just below
the individual bubble resonance frequency, and it qualitatively predicted the
frequency range of high attenuation for void fractions between 2% and 5% for
collections of stationary balloons within the waveguide. A finite-element model
was used to investigate the sensitivity of the waveguide resonance frequencies,
and hence the inferred phase speeds, to changes in individual bubble size and
position. The results indicate that large tethered encapsulated bubbles could be
used mitigate low frequency underwater noise and that the Commander and
Prosperetti model would be useful in the design of such a system.
PMID- 22088006
TI - The inertial terms in equations of motion for bubbles in tubular vessels or
between plates.
AB - Equations resembling the Rayleigh-Plesset and Keller-Miksis equations are
frequently used to model bubble dynamics in confined spaces, using the standard
inertial term RR+3R([middle dot]) (2)/2, where R is the bubble radius. This
practice has been widely assumed to be defensible if the bubble is much smaller
than the radius of the confining vessel. This paper questions this assumption,
and provides a simple rigid wall model for worst-case quantification of the
effect on the inertial term of the specific confinement geometry. The relevance
to a range of scenarios (including bubbles confined in microfluidic devices; or
contained in test chambers for insonification or imaging; or in blood vessels) is
discussed.
PMID- 22088007
TI - Dynamics of tandem bubble interaction in a microfluidic channel.
AB - The dynamics of tandem bubble interaction in a microfluidic channel (800 * 21
MUm, W * H) have been investigated using high-speed photography, with resultant
fluid motion characterized by particle imaging velocimetry. A single or tandem
bubble is produced reliably via laser absorption by micron-sized gold dots (6 MUm
in diameter with 40 MUm in separation distance) coated on a glass surface of the
microfluidic channel. Using two pulsed Nd:YAG lasers at lambda = 1064 nm and ~10
MUJ/pulse, the dynamics of tandem bubble interaction (individual maximum bubble
diameter of 50 MUm with a corresponding collapse time of 5.7 MUs) are examined at
different phase delays. In close proximity (i.e., interbubble distance = 40 MUm
or gamma = 0.8), the tandem bubbles interact strongly with each other, leading to
asymmetric deformation of the bubble walls and jet formation, as well as the
production of two pairs of vortices in the surrounding fluid rotating in opposite
directions. The direction and speed of the jet (up to 95 m/s), as well as the
orientation and strength of the vortices can be varied by adjusting the phase
delay.
PMID- 22088009
TI - Model for the dynamics of two interacting axisymmetric spherical bubbles
undergoing small shape oscillations.
AB - Interaction between acoustically driven or laser-generated bubbles causes the
bubble surfaces to deform. Dynamical equations describing the motion of two
translating, nominally spherical bubbles undergoing small shape oscillations in a
viscous liquid are derived using Lagrangian mechanics. Deformation of the bubble
surfaces is taken into account by including quadrupole and octupole perturbations
in the spherical-harmonic expansion of the boundary conditions on the bubbles.
Quadratic terms in the quadrupole and octupole amplitudes are retained, and
surface tension and shear viscosity are included in a consistent manner. A set of
eight coupled second-order ordinary differential equations is obtained.
Simulation results, obtained by numerical integration of the model equations,
exhibit qualitative agreement with experimental observations by predicting the
formation of liquid jets. Simulations also suggest that bubble-bubble
interactions act to enhance surface mode instability.
PMID- 22088008
TI - Natural frequencies of two bubbles in a compliant tube: analytical, simulation,
and experimental results.
AB - Motivated by various clinical applications of ultrasound contrast agents within
blood vessels, the natural frequencies of two bubbles in a compliant tube are
studied analytically, numerically, and experimentally. A lumped parameter model
for a five degree of freedom system was developed, accounting for the compliance
of the tube and coupled response of the two bubbles. The results were compared to
those produced by two different simulation methods: (1) an axisymmetric coupled
boundary element and finite element code previously used to investigate the
response of a single bubble in a compliant tube and (2) finite element models
developed in comsol Multiphysics. For the simplified case of two bubbles in a
rigid tube, the lumped parameter model predicts two frequencies for in- and out
of-phase oscillations, in good agreement with both numerical simulation and
experimental results. For two bubbles in a compliant tube, the lumped parameter
model predicts four nonzero frequencies, each asymptotically converging to
expected values in the rigid and compliant limits of the tube material.
PMID- 22088010
TI - Bubble dynamics in a standing sound field: the bubble habitat.
AB - Bubble dynamics is investigated numerically with special emphasis on the static
pressure and the positional stability of the bubble in a standing sound field.
The bubble habitat, made up of not dissolving, positionally and spherically
stable bubbles, is calculated in the parameter space of the bubble radius at rest
and sound pressure amplitude for different sound field frequencies, static
pressures, and gas concentrations of the liquid. The bubble habitat grows with
static pressure and shrinks with sound field frequency. The range of
diffusionally stable bubble oscillations, found at positive slopes of the habitat
diffusion border, can be increased substantially with static pressure.
PMID- 22088011
TI - Multiple observations of cavitation cluster dynamics close to an ultrasonic horn
tip.
AB - Bubble dynamics in water close to the tip of an ultrasonic horn (~23 kHz, 3 mm
diameter) have been studied using electrochemistry, luminescence, acoustics,
light scattering, and high-speed imaging. It is found that, under the conditions
employed, a large bubble cluster (~1.5 mm radius) exists at the tip of the horn.
This cluster collapses periodically every three to four cycles of the fundamental
frequency of the horn. Following the collapse of the cluster, a short-lived cloud
of small bubbles (each tens of microns in diameter) was observed in the solution.
Large amplitude pressure emissions are also recorded, which correlate temporally
with the cluster collapse. Bursts of surface erosion (measured in real time using
an electrochemical technique) and multibubble sonoluminescence emission both also
occur at a subharmonic of the fundamental frequency of the horn and are
temporally correlated with the bubble cluster collapse and the associated
pressure wave emission.
PMID- 22088012
TI - Optical nucleation of bubble clouds in a high pressure spherical resonator.
AB - An experimental setup for nucleating clouds of bubbles in a high-pressure
spherical resonator is described. Using nanosecond laser pulses and multiple
phase gratings, bubble clouds are optically nucleated in an acoustic field.
Dynamics of the clouds are captured using a high-speed CCD camera. The images
reveal cloud nucleation, growth, and collapse and the resulting emission of
radially expanding shockwaves. These shockwaves are reflected at the interior
surface of the resonator and then reconverge to the center of the resonator. As
the shocks reconverge upon the center of the resonator, they renucleate and grow
the bubble cloud. This process is repeated over many acoustic cycles and with
each successive shock reconvergence, the bubble cloud becomes more organized and
centralized so that subsequent collapses give rise to stronger, better defined
shockwaves. After many acoustic cycles individual bubbles cannot be distinguished
and the cloud is then referred to as a cluster. Sustainability of the process is
ultimately limited by the detuning of the acoustic field inside the resonator.
The nucleation parameter space is studied in terms of laser firing phase, laser
energy, and acoustic power used.
PMID- 22088013
TI - Consistency in statistical moments as a test for bubble cloud clustering.
AB - Frequency dependent measurements of attenuation and/or sound speed through clouds
of gas bubbles in liquids are often inverted to find the bubble size distribution
and the void fraction of gas. The inversions are often done using an effective
medium theory as a forward model under the assumption that the bubble positions
are Poisson distributed (i.e., statistically independent). Under circumstances in
which single scattering does not adequately describe the pressure field, the
assumption of independence in position can yield large errors when clustering is
present, leading to errors in the inverted bubble size distribution. It is
difficult, however, to determine the existence of clustering in bubble clouds
without the use of specialized acoustic or optical imaging equipment. A method is
described here in which the existence of bubble clustering can be identified by
examining the consistency between the first two statistical moments of multiple
frequency acoustic measurements.
PMID- 22088015
TI - The effect of wind-generated bubbles on sea-surface backscattering at 940 Hz.
AB - Reliable predictions of sea-surface backscattering strength are required for
sonar performance modeling. These are, however, difficult to obtain as
measurements of sea-surface backscattering are not available at small grazing
angles relevant to low-frequency active sonar (1-3 kHz). Accurate theoretical
predictions of scattering strength require a good understanding of physical
mechanisms giving rise to the scattering and the relative importance of these. In
this paper, scattering from individual resonant bubbles is introduced as a
potential mechanism and a scattering model is derived that incorporates the
contribution from these together with that of rough surface scattering. The model
results are fitted to Critical Sea Test (CST) measurements at a frequency of 940
Hz, treating the number of large bubbles, parameterized through the spectral
slope of the size spectrum for bubbles whose radii exceed 1 mm, as a free
parameter. This procedure illustrates that the CST data can be explained by
scattering from a small number of large resonant bubbles, indicating that these
provide an alternative mechanism to that of scattering from bubble clouds.
PMID- 22088014
TI - The use of an air bubble curtain to reduce the received sound levels for harbor
porpoises (Phocoena phocoena).
AB - In December 2005 construction work was started to replace a harbor wall in
Kerteminde harbor, Denmark. A total of 175 wooden piles were piled into the
ground at the waters edge over a period of 3 months. During the same period three
harbor porpoises were housed in a marine mammal facility on the opposite side of
the harbor. All animals showed strong avoidance reactions after the start of the
piling activities. As a measure to reduce the sound exposure for the animals an
air bubble curtain was constructed and operated in a direct path between the
piling site and the opening of the animals' semi-natural pool. The sound
attenuation effect achieved with this system was determined by quantitative
comparison of pile driving impulses simultaneously measured in front of and
behind the active air bubble curtain. Mean levels of sound attenuation over a
sequence of 95 consecutive pile strikes were 14 dB (standard deviation (s.d.) 3.4
dB) for peak to peak values and 13 dB (s.d. 2.5 dB) for SEL values. As soon as
the air bubble curtain was installed and operated, no further avoidance reactions
of the animals to the piling activities were apparent.
PMID- 22088016
TI - Improvements to the methods used to measure bubble attenuation using an
underwater acoustical resonator.
AB - Active acoustic techniques are commonly used to measure oceanic bubble size
distributions, by inverting the bulk acoustical properties of the water (usually
the attenuation) to infer the bubble population. Acoustical resonators have
previously been used to determine attenuation over a wide range of frequencies
(10-200 kHz) in a single measurement, corresponding to the simultaneous
measurement of a wide range of bubble sizes (20-300 MUm radii). However, there is
now also considerable interest in acquiring measurements of bubbles with radii
smaller than 16 MUm, since these are thought to be important for ocean optics and
as tracers for near-surface flow. To extend the bubble population measurement to
smaller radii, it is necessary to extend the attenuation measurements to higher
frequencies. Although the principles of resonator operation do not change as the
frequency increases, the assumptions previously made during the spectral analysis
may no longer be valid. In order to improve the methods used to calculate
attenuation from acoustical resonator outputs, a more complete analysis of the
resonator operation is presented here than has been published previously. This
approach allows for robust attenuation measurements over a much wider frequency
range and enables accurate measurements from lower-quality spectral peaks.
PMID- 22088017
TI - Clutter suppression and classification using twin inverted pulse sonar in ship
wakes.
AB - Twin inverted pulse sonar (TWIPS) is here deployed in the wake of a moored rigid
inflatable boat (RIB) with propeller turning, and then in the wake of a moving
tanker of 4580 dry weight tonnage (the Whitchallenger). This is done first to
test its ability to distinguish between scatter from the wake and scatter from
the seabed, and second to test its ability to improve detectability of the seabed
through the wake, compared to conventional sonar processing techniques. TWIPS
does this by distinguishing between linear and nonlinear scatterers and has the
further property of distinguishing those nonlinear targets which scatter energy
at the even-powered harmonics from those which scatter in the odd-powered
harmonics. TWIPS can also, in some manifestations, require no range correction
(and therefore does not require the a priori environment knowledge necessary for
most remote detection technologies).
PMID- 22088018
TI - Measurements of bubbles in sea water by nonstationary sound scattering.
AB - Methods for the characterization of bubbles in sea water by acoustic scattering
are analyzed. Nonstationary linear and nonlinear sound scattering methods are
proposed. The transient linear and nonlinear sound scattering allows the
scattering by resonant gas bubbles to be distinguished from the scattering by
other microinhomogeneities. The application of parametric arrays in oceanic
experiments, together with the broadband frequency analysis of the backscattering
coefficient, allows information about bubbles in sea water to be obtained.
Experimental results on sound scattering and gas bubble distribution functions
are presented for different conditions in the ocean.
PMID- 22088019
TI - Effect of temperature on rectified diffusion during ultrasound-induced heating.
AB - Experimental observations of delayed-onset cavitation during ultrasound
insonation have been suggested as being caused by a change in the size
distribution of the bubble population due to rectified diffusion. To investigate
this hypothesis, a single bubble model is used here to explore the effect of
heating and the subsequent elevated temperatures on the rectified diffusion
process. Numerical solution of the model, which includes the temperature
dependences of seven relevant physical parameters, allows quantification of the
change in the pressure threshold for rectified diffusion, as well as the
importance of the bulk liquid saturation concentration in determining bubble
evolution. Although elevated temperatures and liquid supersaturation reduce the
rectified diffusion threshold, it remains coincident with the inertial cavitation
thresholds at submicron bubble sizes at all temperatures. This observation
suggests that changes in the nucleation environment, rather than bubble growth
due to rectified diffusion, is a more likely cause of delayed-onset cavitation
events.
PMID- 22088020
TI - The effect of temperature and viscoelasticity on cavitation dynamics during
ultrasonic ablation.
AB - Inertial cavitation has been shown to enhance heating rates during high intensity
focused ultrasound treatments. Cavitation dynamics will be affected by heating
and by the changes in mechanical properties of tissue resultant from thermal
denaturation; however, the nature of the change is not known and forms the focus
of the current study. A Keller-Miksis equation is used to find the variation in
inertial cavitation threshold with temperature in water and, when coupled with a
Kelvin-Voigt viscoelastic model, in biological tissue. Simulated thermal ablation
treatments in liver and muscle are used to explore the changes in cavitation
dynamics, and the resultant frequency spectra of secondary acoustic emissions,
due to tissue denaturation. Results indicate that viscosity is the key parameter
controlling cavitation dynamics in biological tissues. The increase in viscosity
during denaturation is predicted to increase inertial cavitation thresholds,
leading to a substantial decrease in the higher harmonic content of the emitted
pressure signal across a wide range of bubble radii. Experimental validation of
these observations could offer improved methods to monitor therapeutic ultrasound
treatments.
PMID- 22088021
TI - Shear strain from irrotational tissue displacements near bubbles.
AB - Particle displacements can be much greater near bubbles than they would be in a
homogeneous liquid or tissue when exposed to an acoustic wave. In a plane wave,
shear and bulk strains are of the same order of magnitude. In contrast, for a
bubble oscillating close to its resonance frequency, the shear strain in the
medium near the bubble is roughly four orders of magnitude greater than the bulk
strain. This can lead to shear strains of a few percent even with acoustic
excitation pressures far below the pressure thresholds required to cause inertial
cavitation. High shear strains near oscillating bubbles could potentially be the
cause of bioeffects. After acoustic exposures at audio frequencies, hemorrhages
in tissues as diverse as lung, liver, and kidney have been observed at shear
strains on the order of 1%.
PMID- 22088022
TI - Acoustic characterization of echogenic liposomes: frequency-dependent attenuation
and backscatter.
AB - Ultrasound contrast agents (UCAs) are used clinically to aid detection and
diagnosis of abnormal blood flow or perfusion. Characterization of UCAs can aid
in the optimization of ultrasound parameters for enhanced image contrast. In this
study echogenic liposomes (ELIPs) were characterized acoustically by measuring
the frequency-dependent attenuation and backscatter coefficients at frequencies
between 3 and 30 MHz using a broadband pulse-echo technique. The experimental
methods were initially validated by comparing the attenuation and backscatter
coefficients measured from 50-MUm and 100-MUm polystyrene microspheres with
theoretical values. The size distribution of the ELIPs was measured and found to
be polydisperse, ranging in size from 40 nm to 6 MUm in diameter, with the
highest number observed at 65 nm. The ELIP attenuation coefficients ranged from
3.7 +/- 1.0 to 8.0 +/- 3.3 dB/cm between 3 and 25 MHz. The backscatter
coefficients were 0.011 +/- 0.006 (cm str)(-1) between 6 and 9 MHz and 0.023 +/
0.006 (cm str)(-1) between 13 and 30 MHz. The measured scattering-to-attenuation
ratio ranged from 8% to 22% between 6 and 25 MHz. Thus ELIPs can provide enhanced
contrast over a broad range of frequencies and the scattering properties are
suitable for various ultrasound imaging applications including diagnostic and
intravascular ultrasound.
PMID- 22088024
TI - Passive cavitation mapping with temporal sparsity constraint.
AB - The spatial resolution of cavitation maps generated from passive recordings of
cavitation emissions is compromised by the bandlimited nature of the recordings.
Deconvolution based on the assumption that cavitation consists of a sparse series
of discrete events allows the recovery of frequency components that are not only
outside the frequency band of the receivers, but may also have been attenuated by
the medium before being detectable. In the current work, two sparse deconvolution
techniques, matching pursuit and basis pursuit, were applied to simulated and
experimental cavitation recordings before they were beamformed to provide passive
maps of cavitation activity. Matching pursuit was shown to reduce the maximal
diameter of the point spread function by almost a third, at the cost of greater
susceptibility to inter-source interference. In contrast, although basis pursuit
causes an almost 20% increase in the maximal diameter of the point spread
function, its application to experimental data appears to enhance the ability of
passive mapping to resolve multiple sources.
PMID- 22088023
TI - The influence of octyl beta-D-glucopyranoside on cell lysis induced by ultrasonic
cavitation.
AB - Octyl beta-D-glucopyranoside (OGP) has been reported to completely inhibit
cavitation-induced cell lysis in vitro, possibly by quenching critical free
radical effects. In this study, the influence of OGP on cell lysis in a 60 rpm
rotating-tube exposure apparatus was assessed. HL-60 cell lysis was estimated
with a Coulter Multisizer counter. Cavitation activity from the 2.3 MHz, 30 s
duration exposures were monitored at the 1.15 MHz subharmonic. Cavitation
nucleation was accomplished by addition of an ultrasound contrast agent, or by
using freshly dissolved culture media. For both nucleation methods, exposures
were conducted for 0-0.7 MPa peak rarefactional pressure-amplitudes with and
without 5 mM OGP, and for 0.5 MPa with 0-5 mM OGP. The addition of OGP to the
cell suspension medium generally had little influence on cavitation-induced cell
lysis. Exposures with no rotation had reduced subharmonic and lysis for added
contrast agent, but essentially no cavitation for the fresh medium. Since the
decreases or increases in cell lysis found for added OGP generally were accounted
for by concomitant decreases or increases in cavitation activity, the changes in
cell lysis could be explained by variation of the mechanical effects of
cavitation without invoking a critical role for free-radical effects.
PMID- 22088025
TI - Controlled tissue emulsification produced by high intensity focused ultrasound
shock waves and millisecond boiling.
AB - In high intensity focused ultrasound (HIFU) applications, tissue may be thermally
necrosed by heating, emulsified by cavitation, or, as was recently discovered,
emulsified using repetitive millisecond boiling caused by shock wave heating.
Here, this last approach was further investigated. Experiments were performed in
transparent gels and ex vivo bovine heart tissue using 1, 2, and 3 MHz focused
transducers and different pulsing schemes in which the pressure, duty factor, and
pulse duration were varied. A previously developed derating procedure to
determine in situ shock amplitudes and the time-to-boil was refined. Treatments
were monitored using B-mode ultrasound. Both inertial cavitation and boiling were
observed during exposures, but emulsification occurred only when shocks and
boiling were present. Emulsified lesions without thermal denaturation were
produced with shock amplitudes sufficient to induce boiling in less than 20 ms,
duty factors of less than 0.02, and pulse lengths shorter than 30 ms. Higher duty
factors or longer pulses produced varying degrees of thermal denaturation
combined with mechanical emulsification. Larger lesions were obtained using lower
ultrasound frequencies. The results show that shock wave heating and millisecond
boiling is an effective and reliable way to emulsify tissue while monitoring the
treatment with ultrasound.
PMID- 22088026
TI - A reduced-order, single-bubble cavitation model with applications to therapeutic
ultrasound.
AB - Cavitation often occurs in therapeutic applications of medical ultrasound such as
shock-wave lithotripsy (SWL) and high-intensity focused ultrasound (HIFU).
Because cavitation bubbles can affect an intended treatment, it is important to
understand the dynamics of bubbles in this context. The relevant context includes
very high acoustic pressures and frequencies as well as elevated temperatures.
Relative to much of the prior research on cavitation and bubble dynamics, such
conditions are unique. To address the relevant physics, a reduced-order model of
a single, spherical bubble is proposed that incorporates phase change at the
liquid-gas interface as well as heat and mass transport in both phases. Based on
the energy lost during the inertial collapse and rebound of a millimeter-sized
bubble, experimental observations were used to tune and test model predictions.
In addition, benchmarks from the published literature were used to assess various
aspects of model performance. Benchmark comparisons demonstrate that the model
captures the basic physics of phase change and diffusive transport, while it is
quantitatively sensitive to specific model assumptions and implementation
details. Given its performance and numerical stability, the model can be used to
explore bubble behaviors across a broad parameter space relevant to therapeutic
ultrasound.
PMID- 22088027
TI - Observations of the collapses and rebounds of millimeter-sized lithotripsy
bubbles.
AB - Bubbles excited by lithotripter shock waves undergo a prolonged growth followed
by an inertial collapse and rebounds. In addition to the relevance for clinical
lithotripsy treatments, such bubbles can be used to study the mechanics of
inertial collapses. In particular, both phase change and diffusion among vapor
and noncondensable gas molecules inside the bubble are known to alter the
collapse dynamics of individual bubbles. Accordingly, the role of heat and mass
transport during inertial collapses is explored by experimentally observing the
collapses and rebounds of lithotripsy bubbles for water temperatures ranging from
20 to 60 degrees C and dissolved gas concentrations from 10 to 85% of
saturation. Bubble responses were characterized through high-speed photography
and acoustic measurements that identified the timing of individual bubble
collapses. Maximum bubble diameters before and after collapse were estimated and
the corresponding ratio of volumes was used to estimate the fraction of energy
retained by the bubble through collapse. The rebounds demonstrated statistically
significant dependencies on both dissolved gas concentration and temperature. In
many observations, liquid jets indicating asymmetric bubble collapses were
visible. Bubble rebounds were sensitive to these asymmetries primarily for water
conditions corresponding to the most dissipative collapses.
PMID- 22088028
TI - Cross-language acoustic similarity predicts perceptual assimilation of Canadian
English and Canadian French vowels.
AB - Monolingual Peruvian Spanish listeners identified natural tokens of the Canadian
French (CF) and Canadian English (CE) /E/ and /ae/, produced in five consonantal
contexts. The results demonstrate that while the CF vowels were mapped to two
different native vowels, /e/ and /a/, in all consonantal contexts, the CE
contrast was mapped to the single native vowel /a/ in four out of five contexts.
Linear discriminant analysis revealed that acoustic similarity between native and
target language vowels was a very good predictor of context-specific perceptual
mappings. Predictions are made for Spanish learners of the /E/-/ae/ contrast in
CF and CE.
PMID- 22088029
TI - Detection of multicomponent signals: effect of difference in level between
components.
AB - The detection of multicomponent signals for which the components are not
equidetectable is precisely investigated as a function of the level difference
DeltaL(i/j) between components. The detection thresholds are determined for a
seven-tone complex signal with random starting phases masked by white noise.
Level differences between the components are examined. A model for non
equidetectable conditions based on the statistical summation model is described.
The improvement in detection is calculated from the level difference between
components that is related to the thresholds for single components. The model
predictions are in accordance with the experimental results.
PMID- 22088030
TI - Coherence masking protection for mid-frequency formants by adults and children.
AB - Coherence masking protection (CMP) refers to the phenomenon in which a target
formant is labeled at lower signal-to-noise levels when presented with a stable
cosignal consisting of two other formants than when presented alone. This effect
has been reported primarily for adults with first-formant (F1) targets and F2/F3
cosignals, but has also been found for children, in fact in greater magnitude. In
this experiment, F2 was the target and F1/F3 was the cosignal. Results showed
similar effects for each age group as had been found for F1 targets. Implications
for auditory prostheses for listeners with hearing loss are discussed.
PMID- 22088031
TI - Cross-language specialization in phonetic processing: English and Hindi
perception of /w/-/v/ speech and nonspeech.
AB - This study examined the perceptual specialization for native-language speech
sounds, by comparing native Hindi and English speakers in their perception of a
graded set of English /w/-/v/ stimuli that varied in similarity to natural
speech. The results demonstrated that language experience does not affect general
auditory processes for these types of sounds; there were strong cross-language
differences for speech stimuli, and none for stimuli that were nonspeech.
However, the cross-language differences extended into a gray area of speech-like
stimuli that were difficult to classify, suggesting that the specialization
occurred in phonetic processing prior to categorization.
PMID- 22088032
TI - A subspace approach based on embedded prewhitening for voice activity detection.
AB - This paper presents a subspace approach for voice activity detection (VAD). The
proposed approach is based on an embedded prewhitening scheme for the
simultaneous diagonalization of the clean speech and noise covariance matrices to
provide a decision rule based on likelihood ratio test in signal subspace domain.
Experimental results show that the proposed subspace-based VAD algorithm
outperforms the method using a Gaussian model in a conventional discrete Fourier
transform domain at the low signal-to-noise conditions.
PMID- 22088033
TI - Horns as particle velocity amplifiers.
AB - Preliminary measurements and numerical predictions reveal that simple, and
relatively small, horns generate remarkable amplification of acoustic particle
velocity. For example, below 2 kHz, a 2.5 cm conical horn has a uniform velocity
amplification ratio (throat-to-mouth) factor of approximately 3, or, in terms of
a decibel level, 9.5 dB. It is shown that the velocity amplification factor
depends on the horn's mouth-to-throat ratio as well as, though to a lesser
degree, the horn's flare rate. A double horn configuration provides limited
additional gain, approximately an increase of up to 25%.
PMID- 22088034
TI - Waveguide invariant analysis for modeling time-frequency striations in a range
dependent environment.
AB - The waveguide invariant is a useful parameter for understanding the behavior of
interference patterns (e.g., striations in time-frequency plots) resulting from
broadband acoustic sources in shallow water waveguides. It is possible to model
these striations for range-dependent environments using conventional parabolic
equation methods; although this approach can be computationally intensive as a
full field must be created for each frequency and azimuthally dependent geometry.
This letter discusses the formulation and use of a range-dependent waveguide
invariant distribution that can be used to describe spectral striation patterns
using a fraction of the computing power required by parabolic equation methods.
PMID- 22088035
TI - The redundancy of phonemes in sentential context.
AB - Printed English is highly redundant as demonstrated by readers' facility at
guessing which letter comes next in text. However, such findings have been
generalized to perception of connected speech without any direct assessment of
phonemic redundancy. Here, participants guessed which phoneme or printed
character came next throughout each of four unrelated sentences. Phonemes
displayed significantly lower redundancy than letters, and possible contributing
factors (task difficulty, experience, context) are discussed. Of three models
tested, phonemic guessing was best approximated by word-initial and transitional
probabilities between phonemes. Implications for information-theoretic accounts
of speech perception are considered.
PMID- 22088036
TI - Effect of decision weights and internal noise on the growth of d' with N.
AB - A general finding of psychoacoustic studies is that detectability d' of a noisy
signal grows less than optimally with the number N of independent observations of
the signal. Competing accounts implicate internal noise common to all
observations or nonoptimal decision weights given to observations. A discriminant
analysis of listeners' trial-by-trial responses in a multitone level
discrimination task favored the latter account.
PMID- 22088037
TI - Three-dimensional impedance map analysis of rabbit liver.
AB - Three-dimensional impedance maps (3DZMs) are computational models of acoustic
impedance of tissue constructed from histology images. 3DZMs can be analyzed to
estimate model-based quantitative ultrasound parameters such as effective
scatterer diameter (ESD). In this study, 3DZMs were constructed from normal and
fatty rabbit livers. Estimates of ESD were made using the fluid-filled sphere
scattering model. Weighting toward smaller scatterer sizes produced ESD estimates
of 7.5 +/- 1.3 and 7.0 +/- 0.3 MUm for normal and fatty liver, respectively,
approximately the size of a liver cell nucleus. This suggests the nucleus could
be a primary source of scattering in liver.
PMID- 22088038
TI - Description of sounds recorded from Longman's beaked whale, Indopacetus
pacificus.
AB - Sounds from Longman's beaked whale, Indopacetus pacificus, were recorded during
shipboard surveys of cetaceans surrounding the Hawaiian Islands archipelago; this
represents the first known recording of this species. Sounds included
echolocation clicks and burst pulses. Echolocation clicks were grouped into three
categories, a 15 kHz click (n = 106), a 25 kHz click (n = 136), and a 25 kHz
pulse with a frequency-modulated upsweep (n = 70). The 15 and 25 kHz clicks were
relatively short (181 and 144 ms, respectively); the longer 25 kHz upswept pulse
was 288 ms. Burst pulses were long (0.5 s) click trains with approximately 240
clicks/s.
PMID- 22088039
TI - Lateral reflections are favorable in concert halls due to binaural loudness.
AB - A recent study on perceptual difference in simulated concert halls showed that a
concert hall renders stronger sound with more bass when the temporal envelope of
a signal is preserved in the reflections [Lokki et al., J. Acoust. Soc. Am. 129,
EL223-EL228 (2011)]. In the same study the lateral reflections were shown to
contribute to the perceived envelopment and openness. Moreover, the listening
test results suggest that lateral reflections contribute to perception of sound
source distance. Here, it is shown that lateral reflections are beneficial due to
their increasing effect on binaural loudness-the phenomenon known well in
psychoacoustics, but not in architectural acoustics. The reflections from the
side are amplified more than median plane reflections, in particular at high
frequencies, due to the shape of the human head.
PMID- 22088040
TI - Non-isomorphism in efficient coding of complex sound properties.
AB - To the extent that sensorineural systems are efficient, stimulus redundancy
should be captured in ways that optimize information transmission. Consistent
with this principle, neural representations of sounds have been proposed to
become "non-isomorphic," increasingly abstract and decreasingly resembling the
original (redundant) input. Here, non-isomorphism is tested in perceptual
learning using AXB discrimination of novel sounds with two highly correlated
complex acoustic properties and a randomly varying third dimension.
Discrimination of sounds obeying the correlation became superior to that of
sounds violating it despite widely varying physical acoustic properties,
suggesting non-isomorphic representation of stimulus redundancy.
PMID- 22088041
TI - Interaural spectral asymmetry and sensitivity to interaural time differences.
AB - Listeners' ability to discriminate interaural time difference (ITD) changes in
low-frequency noise was determined as a function of differences in the noise
spectra delivered to each ear. An ITD was applied to Gaussian noise, which was
bandpass filtered using identical high-pass, but different low-pass cutoff
frequencies across ears. Thus, one frequency region was dichotic, and a higher
frequency region monotic. ITD thresholds increased as bandwidth to one ear (i.e.,
monotic bandwidth) increased, despite the fact that the region of interaural
spectral overlap remained constant. Results suggest that listeners can process
ITD differences when the spectra at two ears are moderately different.
PMID- 22088043
TI - Communication: regularizing binding energy distributions and thermodynamics of
hydration: theory and application to water modeled with classical and ab initio
simulations.
AB - The high-energy tail of the distribution of solute-solvent interaction energies
is poorly characterized for condensed systems, but this tail region is of
principal interest in determining the excess free energy of the solute. We
introduce external fields centered on the solute to modulate the short-range
repulsive interaction between the solute and solvent. This regularizes the
binding energy distribution and makes it easy to calculate the free energy of the
solute with the field. Together with the work done to apply the field in the
presence and absence of the solute, we calculate the excess chemical potential of
the solute. We present the formal development of this idea and apply it to study
liquid water.
PMID- 22088042
TI - Covariation among vowel height effects on acoustic measures.
AB - Covariation among vowel height effects on vowel intrinsic fundamental frequency
(IF(0)), voice onset time (VOT), and voiceless interval duration (VID) is
analyzed to assess the plausibility of a common physiological mechanism
underlying variation in these measures. Phrases spoken by 20 young adults,
containing words composed of initial voiceless stops or /s/ and high or low
vowels, were produced in habitual and voluntarily increased F(0) conditions. High
vowels were associated with increased IF(0) and longer VIDs. VOT and VID
exhibited significant covariation with IF(0) only for males at habitual F(0). The
lack of covariation for females and at increased F(0) is discussed.
PMID- 22088044
TI - Communication: inferring the equation of state of a metastable hard-sphere fluid
from the equation of state of a hard-sphere mixture at high densities.
AB - A possible approximate route to obtain the equation of state of the monodisperse
hard-sphere system in the metastable fluid region from the knowledge of the
equation of state of a hard-sphere mixture at high densities is discussed. The
proposal is illustrated by using recent Monte Carlo simulation data for the
pressure of a binary mixture. It is further shown to exhibit high internal
consistency.
PMID- 22088045
TI - Communication: limitations of the stochastic quasi-steady-state approximation in
open biochemical reaction networks.
AB - It is commonly believed that, whenever timescale separation holds, the
predictions of reduced chemical master equations obtained using the stochastic
quasi-steady-state approximation are in very good agreement with the predictions
of the full master equations. We use the linear noise approximation to obtain a
simple formula for the relative error between the predictions of the two master
equations for the Michaelis-Menten reaction with substrate input. The reduced
approach is predicted to overestimate the variance of the substrate concentration
fluctuations by as much as 30%. The theoretical results are validated by
stochastic simulations using experimental parameter values for enzymes involved
in proteolysis, gluconeogenesis, and fermentation.
PMID- 22088046
TI - Semi-bottom-up coarse graining of water based on microscopic simulations.
AB - The generalized dissipative particle dynamics (DPD) equation derived from the
generalized Langevin equation under Markovian approximations is used to simulate
coarse-grained (CG) water cells. The mean force and the friction coefficients in
the radial and transverse directions needed for DPD equation are obtained
directly from the all atomistic molecular dynamics (AAMD) simulations. But the
dissipative friction forces are overestimated in the Markovian approximation,
which results in wrong dynamic properties for the CG water in the DPD
simulations. To account for the non-Markovian dynamics, a rescaling factor is
introduced to the friction coefficients. The value of the factor is estimated by
matching the diffusivity of water. With this semi-bottom-up mapping method, the
radial distribution function, the diffusion constant, and the viscosity of the
coarse-grained water system computed with DPD simulations are all in good
agreement with AAMD results. It bridges the microscopic level and mesoscopic
level with consistent length and time scales.
PMID- 22088047
TI - Photodissociation of methyl iodide embedded in a host-guest complex: a full
dimensional (189D) quantum dynamics study of CH3I@resorc[4]arene.
AB - Accurate full dimensional quantum dynamics calculations studying the
photodissociation of CH(3)I@resorc[4]arene on an ab initio based potential energy
surface (PES) model are reported. The converged 189D quantum dynamics
calculations are facilitated by the multilayer multi-configurational time
dependent Hartree (ML-MCTDH) approach combined with the correlation discrete
variable representation (CDVR) for the evaluation of potential energy matrix
elements. The potential employed combines an established ab initio PES describing
the photodissociation of methyl iodide in the A band with a harmonic description
of the resorc[4]arene host and a bilinear modeling of the host-guest interaction.
All potential parameters required in the description of the vibrations of the
host molecule and the host-guest interaction are derived from ab initio
calculations on the host-guest complex. Absorption spectra at 0 K and 300 K are
calculated and the electronic population dynamics during the bond breaking
process occurring in the first 20-30 fs after the photoexcitation is
investigated. Weak but significant effects resulting from the host-guest
interaction on this time scale are found and interpreted. The present study
demonstrates that accurate fully quantum mechanical dynamics calculations can be
preformed for systems consisting of more than 50 atoms using the ML-MCTDH/CDVR
approach. Utilizing an efficient statistical approach for the construction of the
ensemble of initial wavepackets, these calculations are not restricted to zero
temperature but can also study the dynamics at 300 K.
PMID- 22088048
TI - A semi-grand canonical Monte Carlo simulation model for ion binding to ionizable
surfaces: proton binding of carboxylated latex particles as a case study.
AB - In this paper, we present a computer simulation study of the ion binding process
at an ionizable surface using a semi-grand canonical Monte Carlo method that
models the surface as a discrete distribution of charged and neutral functional
groups in equilibrium with explicit ions modelled in the context of the primitive
model. The parameters of the simulation model were tuned and checked by
comparison with experimental titrations of carboxylated latex particles in the
presence of different ionic strengths of monovalent ions. The titration of these
particles was analysed by calculating the degree of dissociation of the latex
functional groups vs. pH curves at different background salt concentrations. As
the charge of the titrated surface changes during the simulation, a procedure to
keep the electroneutrality of the system is required. Here, two approaches are
used with the choice depending on the ion selected to maintain electroneutrality:
counterion or coion procedures. We compare and discuss the difference between the
procedures. The simulations also provided a microscopic description of the
electrostatic double layer (EDL) structure as a function of pH and ionic
strength. The results allow us to quantify the effect of the size of the
background salt ions and of the surface functional groups on the degree of
dissociation. The non-homogeneous structure of the EDL was revealed by plotting
the counterion density profiles around charged and neutral surface functional
groups.
PMID- 22088049
TI - The nuclear magnetic resonance relaxation data analysis in solids: general
R1/R1(rho) equations and the model-free approach.
AB - The advantage of the solid state NMR for studying molecular dynamics is the
capability to study slow motions without limitations: in the liquid state, if
orienting media are not used, all anisotropic magnetic interactions are averaged
out by fast overall Brownian tumbling of a molecule and thus investigation of
slow internal conformational motions (e.g., of proteins) in solution can be
conducted using only isotropic interactions. One of the main tools for obtaining
amplitudes and correlation times of molecular motions in the MUs time scale is
measuring relaxation rate R(1)(rho). Yet, there have been a couple of unresolved
problems in the quantitative analysis of the relaxation rates. First, when the
resonance offset of the spin-lock pulse is used, the spin-lock field can be
oriented under an arbitrary angle in respect to B(0). Second, the spin-lock
frequency can be comparable or even less than the magic angle spinning rate. Up
to now, there have been no equations for R(1)(rho) that would be applicable for
any values of the spin-lock frequency, magic angle spinning rate and resonance
offset of the spin-lock pulse. In this work such equations were derived for two
most important relaxation mechanisms: heteronuclear dipolar coupling and chemical
shift anisotropy. The validity of the equations was checked by numerical
simulation of the R(1)(rho) experiment using SPINEVOLUTION program. In addition
to that, the applicability of the well-known model-free approach to the solid
state NMR relaxation data analysis was considered. For the wobbling in a cone at
30 degrees and 90 degrees cone angles and two-site jump models, it has been
demonstrated that the auto-correlation functions G(0)(t), G(1)(t), G(2)(t),
corresponding to different spherical harmonics, for isotropic samples (powders,
polycrystals, etc.) are practically the same regardless of the correlation time
of motion. This means that the model-free approach which is widely used in
liquids can be equally applied, at least assuming these two motional models, to
the analysis of the solid state NMR relaxation data.
PMID- 22088050
TI - Calculation of the exchange coupling constants of copper binuclear systems based
on spin-flip constricted variational density functional theory.
AB - We have recently developed a methodology for the calculation of exchange coupling
constants J in weakly interacting polynuclear metal clusters. The method is based
on unrestricted and restricted second order spin-flip constricted variational
density functional theory (SF-CV(2)-DFT) and is here applied to eight binuclear
copper systems. Comparison of the SF-CV(2)-DFT results with experiment and with
results obtained from other DFT and wave function based methods has been made.
Restricted SF-CV(2)-DFT with the BH&HLYP functional yields consistently J values
in excellent agreement with experiment. The results acquired from this scheme are
comparable in quality to those obtained by accurate multi-reference wave function
methodologies such as difference dedicated configuration interaction and the
complete active space with second-order perturbation theory.
PMID- 22088051
TI - Multipolar polarizabilities and two-body dispersion coefficients for Na by a
variationally stable procedure.
AB - Based on the weakest bound electron potential model theory, the ground-state wave
function of Na is investigated. The variationally stable procedure of Gao and
Starace is then employed to evaluate the static multipolar polarizabilities of
Na, and the two-body dispersion coefficients for the Na-Na system. Calculated
values show that our results are in general agreement with those previously
reported in the literature.
PMID- 22088052
TI - A tiered approach to Monte Carlo sampling with self-consistent field potentials.
AB - A "tiered" approach to Monte Carlo sampling of nuclear configurations is
presented for ab initio, self-consistent field (SCF)-based potentials, including
Hartree-Fock and density functional theory. Rather than Metropolis testing only
the final SCF energy, individual cycle energies are tested in a tiered fashion,
without approximation. Accordingly, rejected configurations are terminated early
in the SCF procedure. The method is shown to properly obey detailed balance, and
effective modifications are presented for cases in which the initial SCF guess is
particularly poor. Demonstrations on simple systems are provided, including an
assessment of the thermal properties of the neutral water dimer with B3LYP/6
31++G**. Cost analysis indicates a factor-of-two reduction in SCF cycles, which
makes the method competitive with accelerated molecular dynamics sampling
techniques, without the need for forces.
PMID- 22088053
TI - Magnetic exchange couplings from constrained density functional theory: an
efficient approach utilizing analytic derivatives.
AB - We introduce a method for evaluating magnetic exchange couplings based on the
constrained density functional theory (C-DFT) approach of Rudra, Wu, and Van
Voorhis [J. Chem. Phys. 124, 024103 (2006)]. Our method shares the same physical
principles as C-DFT but makes use of the fact that the electronic energy changes
quadratically and bilinearly with respect to the constraints in the range of
interest. This allows us to use coupled perturbed Kohn-Sham spin density
functional theory to determine approximately the corrections to the energy of the
different spin configurations and construct a priori the relevant energy
landscapes obtained by constrained spin density functional theory. We assess this
methodology in a set of binuclear transition-metal complexes and show that it
reproduces very closely the results of C-DFT. This demonstrates a proof-of
concept for this method as a potential tool for studying a number of other
molecular phenomena. Additionally, routes to improving upon the limitations of
this method are discussed.
PMID- 22088054
TI - Prospects for release-node quantum Monte Carlo.
AB - We perform release-node quantum Monte Carlo simulations on the first row diatomic
molecules in order to assess how accurately their ground-state energies can be
obtained. An analysis of the fermion-boson energy difference is shown to be
strongly dependent on the nuclear charge, Z, which in turn determines the growth
of variance of the release-node energy. It is possible to use maximum entropy
analysis to extrapolate to ground-state energies only for the low Z elements. For
the higher Z dimers beyond boron, the error growth is too large to allow accurate
data for long enough imaginary times. Within the limit of our statistics we were
able to estimate, in atomic units, the ground-state energy of Li(2) (
14.9947(1)), Be(2) (-29.3367(7)), and B(2)(-49.410(2)).
PMID- 22088055
TI - Particle-particle particle-mesh method for dipolar interactions: on error
estimates and efficiency of schemes with analytical differentiation and mesh
interlacing.
AB - The interlaced and non-interlaced versions of the dipolar particle-particle
particle-mesh (P(3)M) method implemented using the analytic differentiation
scheme (AD-P(3)M) are presented together with their respective error estimates
for the calculation of the forces, torques, and energies. Expressions for the
optimized lattice Green functions, and for the Madelung self-forces, self-torques
and self-energies are given. The applicability of the theoretical error estimates
are thoroughly tested and confirmed in several numerical examples. Our results
show that the accuracy of the calculations can be improved substantially when the
approximate (mesh computed) Madelung self-interactions are subtracted.
Furthermore, we show that the interlaced dipolar AD-P(3)M method delivers a
significantly higher accuracy (which corresponds approximately to using a twice
finer mesh) than the conventional method, allowing thereby to reduce the mesh
size with respect to the non-interlaced version for a given accuracy. In
addition, we present similar expressions for the dipolar ik-differentiation
interlaced scheme, and we perform a comparison with the AD interlaced scheme.
Rough tests for the relative speed of the dipolar P(3)M method using ik
differentiation and the interlaced/non-interlaced AD schemes show that when FFT
computing time is the bottleneck, usually when working at high precisions, the
interlaced AD-scheme can be several times faster than the other two schemes. For
calculations with a low accuracy requirement, the interlaced version can perform
worse than the ik and the non-interlaced AD schemes.
PMID- 22088056
TI - Analytical approach for the excited-state Hessian in time-dependent density
functional theory: formalism, implementation, and performance.
AB - The paper presents the formalism, implementation, and performance of the
analytical approach for the excited-state Hessian in the time-dependent density
functional theory (TDDFT) that extends our previous work [J. Liu and W. Z. Liang,
J. Chem. Phys. 135, 014113 (2011)] on the analytical Hessian in TDDFT within Tamm
Dancoff approximation (TDA) to full TDDFT. In contrast to TDA-TDDFT, an
appreciable advantage of full TDDFT is that it maintains the oscillator strength
sum rule, and therefore yields more precise results for the oscillator strength
and other related physical quantities. For the excited-state harmonic vibrational
frequency calculation, however, full TDDFT does not seem to be advantageous since
the numerical tests demonstrate that the accuracy of TDDFT with and without TDA
are comparable to each other. As a common practice, the computed harmonic
vibrational frequencies are scaled by a suitable scale factor to yield good
agreement with the experimental fundamental frequencies. Here we apply both the
optimized ground-state and excited-state scale factors to scale the calculated
excited-state harmonic frequencies and find that the scaling decreases the root
mean-square errors. The optimized scale factors derived from the excited-state
calculations are slightly smaller than those from the ground-state calculations.
PMID- 22088057
TI - Micro-imaging of transient guest profiles in nanochannels.
AB - Zeolites of type ferrierite are exploited as a host system for monitoring the
evolution of guest concentration (methanol) in nanoporous host materials upon
adsorption. Additional transport resistances at the crystal surface have been
removed so that uptake is exclusively controlled by the diffusion resistance of
the pore space. Since the crystal shape deviates from a simple parallelepiped,
the primary imaging data do not immediately reflect true local concentrations. A
simple algorithm is developed which overcomes this complication. The determined
transient concentration profiles ideally comply with the requirements for the
application of the Boltzmann-Matano integration method for determining
diffusivities. The resulting diffusivities (along the direction of the "10-ring
channels") are found to exceed those along the 8-ring channels by three orders of
magnitude.
PMID- 22088058
TI - Can stimulated Raman pumping cause large population transfers in isolated
molecules?
AB - When stimulated Raman pumping (SRP) is applied to a stream of isolated molecules,
such as found in a supersonic molecular beam expansion, we show that SRP can
neither saturate nor power broaden a molecular transition connecting two
metastable levels that is resonant with the energy difference between the pump
and Stokes laser pulses. Using the optical Bloch-Feynman equations, we discuss
the pumping of the hydrogen molecule from H(2) (v = 0, J = 0, M = 0) to H(2) (v =
1, J = 2, M = 0) as an illustration of how coherent population return severely
reduces the SRP pumping efficiency unless the pump and Stokes laser pulses are
applied with an appropriate relative delay and ratio of intensities.
PMID- 22088059
TI - Resonance electron attachment and long-lived negative ions of phthalimide and
pyromellitic diimide.
AB - Resonance attachment of low energy (0-15 eV) electrons to imide-containing
molecules, phthalimide (PTI) and pyromellitic diimide (PMDI), was investigated in
the gas-phase by means of Electron Transmission Spectroscopy (ETS) and
Dissociative Electron Attachment Spectroscopy (DEAS). Among a variety of low
intensity negatively charged fragments formed by DEA, in both compounds the
dominant species was found to be a long-lived (MUs) parent molecular anion formed
at zero energy. In addition, in PMDI long-lived molecular anions were also
observed at 0.85 and 2.0 eV. The experimentally evaluated detachment times from
the molecular anions as a function of incident electron energy are modeled with a
simple computational approach based on the RRKM theory. The occurrence of
radiationless transitions to the ground anion state, followed by internal
vibrational relaxation, is believed to be a plausible mechanism to explain the
exceptionally long lifetime of the PMDI molecular anions formed above zero
energy.
PMID- 22088060
TI - Spin-orbit and rotational couplings in radiative association of C(3P) and N(4S)
atoms.
AB - The role of spin-orbit and rotational couplings in radiative association of
C((3)P) and N((4)S) atoms is investigated. Couplings among doublet electronic
states of the CN radical are considered, giving rise to a 6-state model of the
process. The solution of the dynamical problem is based on the L(2) method, where
a complex absorbing potential is added to the Hamiltonian operator in order to
treat continuum and bound levels in the same manner. Comparison of the energy
dependent rate coefficients calculated with and without spin-orbit and rotational
couplings shows that the couplings have a strong effect on the resonance
structure and low-energy baseline of the rate coefficient.
PMID- 22088061
TI - Millimeter-wave rotational spectroscopy of FeCN (X 4Deltai) and FeNC (X 6Deltai):
determining the lowest energy isomer.
AB - The pure rotational spectrum of FeCN has been recorded in the frequency range 140
500 GHz using millimeter/sub-millimeter direct absorption techniques. The species
was created in an ac discharge of Fe(CO)(5) and cyanogen. Spectra of the (13)C,
(54)Fe, and (57)Fe isotopologues were also measured, confirming the linear
cyanide structure of this free radical. Lines originating from several Renner
Teller components in the nu(2) bending mode were also observed. Based on the
observed spin-orbit pattern, the ground state of FeCN is (4)Delta(i), with small
lambda-doubling splittings apparent in the Omega = 5/2, 3/2, and 1/2 components.
In addition, a much weaker spectrum of the lowest spin-orbit component of FeNC,
Omega = 9/2, was recorded; these data are consistent with the rotational
parameters of previous optical studies. The data for FeCN were fit with a Hund's
case (a) Hamiltonian and rotational, spin-orbit, spin-spin, and lambda-doubling
parameters were determined. Rotational constants were also established from a
case (c) analysis for the other isotopologues, excited vibronic states, and for
FeNC. The r(0) bond lengths of FeCN were determined to be r(Fe-C) = 1.924 A and
r(C-N) = 1.157 A, in agreement with theoretical predictions for the (4)Delta(i)
state. These measurements indicate that FeCN is the lower energy isomer and is
more stable than FeNC by ~1.9 kcal/mol.
PMID- 22088062
TI - Spectroscopic studies of the A-X electronic spectrum of the beta
hydroxyethylperoxy radical: structure and dynamics.
AB - The jet-cooled A-X near IR origin band spectra of the G(1)G(2)G(3) conformer of
four beta-hydroxyethylperoxy isotopologues, beta-HEP (HOCH(2)CH(2)OO), beta-DHEP
(DOCH(2)CH(2)OO), beta-HEP-d(4) (HOCD(2)CD(2)OO), and beta-DHEP-d(4)
(DOCD(2)CD(2)OO), have been recorded by a cavity ringdown spectrometer with a
laser source linewidth of ~70 MHz. The spectra of all four isotopologues have
been analyzed and successfully simulated with an evolutionary algorithm,
confirming the cyclic structure of the molecule responsible for the observed
origin band. The analysis also provides experimental A and X state rotational
constants and the orientation of the transition dipole moment in the inertial
axis system; these quantities are compared to results from electronic structure
calculations. The observed, broad linewidth (Deltanu > 2 GHz) is attributed to a
shortened lifetime of the A state associated with dynamics along the reaction
path for hydrogen transfer from the OH to OO group.
PMID- 22088063
TI - Lowest-energy structures and electronic properties of Na-Si binary clusters from
ab initio global search.
AB - The ground state structures of neutral and anionic clusters of Na(n)Si(m) (1 <= n
<= 3, 1 <= m <= 11) have been determined using genetic algorithm incorporated in
first principles total energy code. The size dependence of the structural and
electronic properties is discussed in detail. It is found that the lowest-energy
structures of Na(n)Si(m) clusters resemble those of the pure Si clusters.
Interestingly, Na atoms in neutral Na(n)Si(m) clusters are usually well separated
by the Si(m) skeleton, whereas Na atoms can form Na-Na bonds in some anionic
clusters. The ionization potentials, adiabatic electron affinities, and
photoelectron spectra are also calculated and the results compare well with the
experimental data.
PMID- 22088064
TI - Comparison of P...D (D = P,N) with other noncovalent bonds in molecular
aggregates.
AB - All the minima on the potential energy surfaces of homotrimers and tetramers of
PH(3) are identified and analyzed as to the source of their stability. The same
is done with mixed trimers in which one PH(3) molecule is replaced by either
NH(3) or PFH(2). The primary noncovalent attraction in all global minima is the
BP...D (D = N,P) bond which is characterized by the transfer of charge from a
lone pair of the donor D to a sigma* B-P antibond of the partner molecule which
is turned away from D, the same force earlier identified in the pertinent dimers.
Examination of secondary minima reveals the presence of other weaker forces, some
of which do not occur within the dimers. Examples of the latter include PH...P,
NH...P, and PH...F H-bonds, and "reverse" H-bonds in which the source of the
electron density is the smaller tail lobe of the donor lone pair. The global
minima are cyclic structures in all cases, and exhibit some cooperativity, albeit
to a small degree. The energy spacing of the oligomers is much smaller than that
in the corresponding strongly H-bonded complexes such as the water trimer.
PMID- 22088065
TI - Characterizing molecular motion in H2O and H3O+ with dynamical instability
statistics.
AB - Sets of finite-time Lyapunov exponents characterize the stability and instability
of classically chaotic dynamical trajectories. Here we show that their sample
distributions can contain subpopulations identifying different types of dynamics.
In small isolated molecules these dynamics correspond to distinct elementary
motions, such as isomerizations. Exponents are calculated from constant total
energy molecular dynamics simulations of H(2)O and H(3)O(+), modelled with a
classical, reactive, all-atom potential. Over a range of total energy, exponent
distributions for these systems reveal that phase space exploration is more
chaotic near saddles corresponding to isomerization and less chaotic near
potential energy minima. This finding contrasts with previous results for Lennard
Jones clusters, and is explained in terms of the potential energy landscape.
PMID- 22088066
TI - The electronic spectrum of the previously unknown HAsO transient molecule.
AB - The A(1)A('')-X(1)A(') electronic spectrum of the jet-cooled transient molecule
HAsO and its deuterated isotopologue has been observed for the first time by
pulsed discharge jet laser spectroscopy. The techniques of laser-induced
fluorescence and single vibronic level emission were employed to probe the
electronic properties of the species. The bending and AsO stretching frequencies
have been determined in both states. A rotational analysis of the 0(0)(0) bands
of both HAsO and DAsO has been completed and the following effective (r(0))
structures were derived: r(")(HAs) = 1.576(3) A, r(")(AsO) = 1.8342(5) A, and
theta(") = 101.5(4) degrees ; and r(')(HAs) = 1.569(4) A, r(')(AsO) = 1.7509(9)
A, and theta(') = 93.1(10) degrees . In the rotational analysis, lines induced by
axis-tilting were observed, and calculated spectra with an axis tilting angle of
3.0(5) degrees reproduced the intensity of these lines. The change in geometry
on electronic excitation is similar to that observed for the molecule HPO, with
an increase in the X-O bond length and a decrease in the HXO angle, but contrary
to the predictions of the Walsh diagram for generic HAB triatomic molecules. Our
ab initio calculations show that the correlation between orbital energy and bond
angle changes upon electronic excitation, resulting in the atypical angle change.
PMID- 22088067
TI - Photoelectron spectroscopy of higher bromine and iodine oxide anions: electron
affinities and electronic structures of BrO(2,3) and IO(2-4) radicals.
AB - This report details a photoelectron spectroscopy (PES) and theoretical
investigation of electron affinities (EAs) and electronic structures of several
atmospherically relevant higher bromine and iodine oxide molecules in the gas
phase. PES spectra of BrO(2)(-) and IO(2)(-) were recorded at 12 K and four
photon energies--355 nm/3.496 eV, 266 nm/4.661 eV, 193 nm/6.424 eV, and 157
nm/7.867 eV--while BrO(3)(-), IO(3)(-), and IO(4)(-) were only studied at 193 and
157 nm due to their expected high electron binding energies. Spectral features
corresponding to transitions from the anionic ground state to the ground and
excited states of the neutral are unraveled and resolved for each species. The
EAs of these bromine and iodine oxides are experimentally determined for the
first time (except for IO(2)) to be 2.515 +/- 0.010 (BrO(2)), 2.575 +/- 0.010
(IO(2)), 4.60 +/- 0.05 (BrO(3)), 4.70 +/- 0.05 (IO(3)), and 6.05 +/- 0.05 eV
(IO(4)). Three low-lying excited states along with their respective excitation
energies are obtained for BrO(2) [1.69 (A (2)B(2)), 1.79 (B (2)A(1)), 1.99 eV (C
(2)A(2))], BrO(3) [0.7 (A (2)A(2)), 1.6 (B (2)E), 3.1 eV (C (2)E)], and IO(3)
[0.60 (A (2)A(2)), 1.20 (B (2)E), ~3.0 eV (C (2)E)], whereas six excited states
of IO(2) are determined along with their respective excitation energies of 1.63
(A (2)B(2)), 1.73 (B (2)A(1)), 1.83 (C (2)A(2)), 4.23 (D (2)A(1)), 4.63 (E
(2)B(2)), and 5.23 eV (F (2)B(1)). Periodate (IO(4)(-)) possesses a very high
electron binding energy. Only one excited state feature with 0.95 eV excitation
energy is shown in the 157 nm spectrum. Accompanying theoretical calculations
reveal structural changes from the anions to the neutrals, and the calculated EAs
are in good agreement with experimentally determined values. Franck-Condon
factors simulations nicely reproduce the observed vibrational progressions for
BrO(2) and IO(2). The low-lying excited state information is compared with
theoretical calculations and discussed with their atmospheric implications.
PMID- 22088068
TI - Kinetics of the reaction of the heaviest hydrogen atom with H2, the 4HeMU + H2 ->
4HeMUH + H reaction: experiments, accurate quantal calculations, and variational
transition state theory, including kinetic isotope effects for a factor of 36.1
in isotopic mass.
AB - The neutral muonic helium atom (4)HeMU, in which one of the electrons of He is
replaced by a negative muon, may be effectively regarded as the heaviest isotope
of the hydrogen atom, with a mass of 4.115 amu. We report details of the first
muon spin rotation (MUSR) measurements of the chemical reaction rate constant of
(4)HeMU with molecular hydrogen, (4)HeMU + H(2) -> (4)HeMUH + H, at temperatures
of 295.5, 405, and 500 K, as well as a MUSR measurement of the hyperfine coupling
constant of muonic He at high pressures. The experimental rate constants,
k(HeMU), are compared with the predictions of accurate quantum mechanical (QM)
dynamics calculations carried out on a well converged Born-Huang (BH) potential
energy surface, based on complete configuration interaction calculations and
including a Born-Oppenheimer diagonal correction. At the two highest measured
temperatures the agreement between the quantum theory and experiment is good to
excellent, well within experimental uncertainties that include an estimate of
possible systematic error, but at 295.5 K the quantum calculations for k(HeMU)
are below the experimental value by 2.1 times the experimental uncertainty
estimates. Possible reasons for this discrepancy are discussed. Variational
transition state theory calculations with multidimensional tunneling have also
been carried out for k(HeMU) on the BH surface, and they agree with the accurate
QM rate constants to within 30% over a wider temperature range of 200-1000 K.
Comparisons between theory and experiment are also presented for the rate
constants for both the D + H(2) and Mu + H(2) reactions in a novel study of
kinetic isotope effects for the H + H(2) reactions over a factor of 36.1 in
isotopic mass of the atomic reactant.
PMID- 22088069
TI - Thermodynamics of viscous flow and elasticity of glass forming liquids in the
glass transition range.
AB - The elastic moduli of glasses from different chemical systems, including oxide,
chalcogenide, oxynitride, and metallic, were investigated through the glass
transition (T(g)), typically from 0.4 to 1.3 T(g). These data were used to
interpret the temperature sensitivity of the shear viscosity coefficient obtained
on the same materials. The relevant Gibbs free activation energy was estimated
from the apparent heat of flow by means of the temperature dependence of the
shear elastic modulus. The activation entropy associated with the viscous flow
was also derived and was found to correlate with the fragile versus strong
character of the glass forming liquids. Finally, the physicochemistry of the flow
process was described on the basis of the glass network de-structuration which
shows up through the temperature dependence of Poisson's ratio, and an expression
for the shear viscosity coefficient is proposed which is chiefly based on the
high temperature elastic behavior.
PMID- 22088070
TI - Solution on the Bethe lattice of a hard core athermal gas with two kinds of
particles.
AB - Athermal lattice gases of particles with first neighbor exclusion have been
studied for a long time as simple models exhibiting a fluid-solid transition. At
low concentration the particles occupy randomly both sublattices, but as the
concentration is increased one of the sublattices is occupied preferentially.
Here, we study a mixed lattice gas with excluded volume interactions only in the
grand-canonical formalism with two kinds of particles: small ones, which occupy a
single lattice site and large ones, which, when placed on a site, do not allow
other particles to occupy its first neighbors also. We solve the model on a Bethe
lattice of arbitrary coordination number q. In the parameter space defined by the
activities of both particles, at low values of the activity of small particles
(z(1)) we find a continuous transition from the fluid to the solid phase as the
activity of large particles (z(2)) is increased. At higher values of z(1) the
transition becomes discontinuous, both regimes are separated by a tricritical
point. The critical line has a negative slope at z(1) = 0 and displays a minimum
before reaching the tricritical point, so that a re-entrant behavior is observed
for constant values of z(2) in the region of low density of small particles. The
isobaric curves of the total density of particles as a function of the density or
the activity of small particles show a minimum in the fluid phase.
PMID- 22088071
TI - Characteristics of Raman spectra for graphene oxide from ab initio simulations.
AB - The Raman spectra of several locally stable structures of the graphene oxide (GO)
have been simulated by ab initio calculations. Compared to graphite, the G band
of GO is broadened and blueshifted due to the emergence of a series of new Raman
peaks. The Raman intensities and positions of the D and G bands depend
sensitively on the local atomic configurations. In addition to the normal epoxy
and hydroxyl groups, other oxidation groups such as epoxy pairs are also studied.
Epoxy pairs induce large blueshift of G band with respect to that of the
graphite.
PMID- 22088072
TI - How nanoscale seed particles affect vapor-liquid nucleation.
AB - In this work, we used constrained lattice density functional theory to
investigate how nanoscale seed particles affect heterogeneous vapor-liquid
nucleation. The effects of the physical properties of nanoscale seed particles,
including the seed size, the strength of seed-fluid attraction, and the shape of
the seeds, on the structure of critical nuclei and nucleation barrier were
systemically investigated.
PMID- 22088073
TI - Monte Carlo simulation strategies for computing the wetting properties of fluids
at geometrically rough surfaces.
AB - We introduce Monte Carlo simulation methods for determining the wetting
properties of model systems at geometrically rough interfaces. The techniques
described here enable one to calculate the macroscopic contact angle of a droplet
that organizes in one of the three wetting states commonly observed for fluids at
geometrically rough surfaces: the Cassie, Wenzel, and impregnation states. We
adopt an interface potential approach in which the wetting properties of a system
are related to the surface density dependence of the surface excess free energy
of a thin liquid film in contact with the substrate. We first describe challenges
and inefficiencies encountered when implementing a direct version of this
approach to compute the properties of fluids at rough surfaces. Next, we detail a
series of convenient thermodynamic paths that enable one to obtain free energy
information at relevant surface densities over a wide range of temperatures and
substrate strengths in an efficient manner. We then show how this information is
assembled to construct complete wetting diagrams at a temperature of interest.
The strategy pursued within this work is general and is expected to be applicable
to a wide range of molecular systems. To demonstrate the utility of the approach,
we present results for a Lennard-Jones fluid in contact with a substrate
containing rectangular-shaped grooves characterized by feature sizes of order ten
fluid diameters. For this particular fluid-substrate combination, we find that
the macroscopic theories of Cassie and Wenzel provide a reasonable description of
simulation data.
PMID- 22088074
TI - Switching and rectification of a single light-sensitive diarylethene molecule
sandwiched between graphene nanoribbons.
AB - The "open" and "closed" isomers of the diarylethene molecule that can be
converted between each other upon photo-excitation are found to have drastically
different current-voltage characteristics when sandwiched between two graphene
nanoribbons (GNRs). More importantly, when one GNR is metallic and another one is
semiconducting, strong rectification behavior of the "closed" diarylethene isomer
with the rectification ratio >10(3) is observed. The surprisingly high
rectification ratio originates from the band gap of GNR and the bias-dependent
variation of the lowest unoccupied molecular orbital of the diarylethene
molecule, the combination of which completely shuts off the current at positive
biases. Results presented in this paper may form the basis for a new class of
molecular electronic devices.
PMID- 22088075
TI - Conformation and diffusion behavior of ring polymers in solution: a comparison
between molecular dynamics, multiparticle collision dynamics, and lattice
Boltzmann simulations.
AB - We have studied the effect of chain topology on the structural properties and
diffusion of polymers in a dilute solution in a good solvent. Specifically, we
have used three different simulation techniques to compare the chain size and
diffusion coefficient of linear and ring polymers in solution. The polymer chain
is modeled using a bead-spring representation. The solvent is modeled using three
different techniques: molecular dynamics (MD) simulations with a particulate
solvent in which hydrodynamic interactions are accounted through the
intermolecular interactions, multiparticle collision dynamics (MPCD) with a point
particle solvent which has stochastic interactions with the polymer, and the
lattice Boltzmann method in which the polymer chains are coupled to the lattice
fluid through friction. Our results show that the three methods give
quantitatively similar results for the effect of chain topology on the
conformation and diffusion behavior of the polymer chain in a good solvent. The
ratio of diffusivities of ring and linear polymers is observed to be close to
that predicted by perturbation calculations based on the Kirkwood hydrodynamic
theory.
PMID- 22088076
TI - Structure and transport properties of polymer grafted nanoparticles.
AB - We perform molecular dynamics simulations on a bead-spring model of pure polymer
grafted nanoparticles (PGNs) and of a blend of PGNs with a polymer melt to
investigate the correlation between PGN design parameters (such as particle core
concentration, polymer grafting density, and polymer length) and properties, such
as microstructure, particle mobility, and viscous response. Constant strain-rate
simulations were carried out to calculate viscosities and a constant-stress
ensemble was used to calculate yield stresses. The PGN systems are found to have
less structural order, lower viscosity, and faster diffusivity with increasing
length of the grafted chains for a given core concentration or grafting density.
Decreasing grafting density causes depletion effects associated with the chains
leading to close contacts between some particle cores. All systems were found to
shear thin, with the pure PGN systems shear thinning more than the blend; also,
the pure systems exhibited a clear yielding behavior that was absent in the
blend. Regarding the mechanism of shear thinning at the high shear rates
examined, it was found that the shear-induced decrease of Brownian stresses and
increase in chain alignment, both correlate with the reduction of viscosity in
the system with the latter being more dominant. A coupling between Brownian
stresses and chain alignment was also observed wherein the non-equilibrium
particle distribution itself promotes chain alignment in the direction of shear.
PMID- 22088077
TI - Dissipative particle dynamics simulations of polymer-protected nanoparticle self
assembly.
AB - Dissipative particle dynamics simulations were used to study the effects of
mixing time, solute solubility, solute and diblock copolymer concentrations, and
copolymer block length on the rapid coprecipitation of polymer-protected
nanoparticles. The simulations were aimed at modeling Flash NanoPrecipitation, a
process in which hydrophobic solutes and amphiphilic block copolymers are
dissolved in a water-miscible organic solvent and then rapidly mixed with water
to produce composite nanoparticles. A previously developed model by Spaeth et al.
[J. Chem. Phys. 134, 164902 (2011)] was used. The model was parameterized to
reproduce equilibrium and transport properties of the solvent, hydrophobic
solute, and diblock copolymer. Anti-solvent mixing was modeled using time
dependent solvent-solute and solvent-copolymer interactions. We find that
particle size increases with mixing time, due to the difference in solute and
polymer solubilities. Increasing the solubility of the solute leads to larger
nanoparticles for unfavorable solute-polymer interactions and to smaller
nanoparticles for favorable solute-polymer interactions. A decrease in overall
solute and polymer concentration produces smaller nanoparticles, because the
difference in the diffusion coefficients of a single polymer and of larger
clusters becomes more important to their relative rates of collisions under more
dilute conditions. An increase in the solute-polymer ratio produces larger
nanoparticles, since a collection of large particles has less surface area than a
collection of small particles with the same total volume. An increase in the
hydrophilic block length of the polymer leads to smaller nanoparticles, due to an
enhanced ability of each polymer to shield the nanoparticle core. For unfavorable
solute-polymer interactions, the nanoparticle size increases with hydrophobic
block length. However, for favorable solute-polymer interactions, nanoparticle
size exhibits a local minimum with respect to the hydrophobic block length. Our
results provide insights on ways in which experimentally controllable parameters
of the Flash NanoPrecipitation process can be used to influence aggregate size
and composition during self-assembly.
PMID- 22088078
TI - Detailed balance condition and effective free energy in the primitive chain
network model.
AB - We consider statistical mechanical properties of the primitive chain network
(PCN) model for entangled polymers from its dynamic equations. We show that the
dynamic equation for the segment number of the PCN model does not reduce to the
standard Langevin equation which satisfies the detailed balance condition. We
propose heuristic modifications for the PCN dynamic equation for the segment
number, to make it reduce to the standard Langevin equation. We analyse some
equilibrium statistical properties of the modified PCN model, by using the
effective free energy obtained from the modified PCN dynamic equations. The PCN
effective free energy can be interpreted as the sum of the ideal Gaussian chain
free energy and the repulsive interaction energy between slip-links. By using the
single chain approximation, we calculate several distribution functions of the
PCN model. The obtained distribution functions are qualitatively different from
ones for the simple slip-link model without any direct interactions between slip
links.
PMID- 22088079
TI - Thermal conductivity of carbon nanotube-polyamide-6,6 nanocomposites: reverse non
equilibrium molecular dynamics simulations.
AB - The thermal conductivity of composites of carbon nanotubes and polyamide-6,6 has
been investigated using reverse non-equilibrium molecular dynamics simulations in
a full atomistic resolution. It is found, in line with experiments, that the
composites have thermal conductivities, which are only moderately larger than
that of pure polyamide. The composite conductivities are orders of magnitude less
than what would be expected from naive additivity arguments. This means that the
intrinsic thermal conductivities of isolated nanotubes, which exceed the best
conducting metals, cannot be harnessed for heat transport, when the nanotubes are
embedded in a polymer matrix. The main reason is the high interfacial thermal
resistance between the nanotubes and the polymer, which was calculated in
addition to the total composite thermal conductivity as well as that of the
subsystem. It hinders heat to be transferred from the slow-conducting polymer
into the fast-conducting nanotubes and back into the polymer. This interpretation
is in line with the majority of recent simulation works. An alternative
explanation, namely, the damping of the long-wavelength phonons in nanotubes by
the polymer matrix is not supported by the present calculations. These modes
provide most of the polymers heat conduction. An additional minor effect is
caused by the anisotropic structure of the polymer phase induced by the nearby
nanotube surfaces. The thermal conductivity of the polymer matrix increases
slightly in the direction parallel to the nanotubes, whereas it decreases
perpendicular to it.
PMID- 22088080
TI - Monte Carlo simulation studies of ring polymers at athermal and theta conditions.
AB - By use of an intramolecular criterion, i.e., the direct proportionality between
mean square dimension and chain length, theta conditions for linear chains and
ring shaped polymers are evaluated for several types of cubic lattice chains
(simple cubic, body centered cubic, and face centered cubic). The properties of
the rings are evaluated for the same thermodynamic conditions under which they
are prepared thus allowing for a natural amount of knots which have been
identified by use of Alexander polynomials. For the limit of infinite chain
lengths the same theta parameter is found for linear chains and rings. On the
contrary, a significant theta point depression occurs due to an additional
excluded volume effect if unknots are exclusively regarded. Parameters
characteristic of the shape of rings and chains under theta conditions
extrapolated to infinite chain length fairly well coincide with respective data
for random walks. Mean square dimensions (characteristic of the size) of theta
systems are slightly in excess as compared to nonreversal random walks due to the
necessity of avoiding overlaps on a local scale. Furthermore athermal systems are
studied as well for comparison; mean square dimensions are described by use of
scaling relations with proper short chain corrections, shape parameters are given
in the limit of infinite chain length.
PMID- 22088081
TI - Potential of mean force between a large solute and a biomolecular complex: a
model analysis on protein flux through chaperonin system.
AB - Insertion of a large solute into an even larger vessel comprising biopolymers
followed by release of the same solute from it is one of the important functions
sustaining life. As a typical example, an unfolded protein is inserted into a
chaperonin from bulk aqueous solution, a cochaperonin acting as a lid is attached
to the chaperonin rim and the protein folds into its native structure within the
closed cavity, the cochaperonin is detached after the folding is finished, and
the folded protein is released back to the bulk solution. On the basis of the
experimental observations manifesting that the basic aspects of the protein flux
through the chaperonin system is independent of the chaperonin, cochaperonin, and
protein species, we adopt a simple model system with which we can cover the whole
cycle of the protein flux. We calculate the spatial distribution of the solvent
mediated potential of mean force (PMF) between a spherical solute and a
cylindrical vessel or vessel/lid complex. The calculation is performed using the
three-dimensional integral equation theory, and the PMF is decomposed into
energetic and entropic components. We argue that an unfolded protein with a
larger excluded volume (EV) and weak hydrophobicity is entropically inserted into
the chaperonin cavity and constrained within a small space almost in its center.
The switch from insertion to release is achieved by decreasing the EV and turning
the protein surface hydrophilic in the folding process. For this release, in
which the energetic component is a requisite, the feature that the chaperonin
inner surface in the absence of the cochaperonin is not hydrophilic plays
essential roles. On the other hand, the inner surface of the
chaperonin/cochaperonin complex is hydrophilic, and the protein is energetically
repelled from it: The protein remains constrained within the small space
mentioned above without contacting the inner surface for correct folding. The
structural and inner-surface properties of the chaperonin or complex are
controlled by the adenosine triphosphate (ATP) binding to the chaperonin,
hydrolysis of ATP into adenosine diphosphate (ADP) and Pi, and dissociation of
ADP and Pi. The function of the chaperonin system is exhibited by synchronizing
the chemical cycle of ATP hydrolysis with hydration properties of a protein in
the water confined on the scale of a nanometer which are substantially different
from those in the bulk water.
PMID- 22088083
TI - Retraction: "Multidimensional spatial-spectral holographic interpretation of NMR
photography" [J. Chem. Phys. 124, 194108 (2006)].
PMID- 22088082
TI - Note: scale-free center-of-mass displacement correlations in polymer films
without topological constraints and momentum conservation.
PMID- 22088085
TI - Development and characterization of microsatellite markers from tropical forage
Stylosanthes species and analysis of genetic variability and cross-species
transferability.
AB - A limited number of functional molecular markers has slowed the desired genetic
improvement of Stylosanthes species. Hence, in an attempt to develop simple
sequence repeat (SSR) markers, genomic libraries from Stylosanthes seabrana B.L.
Maass & 't Mannetje (2n=2x=20) using 5' anchored degenerate microsatellite
primers were constructed. Of the 76 new microsatellites, 21 functional primer
pairs were designed. Because of the small number of primer pairs designed, 428
expressed sequence tag (EST) sequences from seven Stylosanthes species were also
examined for SSR detection. Approximately 10% of sequences delivered functional
primer pairs, and after redundancy elimination, 57 microsatellite repeats were
selected. Tetranucleotides followed by trinucleotides were the major repeated
sequences in Stylosanthes ESTs. In total, a robust set of 21 genomic-SSR (gSSR)
and 20 EST-SSR (eSSR) markers were developed. These markers were analyzed for
intraspecific diversity within 20 S. seabrana accessions and for their cross
species transferability. Mean expected (He) and observed (Ho) heterozygosity
values with gSSR markers were 0.64 and 0.372, respectively, whereas with eSSR
markers these were 0.297 and 0.214, respectively. Dendrograms having moderate
bootstrap value (23%-94%) were able to distinguish all accessions of S. seabrana
with gSSR markers, whereas eSSR markers showed 100% similarities between few
accessions. The set of 21 gSSRs, from S. seabrana, and 20 eSSRs, from selected
Stylosanthes species, with their high cross-species transferability (45% with
gSSRs, 86% with eSSRs) will facilitate genetic improvement of Stylosanthes
species globally.
PMID- 22088086
TI - Xanthohumol uptake and intracellular kinetics in hepatocytes, hepatic stellate
cells, and intestinal cells.
AB - Xanthohumol (XN) is the major prenylated chalcone of hops and hence an ingredient
of beer. Despite many advances in understanding of the pharmacology of XN, one
largely unresolved issue is its low bioavailability in the human organism. Also,
not much is known about its actual concentrations and pharmacokinetics in liver
and intestinal cells. Therefore, the uptake, intracellular distribution, and
kinetics of XN were studied in various cell types, namely, hepatocellular
carcinoma cells (HuH-7), hepatic stellate cells (HSC), primary cultured
hepatocytes, and colorectal adenocarcinoma cells (Caco-2). Fluorescent microscopy
allowed for the first time visualization and tracing of the uptake and
intracellular distribution of XN. A rapid accumulation of XN concentrations that
were up to >60-fold higher than the concentration present in the ambient culture
medium was observed. Fluorescence recovery after photobleaching experiments
revealed that most XN molecules are bound to cellular proteins, which may alter
properties of cellular factors.
PMID- 22088091
TI - Short term supplementation of dietary antioxidants selectively regulates the
inflammatory responses during early cutaneous wound healing in diabetic mice.
AB - BACKGROUND: Diabetic foot ulcers are serious complications for diabetic patients,
yet the precise mechanism that underlines the treatment of these diabetic
complications remains unclear. We hypothesized that dietary antioxidant
supplementation with vitamin C, combined either with vitamin E or with vitamin E
and NAC, improves delayed wound healing through modulation of blood glucose
levels, oxidative stress, and inflammatory response. METHODS: Diabetes was
induced by administration of alloxan monohydrate. Mice were divided into 4
groups; CON (non-diabetic control mice fed AIN 93 G purified rodent diet), DM
(diabetic mice fed AIN 93 G purified rodent diet), VCE (diabetic mice fed 0.5%
vitamin C and 0.5% vitamin E supplemented diet), and Comb (diabetic mice fed 0.5%
vitamin C, 0.5% vitamin E, and 2.5% NAC supplemented diet). After 10 days of
dietary antioxidant supplementation, cutaneous full-thickness excisional wounds
were performed, and the rate of wound closure was examined. TBARS as lipid
peroxidation products and vitamin E levels were measured in the liver. Expression
levels of oxidative stress and inflammatory response related proteins were
measured in the cutaneous wound site. RESULTS: Dietary antioxidant
supplementation improved blood glucose levels and wound closure rate and
increased liver vitamin E, but not liver TBARS levels in the diabetic mice as
compared to those of the CON. In addition, dietary antioxidant supplementation
modulated the expression levels of pIkappaBalpha, HO-1, CuZnSOD, iNOS and COX-2
proteins in the diabetic mice. CONCLUSIONS: These findings demonstrated that
delayed wound healing is associated with an inflammatory response induced by
hyperglycaemia, and suggests that dietary antioxidant supplementation may have
beneficial effects on wound healing through selective modulation of blood glucose
levels, oxidative stress, and inflammatory response.
PMID- 22088092
TI - A new genus and a new species of Cladorchiidae (Digenea: Dadayiinae) from
Podocnemis expansa (Chelonia) of the neotropical region, State of Para, Brazil.
AB - A new species of amphistome digenean from the stomach and intestine of Podocnemis
expansa (Pelomedusidae), of the tropical rain forest, from the State of Para,
Brazil, is described and allocated to a new genus ( Oriximinatrema noronhae ).
The new species is characterized by the presence of an esophageal bulb, an
esophageal extension uncovered by an extension of the pharyngeal sacs, a well
developed cirrus sac, post-bifurcal genital sucker, a ventro-terminal acetabulum
with an anterior lip, and medium-sized eggs. This is the first report of a
Dadayiinae trematode infecting a reptilian host.
PMID- 22088093
TI - Bionano donor-acceptor hybrids of porphyrin, ssDNA, and semiconductive single
wall carbon nanotubes for electron transfer via porphyrin excitation.
AB - Photoinduced electron transfer in self-assemblies of porphyrins ion-paired with
ssDNA wrapped around single-wall carbon nanotubes (SWCNTs) has been reported. To
accomplish the three-component hybrids, two kinds of diameter-sorted
semiconducting SWCNT(n,m)s of different diameter ((n,m) = (6,5) and (7,6)) and
free-base or zinc porphyrin bearing peripheral positive charges ((TMPyP(+))M
(tetrakis(4-N-methylpyridyl)porphyrin); M = Zn and H(2)) serving as light
absorbing photoactive materials are utilized. The donor-acceptor hybrids are held
by ion-pairing between the negatively charged phosphate groups of ssDNA on the
surface of the SWCNT and the positively charged at the ring periphery porphyrin
macrocycle. The newly assembled bionano donor-acceptor hybrids have been
characterized by transmission electron microscopy (TEM) and spectroscopic
methods. Photoinduced electron transfer from the excited singlet porphyrin to the
SWCNTs directly and/or via ssDNA as an electron mediator has been established by
performing systematic studies involving the steady-state and time-resolved
emission as well as the transient absorption studies. Higher charge-separation
efficiency has been successfully demonstrated by the selection of the appropriate
semiconductive SWCNTs with the right band gap, in addition to the aid of ssDNA as
the electron mediator.
PMID- 22088094
TI - Integrity of chromatin and replicating DNA in nuclei released from fission yeast
by semi-automated grinding in liquid nitrogen.
AB - BACKGROUND: Studies of nuclear function in many organisms, especially those with
tough cell walls, are limited by lack of availability of simple, economical
methods for large-scale preparation of clean, undamaged nuclei. FINDINGS: Here we
present a useful method for nuclear isolation from the important model organism,
the fission yeast, Schizosaccharomyces pombe. To preserve in vivo molecular
configurations, we flash-froze the yeast cells in liquid nitrogen. Then we broke
their tough cell walls, without damaging their nuclei, by grinding in a precision
controlled motorized mortar-and-pestle apparatus. The cryo-ground cells were
resuspended and thawed in a buffer designed to preserve nuclear morphology, and
the nuclei were enriched by differential centrifugation. The washed nuclei were
free from contaminating nucleases and have proven well-suited as starting
material for genome-wide chromatin analysis and for preparation of fragile DNA
replication intermediates. CONCLUSIONS: We have developed a simple, reproducible,
economical procedure for large-scale preparation of endogenous-nuclease-free,
morphologically intact nuclei from fission yeast. With appropriate modifications,
this procedure may well prove useful for isolation of nuclei from other organisms
with, or without, tough cell walls.
PMID- 22088095
TI - Microbial production of hyaluronic acid: current state, challenges, and
perspectives.
AB - Hyaluronic acid (HA) is a natural and linear polymer composed of repeating
disaccharide units of beta-1, 3-N-acetyl glucosamine and beta-1, 4-glucuronic
acid with a molecular weight up to 6 million Daltons. With excellent
viscoelasticity, high moisture retention capacity, and high biocompatibility, HA
finds a wide-range of applications in medicine, cosmetics, and
nutraceuticals.Traditionally HA was extracted from rooster combs, and now it is
mainly produced via streptococcal fermentation. Recently the production of HA via
recombinant systems has received increasing interest due to the avoidance of
potential toxins. This work summarizes the research history and current
commercial market of HA, and then deeply analyzes the current state of microbial
production of HA by Streptococcus zooepidemicus and recombinant systems, and
finally discusses the challenges facing microbial HA production and proposes
several research outlines to meet the challenges.
PMID- 22088096
TI - Development of the enantioselective addition of ethyl diazoacetate to aldehydes:
asymmetric synthesis of 1,2-diols.
AB - A novel synthetic strategy toward the asymmetric synthesis of vicinal diols
bearing a tertiary center is presented. The method encompasses the dinuclear Mg
catalyzed asymmetric addition of ethyl diazoacetate into several aldehydes,
oxidation of the diazo functionality, and diastereoselective alkyl transfer of
various organometallics into the resulting chiral beta-hydroxy-alpha-ketoesters
to afford a diverse range of 1,2-diols in high yield, diastereoselectivity, and
chirality transfer.
PMID- 22088097
TI - Urinary albumin-to-creatinine ratio in a first-morning void urine and
prehypertension among Chinese Han women.
AB - BACKGROUND: This study was operated to investigate the association between
urinary albumin-to-creatinine ratio (ACR) and prehypertension among Chinese Han
women. METHODS: Information on blood pressure measurement and other variables
were obtained, and blood and urine samples collected in 1796 women aged >=30
years. The association between urinary ACR and prehypertension were analyzed by
using multivariate non-conditional logistic regression models. RESULTS: Average
urinary ACR was higher in hypertensives than in prehypertensives (median: 15.54
vs 9.01 mg/g), and in prehypertensives than in normotensives (median: 9.01 vs
7.13 mg/g). Both systolic and diastolic blood pressures increased with urinary
ACR. Compared with the lowest quartile of urinary ACR, multivariate adjusted odds
ratios of prehypertension were 1.25 (95% confidence interval, 0.89-1.78), 1.95
(1.30-2.92) and 1.59 (1.02-2.48) for the second, third and fourth quartiles,
respectively. After exclusion of subjects with diabetes or use of
antihypertensive medication, the odds ratio of prehypertension still increased
with urinary ACR levels. CONCLUSION: Increased urinary ACR was significantly and
positively associated with prehypertension among Chinese Han women.
PMID- 22088098
TI - Absolute monocyte count identifies high-risk patients with lymphomas:
"absolutely" simple and "counts" mean a lot!
PMID- 22088099
TI - Variant genotypes of MDR1 C3435T increase the risk of leukemia: evidence from 10
case-control studies.
AB - The C3435T (Ile1142Ile) polymorphism of the multidrug resistance gene (MDR1) has
been implicated in leukemia risk, but the reported results are inconsistent. Here
we performed a meta-analysis to evaluate the association between C3435T
polymorphism and the risk of leukemia using all case-control studies published
before June 2011 according to PubMed. A total of 10 case-control studies were
included in this analysis. We found that variant genotypes of C3435T (CT/TT) were
significantly associated with an increased risk of leukemia (CT/TT vs. CC: odds
ratio [OR] = 1.29; 95% confidence interval [CI] = 1.11-1.50, p = 0.284 for
heterogeneity test). Additionally, the association was more significant in
chronic leukemia (specifically B-cell chronic lymphocytic leukemia [B-CLL]) (OR =
1.94; 95% CI = 1.32-2.85, p = 0.648 for heterogeneity test) than in acute
leukemia (OR = 1.19; 95% CI = 1.01-1.40, p = 0.616 for heterogeneity test), p =
0.021 for heterogeneity test between groups. These findings provide further
evidence that the MDR1 C3435T variant may modify the susceptibility to leukemia.
PMID- 22088100
TI - Rapid and specific influenza virus detection by functionalized magnetic
nanoparticles and mass spectrometry.
AB - BACKGROUND: The timely and accurate diagnosis of specific influenza virus strains
is crucial to effective prophylaxis, vaccine preparation and early antiviral
therapy. The detection of influenza A viruses is mainly accomplished using
polymerase chain reaction (PCR) techniques or antibody-based assays. In
conjugation with the immunoassay utilizing monoclonal antibody, mass spectrometry
is an alternative to identify proteins derived from a target influenza virus.
Taking advantage of the large surface area-to-volume ratio, antibody-conjugated
magnetic nanoparticles can act as an effective probe to extract influenza virus
for sodium dodecylsulfate polyacrylamide gel electrophoresis (SDS-PAGE) and on
bead mass spectrometric analysis. RESULTS: Iron oxide magnetic nanoparticles
(MNP) were functionalized with H5N2 viral antibodies targeting the hemagglutinin
protein and capped with methoxy-terminated ethylene glycol to suppress
nonspecific binding. The antibody-conjugated MNPs possessed a high specificity to
H5N2 virus without cross-reactivity with recombinant H5N1 viruses. The
unambiguous identification of the captured hemagglutinin on magnetic
nanoparticles was realized by SDS-PAGE visualization and peptide sequence
identification using liquid chromatography-tandem mass spectrometry (LC-MS/MS).
CONCLUSIONS: The assay combining efficient magnetic separation and MALDI-MS
readout offers a rapid and sensitive method for virus screening. Direct on-MNP
detection by matrix-assisted laser desorption/ionization time-of-flight mass
spectrometry (MALDI-TOF MS) provided high sensitivity (~10(3) EID(50) per mL) and
a timely diagnosis within one hour. The magnetic nanoparticles encapsulated with
monoclonal antibodies could be used as a specific probe to distinguish different
subtypes of influenza.
PMID- 22088102
TI - Preface.
PMID- 22088101
TI - Updates on the treatment of essential hypertension: a summary of AHRQ's
comparative effectiveness review of angiotensin-converting enzyme inhibitors,
angiotensin II receptor blockers, and direct renin inhibitors.
AB - BACKGROUND: In 2007, the Agency for Healthcare Research and Quality (AHRQ)
published a comparative effectiveness review (CER) on the benefits and risks of
angiotensin-converting enzyme inhibitors (ACEIs) and angiotensin II receptor
blockers (ARBs) for treating essential hypertension in adults. The main findings
indicated that the 2 classes of antihypertensive medications caused similar
reductions in blood pressure, although higher rates of adverse events, especially
cough, were reported by patients treated with ACEIs. In addition, the 2007 review
indicated no treatment related differences in lipid levels, glycemic control, or
progression of kidney disease among the agents. Since 2007, 39 relevant studies
have been published that compare outcomes for adults treated with ACEIs versus
ARBs or a drug in one of these 2 classes versus a direct renin inhibitor (DRI).
To systematically analyze findings from the new research, AHRQ commissioned and,
in June 2011, published an updated comparative effectiveness review on the
benefits and risks of agents that target the renin-angiotensin- aldosterone
system (RAAS), specifically ACEIs, ARBs, and DRIs. OBJECTIVES: To (a) familiarize
health care professionals with the methods and findings from AHRQ's 2011
comparative effectiveness review on ACEIs, ARBs, and DRIs for adults with
essential hypertension; (b) provide commentary and encourage consideration of the
clinical and managed care applications of the review findings; and (c) identify
limitations to the existing research on the benefits and risks of ACEIs, ARBs,
and DRIs. SUMMARY: Consistent with the findings from AHRQ's 2007 report, the 2011
update indicated no overall differences in blood pressure control, mortality
rates, and major cardiovascular events in patients treated with ACEIs versus
ARBs. With a low strength of evidence, 2 studies reported a small significantly
greater blood pressure reduction for patients treated with the DRI aliskiren
versus the ACEI ramipril. Studies evaluating the DRI aliskiren versus ACEIs and
ARBs on mortality and morbidity outcomes were relatively short, and few deaths or
cardiovascular events occurred, resulting in insufficient evidence to discern
differences. A random-effects meta-analysis of 23 RCTs comparing ACEIs and ARBs
found no significant difference in the proportion of patients who achieved
successful blood pressure control on a single antihypertensive agent. Compared
with ARBs and the DRI aliskiren, ACEIs were consistently associated with higher
rates of cough. Withdrawals due to adverse events were modestly more frequent for
patients receiving ACEI rather than ARBs or DRIs; this is consistent with the
differential rates of cough. There was no evidence of differential effects of
ACEIs, ARBs, or DRIs on the outcomes of lipids, renal outcomes, carbohydrate
metabolism or diabetes, or left ventricular mass; however, there was not a high
strength of evidence for any of these outcomes. Regarding the question of whether
ACEIs, ARBs, or DRIs are associated with better outcomes in specific patient
subgroups, the evidence was insufficient to reach firm conclusions.
PMID- 22088103
TI - Stabile Isotope in den Interaktionen von Parasiten und Wirten bei Hoheren
Pflanzen.
AB - Abstract An overview is given about the delta(13)C- and delta5D-values in the
organic material of hemi- and full- parasitic higher plants and of their hosts.
Hemiparasites have to direct the content of the host xylem into their tissues by
lowering their water potential. They achieve this by active water secretion or by
lowering the stomatal resistance. In the latter case, the intercellular CO(2)
concentration in the chlorenchyme of the parasite is increased. This causes a
reduction of the (13)C-content (delta(13)C-value of the parasite more negative
than of the host). The dry matter of the mistletoes is always richer in deuterium
than that of the host. The reason for this fact is unknown. In the case
hemiparasites and their hosts show differences in the (13)CO(2)-discrimination
during the photosynthetic CO(2)-fixation, an eventual transfer of organic
material from host to parasite can be checked. By this way a holoparasitic
mistletoe, Tristerix aphyllus, could be demonstrated. In contrast to mistletoes
on C3-hosts, such on CAM-hosts have a lower deuterium content in the dry mass
than the hosts. Holoparasites get all their organic material from the hosts and
mirror, therefore, in their delta(13)C-values those of the hosts. Their deuterium
content in the organic material is always higher than the one in the host. The
reason is unknown.
PMID- 22088104
TI - Uptake of [(15)N] Ammonium and [(15)N]Nitrate in a 140-Year-Old Spruce Stand
(Picea abies) in the Fichtelgebirge (NE Bavaria).
AB - Abstract In April 1994 a (15)N tracer pulse study was started in a 140-year-old
spruce stand (Picea abies [L.] Karst.) located at the Fichtelgebirge (NE
Bavaria). Highly enriched (98%) [(15)N]ammonium and [(15)N]nitrate were applied
simulating wet deposition. For two growing seasons the pathways and dynamics of
the tracer were followed in all compartments of spruce (needles and twigs of all
age classes, stem wood and bark, roots) and understorey vegetation and in soils
of the organic (L/Of and Oh) and mineral horizons (A(0-5) and A(5-10)). By
variations of the application time on different plots within the growing season
(spring, summer and autumn) a seasonal effect of labelling on uptake and
distribution patterns was tested. First results of this tracer study indicate
that young and old spruce stands do not differ basically in pattern of uptake and
distribution of mineral nitrogen. There are indications that spruce uses
preferentially ammonium versus nitrate and that the ratio of ammonium/nitrate
which is being consumed depends on the ammonium/nitrate ratio in the soil
solution. The uptake rates decrease within the growing season.
PMID- 22088105
TI - The Fate of [(15)N]Ammonium and [(15)N]Nitrate in the Soil of a 140-Year-Old
Spruce Stand (Picea Abies) in the Fichtelgebirge (NE-Bavaria).
AB - Abstract A (15)N tracer-experiment was carried out in a 140-year-old spruce
stand (Picea abies (L.) Karst.) in the Fichtelgebirge (NE-Bavaria, Germany).
Highly enriched (98 at%) [(15)N]ammonium and [(15)N]nitrate were applied as
tracers by simulation of a deposition of 41.3 mol N ha(-1) with 11 water m(-2).
To examine seasonal variations of uptake by spruce and understorey vegetation,
different plots were labelled in spring, summer and autumn 1994. One aim of the
present study was to perfect a method of preparation of soil extracts for isotope
ratio mass spectrometry (IRMS) measurements. Ammonium and nitrate from soil
extracts were prepared for IRMS measurements by steam distillation and subsequent
freeze drying. Additionally, tracer distribution and transformations in the soil
nitrogen pools were examined. Ammonium, nitrate and total nitrogen were examined
in the organic layer and the upper 10 cm of the mineral soil during 3 months
after the first tracer application in spring 1994. In July 1994, three months
after tracer application, 40% of the [(15)N]ammonium label and 29% of the
[(15)N]nitrate label, respectively, were recovered in the total N pool of the
investigated soil horizons. In the organic layer the L/Of horizon retained most
of the recovered tracers. Nitrification, immobilisation and mineralisation
occurred even under the conditions of high soil acidity at the study site.
PMID- 22088106
TI - Untersuchungen mit (15)n im dauerdungungsfeldversuch.
AB - Abstract Long-term static fertilizer experiments are important for the soil
fertility research, particular for using nitrogen problems. There are several
possibilities for (15)N-traces in such experiments. One example is the
International Organic Nitrogen Long-Term Experiment at Berlin-Dahlem in which
(15)N-tracers are used, involving organic and inorganic fertilizers. By analyzing
the soil and the plant biomass, it was possible to distinguish between nitrogen
originating from the soil and that from the fertilizer. Some results are
published.
PMID- 22088107
TI - Course of uptake of weed-borne nitrogen by maize, tested with (15)n.
AB - Abstract The course of uptake of weed-borne nitrogen by maize was tested with
(15)N in a pot experiment with silty loam after common growth of maize and
Chenopodium album L., and mulching the weed in the 5-leaf stage of maize.
Harvests 4,8 and 12 weeks after mulching show that the maize took up 35, 63 and
70% of the weed-borne nitrogen, resp., in consequence of a rapid and almost
complete mineralization. The portion of weed-borne nitrogen in total N of the
maize was 16% at all harvest dates. The differences in yield between weeded and
unweeded maize were not significant neither at 5-leaf stage nor at corn maturity.
PMID- 22088108
TI - Nitrogen fixation and nitrogen fertilization of soybeans.
AB - Abstract In pot experiments with (15)N labelled soil and mineral (15)N, the
influence of Bradyrhizobium (Rhizobium japonicum) inoculation and N fertilization
on the symbiotic N(2) fixation and yield of soybeans [Glycine max (L.) Merill.,
cv. 'Fiskeby V'] was investigated. Symbiotic N(2) fixation only occured after
inoculation with Bradyrhizobium. Considerable differences in efficiency of the
bacterial preparations were observed. Shortly after flowering, the symbiotic
nitrogen fixation was finished and, subsequently, soybeans took up considerable N
amounts from the soil. N fertilization at seeding suppressed N(2) fixation of
soybeans. In this case, the dry matter and nitrogen yield increased, because the
loss of fixed nitrogen was overcompensated by the mineral N uptake. During
flowering of soybeans, the N(2) fixation was not affected by N supply, because
this process was already terminated. The mineral N was additionally available to
the plants and led to increased N amounts in plants. It was absorbed to a
considerable degree by soybeans. The mineral N was translocated (partly, after
intermediate storage in the vegetative organs) into the seeds thus increasing
their yields.
PMID- 22088109
TI - The impact of ozone on the (15)n incorporation and nitrogen assimilation of wheat
and maize.
AB - Abstract Young wheat (C3) and maize (C4) plants were exposed to near-ambient
concentrations of ozone in open-top chambers in order to investigate the possible
effects of ozone on nitrogen metabolism. Nitrogen was supplied to the plants by
adding (15)N-labelled tracer substances via the soil substrate. Enzyme activities
(NADH nitrate reductase, nitrite reductase, glutamine synthetase and NADH
glutamate dehydrogenase) and the incorporation of (15)N were determined. The
findings show that nitrogen metabolism was affected by O(3), however, there were
distinct differences between the two species. In plants treated with O(3), NADH
nitrate reductase activity in maize leaves was reduced, while NR activity in
wheat leaves only slightly declined. Only minor changes were observed with
respect to the activities of nitrite reductase, glutamine synthetase and NADH
glutamate dehydrogenase. Feeding experiments using (15)NO(3) (-) showed that
the incorporation of nitrate nitrogen in wheat plants exposed to ozone remains
virtually unchanged, whereas in maize plants reduced incorporation rates were
observed for nitrate nitrogen. The incorporation of ammonium nitrogen was
distinctly increased in wheat and maize by the impact of ozone. When
investigating pigment contents, reduced levels of chlorophyll a and b and
carotenoids were observed, whereas the pigment content of wheat leaves remained
unchanged. These results indicate that young maize plants are more susceptible
than wheat plants to short-term ozone exposure.
PMID- 22088110
TI - Prospects and limitations of an isotope tracer technique for understanding sulfur
cycling in forested and agro-ecosystems.
AB - Abstract Applications of isotopically distinct sulfur compounds have recently
been used for tracing the fate of added sulfur in whole catchments or sub
compartments therein. Basic principles, the analytical methodology, and data
evaluation for this isotope tracer technique are briefly described. We recommend
that delta(34)S-values of applied and natural sulfur compounds in the
investigated ecosystem should differ by more than 200/00 in order to successfully
ascertain sulfur fluxes. Where possible, a high ratio of applied sulfur loads
versus sulfur pool sizes in the ecosystem should also be realized in order to
allow the assessment of sulfur transformations in the study area. Prospects and
limitations of this isotope tracer technique are critically discussed by
reviewing results from recently or currently conducted lysimeter and field
experiments.
PMID- 22088111
TI - Sulfate Reduction in a Forested Catchment as Indicated by delta(34)S Values of
Sulfate in Soil Solutions and Runoff.
AB - Abstract In a forested catchment in the Fichtelgebirge mountains (NE-Bavaria,
Germany) the long term SO(4) (2-) budget (average 1988-1994) indicated that about
40% of the input with throughfall (16.8 kg SO(4) (2-) S.ha(-1).yr(-1)) was
retained in the catchment. In order to identify processes acting as potential
SO(4) (2-) sinks, delta(34)S values of SO(4) (2-) in soil solutions and runoff
were measured between May and November 1994. delta(34)S values of the runoff and
the fen were higher (5.80/00) than the delta(34)S values of the soil solution of
the oxic soils in the terrestrial area (3.90/00). Because there is no lithogenic
S source within the catchment, it can be assumed that SO(4) (2-) deposition is
the only S source in the catchment. Thus the results were interpreted as a result
of SO(4) (2-) reduction within the catchment, because the uptake of (32)S is
favoured during the dissimilatory SO(4) (2-) reduction and (34)S is consequently
enriched in the soil solution. To estimate the amount of SO(4) (2-) reduced
isotopic fractionation factors between - 90/00 and -460/00 were considered,
resulting in SO(4) (2-) reduction rates of 1.8-9.3 kg SO(4) (2)-S.ha(-1)yr(-1).
It was concluded that besides dissimilatory SO(4) (2-) reduction another sink
exists in the catchment (e.g. SO(4) (2-) sorption in deep soil layers).
PMID- 22088112
TI - Influence of earthworms on the sulfur turnover in the soil.
AB - Abstract The effects of earthworm activity on the concentration and isotopic
composition of total sulfur in soils was investigated using batch experiments.
Two ecologically different lumbricid species, the anecic Lumbricus terrestris and
the endogeic Aporrectodea caliginosa, were used. The earthworms were fed birch
leaves, beech leaves, cattle manure or mixed plant litter. All food sources
differed isotopically (delta(34)S) from the soil (Parabraunerde). As a reference,
one experiment was carried out without additional food. The experimental
results show, that both earthworm species influence the total S-content and the
delta(34)S-values in the soil by digestion of the different food sources. The
differences in the total S-content of the earthworm tissues and in the S-isotopic
composition of the casts can be attributed to the ecological differences between
the earthworm species.
PMID- 22088115
TI - Compartmental approach for evaluation of plasma kinetics and (13)co(2)-exhalation
after oral loading with L-[1-(13)c]leucine.
AB - Abstract A seven compartment model was applied for evaluation of oral L-[1
(13)C]leucine loading tests (38 MUmol/kg body wt.) in healthy volunteers. The
model comprises transport and absorption in stomach and gut into a central L
leucine-compartment which is connected to a protein compartment and to the
compartment of the corresponding 2-oxo acid. CO(2) release from the latter occurs
in a fast and a slow compartment into the central CO(2) compartment for
exhalation. Using the fmins routine of MATLAB for parameter estimation, a good
agreement was obtained between calculated and actually measured kinetics of (13)C
labelled metabolites and a mean in vivo L-leucine oxidation of 0.365 +/- 0.071
MUmol/kg per min (n = 5) was computed. Plausibility of the model was checked by
predicting in vivo leucine oxidation rates from primed continuous infusion tests
(priming: L-[1-(13)C]leucine, 5 MUmol/kg; NaH(13)CO(2), 1.2 MUmol/kg; infusion: L
[1-(13)C]leucine, 5 MUmol/kg per h). In 5 tested volunteers, the experimental L
leucine oxidation rate amounted to 0.358 +/- 0.105 MUmol/kg per min versus
predicted 0.324+/-0.099 MUmol/kg per min. Possible causes for some observed
intraindividual variations are discussed.
PMID- 22088117
TI - Microcombustion of ng Amounts of Carbon in Non-Volatile Materials for isotope
Ratio Evaluation.
AB - Abstract A novel microcombustion technique for carbon isotopic analysis of
nanogram amounts of carbon in non-volatile materials based on isotope ratio
monitoring (irm) mass spectrometry is described. Liquid or solid samples placed
in a quartz sleeve are combusted at 1000 degrees C in a continuous stream of
helium and oxygen. CO(2) removed from the carrier gas stream by cryogenic
trapping is transferred onto a GC column. Following GC separation, the CO(2) is
transferred via an open split to the ion source of a gas isotope ratio mass
spectrometer. Reproducibility for samples >25 nmol carbon is <10/00. Problems
associated with blanks from various sources and with reproducible deposition of
small sample amounts led to variable accuracy, which was dependent on the
compound class being analysed. Minimum sample size was in the range from 5 to 10
nmol carbon. Measurements of dissolved organic carbon (DOC) of groundwater from
Germany yielded consistent values of delta(13)C = -28.80/00.
PMID- 22088118
TI - New methods for fully automated isotope ratio determination from hydrogen at the
natural abundance level.
AB - Abstract A variety of methods for measurement of (2)H/(1)H from H(2) are
evaluated for their ability to be fully automated and for applicability to
automated isotopic analysis of water and organic compounds. Equilibration of
water with H(2) gas with the aid of a platinum catalyst has been commercialized
into a fully automated sample preparation device. A second and newer technique,
involving injecting water, methane, or other volatile organic compounds onto hot
chromium in a reactor attached to the dual inlet system of a gas isotope ratio
mass spectrometer, can be integrated with a conventional GC-autosampler to allow
automated analysis of a variety of substrates. Both techniques result in
precisions around 10/00 (delta notation) on the VSMOW scale, and are fast and
accurate, and with appropriate mass spectrometers require only negligible scaling
for the SLAP/VSMOW difference. Several experimental methods which show
considerable promise employ "isotope ratio monitoring" (irm) inlet systems, in
which a carrier gas is used for transport of H(2) to the mass spectrometer. Any
such method has to address the problem of He ions corrupting the measurement of
the H(2) ions. One such approach uses a heated palladium membrane for selective
introduction of H(2) into the mass spectrometer, and a second involves
modifications to the ion optics to control the stray helium ions. Both approaches
have significant limitations that must be overcome before irm techniques can be
used in routine applications, in particular for measuring hydrogen isotopes from
GC effluents (irm-GCMS).
PMID- 22088119
TI - Isotope-Ratio-Monitoring Liquid Chromatography Mass Spectrometry (IRM-LCMS):
First Results from a Moving Wire Interface System.
AB - Abstract A Liquid Chromatography-Combustion (LC-C) Interface, based on a moving
wire technique, has been built and tested. The LC effluent is deposited onto a
transport wire, which carries the sample through solvent evaporation and
combustion ovens. CO(2) from the combustion step is analysed in an isotope ratio
mass spectrometer. Performance of the interface was tested by loop injections of
sucrose and glucose into a liquid flow of methanol/water (80/20). Accuracy and
precision of delta(13)C(PDB) < 10/00 was achieved for sample concentrations > 500
ng/ul (5MUl loop), sufficient for studies at natural isotope ratios. In case of
(13)C tracer applications the detection limit was determined to be about 20 pg
carbon tracer (on wire).
PMID- 22088120
TI - Forensic Studies by EA-IRMS.
AB - Abstract The authenticity of natural and synthetic matter can be checked by
measurement of the isotope ratios of C, N and S. Controlled substances like drugs
of abuse (cocaine, heroin) and explosives (TNT) or, simply, traces of paint can
hold information in their isotope pattern. Total combustion of samples in an
elemental analyzer followed by on-line determination of the isotopes of the
combustion products (CO(2), N(2), SO(2)) in an isotope ratio mass spectrometer
(EA-IRMS) provides high sample throughout with a minimum of sample preparation.
PMID- 22088121
TI - Accuracy and precision for measurements of the mass ratio 30/28 in dinitrogen
from air samples and its application to the investigation of N losses from soil
by denitrification.
AB - Abstract In the 1950s Hauck introduced a special version of the (15)N dilution
technique ((15)N flux method) for the determination of N losses from the soil by
denitrification. Although this method is very useful and reliable its application
has been rather infrequent up to now. This is mainly due to the need to measure
the m/z 30 in addition to the usually measured m/z 28 and 29 for dinitrogen,
because the (15)N in the enriched air sample taken from an enclosure (cover box)
at the soil surface is nonrandom. The signal from the m/z 30 is very low and
difficult to measure with sufficient precision because other species (e.g. NO)
also having the m/z 30 often interfere with its measurement. In this study the
accuracy and precision of an easy to use CF-IRMS with sample batch operation to
measure the ratio 30/28 was investigated. The relative standard deviation (RSD =
precision) from natural abundance up to 2 at.% was always <1%. After correction
of the mass ratio 30/28 (R30), by means of a formula obtained by linear
regression of theoretical R30 against measured R30, the accuracy of the abundance
calculated from this corrected R30 was very high. From the achieved precision and
assuming a cover box height of 10 cm (headspace volume of 7 1), and a collection
time of 2 h, a limit of detection for N(2) losses by denitrification equivalent
to 16 g N/ha*d or 6 kg N/ha*a can be estimated. The performance of the (15)N
dilution method using the equipment and procedure described is demonstrated by
means of results from an incubation experiment with [(15)N]nitrate-amended soils.
PMID- 22088122
TI - (18)O/(16)O and (13)c/(12)c fractionation during the reaction of carbonates with
phosphoric Acid: effects of cationic substitution and reaction temperature.
AB - Abstract The factors for (18)O/(16)O fractionation between carbonates and CO(2)
gas produced by the dissolution of the carbonates in phosphoric acid (sealed
vessel method) have been investigated as a function of reaction temperature (20
90 degrees C) and cationic substitution in the solid. Synthetic CaCO(3), Ca(0.75)
Mn(0.25) CO(3), MnCO(3), BaCO(3) and SrCO(3) powders, and a natural kutnahorite
sample were used as solids. The delta(18)O values of the gaseous CO(2) liberated
by the reaction with phosphoric acid decrease with increasing temperature and
seem to be a linear function of T( degrees K)(-2). The slopes are specific for
different carbonates. No temperature-depended (13)C/(12)C fractionation seems to
exist.
PMID- 22088124
TI - Editorial board page for "Isotopes in Environmental and Health Studies", Volume
32, Number 2-3.
AB - Abstract This is a scanned image of the original Editorial Board page(s) for
this issue.
PMID- 22088125
TI - Genetic diversity of thiamin and folate in primitive cultivated and wild potato
(Solanum) species.
AB - Biofortification of staple crops like potato via breeding is an attractive
strategy to reduce human micronutrient deficiencies. A prerequisite is metabolic
phenotyping of genetically diverse material which can potentially be used as
parents in breeding programs. Thus, the natural genetic diversity of thiamin and
folate contents was investigated in indigenous cultivated potatoes (Solanum
tuberosum group Andigenum) and wild potato species (Solanum section Petota).
Significant differences were found among clones and species. For about 50% of the
clones there were variations in thiamin and folate contents between years.
Genotypes which contained over 2-fold the thiamin and 4-fold the folate content
compared to the modern variety Russet Burbank were identified and should be
useful material to integrate in breeding programs which aim to enhance the
nutritional value of potato. Primitive cultivars and wild species with widely
different amounts of thiamin and folate will also be valuable tools to explore
their respective metabolic regulation.
PMID- 22088127
TI - Banking sperm is only the first of many decisions for men: what healthcare
professionals and men need to know.
AB - Sperm banking is recommended for all males prior to cancer treatment where there
are risks of infertility. Subsequent decisions about monitoring fertility, use of
banked sperm or disposal are less well understood, with adverse consequences for
men and cost implications. We review the literature around key decision points:
Diagnosis of cancer, monitoring fertility, use of banked sperm and sperm
disposal. The results suggest that decisions about banking are compromised by
concerns to initiate treatment quickly; subsequent decisions about monitoring
fertility, use of banked sperm or disposal are coloured by the views of family
members, men's failure to understand the longer-term implications and their
reluctance to avail themselves of health care generally. Methodological
limitations of current research include low response rates, increased focus on
germ cell cancers and a lack of research outside North America. There is evidence
that men and oncologists could use sperm banks more "wisely". Lack of
longitudinal work means it is not possible to determine the long-term
consequences of banking for men's general health and well-being, or identify
barriers to fertility monitoring or disposal. We argue that sperm banking should
be considered as a series of decisions, all involving implications for fertility,
contraception and social and psychological adjustment to cancer.
PMID- 22088128
TI - Psychosocial trends in couples prior to commencement of in vitro fertilisation
(IVF) treatment.
AB - Facing infertility and undergoing fertility treatment can create emotional
turmoil in couples' lives. It is essential for fertility therapy providers to
assess the coping and communication strategies of couples before treatment in
order to provide appropriate support. We performed a two time point (year 2003 &
year 2009) cross-sectional study of patients attending our services to undergo in
vitro fertilisation. All couples attending the Human Assisted Reproduction
Ireland Unit, a tertiary referral academic centre at the Rotunda Hospital, were
requested to complete a psychosocial questionnaire before commencing the
treatment. The questions assessed couples' understanding of their own
infertility, family background and support, relationship traits and stress levels
prior to commencing fertility treatment. A total of 180 patients participated in
the study. Our findings showed that within a 6-year time span, couples' attitudes
have changed significantly. Compared to 6 years ago, couples now have a better
understanding of infertility and are seeking treatment quicker. Interestingly, we
showed higher stress levels nowadays with fewer couples following routine stress
management. We also identified specific gender differences in that women have a
more open attitude in discussing infertility and seeking more support from
friends and family compared to men.
PMID- 22088129
TI - Viral screening before each cycle of assisted conception treatment is expensive
and unnecessary: a survey of results from a UK inner city clinic.
AB - The European Union Tissues and Cells Directive requires screening of tissue and
cell donors for infective organisms to prevent inter-patient transmission. The
Directive includes the unique term partner donation, which refers to "donation of
reproductive cells between a man and a woman who declare that they have an
intimate physical relationship". In line with the Directive, partners undergoing
Assisted Reproductive Technology (ART) now require screening before each
treatment, regardless of the time interval between consecutive cycles. Evidence
to support this recommendation is lacking. Therefore, we conducted a
retrospective study of all virology screening tests undertaken over a three year
period for individuals attending an assisted conception unit serving a high risk
inner city population. We ascertained prevalence and seroconversion rates for
HIV, hepatitis B and C and estimated the additional cost of implementing the
Directive fully in our unit. With more than 3910 ART individuals screened between
January 2007 and December 2009, the prevalence of HIV, hepatitis B and C was 0.6,
1.7 and 0.4%, respectively. A total of 422 individuals had a second screening
test during the three year period and none seroconverted. This study suggests
that increasing the frequency of screening individuals undergoing ART to less
than 12 months would not confer added benefit and has significant cost
implications.
PMID- 22088131
TI - Full investigation of patients with polycystic ovary syndrome (PCOS) presenting
to four different clinical specialties reveals significant differences and
undiagnosed morbidity.
AB - OBJECTIVE: This study aimed to compare the spectrum of polycystic ovary syndrome
(PCOS) symptoms in patients from four different specialist clinics. DESIGN: A
prospective cross-sectional observational study. SETTING: The study was conducted
at the infertility, gynaecology, endocrine and dermatology clinics at Leeds
General Infirmary, U.K. PATIENTS: Seventy women presenting with features of PCOS:
20 from infertility, 17 from gynaecology, 17 from dermatology and 16 from
endocrine clinics. INTERVENTIONS: Participants were assessed for symptoms and
signs of PCOS and underwent a full endocrine and metabolic profile and a pelvic
ultrasound scan. RESULTS: All subjects had experienced menstrual problems, 81%
were overweight, 86% had polycystic ovaries on ultrasound, 56% had hirsutism, 53%
had acne, 23% had acanthosis nigricans, 16% had alopecia and 38% had previously
undiagnosed impaired glucose tolerance (IGT) or diabetes. A significant
difference between the four clinic groups existed with regard to menstrual
patterns (p = 0.0234), frequency distribution of presenting symptoms and the
percentages of patients with PCOS who had already been diagnosed as having PCOS
(p = 0.0088). CONCLUSION: This study emphasizes the importance of understanding
the full spectrum of PCOS as presented to different specialty clinics. Not only
is the syndrome under diagnosed but also are the significant associated
morbidities such as IGT and type 2 diabetes. Different specialists need to
appreciate the spectrum of health problems for women with PCOS that may extend
beyond the specific symptoms that precipitated the initial referral.
PMID- 22088130
TI - Anti-Mullerian Hormone (AMH) levels in serum and follicular fluid as predictors
of ovarian response in stimulated (IVF and ICSI) cycles.
AB - INTRODUCTION: Anti-Mullerian Hormone (AMH) was recently introduced as a marker of
ovarian reserve in assisted reproduction. The cutoff values of AMH for prediction
of poor response have not yet been determined. MATERIAL AND METHODS: Ninety women
undergoing their first IVF/ICSI cycle were prospectively included in this
clinical, non-interventional study. Baseline AMH, follicle stimulating hormone
(FSH) and antral follicle count (AFC) were measured before starting ovarian
stimulation. AMH was also measured on day 5 of stimulation and in the follicular
fluid of the first aspirated follicle. The predictive value of baseline AMH, day
5 AMH and follicular fluid AMH were assessed comparatively to FSH and AFC for
ovarian response. Ovarian response was defined as poor (<4 oocytes), high (>12
oocytes) or normal (>=4 oocytes and <=12 oocytes). However, only 3 patients met
the criterion for high ovarian response and thus analysis was focused on the
prediction of poor response. RESULTS: Significant differences were present
between poor responders and non-poor responders regarding FSH (p = 0.019),
baseline AMH (p = 0.002), AFC (p < 0.001), day 5 AMH (p = 0.005) but not for
follicular AMH (p = 0.183). The largest AUC (area under the curve) for poor
ovarian response was obtained by AFC (AUC = 0.81) followed by baseline AMH (AUC =
0.70). At a level below 2.74 ng/mL, the sensitivity of the test is 69% and
specificity is 70.5%. CONCLUSION: Baseline AMH is almost as good a predictor for
poor ovarian response as AFC.
PMID- 22088132
TI - Inverse opals of molecularly imprinted hydrogels for the detection of bisphenol A
and pH sensing.
AB - Inverse opal films of molecularly imprinted polymers (MIP) were elaborated using
the colloidal crystal template method. The colloidal crystals of silica particles
were built by the Langmuir-Blodgett technique, allowing a perfect control of the
film thickness. Polymerization in the interspaces of the colloidal crystal in the
presence of bisphenol A (BPA) and removal of the used template provides 3D
ordered macroporous methacrylic acid-based hydrogel films in which nanocavities
derived from bisphenol A are distributed within the thin walls of the inverse
opal hydrogel. The equilibrium swelling properties of the nonimprinted (NIPs) and
molecularly imprinted polymers (MIPs) were studied as a function of pH and
bisphenol A concentration, while the molecular structures of the bulk hydrogels
were analyzed using a cross-linked network structure theory. This study showed an
increase in nanopore (mesh) size in the MIPs after BPA extraction as compared to
NIPs, in agreement with the presence of nanocavities left by the molecular
imprints of the template molecule. The resulting inverse opals were found to
display large responses to external stimuli (pH or BPA) with Bragg diffraction
peak shifts depending upon the hydrogel film thickness. The film thickness was
therefore shown to be a critical parameter for improving the sensing capacities
of inverse opal hydrogel films deposited on a substrate.
PMID- 22088133
TI - Assessing the efficacy of the Healthy Eating and Lifestyle Programme (HELP)
compared with enhanced standard care of the obese adolescent in the community:
study protocol for a randomized controlled trial.
AB - BACKGROUND: The childhood obesity epidemic is one of the foremost UK health
priorities. Childhood obesity tracks into adult life and places individuals at
considerable risk for diabetes, cardiovascular disease, liver disease and other
morbidities. There is widespread need for paediatric lifestyle programmes as
change may be easier to accomplish in childhood than later in life. STUDY
DESIGN/METHOD: The study will evaluate the management of adolescent obesity by
conducting a Medical Research Council complex intervention phase III efficacy
randomised clinical trial of the Healthy Eating Lifestyle Programme within
primary care. The study tests a community delivered multi-component intervention
designed for adolescents developed from best practice as identified by National
Institute for Health and Clinical Excellence. The hospital based pilot reduced
body mass index and improved health-related quality of life.Subjects will be
individually randomised to receiving either the Healthy Eating Lifestyle
Programme (12 fortnightly family sessions) or enhanced standard care. Baseline
and follow up assessments will be undertaken blind to allocation status. A health
economic evaluation is also being conducted.200 obese young people (13-17 years,
body mass index > 98th centile for age and sex) will be recruited from primary
care within the greater London area.The primary hypothesis is that a motivational
and solution-focused family-based weight management programme delivered over 6
months is more efficacious in reducing body mass index in obese adolescents
identified in the community than enhanced standard care.The primary outcome will
be body mass index at the end of the intervention, adjusted for baseline body
mass index, age and sex.The secondary hypothesis is that the Healthy Eating
Lifestyle Programme is more efficacious in improving quality of life and
psychological function and reducing waist circumference and cardiovascular risk
factors in obese adolescents than enhanced standard care assessed at 6 and 12
months post baseline assessment.Improvement in quality of life predicts on-going
lifestyle change and maximises the chances of long-term weight reduction. We will
explore whether improvement in QOL may be intermediate on the pathway between the
intervention and body mass index change. TRIAL REGISTRATION: ISRCTN:
ISRCTN99840111.
PMID- 22088134
TI - The effect of local environmental variables on the helminth parasite communities
of the pointedbelly frog Leptodactylus podicipinus from ponds in the Pantanal
wetlands.
AB - Understanding the patterns of species distribution and abundance has been at the
core of ecology. In general, these patterns are determined by species dispersion
as well as by abiotic and biotic environmental conditions. Similarly, host
parasite relations and the structure of parasite assemblages are also shaped by
environmental conditions and landscape composition. Herein, we assessed the
influence of environmental variables and parasite species dispersion on the
structure of helminth parasites communities in the frog Leptodactylus
podicipinus. We sampled 10 ponds and recorded area, depth, altitude, pH,
dissolved oxygen, salinity, temperature, and extent of soil, water, and
vegetation cover as well as the distances between the ponds. We collected 121
frogs and found 9 helminth taxa; 2 of them were core species (prevalence higher
than 50%), which contributed to the relatively high similarity observed among the
ponds. Most of the helminths showed some variation in the frequencies of
occurrence among communities from different ponds. The change in species
composition among ponds was explained by the environmental variables but not by
the distance between the ponds. Moreover, the results indicated that local
processes (variation in environmental conditions) were more important than the
regional processes (species distribution) in determining the structure of
parasite communities. The variation in helminth communities among ponds in
response to moderate differences in pond environmental characteristics points to
the potential of helminth species as indicators of environmental conditions.
PMID- 22088135
TI - Retraction. Polyethyleneimine-based gene therapy by inhalation.
PMID- 22088136
TI - Orientation-dependent electron transport in a single redox protein.
AB - The redox-active protein cytochrome b(562) has been engineered to introduce pairs
of thiol groups in the form of cysteine residues at specified sites. Successful
STM imaging of the molecules adsorbed on a gold surface indicated that one thiol
group controls the orientation of the molecule and that the protein maintains its
native form under the experimental conditions. Stable protein-gold STM tip
electrical contact was directly observed to form via the second free thiol group
in current-voltage and current-distance measurements. Proteins with thiol
contacts positioned across the protein's short axis displayed a conductance of
(3.48 +/- 0.05) * 10(-5)G(0). However proteins with thiol groups placed along the
long axis reproducibly yielded two distinct values of (1.95 +/- 0.03) * 10(
5)G(0) and (3.57 +/- 0.11) * 10(-5)G(0), suggesting that the placement of the
asymmetrically located haem within the protein influences electron transfer. In
contrast, the unengineered wild-type cytochrome b(562) had conductance values at
least 1 order of magnitude less. Here we show that an electron transfer protein
engineered to bind gold surfaces can be controllably oriented and electrically
contacted to metallic electrodes, a prerequisite for potential integration into
electronic circuits.
PMID- 22088137
TI - Dietary intake of artificial sweeteners by the Belgian population.
AB - This study investigated whether the Belgian population older than 15 years is at
risk of exceeding ADI levels for acesulfame-K, saccharin, cyclamate, aspartame
and sucralose through an assessment of usual dietary intake of artificial
sweeteners and specific consumption of table-top sweeteners. A conservative Tier
2 approach, for which an extensive label survey was performed, showed that mean
usual intake was significantly lower than the respective ADIs for all sweeteners.
Even consumers with high intakes were not exposed to excessive levels, as
relative intakes at the 95th percentile (p95) were 31% for acesulfame-K, 13% for
aspartame, 30% for cyclamate, 17% for saccharin, and 16% for sucralose of the
respective ADIs. Assessment of intake using a Tier 3 approach was preceded by
optimisation and validation of an analytical method based on liquid
chromatography with mass spectrometric detection. Concentrations of sweeteners in
various food matrices and table-top sweeteners were determined and mean positive
concentration values were included in the Tier 3 approach, leading to relative
intakes at p95 of 17% for acesulfame-K, 5% for aspartame, 25% for cyclamate, 11%
for saccharin, and 7% for sucralose of the corresponding ADIs. The contribution
of table-top sweeteners to the total usual intake (<1% of ADI) was negligible. A
comparison of observed intake for the total population with intake for diabetics
(acesulfame-K: 3.55 versus 3.75; aspartame: 6.77 versus 6.53; cyclamate: 1.97
versus 2.06; saccharine: 1.14 versus 0.97; sucralose: 3.08 versus 3.03, expressed
as mg kg(-1) bodyweight day(-1) at p95) showed that the latter group was not
exposed to higher levels. It was concluded that the Belgian population is not at
risk of exceeding the established ADIs for sweeteners.
PMID- 22088138
TI - DOF-binding sites additively contribute to guard cell-specificity of AtMYB60
promoter.
AB - BACKGROUND: We previously demonstrated that the Arabidopsis thaliana AtMYB60
protein is an R2R3MYB transcription factor required for stomatal opening. AtMYB60
is specifically expressed in guard cells and down-regulated at the
transcriptional levels by the phytohormone ABA. RESULTS: To investigate the
molecular mechanisms governing AtMYB60 expression, its promoter was dissected
through deletion and mutagenesis analyses. By studying different versions of
AtMYB60 promoter::GUS reporter fusions in transgenic plants we were able to
demonstrate a modular organization for the AtMYB60 promoter. Particularly we
defined: a minimal promoter sufficient to confer guard cell-specific activity to
the reporter gene; the distinct roles of different DOF-binding sites organised in
a cluster in the minimal promoter in determining guard cell-specific expression;
the promoter regions responsible for the enhancement of activity in guard cells;
a promoter region responsible for the negative transcriptional regulation by ABA.
Moreover from the analysis of single and multiple mutants we could rule out the
involvement of a group of DOF proteins, known as CDFs, already characterised for
their involvement in flowering time, in the regulation of AtMYB60 expression.
CONCLUSIONS: These findings shed light on the regulation of gene expression in
guard cells and provide new promoter modules as useful tools for manipulating
gene expression in guard cells, both for physiological studies and future
biotechnological applications.
PMID- 22088139
TI - Chemical interactions between an active pharmaceutical ingredient and its
counterion in a tromethamine salt under forced degradation conditions.
AB - In this study, the tromethamine salt of an active pharmaceutical ingredient
containing both a carboxylic acid and ethyl ester functionality was subjected to
forced degradation conditions. Based on HPLC-MS analysis, it was found that
tromethamine formed both amide and ester type condensation products with the API,
with amide formation predominating over ester formation. Addition of tromethamine
at the carboxylic acid group of the API was favored over addition at the ethyl
ester group. Tromethamine condensation products were observed only under the
harshest stress conditions (80 degrees and 75% relative humidity), in which the
salt physically changed from a crystalline form to a deliquesced state. Under
stress conditions in which the crystalline structure of the salt remained intact,
good stability was observed. Thus, the interaction between tromethamine and API
occurred only in cases where the crystallinity of the salt was compromised.
PMID- 22088140
TI - Clinicopathological, therapeutic and prognostic features of the triple-negative
tumors in moroccan breast cancer patients (experience of Hassan II university
hospital in Fez).
AB - INTRODUCTION: Triple-negative breast cancer (TNBC) is defined as a group of
breast carcinomas that are negative for expression of hormone receptors (ER, PR)
and Her2, we can distinguish between two groups: basal-like (ER-, PR-, Her2-,
cytokeratin (CK) 5/6+ and/or Her1+) and unclassified subtype (ER-, PR-, Her2-,
Her1- and CK5/6-).The aim of this study is to determine the clinicopathological,
histological, therapeutic and prognostic features associated with this type of
breast cancer. METHODS: This is a retrospective study of 366 female breast cancer
patients, diagnosed between January 2007 and June 2010 at the Department of
Pathology. Epidemiological, clinical, histological, therapeutic and evolutive
data were analyzed. OS and DFS rates were estimated by Kaplan-Meier analysis and
a log-rank test to estimate outcome. RESULTS: A total of 64 women were identified
as having TNBC (17.5% of all female breast cancer patients), 12.6% were basal
like, 4.9% were unclassified subtype, with a median age of 45 years. The median
histological tumor diameter was 4.3 cm. TNBC were most often associated with a
high grade, 49.2% grade III (53% for unclassified subtype, 47.6% for basal-like).
Vascular invasion was found in 26.6% of cases (22% for unclassified subtype and
28.3% for basal-like). For the lymph node involvement: 51% had positive lymph
nodes, and 22.4% had distant metastases. Neoadjuvant chemotherapy was
administered to 18% patients with 26% of complete pathologic response; therefore
adjuvant chemotherapy was given to 82%. 98% received anthracycline based regimen
and only 30% received taxanes.The Kaplan-Meier curves based showed the lowest
survival probability at 3-years (49% of OS, and 39% of DFS). CONCLUSION: TNBC is
associated with young age, high grade tumors, advanced stage at diagnosis,
difference chemo response compared to other subtypes, and shortest survival.
Critical to optimal future management is accurate identification of truly triple
negative disease, and adequately powered prospective TNBC trials to establish
treatment efficacy and define predictive biomarkers.
PMID- 22088141
TI - An approach to the stereoselective synthesis of enantiopure dihydropyrroles and
aziridines from a common sulfinyl-sulfinamide intermediate.
AB - The diastereoselective addition of lithiated vinyl sulfoxides to enantiopure
sulfinimines provides direct access to a wide assortment of allylic sulfinamides
in good yields and excellent selectivities. These adducts are key precursors to
differently functionalized cis- and trans-dihydropyrroles. Modulation of the
protecting group on nitrogen prior to cyclization has a significant impact on the
stereochemical outcome, allowing for the selective preparation of 2,5-cis- or 2,5
trans-3-sulfinyl disubstituted dihydropyrroles from a common sulfinamide
intermediate. Further research on halocyclization conditions has also yielded a
stereoselective synthesis of trisubstituted vinyl aziridines from these chiral
sulfinamides, simply by changing the halogenating agent.
PMID- 22088142
TI - Involvement of the epidermal growth factor receptor in the modulation of
multidrug resistance in human hepatocellular carcinoma cells in vitro.
AB - BACKGROUND: Hepatocellular carcinoma (HCC) is a molecular complex tumor with high
intrinsic drug resistance. Recent evidence suggests an involvement of the
tyrosine kinase pathway in the regulation of ATP-binding cassette protein (ABC
transport protein) mediated multidrug resistance in cancer cells. The aim of this
study was to examine whether EGFR inhibition sensitizes HCCs to chemotherapy and
to elucidate its mechanism. RESULTS: Chemotherapeutic treatment induces multidrug
resistance and significantly increases ABC-transport protein expression and
function in a time- and dose-dependent manner in HCC cells. Furthermore,
cytostatic treatment increases the mRNA expression of tyrosine kinases and
induces the phosphorylation of ERK. EGF activation of the tyrosine kinase pathway
up-regulated the ABC-transport protein mRNA expression and enhanced the survival
of resistant HCC cells. Consistent with these effects, inhibition of the EGFR
using siRNA decreased the ABC-transport protein mRNA expression and inhibited the
proliferation of resistant cells. Additional treatment with Gefitinib, a
clinically approved EGFR inhibitor, caused a dose-dependent reversal of
resistance to conventional chemotherapy. CONCLUSION: The present study
demonstrates that the multidrug resistance of HCC is modulated through the EGF
activated tyrosine kinase cascade. Consequentially, the restoration of
chemosensitivity by EGFR inhibition may lead towards new tailored therapies in
patients with highly resistant tumors.
PMID- 22088144
TI - Interface effects on the magnetism of CoPt-supported nanostructures.
AB - The magnetism of CoPt nanostructures supported on the MgO(100) surface is
investigated via first-principles simulations using 1D models. Nanostructures
with L1(0) chemical ordering and cube-on-cube epitaxy are predicted to possess
large magnetic moments and easy magnetization axis perpendicular to the surface.
However, their magnetic anisotropy energy is roughly halved with respect to the
bulk alloy due to a peculiar mixing of particle and support electronic states.
The general factors at play in determining this behavior and the implications of
these findings are discussed in view of designing room-temperature magnetic bits.
PMID- 22088143
TI - Dependence of avidity on linker length for a bivalent ligand-bivalent receptor
model system.
AB - This paper describes a synthetic dimer of carbonic anhydrase, and a series of
bivalent sulfonamide ligands with different lengths (25 to 69 A between the ends
of the fully extended ligands), as a model system to use in examining the binding
of bivalent antibodies to antigens. Assays based on analytical
ultracentrifugation and fluorescence binding indicate that this system forms
cyclic, noncovalent complexes with a stoichiometry of one bivalent ligand to one
dimer. This dimer binds the series of bivalent ligands with low picomolar
avidities (K(d)(avidity) = 3-40 pM). A structurally analogous monovalent ligand
binds to one active site of the dimer with K(d)(mono) = 16 nM. The bivalent
association is thus significantly stronger (K(d)(mono)/K(d)(avidity) ranging from
~500 to 5000 unitless) than the monovalent association. We infer from these
results, and by comparison of these results to previous studies, that bivalency
in antibodies can lead to associations much tighter than monovalent associations
(although the observed bivalent association is much weaker than predicted from
the simplest level of theory: predicted K(d)(avidity) of ~0.002 pM and
K(d)(mono)/K(d)(avidity) ~ 8 * 10(6) unitless).
PMID- 22088145
TI - Changes in condom use during a microbicide clinical trial in Pune, India.
AB - Researchers have raised concerns that microbicide use during clinical trials
would displace condom use. We sought to understand whether condom use changed for
participants in a microbicide clinical trial in Pune, India, to understand
whether condom shifts were a legitimate concern. We hypothesize that women
participating in a microbicide clinical trial in Pune, India, were more likely,
on average, to report condom use at follow-up. We further hypothesize that men,
whose female partners participated in a microbicide clinical trial were more
likely, on average, to report condom use at follow-up. The outcome measure for
reported condom use was a dichotomous variable to indicate whether or not the
participant had used a male or female condom with a sexual partner since 2 months
before enrollment or since the last survey, depending on the visit. Data are from
semi-structured interviews at baseline, 2 months, 4 months, and 6 months with
HPTN 059 clinical trial participants (100 women and 57 male partners). We used
generalized estimating equations with a logit link function, exchangeable
correlation, and a binomial family to model condom use. The odds of condom use
for clinical trial women increased from baseline to 6 months by a factor of 3.7
(95% CI: 1.84-7.63) and the change in odds of condom use for clinical trial men
from baseline to 6 months increased by a factor of 2.58 (95% CI: 1.37-4.85). We
found concerns about microbicide use displacing condom use were not merited in
this study population. The percent of participants reporting condom use declined
from 4 to 6 months, suggesting that increases in condom use may only be during
active study participation. Information about clinical trial factors that enabled
these men and women to enact this important HIV prevention behavior is needed to
develop interventions.
PMID- 22088146
TI - Detection of enzymatically generated hydrogen peroxide by metal-based fluorescent
probe.
AB - We developed a metal-based fluorescent probe for H(2)O(2) called MBFh1, which has
an iron complex as a reaction site for H(2)O(2) and a 3,7-dihydroxyphenoxazine
derivative as the fluorescent reporter unit. The iron complex reacts quickly with
H(2)O(2) to form oxidants, and then the oxidants convert the closely appended
nonfluorescent 3,7-dihydroxyphenoxazine moiety to resorufin in an intramolecular
fashion. The quick response to H(2)O(2) allows us to plot the enzymatic evolution
of H(2)O(2). A combination of N-acetyl-3,7-dihydroxyphenoxazine and horseradish
peroxidase has been frequently used to detect enzymatically generated H(2)O(2),
but this method has interference with phenol derivatives. The use of MBFh1
overcomes this drawback.
PMID- 22088147
TI - Prevalence and antimicrobial susceptibility of methicillin-resistant
Staphylococcus aureus among pigs in Belgium.
AB - The prevalence, distribution, and antimicrobial susceptibility of methicillin
resistant Staphylococcus aureus (MRSA) in Belgian pig farms has been
investigated. To that end, nasal samples were collected from 1,500 pigs on 50
farms randomly selected over Belgium. Both closed (breeding or farrow-to-finish)
and open (fattening) farms were included. Within closed farms different age
groups were investigated. A total number of 663 (44%) pigs belonging to 34 (68%)
farms carried MRSA. According to their management practice, MRSA was detected on
94% of the open farms and 56% of the closed farms. Focusing on the in-herd
prevalence among fattening pigs for both management systems, a significantly
higher rate was found in open farms (72%) compared to closed farms (26%). Within
the closed farms, piglets (41%) showed a higher MRSA prevalence than sows (26%)
and fattening pigs (26%). All strains tested were ST398 and showed mainly spa
type t011, as commonly found on pig herds in Europe. Less dominating spa-types
were t034, t567, and t2970. The MRSA strains carried two SCCmec-types, type IVa
or V. All 643 MRSA strains were resistant to tetracycline and additional
resistances to trimethoprim (97%), lincosamides (73%), macrolides (56%),
aminoglycosides (48%), and fluoroquinolones (32%) were found. Multiresistance
(defined as resistance to four or more non-beta-lactam antimicrobial classes) was
found in 63% of the tested strains. In conclusion, a high prevalence of MRSA was
found in Belgian pig farms, with the highest prevalence in open farms. In
accordance with other European countries, age-related and management-related
differences in MRSA prevalence were observed that should be considered when
control strategies are outlined.
PMID- 22088148
TI - Chemical trapping of vancomycin: a potential strategy for preventing selection of
vancomycin-resistant Enterococci.
AB - Emergence of antimicrobial resistance is among the most worrisome issues in
public health worldwide. Vancomycin resistance is rapidly spreading, resulting in
increased morbidity, mortality, and healthcare-associated costs. Multiple
strategies are required to preserve the effectiveness of this essential
antibiotic. It has been recently shown that biliary excretion of vancomycin
following parenteral administration results in significant fecal concentrations
of vancomycin that may lead to selection of vancomycin-resistant strains within
the colon. In this study we present a novel strategy for preventing this
undesired effect and its consequences, using chemical trapping of vancomycin by a
tripeptide analog that mimics the natural bacterial vancomycin binding-site.
Initially, we demonstrated that a tripeptide analog can neutralize vancomycin
activity against Enterococci at a molar excess of 28. In the second phase, two
chemical modifications, designed to attach the tripeptide to vancomycin
covalently, were explored. Attachment of a 4-flurosulfonyl-benzoic acid (FSBA)
moiety to the parent tripeptide resulted in vancomycin neutralization at a molar
ratio of less than 4:1. Finally it was shown that the FSBA-bound tripeptide
analog can prevent in-vitro selection of vancomycin-resistant Enterococci (VRE)
from a mixed vancomycin susceptible/resistant population following exposure to
vancomycin. These findings demonstrate the ability of the proposed strategy to
prevent selection of VRE. The present proof-of-concept study provides the basis
for further development of the proposed strategy. Further, this strategy may be
implemented for combating resistance to other antimicrobials.
PMID- 22088150
TI - An exploration of person-centredness in practice.
AB - Person-centredness' is a term that is becoming increasingly familiar within
health and social care at a global level; it is being used to describe a standard
of care that ensures the patient/client is at the centre of care delivery. In
this article we explore the relevance of person-centredness in the context of
nursing, taking account of the ongoing critical debate and dialogue regarding
developments in this field. Person-centredness is recognised as a
multidimensional concept. The complexity of the concept contributes to the
challenge of articulating its shared meaning and describing how it can be applied
in practice. The aim of this paper is to explore some of the issues pertaining to
language and conceptual clarity, with a view to making connections and increasing
our shared understanding of person-centred care in a way that can impact nursing
practice. We begin by describing the development of the concept of person
centredness, after which we discuss the synergies with patient-centredness and
other related terms, and consider how nurses can operationalise person
centredness in their practice.
PMID- 22088149
TI - Effects of multi-walled carbon nanotubes (MWCNT) under Neisseria meningitidis
transformation process.
AB - BACKGROUND: This study aimed at verifying the action of multi-walled carbon
nanotubes (MWCNT) under the naturally transformable Neisseria meningitidis
against two different DNA obtained from isogenic mutants of this microorganism,
an important pathogen implicated in the genetic horizontal transfer of DNA,
causing the escape of the principal vaccination measured worldwide by the
capsular switching process. MATERIALS AND METHODS: The bacterium receptor strain
C2135 was cultivated and had its mutant DNA donor M2 and M6, which received a
receptor strain and MWCNT at three different concentrations. The inhibition
effect of DNAse on the DNA in contact with nanoparticles was evaluated. RESULTS:
The results indicated an in increase in the transformation capacity of N.
meninigtidis in different concentrations of MWCNT when compared with negative
control without nanotubes. A final analysis of the interaction between DNA and
MWCNT was carried out using Raman Spectroscopy. CONCLUSION: These increases in
the transformation capacity mediated by MWCNT, in meningococci, indicate the
interaction of these particles with the virulence acquisition of these bacteria,
as well as with the increase in the vaccination escape process.
PMID- 22088151
TI - Patients first! Engaging the hearts and minds of nurses with a patient-centered
practice model.
AB - Like every healthcare system today, the Cleveland Clinic health system is a
combination of medical hospitals, institutes, and services in which the
implementation of uniform care methodologies faces significant barriers. The
guiding principle of the Cleveland Clinic, 'Patients First,' focuses on the
principle of patient- and family-centered care (PFCC) but deliberately lacks
details due to the wide scope of care delivered by the organization. The Stanley
Shalom Zielony Institute of Nursing Excellence (the Nursing Institute) at the
Cleveland Clinic was charged with standardizing nursing practice across a system
with 11,000 registered nurses and 800 advanced practice nurses. The challenge
involved providing firm direction on delivering PFCC that was appropriate for all
clinical disciplines and could be implemented quickly across existing practices
and technologies. Successful implementation required full engagement in the
concept of PFCC by what the Institute for Healthcare Improvement has termed the
'hearts and minds' of nurses. To achieve these ends, development of a systemwide
nursing practice model was initiated. In this article the authors identify the
essence of PFCC, consider barriers to PFCC, review their process of developing
PFCC, and describe how the Cleveland Clinic health system has implemented a PFCC
nursing practice model. In doing so the authors explore how the concept of
'Passion for Nursing' was used to stimulate nurse engagement in PFCC.
PMID- 22088152
TI - Developing person-centred care: addressing contextual challenges through practice
development.
AB - Developing person-centred care is not a one-time event; rather it requires a
sustained commitment from organisations to the ongoing facilitation of
developments, a commitment both in clinical teams and across organizations.
Contextual factors pose the greatest challenge to person-centredness and the
development of cultures that can sustain person-centred care. We will begin with
a general comment on 'context' and its meaning before exploring three particular
factors that influence the practice context, namely, workplace culture, learning
culture, and the physical environment. Next we explore a particular approach to
developing person-centred care through emancipatory practice development. We
highlight the importance of facilitation through emancipatory practice
development programmes and describe how person-centred care can be developed
through the presentation of a case study that illustrates the principles and
processes of emancipatory practice development as well as the outcomes achieved.
We conclude with an application to clinical practice. A key consideration for all
organisations in the development of person-centred care is to move from what we
suggest are 'person-centred moments' (individual, ad hoc experiences of person
centredness) to 'person-centred care' as an underpinning culture of teams and
organisations.
PMID- 22088153
TI - Patient-centered care in a medical home.
AB - There is general consensus that our current healthcare delivery system will not
be able to supply an adequate workforce, contain costs, and meet the ever
increasing chronic-care needs of the growing and aging population in the United
States (US). Some of the major challenges to the U.S. healthcare system are faced
by those on the front lines, namely the healthcare workers in primary care. Part
of the emerging solution for primary care is the adoption of the Patient-Centered
Medical Home Model. The intent of this model is to provide coordinated and
comprehensive care rooted in a strong collaborative relationship. Carilion Clinic
in Southwestern Virginia is implementing this patient-centered model in which a
proactive, multidisciplinary care team collectively takes responsibility for each
patient. In this article we will elaborate on the concepts of patient-centered
care and patient-centered medical homes, after which we will offer an exemplar
describing the process that Carilion Clinic is using to establish patient
centered medical homes throughout their primary care departments. Limitations of
the Patient-Centered Medical Home Model will also be discussed.
PMID- 22088154
TI - Delivering patient-centered care in the midst of a cultural conflict: the role of
cultural competence.
AB - At the core of both patient centeredness and cultural competence is the
importance of seeing the patient as a unique person. For the purpose of this
article, cultural competence is viewed as an expansion of patient-centered care.
More specifically, cultural competence can be seen as a necessary set of skills
for nurses to attain in order to render effective patient-centered care. However,
a vexing question remains, "How does the nurse deliver patient-centered care when
the patient's health beliefs, practices, and values are in direct conflict with
medical and nursing guidelines?" The purpose of this article is to provide nurses
with a set of culturally competent skills that will enhance the delivery of
patient-centered care in the midst of a cultural conflict. I will begin by
offering a conceptual framework for cultural competence and a description of the
cultural skill needed to formulate a mutually acceptable and culturally relevant
treatment plan for each patient. Next I will describe effective approaches for
cultural encounters. Finally I will present a vignette that illustrates how the
nurse can deliver patient-centered care when the patient's health beliefs,
practices, and values are in direct conflict with medical and nursing guidelines.
PMID- 22088155
TI - Teaching patient-centered care during the Silver Hour.
AB - Teaching students and clinicians about end-of-life care is an important part of
healthcare. Utilizing the framework of the Silver Hour is one method of
illustrating the urgent, intense comfort needs required by patients and families
throughout the imminently dying process, wherever the patient may be receiving
services. When death is imminent, it is extremely important to provide patient-
and family-centered care as the waning moments of life transition to the first
moments of death. A variety of instructional methods can be used can be used to
teach students to provide multidimensional, patient-centered care at the end of
life. The author begins by describing the basic concept of patient-centered care
and by discussing the importance of teaching students about this level of care.
Next she describes the concept of the Silver Hour, along with a discussion of how
the Silver Hour relates to nursing education. She also notes the importance of
both role modeling in providing patient-centered care and of drawing upon the
five ways of knowing to strengthen the teaching of students about care during the
Silver Hour.
PMID- 22088156
TI - The essence of nursing in the shifting reality of Israel today.
AB - Israel's healthcare system is undergoing constant transformation; nurses' roles
and education are changing accordingly. Israel's severe shortage of physicians
has led to an increase in nurses' authority and responsibilities. The nursing
profession is addressing many questions particularly in light of its expanded
responsibilities and the current lack of legislation related to the practice of
nursing. Additionally Israel is coping with an increasing shortage of nurses and
the rapid development of innovative technologies. This article describes Israel's
shifting reality and the nation's responses to these changing conditions.
Responses include increasing financial support, enhancing educational
opportunities, expanding the nursing role, and using new technologies.
PMID- 22088157
TI - Moral distress and moral courage in everyday nursing practice.
AB - In this article the author examines the concepts of moral distress and moral
courage within the context of nursing practice. Examples of challenging
healthcare situations from the United Kingdom and Ireland are discussed in the
light of the examination of these two concepts. The examples illuminate features
of healthcare situations that need to be considered in relation to different
organisational and cultural contexts. This requires an understanding of the
complexity of clinical contexts and an appreciation of the fallibility and
vulnerability of nurses and other practitioners. The goal of this article is to
encourage healthcare organisations to create supportive structures and sensitive
leadership that will enhance moral courage in the work setting.
PMID- 22088158
TI - Validation of the English and Spanish Mammography Beliefs and Attitudes
Questionnaire.
AB - Breast cancer is the leading cause of cancer deaths among Hispanic women in the
United States. Unfortunately Hispanic women exhibit poor mammography screening
participation, are diagnosed at later stages of the disease, and have lower
survival rates than non-Hispanic white women. Several cultural and psycho-social
factors have been found to influence mammography screening participation among
Hispanic women. We will begin by presenting the theoretical framework that
grounded this research program to develop an instrument to assess factors
contributing to poor mammography participation among Hispanic women. We will also
summarize the early stages in the development of the English and Spanish
Mammography Beliefs and Attitudes Questionnaire (MBAQ and SMBAQ) for use with low
health-literacy Mexican-American women. Next we will describe the initial
psychometric testing of the MBAQ/SMBAQ, after which we will present the
psychometric testing of the SMBAQ with low-health-literacy women. This will be
followed by a discussion of the modification of the MBAQ and SMBAQ subscales.
We'll conclude with a discussion of the instruments and share our assessment
regarding the limitations of this research program, where the program stands to
date, and the implications for practice and future research.
PMID- 22088159
TI - Nursing at its best: competent and caring.
AB - An award-winning journalist spoke to a group of students during their first month
in a baccalaureate nursing program, challenging the nursing profession to abandon
its image of nurses as angels and promote an image of nurses as competent
professionals who are both knowledgeable and caring. This presentation elicited
an unanticipated level of emotion, primarily anger, on the part of the students.
This unexpected reaction prompted faculty to explore the students' motivations
for entering the nursing profession and their perceptions of the relative
importance of competence and caring in nursing. The authors begin this article by
reviewing the literature related to motivations for selecting a profession and
the contributions of competence and caring to nursing care. Next they describe
their survey method and analysis and report their findings regarding student
motivations and perceptions of competence and caring in nursing. Emerging themes
for motivation reflected nursing values, especially altruism, and coincided with
students' beliefs of self-efficacy and goal attainment. Student responses
indicated their understanding of the need for competence and revealed idealistic
perceptions of caring. The authors conclude with a discussion of these themes and
recommendations for student recruitment, curricular emphasis, and future research
in this area.
PMID- 22088160
TI - Ethics: beyond patient care: practicing empathy in the workplace.
PMID- 22088161
TI - Informatics: the standardized nursing terminologies: a national survey of nurses'
experiences and attitudes.
PMID- 22088162
TI - Genetic modification of low phytic acid 1-1 maize to enhance iron content and
bioavailability.
AB - High phytate content in staple food crops is a major barrier to successful iron
biofortification. We have exploited the low phytic acid 1-1 (lpa1-1) mutant of
maize to generate transgenic plants with up-to 70 MUg/g seed iron through the
endosperm-specific overexpression of soybean ferritin, resulting in more than 2
fold improvement in iron bioavailability. The levels of bioavailable seed iron
achieved in this study greatly exceed any achieved thus far and closely approach
values estimated to have a nutritional impact on target populations. Gene
expression studies reveal a large induction of the YS1 transporter in leaves and
severe repression of an iron acquisition gene DMAS1 in roots, suggesting
significant alterations in the iron homeostatic mechanisms in transgenic lpa1-1.
Furthermore, preliminary tests show that the high-iron lpa1-1 seeds have higher
germination rates and seedling vigor when compared to those of the nontransgenic
seeds, which may help improve their value to plant breeders.
PMID- 22088163
TI - Work-related stress and bullying: gender differences and forensic medicine issues
in the diagnostic procedure.
AB - BACKGROUND: The attention of international agencies and scientific community on
bullying and work-related stress is increasing. This study describes the gender
differences found in victims of bullying and work-related stress in an Italian
case series and analyzes the critical issues in the diagnostic workup. METHODS:
Between 2001 and 2009 we examined 345 outpatients (148 males, 197 females; mean
age: 41 +/- 10.49) for suspected psychopathological work-related problems.
Diagnosis of bullying was established using international criteria (ICD-10 and
DSM-IV). RESULTS: After interdisciplinary diagnostic evaluation (Occupational
Medicine Unit, Psychology and Psychiatry Service), the diagnosis of bullying was
formulated in 35 subjects, 12 males and 23 females (2 cases of Post-Traumatic
Stress Disorder and 33 of Adjustment Disorder). Fifty-four (20 males, 34 females)
suffered from work-related anxiety, while work-unrelated Adjustment Disorder and
other psychiatric disorders were diagnosed in 7 and 112 subjects, respectively.
Women between 34 and 45 years showed a high prevalence (65%) of "mobbing
syndrome" or other work-related stress disorders. CONCLUSIONS: At work, women are
more subject to harassment (for personal aspects related to emotional and
relational factors) than men. The knowledge of the phenomenon is an essential
requisite to contrast bullying; prevention can be carried out only through
effective information and training of workers and employers, who have the legal
obligation to preserve the integrity of the mental and physical status of their
employees during work.
PMID- 22088164
TI - Obesity predicts primary health care visits: a cohort study.
AB - The objective of this study was to explore the relationship between body mass
index (BMI), its association with chronic disease, and its impact on health
services utilization in the province of Newfoundland and Labrador, Canada, from
1998 to 2002. A data linkage study was conducted involving a provincial health
survey linked to 2 health care use administrative databases. The study population
comprised 2345 adults between the ages of 20 and 64 years. Self-reported height
and weight measures and other covariates, including chronic diseases, were
obtained from a provincial survey. BMI categories include: normal weight (BMI
18.5-24.9), overweight (BMI 25-29.9), obese class I (BMI 30-34.9), obese class II
(BMI >= 35), and obese class III (BMI >= 40). Survey responses were linked with
objective physician and hospital health services utilization over a 5-year
period. Weight classifications in the study sample were as follows: 37% normal,
39% overweight, 17% obese, and 6% morbidly obese. The obese and morbidly obese
were more likely to report having serious chronic conditions after adjusting for
age and sex. Only the morbidly obese group (BMI >= 35 kg/m(2)) had a
significantly higher number of visits to a general practitioner (GP) over a 5
year period compared to the normal weight group (median 22.0 vs. 17.0, P<0.05).
Using multivariate models and controlling for the number of chronic conditions
and other relevant covariates, being morbidly obese remained a significant
predictor of GP visits (P<0.001), but was not a predictor for visits to a
specialist or any type of hospital use. The increase in the prevalence of obesity
is placing a burden at the primary health care level. More resources are needed
in order to support GPs in their efforts to manage and treat obese adults who
have associated comorbidities.
PMID- 22088165
TI - The Promoting Effective Advance Care for Elders (PEACE) randomized pilot study:
theoretical framework and study design.
AB - Practice guidelines are available for hospice and palliative medicine specialists
and geriatricians. However, these guidelines do not adequately address the needs
of patients who straddle the 2 specialties: homebound chronically ill patients.
The purpose of this article is to describe the theoretical basis for the
Promoting Effective Advance Care for Elders (PEACE) randomized pilot study. PEACE
is an ongoing 2-group randomized pilot study (n=80) to test an in-home
interdisciplinary care management intervention that combines palliative care
approaches to symptom management, psychosocial and emotional support, and advance
care planning with geriatric medicine approaches to optimizing function and
addressing polypharmacy. The population comprises new enrollees into PASSPORT,
Ohio's community-based, long-term care Medicaid waiver program. All PASSPORT
enrollees have geriatric/palliative care crossover needs because they are nursing
home eligible. The intervention is based on Wagner's Chronic Care Model and
includes comprehensive interdisciplinary care management for these low-income
frail elders with chronic illnesses, uses evidence-based protocols, emphasizes
patient activation, and integrates with community-based long-term care and other
community agencies. Our model, with its standardized, evidence-based medical and
psychosocial intervention protocols, will transport easily to other sites that
are interested in optimizing outcomes for community-based, chronically ill older
adults.
PMID- 22088166
TI - Is there clinical benefit to routine enzyme testing of patients on statins?
AB - OBJECTIVE: Statin-treated patients undergo frequent laboratory tests. This study
evaluated the clinical impact of abnormal liver or muscle enzyme results.
RESEARCH DESIGN AND METHODS: This clinical process evaluation study took place in
six primary care clinics in Israel. Four hundred and eight patients (average age
63.8 +/- 10.9 years) undergoing statin treatment, with at least one enzyme level
> 10% normal, were categorized by symptomatology possibly related to statins.
Aspartate aminotransferase, alanine aminotransferase, alkaline phosphatase and
creatine phosphokinase were measured. Management and etiology of the elevation
were assessed. MAIN OUTCOME MEASURES: Changes in statin regimen. RESULTS: Thirty
six (8.8%) patients were symptomatic at the index encounter. One enzyme was
elevated in 74.8%. Patients experiencing side effects had more repeat tests (36.1
vs 17.7%, p < 0.001). Musculoskeletal symptoms resulted in a change in treatment
more than digestive symptoms did (73.3 vs 16.7%, p < 0.001). Of 40 (9.8%)
patients who had additional evaluation, two symptomatic patients had treatment
changes. CONCLUSIONS: There is little practical value in routine follow-up enzyme
tests for patients on statins. Our findings strengthen reports that recommend
muscle and liver enzyme tests for symptomatic patients only.
PMID- 22088167
TI - Validation of a rapid and sensitive routine method for determination of
chloramphenicol in honey by LC-MS/MS.
AB - Chloramphenicol (CAP) is a broad spectrum antibiotic used in the treatment of
human and animal diseases. However, CAP can exhibit toxic effects in certain
susceptible individuals, causing bone marrow depression, including fatal aplastic
anemia. As this condition is dose-independent, CAP has been banned for use in
food-producing animals, including honeybees. In this study, a quick, simple and
low-cost routine analytical method was developed for the screening and
confirmation of chloramphenicol in honey by LC-MS/MS. Sample clean-up takes only
two steps without SPE procedure and with recoveries >97%. Honey samples were
selected from several producers in Brazil and diluted in a small amount of water.
After fortification and addition of d (s)-chloramphenicol as internal standard,
the samples were extracted with ethyl acetate. Complete validation of the method
was performed on the basis of EU decision 2002/657. Within-laboratory CV
reproducibility at the lowest concentration was <10%. An evaluation of two
different methods to calculate the decision limit and detection capability gave
0.08 ug kg(-1) for CCalpha and 0.12 ug kg(-1) for CCbeta.
PMID- 22088168
TI - Using audit to enhance quality of maternity care in resource limited countries:
lessons learnt from rural Tanzania.
AB - BACKGROUND: Although clinical audit is an important instrument for quality care
improvement, the concept has not yet been adequately taken on board in rural
settings in most resource limited countries where the problem of maternal
mortality is immense. Maternal mortality and morbidity audit was established at
Saint Francis Designated District Hospital (SFDDH) in rural Tanzania in order to
generate information upon which to base interventions. METHODS: Methods are
informed by the principles of operations research. An audit system was
established, all patients fulfilling the inclusion criteria for maternal
mortality and severe morbidity were reviewed and selected cases were audited from
October 2008 to July 2010. The causes and underlying factors were identified and
strategic action plans for improvement were developed and implemented. RESULTS:
There were 6572 deliveries and 363 severe maternal morbidities of which 36 women
died making institutional case fatality rate of 10%. Of all morbidities 341 (94%)
had at least one area of substandard care. Patients, health workers and
administration related substandard care factors were identified in 50% - 61% of
women with severe morbidities. Improving responsiveness to obstetric emergencies,
capacity building of the workforce for health care, referral system improvement
and upgrading of health centres located in hard to reach areas to provide
comprehensive emergency obstetric care (CEmOC) were proposed and implemented as a
result of audit. CONCLUSIONS: Our findings indicate that audit can be implemented
in rural resource limited settings and suggest that the vast majority of maternal
mortalities and severe morbidities can be averted even where resources are
limited if strategic interventions are implemented.
PMID- 22088169
TI - Development of industrially feasible concentrated 30% and 40% nanoemulsions for
intravenous drug delivery.
AB - Emulsions for parenteral nutrition loaded with drugs are used for optimized drug
delivery, but in case of poorly oil soluble drugs, the injection volume can be
too large when using commercial 10-20% oil emulsions. To reduce the injection
volume, the feasibility of producing injectable, physically stable concentrated
emulsions up to 40% oil content was investigated. Emulsions were made from fish
oil, stabilized with egg lecithin, using high-pressure homogenization. Emulsions
with oil contents of 10%-40% were investigated to assess basic correlations
between increasing oil content, applied production pressures, homogenization
cycles and resulting bulk droplet size, content of larger particles, zeta
potential, viscosity and short-term stability. The observed correlations showed
that in high-pressure homogenization, the contribution of the dispersive effect
dominated the coalescence effect at low and Optimum production conditions for 30%
and 40% nanoemulsions, i.e. 800 bar and 2 -3 homogenization cycles, were
established on lab scale. These production conditions are industrially feasible.
The obtained droplet sizes (about 200 nm) and the content of larger droplets were
comparable to 10% commercial emulsions of parenteral nutrition, being important
for in vivo tolerability and organ distribution. Despite the high oil
concentration, the viscosity of the nanoemulsions was sufficiently low for
injection. The short-term storage study showed physical stability for 1 month. A
concentrated nanoemulsion base formulation from regulatory accepted excipients is
now available, ready for loading with drugs.
PMID- 22088170
TI - Rational design of a dual chemosensor for cyanide anion sensing based on
dicyanovinyl-substituted benzofurazan.
AB - A dicyanovinyl-substituted benzofurazan derivative (C1) was prepared as an
efficient ratiometric chemosensor for cyanide anion detection in aqueous
acetonitrile solution. Mechanism studies suggested that the nucleophilic addition
of cyanide to the alpha-position of the dicyanovinyl group blocked the ICT
progress of C1 and induced remarkable emission and absorption shift.
PMID- 22088172
TI - Heterogeneous diffusion in thin polymer films as observed by high-temperature
single-molecule fluorescence microscopy.
AB - Single-molecule fluorescence microscopy was used to investigate the dynamics of
perylene diimide (PDI) molecules in thin supported polystyrene (PS) films at
temperatures up to 135 degrees C. Such high temperatures, so far unreached in
single-molecule spectroscopy studies, were achieved using a custom-built setup
which allows for restricting the heated mass to a minimum. This enables
temperature-dependent single-molecule fluorescence studies of structural dynamics
in the temperature range most relevant to the processing and to applications of
thermoplastic materials. In order to ensure that polymer chains were relaxed, a
molecular weight of 3000 g/mol, clearly below the entanglement length of PS, was
chosen. We found significant heterogeneities in the motion of single PDI probe
molecules near T(g). An analysis of the track radius of the recorded single-probe
molecule tracks allowed for a distinction between mobile and immobile molecules.
Up to the glass transition temperature in bulk, T(g,bulk), probe molecules were
immobile; at temperatures higher than T(g,bulk) + 40 K, all probe molecules were
mobile. In the range between 0 and 40 K above T(g,bulk) the fraction of mobile
probe molecules strongly depends on film thickness. In 30-nm thin films mobility
is observed at lower temperatures than in thick films. The fractions of mobile
probe molecules were compared and rationalized using Monte Carlo random walk
simulations. Results of these simulations indicate that the observed
heterogeneities can be explained by a model which assumes a T(g) profile and an
increased probability of probe molecules remaining at the surface, both effects
caused by a density profile with decreasing polymer density at the polymer-air
interface.
PMID- 22088171
TI - Induced pluripotent stem cells from GMP-grade hematopoietic progenitor cells and
mononuclear myeloid cells.
AB - INTRODUCTION: The induced pluripotent stem cell (iPSC) technology allows
generation of patient-specific pluripotent stem cells, thereby providing a novel
cell-therapy platform for severe degenerative diseases. One of the key issues for
clinical-grade iPSC derivation is the accessibility of donor cells used for
reprogramming. METHODS: We examined the feasibility of reprogramming mobilized
GMP-grade hematopoietic progenitor cells (HPCs) and peripheral blood mononuclear
cells (PBMCs) and tested the pluripotency of derived iPS clones. RESULTS: Ectopic
expression of OCT4, SOX2, KLF4, and c-MYC in HPCs and PBMCs resulted in rapid
iPSC derivation. Long-term time-lapse imaging revealed efficient iPSC growth
under serum- and feeder-free conditions with frequent mitotic events. HPC- and
PBMC-derived iPS cells expressed pluripotency-associated markers, including SSEA
4, TRA-1-60, and NANOG. The global gene-expression profiles demonstrated the
induction of endogenous pluripotent genes, such as LIN28, TERT, DPPA4, and PODXL,
in derived iPSCs. iPSC clones from blood and other cell sources showed similar
ultrastructural morphologies and genome-wide gene-expression profiles. On
spontaneous and guided differentiation, HPC- and PBMC-derived iPSCs were
differentiated into cells of three germ layers, including insulin-producing cells
through endodermal lineage, verifying the pluripotency of the blood-derived iPSC
clones. CONCLUSIONS: Because the use of blood cells allows minimally invasive
tissue procurement under GMP conditions and rapid cellular reprogramming,
mobilized HPCs and unmobilized PBMCs would be ideal somatic cell sources for
clinical-grade iPSC derivation, especially from diabetes patients complicated by
slow-healing wounds.
PMID- 22088174
TI - [Pay attention to the progress in pediatric rheumatic diseases in China].
PMID- 22088173
TI - Tracking iridium atoms with electron microscopy: first steps of metal nanocluster
formation in one-dimensional zeolite channels.
AB - Using aberration-corrected scanning transmission electron microscopy (STEM), we
imaged iridium atoms in isolated iridium complexes in the one-dimensional
nonintersecting 14-ring channels of zeolite SSZ-53. STEM allows tracking of the
movement of atoms in the channels, demonstrating the interaction of iridium with
the zeolite framework (channel confinement) and providing a direct visualization
of the initial steps of metal nanocluster formation. The results demonstrate how
STEM can be used to help design improved catalysts by identifying the catalytic
sites and observing how they change in reactive atmospheres.
PMID- 22088175
TI - [Ethic review of clinical researches in children].
PMID- 22088176
TI - [Respiratory syncytial virus infection in hematopoietic stem cell transplantation
recipients with primary immunodeficiencies].
AB - OBJECTIVE: To understand the clinical characteristics and outcome associated with
respiratory syncytial virus (RSV) infection in hematopoietic stem cell
transplantation (HSCT) recipients with primary immunodeficiencies (PIDs). METHOD:
Nasopharyngeal aspirate samples were collected consecutively before and after
HSCT from 9 recipients from Apr. 2009 to Sep. 2010 and analyzed for the presence
of RSV using real-time polymerase chain reaction assay. To further verify the
presence of the virus, positive samples for PCR were isolated for RSV. RSV G gene
was amplified, sequenced and used for phylogenetic analysis. RESULT: The presence
of RSV was detected in 3 out of 9 children. The viral replication in all the
patients was prolonged for months. All the 3 patients with RSV infection were
treated with intravenous immune globulin (IVIG) and one was treated with
antiviral medication. All patients survived and achieved successful immune
reconstitution. CONCLUSION: This study indicates that the HSCT recipients with
PID are at increased risk for RSV infection. RSV can shed for months after the
initial infection and the patients recover with the course of immune
reconstitution.
PMID- 22088177
TI - [Significance of detecting the EBV-DNA level in peripheral blood mononuclear
cells and the EBV-infected cell type in patients with chronic active EBV
infection].
AB - OBJECTIVE: To study the difference in the EBV-DNA level in peripheral blood
mononuclear cells (PBMC) and the type of Epstein-Barr virus (EBV)-infected cells
in pediatric patients with chronic active EBV (CAEBV) infection, acute EBV
infection (AEBV) and healthy children, and to analyze the relationship between
the above difference and the clinical manifestation of CAEBV. METHOD: Real-time
fluorescent quantitative polymerase chain reaction (PCR) was used to detect the
EBV-DNA levels in peripheral blood mononuclear cells (PBMC) in 12 normal
children, 10 pediatric patients with CAEBV infection and 13 pediatric patients
with AEBV infection in our hospital between March 2004 and April 2008.
Immunomagnetic bead cell fractionation and fluorescent in situ hybridization
(FISH) by EBV encoding RNA-1 ( EBER-1) probe were used in the healthy children,
EBV-DNA positive CAEBV patients and AEBV patients to detect the type of EBV
infected cells. RESULT: The average EBV-DNA level in CAEBV patients' PBMC was
(6.8 x 10(7) +/- 1.1 x 10(8)) copies/ml, while the average EBV-DNA level of AEBV
patients' PBMC was (1.3 x 10(6) +/- 1.6 x 10(6)) copies/ml. The average EBV-DNA
level of CAEBV infected patients' PBMC was significantly higher than that of AEBV
infected patients' PBMC (P<0.01). The cell fractionation and FISH in seven CAEBV
patients showed that EBV in CAEBV patients infected not only B cells, but NK
cells and CD4+ and CD8+ T cells to different degree, and these patients presented
recurrent and persistent infectious mononucleosis (IM)-like symptoms. In 6 CAEBV
patients infection mainly occurred to T cells, in one case, infection occurred
mainly in CD8+ T cells, and the patient died from fulminant and deadly T
lymphocytes proliferative syndrome except presenting firstly high fever,
enlargment of the liver, spleen, lymphnode and the severe decrease of one or
three kinds of blood cells. In 1 CAEBV patient the infection was mainly found in
NK cells, who presented with hypersensitivity to mosquito biting and high IgE
level (2500 U/ml). But EBV in seven AEBV patients infection was found only in B
cells who presented with only IM for one time and no EBV-infected PBMC were found
in the remaining 6 healthy children. CONCLUSION: There are much more EBV
replications and different EBV-infected cell types in CAEBV patients. Detection
of EBV-DNA level by real-time fluorescent quantitative PCR and the detection of
the type of EBV-infected cells may help in diagnosis, treatment and development
evaluation of children with CAEBV infection.
PMID- 22088178
TI - [Evaluation of therapeutic effects of three hypoallergenic formulae in infants
with cow's milk protein allergy].
AB - OBJECTIVE: To compare the growth and symptom remission of infants with cow's milk
protein allergy, who were fed with an amino acid formula, an extensively
hydrolyzed formula or soy formula. METHOD: Infants who were diagnosed as cow's
milk protein allergy and can not be breastfed were enrolled in the intervention
group, and were assigned to three special formulae (amino acid formula,
extensively hydrolyzed formula or soy formula ) according to the will of parents
from March 2009 to March 2010 (n = 74). A non-randomized control group was made
up of age-matched healthy children (n = 21). Anthropometric measurements and
symptoms were evaluated after 30, 60, 90, and 180 days. The differences of
physical growth and the therapeutic effects among each group were calculated by
SPSS 13.0 package. RESULT: After 180 days follow-up, the weight for age Z score
and length for weight Z score were -0.43 +/- 0.88 and -0.31 +/- 0.78 in patients
fed with soy formula substitute, which were significantly lower than those of
patients fed with amino acid formula (0.11 +/- 0.77, 0.20 +/- 0.69) , extensively
hydrolyzed formula (0.10 +/- 0.62, 0.18 +/- 0.70) and control group (0.22 +/-
0.54, 0.22 +/- 0.64) (P<0.05). However, there were no significant differences in
physical growth among patients fed with amino acid formula, extensively
hydrolyzed formula and control group (P>0.05). Moreover, no significant
difference was found in length for age and head circumference for age among four
groups (P>0.05). All hypoallergenic formulae were much helpful in remission of
the symptoms of eczema (P<0.05). However, amino acid formula and extensively
hydrolyzed formula were more effective and rapid in relieving symptoms than soy
formula (1 mo vs 2 mo) (P = 0.003). CONCLUSION: Both amino acid formula and
extensively hydrolyzed formula can maintain the normal growth of infants with
cow's milk protein allergy. While, the growth of patients fed with soy formula
was significantly slower than that of the other three groups. All hypoallergenic
formulae can be effective in relieving allergy symptoms, and amino acid formula
or extensively hydrolyzed formula seems to be superior to soy formula.
PMID- 22088179
TI - [SGI-PS-CMA evidence-based recommendations for the diagnosis and management of
juvenile systemic lupus erythematosus].
PMID- 22088180
TI - [The explanation of SGI-PS-CMA evidence-based recommendations for the diagnosis
and management of juvenile systemic lupus erythematosus].
PMID- 22088181
TI - [Progress in treatment of juvenile idiopathic arthritis with biologic agents].
PMID- 22088182
TI - [Progress of research on late preterm infants].
PMID- 22088183
TI - [Application of proteomic techniques in pediatric research].
PMID- 22088184
TI - [Summary of national meeting on pediatric immunology in 2010].
PMID- 22088185
TI - [Incidence, diagnosis and treatment of children's congenital abnormalities of the
kidney and urinary tract detected in ultrasound screening].
AB - OBJECTIVE: To investigate the proportion of children with congenital
abnormalities of the kidney and urinary tract (CAKUT) among those who received
screening, analyze the rate, diagnosis and treatment of each abnormality, and
evaluate the value of ultrasonography in the CAKUT diagnosis. METHOD: Totally 489
of 26 989 children who received screening for urinary tract abnormalities were
found to have CAKUT and were followed up by telephone interviews and were asked
whether they had defined diagnosis of CAKUT, had clinical symptoms and received
treatment. Children who had been operated on were also asked about the operation
time, surgical procedure, postoperative diagnosis, and outcome. Children who had
never been reviewed or still had no diagnosis were arranged to Beijing Children's
Hospital for ultrasound follow-up. The final data were summed up for a
retrospective analysis. RESULT: 1) The proportion of children with CAKUT was
1.67%. Most children with CAKUT had no clinical manifestations. 2) Of the 489
cases, 320 were followed up, and 169 were lost. Of the cases who were followed
up, 16 out of 295 cases who had clear diagnosis showed inconsistent results in
ultrasound screening. 3) Hydronephrosis was identified in 137 cases (0.78%),
among whom 111 were males and 26 were females, in 98 cases the hydronephrosis was
on the left, in 28 on the right and in 11 were bilateral. Ureterovesical junction
obstruction occurred in 33 cases (0.19%), 25 males and 8 females, in 22 cases on
the left, in 10 on right and bilateral in 1 case. Renal dysplasia was shown in 30
cases (0.17%), 22 males and 8 females; renal cysts in 26 cases (0.15%); duplex
kidney in 24 cases (0.14%); solitary kidney in 22 cases (0.12%); ureterocele in
16 cases (0.09%); fused kidney in 10 cases (0.06%); renal hypoplasia in 9 cases
(0.05%); ectopic kidney in 5 cases (0.03%); polycystic kidney in 3 cases (0.02%).
Primary vesicoureteral reflux, posterior urethral valves, renal malrotation,
branch renal pelvis, extrarenal pelvis in 1 case each. 4) Totally 114 of the 295
cases (38.6%) who were followed up for CAKUT in screening test required surgical
treatment. Only one case of ureteropelvic junction obstruction (UPJO) failed in
surgery. CONCLUSION: The proportion of children with CAKUT was high. CAKUT is
difficult to detect since there is no significant clinical manifestations in
early ages. More than one third of patients with CAKUT needed surgical treatment.
Ultrasonography showed obvious advantages in detecting children's CAKUT, proven
to be the most preferred method of screening, thus could be recommended as a
routine of children's physical examination.
PMID- 22088186
TI - [Analysis of clinical manifestations of hospitalized children infected with
seasonal influenza A virus and 2009 novel influenza A (H1N1) virus in Beijing].
AB - OBJECTIVE: The novel influenza A (H1N1) virus firstly detected in April 2009 in
Mexico rapidly spread to many countries including the United States and Canada
where humans were infected with the H1N1 virus and deaths were reported. The
pandemic virus strain had never been detected in specimen of human beings and
swine. It was so highly contagious and widely spread that threatened life of
humans globally. This study aimed to analyze clinical data of hospitalized
children patients with 2009 novel H1N1 influenza A virus infection confirmed by
etiologic tests, and compared with that of seasonal influenza A. METHOD: Clinical
manifestations, laboratory and therapy data from the hospitalized children were
collected by designed case report form and analyzed. All patients were enrolled
from Capital Institute of Pediatrics from January 2003 to 2010. There were 152
cases in seasonal influenza A group, which was composed of 100 boys and 52 girls.
Other 93 boys and 86 girls formed 2009 novel influenza A group. RESULT: Influenza
A was dominate from 2003 to 2008 and the peak season was December and January,
while the peak hospitalized time of 2009 novel H1N1 influenza was from November
2009 to January 2010. The median age of seasonal influenza group was 35 months,
which was lower than that of novel influenza group (Z = -6.702, P<0.01). Besides,
80.9% of the patients in seasonal influenza group were infants, while the novel
influenza A group was mainly composed of infants and pre-school children (chi2 =
40.725, P<0.01). The cases of both groups had influenza-like symptoms at onset
and the most common presentations were fever and cough. The duration of fever was
much longer in 2009 novel influenza group (Z = -7.173, P<0.01). Patients in two
groups nearly had the same symptoms except cough was more frequently presented by
novel influenza A group cases (chi2 = 4.109, P<0.05). In laboratory examination,
the novel influenza group had more cases with abnormality in blood platelet, CRP,
ALT, and CK-MB than that of seasonal influenza group (chi2 = 7.562, 17.245,
4.398, 6.217, P<0.01). Patients in novel influenza A group had more changes in
electrocardiogram (chi2 = 24.461, P<0.01). More patients had common underlying
medical condition in novel influenza groups than those in seasonal influenza
group (chi2 = 12.553, P<0.01). Furthermore, the groups had different age
distribution in underlying medical diseases (chi2 = 7.231, P<0.05). Children with
2009 novel H1N1 virus infection tended to catch pneumonia (chi2 = 8.661, P<0.01)
and became the severe cases (chi2 = 10.595, P<0.01). They had much higher ICU
admission rate (chi2 = 12.873, P<0.01) and longer hospital stay (Z = -2.764,
P<0.01). CONCLUSION: As a new variant of influenza virus A, 2009 novel H1N1
influenza A had stronger pathogenicity. Children with underlying medical
conditions had the high risk to be infected and developed severe manifestations.
PMID- 22088187
TI - [Analysis of clinical characteristics and antimicrobial resistance of carbapenem
resistant Acinetobacter baumannii infections in children].
AB - OBJECTIVE: This study aimed to summarize the clinical characteristics and
antimicrobial resistance of carbapenem-resistant Acinetobacter baumannii (CRAB)
infections in children, identify its risk factors and to raise the level of
diagnosis and treatment of this disease. METHOD: The data of clinical and
antimicrobial susceptibility of 32 cases of CRAB and 64 cases of carbapenem
susceptible Acinetobacter baumannii (CSAB) infections as control seen between
January 1, 2004 and December 31, 2010 in Yuying Children's Hospital Affiliated to
Wenzhou Medical College were analyzed retrospectively. RESULT: Thirty-two cases
of CRAB infections were identified, 21 were male and 11 female. The ages ranged
from 1 day to 16 years (median age 43 days) ,among whom 14 (44%) were aged < or =
28 days; 28 cases (88%) were diagnosed as hospital-acquired pneumonia (HAP) and 4
cases (12%) had wound infection. The common primary diseases of the cases were
neonatal respiratory distress syndrome (n = 12, 38%), followed by congenital
heart disease (n = 5,16%) and open fractures (n = 5,16%). Before the isolation of
CRAB, 10 cases (31%) had received major surgery;29 patients (91%) had stayed in
ICUs, 26 cases (81%) had received tracheal intubation and mechanical
ventilation,the ventilation time was 2 -249 days (median: 12 days). Carbapenem
was used in 17 cases (53%) previously, 13 (41%) had received beta-lactam/beta
lactamase inhibitor combinations, 8 (25%) had used 3rd-generation cephalosporins
within 2 weeks before the isolation of CRAB. Sixteen cases (50%) were cured, 12
cases (38%) were improved, parents of 1 case(3%) automatically gave up
hospitalization without being cured and 3 cases (9%) died. Forty-nine CRAB
strains were isolated from the 32 cases, accounting for 10. 4% of AB isolation
strains in the same period, in which 40 strains (82%) were isolated from sputum
specimens and 9 strains (18%) from open wounds secretions. All 49 strains showed
multidrug-resistance (MDR), of which 13 strains (27%) were pandrug-resistant
(PDR) to the common antimicrobials. The rates of resistance to ampicillin
sulbactam and cephalosporins were 90% and more than 85%, respectively; while the
rates of resistance to cefoperazone-sulbactam were 19%, the lowest among the
tested agents. In multivariate analysis, receiving surgery (OR = 8.450), tracheal
intubation and mechanical ventilation for more than 10 days (OR = 6.366) and
previous use of carbapenems (OR = 7.084) were independent risk factors for CRAB
infections. CONCLUSION: Nosocomial infections in children due to CRAB infections
mainly cause HAP and open wound infection. Previous surgery, prolonged tracheal
intubation and mechanical ventilation for more than 10 days and previous
carbapenems therapy within 2 weeks before the isolation of CRAB were independent
risk factors for CRAB infections. CRAB showed MDR or even PDR to the common
antimicrobials, which made great difficulties in antibiotic choices.
PMID- 22088188
TI - [Visceral leishmaniasis associated hemophagocytic lymphohistiocytosis: report of
four childhood cases].
AB - OBJECTIVE: The clinical features of four cases of visceral leishmaniasis (VL)
associated hemophagocytic lymphohistiocytosis (VL-HLH) were retrospectively
analyzed for the purpose of helping the diagnosis of secondary HLH. METHOD:
Clinical data of three childhood cases of VL-HLH documented in our hospital and
one case diagnosed in the Capital Institute of Pediatrics was reviewed
retrospectively, with particular emphasis on peculiar clinical manifestations and
on clues to the diagnosis of this relatively rare disease entity. RESULT: Three
children were from endemic areas of VL, and the other one had lived in endemic
area for one year, which was revealed by detailed history-taking. Clinically, VL
HLH is characterized by persistent fever, hepatosplenomegaly and pancytopenia,
which is similar to those of HLH, and is one of the important reasons of delayed
diagnosis or misdiagnosis. Based on the HLH-2004 protocol, all the four cases met
the diagnostic criteria of HLH. In addition, bone marrow aspirate and immunologic
detection of VL-specific antibody via rk39 dipstick test during the early disease
course of VL-HLH yielded negative results. Two cases who received HLH-targeted
therapy responded reasonably well, with rapid temperature normalization and
spleen retraction. Nevertheless, Hb remained lower than normal, which we believed
to be related to persistent red cell destruction by the invading parasite
Leishmania donovani. CONCLUSION: VL, a parasitic disease caused by Leishmania
donovani, which is currently endemic just in 6 provinces in China, shares similar
clinical picture of HLH and is an easily ignored underlying cause of secondary
HLH. We suggest that VL should be in the list of differential diagnosis for any
patients with HLH who lives in or has a definite travel history to endemic areas.
Repeated bone marrow studies are highly warranted to make a definite diagnosis of
VL, because bone marrow aspirate or rk39 dipstick test during early disease
course might yield negative results. Although VL-HLH responds quite well to HLH
tailored chemotherapy, specific therapy against VL must be given to prevent
disease recurrence, and HLH-targeted chemotherapy might be discontinued to
prevent chemotherapy-related toxicities.
PMID- 22088189
TI - [Clinical and pathological analysis of minimal change nephrotic syndrome in a
child with type 1 diabetes].
PMID- 22088190
TI - [One case report of Patau syndrome].
PMID- 22088191
TI - [Mitochondrial ATP synthase deficiency due to 8993T > G mutation on ATP6 gene].
PMID- 22088192
TI - Characterization of lignin by gas chromatography and mass spectrometry using a
simplified CuO oxidation method.
AB - An efficient and high-throughput method to characterize lignin in environmental
samples using alkaline CuO oxidation and capillary gas chromatography with mass
detection is presented. Monomeric lignin phenols released during oxidation were
selectively extracted using a polymer-based solid phase sorbent rather than
liquid phase extraction. Sample size and matrix were found to influence lignin
phenol yields. Increasing the sample size to an organic carbon content >=1.5 mg
of C minimizes phenol oxidation losses, and the addition of glucose as a
sacrificial carbon source helped minimize oxidation losses in samples with <5 mg
of organic carbon.
PMID- 22088193
TI - Evidence that thaxtomin C is a pathogenicity determinant of Streptomyces
ipomoeae, the causative agent of Streptomyces soil rot disease of sweet potato.
AB - Streptomyces ipomoeae is the causal agent of Streptomyces soil rot of sweet
potato, a disease marked by highly necrotic destruction of adventitious roots,
including the development of necrotic lesions on the fleshy storage roots.
Streptomyces potato scab pathogens produce a phytotoxin (thaxtomin A) that
appears to facilitate their entrance into host plants. S. ipomoeae produces a
less-modified thaxtomin derivative (thaxtomin C) whose role in pathogenicity has
not been examined. Here, we cloned and sequenced the thaxtomin gene cluster (txt)
of S. ipomoeae, and we then constructed targeted txt mutants that no longer
produced thaxtomin C. The mutants were unable to penetrate intact adventitious
roots but still caused necrosis on storage-root tissue. These results, taken in
context with previous histopathological study of S. ipomoeae infection, suggest
that thaxtomin C plays an essential role in inter- and intracellular penetration
of adventitious sweet potato roots by S. ipomoeae. Once inside the plant host,
the pathogen uses one or more yet-to-be-determined factors to necrotize root
tissue, including that of any storage roots it encounters.
PMID- 22088194
TI - Identification and characterization of tomato mutants affected in the Rx-mediated
resistance to PVX isolates.
AB - Five tomato mutants affected in the Rx-mediated resistance against Potato virus X
(PVX) were identified by screening a mutagenized population derived from a
transgenic, Rx1-expressing 'Micro-Tom' line. Contrary to their parental line,
they failed to develop lethal systemic necrosis upon infection with the virulent
PVX-KH2 isolate. Sequence analysis and quantitative reverse-transcription
polymerase chain reaction experiments indicated that the mutants are not affected
in the Rx1 transgene or in the Hsp90, RanGap1 and RanGap2, Rar1 and Sgt1 genes.
Inoculation with the PVX-CP4 avirulent isolate demonstrated that the Rx1
resistance was still effective in the mutants. In contrast, the virulent PVX-KH2
isolate accumulation was readily detectable in all mutants, which could further
be separated in two groups depending on their ability to restrict the
accumulation of PVX-RR, a mutant affected at two key positions for Rx1 elicitor
activity. Finally, transient expression of the viral capsid protein elicitor
indicated that the various mutants have retained the ability to mount an Rx1
mediated hypersensitive response. Taken together, the results obtained are
consistent with a modification of the specificity or intensity of the Rx1
mediated response. The five Micro-Tom mutants should provide very valuable
resources for the identification of novel tomato genes affecting the functioning
of the Rx gene.
PMID- 22088195
TI - The aquaporin TcAQP1 of the desert truffle Terfezia claveryi is a membrane pore
for water and CO(2) transport.
AB - Terfezia claveryi is a hypogeous mycorrhizal fungus belonging to the so-called
"desert truffles," with a good record as an edible fungus and of considerable
economic importance. T. claveryi improves the tolerance to water stress of the
host plant Helianthemum almeriense, for which, in field conditions, symbiosis
with T. claveryi is valuable for its survival. We have characterized cDNAs from
T. claveryi and identified a sequence related to the aquaporin gene family. The
full-length sequence was obtained by rapid amplification of cDNA ends and was
named TcAQP1. This aquaporin gene encoded a functional water-channel protein, as
demonstrated by heterologous expression assays in Saccharomyces cerevisiae. The
mycorrhizal fungal aquaporin increased both water and CO(2) conductivity in the
heterologous expression system. The expression patterns of the TcAQP1 gene in
mycelium, under different water potentials, and in mycorrhizal plants are
discussed. The high levels of water conductivity of TcAQP1 could be related to
the adaptation of this mycorrhizal fungus to semiarid areas. The CO(2)
permeability of TcAQP1 could be involved in the regulation of T. claveryi growth
during presymbiotic phases, making it a good candidate to be considered a novel
molecular signaling channel in mycorrhizal fungi.
PMID- 22088196
TI - Role of the sucrose synthase encoding PrSus1 gene in the development of the
parasitic plant Phelipanche ramosa L. (Pomel).
AB - Phelipanche ramosa L. (Pomel) is a major root-parasitic weed attacking many
important crops. Success in controlling this parasite is rare and a better
understanding of its unique biology is needed to develop new specific control
strategies. In the present study, quantitative polymerase chain reaction
experiments showed that sucrose synthase encoding PrSus1 transcripts accumulate
at their highest level once the parasite is connected to the host (tomato)
vascular system, mainly in the parasite tubercles, which bear numerous
adventitious roots. In situ hybridization experiments revealed strong PrSus1
expression in both shoot and root apices, especially in shoot apical meristems
and in the vascular tissues of scale leaves and stems, and in the apical
meristems and developing xylem in roots. In addition, immunolocalization
experiments showed that a sucrose synthase protein co-localized with cell-wall
thickening in xylem elements. These findings highlight the role of PrSus1 in the
utilization of host-derived sucrose in meristematic areas and in cellulose
biosynthesis in differentiating vascular elements. We also demonstrate that
PrSus1 is downregulated in response to 2,3,5-triiodobenzoic acid-induced
inhibition of polar auxin transport in the host stem, suggesting that PrSus1
activity in xylem maturation is controlled by host-derived auxin.
PMID- 22088198
TI - [Giant perineal tumour].
PMID- 22088199
TI - [New method of hepatic regeneration].
AB - Postoperative liver failure (PLF) is the most feared and serious complication
after extensive liver resections. We present an innovative surgical technique for
the treatment of a patient with colorectal cancer and initially unresectable
liver metastases. After completing neoadjuvant chemotherapy, it was decided to
perform simultaneous surgery. A left hemicolectomy and cleaning of the metastases
in the left liver was performed. As the future liver remnant (FLR) was
insufficient, it was decided to perform an in situ liver split and a right portal
vein ligation. On the 6(th) day after the surgery a volumetric CT showed an
increase greater than 40% of the FLR. The right hepatectomy was completed and the
patient was discharged on the 11(th) day after surgery. The technique induced a
rapid growth of the FLR, exceeding that reported using portal occlusion. If these
findings are corroborated in future studies, this revolutionary technique could
enable surgery to be performed in two stages on patients with initially
unresectable liver disease during the same hospital admission and without PLF.
PMID- 22088197
TI - The biology and dynamics of mammalian cortical granules.
AB - Cortical granules are membrane bound organelles located in the cortex of
unfertilized oocytes. Following fertilization, cortical granules undergo
exocytosis to release their contents into the perivitelline space. This secretory
process, which is calcium dependent and SNARE protein-mediated pathway, is known
as the cortical reaction. After exocytosis, the released cortical granule
proteins are responsible for blocking polyspermy by modifying the oocytes'
extracellular matrices, such as the zona pellucida in mammals. Mammalian cortical
granules range in size from 0.2 um to 0.6 um in diameter and different from most
other regulatory secretory organelles in that they are not renewed once released.
These granules are only synthesized in female germ cells and transform an egg
upon sperm entry; therefore, this unique cellular structure has inherent interest
for our understanding of the biology of fertilization. Cortical granules are long
thought to be static and awaiting in the cortex of unfertilized oocytes to be
stimulated undergoing exocytosis upon gamete fusion. Not till recently, the
dynamic nature of cortical granules is appreciated and understood. The latest
studies of mammalian cortical granules document that this organelle is not only
biochemically heterogeneous, but also displays complex distribution during oocyte
development. Interestingly, some cortical granules undergo exocytosis prior to
fertilization; and a number of granule components function beyond the time of
fertilization in regulating embryonic cleavage and preimplantation development,
demonstrating their functional significance in fertilization as well as early
embryonic development. The following review will present studies that investigate
the biology of cortical granules and will also discuss new findings that uncover
the dynamic aspect of this organelle in mammals.
PMID- 22088200
TI - Usefulness of two-dimensional echocardiographic parameters of the left side of
the heart to predict right ventricular failure after left ventricular assist
device implantation.
AB - Right ventricular failure (RVF) after left ventricular assist device (LVAD)
placement is associated with increased morbidity and mortality. Echocardiography
is a primary imaging method in the assessment of cardiac function; however,
visualization of the right-sided heart is often technically difficult in patients
with heart failure. We aimed to create a simple and generally applicable scoring
system based on "left-sided echocardiographic parameters" to provide
complementary information for predicting RVF after LVAD surgery. We reviewed 111
consecutive patients undergoing LVAD surgery from 2007 through 2010.
Echocardiograms within 5 days before surgery were analyzed. RVF was defined as an
unexpected RV assist devices requirement, nitric oxide inhalation >48 hours,
and/or inotropic support >14 days. Thirty-five patients (32%) developed RVF. LV
end-diastolic dimension (LVEDD) was smaller, LV ejection fraction was greater,
and the left atrial diameter/LVEDD ratio was greater (p < 0.05 for all
comparisons) in patients with RVF than in those without RVF. An RVF score (LV
echocardiographic RVF score) was determined as a sum of points based on receiver
operator characteristics analysis: LVEDD >78, 79 to 70, and <70 mm; LV ejection
fraction <=19%, 19% to 33%, and >33%; and left atrial diameter/LVEDD <0.63, 0.63
to 0.68, and >0.68; each variable was associated with 0 and 1 point and 2 points,
respectively. LV echocardiographic RVF score >=3 was associated with RVF with a
sensitivity of 88.6% and score >=5 with a specificity of 80.3%. In conclusion,
patients with relatively small LV size, preserved LV contraction, and dilated
left atrium were at higher risk for RVF after LVAD surgery. In conclusion, LV
echocardiographic RVF score provides a novel tool to predict RVF after LVAD
surgery, which does not involve invasive or technically complicated procedures.
PMID- 22088201
TI - Comparison of outcomes of patients with painless versus painful ST-segment
elevation myocardial infarction undergoing percutaneous coronary intervention.
AB - There are few data available on the prognosis of painless ST-segment elevation
myocardial infarction (STEMI). The aim of this study was to determine the
incidence, clinical characteristics, and outcomes of painless STEMI. We analyzed
the Korea Acute Myocardial Infarction Registry (KAMIR) study, which enrolled
7,288 patients with STEMI (61.8 +/- 12.8 years old, 74% men; painless STEMI
group, n = 763; painful STEMI group, n = 6,525). End points were in-hospital
mortality and 1-year major adverse cardiac events (MACEs). Patients with painless
STEMI were older and more likely to be women, nonsmokers, diabetic, and
normolipidemic and to have a higher Killip class. The painless group had more in
hospital deaths (5.9% vs 3.6%, p = 0.026) and 1-year MACEs (26% vs 19%, p =
0.002). In Cox proportional hazards analysis, hypotension (hazard ratio [HR]
4.40, 95% confidence interval [CI] 1.41 to 13.78, p = 0.011), low left
ventricular ejection fraction (HR 3.12, 95% CI 1.21 to 8.07, p = 0.019), and a
high Killip class (HR 3.48, 95% CI 1.19 to 10.22, p = 0.023) were independent
predictors of 1-year MACEs in patients with painless STEMI. In conclusion,
painless STEMI was associated with more adverse outcomes than painful STEMI and
late detection may have contributed significantly to total ischemic burden. These
results warrant more investigations for methodologic development in the diagnosis
of silent ischemia and painless STEMI.
PMID- 22088202
TI - Nobiletin suppresses adipogenesis by regulating the expression of adipogenic
transcription factors and the activation of AMP-activated protein kinase (AMPK).
AB - The objective of this study was to elucidate the effect of nobiletin
(5,6,7,8,3',4'-hexamethoxyflavone) on adipogenesis in 3T3-L1 cells. To determine
the effect of nobiletin on adipogenesis, preadipocyte differentiation was induced
in the presence or absence of nobiletin (10-100 MUM) for 4 days. The results
revealed that nobiletin markedly inhibited lipid accumulation and glycerol-3
phosphate dehydrogenase (GPDH) activity and blocked the expression of adipogenic
transcription factors, including peroxisome proliferator-activated receptors
(PPARgamma) and CCAAT/enhancer binding proteins (C/EBPalpha). Moreover, nobiletin
significantly increased AMP-activated protein kinase (AMPK), a major regulator of
cellular energy balance, phosphorylation, and intracellular reactive oxygen
species (ROS) generation. This study also investigated the involvement of AMPK in
the expression of a major transcription factor, PPARgamma. It was found that
pretreatment with compound C, a cell permeable inhibitor of AMPK, abolished the
inhibitory effects of nobiletin on PPARgamma expression. The results suggest that
nobiletin exerts antiadipogenic effects through modulation of the PPARgamma and
AMPK signaling pathway and, therefore, may be a promising antiobesity agent.
PMID- 22088203
TI - Exposures to transit and other sources of noise among New York City residents.
AB - To evaluate the contributions of common noise sources to total annual noise
exposures among urban residents and workers, we estimated exposures associated
with five common sources (use of mass transit, occupational and nonoccupational
activities, MP3 player and stereo use, and time at home and doing other
miscellaneous activities) among a sample of over 4500 individuals in New York
City (NYC). We then evaluated the contributions of each source to total noise
exposure and also compared our estimated exposures to the recommended 70 dBA
annual exposure limit. We found that one in ten transit users had noise exposures
in excess of the recommended exposure limit from their transit use alone. When we
estimated total annual exposures, 90% of NYC transit users and 87% of nonusers
exceeded the recommended limit. MP3 player and stereo use, which represented a
small fraction of the total annual hours for each subject on average, was the
primary source of exposure among the majority of urban dwellers we evaluated. Our
results suggest that the vast majority of urban mass transit riders may be at
risk of permanent, irreversible noise-induced hearing loss and that, for many
individuals, this risk is driven primarily by exposures other than occupational
noise.
PMID- 22088204
TI - Low-molecular-weight heparins induce decidual heparin-binding epidermal growth
factor-like growth factor expression and promote survival of decidual cells
undergoing apoptosis.
AB - OBJECTIVE: To evaluate the effects of low-molecular-weight heparins (LMWHs) on
decidual heparin-binding epidermal growth factor-like growth factor (HB-EGF)
expression/secretion and on TNF-alpha-induced decidual apoptosis. DESIGN:
Experimental study. SETTING: Department of Obstetrics and Gynecology, Universita
Cattolica del Sacro Cuore, Rome, Italy. PATIENT(S): Cultures of primary decidual
cells isolated from human term placenta. INTERVENTION(S): The effects of LMWHs
(tinzaparin and enoxaparin) on decidual HB-EGF expression and secretion were
investigated by Western blot analysis and ELISA, respectively. TNF-alpha-induced
decidual apoptosis was evaluated by annexin V staining, terminal deoxynucleotide
transferase-mediated dUTP nick-end labeling (TUNEL) assay, and caspase
activities. MAIN OUTCOME MEASURE(S): Decidual HB-EGF expression/secretion and
apoptotic rate induced by TNF-alpha were investigated. RESULT(S): Tinzaparin
enhanced decidual HB-EGF expression and secretion. TNF-alpha reduced the number
of viable cells by inducing apoptosis. Simultaneous addition of LMWHs (primarily
tinzaparin) blocked the increase in annexin V- and TUNEL-positive cells and
reduced the amount of caspase activities. CONCLUSION(S): Both LMWHs induced a
significant increase in decidual HB-EGF expression/secretion and reduced TNF
alpha-induced decidual apoptosis. Tinzaparin demonstrated higher efficacy.
PMID- 22088205
TI - Bilateral oophorectomy is not associated with increased mortality: the California
Teachers Study.
AB - OBJECTIVE: To investigate the effect of surgical menopause due to bilateral
oophorectomy on mortality, in light of evidence that bilateral oophorectomy among
premenopausal women rapidly reduces endogenous hormone levels, thereby modifying
risks of cardiovascular disease and breast cancer. DESIGN: The California
Teachers Study (CTS) is a prospective cohort study of 133,479 women initiated in
1995-1996 through a mailed, self-administered questionnaire. Relative risks and
95% confidence intervals (CI) were estimated using Cox proportional hazards
regression. SETTING: None. PATIENT(S): California Teachers Study participants
who, at baseline, reported having surgical menopause due to bilateral
oophorectomy (n = 9,785), were compared with participants with natural menopause
(n = 32,219). INTERVENTION(S): None. MAIN OUTCOME MEASURE(S): We investigated
whether bilateral oophorectomy was associated with all-cause, cardiovascular, or
cancer mortality, overall and by menopausal hormone therapy use status.
RESULT(S): Among participants aged <45 years at menopause, multivariable relative
risks were 0.86 (95% CI, 0.74-1.00), 0.85 (95% CI, 0.66-1.11), and 0.91 (95% CI,
0.67-1.23) for all-cause mortality, cardiovascular mortality, and cancer
mortality, respectively. Among participants with an age at menopause of >=45
years, multivariable relative risks were 0.87 (95% CI, 0.80-0.94), 0.83 (95% CI,
0.71-0.96), and 0.84 (95% CI, 0.72-0.98) for all-cause, cardiovascular, and
cancer mortality, respectively. The association between bilateral oophorectomy
and mortality did not differ by baseline status of hormone therapy use.
CONCLUSION(S): Surgical menopause due to bilateral oophorectomy vs. natural
menopause does not increase all-cause, cardiovascular, or cancer mortality.
PMID- 22088206
TI - Improvement of hyperandrogenism and hyperinsulinemia during pregnancy in women
with polycystic ovary syndrome: possible effect in the ovarian follicular mass of
their daughters.
AB - OBJECTIVE: To evaluate the ovarian function during early infancy in daughters of
women with polycystic ovary syndrome (PCOS) treated with metformin throughout
pregnancy (PCOSd+M), as a means to reduce androgen and insulin levels, compared
with daughters of nontreated PCOS women (PCOSd-M) and daughters of women who
belong to a healthy comparison group (HCd). DESIGN: Descriptive and analytic
study. SETTING: Unit of endocrinology and reproductive medicine. PATIENT(S):
Fifteen PCOSd+M, 23 PCOSd-M, and 35 HCd were studied at 2-3 months of age.
INTERVENTION(S): A GnRH analogue test was performed with determinations of
gonadotropins, sex steroids, SHBG, and anti-Mullerian hormone (AMH). MAIN OUTCOME
MEASURE(S): Differences in AMH levels between PCOSd+M, PCOSd-M and HCd.
RESULT(S): AMH and peak E(2) concentrations were significantly higher in PCOSd-M
compared with HCd, whereas PCOSd+M exhibited AMH concentrations and peak E(2)
levels similar to those observed in HCd. CONCLUSION(S): The improvement of the
altered endocrine-metabolic environment of PCOS mothers reduces AMH levels in
their daughters, which might reflect a decrease in their follicular mass.
PMID- 22088207
TI - Intracytoplasmic sperm injection in partial globozoospermia.
AB - OBJECTIVE: To compare the outcome of intracytoplasmic sperm injection (ICSI) in
patient couples where the male partner has partial globozoospermia with the
outcome in a general ICSI population. DESIGN: Case-control study. SETTING: Center
for Reproductive Medicine, Radboud University Nijmegen Medical Center, the
Netherlands, a tertiary referral center. PATIENT(S): Between 1997 and 2005, 42
couples were identified in which the male presented with partial globozoospermia;
27 couples treated with ICSI were matched with 263 control couples from a general
ICSI population regarding female age and year of first ICSI cycle.
INTERVENTION(S): One ICSI treatment (1-10 ICSI cycles). MAIN OUTCOME MEASURE(S):
Live birth rate after one ICSI treatment (1-10 ICSI cycles). RESULT(S): In the
partial globozoospermia group, the live birth rate was 66.7% compared with 50.0%
in the control group. In partial globozoospermia, three out of 21 pregnancies
ended in a miscarriage, one major birth defect occurred, and one pregnancy ended
in a neonatal death due to sepsis in a premature child, compared with four
stillborn in the control group. CONCLUSION(S): ICSI is an effective treatment in
couples that failed to conceive spontaneously within 1 year combined with male
infertility due to partial globozoospermia. The fertilization rates and the live
birth rates in this specific group did not differ from those of the general ICSI
population.
PMID- 22088208
TI - Proteomic approach in the identification of fertility pattern in seminal plasma
of fertile men.
AB - OBJECTIVE: To identify a panel of common seminal proteins in human seminal plasma
by fertile men that might be involved in successful reproduction. DESIGN:
Experimental study. SETTING: University hospital. PATIENT(S): Five fertile men
who conceived within 3 months before the start of the study. INTERVENTION(S):
None. MAIN OUTCOME MEASURE(S): Proteomic analysis performed by an Ultimate 3000
Nano/Micro-HPLC apparatus equipped with an FLM-3000-Flow manager module and
coupled with an LTQ Orbitrap XL hybrid mass spectrometer; gene ontology analysis.
RESULT(S): From 919 to 1,487 unique proteins were identified per individual
subject sample. Among these proteins, 83 proteins were present in all samples,
including some proteins that might be involved in male fertility, such as
semenogelin I, semenogelin II, olfactory receptor 5R1, lactoferrin, hCAP18,
spindling, and clusterin. The gene ontology annotation analysis provided further
information in describing common pattern in male fertility. CONCLUSION(S): The
identification of common seminal plasma proteome in fertile men could provide
better insight into the physiology of male fertility and might identify novel
markers of male infertility.
PMID- 22088209
TI - The ART of social networking: how SART member clinics are connecting with
patients online.
AB - OBJECTIVE: To study and describe the use of social networking websites among
Society for Assisted Reproductive Technology (SART) member clinics. DESIGN: Cross
sectional study. SETTING: University-based practice. PATIENT(S): Not applicable.
INTERVENTION(S): Not applicable. MAIN OUTCOME MEASURE(S): Prevalence of social
networking websites among SART member clinics and evaluation of content, volume,
and location (i.e., mandated state, region) using multivariate regression
analysis. RESULT(S): A total of 384 SART-registered clinics and 1,382 social
networking posts were evaluated. Of the clinics, 96% had a website and 30% linked
to a social networking website. The majority of clinics (89%) with social
networking websites were affiliated with nonacademic centers. Social networking
posts mostly provided information (31%) and/or advertising (28%), and the
remaining offered support (19%) or were irrelevant (17%) to the target audience.
Only 5% of posts involved patients requesting information. Clinic volume
correlated with the presence of a clinic website and a social networking website.
CONCLUSION(S): Almost all SART member clinics have a website. Nearly one-third of
these clinics host a social networking website such as Facebook, Twitter, and/or
a blog. Large-volume clinics commonly host social networking websites. These
sites provide new ways to communicate with patients, but clinics should maintain
policies on the incorporation of social networks into practice.
PMID- 22088210
TI - Phosphoproteomic analysis of human mesenchymal stromal cells during osteogenic
differentiation.
AB - Human mesenchymal stromal cells (hMSCs) are promising candidates for cell therapy
and tissue regeneration. Knowledge of the molecular mechanisms governing hMSC
commitment into osteoblasts is critical to the development of therapeutic
applications for human bone diseases. Because protein phosphorylation plays a
critical role in signaling transduction network, the purpose of this study is to
elucidate the phosphoproteomic changes in hMSCs during early osteogenic lineage
commitment. hMSCs cultured in osteogenic induction medium for 0, 1, 3, and 7 days
were analyzed by liquid chromatography tandem mass spectrometry (LC-MS/MS).
Surprisingly, we observed a dramatic loss of protein phosphorylation level after
1 day of osteogenic induction. Pathways analysis of these reduced phosphoproteins
exhibited a high correlation with cell proliferation and protein synthesis
pathways. During osteogenic differentiation, differentially expressed
phosphoproteins demonstrated the dynamic alterations in cytoskeleton at the early
stages of differentiation. The fidelity of our quantitative phosphoproteomic
analyses were further confirmed by Western blot analyses, and the changes from
protein expression or its phosphorylation level were distinguished. In addition,
several ion channels and transcription factors with differentially expressed
phosphorylation sites during osteogenic differentiation were identified and may
serve as potentially unexplored transcriptional regulators of the osteogenic
phenotype of hMSCs. Taken together, our results have demonstrated the dynamic
changes in phosphoproteomic profiles of hMSCs during osteogenic differentiation
and unraveled potential candidates mediating the osteogenic commitment of hMSCs.
The findings in this study may also shed light on the development of new
therapeutic targets for metabolic bone diseases such as osteoporosis and
osteomalacia.
PMID- 22088211
TI - Intravascular large B-cell lymphoma presenting as slowly progressive paraparesis
with normal MRI features.
AB - Intravascular large B-cell lymphoma (IVLBCL) is a rare, high grade extranodal B
cell lymphoma, characterized by the proliferation of tumoral cells in the lumen
of small vessels of several organs without the tendency for tumor formation in
other areas usually affected by lymphomas, such as lymph nodes, bone marrow, or
peripheral blood. Diagnosis is generally delayed by variable presentation with
non-specific constitutional and neurological symptoms, lack of reliable ancillary
tests and it is often obtained only at autopsy. We report a case of IVLBCL
presenting with a slowly progressing isolated paraparesis without any evidence of
spinal damage at MRI though neurophysiological examinations showed signs of
spinal cord injury. Laboratory findings showed markedly elevated levels of
lactate dehydrogenase, low albumin values, raised ESR, mild thrombocytopenia and
progressive impairment of hepatic function. Bone marrow examinations and total
body CT scans were negative. Although clinical history appeared too long, we
considered the hypothesis of IVLBCL on the basis of the laboratory constellation
and proposed a liver biopsy, but the patient refused the procedure. The diagnosis
was confirmed only at autopsy. Our case should make us aware that the disease has
to be considered in the differential diagnosis of unexplained paraparesis
associated with elevated level of lactate dehydrogenase and only relatively non
specific laboratory findings even without any spinal cord abnormalities at MRI.
PMID- 22088212
TI - Misfolded SOD1 forms high-density molecular complexes with synaptic molecules in
mutant SOD1-linked familial amyotrophic lateral sclerosis cases.
AB - Mutations in the superoxide dismutase 1 (sod1) gene cause familial amyotrophic
lateral sclerosis (FALS), likely due to the toxic properties of misfolded mutant
SOD1 protein. Here we report identification of various synaptic molecules forming
molecular complexes with misfolded SOD1 in mutant SOD1-associated FALS patient
tissues as well as in cellular FALS models. In the FALS cellular model system, we
found that membrane depolarization that mimics synaptic
hyperactivation/excitotoxicity could cause misfolding of mutant SOD, as well as
acceleration of misfolded SOD1-synaptic protein complex formation. These results
suggest that inhibition of synaptic release mechanism by association of misfolded
SOD1 with synaptic molecules plays a role in the dysfunction of FALS.
PMID- 22088213
TI - Low levels of diversity among genomes of Porcine circovirus type 1 (PCV1) points
to differential adaptive selection between Porcine circoviruses.
AB - Several features related with the evolutionary patterns among all the PCV1
genomes available at GenBank have been analyzed in the present work (diversity,
number of genotypes, recombination, saturation, selection, evolutionary rate).
The reported results point to low levels of nucleotide and amino acid diversity,
low number of positively selected codons and a slow evolution rate. Compared with
the other species of the Circoviridae family, the diversity is the lowest
reported. This can be related with the fact that PCV1 is the single non
pathogenic member of the family. Overall, differential levels of adaptive
evolution between PCV1 and PCV2 may explain the different diversity levels, and
the different evolutionary and selection rates observed.
PMID- 22088214
TI - Identification and classification of endogenous retroviruses in the canine genome
using degenerative PCR and in-silico data analysis.
AB - Pooled genomic DNA from 10 dogs was subjected to polymerase chain reaction with
primers targeting the retroviral pro/pol region. Sequence analysis of 120 clones
obtained by PCR revealed 81 of retroviral origin. Subsequent analysis of the dog
genome (CanFam 2.0) by BLAST investigation using degenerate PCR products and
previously identified retroviral sequences permitted the identification of
additional retroviral gamma and beta sequences. A phylogenetic analysis using the
retroviral protease (PR) and reverse transcriptase (RT) sequences in the dog
genome resulted in identification of 17 gamma and 7 beta families. In addition,
we also identified 167 spuma-like ERV elements from CanFam 2.0 based on sequence
homology to murine (Mu)ERV-L and human (H)ERV-L. Our results could contribute to
the understanding of the influence of retroviruses in shaping the genome
structure and altering gene expression by providing quantitative and locational
information of ERV loci and their diversity in the dog genome.
PMID- 22088215
TI - Sequence analysis of 12 genome segments of mud crab reovirus (MCRV).
AB - Mud crab reovirus (MCRV) is the causative agent of a serious disease with high
mortality in cultured mud crab (Scylla serrata). This study sequenced and
analyzed 12 genome segments of MCRV. The 12 genome segments had a total length of
24.464 kb, showing a total G+C content of 41.29% and predicted 15 ORFs. Sequence
analysis showed that the majority of MCRV genes shared low homology with the
counterpart genes of other reoviruses, e.g., the amino acid identity of RNA
dependent RNA polymerase (RdRp) was lower than 13.0% compared to the RdRp
sequences of other reoviruses. Nucleotide and amino acid sequences of RdRp and
capping enzyme suggested MCRV as a single group. Further genome-based
phylogenetical analysis of conserved termini and reovirus polymerase motif
indicates that this MCRV belongs to a new genus of the Reoviridae family,
tentatively named as Crabreovirus.
PMID- 22088216
TI - The N-terminal helix alpha(0) of hepatitis C virus NS3 protein dictates the
subcellular localization and stability of NS3/NS4A complex.
AB - The N-terminal amphipathic helix alpha(0) of hepatitis C virus (HCV) NS3 protein
is an essential structural determinant for the protein membrane association.
Here, we performed functional analysis to probe the role of this helix alpha(0)
in the HCV life cycle. A point mutation M21P in this region that destroyed the
helix formation disrupted the membrane association of NS3 protein and completely
abolished HCV replication. Mechanistically the mutation did not affect either
protease or helicase/NTPase activities of NS3, but significantly reduced the
stability of NS3 protein. Furthermore, the membrane association and stability of
NS3 protein can be restored by replacing the helix alpha(0) with an amphipathic
helix of the HCV NS5A protein. In summary, our data demonstrated that the
amphipathic helix alpha(0) of NS3 protein determines the proper membrane
association of NS3, and this subcellular localization dictates the functional
role of NS3 in the HCV life cycle.
PMID- 22088218
TI - Investigational VEGF antagonists for psoriasis.
AB - INTRODUCTION: Vascular endothelial growth factor (VEGF) mediates angiogenesis
consequent to binding to VEGF receptors (VEGFRs) and is upregulated in patients
with psoriasis. VEGF is also upregulated in other diseases characterised by
angiogenesis including proliferative retinopathy and cancer. Several VEGF
antagonists have been approved for the treatment of these conditions and may also
have the potential to treat psoriasis. AREAS COVERED: A PubMed literature search
was performed to identify preclinical and clinical research regarding
investigational VEGF antagonists for the treatment of psoriasis. Various agents
have been reviewed including monoclonal antibodies against VEGF and VEGFRs, decoy
anti-VEGF receptors and tyrosine kinase inhibitors that block the effects of
VEGF/VEGFR binding. EXPERT OPINION: Several investigational drugs have
demonstrated potential to treat psoriasis. Clinical observations of psoriasis
remission following administration of bevacizumab, sunitinib and sorafenib in
cancer patients are encouraging. Of particular interest is a novel anti-VEGF/anti
TNF-alpha decoy receptor (Valpha), whose dual action could be beneficial given
the numerous pathogenetic pathways in psoriasis. A topical tyrosine kinase
inhibitor also has potential given the cost and safety advantages conferred by
this mode of administration. More research is warranted both in the prototypical
drugs and in those already marketed for other indications.
PMID- 22088217
TI - Cholesterol-rich lipid rafts are required for release of infectious human
respiratory syncytial virus particles.
AB - Cholesterol and sphingolipid enriched lipid raft micro-domains in the plasma
membrane play an important role in the life-cycle of numerous enveloped viruses.
Although human respiratory syncytial virus (RSV) proteins associate with the raft
domains of infected cells and rafts are incorporated in RSV virion particles, the
functional role of raft during RSV infection was unknown. In the current study we
have identified rafts as an essential component of host cell that is required for
RSV infection. Treatment of human lung epithelial cells with raft disrupting
agent methyl-beta-cyclodextrin (MBCD) led to drastic loss of RSV infectivity due
to diminished release of infectious progeny RSV virion particles from raft
disrupted cells. RSV infection of raft deficient Niemann-Pick syndrome type C
human fibroblasts and normal human embryonic lung fibroblasts revealed that
during productive RSV infection, raft is required for release of infectious RSV
particles.
PMID- 22088219
TI - Muscarinic receptor activation determines the effects of store-operated Ca(2+)
entry on excitability and energy metabolism in pyramidal neurons.
AB - In various cell types, depletion of intracellular Ca(2+)-stores results in store
operated Ca(2+)-entry (SOCE) across the cellular membrane. However, the effects
of SOCE on neuronal membrane excitability and mitochondrial functions in central
neurons are not well defined. We investigated such cellular downstream effects in
pyramidal neurons of rat organotypic hippocampal slice cultures by applying
electrophysiological and fluorescence imaging techniques. We report that SOCE is
associated with (i) elevations of Ca(2+)-concentration in individual neuronal
mitochondria ([Ca(2+)](m)). In addition, SOCE can result in (ii) hyperpolarizing
neuronal membrane currents, (iii) increase in extracellular K(+)-concentration
([K(+)](o)), (iv) mitochondrial membrane depolarization, and (v) changes in
intracellular redox state (NAD(P)H and FAD fluorescence), the latter reflecting
responses of energy metabolism. These additional downstream effects of SOCE
required concomitant muscarinic receptor activation by carbachol or
acetylcholine, and were suppressed by agonist washout or application of
antagonist, atropine. We conclude that muscarinic receptor activation determines
the downstream effects of SOCE on neuronal membrane excitability and energy
metabolism. This mechanism might have significant impact on information
processing and neurometabolic coupling in central neurons.
PMID- 22088221
TI - Winning the war, far, in developing countries. Novel anticoagulants as a new
weapon against stroke.
PMID- 22088220
TI - Regional imbalanced activation of the calcineurin/BAD apoptotic pathway and the
PI3K/Akt survival pathway after myocardial infarction.
AB - BACKGROUND: The underlying molecular mechanisms of the remodeling after
myocardial infarction (MI) remain unclear. The purpose of this study was to
investigate the role of a survival pathway (PI3K/Akt) and an apoptosis pathway
(calcineurin/BAD) in the remodeling after MI in a large animal model. METHODS:
Ten Dorset hybrid sheep underwent 25% MI in the left ventricle (LV, n=10). Five
sheep were used as sham control. The regional strain was calculated from
sonomicrometry. Apoptosis and the activation of the PI3K/Akt and calcineurin/BAD
pathways were evaluated in the non-ischemic adjacent zone and the remote zone
relative to infarct by immunoblotting, immunoprecipitation, and
immunofluorescence staining. RESULTS: Dilation and dysfunction of LV were present
at 12 weeks after MI. The regional strain in the adjacent zone was significantly
higher than in the remote zone at 12 weeks (36.6 +/- 4.0% vs 9.5 +/- 3.6%,
p<0.05). Apoptosis was more severe in the adjacent zone than in the remote zone.
The PI3K/Akt and calcineurin/BAD pathways were activated in the adjacent zone.
Dephosphorylation and translocation of BAD were evident in the adjacent zone.
Regional correlation between the strain and the expression of calcineurin/BAD
indicated that the activation was strain-related (R(2)=0.46, 0.48, 0.39 for
calcineurin, BAD, mitochondrial BAD, respectively, p<0.05). CONCLUSIONS: The
PI3K/Akt survival and calcineurin/BAD apoptotic pathways were concomitantly
activated in the non-ischemic adjacent zone after MI. The calcineurin/BAD pathway
is strain related and its imbalanced activation may be one of the causes of
progressive remodeling after MI.
PMID- 22088222
TI - Mortality of patients with ST-segment elevation myocardial infarction and
cardiogenic shock treated by PCI is correlated to the infarct-related artery-
results from the PL-ACS Registry.
AB - BACKGROUND: Mortality of patients with ST-segment elevation myocardial infarction
(STEMI) with cardiogenic shock (CS) on admission remains high despite invasive
treatment. The aim of this analysis was to assess the relationship between the
infarct-related artery (IRA) and the early and 12-month outcomes of patients with
STEMI and CS treated by percutaneous coronary intervention (PCI). METHODS: Two
thousand ninety patients with STEMI and CS registered in the prospective Polish
Registry of Acute Coronary Syndromes from October 2003 to November 2009 were
included. RESULTS: The in-hospital mortality in the left main (LM), left anterior
descending artery (LAD), circumflex artery (Cx), and right coronary artery (RCA)
groups was 64.7%, 41.0%, 36.0%, and 30.8%, respectively, with p<0.0001. The 12
month mortality in the LM, LAD, Cx, and RCA groups was 77.7%, 58.2%, 55.1%, and
45.0%, respectively, with p<0.0001. After multivariate adjustment, LM as the IRA
was significantly associated with higher 12-month mortality (hazard ratio=1.71,
95% confidence interval=1.28-2.27, p=0.0002). CONCLUSIONS: In-hospital and long
term mortality of patients with STEMI and CS treated by PCI are significantly
correlated to the IRA, being highest for LM and lowest for RCA.
PMID- 22088223
TI - Right lung agenesis and dextrocardia in a paucisymptomatic 11-year-old child.
PMID- 22088225
TI - How to manage coronary slow flow following PCI?
PMID- 22088224
TI - Adiposity rather than BMI determines metabolic risk.
AB - BACKGROUND AND AIM: There is increasing evidence suggesting that WHO body mass
index (BMI) cut-off values are outdated and should not be applied to different
population. To overcome misclassifications, direct measurements of percentage
body fat (PBF) would be a better tool for preobesity and obesity diagnosis. The
aim of this study was to analyze the body composition in a adult population in
Centre-South of Italy, by age and gender, and to verify the accordance between
BMI and PBF cut-off points for health status classification. METHODS: The total
subject pool cover a total of 4408 participants adults. A completed screening of
anthropometry and body composition by Dual X-ray Absorptiometry, (DXA) was
assessed on 3.258 subjects. RESULTS: Distributions and quantitative reliable
estimates of PBF, total body fat and lean, according to gender and age are
provided. The prevalence of "at risk" subjects (preobese and obese) was 69% and
85%, for men and women respectively, according to PBF cut-off points. The
agreement of BMI and PBF categories resulted low for the total and male
population, even scarce for female population (all P <= 0.001). The false
negative classification of BMI was stronger for women than men and for younger
than older subjects. CONCLUSIONS: Screening for adiposity in subjects with a
normal BMI could better identify those at higher risk for cardiometabolic
disturbances and cardiovascular mortality. The herein used cut-offs points of
PBF, by age and gender, may provide a useful reference in clinical settings and
public health services, in particular for the Italian Caucasian population.
PMID- 22088226
TI - Carotid stenting improves cognitive function in asymptomatic cerebral ischemia.
AB - OBJECTIVES: Asymptomatic critical internal carotid artery (ICA) stenosis may lead
to cognitive impairment. Carotid stenting (CS) may improve cerebral perfusion,
but its impact on neuro-cognitive function has been controversial. METHODS: We
prospectively enrolled 34 asymptomatic patients with unilateral ICA stenosis or
occlusion, in whom CS was attempted. Computed tomography cerebral perfusion
(CTP), and functional assessments including National Institutes of Health Stoke
Scale (NIHSS), Bathel Index (BI), and a battery of neuropsychological tests
including Mini-Mental State Examination (MMSE), Alzheimer Disease Assessment
Scale-Cognitive Subtest (ADAS-Cog), verbal fluency, and Color Trail Making A and
B, were done prior to and 3 months after the procedure. RESULTS: Successful CS
was achieved in 28 of 34 patients (82%). Based on the baseline CTP finding and
intervention result, patients were divided into three groups: group I (n=6) as
ipsilateral cerebral ischemia with failed CS procedure, group II (n=17) as
ipsilateral cerebral ischemia with successful CS procedure, and group III (n=11)
as normal baseline CTP with successful CS procedure. The demographics and
baseline cognitive performances were similar among the three groups. In group II,
there were significant improvement in Alzheimer Disease Assessment Scale (pre 6.8
+/- 4.3 vs post 4.9 +/- 2.8, p=0.033), Mini-Mental State Examination Score (pre
25.8 +/- 3.8 vs post 27.4 +/- 3.5, p=0.007), and Color Trail test A (pre 120.4 +/
73.9s vs post 95.8 +/- 57.6s, p=0.004) after CS. In groups I and III, however,
no significant difference was observed in any of the cognitive tests.
CONCLUSIONS: Successful CS improves neurocognitive function in asymptomatic ICA
stenosis or occlusion with objective ipsilateral ischemia.
PMID- 22088227
TI - More data, better data or improved evidence translation: what will improve
cardiovascular outcomes?
AB - More data, better data or improved evidence translation: what will improve
cardiovascular outcomes? As countries must focus more on the value of and access
to health services over effectiveness alone, we argue that data on key aspects of
interventions are predominantly missing from the current evidence-base. We
examine this need in relation to secondary prevention of the most burdensome
disease in the world: coronary heart disease and consider the wider forms of
evidence about interventions needed by professionals, systems and governments to
promote effective, accessible and efficient chronic disease management.
PMID- 22088228
TI - Disturbed left atrial mechanical function in paroxysmal atrial fibrillation: a
speckle tracking study.
AB - OBJECTIVE: We aimed to assess left atrial (LA) intrinsic myocardial function and
its relationship to left ventricular (LV) filling pattern in a group of
paroxysmal atrial fibrillation (PAF) patients. METHODS: Twenty-three PAF patients
(age 68 +/- 7 year, 10 males) were studied using speckle tracking
echocardiography and compared with 18 age and sex matched controls. LA segmental
longitudinal strain (S), strain rate (SR) and myocardial velocities during atrial
systole were measured as were LA diameters. E/A and E/Em were also measured.
RESULTS: LA longitudinal diameter was larger in patients (5.5 +/- 0.6 vs. 4.8 +/-
0.6 cm, p<0.01) and global LA S (-9.2 +/- 4.3 vs. -12.9 +/- 4.6%, p=0.01) and SR
(-1.1 +/- 0.5 vs. -1.6 +/- 0.7 1/s, p<0.01) were reduced and correlated with E/A
(r=0.52, p=0.01 and r=0.43, p<0.05, respectively). LA lateral S and SR were
uniformly reduced compared with controls (p<0.05 for all). Both septal and
lateral wall SR correlated with E/A (p<0.05 for all), only septal S correlated
with E/A (p<0.05). LA myocardial velocities were highest at the annular level and
lowest at the rear in both patients and controls (p<0.01 for all). CONCLUSION: In
PAF patients, LA systolic function is suppressed and is directly related to the
pattern of LV filling which itself may suggest raised pressures. While intrinsic
global and segmental function can reproducibly be studied by S and SR, myocardial
velocities reflect only regional motion, thus less sensitive in demonstrating
localize dysfunction.
PMID- 22088229
TI - Human complement Factor H modulates C1q-mediated phagocytosis of apoptotic cells.
AB - Complement is implicated in the clearance of apoptotic cells by phagocytes.
Deficiencies in early complement components, particularly C1q, are associated
with an increased risk of the development of systemic lupus erythematosus. C1q is
considered to be important in this process through interaction with apoptotic
cells and phagocytes. In the present study, we confirm that apoptotic cells are
recognized not only by C1q but also by the complement regulatory protein Factor
H. Both C1q and Factor H bind to apoptotic cells in a dose-dependent and
saturable manner. We further examined the role of C1q and Factor H in the
clearance of apoptotic cells by monocytes. C1q enhanced uptake/adhesion of
apoptotic cells by monocytes whereas Factor H alone had no effect on this
process. However, when both C1q and Factor H were present on the apoptotic cell
surface, C1q-mediated enhancement of uptake/adhesion of the apoptotic cells by
monocytes was reduced. This effect of Factor H also occurred if monocytes were
pre-treated with Factor H, and then exposed to C1q-coated apoptotic cells. The
results were consistent with Factor H interacting with monocytes through the
integrin CD11b/CD18. We conclude that under physiological conditions, Factor H
may be important in controlling the inflammation which might arise from C1q
deposition on apoptotic cells.
PMID- 22088230
TI - Glial heterotopia of the orbit: a rare presentation.
AB - BACKGROUND: Glial heterotopias are rare, benign, congenital, midline, non
teratomatous extracranial glial tissue. They may masquerade as encephalocoele or
dermoid cyst and mostly present in nose. Herein, we present an unusual case of
glial heterotopia of the orbit with unilateral blindness. CASE PRESENTATION: A 6
year-old-boy presented with a progressive painless mass over the nose and medial
aspect of the left eye noticed since birth. On examination, the globe was
displaced laterally by a firm, regular, mobile, non-pulsatile and non-tender
medial mass. The affected eye had profound loss of vision. Computed tomography
scan showed a large hypodense mass in the extraconal space with no intracranial
connectivity and bony erosion. The child underwent total surgical excision of the
mass and histopathological examination confirmed glial heterotopia of the orbit.
CONCLUSION: Though the incidence of this condition is rare, the need of
appropriate diagnosis and management of such mass to prevent the visual and
cosmetic deterioration is warranted. To our knowledge this is the first reported
case of Glial heterotopia of orbit causing unilateral blindness.
PMID- 22088231
TI - Coprocessing of cellulose II with amorphous silicon dioxide: effect of
silicification on the powder and tableting properties.
AB - AIM: In recent years, coprocessing has been the most successful approach to
improve and correct the functionality of excipients. The aim of this study is to
coprocessed cellulose II with SiO(2) and to evaluate the resulting powder and
tableting properties. METHODS: Novel cellulose II:SiO(2) (98:2, 95:5, 90:10 and
80:20 w/w ratios) composites were produced by spray drying, wet granulation and
spheronization techniques and the resulting powder and tableting properties were
assessed. RESULTS: Cellulose II:SiO(2) composites produced by spray- drying
exhibited spherical/oblongate shape, narrow distribution and mean diameter from
51 to 75 um. The composites produced by wet granulation had larger distribution,
granular shape and a mean diameter from 105 to 129 um. The spheronized composites
showed the highest size (from 148 to 450 um) and round shape. Bulk and tap
densities and flow were reduced as the silicification level increased in the
spray dried and wet granulated materials. Likewise, silicification increased the
true density, porosity and surface roughness of these materials. Water sorption
decreased only at silicification level of 20% being comparable to the ones shown
by Prosolv((r)) samples. Contact angles of all cellulose II materials were lower
than those of cellulose I except for Celphere203 indicating better wettability. A
5% and 10% silicification levels rendered the strongest compacts for the spray
dried and wet granulated materials, respectively. Silicification did not affect
the fast disintegration properties of MCCII. CONCLUSIONS: Coprocessing proved to
be useful tool to modify the powder and tableting properties of cellulose II.
PMID- 22088232
TI - [Critical review of the new recommendations on screening for hydroxychloroquine
retinopathy].
AB - New recommendations for screening of hydroxychloroquine retinopathy, updating
those of 2002, have been recently published by the American Academy of
Ophthalmology. These recommendations have been necessary because of new knowledge
about the prevalence of toxicity and because of improved screening tools. Amsler
grid testing, color vision testing, fluorescein angiography, full-field
electroretinogram, and electro-oculogram are no longer recommended. It is now
recommended to perform fundus examinations with 10-2 automated fields, and
whenever possible, at least one objective test including multifocal
electroretinogram, fundus autofluorescence or spectral domain optical coherence
tomography (SD-OCT). A baseline examination is advised as a reference and then,
annual screening should be initiated no later than 5 years after starting
hydroxychloroquine therapy.
PMID- 22088233
TI - Maternal valvular heart disease in pregnancy.
AB - Valvular heart disease is common in pregnancy. Maternal physiology changes
significantly during gestation with substantial increases in cardiac output and
blood volume; this can cause unmasking or worsening of cardiac disease. Acquired
valvular lesions most frequently arise from rheumatic fever, especially in
patients who have emigrated from developing nations. Congenital lesions are also
encountered. The most common conditions seen, mitral stenosis and regurgitation
and aortic stenosis and regurgitation, each require a specific evaluation and
management and are associated with their own set of possible complications.
Patients with prosthetic valves require anticoagulation, and maternal and fetal
risks and benefits must be carefully weighed. Patients with heart disease should
be meticulously managed preconceptionally up to the postpartum period by maternal
fetal medicine specialists, obstetricians, cardiologists, and anesthesiologists
using a multi-disciplinary approach to their cardiac conditions. TARGET AUDIENCE:
Obstetricians & Gynecologists and Family Physicians. LEARNING OBJECTIVES: After
the completing the CME activity, physicians should be better able to examine the
epidemiology of valvular heart disease in pregnancy, categorize key physiologic
parameters that change in the cardiovascular system during pregnancy, classify
the pathophysiology of valvular lesions, and evaluate the general principles of
maternal and fetal management for cardiac disease.
PMID- 22088234
TI - Ethical concerns and career satisfaction in obstetrics and gynecology: a review
of recent findings from the Collaborative Ambulatory Research Network.
AB - Obstetricians-gynecologists (ob-gyns) are frequently confronted with situations
that have ethical implications (e.g., whether to accept gifts or samples from
drug companies or disclosing medical errors to patients). Additionally, various
factors, including specific job-related tasks, costs, and benefits, may impact ob
gyns' career satisfaction. Ethical concerns and career satisfaction can play a
role in the quality of women's health care. This article summarizes the studies
published between 2005 and 2009 by the Research Department of the American
College of Obstetricians and Gynecologists, which encompass ethical concerns
regarding interactions with pharmaceutical representatives and patient
safety/medical error reporting, as well as ob-gyn career satisfaction.
Additionally, a brief discussion regarding ethical concerns in the ob-gyn field,
in general, highlights key topics for the last 30 years. Ethical dilemmas
continue to be of concern for ob-gyns. Familiarity with guidelines on appropriate
interactions with industry is associated with lower percentages of potentially
problematic relationships with pharmaceutical industries. Physicians report that
the expense of patient safety initiatives is one of the top barriers for
improving patient safety, followed by fear of liability. Overall, respondents
reported being satisfied with their careers. However, half of the respondents
reported that they were extremely concerned about the impact of professional
liability costs on the duration of their careers. Increased familiarity with
guidelines may lead to a decreased ob-gyn reliance on pharmaceutical
representatives and free samples, whereas specific and practical tools may help
them implement patient safety techniques. The easing of malpractice insurance and
threat of litigation may enhance career satisfaction among ob-gyns. This article
will discuss related findings in recent years. TARGET AUDIENCE: Obstetricians &
Gynecologists and Family Physicians. LEARNING OBJECTIVES: After the completing
the CME activity, physicians should be better able to analyze how interactions
with pharmaceutical industry may pose ethical dilemmas, examine current barriers
to implementing patient safety initiatives, and evaluate the factors that
influence career satisfaction among obstetrician-gynecologists.
PMID- 22088235
TI - The oldest gravidas: a review of pregnancy risks in women over 45.
AB - As a result of delayed childbearing and increasingly sophisticated assisted
reproductive technologies, pregnancy in women aged >=45 years is becoming more
common. Women in this age-group should receive thorough preconception evaluation
and counseling about their specific risks in pregnancy. Although many pregnancies
in women >45 years old are achieved via assisted reproductive technologies with
associated preconception counseling, as many as 45% may be spontaneously
conceived. It is therefore important for obstetrician-gynecologists to
incorporate education for older women about the risks of pregnancy into routine
office visits, and to ask women in this age-group about pregnancy planning.
Additionally, many pregnancies in women aged >45 years may be safely managed in a
nontertiary care center, avoiding the need for referral and transfer of care to
an unfamiliar setting. This review addresses preconception evaluation and
counseling, real and perceived risks in older mothers, common pregnancy
complications and management of pregnancy in women >45 years old. TARGET
AUDIENCE: Obstetricians & Gynecologists, Family Physicians. LEARNING OBJECTIVES:
After completion of this article, the reader should be better able to counsel
women about the risks of pregnancy for women aged >=45, evaluate older women for
common risk factors that may further increase risk in pregnancy, assess pregnancy
complications that are more common in women aged >45, and manage otherwise
uncomplicated pregnancies in women in this age-group.
PMID- 22088236
TI - Characterisation of the oral, vaginal and rectal Lactobacillus flora in healthy
pregnant and postmenopausal women.
AB - OBJECTIVE: To investigate the hypothesis that the rectum may be an important
reservoir for vaginal colonisation by Lactobacillus species. STUDY DESIGN: We
included 60 pregnant women aged 18-35 years and 80 postmenopausal women aged 55
65 years in this cross-sectional observational study. Participants had to be
without clinical signs of vaginal infection and without hormone replacement
therapy. Only women with normal vaginal microflora (Nugent scores 0-3) were
included in the evaluation. The first oral, vaginal, and rectal smears were taken
for the enumeration of lactobacilli by cultural methods and identification of
dominating lactobacilli based on multiplex polymerase chain reaction (PCR). The
second oral, vaginal, and rectal smears were taken for molecular lactobacilli
profiling using PCR denaturing gradient gel electrophoresis (DGGE). RESULTS: 30
pregnant and 30 postmenopausal women were evaluated. On multiplex PCR, 99
colonies isolated from 30 pregnant women and 37 colonies isolated from 30
postmenopausal women were identified as being members of the genus Lactobacillus:
50% of pregnant and 33% of postmenopausal women had one or more Lactobacillus
spp. recovered from their oral specimens. Around 80% of pregnant and 40% of
postmenopausal women harboured one or more Lactobacillus spp. in the vagina and
rectum. On PCR-DGGE, 80% of pregnant and 40% of postmenopausal women harboured
the same lactobacilli isolates in both the vagina and rectum. CONCLUSION: This
study supports the hypothesis that the rectum may play an important role as a
reservoir for some strains of lactobacilli that colonise the vagina.
PMID- 22088237
TI - Interface engineering by piezoelectric potential in ZnO-based
photoelectrochemical anode.
AB - Through a process of photoelectrochemical (PEC) water splitting, we demonstrated
an effective strategy for engineering the barrier height of a heterogeneous
semiconductor interface by piezoelectric polarization, known as the piezotronic
effect. A consistent enhancement or reduction of photocurrent was observed when
tensile or compressive strains were applied to the ZnO anode, respectively. The
photocurrent variation is attributed to a changed barrier height at the ZnO/ITO
interface, which is a result of the remnant piezoelectric potential across the
interface due to a nonideal free charge distribution in the ITO electrode. In our
system, ~1.5 mV barrier height change per 0.1% applied strain was identified, and
0.21% tensile strain yielded a ~10% improvement of the maximum PEC efficiency.
The remnant piezopotential is dictated by the screening length of the materials
in contact with piezoelectric component. The difference between this time
independent remnant piezopotential effect and time-dependent piezoelectric effect
is also studied in details.
PMID- 22088238
TI - [Take action to lead the prevention and control of non-communicable disease].
PMID- 22088239
TI - [2010 Chinese guidelines for the management of hypertension].
AB - The 2010 Chinese guidelines for the management of hypertension is an update of
the previous versions in 2005 and 1999. A guideline committee of nearly 100
members appointed by the Chinese Hypertension League (CHL) and the National
Centre for Cardiovascular Disease (NCCD), in collaboration with the Chinese
societies of cardiology, nephrology, neurology, gynecology and endocrinology,
convened on several occasions and discussed the guidelines, drafted by a core
writing group. The prevalence of hypertension has been increasing in China for
decades, and reached 18.8% in the year 2002. The rates of awareness, treatment
and control for hypertension patients remain low compared to high income
countries, in spite of substantial improvements since 1991. In some communities,
the control rate of hypertension increased up to 60%. The mortality rate of
stroke, which is the major complication of hypertension in the Chinese
population, gradually decreased during the period, more so in urban areas than in
rural areas for the middle-aged and elderly populations; in the younger age
groups, however, it increased. As hypertension is a "cardiovascular syndrome",
the management strategy should be based on the overall risk of cardiovascular
disease estimated with all related risk factors, target organ damage and co
morbidity of patients. The target blood pressure is set at SBP/DBP < 140/90 mm Hg
(1 mm Hg = 0. 133 kPa) in uncomplicated hypertension; < 150/90 mm Hg for the
elderly (> or = 65 years) or, if tolerable, < 140/90 mm Hg; and < 130/80 mm Hg
for those with diabetes, coronary heart disease or renal disease. For these high
risk patients, the management should be individualised. In general, lifestyle
modification, such as sodium restriction, smoking cessation, moderation of body
weight and alcohol consumption, and increasing dietary potassium intake and
physical activity, should be implemented for prevention and control of
hypertension. Five classes of antihypertensive drugs, including calcium channel
blockers, angiotensin converting enzyme inhibitors, angiotensin type II receptor
blockers, diuretics and beta-blockers, as well as single pill combinations of
these agents, can be used for initial and maintenance of antihypertensive
treatment. Several populations require special attention in the prevention and
control of hypertension, such as children and adolescents, the elderly, pregnant
women, and patients with various cardiovascular complications. Since health-care
policies and resources vary substantially from one place to another, two levels
of recommendations of management are proposed: a sophisticated and a basic,
allowing doctors-in-charge to manage their patients in a more feasible way.
PMID- 22088240
TI - [Impact of cytochrome P450 2C19 polymorphisms on outcome of cardiovascular events
in clopidogrel-treated Chinese patients after percutaneous coronary
intervention].
AB - OBJECTIVE: To investigate the impact of cytochrome P450 (CYP) 2C19 681G > A
polymorphism on long-term prognosis of clopidogrel-treated Chinese patients after
percutaneous coronary intervention (PCI). METHODS: Between January 1, 2009 and
August 31,2009, 267 patients with coronary heart disease who received PCI and
treated with clopidogrel for 12 months were enrolled. CYP2C19 * 2 was detected by
MALDI-TOF MS and patients were grouped into CYP2C19 * 1/ * 1 (n = 130) and
CYP2C19 * 2 carriers group (n = 137). Follow-up was 12 months. The primary
endpoint was angina recurrence, urgent coronary revascularization, acute
myocardial infarction, stent thrombosis, death and the combined end points.
RESULTS: Baseline data were similar between two groups (P > 0.05). Urgent
coronary revascularization and the combined end points occurred more frequently
in CYP2C19 * 2 carriers than in CYP2C19 * 1/* 1 patients (7.3% vs. 1.5% and 8.0%
vs. 2.3% respectively, all P < 0.05). But incidence of angina recurrence, acute
myocardial infarction, stent thrombosis and death was similar between two groups
(all P > 0.05). Hazard risk of 1 year cumulative survival of CYP2C19 * 2 carriers
group was significantly higher than CYP2C19 * 1/ * 1 group after PCI ( HR = 3.59,
95% CI: 1.02 - 12.87, P < 0.05). CONCLUSION: CYP2C19 681G > A polymorphism is a
determinant of prognosis in coronary heart disease patients receiving chronic
clopidogrel treatment after PCI.
PMID- 22088241
TI - [Efficacy of arterial duct stenting in neonatal pulmonary atresia with intact
ventricular septum].
AB - OBJECTIVE: To evaluate the feasibility and efficacy of arterial duct stenting in
neonates with pulmonary atresia and intact ventricular septum. METHODS: Eleven
neonatal pulmonary atresia with intact ventricular septum patients received
arterial duct stenting in our hospital from December 2007 to September 2010 were
involved in this study. The average age was (8.20 +/- 2.90) days (ranged from 3
to 13 days). The average weight was (3.41 +/- 0.29) kg (ranged from 3.00 to 3.88
kg). The stents were selected according to digital subtracted angiography
measurements. After checking for correct position by angiography, the balloon was
inflated to expand the stent to desired diameter. Oxygen saturation was
monitored, echocardiography was measured and stent diameter and location were
observed by chest Xray. Patients were followed up at 1, 3, 6 and 12 months post
procedure. RESULTS: Stents were successfully implanted in all 11 patients. The
preoperative peripheral oxygen saturation was (63.27 +/- 8.47)%, while increased
to (82.73 +/- 5.59)% after alprostadil application and to (86.18 +/- 3.19)% after
operation (all P < 0.01). After the operation, the peripheral oxygen saturation
was higher than alprostadil application (P < 0.05). The intraoperative narrowest
diameter of patent ductus arteriosus was (1.69 +/- 0.37) mm, the length was
(16.72 +/- 2.37) mm. The internal diameter of implant stents was 4 mm, the length
was (20.18 +/- 3.40) mm. After the operation, surgical B-T shunt operation was
performed in one patient due to stent shift and pulse oxygen saturation decrease.
One patient died post operation with unknown reason, another patient received
stent balloon dilatation due to pulse oxygen saturation decrease at 4 months
after the surgery. Pulmonary atresia with intact ventricular septum surgeries
were performed in 2 patients at 5 and 7 months after stent implantation.
CONCLUSION: The neonatal pulmonary atresia with intact ventricular septum
arterial stent implantation was a feasible and effective procedure and this
method could be used as preferred treatment in pulmonary atresia and intact
ventricular septum for neonates.
PMID- 22088242
TI - [Comparison of cryoablation and radiofrequency ablation for treating
atrioventricular nodal reentrant tachycardia].
AB - OBJECTIVE: To compare the efficacy and safety between cryoablation (Cryo) and
radiofrequency (RF) ablation for treating patients with atrioventricular nodal
reentrant tachycardia (AVNRT). METHODS: Patients with AVNRT (n = 304) were
divided into Cryo group (n = 67) and RF group (n = 237). The procedure success
rate, complete slow pathway block rate, atrioventricular block rate and relapse
rate were compared between two groups. RESULTS: There was no statistically
difference between 2 groups in the success rate (Cryo group 98.5% vs RF group
97.0%, P = 0.820), complete slow pathway block rate (Cryo group 98.5% vs RF group
91.6%, P = 0.088), atrioventricular block rate (Cryo group 0 vs RF group 2.5%, P
= 0.413), relapse rate (Cryo group 0 vs RF group 1.7%, P = 0.643). But Cryo group
had more advantage than RF group. CONCLUSION: Efficacy and safety were comparable
between cryoablation and radiofrequency ablation for treating patients with
AVNRT.
PMID- 22088243
TI - [Outcome and factors affecting left atrial remodeling after percutaneous balloon
mitral valvuloplasty in patients with mitral valve stenosis].
AB - OBJECTIVE: To observe the outcome and assess related factors affecting left
atrial remodeling after percutaneous balloon mitral valvuloplasty (PBMV) in
patients with mitral valve stenosis. METHODS: From March 1998 to June 2002, there
were 96 mitral valve stenosis patients who underwent PBMV in our hospital.
Echocardiographic, 12 leads united electrocardiogram and other clinical datas
were collected at preoperation, 1 week after operation, and 4 - 6 years after
operation to retrospective analysis. Multiple stepwise regression analysis was
used to assess controllable factors of left atrial remodeling. RESULTS: Left
atrial diameter reduced from (44.6 +/- 6.6) cm before PBMV to (42.8 +/- 6.5) cm
(P > 0.05) 1 week after PBMV and enlarged to (47.2 +/- 5.7)cm (all P < 0.05) at
the end of 4 - 6 years follow up post operation. The mitral valve area (MVA)
increased from (1.06 +/- 0.32) cm2 before PBMV to (2.02 +/- 0.43) cm2 1 week
after PBMV and (1.98 +/- 0.36) cm2 4 - 6 years post operation (all P < 0.05).
Heart function assessed by NYHA classification improved significantly at 1 week
and 4 - 6 years after surgery compared with pre-operation (P < 0.01). Multiple
stepwise regression analysis showed that systolic blood pressure at 4 - 6 years
after operation, MVA at 1 week after operation, preoperative atrial fibrillation,
Wilkins score < or = 8, preoperative left atrial diameter were the independent
predictive factors of left atrial remodeling at 4 - 6 years after PBMV.
CONCLUSIONS: PBMV was an effective therapy option for patients with mitral valve
stenosis. Systolic blood pressure at 4 - 6 years after operation, MVA at 1 week
after operation, preoperative atrial fibrillation, Wilkins < or = 8, preoperative
left atrial diameter are the predictive factors of left atrial remodeling after
PBMV.
PMID- 22088244
TI - [Relationship between 22q11 microdeletion syndrome and congenital heart disease].
AB - OBJECTIVE: To investigate the relationship between 22q11 microdeletion syndrome
and congenital heart disease. METHODS: Clinical screening assessment and genetic
testing using standard fluorescence in-situ hybridization (FISH) were applied in
207 subjects suspected for 22q11 microdeletion syndrome. Patients with 22q11
microdeletion syndrome were examined by echocardiography, patients with
complicated congenital heart disease were examined further by cardiac
catheterization. RESULTS: 22q11 microdeletion syndrome was detected in 39
subjects. The incidence of 22q11 microdeletion syndrome was 1.6% in suspects with
simple congenital heart disease without extracardiac manifestations, 53.0% in
suspects with congenital heart disease combined with at least two extracardiac
manifestations, 3.8% in suspects without congenital heart disease. The incidence
of congenital heart disease in 22q11 microdeletion syndrome patient and non 22q11
microdeletion syndrome patient was 94.9% and 54.2% (P < 0.01). The incidence of
congenital heart disease combined with at least two extracardiac manifestations
in 22q11 microdeletion syndrome patient and non 22q11 microdeletion syndrome
patient was 89.7% and 18.5% (P < 0.01). In 22q11 microdeletion syndrome patients,
Tetralogy of Fallot was the most common type of congenital heart disease.
Dysmorphic faces, learning difficulties and retarded physical development were
the most common extracardiac manifestations of the congenital heart disease
patients. CONCLUSION: 22q11 microdeletion syndrome is related to congenital heart
disease.
PMID- 22088245
TI - [Effect of different combined antihypertensive regimen on the erectile function
in male hypertensive patients].
AB - OBJECTIVE: To compare the effects of felodipine combined irbesartan regimen with
that of felodipine combined metoprolol regimen on the sexual function in male
hypertensive patients. METHOD: One hundred and twenty-three male hypertensive
patients (age 25 to 60) were randomly assigned to felodipine (5 mg/d) plus
irbesartan (150 mg/d, n = 64) group and felodipine (5 mg/d) plus metoprolol (47.5
mg/d, n = 59) group. Dosage of felodipine were doubled after 4 weeks if the blood
pressure were > or = 140/ 90 mm Hg (1 mm Hg = 0.133 kPa). At the baseline and
post 24th week treatment, sexual function of patients was assessed by the
International Index of Erectile Function (IIEF) Questionaire. Serum testosterone
(T), sex hormone binding globulin (SHBG), 4-hydroxynonenal (HNE), 8-hydroxy-2'
deoxyguanosine (8-OHdG) and Malonaldehyde (MDA) were measured by Radioimmunoassay
(RIA), ELISA and TBA respectively. RESULTS: Total prevalence of erectile
dysfunction (ED), T, SHBG and HNE were similar between pre- and post-treatment in
two groups (P > 0.05). On the other hand, the scores of the mild ED and sexual
desire (SD) were improved and both serum 8-OHdG and MDA in patients with ED
decreased [(146.02 +/- 60.54) ng/L vs. (139.89 +/- 62.03) ng/L, P = 0.048 and
(6.59 +/- 1.75) micromol/L vs. (5.51 +/- 1.65) micromol/L, P = 0.039] in
Felodipine plus Irbesartan group. CONCLUSION: The results suggested that
Felodipine + Irbesartan regimen may be superior to Felodipine + metoprolol
regimen for male hypertensive patients with mild ED.
PMID- 22088246
TI - [Clinical and angiographic characteristics of patients with slow coronary flow].
AB - OBJECTIVE: To analyze the clinical and angiographic characteristics of patients
with slow coronary flow (SCF). METHODS: In this retrospective study, 140 patients
with SCF and 140 control subjects without SCF were included. SCF were diagnosed
by the combination of TIMI flow grade method and TIMI frame count method. All
subjects had angiographically normal coronary arteries. The clinical and
laboratory data were obtained from medical records at admission. RESULTS:
Compared to control group, patients with SCF were younger [(57.8 +/- 10.7) years
vs. (59.8 +/- 8.2) years], rate of smokers (59.3% vs. 46.4%) and diabetes
mellitus (49.3% vs. 30.7%), fasting blood glucose (FBG) level [(7.8 +/- 2.8)
mmol/L vs. (6.2 +/- 2.0) mmol/L, P < 0.05] and triglyceride (TG) level [(2.11 +/-
1.93) mmol/L vs. (1.67 +/- 1.01) mmol/L, P < 0.05] were higher, while high
density lipoprotein cholesterol (HDL-C) level [(1.05 +/- 0.35) mmol/L vs. (1.42
+/- 0.74) mmol/L, P < 0.01] and apolipoprotein A1 (apoA1) level [(1.10 +/- 0.19)
mmol/L vs. (1.31 +/- 0.31) mmol/L, P < 0.01] were lower. Among the 140 SCF
patients, left anterior descending artery (LAD), left circumflex artery (LCX) and
right coronary artery (RCA) were involved at the same time in 92 patients. Among
the three vessels, RCA is the most frequent involved vessel (n = 119). After
adjusting for other risk factors, current smoking (OR = 1.92, 95% CI: 1.04 -
3.57, P < 0.05), DM history (OR = 2.44, 95% CI:1.32-4.76, P < 0.01), FBG (OR =
2.13, 95% CI:1.16-3.98, P < 0.05), TG (OR = 1.47, 95% CI:1.03-2.13, P < 0.05),
HDL-C (OR = 0.47, 95% CI:0.24-0.85, P < 0.05) and apoA1 (OR = 0.55, 95% CI:0.40 -
0.75, P < 0.01) were independent factors for SCF (all P < 0.05). CONCLUSIONS: Our
results demonstrated that patients with SCF were prone to have a significant
metabolic disorder compared to the control group. Patients with high levels of
FBG, TG and low levels of HDL-C were more likely to suffer from SCF, which maybe
explained by the development of coronary endothelium and microvascular
dysfunction.
PMID- 22088247
TI - [Impact of stromal interaction molecule 1 silencing on cell cycle of endothelial
progenitor cells].
AB - OBJECTIVE: To investigate the effect of stromal interaction molecule 1 (STIM1)
silencing on EPCs cell cycle. METHODS: Rat bone marrow derived endothelial
progenitor cells (EPCs) were isolated and cultured in L-DMEM with 20% FBS. Ad
si/rSTIM1 and Ad-hSTIM1 were then transfected into EPCs and the expression of
STIM1 mRNA was detected by RT-PCR. The cell cycle was determined using flow
cytometry analysis and intracellular free Ca2+ was measured using LSCM. Co
immunoprecipitation was performed to examine the interaction between STIM1 and
TRPC1. Protein levels of inositol 1, 4, 5-trisphosphate were analyzed with ELISA
assay. RESULTS: Forty-eight hours after transfection, the expression of STIM1
mRNA was significantly downregulated (0.37 +/- 0.02 vs. 1.00 +/- 0.02, P < 0.05)
and intracellular free Ca2+ level was significantly reduced (34.07 +/- 4.10 vs.
86.51 +/- 14.12, P < 0.05) in Ad-si/rSTIM1 group compared with control group. The
cell cycle was arrested at G1 phase [(90.91 +/- 1.10)% vs. (77.10 +/- 0.56)%, P <
0.05] and the store-operated channel entry was strikingly inhibited in EPCs after
treatment with Ad-si/rSTIM1. However, cotransfection of Ad-hSTIM1 with Ad
si/rSTIM1 significantly reversed these responses. Interestingly, co
immunoprecipitation study showed that STIM1 co-precipitated with TRPC1, and IP3
levels measured by ELISA were similar among three groups (P > 0.05). CONCLUSION:
siRNA-mediated knockdown of STIM1 inhibited EPCs proliferation by reducing
intracellular free Ca2+ through TRPC1-SOC signaling pathway.
PMID- 22088248
TI - [Impact of magnetic field exposure on cardiac autonomic tone and inducibility of
atrial fibrillation in dogs].
AB - OBJECTIVE: To observe the maximal heart rate changes, atrioventricular (A-V)
conduction block and atrial fibrillation (AF) inducibility in dogs with
vagosympathetic trunk exposed to electromagnetic fields (EMFs). METHODS: The
vagosympathetic trunk of adult dogs was separated and exposed to EMFs 0.043 kHz
(2.87 microG, n = 5) and to EMFs 2 kHz (0.34 microG, n = 6) for two to three
hours. Simultaneously, the vagosympathetic trunk was stimulated with 20 Hz
frequency and 1 - 8 V intensity for 0.1 ms. Heart rate, presence of A-V
conduction block and AF inducibility were determined. RESULTS: After 5-minutes
exposure to EMFs 0.043 kHz (2.87 microG), the maximal heart rate decreased 29%,
the voltage applied to vagosympathetic trunk required to induce A-V conduction
block decreased by 60% in experimental group versus 5% increase in control group.
This effect lasted 2 to 3 hours. While vagosympathetic trunk exposure to EMFs 2
kHz (0.34 microG) was associated with significant increase in the incidence of
atrial premature beats, atrial tachycardia and AF, these effects could be blocked
by propranolol and atropine. CONCLUSIONS: Our results showed that 0.043 kHz (2.87
microG) EMFs exposure might reduce while 2 kHz (0.34 microG) EMFs exposure might
increase AF inducibility. Our study thus suggested autonomic nervous system of
dogs could be affected by EMFs exposure and 0.043 kHz (2.87 microG) EMFs exposure
might be a novel option for AF prevention.
PMID- 22088249
TI - [Effects of telmisartan and pyridoxamine on abdominal aorta vascular remodeling
in spontaneously hypertensive rats].
AB - OBJECTIVE: To investigate the effects of telmisartan and pyridoxamine on vascular
smooth muscle cells (VSMCs) proliferation and apoptosis as well as abdominal
aorta vascular remodeling in spontaneously hypertensive rats (SHRs). METHODS:
SHRs randomly received placebo, telmisartan (6 mg kg(-1) x d(-1)), pyridoxamine
(200 mg x kg(-1) x d(-1)) or telmisartan (6 mg x kg(-1) x d(-1)) plus
pyridoxamine (200 mg x kg(-1) x d(-1), n = 12 each) for 16 weeks. Wistar-Kyoto
(WKY, n = 12) rats serve as normotensive control. The systolic blood pressure
(SBP) of rat was measured before and weekly thereafter. The serum advanced
glycation end-products (AGEs) were detected by competitive ELISA. The serum super
oxide dismutase (SOD) and nitric oxide (NO) were measured. The abdominal aorta
were assessed by image analysis in HE stained sections. The VSMCs apoptosis and
proliferation in abdominal aorta were detected with in situ end labeling
technique and proliferating cell nuclear antigen (PCNA) immunohistochemistry
staining respectively. RESULTS: SBP were significantly lower in telmisartan and
telmisartan plus pyridoxamine therapy group than in placebo treated hypertensive
rats while not affected by pyridoxamine (P > 0.05). Activity of SOD and NO were
significantly higher and AGEs significantly lower in telmisartan, pyridoxamine
and combination therapy treated SHRs than in placebo treated hypertensive rats (P
< 0.01). The telmisartan, pyridoxamine and combination therapy can significantly
inhibit the PCNA expression and significantly enhance the apoptosis value in
abdominal aorta (P < 0.01). The efficacy of combined treatment was significantly
higher than telmisartan and pyridoxamine alone (P < 0.05). CONCLUSION:
Telmisartan and pyridoxamine could attenuate abdominal aorta vascular remodeling
via reducing oxidative stress and AGEs production as well as restoring the
balance of VSMCs proliferation and apoptosis in SHRs abdominal aorta.
PMID- 22088250
TI - [The effects of atorvastatin on C-reactive protein induced Toll-like receptor 4
expression on CD14+ monocyte].
AB - OBJECTIVE: To investigate the effects of atorvastatin on C-reactive protein (CRP)
induced Toll-Like receptor 4 (TLR4)expression on CD14+ monocyte, and the
production of proinflammatory cytokines tumor necrosis factor alpha (TNFalpha),
interleukin-6 (IL-6), matrix metalloproteinases-9 (MMP-9), and to study the anti
inflammatory mechanisms of statins. METHODS: The monocytes were isolated from
blood of healthy volunteers by the Ficoll density gradient and stimulated by CRP
with different doses (5, 25, 50, 100 microg/ml) and different exposure time (6,
12, 24, 48 h). Cells were also incubated with atorvastatin of different doses
(1.0, 2.5, 5.0, 7.5, 10.0 micromol/L) in the presence of CRP 50 microg/ml. The
protein expression of TLR4 was measured by flow cytometry, mRNA expression of
TLR4 and of myeloid differentiation protein (MD2)was detected by quantitative
PCR. TNFalpha, IL-6, MMP-9 concentrations in supernatants of cultured medium were
measured by ELISA. RESULTS: (1) Compared with the un-stimulated control group,
enhanced TLR4 protein expression was already detected at a concentration of 5
microg/ml of CRP and increased in a dose-dependent manner (32.22 +/- 2.80)%,
(49.94 +/- 5.58)%, (74.82 +/- 3.24)% and (90.82 +/-2.88)% at 5, 25, 50 and 100
microg/ml CRP. (2) TLR4 protein expression on 50 microg/ml CRP stimulated cells
also increased in a time-dependent manner (29.80 +/- 2.70)%, (47.44 +/- 4.41)%,
(81.71 +/- 2.92)% and (50.57 +/- 3.34)% after 6 h, 12 h, 24 h, 48 h. (3) When
monocytes were incubated with CRP 50 microg/ml and atorvastatin (1.0, 2.5, 5.0,
7.5, 10.0 micromol/L), protein expression [(68.17 +/- 1.71)%, (52.43 +/- 1.38)%,
(27.72 +/- 4.55)%, (17.46 +/- 3.20)%, (9.99 +/- 2.81)%] and mRNA expression
(82.72%, 67.34%, 48.16%, 30.88%, 13.85%) of TLR4 as well as mRNA expression of
MD2 (81.78%, 71.04%, 47.85%, 27.06%, 18.30%) were reduced in a dose-dependent
manner. (4) Level of TNFalpha, IL-6 and MMP-9 in supernatants was significantly
reduced by atorvastatin (2.5 micromol/L) compared with control group (P < 0.01).
When monocyte incubated with CRP 50 microg/ml and atorvastatin 10.0 micromol/L,
the level of TNFalpha, IL-6, MMP-9 decreased to (25.8 +/- 2.5) microg/ml, (128.2
+/- 14.7) pg/ml, (65.2 +/- 12.3) ng/ml, respectively. CONCLUSION: CRP increased
the protein expression of TLR4 on CD14+ monocyte in a dose-dependent and time
dependent manner. Atorvastatin can inhibit the signal transduction of TLR4 and
reduce proinflammatory cytokines release induced by CRP on CD14 monocyte, and
this might be one of the anti-inflammatory mechanisms of atorvastatin.
PMID- 22088251
TI - [Prevalence and distributing feather of controllable cardiovascular risk factors
in Chinese pilots].
AB - OBJECTIVE: To investigate the status of controllable risk factors of
cardiovascular disease in Chinese pilots. METHODS: Pilots in seven regions of
China were selected with cluster sampling. The rates of hypertension, diabetes
mellitus,smoking and abnormal body mass index (BMI) as well as levels of total
cholesterol, triglyceride and high density lipoprotein-cholesterol were obtained.
RESULTS: (1) A total of 5012 pilots were selected and 4684 pilots whose data were
effective were studied. (2) The prevalence rates of hypertension, high total
cholesterol, triglyceride, low high density lipoprotein-cholesterol and diabetes
mellitus were 8.07%, 7.47%, 14. 45%, 27.63% and 0.43%, respectively. The rate of
smoking was 66.45%. The rat of increased BMI was 49.64%. (3) Significant
difference existed on the rates of hypertension, total cholesterol, triglyceride,
low high density lipoprotein-cholesterol, smoking and abnormal BMI among pilots
from different regions (all P < 0.01). There was also a significant difference
between the rates of hypertension, total cholesterol, triglyceride, smoking and
abnormal BMI in different age groups (all P < 0.01). The rate of low high density
lipoprotein-cholesterol was similar in different age groups (P > 0.05). (4)
88.96% of the participants had at least one controllable risk factor. 54.46% of
the participants had at least two controllable risk factors. None of the
participants had more than seven risk factors. There was a significant difference
between the rates of pilots who have more than two controllable risk factors in
different regions (P < 0.01). There was a significant difference between the
rates of pilots who have more than two risk factors in different age groups (P <
0.01). CONCLUSIONS: There was high prevalence of controllable cardiovascular risk
factors in Chinese pilots. Active intervention targeting these cardiovascular
disease risk factors needs to be considered to reduce the risk of developing
cardiovascular disease in Chinese pilots.
PMID- 22088252
TI - [Impact of genetic polymorphisms on efficacy of antiplatelet therapy].
PMID- 22088253
TI - [Stromal interaction molecule 1 and cardiovascular diseases].
PMID- 22088254
TI - Tumoral and angiogenesis factors in hepatocellular carcinoma after locoregional
therapy.
AB - Locoregional therapy (LRT) is used as a bridge to orthotopic liver transplant
(OLT) for hepatocellular carcinoma (HCC) patients. Liver explants in OLT patients
with HCC were studied regarding both tumor stage, histology, and
immunohistochemical staining for cytokeratin (CK)7, CK19, P53, Ki-67, and
vascular endothelial growth factor (VEGF). Patients receiving no LRT (control)
(n=30) were compared with LRT treatment groups with conventional transarterial
chemoembolization (cTACE) (n=25) or drug-eluting bead transarterial
chemoembolization (DEB TACE) (n=17). Tumor stage and histology were similar
between treatment and control groups. The mean percent necrosis was significantly
higher for treatment groups versus the control group (p<0.0001 for both groups
versus control) and was significantly higher in the cTACE group versus the DEB
TACE group. Only the DEB TACE group showed peritumoral CK19 positivity, and
tumors were all CK19-negative. Using a threshold of 50% of tumoral cells, tumoral
VEGF was significantly different between groups, with the control group having
the highest degree of positivity; however, peritumoral VEGF was not significantly
different between the groups. The Ki-67 proliferation fraction was higher in the
treated groups with a statistically significant difference between the DEB
treated group and those without treatment (p=0.02). There were no statistically
significant differences in tumoral or peritumoral CK7 or p53. Percent necrosis
and percent Ki-67 positivity were higher with LRT, with a significant difference
between groups for percent necrosis, confirming that LRT causes necrosis and
suggesting that treatment leads to increased proliferation and decreased tumoral
VEGF.
PMID- 22088255
TI - Cochlear implantation in a patient with superficial siderosis.
AB - Superficial siderosis is a rare disease characterized by accumulation of
hemosiderin in the leptomeninges, subpial tissue, spinal cord, and cranial
nerves. The first clinicopathological description was reported in 1908. Most
patients (95%) experience progressive bilateral hearing loss that begins early in
the course of disease. The initial management of a patient with hearing loss
secondary to superficial siderosis involves sound amplification using hearing
aids. However, cochlear implants can be considered in cases where the patient can
no longer gain significant benefit from hearing aids. Only 10 studies have
described the use of cochlear implants in superficial siderosis and results have
been variable. We report the case of a 65-year-old woman with bilateral
progressive sensorineural hearing loss caused by superficial siderosis who
benefited significantly from cochlear implantation.
PMID- 22088256
TI - A scanning electron microscopic study of crimping of stapedial prostheses.
AB - OBJECTIVE: The aim of this study was to evaluate, through the Scanning Electron
Microscopy, the loop closure of four types of stapedial prostheses and to compare
the different systems of crimping to the long process of the incus. MATERIALS AND
METHODS: Four types of stapedial prostheses (one platinum-teflon, two different
titanium and one nitinol-teflon pistons) were inserted in 40 specially prepared
temporal bones simulating the in vivo stapedotomy procedure. Two pistons were
crimped by single manual manoeuvre with a McGee microforceps; the remainders were
self-retained and thermal-crimped, respectively. All the specimens were evaluated
through the Operative Microscopy and the Scanning Electron Microscopy. RESULTS:
Through the Operative Microscopy, all prostheses apparently achieved a correct
adhesion to the long process of the incus; on the contrary the Scanning Electron
Microscopy study demonstrated some limits of the manual crimping and the
different coupling with the ossicular chain of each type of stapedial prosthesis.
CONCLUSION: A complete adhesion of the prosthetic loop cannot be obtained because
of the irregular profile of the incus at the site of attachment of the stapedial
prosthesis. Consequently, on the basis of the morphological analysis with
Scanning Electron Microscopy, in the surgical practice, the preference could be
given to the stapedial prostheses that achieve greater contact such as the self
retaining and thermal crimping pistons compared to the standard sized prostheses
considered.
PMID- 22088257
TI - Benign paroxysmal positional vertigo showing sequential translations of four
types of nystagmus.
AB - OBJECTIVE: We report a case of benign paroxysmal positional vertigo (BPPV)
showing sequential translation of four types of nystagmus and discuss its
pathophysiology. METHODS: The case was 65-year-old female. We analyzed her
nystagmus three-dimensionally. RESULTS: At the first visit, she showed vertical
torsio nystagmus of the posterior canal type of BPPV (P-BPPV) and subsequently
showed recently reported geotropic nystagmus with a long time constant. Two weeks
later, she showed apogeotropic nystagmus of the horizontal canal type of BPPV (AH
BPPV) and subsequently a geotropic nystagmus with a short time constant of the
horizontal canal type of BPPV (GH-BPPV). CONCLUSIONS: Three kind of nystagmus,
namely P-BPPV, AH-BPPV and GH-BPPV can be explained by the otoconial debris
hypothesis of the same ear. Finally, the recently reported geotropic nystagmus
with a long time constant may be explained by a reversible lesion such as the
denatured cupula or utricular imbalance of the same ear.
PMID- 22088258
TI - Impact of residual ethmoid cells on postoperative course after endoscopic sinus
surgery for chronic rhinosinusitis.
AB - OBJECTIVE: Endoscopic sinus surgery (ESS) is a worldwide standard surgical
procedure for chronic rhinosinusitis (CRS). Residual ethmoid cells (RECs), which
result from failure to completely remove them, have been thought to be a cause of
recurrence of CRS. Our objective was to investigate the relationship between the
REC score and post ESS recurrence of CRS. METHODS: From January 2002 through
December 2003, a total of 138 consecutive CRS patients (86 men and 52 women; mean
age: 44 years) underwent ESS at the Department of Otorhinolaryngology, Ota
General Hospital. CT was performed at 6 or more months post ESS for all patients.
The left and right ethmoid sinuses were each divided into superior-anterior,
inferior-anterior and posterior parts. The extent of RECs in each part was
assessed using a 3-grade scoring system. The outcome of CRS was classified into a
satisfactory outcome group and a poor outcome group based on the improvement rate
determined from the pre ESS and post ESS CT image findings. The two groups were
then compared for the age, gender, presence/absence of nasal polyps,
presence/absence of allergic rhinitis, presence/absence of asthma, the peripheral
eosinophil count (%) and the total REC score. In addition, the individual
correlations between the above variables and the poor outcome group were analyzed
by logistic regression analysis. RESULTS: The total REC score was 0 in only 35
(25.4%) of the total patients. The most common total REC scores were 1-6 in 85
(61.6%) patients. The superior-anterior part had the largest number of patients
with an REC score of 1 or more. The satisfactory outcome group comprised 97
patients (70.3%), while the poor outcome group comprised 41 patients (29.7%).
Comparison of these two groups found that the peripheral eosinophil count, the
prevalence rate of asthma and the total REC score were each significantly higher
in the poor outcome group than in the satisfactory outcome group. Logistic
regression analysis identified a peripheral eosinophil count of >=9.5%, the
presence of asthma and a total REC score of >=4 as factors that correlated
significantly with a poor outcome. CONCLUSION: The findings of this study
indicate that RECs are involved in the recurrence of CRS following ESS. It can be
thought that how to achieve full opening of the superior-anterior part of the
ethmoid sinus, which includes the frontal recess, will be an issue in the future.
PMID- 22088259
TI - Ultrasensitive luminol electrochemiluminescence for protein detection based on in
situ generated hydrogen peroxide as coreactant with glucose oxidase anchored
AuNPs@MWCNTs labeling.
AB - In this study, an ultrasensitive luminol electrochemiluminescence (ECL)
immunosensor was constructed using carboxyl group functionalized multi-walled
carbon nanotubes (MWCNTs) as platform and glucose oxidase (GOD) supported on Au
nanoparticles (AuNPs) decorated MWCNTs (AuNPs@MWCNTs-GOD) as labels. Firstly,
using poly(ethylenimine) (PEI) as linkage reagents, AuNPs@MWCNTs were prepared
and introduced for binding of the secondary antibody (Ab(2)) and glucose oxidase
(GOD) with high loading amount and good biological activity due to the improved
surface area of AuNPs@MWCNTs and excellent biocompatibility of AuNPs. Then the
GOD and Ab(2) labeled AuNPs@MWCNTs were linked to the electrode surface via
sandwich immunoreactions. These localized GOD and AuNPs amplified luminol ECL
signals dramatically, which was achieved by efficient catalysis of the GOD and
AuNPs towards the oxidation of glucose to in situ generate improved amount of
hydrogen peroxide (H(2)O(2)) as coreactant and the enhancement of AuNPs to the
ECL reaction of luminol-H(2)O(2). The experimental results demonstrated that the
proposed immunosensor exhibited sensitive and stable response for the detection
of alpha-1-fetoprotein (AFP), ranging from 0.0001 to 80 ng mL(-1) with a limit of
detection down to 0.03 pg mL(-1) (S/N=3). With excellent stability, sensitivity,
selectivity and simplicity, the proposed luminol ECL immunosensor showed great
potential in clinical applications.
PMID- 22088261
TI - Ratiometric fluorescence imaging of dual bio-molecular events in single living
cells using a new FRET pair mVenus/mKOkappa-based biosensor and a single
fluorescent protein biosensor.
AB - Genetically coded fluorescent protein (FP)-based biosensors are powerful tools
for the non-invasive tracking of molecular events in living cells. Although a
variety of FP biosensors are available, the simultaneous imaging of multiple
biosensors (multi-parameter imaging) in single living cells remains a challenge
and is far from routinely used to elucidate the intricate networks of molecular
events. In this study, we established a novel combination of FP biosensors for
dual-parameter ratiometric imaging, consisting of a new fluorescence resonance
energy transfer (FRET) pair mVenus (yellow FP)/mKOkappa (orange FP)-based
(abbreviated as YO) biosensor and a single FP-based biosensor Grx1-roGFP2. Under
our imaging condition, 1.4+/-0.05% of Grx1-roGFP2 signal contributes to the
mVenus channel and 5.2+/-0.12% of the mVenus signal contributes to the Grx1
roGFP2 channel. We demonstrate that such low degree of cross-talk causes
negligible distortion of the ratiometric signal of the YO-based FRET biosensor
and Grx1-roGFP2. By using this dual-parameter ratiometric imaging approach, we
achieved simultaneous imaging of Src/Ca(2+) signaling and glutathione (GSH) redox
potential in a single cell, which was previously unattainable. Furthermore, we
provided direct evidence that epidermal growth factor (EGF)-induced Src signaling
was negatively regulated by H(2)O(2) via its effect on GSH-based redox system,
demonstrating the power of this dual-parameter imaging approach for elucidating
new connections between different molecular events that occur in a single cell.
More importantly, the dual-parameter imaging approach described in this study is
highly extendable.
PMID- 22088260
TI - 1,1'-Oxalyldiimidazole chemiluminescent enzyme immunoassay capable of
simultaneously sensing multiple markers.
AB - In order to rapidly and simultaneously quantify and screen trace levels of
multiple biomarkers in a single sample, rapid 1,1'-oxalyldiimidazole
chemiluminescence (ODI CL) was applied as a biosensor of immunoassays using
various enzymes such as alkaline phosphatase (ALP) and horseradish peroxidise
(HRP). (1) Fluorescein was formed from the reaction of fluorescein diphosphate
(FDP) and immuno-complex conjugated with ALP. (2) Resorufin was formed from the
reaction between Amplex Red and H(2)O(2) in the presence of immuno-complex
conjugated with HRP. When ODI CL reagents (H(2)O(2) in isopropyl alcohol, ODI in
ethyl acetate) were injected in a test tube or strip-well containing fluorescein
and resorufin formed from above two reactions a bright CL emission spectrum
having two peaks (518 nm for fluorescein and 602 nm for resorufin) was observed.
The two peaks can be independently quantified with an appropriate statistical
tool capable of deconvoluting multiple emission peaks. In conclusion, we expect
that ODI chemiluminescent enzyme immunoassays (CLEIAs) using a couple of enzymes
conjugated with antigen or antibody and substrates can rapidly and simultaneously
quantify and screen multiple biomarkers in a single sample.
PMID- 22088262
TI - Is there a twist in the Escherichia coli signal recognition particle pathway?
AB - Integral membrane proteins (IMPs) are usually synthesized by membrane-bound
ribosomes, and this process requires proper localization of ribosomes and IMP
encoding transcripts. However, the underlying molecular mechanism of the pathway
has not yet been fully established in vivo. The prevailing hypothesis is that
ribosomes and transcripts are delivered to the membrane together during IMP
translation by the signal recognition particle (SRP) and its receptor. Here, I
discuss an alternative hypothesis that posits that ribosomes and transcripts are
targeted separately. Ribosome targeting to the membrane might be mediated by the
SRP receptor, rather than by SRP, and IMP-encoding transcripts might be targeted
to the membrane in a translation-independent manner. According to this scenario,
the SRP executes its essential function on the membrane at a later stage of the
targeting pathway.
PMID- 22088263
TI - High levels of brain-type creatine kinase activity in human platelets and
leukocytes: a genetic anomaly with autosomal dominant inheritance.
AB - The ectopic expression in peripheral blood cells of the brain-type creatine
kinase (CKB) is an autosomal dominant inherited anomaly named CKBE (MIM ID
123270). Here, we characterized the CK activity in serum, platelets (PLT) and
leukocytes (WBC) of 22 probands (from 8 unrelated families) and 10 controls. CK
activity was measured by standard UV-photometry. Expression of the CKB gene was
analyzed by real-time PCR and Western blotting. DNA sequencing including
bisulfite treatment was used for molecular analysis of the CKB gene. Serum CK
levels were comparable between probands and controls. CKBE probands revealed
significantly higher CK activity in PLT (3.7 +/- 2.7 versus 179.2 +/- 83.0
U/10(12) PLT; p<0.001) and WBC (0.4 +/- 0.3 versus 2.6 +/- 2.1 U/10(9) WBC;
p=0.004). Inhibitory anti-CKM antibodies did not affect CK activity indicating
that the CK activity is generated exclusively by the CK-BB isoenzyme. CKB mRNA
and protein levels were significantly higher in PLT and WBC from probands
compared to controls. Re-sequencing of the entire CKB gene and methylation
analysis of a CpG island revealed no alteration in CKBE probands. The genetic
basis of CKBE remains unclear, however, we propose that a de-methylated CKB gene
is inherited that leads to high CKB expression levels in myeloic precursor cells
in the bone marrow.
PMID- 22088264
TI - Comparing spatial accessibility to conventional medicine and complementary and
alternative medicine in Ontario, Canada.
AB - Health care investigations rarely consider conventional medicine (CM) and
complementary and alternative medicine (CAM) simultaneously and spatial
accessibility empirical research that incorporates both CM and CAM locations is
novel. In this study, residents within municipalities of the Ontario province of
Canada are compared in terms of spatial accessibility to a range of health care
supplier 'destinations'. While areas with larger urban agglomerations generally
exhibit superior levels of spatial accessibility to health care and diversity in
medical service choice in comparison to more peripheral areas, considerable
heterogeneity in accessibility occurs in both urban and rural settings. This
study's identification of subtle spatial imbalances appends the literature by
more precisely qualifying the typically reported 'urban-rich, rural-poor'
assessment of health care service condition and also reinforces the need for
policy-makers to appraise health care spatial accessibility differentials as a
function of both CM and CAM endowment.
PMID- 22088265
TI - From school to work: promoting the application of pre-qualification
interprofessional education in the clinical workplace.
AB - The rationale for Interprofessional Education (IPE) is based on the assumption it
will improve practice. Despite evidence that it may modify attitudes and provide
knowledge and skills for collaboration, there is little evidence about whether
these skills can be transferred to practice. The aim of this research was to
explore how midwifery students apply pre-qualification IPE learning to practice
and to understand the factors in the clinical workplace that facilitate or hinder
this application. A purposive sample of students, educators, Heads of Midwifery
and new midwives from four universities throughout the United Kingdom
participated in semi-structured interviews and focus groups. Emerging themes were
developed using the principles of Grounded Theory. Participants articulated ways
in which the clinical environment either promoted or prevented IPE in practice.
The extent to which the clinical institution promoted IPE was made visible
through the support for students during placements; the support for new midwives;
and the evolution of professional roles. Buy-in for the IPE agenda in the
workplace influences the ability of new midwives to apply IPE competencies to
professional practice. The benefits of a theoretical foundation in
interprofessional skills may be lost if students and new midwives find themselves
working in contexts that do not make collaboration a priority.
PMID- 22088266
TI - War stories: a qualitative analysis of narrative teaching strategies in the
operating room.
AB - BACKGROUND: "War stories" are commonplace in surgical education, yet little is
known about their purpose, construct, or use in the education of trainees.
METHODS: Ten complex operations were videotaped and audiotaped. Narrative stories
were analyzed using grounded theory to identify emergent themes in both the types
of stories being told and the teaching objectives they illustrated. RESULTS:
Twenty-four stories were identified in 9 of the 10 cases (mean, 2.4/case). They
were brief (mean, 58 seconds), illustrative of multiple teaching points (mean,
1.5/story), and appeared throughout the operations. Anchored in personal
experience, these stories taught both clinical (eg, operative technique, decision
making, error identification) and programmatic (eg, resource management,
professionalism) topics. CONCLUSIONS: Narrative stories are used frequently and
intuitively by physicians to emphasize a variety of intraoperative teaching
points. They socialize trainees in the culture of surgery and may represent an
underrecognized approach to teaching the core competencies. More understanding is
needed to maximize their potential.
PMID- 22088267
TI - SBAR M&M: a feasible, reliable, and valid tool to assess the quality of, surgical
morbidity and mortality conference presentations.
AB - BACKGROUND: The Surgical Morbidity and Mortality (M&M) conference is considered
the golden hour of surgical education. However, evaluation methods for ensuring
that quality M&M presentations efficiently contribute to resident education have
not been clearly defined. To provide surgical trainees with the skills required
to present a quality M&M presentation it is essential to have a robust tool to
measure presentation skill and guide formative feedback. METHODS: A prospective
observational study was conducted to develop an assessment tool for M&M
conference. Literature review and expert consensus provided content for tool
development. The tool, created using the situation, background, assessment, and
recommendation format, was refined successively based on assessor feedback and
assessed for reliability (internal consistency, interassessor reliability) and
construct validity. RESULTS: Three successive iterations of the tool were
developed. Internal consistency and interassessor reliability improved from the
first to third versions. A trend also was shown for increasing construct validity
with the third iteration of the tool. CONCLUSIONS: A psychometrically robust
assessment tool based on the situation, background, assessment, and
recommendation format was developed and validated to identify and improve the
overall quality and educational value of the surgical M&M conference.
PMID- 22088268
TI - Comparing three pedagogical approaches to psychomotor skills acquisition.
AB - BACKGROUND: We compared traditional pedagogical approaches such as time- and
repetition-based methods with proficiency-based training. METHODS: Laparoscopic
novices were assigned randomly to 1 of 3 training conditions. In experiment 1,
participants in the time condition practiced for 60 minutes, participants in the
repetition condition performed 5 practice trials, and participants in the
proficiency condition trained until reaching a predetermined proficiency goal. In
experiment 2, practice time and number of trials were equated across conditions.
RESULTS: In experiment 1, participants in the proficiency-based training
conditions outperformed participants in the other 2 conditions (P < .014);
however, these participants trained longer (P < .001) and performed more
repetitions (P < .001). In experiment 2, despite training for similar amounts of
time and number of repetitions, participants in the proficiency condition
outperformed their counterparts (P < .038). In both experiments, the standard
deviations for the proficiency condition were smaller than the other conditions.
CONCLUSIONS: Proficiency-based training results in trainees who perform uniformly
and at a higher level than traditional training methodologies.
PMID- 22088269
TI - Seroprevalence and sero-conversion after vaccination against Peste des Petits
Ruminants in sheep and goats from Awash Fentale District, Afar, Ethiopia.
AB - A cross-sectional epidemiological study followed by vaccination and postvaccinal
serum antibody assessment against Peste des Petits Ruminants (PPR) in small
ruminant population of Awash Fentale District, Afar, Ethiopia, was conducted from
September 2006 to June 2007 with the aim of investigating seroprevalence and post
vaccination sero-conversion rate. A total of 1239 sera collected from sheep and
goats which were not vaccinated, were screened by using nucleoprotein-based
competitive enzyme-linked immunosorbent assay (c-ELISA). Only 21 (1.70%) animals
were found to be positive. Following the base-line seroprevalence study, small
ruminants in the area were vaccinated using the attenuated homologous PPR virus
(Nigeria 75/1) strain vaccine, produced at National Veterinary Institute (NVI) in
Debre-Zeit, Ethiopia. A total of 1096 small ruminants were resampled from the
vaccinated population fourteen days after vaccination. The postvaccination sero
conversion rate in the population was found to be 61.13%, indicating a relatively
weak herd immunity. The main reason for the low sero-conversion could be the
thermolabile nature of the vaccine, since no statistically significant difference
was observed between small ruminants vaccinated by Veterinary Professionals and
Community Animal Health Workers (CAHWs), using Chi-squared test at 95% CI
(P>0.05). This signifies the need for thermostable vaccine that could potentially
increase the herd immunity in addition to that being administered by CAHWs
independently. The current finding indicated that CAHWs could participate in
vaccination campaigns in such areas as Afar, where there are few veterinarians
despite of the huge livestock populations, as means of pastoralists' livelihood.
PMID- 22088270
TI - Quantitative detection of Cryptosporidium oocyst in water source based on 18S
rRNA by alternately binding probe competitive reverse transcription polymerase
chain reaction (ABC-RT-PCR).
AB - We describe an assay for simple and cost-effective quantification of
Cryptosporidium oocysts in water samples using a recently developed
quantification method named alternately binding probe competitive PCR (ABC-PCR).
The assay is based on the detection of 18S rRNA specific for Cryptosporidium
oocysts. The standard curve of the ABC-PCR assay had a good fitting to a
rectangular hyperbola with a correlation coefficient (R) of 0.9997.
Concentrations of Cryptosporidium oocysts in real river water samples were
successfully quantified by the ABC-reverse transcription (RT)-PCR assay. The
quantified values by the ABC-RT-PCR assay very closely resemble those by the real
time RT-PCR assay. In addition, the quantified concentration in most water
samples by the ABC-RT-PCR assay was comparable to that by conventional
microscopic observation. Thus, Cryptosporidium oocysts in water samples can be
accurately and specifically determined by the ABC-RT-PCR assay. As the only
equipment that is needed for this end-point fluorescence assay is a simple
fluorometer and a relatively inexpensive thermal cycler, this method can markedly
reduce time and cost to quantify Cryptosporidium oocysts and other health-related
water microorganisms.
PMID- 22088271
TI - Survival dynamics of fecal bacteria in ponds in agricultural watersheds of the
Piedmont and Coastal Plain of Georgia.
AB - Animal agriculture in watersheds produces manure bacteria that may contaminate
surface waters and put public health at risk. We measured fecal indicator
bacteria (commensal Escherichia coli and fecal enterococci) and manure pathogens
(Salmonella and E. coli 0157:H7), and physical-chemical parameters in pond
inflow, within pond, pond outflow, and pond sediments in three ponds in
agricultural watersheds. Bishop Pond with perennial inflow and outflow is located
in the Piedmont, and Ponds A and C with ephemeral inflow and outflow in the
Coastal Plain of Georgia. Bromide and chloride tracer experiments at Bishop Pond
reflected a residence time much greater than that estimated by two models, and
indicated that complete mixing within Bishop Pond was never obtained. The long
residence time meant that fecal bacteria were exposed to solar UV-radiation and
microbial predation. At Bishop Pond outflow concentrations of fecal indicator
bacteria were significantly less than inflow concentrations; such was not
observed at Ponds A and C. Both Salmonella and E. coli 0157:H7 were measured when
concomitant concentrations of commensal E. coli were below the criterion for
surface water impairment indicating problems with the effectiveness of indicator
organisms. Bishop Pond improved down stream water quality; whereas, Ponds A and C
with ephemeral inflow and outflow and possibly greater nutrient concentrations
within the two ponds appeared to be less effective in improving down stream water
quality.
PMID- 22088272
TI - What are the costs and benefits of biodiversity recovery in a highly polluted
estuary?
AB - Biodiversity recovery measures have often been ignored when dealing with the
restoration of degraded aquatic systems. Furthermore, biological valuation
methods have been applied only spatially in previous studies, and not jointly on
a temporal and spatial scale. The intense monitoring efforts carried out in a
highly polluted estuary, in northern Spain (Nervion estuary), allowed for the
economic valuation of the costs and the biological valuation of the benefits
associated with a 21 years sewage scheme application. The analysis show that the
total amount of money invested into the sewage scheme has contributed to the
estuary's improvement of both environmental and biological features, as well as
to an increase in the uses and services provided by the estuary. However, the
inner and outer parts of the estuary showed different responses. An understanding
of the costs and trajectories of the environmental recovery of degraded aquatic
systems is increasingly necessary to allow policy makers and regulators to
formulate robust, cost-efficient and feasible management decisions.
PMID- 22088273
TI - Single-stage subchordal resection and reconstruction of idiopathic
laryngotracheal stenosis in a male patient.
PMID- 22088274
TI - Visualization of flow structures in Fontan patients using 3-dimensional phase
contrast magnetic resonance imaging.
AB - OBJECTIVE: Our objective was to analyze 3-dimensional (3D) blood flow patterns
within the total cavopulmonary connection (TCPC) using in vivo phase contrast
magnetic resonance imaging (PC MRI). METHODS: Sixteen single-ventricle patients
were prospectively recruited at 2 leading pediatric institutions for PC MRI
evaluation of their Fontan pathway. Patients were divided into 2 groups. Group 1
comprised 8 patients with an extracardiac (EC) TCPC, and group 2 comprised 8
patients with a lateral tunnel (LT) TCPC. A coronal stack of 5 to 10 contiguous
PC MRI slices with 3D velocity encoding (5-9 ms resolution) was acquired and a
volumetric flow field was reconstructed. RESULTS: Analysis revealed large
vortices in LT TCPCs and helical flow structures in EC TCPCs. On average, there
was no difference between LT and EC TCPCs in the proportion of inferior vena cava
flow going to the left pulmonary artery (43% +/- 7% vs 46% +/- 5%; P = .34).
However, for EC TCPCs, the presence of a caval offset was a primary determinant
of inferior vena caval flow distribution to the pulmonary arteries with a
significant bias to the offset side. CONCLUSIONS: 3D flow structures within LT
and EC TCPCs were reconstructed and analyzed for the first time using PC MRI.
TCPC flow patterns were shown to be different, not only on the basis of LT or EC
considerations, but with significant influence from the superior vena cava
connection as well. This work adds to the ongoing body of research demonstrating
the impact of TCPC geometry on the overall hemodynamic profile.
PMID- 22088275
TI - Responses of antioxidant gene, protein and enzymes to salinity stress in two
genotypes of perennial ryegrass (Lolium perenne) differing in salt tolerance.
AB - Salinity could damage cellular membranes through overproduction of reactive
oxygen species (ROS), while antioxidant capacities play a vital role in
protecting plants from salinity caused oxidative damages. The objective of this
study was to investigate the toxic effect of salt on the antioxidant enzyme
activities, isoforms and gene expressions in perennial ryegrass (Lolium perenne
L.). Salt-tolerant 'Quickstart II' and salt-sensitive 'DP1' were subjected to 0
and 250 mM NaCl for 12 d. Salt stress increased the content of lipid peroxidation
(MDA), electrolyte leakage (EL) and hydrogen peroxide (H2O2), to a greater extent
in salt-sensitive genotype. Salt-stressed plant leaves exhibited a greater
activity of superoxide dismutase (SOD, EC 1.15.1.1), peroxidase (POD, EC
1.11.1.7), ascorbate peroxidase (APX, EC 1.11.1.11) at 4d after treatment (DAT),
but a lower level of enzyme activity at 8 and 12d, when compared to the control.
Catalase (CAT, EC 1.11.1.6) activity was greater at 4 DAT and thereafter
decreased in salt tolerant genotype relative to the control, whereas lower than
the control during whole experiment period for salt-sensitive genotype. There
were different patterns of five isoforms of SOD, POD and two isoforms of APX
between two genotypes. Antioxidant gene expression was positively related to
isoenzymatic and total enzymatic activities during 12-d salt-treated leaves of
two genotypes, with a relatively higher level in salt-tolerant genotype. Thus,
salt tolerance could be related to the constitutive/induced antioxidant gene,
leading to more efficient enzyme stimulation and protection in perennial
ryegrass.
PMID- 22088276
TI - Amelioration of atherosclerosis by tanshinone IIA in hyperlipidemic rabbits
through attenuation of oxidative stress.
AB - Oxidative stress plays a crucial role in atherogenesis, which raises the
possibility of using antioxidants to ameliorate atherosclerosis. In the present
study, we aim to determine the effects of tanshinone IIA (TSIIA) on
atherosclerosis in hyperlipidemic rabbits. After feeding the rabbits on a high
lipid diet for 90 days, they developed severe atherosclerotic lesions both
morphologically and biochemically and exhibited significantly elevated serum
lipid, malondialdehyde (MDA) and oxidized low density lipoprotein (oxLDL) levels.
Oral administration of TSIIA (3-30 mg/kg) greatly inhibited the formation of
atherosclerotic lesions. In TSIIA-treated rabbits, there was a marked reduction
in serum and aortic lipid peroxide product content, represented by MDA and oxLDL,
whereas enhanced activities of superoxide dismutase (SOD) and glutathione
peroxidase (GPx) were observed. However, TSIIA had no effect on serum lipid
profiles. These results suggest that TSIIA attenuates oxidative stress by
decreasing oxLDL production and enhancing activities of SOD and GPx, which might
be contributed to the amelioration of atherosclerosis.
PMID- 22088277
TI - Anti-proliferative activity and chemoprotective effects towards DNA oxidative
damage of fresh and cooked Brassicaceae.
AB - Epidemiological evidence shows that regular consumption of Brassicaceae is
associated with a reduced risk of cancer and heart disease. Cruciferous species
are usually processed before eating and the real impact of cooking practices on
their bioactive properties is not fully understood. We have evaluated the effect
of common cooking practices (boiling, microwaving, and steaming) on the
biological activities of broccoli, cauliflower and Brussels sprouts. Anti
proliferative and chemoprotective effects towards DNA oxidative damage of fresh
and cooked vegetable extracts were evaluated by 3-(4,5-dimethylthiazol-2-yl)-5-(3
carboxymethoxyphenyl)-2-(4-sulfophenyl)-2H-tetrazolium and Comet assays on HT-29
human colon carcinoma cells. The fresh vegetable extracts showed the highest anti
proliferative and antioxidant activities on HT-29 cells (broccoli>cauliflower =
Brussels sprouts). No genotoxic activity was detected in any of the samples
tested. The cooking methods that were applied influenced the anti-proliferative
activity of Brassica extracts but did not alter considerably the antioxidant
activity presented by the raw vegetables. Raw, microwaved, boiled (except
broccoli) and steamed vegetable extracts, at different concentrations, presented
a protective antioxidative action comparable with vitamin C (1 mm). These data
provide new insight into the influence of domestic treatment on the quality of
food, which could support the recent epidemiological studies suggesting that
consumption of cruciferous vegetables, mainly cooked, may be related to a reduced
risk of developing cancer.
PMID- 22088278
TI - [Auditory neuropathy spectrum disorder in children].
PMID- 22088279
TI - [CT and MRI diagnosis of congenital stenosis of the internal auditory canal].
AB - OBJECTIVE: To investigate multiple slice computed tomography (MSCT) and magnetic
resonance imaging (MRI) features of congenital stenosis of the internal auditory
canal (CSIAC) and improve the ability for diagnosis. METHOD: Thirteen cases with
fifteen ears were studied. In all cases a MSCT and MRI was performed. RESULTS:
Eleven cases were unilateral, and 2 cases were bilateral. MSCT could show the
narrowness of IAC. Three cases were isolated, but the others were combined with
inner ear malformations. One ear had inner, middle and outer ear malformations.
One ear had inner, middle, and outer ear malformations with a frontal bone
malformation. MRI demonstrated that all of the vestibulocochlear nerves were
hypoplastic. The cochlear nerve in seven ears was not present, in seven ears the
nerve was thinner, and in the last case it was poorly visualized. The facial
nerve in two ears was hypoplastic. Volume rendering (VR) could present the degree
of the narrowed internal auditory canals, combined with other inner ear
anomalies. CONCLUSION: MSCT will show the degree of the narrow internal auditory
canals and combined anomalies, while the MRI can further demonstrate the nerves'
development.
PMID- 22088280
TI - [Analysis of the effectiveness in patients who were treated with a course of
drugs for sudden deafness which was present for at least three weeks].
AB - OBJECTIVE: To investigate and analyze the significance of a course of
glucocorticosteroids and other drugs for the treatment of patients with sudden
deafness present for at least three weeks. METHODS: A retrospective review was
done on 48 patients (58 ears) with sudden deafness present for at least three
weeks or more, who were admitted to the Department of Otorhinolaryngology, Peking
University People's Hospital from November 2002 to July 2010. The patients were
divided into three groups by the type of hearing threshold. The different
treatments were used in the three groups. The SPSS 17.0 software was used to
analyze the data. RESULTS: In patients with a low tone hearing loss (6 ears),
83.3% improved. For patients with a high tone loss (22 ears) 31.8% improved. For
a flat tone hearing loss (30 ears) 36.7% improved. For patients with a hearing
loss more than one year (12 ears) there was improvement in 58.3% (7 ears) of the
patients. In 9 ears which had a flat tone hearing loss of 90 dB or greater before
treatment, 77.8% (7 ears) improved with 33.3% (3 ears) having a significant
improvement. In 21 ears which had a hearing threshold was under 90 dB, 14.3% (3
ears) improved. CONCLUSIONS: In the treatment of patients with sudden deafness
which was longer than 21 days the treatment was significant, especially for those
who had a 90 dB or greater flat-tone type hearing threshold before treatment.
Even though the hearing loss was more than a year in some patients there was
still a benefit from treatment.
PMID- 22088281
TI - [Tonal audiometry of GJB2 235delC single heterozygous mutation carriers].
AB - OBJECTIVE: To analyze GJB2 235delC monoallelic mutation carrier individuals and
test the possible presence and incidence of audiometric abnormalities among 30-60
years old carriers of the 235delC mutations. METHODS: A total of 32 unrelated
subjects with nonsyndromic hearing loss were screened for the 235delC mutation.
Tonal audiometric analysis was performed on the 235delC mutation carrier group
and on a non-carrier control group. RESULTS: Audiometric evaluations in the
control group showed the presence of thresholds within normal limits at all
frequencies, while carriers of the 235delC mutation presented with decreased
hearing at 1000 Hz and 2000 Hz (age 40-49 years and 50-59 years), and 4000 and
8000 Hz (age 30-59 years), P < 0.05. The hearing loss of carriers gradually
increased with age. CONCLUSIONS: GJB2 235delC heterozygous carriers may be a risk
group for high-frequency hearing loss. Hearing thresholds may deteriorate in the
intermediate frequencies over the age of 40.
PMID- 22088282
TI - [Observation of bacterial biofilms in patients with chronic rhinosinusitis].
AB - OBJECTIVE: To explore the presence of bacterial biofilms (BF) in patients with
CRS and the effect of BF on clinical symptoms and postoperative outcomes.
METHODS: Seventy-two patients with chronic sinusitis were enrolled in this study.
The control group included 15 patients with deviation of the nasal septum and 10
patients had a fracture of the nasal bone. Mucosa of the uncinate process or
ethmoid near the ostium of the maxillary sinus was obtained during endoscopic
sinus surgery. The specimens were subjected to scanning electron microscopy.
Patients were followed for 1 year and observed by the Lund-Kennedy endoscopy, and
the Haikou standard classification (ESS-1997). Statistical analysis was performed
by t-test or chi-square test. RESULTS: Three patients were lost to follow-up. The
scanning electron microscopy analysis showed bacterial biofilms in 49 of the 69
patients with chronic sinusitis. A marked destruction of the epithelium and cilia
was observed in samples positive for bacterial biofilms. No bacterial biofilms
were detected in the control group, and scanning electron microscopy showed
normal epithelium and cilia in those specimens. There was no significant
difference in gender, classification or duration of disease between the BF(-) and
BF(+) groups. At six months and one year postoperative, the Lund-Kennedy
endoscopy scores for CRS patients with BF (4.78 +/- 1.67; 4.55 +/- 1.61) were
significantly higher than those without BF (3.65 +/- 1.39; 3.65 +/- 1.18) (t =
2.654, P < 0.01; t = -2.264, P < 0.05). Based on the Haikou standard
classification, there was a significantly difference between patients with BF and
those without BF (chi2 = 18.014, 22.063, P < 0.001, respectively). CONCLUSIONS:
Different life stages of bacterial biofilms were demonstrated to be present in
CRS. Gender, classification or duration of disease did not affect the presence of
bacterial biofilms in patients with CRS. There is a correlation between bacterial
biofilms and an unfavorable outcome in patients with CRS after ESS.
PMID- 22088283
TI - [A preliminary study of radionuclide imaging of the nasal lymphatic system in
nasal polyps].
AB - OBJECTIVE: To explore the role of nasal mucosal lymphatic drainage in the
pathogenesis of nasal polyps. METHODS: There were 25 cases in the experimental
group who had nasal polyps (which was further divided into Malm-1, Malm-2, Malm-3
level 3 subgroups) and 6 cases in the control group, including thyroid cancer and
laryngeal cancer patients who had normal nasal structure. The nasal polyps in the
experimental group and the middle turbinate in the control group were injected
with a radionuclide and a radionuclide imaging technique was used to image the
nasal mucosal lymphatics. The lymphatic drainage status of the nasal mucosa
through the imaging results was analysed. RESULTS: The T/NT ratio (radioactivity
counting) of the region of interest (ROI) was 20. 66 +/- 1.89 in the control
group and 29. 33 +/- 6.34 in the experimental group. The difference was
significant (t = 3.275, P < 0.05). The T/NT ratio of the ROI was 24.40 +/- 3.19
in the Malm-1 level group, 29.31 +/- 3.39 in the Malm-2 level group, 39.21 +/-
3.15 in the Malm-3 level group. The differences of qualitative analysis were
significant (F = 38. 980, P < 0.05). The quantitative analysis showed that at the
injection site, signs of lymphatic development and drainage were not found in the
control group or experimental group, but the phenomenon of contrast media
retention existed at the injection site in the experimental group. CONCLUSION:
Lymphatic drainage dysfunction exists in patients with nasal polyps, and it may
play a role in the pathogenesis of nasal polyps.
PMID- 22088284
TI - [Effect of hyoid suspension with uvulopalatopharyngoplasty in the treatment of
obstructive sleep apnea hypopnea syndrome].
AB - OBJECTIVE: To discuss the methodology and therapeutic effect of hyoid suspension
in association with uvulopalatopharyngoplasty (UPPP) in the treatment of severe
obstructive sleep apnea hypopnea syndrome (OSAHS). METHODS: Sixty-nine patients
with severe OSAHS (apnea hyponea index, AHI > 30) were treated with hyoid
suspension and UPPP. Sixty-one patients were followed for 6 months (48 of them
for 12 months). Polysomnogram (PSG) tests were performed and an Epworth
sleepiness scale (ESS) was recorded preoperatively and postoperatively in these
patients. RESULTS: After the surgery,the snoring of the patients disappeared or
was alleviated to varing degrees. Eighteen patients underwent fiberoptic
nasopharyngolaryngoscopic examination. Twelve of them showed palatopharyngeal and
glossopharyngeal stenosis was improved 6 months after surgery. Six patients
showed no change, but had no glossoptosis. Fourteen patients underwent fiberoptic
nasopharyngolaryngoscopic examination 1 year after surgery, with no recurrence of
the stenosis being found. A decrease of 50% in the AHI was considered effective,
and in patients the effective rate was 78.7% (48/61) 6 months after the operation
and 75.0% (36/48) 1 year after the operation. The average AHI decreased from 44.8
to 15.1 and 17.2, and the minimum arterial oxygen saturation average increased
from 0.512 to 0.880 and 0.730. Matching t tests were utilized and the results of
follow-up indicated that there was a significant improvement in the indexes in
those cases which could be followed up (P < 0.01). The average of the ESS was 6.7
six months after operation and 7.2 one year after operation, with a significant
decrease compared to the preoperative (16.6) data (P < 0.01). CONCLUSIONS:
Modified hyoid suspension in association with UPPP has the advantage of a simple
operation, short hospitalization and less expense, and the effect of the
operation was significant. Patients with palatopharyngeal and glossopharyngeal
stenosis should be chosen for this operation.
PMID- 22088285
TI - [A comparison between endoscopic-assisted submandibular gland resection via
retroauricular hairline incision and conventional submandibular gland resection].
AB - OBJECTIVE: To assess the feasibility, the risks and the advantages of endoscope
assisted submandibular gland resection using a retroauricular hairline incision
(RAHI) by comparing it with the conventional submandibular gland resection.
METHODS: Twenty eight patients with benign lesions of the submandibular gland
were included in the prospective clinically controlled study. Thirteen patients
had endoscope-assisted resection using the RAHI approach and 15 cases had
conventional transcervical approach resection. The size, location and adjacency
of all lesions were evaluated by CT or MRI before surgery. The pathologic
diagnoses of all cases were identified as benign diseases using fine needle
aspiration biopsy. The two groups were compared for incision length, operation
time, bleeding, incision cosmetic result, and complications. RESULTS: All 28
operations were successfully performed. Incision length in the endoscopic group
was significantly longer than that in the transcervical group (Z = -4.516, P <
0.01), and the surgical time was longer in the endoscopic group (Z = -3.263, P <
0.01). After three months the mean subjective satisfaction score for the incision
scar in the endoscopic group was significantly higher than that in the
transcervical group (Z = -4.472, P < 0.01). In the endoscopic group, 2 cases
(15.4%) with temporary numbness of the earlobe and 1 case (7.7%) with a temporary
marginal mandibular nerve paralysis were found postoperatively. However, they
recovered within 1 month. All 28 patients were disease free with a follow-up of
10 to 24 months (median of 18 months). CONCLUSIONS: Endoscope-assisted
submandibular gland resection via RAHI is feasible and safe for the treatment of
benign submandibular gland lesions. In comparison with the transcervical
approach, this method can provide better cosmetic results without significant
complications.
PMID- 22088286
TI - [Inhibitory effects of small interfering RNA targeting c-myc in combination with
5-fluorouracil on the growth in vitro and in vivo].
AB - OBJECTIVE: To observe the effects of small interfere RNA (siRNA) targeting the c
myc in combination with 5-fluorouracil (5-Fu) on the growth of Hep-2 cells in
vitro and in vivo. METHODS: Hep-2 cells transfected with or without c-myc siRNA
were treated with 5-Fu for 48 h. C-myc protein levels in Hep-2 cells were
detected using the Western blot. The cell cycle was analyzed by flow cytometry.
Hep-2 cells were subcutaneously inoculated into the back of BALB/c nude mice to
establish the implanted laryngeal squamous carcinoma model. PBS, c-myc siRNA, and
5-Fu, alone or in combinations were administered i.p. The mice were sacrificed
after the treatments and the tumor masses were removed to determine the tumor
volume and weight. The inhibitory rate was calculated. Expression of c-myc in
tumor tissue was detected by immunocytochemistry and cell apoptosis was analyzed
by terminal transferase dUTP nick end labeling (TUNEL). RESULTS: The protein
levels of c-myc decreased after transfected with c-myc siRNA. C-myc siRNA
transfected cells showed an increase in the percentage of cells in the GO-G1
phase and a decrease in the percentage of cells in the S phase. When combined
with 5-Fu, the results were improved. The tumor growth was faster in the control
group and was significantly slower in the c-myc siRNA plus 5-Fu group than that
in the c-myc siRNA group or 5-Fu group (P < 0.05). The tumor weight in the c-myc
siRNA plus 5-Fu group was significantly smaller than that in the c-myc siRNA or 5
Fu group (P < 0.05). Immunohistochemistry showed that c-myc siRNA inhibited the
expression of c-myc in tumor tissues in the c-myc siRNA group and c-myc siRNA
plus 5-Fu group (P < 0.05). The number of apoptotic cells in the c-myc siRNA plus
5-Fu group was higher than those in the c-myc siRNA groups (P < 0.05).
CONCLUSIONS: C-myc siRNA inhibits the expression of c-myc in Hep-2 cells and in
the tumor tissues of nude mice. C-myc siRNA combined with 5-Fu inhibits the
growth of implanted laryngeal squamous carcinoma and promotes cell apoptosis. C
myc could become a novel target for the treatment of laryngeal squamous
carcinoma.
PMID- 22088287
TI - [Effects of celecoxib combined with radiotherapy on apoptosis of CNE-2Z cell
lines].
AB - OBJECTIVE: To investigate the effects of celecoxib combined with radiotherapy on
apoptosis of CNE-2Z cell lines and the potential mechanisms. METHODS: Four groups
were used, a control, celecoxib (25 micromol/L celecoxib), irradiation (8 Gy X
ray) and celecoxib plus irradiation. The radiosensitising effect was detected by
clone formation experiment. Flow cytometry was used to detect the apoptosis rate
of cells. The expressions of Bcl-2 and Bax were assessed by immunocytochemistry.
Western blot was used to examine the expression of Caspase-3. RESULTS: Celecoxib
enhanced the radiosensitivity of CNE-2Z cells. In experimental group, the mean
surviving fraction and the mean lethal dose of CNE-2Z cells were 0.50 and 2.36
respectively. Compared with the irradiated group, there was significant
differences between the two groups (P < 0.01). Celecoxib combined with
radiotherapy up-regulation the expression of Bax. The score of the expression of
Bax in the control group and the experimental group were 1.221 +/- 0.116 and
2.758 +/- 0.256 respectively. Celecoxib combined with radiotherapy could inhibit
the expression of the protein of Bcl-2. The score of the expression of Bcl-2 in
the control group and the experimental group were 2.559 +/- 0.144 and 1.253 +/-
0.114 respectively, with significant differences (P < 0.01). Celecoxib combined
with radiotherapy could increase the apoptosis rate of tumor cells with
significant differences (F = 7.63, P < 0.01). Western blot showed that the
expression of Caspase-3 was strengthened. CONCLUSION: Celecoxib combined with
radiotherapy could induce apoptosis and enhance the radiosensitivity of human
nasopharyngeal carcinoma CNE-2Z cell lines.
PMID- 22088288
TI - [Vibrant soundbridge implantation (two cases report)].
AB - OBJECTIVE: To investigate the effect of Vibrant Soundbridge (VSB) implantation.
METHODS: In accordance with the indications for VSB implantation, surgeries were
done for two patients who suffered from either a sensorineural or conductive
hearing loss (microtia). Their preoperative auditory thresholds (0.5, 1, 2 and 4
kHz) were 56 dB HL and 61 dB HL. The VSB was turned on and adjusted seven weeks
after surgery. RESULTS: Postoperative auditory thresholds of the two patients
were improved. Their pure tone thresholds were 32 dB HL and 40 dB HL, and the
respective improvement was 24 dB HL and 21 dB HL. There was no facial paralysis,
vertigo and tinnitus. CONCLUSION: Patients with a sensorineural or conductive
hearing loss may benefit from VSB implantation.
PMID- 22088290
TI - [Analysis on effect of endoscopic nasal cavity surgery expansion in 108 cases].
AB - OBJECTIVE: To investigate the efficacy of endoscopic nasal cavity enlarging
surgery for the alleviation of nasal obstruction. METHODS: One hundred and eight
patients with deviation of the nasal septum (DNS) and chronic hypertrophic
rhinitis (CHR) were included in this study. Individualized operations were
performed. DNS patients were treated by three line tension relaxing correction or
nasal septum plasty. CHR patients were treated by inferior turbinate out fracture
in combination with plasma radiofrequency ablation for reducing the volume of
nasal soft tissue, sub-mucoperiosteal partial resection of the inferior turbinate
or partial inferior turbinectomy. RESULTS: All patients were followed for 3-12
months after surgery with an effective improvement in 97.2%. Symptoms of headache
or complications of rhinitis sicca were not found. CONCLUSIONS: Being careful to
keep the physiological function of nasal mucosa, the integrity of the nasal
septum, appropriate expansion of the nasal cavity volume, and restore ventilation
with bilateral symmetry of the nasal cavity, satisfactory relief of nasal
obstruction can be achieved.
PMID- 22088289
TI - [Meta-analysis of surgical techniques for preventing Frey syndrome and a concave
facial deformity after parotidectomy].
AB - OBJECTIVE: To explore the curative effects on surgical methods for the prevention
of Frey syndrome and a concave facial deformity after parotidectomy. METHODS: A
literature search was performed using the Wianfang Database, Chinese Biomedical
Literature Disk Database, Chinese Digital Hospital Library and Chinese Scientific
Journals Database of VIP from January 1989 to December 2008. Twenty-six Chinese
language controlled studies involving surgical techniques for prevention of Frey
syndrome and the concave facial deformity after parotidectomy were identified.
Review manager 4.2 software was applied for Meta analysis. RESULTS: Meta-analysis
for surgical techniques to prevent symptomatic Frey syndrome, a positive starch
iodine test, and contour deformity, favored intervention with a cumulative odds
ratio (OR) of 0.14 [95% confidence interval (CI), 0.07-0.25]; OR, 0.21 (95% CI,
0.17-0.26); and OR, 0.09 (95% CI, 0.04-0.19), respectively. There was a
significant difference in the incidence of these complications between surgical
treatment groups and control groups (Z = 6.42, Z = 13.70, Z = 6.43, all P <
0.05). The application of a sternocleidomastoid muscle flap decreased the
incidence of symptomatic Frey syndrome (Z = 2.33, P < 0.05), positive starch
iodine test (Z = 7.48, P < 0.05) and contour deformity (Z = 7.78, P < 0.05). The
application of acellular dermal matrix decreased the incidence of symptomatic
Frey syndrome (Z = 6.02, P < 0.05) and positive starch-iodine test (Z = 5.72, P <
0.05) but did not decrease the incidence of contour deformity (Z = 1.27, P >
0.05). CONCLUSIONS: Meta-analysis of operative techniques to prevent symptomatic
Frey syndrome, a positive starch-iodine test, and facial asymmetry suggests that
such methods are likely to reduce the incidence of these complications and
improve the quality of life after parotidectomy.
PMID- 22088291
TI - [Nasal polyps in ethmoid and sphenoid sinus with oculomotor nerve paralysis: a
case report].
PMID- 22088292
TI - [Report of a case with hemophilia B complicated with traumatic nosebleed as the
first symptom].
PMID- 22088293
TI - [Analysis and treatment of 13 histiocytic necrotizing lymphadenitis cases].
PMID- 22088294
TI - [Remote monitoring of home-based noninvasive ventilation in children with
obstructive sleep apnea-hypopnea syndrome and concomitant risk factors].
PMID- 22088295
TI - [Benign juvenile melanoma of auricle: a case report].
PMID- 22088296
TI - [One case of inflammatory myofibroblastic tumor of the temporal bone].
PMID- 22088297
TI - [Laryngeal manifestation of acquired immunodeficiency syndrome in a case].
PMID- 22088298
TI - [Syphilis of larynx--chancre epiglottis].
PMID- 22088299
TI - [Advances in diagnosis and surgical treatment of congenital malformation of the
external and middle ear].
PMID- 22088300
TI - [Evaluation of therapeutic efficacy of olfactory disorders with glucocorticoid].
PMID- 22088301
TI - [Palliation of head and neck cancer].
PMID- 22088302
TI - [An introduction to the international pocket guide for allergen-specific
immunotherapy for allergic rhinitis and asthma].
PMID- 22088303
TI - Hyperthermia modifies muscle metaboreceptor and baroreceptor modulation of heat
loss in humans.
AB - The relative influence of muscle metabo- and baroreflex activity on heat loss
responses during post-isometric handgrip (IHG) exercise ischemia remains unknown,
particularly under heat stress. Therefore, we examined the separate and
integrated influences of metabo- and baroreceptor-mediated reflex activity on
sweat rate and cutaneous vascular conductance (CVC) under increasing levels of
hyperthermia. Twelve men performed 1 min of IHG exercise at 60% of maximal
voluntary contraction followed by 2 min of ischemia with simultaneous application
of lower body positive pressure (LBPP, +40 mmHg), lower body negative pressure
(LBNP, -20 mmHg), or no pressure (control) under no heat stress. On separate
days, trials were repeated under heat stress conditions of 0.6 degrees C
(moderate heat stress) and 1.4 degrees C (high heat stress) increase in
esophageal temperature. For all conditions, mean arterial pressure was greater
with LBPP and lower with LBNP than control during ischemia (all P <= 0.05). No
differences in sweat rate were observed between pressure conditions, regardless
of the level of hyperthermia (P > 0.05). Under moderate heat stress, no
differences in CVC were observed between pressure conditions. However, under high
heat stress, LBNP significantly reduced CVC by 21 +/- 4% (P <= 0.05) and LBPP
significantly elevated CVC by 14 +/- 5% (P <= 0.05) relative to control. These
results show that sweating during post-IHG exercise ischemia is activated by
metaboreflex stimulation, and not by baroreflexes. In contrast, our results
suggest that baroreflexes can influence the metaboreflex modulation of CVC, but
only at greater levels of hyperthermia.
PMID- 22088305
TI - Study of the influence of psychological factors in the etiology of vocal nodules
in women.
AB - OBJECTIVE: The role placed by certain psychological factors such as stress and
personality on the development of vocal nodules has not yet been established. The
aim of this case-control type study is to analyze the relationship between these
psychological factors and the presence of vocal nodules while also considering
the professional and social aspects of the subjects suffering from this
pathology. METHODS: The case group is made up of 50 patients diagnosed with vocal
nodules and with at least 6 months of evolution. The control group is made up of
50 patients diagnosed with nasal respiratory insufficiency. All the patients were
examined by laryngeal stroboscopy. For the personality study, the perceived
stress, and the voice use, the Minnesota Multiphasic Personality Inventory-2,
Levenstein Perceived Stress Questionnaire, and Goldman Voice Use Survey were
used, respectively. RESULTS: The subjects with vocal nodules presented a mean
perceived stress score of 0.41+/-0.09, which was higher than that of the control
group (0.35+/-0.06), the differences observed being statistically significant
(P<0.001). In the personality study (MMPI-2), the only scale that was associated
with the presence of the vocal nodules was that of psychomotor acceleration. The
mean score obtained for the scale was 51.64+/-8.25 in the vocal nodule group and
45.02+/-0.06 in the control group (P<0.001). In the analysis of voice use, the
subjects with vocal nodules scored 9.31+/-1.36, which was significantly higher
than the score of the control group (8.07+/-1.24; P<0.001). In addition, other
variables were associated with the presence of vocal nodules, such as being young
and working in professions considered to be of vocal risk. CONCLUSIONS: Perceived
stress and personality features of hyperactivity and impulsivity are independent
factors related to vocal nodules.
PMID- 22088304
TI - Neuromodulation targets intrinsic cardiac neurons to attenuate neuronally
mediated atrial arrhythmias.
AB - Our objective was to determine whether atrial fibrillation (AF) results from
excessive activation of intrinsic cardiac neurons (ICNs) and, if so, whether
select subpopulations of neurons therein represent therapeutic targets for
suppression of this arrhythmogenic potential. Trains of five electrical stimuli
(0.3-1.2 mA, 1 ms) were delivered during the atrial refractory period to
mediastinal nerves (MSN) on the superior vena cava to evoke AF. Neuroanatomical
studies were performed by injecting the neuronal tracer DiI into MSN sites that
induced AF. Functional studies involved recording of neuronal activity in situ
from the right atrial ganglionated plexus (RAGP) in response to MSN stimulation
(MSNS) prior to and following neuromodulation involving either preemptive spinal
cord stimulation (SCS; T(1)-T(3), 50 Hz, 200-ms duration) or ganglionic blockade
(hexamethonium, 5 mg/kg). The tetramethylindocarbocyanine perchlorate (DiI)
neuronal tracer labeled a subset (13.2%) of RAGP neurons, which also colocalized
with cholinergic or adrenergic markers. A subset of DiI-labeled RAGP neurons were
noncholinergic/nonadrenergic. MSNS evoked an ~4-fold increase in RAGP neuronal
activity from baseline, which SCS reduced by 43%. Hexamethonium blocked MSNS
evoked increases in neuronal activity. MSNS evoked AF in 78% of right-sided MSN
sites, which SCS reduced to 33% and hexamethonium reduced to 7%. MSNS-induced
bradycardia was maintained with SCS but was mitigated by hexamethonium. We
conclude that MSNS activates subpopulations of intrinsic cardiac neurons, thereby
resulting in the formation of atrial arrhythmias leading to atrial fibrillation.
Stabilization of ICN local circuit neurons by SCS or the local circuit and
autonomic efferent neurons with hexamethonium reduces the arrhythmogenic
potential.
PMID- 22088306
TI - Design, synthesis and in vitro evaluation of novel chroman-4-one, chroman, and 2H
chromene derivatives as human rhinovirus capsid-binding inhibitors.
AB - As part of an effort to generate broad-spectrum inhibitors of rhinovirus
replication, novel series of (E)-3-[(E)-3-phenylallylidene]chroman-4-ones 1a-e,
(E)-3-(3-phenylprop-2-yn-1-ylidene)chroman-4-ones 2a and 2b, (Z)-3-[(E)-3
phenylallylidene]chromans 3a-e, and (E)-3-(3-phenylprop-1-en-1-yl)-2H-chromenes
4a-d were designed and synthesized. All the compounds were tested in vitro for
their efficacy against infection by human rhinovirus (HRV) 1B and 14, two
representative serotypes for rhinovirus group B and A, respectively. Most of the
analogues were found to be potent and selective inhibitors of both HRVs, although
HRV 1B was generally more susceptible than HRV 14. Mechanism of action studies of
(E)-6-chloro-3-(3-phenylprop-1-en-1-yl)-2H-chromene 4b, the most potent compound
on HRV 1B infection, suggested that 4b behaves as a capsid-binder probably acting
at the uncoating level.
PMID- 22088307
TI - Synthesis and bio-evaluation of human macrophage migration inhibitory factor
inhibitor to develop anti-inflammatory agent.
AB - Macrophage migration inhibitory factor (MIF), a pro-inflammatory cytokine, is
involved in the development of an array of inflammatory disorders including
rheumatoid arthritis, inflammatory bowel disease, psoriasis, multiple sclerosis
and sepsis. The synthesis of MIF-inhibitor is a rationale approach to develop
novel anti-inflammatory agent to treat multitude of inflammatory diseases. In
this work, we have synthesized and evaluated MIF-inhibitory activity of a series
of small molecules containing isoxazoline skeleton. Mode of binding of this
inhibitor to human MIF (huMIF) was determined by docking studies. The synthesized
molecules inhibit tautomerase activity of huMIF. The anti-inflammatory activity
of the most active inhibitor, 4-((3-(4-hydroxy-3-methoxyphenyl)-4, 5
dihydroisoxazol-5-yl) methoxy) benzaldehyde (4b) was evaluated against huMIF
induced inflammation in a cellular model (RAW 264.7 cell). Compound 4b
significantly inhibits huMIF-mediated NF-kappaB translocation to the nucleus, up
regulation of inducible nitric oxide synthase and nitric oxide production in RAW
264.7 cell which are the markers for inflammation. The compound 4b is not
cytotoxic as evident from cell viability assay. Hence, the compound 4b has
potential to be a novel anti-inflammatory agent.
PMID- 22088308
TI - Modification of olivomycin A at the side chain of the aglycon yields the
derivative with perspective antitumor characteristics.
AB - A novel way of chemical modification of the antibiotic olivomycin A (1) at the
side chain of the aglycon moiety was developed. Interaction of olivomycin A with
the sodium periodate produced the key acid derivative olivomycin SA (2) in 86%
yield. This acid was used in the reactions with different amines in the presence
of benzotriazol-1-yl-oxy-trispyrrolidino-phosphonium hexafluorophosphate (PyBOP)
or diphenylphosphoryl azide (DPPA) to give corresponding amides. Whereas
olivomycin SA was two orders of magnitude less cytotoxic than the parent
antibiotic, the amides of 2 demonstrated a higher cytotoxicity. In particular,
N,N-dimethylaminoethylamide of olivomycin SA showed a pronounced antitumor effect
against transplanted experimental lymphoma and melanoma and a remarkably high
binding constant to double stranded DNA. The therapeutic effects of this
derivative were achievable at tolerable concentrations, suggesting that
modifications of the aglycon's side chain, namely, its shortening to
methoxyacetic residue and blocking of free carboxyl group, are straightforward
for the design of therapeutically applicable derivatives of olivomycin A.
PMID- 22088309
TI - Synthesis and study of new paramagnetic resveratrol analogues.
AB - New resveratrol analogues containing five- and six-membered nitroxides and
isoindoline nitroxides were synthesized. These new compounds were compared to
resveratrol based on their ABTS radical scavenging ability as well on their
capacity to suppress inflammatory process in macrophages induced by
lipopolysaccharides. The ABTS and ROS scavenging activities of new molecules were
the same or weaker than that of resveratrol, but some of paramagnetic resveratrol
derivatives suppressed nitrite and TNFalpha production more efficiently than
resveratrol. Based on these results the new nitroxide and phenol containing
hybrid molecules can be considered as new antioxidant and anti-inflammatory
agents.
PMID- 22088310
TI - Cranberry components for the therapy of infectious disease.
AB - Summary of the in vitro data support a beneficial effect of cranberry or its
proanthocyanin constituents by blocking adhesion to and biofilm formation on
target tissues of pathogens. In vivo data partially support these beneficial
effects. Consumption of various cranberry products benefited young and elderly
females in preventing urinary tract infections, and in conjunction with
antibiotic treatment in eradicating Helicobacter pylori infections in women.
Mouthwash supplemented with an isolated cranberry derivative reduced
significantly the caryogenic mutans streptococci. None of the mice infected
intranasal with lethal dose of influenza virus and treated with cranberry
fraction died after two weeks. Further studies should focus on the active
cranberry component as supplement for food and other products especially where
whole juice or powder cannot be used.
PMID- 22088312
TI - Adolescent depressive symptoms and subsequent pregnancy, pregnancy completion and
pregnancy termination in young adulthood: findings from the victorian adolescent
health cohort study.
AB - STUDY OBJECTIVE: To examine relationships between depressive symptoms in
adolescence (14-18 years of age) and becoming pregnant, completing a pregnancy
(live birth) and terminating a pregnancy in young adulthood (21-24 years of age).
PARTICIPANTS AND DESIGN: Data from 1000 females were drawn from a larger sample
of 1943 young Australians participating in a longitudinal study of adolescent
health and development, followed across 8 waves from adolescence (waves 1-6) to
young adulthood (waves 7 and 8). SETTING: Victoria, Australia. MAIN OUTCOME
MEASURES: Pregnancy, pregnancy completion and pregnancy termination between 21-24
years of age. RESULTS: We observed a twofold increase in the odds of becoming
pregnant in those reporting persisting patterns of depressive symptoms during
adolescence (2+ waves); however, after staged adjustment for adolescent
antisocial behaviour, drug use and socioeconomic disadvantage, there was no
evidence of association. Of particular note, and consistent with previous
research, adolescent antisocial and drug use behavior were strongly associated
with becoming pregnant and pregnancy termination in young adulthood. CONCLUSIONS:
Adolescent antisocial and drug use behavior, not depressive symptoms,
independently predict pregnancy outcomes in young adulthood.
PMID- 22088313
TI - Adolescent caesarean delivery in the US military health care system.
AB - OBJECTIVES: Determine cesarean delivery (CD) rates and associated demographics
among military dependent teenagers. METHODS: United States military health care
claims records of live births from 2003-2006 to 13- through 19-year-old dependent
children were analyzed using logistic regression. RESULTS: The CD rate rose from
17% in 2003 to 20% in 2006. Rates were significantly higher for ages 18 and 19,
for African American sponsors' children, and those who gave birth in a civilian
facility. Rates did not differ significantly by sponsor's military rank.
CONCLUSIONS: Teenagers in the military health care system have increasing rates
of CD. Independent demographic risk factors for teenage CD include age > 17
years, sponsor's race African American, and delivery at a civilian facility.
PMID- 22088311
TI - Cervical pap screening cytological abnormalities among HIV-infected adolescents
in the LEGACY cohort.
AB - OBJECTIVES: To determine the prevalence of cervical Pap screening (CPAP-S),
identify factors associated with CPAP-S, and explore risk factors for abnormal
cervical cytology in female adolescents with perinatally and behaviorally
acquired HIV infection. DESIGN: Cross-sectional. SETTING: LEGACY is a national
observational cohort chart review study of 1478 HIV-infected persons (= 95th percentile) but otherwise
healthy female adolescents (10 black and 12 white; age range 8.8-13.9 y) who
underwent adrenocorticotropic hormone stimulation testing at an academic medical
center as part of a protocol for the study of obesity-related conditions. MAIN
OUTCOME MEASURES: Basal and stimulated androgen levels. RESULTS: White and black
participants were similar with regard to pubertal stage, body mass index,
percentage body fat, and fasting glucose and insulin levels. Black girls had
lower stimulated levels of 17-hydroxyprogesterone, and the differences between
basal and stimulated levels of 17-hydroxyprogesterone and androstenedione were
lower in black girls. Body mass index was negatively correlated with stimulated
cortisol in blacks only (r = -0.69, P = .03). CONCLUSION: There appear to be race
related differences in stimulated androgen levels in obese adolescent females.
These differences deserve further study, as measurements of androgen levels are
commonly used in clinical practice and research.
PMID- 22088318
TI - Congenital paraurethral cysts in two newborn girls: differential diagnosis,
management strategies, and spontaneous resolution.
AB - BACKGROUND: At least five types of interlabial masses of different etiologies may
present in a female neonate. The more serious type of interlabial mass must be
differentiated from the benign and self-resolving paraurethral or hymenal cyst.
Clues include appearance and color of the mass and the location of the mass in
relation to the urethral meatus and the vaginal opening. Clinicians should be
able to distinguish lesions that require aggressive intervention, i.e. surgery,
from those that self-resolve and merely require observation. CASE: Two unrelated
newborn girls each had a protruding faint-yellow-colored spherical interlabial
cyst. The cyst was located anterior to the vaginal orifice and partially obscured
the urethral meatus. Neither girl had any voiding problems. No other congenital
anomalies were detected. Both cysts resolved rapidly and completely without
surgical intervention. SUMMARY AND CONCLUSION: Paraurethral cysts of the newborn
and hymenal cysts rarely cause urinary obstruction or spotting, and are self
resolving. When positively identified, no evaluation of upper urinary tract is
required and neither aspiration of cyst contents or marsupialization procedure is
necessary.
PMID- 22088319
TI - OsmC proteins of Mycobacterium tuberculosis and Mycobacterium smegmatis protect
against organic hydroperoxide stress.
AB - Bacterial antioxidants play a critical role in the detoxification of endogenously
and host derived oxidative radicals during host-pathogen interactions. Recently,
the osmotically induced bacterial protein C (OsmC) is included in the antioxidant
category of enzymes as it shows structural and functional relationships with
organic hydroperoxide reductase (Ohr) enzyme. A copy of the gene encoding OsmC is
conserved across mycobacterial species, including Mycobacterium tuberculosis
(Rv2923c) and Mycobacterium smegmatis (MSMEG2421), but its role in protecting
these species against oxidative stress is unknown. To determine the role of OsmC
in mycobacterial oxidative stress, we overexpressed and purified OsmCs of M.
tuberculosis and M. smegmatis and assessed their ability to reduce peroxide
substrates like hydrogen peroxide (H(2)O(2)), cumene hydroperoxide (CHP) and t
butyl hydroperoxide (t-BHP) in Ferrous Ion Oxidation in Xylenol (FOX) assay. This
revealed that OsmCs from both species were capable of reducing both inorganic
(H(2)O(2)) and organic (CHP and t-BHP) peroxides. Further, an M. smegmatis mutant
(MS?osmC) deficient in OsmC exhibited reduced reduction of CHP and t-BHP than the
parental wild type strain, indicating that OsmC protein contributes significantly
for the total peroxide reductase activity of mycobacteria. The MS?osmC strain was
also sensitive to organic hydroperoxides, which could be reversed by
complementing with a plasmid borne osmC. Plasmid borne osmC also increased the
resistance of M. smegmatis wild type strain to isoniazid (INH) but at a
relatively lower level than ahpC, an organic hydroperoxide reductase. These
results suggest that OsmC plays an important role in peroxide metabolism and
protecting mycobacteria against oxidative stress.
PMID- 22088320
TI - Comparing efficacy of BCG/lactoferrin primary vaccination versus booster regimen.
AB - Lactoferrin is an iron binding glycoprotein possessing multiple immune modulatory
activities, including ability to affect macrophage cytokine production, promote
maturation of T- and B-lymphocyte and immature dendritic cells, and enhance the
ability of macrophages and dendritic cells to stimulate antigen-specific T-cells.
These characteristics of lactoferrin suggested that it could function as an
effective adjuvant enhance efficacy of the BCG, the current vaccine for
tuberculosis disease. Admix of lactoferrin to the BCG vaccine promoted host
protective responses that surpasses activity of the BCG vaccine alone as
determined by decreasing pulmonary pathology upon challenge with virulent
Mycobacterium tuberculosis (MTB). This study builds on previous reports by
examining the effectiveness of the lactoferrin adjuvant comparing primary
vaccination versus an immunization schedule with a booster administered at 8
weeks. BCG/lactoferrin vaccinating, given once or twice, demonstrated an
improvement in pulmonary disease compared to both the BCG vaccinated and non
immunized groups. The splenic recall profiles showed a difference in cytokine
production induced by mycobacterial antigen from splenocytes isolated from mice
immunized with BCG/lactoferrin once or twice. Production of IL-17 is increased in
the BCG/lactoferrin 2* group compared to the primary vaccinated group. Both
BCG/lactoferrin vaccinated group exhibited increase production of IFN-gamma
compared to the non-immunized group and decreased production of IL-10 compared to
the group vaccinated with only BCG. This study illustrates that the adjuvant
activity of lactoferrin to enhance BCG efficacy occurs whether the vaccination
regimen is a single delivery or combined with a booster, leading to enhanced host
protection and decreased disease manifestation.
PMID- 22088321
TI - Rapid DNA extraction for specific detection and quantitation of Mycobacterium
tuberculosis DNA in sputum specimens using Taqman assays.
AB - Rapid tuberculosis (TB) detection is critical for disease control, and further
quantitation of Mycobacterium tuberculosis (Mtb) in sputum is valuable for
epidemiological and clinical studies. We evaluated a simple, robust and cost
efficient in-house DNA extraction and downstream Taqman approach for detection
and quantitation of Mtb genomes from sputum of newly-diagnosed TB patients and
non-TB controls. DNA was extracted using guanidine isothiocyanate and silica
based spin columns in less than 2 h, stored frozen, and Taqman assays were used
to detect Mtb with IS6110 and quantify it targeting RD1 and IS1081. The Taqmans
had a sensitivity >95% in 108 culture-confirmed TB patients and specificity of
100% in 43 non-TB controls. Genome counts were correlated with the Mycobacterial
Growth Indicator Tubes' (MGIT) time-to-detection values (1/TTD * 1000; rho =
0.66; p < 0.001) in 91 TB patients (33 excluded with MGIT contamination). This
linear relationship was nearly identical between mycobacteria isolated from
sputum and H37Rv Mtb grown in-vitro to its log phase. TB treatment between 3 and
7 days was associated with lower 1/TTD * 1000 values but not with genome counts.
Together, our protocol provides rapid, specific, inexpensive and quantitative
detection of Mtb DNA in fresh or stored sputa making it a robust tool for prompt
TB diagnosis, and with potential use for clinical and epidemiologic studies.
PMID- 22088322
TI - Prolonged survival of scavenger receptor class A-deficient mice from pulmonary
Mycobacterium tuberculosis infection.
AB - The present study tested the hypothesis that the scavenger receptor SR-A
modulates granuloma formation in response to pulmonary infection with
Mycobacterium tuberculosis (MTB). To test this hypothesis, we monitored survival
and histopathology in WT and SR-A-deficient mice following aerosol infection with
MTB Rv. SR-A-deficient (SR-A-/-) mice infected with MTB survived significantly
longer than WT mice; the mean survival of SR-A-/- mice exceeded 430 days compared
to 230 days for WT mice. Early granuloma formation was not impaired in SR-A-/-
mice. The extended survival of SR-A-/- mice was associated with 13- and 3-fold
higher number of CD4+ lymphocytes and antigen presenting cells in SR-A-/- lungs
compared to WT mice 280 after infection. The histopathology of chronically
infected SR-A-/- lungs, however, was marked by abundant cholesterol clefts in
parenchymal lesions containing infection in multinucleated giant cells. The
present study indicates SR-A as a candidate gene of the innate immune system
influencing the chronic phase of M. tuberculosis infection.
PMID- 22088323
TI - Giving TB wheels: Public transportation as a risk factor for tuberculosis
transmission.
AB - Previous geospatial analysis of the well-defined Houston Tuberculosis Initiative
(HTI) database identified an association between the use of city-bus
transportation (inclusive of time onboard) and Tuberculosis (TB) incidence in
Houston/Harris County census tracts (paper submitted). This paper is an extension
of those findings. Contact investigations on school buses have reported a high
rate of positive tuberculin skin tests in the persons traveling with the index
case and have shown an association with bus ride duration. In Houston, city bus
routes are veins connecting even the most diverse of populations within the
metropolitan area. Among HTI participants, TB patients who reported weekly bus
use were more likely to have demographic and social risk factors associated with
poverty, immune suppression and health disparities. An equal proportion of bus
riders and non-bus riders were cultured for Mycobacterium tuberculosis (MTB), yet
75% of bus riders were clustered with a mean cluster size of 50.14, indicating
recent transmission, compared to 56% of non-bus riders (OR = 2.4, p < 0.001) with
a mean cluster size of 28.9 (p < 0.01). Individual bus routes, including one
route servicing the local hospitals, were found to be risk factors for endemic
MTB clustered strains and the routes themselves geographically connect the census
tracts previously identified as having endemic TB.
PMID- 22088324
TI - A quality assessment tool for tuberculosis control activities in resource limited
settings.
AB - Tuberculosis (TB) is a significant problem, infecting nearly 9 million new
patients per year and killing about 2 million a year. The primary means with
which to affect TB globally are to decrease transmission locally, mainly by
effective identification, diagnosis, and treatment of infectious TB patients.
Therefore, quality assurance of TB control efforts at the local level is
essential. This study describes the creation of a data extraction tool for
retrospective chart review based on the International Standards for TB Care, 2009
for the assessment of TB control programs located in resource limited settings.
The tool was field tested at a rural mission hospital in central Kenya. Results
were used by host site staff to develop a quality improvement plan. The process
prompted revision of the tool to clarify questions and answers. This is a tool
that can be used in resource limited settings for data collection to assess the
quality of TB care and to inform the design, implementation, and further
assessment of future quality improvement initiatives.
PMID- 22088325
TI - Primary care direct access MRI for the investigation of chronic headache.
AB - AIM: To assess the efficacy of a primary-care imaging pathway for neurology
outpatients, from inception to deployment, compared with traditional outpatient
referral. MATERIALS AND METHODS: After local agreement, guidelines were generated
providing pathways for diagnosis and treatment of common causes of headache,
highlighting "red-flag" features requiring urgent neurology referral, and
selecting patients for direct magnetic resonance imaging (MRI) referral. In
addition, reports were clarified and standardized. To evaluate the efficacy of
the access pathway, a retrospective sequential review of 100 MRI investigations
was performed comparing general practitioner (GP) referral, with traditional
neurology referral plus imaging, acquired before the pathway started. RESULTS: No
statistically significant difference in rates of major abnormalities, incidental
findings or ischaemic lesions were identified between the two cohorts. Reported
patient satisfaction was high, with a cost reduction for groups using the
pathway. CONCLUSION: The findings of the present study suggest that a defined
access pathway for imaging to investigate chronic headache can be deployed
appropriately in a primary-care setting.
PMID- 22088326
TI - Where do locking screws purchase in the humeral head?
AB - INTRODUCTION: One of the limiting factors in finding the best osteosynthesis
approach in proximal humerus fractures is the current lack of information on the
properties of the cancellous bone regions engaged by the implants fixing the
epiphysis. The aim of this study is to assess the densitometric and mechanical
characteristics of these regions when using a proximal humerus locking plate
(PHLP). MATERIALS AND METHODS: Nineteen PHLPs were mounted on cadaveric humeri
using only their three most distal screws. Subsequently, the plates were removed
and the bones were scanned using high-resolution peripheral quantitative computed
tomography. Bone mineral density (BMD) was determined in the intact proximal
epiphysis and in the exact locations where the six proximal screws would have
been positioned concluding the instrumentation. Each plate was then repositioned
on its bone and a minimally destructive local torque measurement was performed in
the same six locations. A statistical analysis was performed to detect
significant differences in the investigated parameters between screw positions,
and to test the ability of local torque values to discriminate the bone mineral
density of the entire humeral head (BMD(TOT)). RESULTS: Novel data about the
cancellous bone engaged by the screws of a PHLP are provided. Different
epiphyseal locations showed statistically significant different properties. A
local torque measurement was a good predictor of the BMD(TOT). CONCLUSION:
Position and direction of the epiphyseal screws on a locking implant are
determinant to engage bone regions with significantly better bone quality. A
breakaway torque measurement in a given screw position can distinguish between
humeral heads with different densitometric properties.
PMID- 22088327
TI - Vitamin D levels in post-menopausal Korean women with a distal radius fracture.
AB - INTRODUCTION: The purpose of this study was to investigate serum levels of
vitamin D in post-menopausal Korean women with a distal radius fracture (DRF) and
to determine if there is any association between vitamin D levels and bone
related variables such as bone mineral densities (BMDs), serum parathyroid
hormone (PTH) levels and several bone turnover markers. MATERIALS AND METHODS:
The data of 104 postmenopausal women surgically treated for a distal radius
fracture (DRF group) and 107 age-matched control patients without a fracture
(control group) were compared. Serum vitamin D levels (25-hydroxycholecalciferol,
25(OH)D(3)) were compared between the groups with consideration of age and
seasonal variations. BMDs, serum PTH and several bone turnover markers, including
serum osteocalcin, C-telopeptide and urine N-telopeptide, were measured and
analysed to find any association with vitamin D levels. RESULTS: The mean
25(OH)D(3) level was significantly lower in the DRF group compared to the control
group (p < 0.001). In particular, patients in their sixth and seventh deciles in
the DRF group had significantly lower 25(OH)D(3) levels than patients in the
control group (p = 0.001 and 0.013, respectively). When seasonal variation was
considered, significant differences of 25(OH)D(3) levels were found between the
groups in autumn and winter. Hip BMDs were significantly lower in the DRF group
than in the control group, and there was a positive correlation between serum
25(OH)D(3) levels and hip BMDs. Bone turnover markers were not significantly
different between the two groups, although serum PTH levels were marginally
higher in the DRF group (p = 0.08). CONCLUSIONS: Post-menopausal Korean women
with a DRF were found to have significantly lower serum vitamin D levels than the
control group, and vitamin D levels were particularly lower in women in their
sixth and seventh deciles who may be a good target group for prevention of future
fractures. Future investigation should focus on determining whether vitamin D
supplementation can be helpful in preventing future fractures in patients with a
DRF.
PMID- 22088328
TI - Effects of microcystin-LR exposure on matrix metalloproteinase-2/-9 expression
and cancer cell migration.
AB - This study assessed the effects of microcystin-LR (MC-LR) exposure on matrix
metalloproteinases (MMPs) expression and cancer cell migration. After male mice
were orally administered with different concentrations of MC-LR for 270 d,
histopathologic observation revealed an obvious hepatic lymphocyte infiltration
or fatty degeneration. Immunohistochemical staining and enzyme-linked
immunosorbent assay demonstrated that MC-LR treatment (even at 1 nM) caused up
regulated expressions of hepatic MMP-2/-9. Quantitative reverse-transcriptase PCR
showed that the exposure to 80 nM MC-LR induced an increase of MMP-2/-9 mRNA
levels by 1.0 and 1.9 fold. Breast cancer cells (MDA-MB-435s) were also cultured
with MC-LR solutions and a wound healing assay demonstrated that MC-LR posed a
time/dose-dependent stimulation effect on migration of the cancer cells. Gelatin
electrophoresis and quantitative PCR showed significant increases in cellular MMP
2/-9 expressions after MC-LR exposure. This study indicated that chronic exposure
to MC-LR could alter MMP-2/-9 expressions and stimulate cancer cell migration.
PMID- 22088329
TI - An in situ test to explore the responses of Scenedesmus acutus and Lepocinclis
acus as indicators of the changes in water quality in lowland streams.
AB - This bioassay was designed with the aim of exploring the responses of two wild
planktonic microalgae exposed in situ (72 h) as indicators of the changes in
water quality. Monocultures of both strains within dialysis membrane bags were
placed at two sites in a small lowland stream. Site 1 is located at a suburban
area with low horticultural activity and Site 2 is impacted by toxic industrial
discharges and urban land use. There was a decrease in population growth of both
species at Site 2 compared with Site 1. The comparison of the algae exposed in
situ with the normal specimens cultured at the laboratory indicated a significant
increase in the cellular volume for both species at both sites. Abnormal shape
was recorded at both sites, the percentage being significantly greater for
Scenedesmus acutus at Site 2. Significant changes in pyrenoids size were observed
in S. acutus and in the percentage of fragmented nuclei in Lepocinclis acus. Also
in the latter abnormal paramylon grains were observed. These responses were
accentuated at Site 2. This bioassay was sensitive, short term, low cost, and
therefore is a suitable tool to contribute with the monitoring and ecological
risk assessment of lowland streams.
PMID- 22088330
TI - Biphasic effects of lanthanum on Vicia faba L. seedlings under cadmium stress,
implicating finite antioxidation and potential ecological risk.
AB - In the present study, lanthanum (La) as a representative REE was used to explore
the mechanisms for alleviation of Cd-induced oxidative damage by extraneous La at
appropriate concentrations, and to assess ecological risk of combination of Cd
and La at higher concentrations in roots of Vicia faba L. seedlings. The
seedlings were hydroponically cultured for 15 d under nutrient solution, 6 MUmol
L(-1) CdCl(2), and combination of 6 MUmol L(-1) CdCl(2) and increasing
concentrations of La, respectively. The results showed that the supplementation
with low concentrations of exogenous La (<120 MUmol L(-1)) led to reduced
contents of Cd, Ca, Cu, Zn, Mn or Fe element and increased activities of
superoxide dismutase (SOD), catalase (CAT), guaiacol peroxidase (GPX) and
ascorbate peroxidase (APX) isozymes as well as heat shock protein 70 (HSP 70)
production in the roots. However, the supplementation with higher La (>120 MUmol
L(-1)) showed the adverse effects. The contents of Cd elevated above the single
Cd treatment in the roots, accompanying with the decline of antioxidant isozyme's
activities and HSP 70, and increment of carbonylated proteins and endoprotease
isozyme's activities. The results also showed that the root growth was not only
related to carbonylated proteins, but also to indole acetic acid oxidase
activities. Therefore, the supplemented extraneous La contributed to biphasic
effects: stimulated antioxidation at lower concentrations and pro-oxidation at
higher concentrations against Cd-induced oxidative stress in the roots.
PMID- 22088331
TI - The cost-effectiveness of immediate treatment, percutaneous biopsy and active
surveillance for the diagnosis of the small solid renal mass: evidence from a
Markov model.
AB - PURPOSE: The most effective diagnostic strategy for the very small, incidentally
detected solid renal mass is uncertain. We assessed the cost-effectiveness of
adding percutaneous biopsy or active surveillance to the diagnosis of a 2 cm or
less solid renal mass. MATERIALS AND METHODS: A Markov state transition model was
developed to observe a hypothetical cohort of healthy 60-year-old men with an
incidentally detected, 2 or less cm solid renal mass, comparing percutaneous
biopsy, immediate treatment and active surveillance. The primary outcomes
assessed were the incremental cost-effectiveness ratio measured by cost per life
year gained at a willingness to pay threshold of $50,000. Model results were
assessed by sensitivity analysis. RESULTS: Immediate treatment was the highest
cost, most effective diagnostic strategy, providing the longest overall survival
of 18.53 life-years. Active surveillance was the lowest cost, least effective
diagnostic strategy. On cost-effectiveness analysis using a societal willingness
to pay threshold of $50,000 active surveillance was the preferred choice at a
$75,000 willingness to pay threshold while biopsy and treatment were acceptable
($56,644 and $70,149 per life-year, respectively). When analysis was adjusted for
quality of life, biopsy dominated immediate treatment as the most cost-effective
diagnostic strategy at $33,840 per quality adjusted life-year gained.
CONCLUSIONS: Percutaneous biopsy may have a greater role in optimizing the
diagnosis of an incidentally detected, 2 cm or less solid renal mass.
PMID- 22088332
TI - Comparative outcomes of pure squamous cell carcinoma and urothelial carcinoma
with squamous differentiation in patients treated with radical cystectomy.
AB - PURPOSE: We compared clinical outcomes, and identified predictors of cancer
specific and overall survival after radical cystectomy in patients with
urothelial carcinoma with squamous differentiation and those with pure squamous
cell carcinoma. MATERIALS AND METHODS: We reviewed data on 2,031 patients treated
with radical cystectomy and pelvic lymph node dissection at a single high volume
referral center. Of these patients 78 had squamous cell carcinoma and 67 had
squamous differentiation. Survival estimates by histological subtype were
described using Kaplan-Meier methods. Within histological subtypes pathological
stage, nodal invasion, soft tissue margins, age and gender were evaluated as
predictors of cancer specific survival and overall survival using univariate Cox
regression. RESULTS: Median followup was 44 months. Of 104 patient deaths 60 died
of their disease. We did not find a statistically significant difference between
survival curves of patients with squamous cell carcinoma and squamous
differentiation (log rank overall survival p = 0.6, cancer specific survival p =
0.17). Positive soft tissue margins were associated with worse cancer specific
survival (HR 6.92, 95% CI 2.98-16.10, p <=0.0005) and overall survival (HR 3.68,
95% CI 1.84-7.35, p <=0.0005) in patients with pure squamous cell carcinoma.
Among patients with squamous differentiation, pelvic lymphadenopathy was
associated with decreased overall survival (HR 2.52, 95% CI 1.33-4.77, p = 0.004)
and cancer specific survival (HR 3.23, 95% CI 1.57-6.67, p = 0.002). CONCLUSIONS:
There appears to be no evidence of a difference in cancer specific survival or
overall survival between patients with squamous cell carcinoma and those with
squamous differentiation treated with radical cystectomy and pelvic lymph node
dissection. Patients with squamous differentiation and tumor metastases to pelvic
lymph nodes should be followed more closely, and adjuvant treatment should be
considered to improve survival. Wide surgical resection is critical to achieve
local tumor control and improve survival in patients with squamous cell
carcinoma.
PMID- 22088333
TI - Influence of renal excretory function on the performance of urine based markers
to detect bladder cancer.
AB - PURPOSE: In hematuria cases urine based tests are used to detect bladder cancer,
although the diagnostic yield remains insufficient due to influencing variables,
including urinary tract infection. Many patients are elderly with renal
insufficiency and have proteinuria as an additional influencing factor. To our
knowledge no data are available on the accuracy of urine based bladder cancer
tests in conjunction with renal function. MATERIALS AND METHODS: Urine samples of
449 patients with hematuria and histology were included in analysis. Cytology,
fluorescence in situ hybridization, immunocytology and nuclear matrix protein 22
assay were done. Renal function was classified as normal, impaired or severely
impaired based on serum creatinine, the glomerular filtration rate and
proteinuria. False-positive rates were statistically compared in regard to renal
function. RESULTS: A total of 382 patients did not have bladder cancer. There was
an increased false-positive rate for creatinine and the glomerular filtration
rate. The nuclear matrix protein 22 test showed a 22.0% and 46.7% false-positive
rate in the normal and limited function cohorts, respectively (p = 0.05). Similar
trends were noted for proteinuria. Indeterminate significance was detected,
separating those with severely impaired function for immunocytology and those in
the normal group for fluorescence in situ hybridization (p = 0.08 and 0.06,
respectively). Proteinuria was a significant factor for urine cytology with
increased false-positive results in the absence of urinary tract infection (p =
0.0017 and 0.05, respectively). CONCLUSIONS: To our knowledge this is the first
study of renal function and the accuracy of urine based bladder cancer markers.
Renal function influences the diagnostic yield. A decreased glomerular filtration
rate was associated with increased false-positive nuclear matrix protein 22
results while proteinuria decreased urine cytology specificity. Renal function
should be considered when urine based bladder cancer tests are interpreted.
PMID- 22088334
TI - Utilization trends at a multidisciplinary prostate cancer clinic: initial 5-year
experience from the Duke Prostate Center.
AB - PURPOSE: The multidisciplinary approach is becoming increasingly encouraged but
little is known about the multidisciplinary experience compared to routine care.
For patients with prostate cancer the goal is to provide evaluations by
urologists, medical and radiation oncologists at a single visit. Although
additional resources are required, this strategy may enhance the overall health
care experience. We compared utilization determinants between a multidisciplinary
and a urology prostate cancer clinic at Duke University Medical Center and
identified factors associated with pursuing treatment at the university medical
center for multidisciplinary clinic patients. MATERIALS AND METHODS: We
retrospectively analyzed data on patients referred for primary prostate cancer
treatment evaluation at Duke University Medical Center from 2005 to 2009.
Comparisons between 701 multidisciplinary clinic and 1,318 urology prostate
cancer clinic patients were examined with the rank sum and chi-square tests.
Predictive factors for pursuing treatment at the university medical center were
assessed using multivariate adjusted logistic regression. RESULTS: Compared to
patients at the urology prostate cancer clinic those at the multidisciplinary
clinic were more likely to be younger and white, have a higher income and travel
a longer distance for evaluation. Of multidisciplinary clinic patients 58%
pursued primary treatment at the university medical center. They were more likely
to be younger, black and physician referred, have a lower income and reside
closer to the medical center. Factors predictive of pursuing treatment at the
medical center included high risk disease and physician referral. Factors
predictive of not receiving care at the university medical center were income
greater than $40,000 and a distance traveled of greater than 100 miles.
CONCLUSIONS: A different patient demographic is using the multidisciplinary
approach. However, when treatment is pursued at the institution providing
multidisciplinary services, the patient demographic resembles that of the
treating institution.
PMID- 22088335
TI - Clinical and pathological features associated with prognosis in patients with
papillary renal cell carcinoma.
AB - PURPOSE: We determined the clinical and pathological features associated with
death from papillary renal cell carcinoma in 395 surgically treated patients.
MATERIALS AND METHODS: Papillary renal cell carcinoma tissue slides from each
patient were reviewed for type (1 or 2), grade, TNM stage, coagulative tumor
necrosis and sarcomatoid differentiation. Associations of clinical and
pathological features with death from renal cell carcinoma were evaluated using
Cox proportional hazards regression models and summarized by the HR and 95% CI.
Cancer specific survival was estimated using the Kaplan-Meier method. RESULTS:
Univariate analysis revealed that symptoms, tumor thrombus, tumor size,
perinephric/renal sinus fat invasion, 2010 primary tumor classification, regional
lymph node involvement, distant metastasis, 2010 TNM stage group, grade, tumor
necrosis, sarcomatoid differentiation and papillary renal cell carcinoma type
were associated with death from renal cell carcinoma. Grade was more strongly
associated with death from renal cell carcinoma than papillary renal cell
carcinoma type. Multivariate analysis indicated that symptoms, 2010 TNM stage
group and grade jointly were significantly associated with death from renal cell
carcinoma. CONCLUSIONS: This large series of patients with papillary renal cell
carcinoma reveals features associated with death from renal cell carcinoma and
confirms that grade is more predictive of outcome than papillary renal cell
carcinoma type.
PMID- 22088336
TI - Incidental detection of renal cell carcinoma is an independent prognostic marker:
results of a long-term, whole population study.
AB - PURPOSE: The true effect of incidental detection on the survival of patients with
renal cell carcinoma has been debated. We used centralized databases in Iceland
to study prognostic factors of survival, focusing on the effect of incidental
detection. MATERIALS AND METHODS: This retrospective study included all living
patients diagnosed with renal cell carcinoma in Iceland from 1971 to 2005.
Hospital charts and histology were reviewed. Incidentally diagnosed renal cell
carcinomas were compared to symptomatic tumors and prognostic factors were
evaluated using Cox multivariate analysis. RESULTS: Of the 910 patients 254
(27.9%) were diagnosed incidentally, most often by abdominal ultrasound (29.5%)
or computerized tomography (28.3%). The incidental detection rate increased from
11.1% in 1971 through 1975 to 39.2% in 2001 through 2005 (p <0.001). During the
same period the incidence increased significantly in males but in females only
during the last 5 study years. Mortality remained unchanged for each gender.
Incidentally detected tumors were an average of 2.6 cm smaller and diagnosed at
lower stage and lower grade than symptomatic tumors. Age and histology were
similar in each group. TNM stage was by far the strongest independent prognostic
factor of survival but age, calendar year of diagnosis and ESR were also
significant. After correcting for confounders patients with symptomatic renal
cell carcinoma had worse survival than those diagnosed incidentally. CONCLUSIONS:
With increased incidence and unchanged mortality the survival of patients with
renal cell carcinoma has improved. This is mainly related to a steep increase in
incidental detection. Incidental detection affects survival favorably and to a
greater extent than can be explained by lower stage compared to the survival of
patients diagnosed with symptoms.
PMID- 22088337
TI - The population level prevalence and correlates of appropriate and inappropriate
imaging to stage incident prostate cancer in the medicare population.
AB - PURPOSE: According to guidelines most men with incident prostate cancer do not
require staging imaging. We determined the population level prevalence and
correlates of appropriate and inappropriate imaging in this cohort. MATERIALS AND
METHODS: We performed a cross-sectional study of men 66 to 85 years old who were
diagnosed with prostate cancer in 2004 and 2005 from the SEER (Surveillance,
Epidemiology and End Results)-Medicare database. Low risk (no prostate specific
antigen greater than 10 ng/ml, Gleason score greater than 7 or clinical stage
greater than T2) and high risk (1 or more of those features) groups were formed.
Inappropriate imaging was defined as any imaging for men at low risk and
appropriate imaging was defined as bone scan for men at high risk as well as
pelvic imaging as appropriate. Logistic regression modeled imaging in each group.
RESULTS: Of 18,491 men at low risk 45% received inappropriate imaging while only
66% of 10,562 at high risk received appropriate imaging. For patients at low risk
inappropriate imaging was associated with increasing clinical stage (T2 vs T1 OR
1.35, 95% CI 1.27-1.44), higher Gleason score (7 vs less than 7 OR 1.80, 95% CI
1.69-1.92), increasing age and comorbidity as well as decreasing education.
Appropriate imaging for men at high risk was associated with lower stage (T4, T3
and T2 vs T1 OR 0.63, 95% CI 0.48-0.82, OR 0.67, 95% CI 0.60-0.80 and OR 0.87,
95% CI 0.80-0.86) and with higher Gleason score (greater than 8 and 7 vs less
than 7 OR 2.18, 95% CI 1.92-2.48 and 1.51, 95% CI 1.35-1.70, respectively) as
well as with younger age, white race, higher income, lower stage and more
comorbidity. CONCLUSIONS: We found poor adherence to imaging guidelines for men
with incident prostate cancer. Understanding the patterns by which clinicians use
imaging for prostate cancer should guide educational efforts as well as research
to suggest evidence-based guideline improvements.
PMID- 22088338
TI - Population based analysis of the increasing incidence of kidney cancer in the
United States: evaluation of age specific trends from 1975 to 2006.
AB - PURPOSE: An increase in kidney cancer was reported in the United States but
overall rates may obscure trends in age specific groups. We explored whether the
increase in kidney cancer incidence differs across age groups. MATERIALS AND
METHODS: We ascertained the 63,843 incident renal cancer cases in SEER
(Surveillance, Epidemiology and End Results) cancer registries for 1975 to 2006.
Yearly incidence rates of renal cancer were calculated and stratified by age
group. Age specific trends in cancer diagnosis were evaluated by Poisson
regression. RESULTS: From 1975 to 2006 the overall age adjusted renal cancer
incidence increased 238% from 7.4/100,000 to 17.6/100,000 adults. From 1991 to
2006 the mean age at diagnosis decreased from 64.7 to 62.7 years and the
proportion of patients diagnosed before age 65 years increased from 45.9% to
55.3%. Age specific incidence rates of renal cancer increased in all age groups
from 1975 to 2006 (p <0.0001). However, the rates changed at different rates.
Overall renal cancer diagnosis in the youngest age group (20 to 39 years)
increased more quickly than all other age groups (p <0.0001). The renal cancer
incidence in patients 60 to 69 and 70 to 79 years old increased more rapidly than
in those 40 to 49 and 50 to 59 years old (p <0.01). CONCLUSIONS: In the last 15
years mean age at diagnosis of renal cancer has decreased. During our study
period the most rapidly increasing kidney cancer incidence was seen in those
younger than 40 and 60 to 79 years old. The renal cancer increase in younger
patients should direct attention toward further evaluation of renal cancer risk
factors.
PMID- 22088339
TI - A nomogram to predict the duration of drainage in patients with penile cancer
treated with inguinal lymph node dissection.
AB - PURPOSE: We developed a nomogram to predict the duration of drainage in patients
with penile cancer treated with inguinal lymph node dissection. MATERIALS AND
METHODS: A total of 111 groin basins in 56 patients who underwent radical
inguinal lymph node dissection for penile cancer were retrospectively assessed.
We retrieved the clinicopathological factors from the medical records including
age, body mass index, albumin, smoking history, hypertension, diabetes,
preoperative radiotherapy/chemotherapy, palpable lymph nodes, previous lymph node
biopsy, total number of resected lymph nodes and ratio of positive lymph nodes.
The criterion of drain removal was total drain output of 50 ml or less per day
for 2 days starting from postoperative day 3. A multivariate Cox proportional
hazards model was used to explore the risk factors of drainage duration and
variable selection was performed according to Akaike's information criteria. A
nomogram was built based on regression coefficients and internally validated with
200 bootstrap resamples. RESULTS: Median postoperative drainage duration was 7
days. The prediction model using pretreatment factors showed a concordance index
of 0.55. With the addition of lymph node related variables a second model was
constructed which produced a better concordance index (0.65) and good
calibration. On multivariate analysis young age, high body mass index, total
number of resected lymph nodes and ratio of positive lymph nodes were independent
predictors of prolonged lymphatic drainage. CONCLUSIONS: On the basis of readily
obtained clinicopathological variables we developed a nomogram to predict the
duration of lymphatic drainage which, if externally validated, could be helpful
for patient consultation, treatment decision making and clinical trial design.
PMID- 22088341
TI - Urological manifestations of sarcoidosis.
AB - PURPOSE: We describe the urological manifestations of sarcoidosis and how the
disease may affect the management of multiple urological conditions. MATERIALS
AND METHODS: We performed a PubMed(r) search using the query sarcoidosis and
multiple urological terms. RESULTS: Sarcoidosis is a disease that has variable
manifestations. There is often genitourinary involvement that is clinically
silent. However, sarcoidosis may cause symptoms, such as nephrolithiasis, which
are sometimes the first manifestation of the disease. Renal function may be
affected, and appropriate recognition and treatment may avert progressive
functional decline. The presence of sarcoidosis may also confound the diagnosis
and staging of various urological malignancies, particularly renal and testicular
carcinoma. CONCLUSIONS: Urologists should be aware of the urological
manifestations of sarcoidosis to avoid misdiagnoses and the over staging of
urological cancers, and to identify when it is an underlying cause of
nephrolithiasis or obstructive uropathy.
PMID- 22088340
TI - High dose brachytherapy as monotherapy for intermediate risk prostate cancer.
AB - PURPOSE: We evaluated our retrospective, single institution experience with high
dose rate brachytherapy as monotherapy for intermediate risk prostate cancer.
MATERIALS AND METHODS: Our cohort included 284 patients with intermediate risk
prostate cancer, defined as clinical stage T2b/T2c, Gleason score 7 and/or
prostate specific antigen 10 to 20 ng/ml, and 1-year minimum followup. Treatment
was 2 high dose rate brachytherapy sessions at 3 fractions of 6.5 Gy each for a
mean of 19 days. Prostate specific antigen failure was defined as nadir +2 ng/ml.
RESULTS: Mean followup was 35.1 months (median 31.9). Actuarial 5-year cause
specific survival and clinical local control were 100%, distant-metastasis-free
survival 98.8% and biochemical disease-free survival 94.4%. Clinical stage
predicted biochemical disease-free survival. For stage T2a or less 5-year
biochemical disease-free survival was 95.1% vs 100% for stage T2b and 77.4% for
T2c (p = 0.012). Percent positive biopsy cores and prostate specific antigen
nadir were also predictive. International Prostate Symptom Score results remained
stable and potency was maintained in 82.6% of patients at 2 years. Pads were used
for the first time after brachytherapy in 22 patients (7.7%), mostly for grade 1
incontinence (occasionally or less per week). Excluding patients with prior
transurethral prostatectomy, stroke or tremor 2.5% used pads for the first time
after treatment. No patient had urethral stricture. Radiation Therapy Oncology
Group grade 1 rectal toxicity developed in 12 patients (4.2%) but not beyond
grade 1. CONCLUSIONS: High dose rate brachytherapy as monotherapy is safe and
effective for patients with intermediate risk prostate cancer. We recommend
caution for percent positive biopsy cores exceeding 75% or clinical stage T2c.
Excluding such patients the 5-year biochemical disease-free survival rate was
97.5%.
PMID- 22088342
TI - A systematic review of the quality of evidence of ablative therapy for small
renal masses.
AB - PURPOSE: We critically assessed the methodological and reporting quality of
published studies of ablative techniques for small renal masses. MATERIALS AND
METHODS: We performed a systematic PubMed(r) and EMBASE(r) literature search from
January 1966 to March 2010 to identify all full text, original research
publications on ablative therapy for renal masses. Six reviewers working
independently in 3 teams performed duplicate data abstraction using Strengthening
the Reporting of Observational Studies in Epidemiology criteria, which were pilot
tested in a separate sample. RESULTS: A total of 117 original research
publications published in a 15-year period (1995 to 2009) met eligibility
criteria. No randomized, controlled trials were identified. All studies were
observational and 88.9% had 1 arm with no comparison group. Median sample size
was 18 patients (IQR 5.5, 40.0) and 53.8% of studies included 20 or fewer
patients. Median followup was 14.0 months (IQR 8.0, 23.8) and only 19.7% of
studies had an average followup of greater than 24 months. Of the studies 20.5%
mentioned the number of operators involved and only 6.0% provided information on
their experience level. Of the studies 66.7% addressed the recurrence rate.
Disease specific and overall survival was reported in only 15.4% and 16.2% of
studies, respectively. CONCLUSIONS: The published literature on the therapeutic
efficacy of ablative therapy for renal masses is largely limited to uncontrolled,
1-arm observational studies. In the absence of higher quality evidence ablative
therapy outside research studies should be limited to select patients who are not
candidates for surgical intervention.
PMID- 22088343
TI - Bladder pain syndrome/interstitial cystitis in twin sisters.
AB - PURPOSE: We determined the genetic contribution of and associated factors for
bladder pain syndrome using an identical twin model. MATERIALS AND METHODS:
Multiple questionnaires were administered to adult identical twin sister pairs.
The O'Leary-Sant Interstitial Cystitis Symptom and Problem Index was administered
to identify individuals at risk for bladder pain syndrome. Potential associated
factors were modeled against the bladder pain syndrome score with the twin pair
as a random effect of the factor on the bladder pain syndrome score. Variables
that showed a significant relationship with the bladder pain syndrome score were
entered into a multivariable model. RESULTS: In this study 246 identical twin
sister pairs (total 492) participated with a mean age (+/- SD) of 40.3 +/- 17
years. Of these women 45 (9%) were identified as having a moderate or high risk
of bladder pain syndrome (index score greater than 13). There were 5 twin sets
(2%) in which both twins met the criteria. Correlation of bladder pain syndrome
scores within twin pairs was estimated at 0.35, suggesting a genetic contribution
to bladder pain syndrome. Multivariable analysis revealed that increasing age
(estimate 0.46 [95% CI 0.2, 0.7]), irritable bowel syndrome (1.8 [0.6, 3.7]),
physical abuse (2.5 [0.5, 4.1]), frequent headaches (1.6 [0.6, 2.8]), multiple
drug allergies (1.5 [0.5, 2.7]) and number of self-reported urinary tract
infections in the last year (8.2 [4.7, 10.9]) were significantly associated with
bladder pain syndrome. CONCLUSIONS: Bladder pain syndrome scores within twin
pairs were moderately correlated, implying some genetic component. Increasing
age, irritable bowel syndrome, frequent headaches, drug allergies, self-reported
urinary tract infections and physical abuse were factors associated with higher
bladder pain syndrome scores.
PMID- 22088344
TI - The impact of cyclooxygenase-2 and vascular endothelial growth factor C
immunoexpression on the prognosis of penile carcinoma.
AB - PURPOSE: We assessed the influence of cyclooxygenase-2 and vascular endothelium
growth factor-C immunoexpression on groin metastasis and cancer survival, and
their association with histological variables in patients with penile carcinoma.
MATERIALS AND METHODS: We evaluated the histological and cyclooxygenase
2/vascular endothelium growth factor-C immunohistochemical profiles of patients
with penile carcinoma treated at a single institution between 2001 and 2008.
Univariate and multivariate analysis was done to determine the impact of
histological and immunohistochemical markers on the risk of inguinal metastasis
and on cancer survival. Survival analysis of relevant variables was also done.
RESULTS: Of the 127 patients enrolled 76 and 30 had positive cyclooxygenase-2 and
vascular endothelium growth factor-C immunoexpression, respectively. Univariate
analysis identified an association between vascular endothelium growth factor-C
immunoexpression and groin metastasis, and certain histological variables.
Logistic regression showed that high tumor grade, Jackson stage and vascular
endothelium growth factor-C immunoexpression were independent predictors of
inguinal metastasis. Cancer survival was only influenced by advanced Jackson
stage and groin metastasis. CONCLUSIONS: Findings suggest that vascular
endothelium growth factor-C expression may help identify patients with an
unfavorable clinical course of penile carcinoma. Cyclooxygenase-2 did not alter
the risk of groin metastasis or cancer death. Inguinal disease and advanced
Jackson stage were independent prognostic factors for worse cancer survival.
PMID- 22088345
TI - Penile rehabilitation after radical prostatectomy: pro.
PMID- 22088346
TI - Varicoceles and treatment of infertility--have we made any progress?
PMID- 22088347
TI - Pediatric urology: a "grown-up" subspecialty.
PMID- 22088348
TI - The usefulness of prostate cancer genome-wide association studies.
PMID- 22088349
TI - To V(CUG) or not to V(CUG)--can we answer the question?
PMID- 22088350
TI - Accuracy of sentinel lymph node biopsy for inguinal lymph node staging of penile
squamous cell carcinoma: systematic review and meta-analysis of the literature.
AB - PURPOSE: Sentinel lymph node biopsy is emerging as a promising method for
inguinal lymph node staging of penile squamous cell carcinoma. In the current
systematic review we evaluated the accuracy of sentinel lymph node biopsy for
inguinal lymph node staging of penile squamous cell carcinoma and studied
possible influential factors. MATERIALS AND METHODS: MEDLINE(r), Scopus(r),
ISI(r), Ovid SP(r), Springer, ScienceDirect(r) and GoogleTM Scholar were searched
by the key words "(penile OR penis) AND sentinel". No date or language limitation
was imposed on the search and meeting abstracts were not excluded from analysis.
A random effects model was used for statistical pooling. RESULTS: A total of 17
studies suitable for meta-analysis were detected. Three articles had 2 different
subgroups of patients and each subgroup was considered as a separate study.
Overall 18 studies (including the subgroups) were used for detection rate meta
analysis and 19 for sensitivity meta-analysis. The pooled detection rate was
88.3% (95% CI 81.9-92.6). Pooled detection rate of 90.1% (95% CI 83.6-94.1) was
calculated for the studies using blue dye and radiotracer. The pooled sensitivity
was 88% (95% CI 83-92). The highest pooled sensitivity (92% [95% CI 86-96]) was
in the studies using radiotracer and blue dye, and recruiting only cN0 cases.
CONCLUSIONS: Sentinel lymph node mapping in penile squamous cell carcinoma is a
method with a high detection rate and sensitivity. Using radiotracer and blue dye
for sentinel lymph node mapping and including only cN0 disease ensures the
highest detection rate and sensitivity.
PMID- 22088351
TI - Determination of free desmosine and isodesmosine as urinary biomarkers of lung
disorder using ultra performance liquid chromatography-ion mobility-mass
spectrometry.
AB - The elastin degradation products, desmosine (DES) and isodesmosine (IDES) are
highly stable, cross-linking amino-acids that are unique to mature elastin. The
excretion of DES/IDES in urine, in the free form and with associated peptide
fragments, provides an indicator of lung damage in chronic obstructive pulmonary
disease (COPD). A quantitative ion mobility-mass spectrometry (IM-MS) method has
been developed for the analysis of free DES/IDES in urine with deuterated IDES as
an internal standard. Resolution of DES/IDES isomers was achieved in less than
five minutes using ultra performance liquid chromatography (UPLC) combined with
ion pairing. The optimized UPLC-IM-MS method provided a linear dynamic range of
10-300 ng/mL and a limit of quantitation of 0.028 ng/mL for IDES and 0.03 ng/mL
for DES (0.55 ng and 0.61 ng on column respectively). The method reproducibility
(%RSD) was <4% for DES and IDES. The UPLC-IM-MS method was applied to the
analysis of urine samples obtained from healthy volunteers and COPD patients. The
DES/IDES concentrations in healthy and COPD urine showed an increase in DES (79%)
and IDES (74%) in the COPD samples, relative to healthy controls. The
incorporation of an IM separation prior to m/z measurement by MS was shown to
reduce non-target ion responses from the bio-fluid matrix.
PMID- 22088352
TI - Surrogate based accurate quantification of endogenous acetylcholine in murine
brain by hydrophilic interaction liquid chromatography-tandem mass spectrometry.
AB - Cholinergic dysfunction is known as a hallmark feature of Alzheimer's disease
(AD). Measurement of endogenous acetylcholine (ACh) in specific brain regions is
important in understanding the pathology of AD and in designing and evaluating
novel cholinomimetic agents for the treatment of AD. Since ACh is an endogenous
neurotransmitter, there is no real blank matrix available to construct standard
curves. It has been a challenging task to determine ACh in complex brain
matrices. To overcome these difficulties, we employed a surrogate analyte
strategy using ACh-d(4) instead of ACh to generate calibration curves and Ch-d(9)
as internal standard (IS). The brain samples were deproteinized by acetonitrile
with IS. Analytes and IS were separated by a HILIC column with the mobile phase
composed of 20 mM ammonium formate in water-acetonitrile (30:70, v/v, adjusted to
pH 3.0 with formic acid) and monitored in multiple reaction monitoring (MRM) mode
using a positive electrospray source. The concentrations of endogenous ACh were
calculated based on the peak area ratio of the analyte to the IS using a
regression equation for the corresponding surrogate standard (ACh-d(4)). The
lower limit of detection was 0.2 ng/mL and linearity was maintained over the
range of 10-1000 ng/mL. Compared to other currently available methods, this
approach offers improved accuracy and precision for efficient analysis of ACh.
The proposed method was proved successfully by evaluating the action of typical
acetylcholinesterase inhibitor huperzine A in senescence accelerated mouse prone
8 (SAMP8).
PMID- 22088353
TI - Extractive ethoxycarbonylation in high-temperature gas chromatography-mass
spectrometry based analysis of serum estrogens.
AB - A comprehensive gas chromatography-mass spectrometry (GC-MS)-based profiling was
developed as a practical assay for quantification of 18 endogenous estrogens in
serum samples. The present GC-MS method was conducted with the two-phase
extractive ethoxycarbonlyation (EOC) of the phenolic hydroxy groups of estrogen
with ethyl chlorformate combined with the non-polar n-hexane extraction. The
subsequent perfluoroacylation of aliphatic hydroxy groups with
pentafluoropropionyl anhydride (PFPA) was conducted. The serum samples were
separated through a high temperature GC column (MXT-1) within an 8-min run and
analyzed in selected-ion monitoring mode with good chromatographic properties for
18 estrogens as their EOC-PFP derivatives. The limit of quantification (LOQ) was
0.025-0.10 ng/mL for most estrogens analyzed except for E3 and 2-OH-E3 (0.5 ng/mL
each). The devised method was found to be linear over a 10(3)-fold concentration
range with a correlation coefficient (r(2)>0.992), whereas the precision (% CV)
and accuracy (% bias) ranged from 3.1 to 16.3% and from 93.5 to 111.1%,
respectively. Decreased 2-methoxy-17beta-estradiol levels were confirmed in
patients with preeclampsia than healthy pregnant women. This technique can be
used for a clinical diagnosis as well as understanding the pathogenesis in
estrogen-related disorders.
PMID- 22088354
TI - Lewisite metabolites detection in urine by liquid chromatography-tandem mass
spectrometry.
AB - A sensitive and simple method for the quantification and for the detection of 2
chlorovinylarsonous (CVAA) and 2-chlorovinylarsonic (CVAOA) acids was developed.
CVAA and CVOA are important biological markers in human and rat urine specific to
lewisite (chlorovinylarsonous chloride compounds) exposure. The developed assay
was based on the use of solid-phase extraction (SPE) followed by liquid
chromatography coupled to electrospray ionization (negative ion-mode) low-energy
collision dissociation-tandem mass spectrometry (ESI-CID-MS/MS). The method
demonstrated linearity over at least three orders of magnitude and had a
detection limit (LOD) of 0.5 ng/ml for CVAA and 3 ng/ml for CVAOA. The relative
standard deviations for the quality control samples ranged from 6 to 11%.
Application of this procedure was demonstrated in the lewisite animals exposure
model. Rats were exposed intravenously by no lethal doses of lewisite and markers
levels in urine samples were analyzed for 21 days post-exposure.
PMID- 22088355
TI - Selection of the derivatization reagent--the case of human blood cholesterol, its
precursors and phytosterols GC-MS analyses.
AB - Phytosterols (PS; beta-sitosterol and campesterol) and cholesterol precursors
(CP; desmosterol and lathosterol) have been suggested as important biochemical
markers of cholesterol intestinal absorption and liver biosynthesis,
respectively. Given that these compounds appear in human blood in low amounts,
sensitive and accurate methodology is required, such as gas chromatography-mass
spectrometry (GC-MS) the most frequently used. One of the most critical factors
of the GC analytical determination is the step of derivatization. Thus, the main
objective of the present study was compare and select the better (one out of
three) silylation mixtures as follows: N-methyl-N-(tert
butyldimethylsilyl)trifluoroacetamide/ammonium iodide (MTBSTFA:NH(4)I), N-O-bis
(trimethylsilyl) trifluoroacetamide/trimethylchlorosilane (BSTFA:TMCS), and N
methyl-N-(trimethylsilyl)-trifluoroacetamide/1,4
dithioerythritol/trimethyliodosilane (MSTFA:DTE:TMIS). The results of this study
are discussed and accompanied by a brief review on the importance and principles
of derivatization process, specifically in silylation reactions in GC-MS sterols
analyses. Furthermore, a scrutiny of some published results is presented, along
with additional information about mass spectral data of these potentially useful
compounds. Interestingly, the results of the study showed that from the three
validated methodologies, the selected one, based on the best relation
specificity/sensibility, is MSTFA:DTE:TMIS. With this silylation procedure for
simultaneous determination of PS and CP in human serum by GC-MS in selected ion
monitoring (SIM) mode, good linearity (r(2)>=0.931), precision (repeatability
ranging from 0.92 to 3.91 CV and intermediate precision ranging from 5.12 to
6.33) and recoveries (>=93.6%) were obtained. Thus, it proved to be a helpful
methodology in the quantification of predominant serum cholesterol origin in each
patient.
PMID- 22088356
TI - [Efficacy of intravitreal injections of ranibizumab compared to visudyne
phototherapy in myopic choroidal neovascularization associated with high myopia].
AB - INTRODUCTION: Myopic choroidal neovascularization (CNV) is the first cause of CNV
in young patients. The aim of this study was to compare the efficacy of
intravitreal injections (IVT) of ranibizumab with photodynamic therapy (PDT) in
this indication. PATIENTS AND METHODS: Retrospective comparative study analyzing
the visual acuity (VA) outcomes of CNV myopic patients treated with either IVT or
PDT. RESULTS: Twenty-seven eyes of 25 patients were treated with PDT (group 1)
and 18 eyes of 17 patients were treated with IVT of ranibizumab (group 2).
Demographic data were similar in the two groups. The median initial VA was 20/80
for group 1 and 20/160 for group 2 (P=0.37). At 1 year, the median VA was 20/80
for group 1 (P=0.32) and 20/63 for group 2 (P=0.04). A significant improvement in
VA was observed in 23.1% and in 27.3% of cases in groups 1 and 2, respectively
(P=0.53). A significant VA worsening was observed in 34.6% of cases in group 1
and in 9.1% of cases in group 2 (P=0.21). CONCLUSION: IVT of ranibizumab compared
to PDT treatment showed greater efficacy in this study.
PMID- 22088357
TI - Rapid and high resolution genotyping of all Escherichia coli serotypes using 10
genomic repeat-containing loci.
AB - Our laboratory has previously published two multiple-locus variable-number tandem
repeats analysis (MLVA) methods for rapid genotyping of Escherichia coli (E.
coli), which are now in routine use for surveillance and outbreak detection. The
first assay developed was specific for E. coli O157:H7; however this assay was
not suitable for genotyping other E. coli serotypes. A new generic MLVA-assay was
then developed with the capability of genotyping all E. coli serotypes. This
generic E. coli MLVA (GECM7) was based on polymorphism in seven variable number
of tandem repeats (VNTR) loci. GECM7 worked well with the majority of E. coli
serotypes; however we wanted to increase the resolution for this method based in
part of comparison with PFGE typing of E. coli O26:H11, where PFGE appeared to
display higher resolution. The GECM7 method was improved by adding three new
repeat-loci to a total of ten (GECM10), and a considerable increase in resolution
was observed (from 296 to 507 genotypes on the same set of strains).
PMID- 22088358
TI - Inactivation of Alternaria brassicicola spores by supercritical carbon dioxide
with ethanol entrainer.
AB - Supercritical carbon dioxide (SC-CO(2)) was used to inactivate fungal spores of
Alternaria brassicicola. The inactivation conditions were optimized using
response surface methodology (RSM). When the SC-CO(2)-entrainer (ethanol) system
was applied to fungal spores, the treatment time required for the complete
inactivation of fungal spores was substantially reduced.
PMID- 22088359
TI - Comparative evaluation of surgical outcome after removal of impacted mandibular
third molars using a Piezotome or a conventional handpiece: a prospective study.
AB - Our aim was to compare the use of a conventional rotary handpiece and a
Piezosurgical unit for extraction of lower third molars. We studied 40 patients,
who were allocated alternately to have the third molar removed with either the
handpiece or the Piezosurgical unit. Pain, trismus, and oedema were evaluated at
baseline and then postoperatively, together with paraesthesiae, on postoperative
days 1, 3, 5, 7, and 15. Damage to surrounding tissue was checked on the same day
whereas dry socket was evaluated from postoperative day 3 onwards. More patients
complained of pain in the conventional group, they also required more analgesics,
and they developed trismus more often than in the Piezosurgery group. There was
also significantly more postoperative swelling in the conventional group.
Patients were also evaluated using the subjective Postoperative Symptom Severity
(PoSSe) scale. Our results suggest that apart from some inherent limitations with
the Piezotome, it is a valuable alternative for extraction of third molars.
PMID- 22088360
TI - Clinical and radiological observation in a surgical series of 36 cases of fibrous
dysplasia of the skull.
AB - OBJECTIVES: To describe the clinical and radiological findings in a consecutive
series of patients diagnosed with fibrous dysplasia of the skull. PATIENTS AND
METHODS: A retrospective analysis of collected data for 36 patients with
histopathologically confirmed fibrous dysplasia involving the skull is presented.
The demographic data, clinical presentation, radiographic characteristics, and
the management of these patients were reviewed. RESULTS: All 36 patients in this
review were diagnosed with fibrous dysplasia involving at least part of the
skull. In this study, the most commonly involved area of the skull was the
frontal bone (52.78% of patients). The next most common area of skull was the
temporal bone (30.56% of patients), followed by the sphenoid bone (25% of
patients), the parietal bone (19.44% of patients), and orbital bone (13.89% of
patients). The principal clinical presentation included headache, local lump,
exophthalmos, visual disorder, cranial nerve paralysis, and facial malformation.
These patients were treated by surgical treatment, and several of our patients
underwent various degrees of reconstruction to optimize function. CONCLUSIONS:
Effective surgical treatment may improve the short-term outcome in these
patients, and a "tailored" surgical approach is necessary.
PMID- 22088361
TI - Patients with migraine correctly estimate the visual verticality.
AB - OBJECTIVE: We wanted to study otolith function by measuring the static subjective
visual vertical (SVV) in migraine patients and in controls with and without
kinetosis (motion sickness). METHODS AND MATERIAL: Forty-seven patients with
moderately severe migraine and 96 healthy controls were enrolled. Using a
questionnaire, persons with kinetosis were identified. The SVV test was performed
in a totally dark room. Subjects wore a stiffneck to stabilize the head in an
erect position. They were required to adjust an infrared line to the
gravitational vertical with a hand-held infrared remote controlled potentiometer.
RESULTS: The deviation of SVV in the group of migraine patients was not
significantly different from that of controls, regardless of whether an aura was
associated. SVV was not significantly influenced by the presence of dizziness/non
specific vertigo or kinetosis. CONCLUSION: Patients with moderately severe
migraine under prophylactic medication correctly estimate the visual verticality
in the headache-free period. It is suggested that a deviation of SVV in a
headache-free migraine patient may not be attributed to his migraine disorder as
such regardless whether kinetosis is associated.
PMID- 22088362
TI - Preliminary findings of the effects of autonomic dysfunction on functional
outcome after acute ischemic stroke.
AB - BACKGROUND AND PURPOSE: Impaired autonomic function is common in the acute
poststroke phase but little is known about its effects on functional outcome
after acute ischemic stroke. This study sought to investigate the impact of
autonomic dysfunction by Ewing's classification on functional outcome 2 months
after acute ischemic stroke. METHODS: 34 consecutive acute ischemic stroke
patients within 7 days after onset were enrolled. On admission, autonomic
function was assessed by Ewing's battery tests. Stroke severity was assessed by
the National Institutes of Health Stroke Scale (NIHSS), autonomy in activities of
daily living by the Barthel Index (BI), and global disability by the modified
Rankin Scale (mRS). BI and mRS were also evaluated 2 months after ischemic stroke
onset. RESULTS: On admission, eight patients were diagnosed as minor autonomic
dysfunction and 26 patients as relatively severe autonomic dysfunction. The
prevalence of relatively severe autonomic dysfunction in ischemic stroke patients
was 76.5%. There were no significant differences in baseline characteristics
between the minor and severe autonomic dysfunction groups. 2 months after stroke
onset, the mean BI score of patients with minor autonomic dysfunction and severe
autonomic dysfunction increased from 76.3+/-15.3 on admission to 95.0+/-7.1,
66.5+/-15.2 on admission to 74.8+/-15.9 respectively. The mean BI score after 2
month stroke onset and the change in BI from admission to 2-month outcome (delta
BI) in patients with severe autonomic dysfunction were lower than those in
patients with minor autonomic dysfunction (all P<0.05). CONCLUSIONS: Autonomic
dysfunction occurs in acute stroke patients. Relatively severe autonomic
dysfunction is related to an unfavorable functional outcome in patients with
acute ischemic stroke.
PMID- 22088363
TI - A grid-like hemi-field defect following a lacunar infarct in the lateral
geniculate nucleus.
PMID- 22088364
TI - Open vertebroplasty in the treatment of spinal metastatic disease.
AB - BACKGROUND: Metastatic spine tumors can cause vertebral body (VB) osteolysis,
microfractures, and/or compression fractures, and requires restabilization of the
VB. Curative or curettage surgery, such as vertebrectomy and reconstruction, is
currently the main method of treatment. In certain situations however, such as a
patient's poor condition, multi-level involvement, or a limited life expiration,
major surgery is not recommended. OBJECTIVE: To evaluate a new method of open
vertebroplasty (OVP) in the treatment of VB metastasis and to investigate the
indications for, limitations of, and the result of this new procedure. METHODS:
Between May 2007 and May 2010, the authors treated 18 patients with VB metastasis
disease by OVP. Patients consisted of 12 men and 6 women with a mean age of 53
years. All patients suffered severe back pain preoperatively (mean VAS score of
6.82). Spinal cord compression resulted in eight and isolated radicular
compression occurred in three of the 18 patients. In these 18 patients, 28
vertebral levels were treated: three levels in 3 patients; two levels in 4
patients; and one level in the remaining 11 patients. OVP was used accompanied by
vertebral fixation or medullary compression. RESULTS: The mean duration of the
OVP procedure was 37 min. Blood loss ranged from 50 to 150 ml. In all patients,
pain improved within seven days after the intervention, and the mean VAS score
decreased to 2.31. Patients who suffered from neurological dysfunction
preoperatively improved in Frankel degree (the result of spinal cord
decompression). Most patients reached an excellent score, according to the
Modified MacNab Criteria. Postoperative radiography revealed cement leaks into
pulmonary veins in one case. After OVP or PVP, all patients underwent
radiotherapy or chemotherapy. CONCLUSIONS: OVP has a comprehensive application in
metastasis spinal column disease, being easily performed and accompanied by few
complications. Importantly, this procedure allows decompression of the spinal
cord and consolidation of the VB, thus stabilizing the vertebral column. Results
from the clinic demonstrate that patients experience pain relief and neural
function recovery following the OVP procedure.
PMID- 22088365
TI - Association of total body and visceral fat mass with iron deficiency in
preadolescents: the Healthy Growth Study.
AB - The aim of the present study was to examine the associations of obesity,
percentage body fat and visceral fat mass with body Fe status in a representative
sample of 1493 schoolchildren aged 9-13 years. Anthropometric, body composition,
biochemical, clinical (Tanner stage, age of menarche) and dietary intake data
were collected. Fe deficiency (ID) was defined as transferrin saturation (TS) <
16 %; and Fe-deficiency anaemia (IDA) as ID with Hb < 120 g/l. Obese boys and
girls and those in the highest quartiles of percentage body fat mass had
significantly higher levels of serum ferritin (P <= 0.05) compared to their
normal-weight peers and those in the corresponding lowest quartiles. Similarly,
obese boys and girls and those in the highest quartiles of percentage body fat
and visceral fat mass had significantly lower levels of TS (P <= 0.05) compared
to normal-weight children and those in the corresponding lowest quartiles. The
prevalence of ID and IDA was significantly higher in boys and girls in the
highest quartiles of percentage body fat than in peers in the lowest quartile.
Higher quartiles of percentage body fat and visceral fat mass were the main
significant predictors of ID in boys, after controlling for other important
confounders, with OR of 2.48 (95 % CI, 1.26, 4.88) and 2.12 (95 % CI, 1.07,
4.19), respectively. Similar significant associations were observed for girls. In
conclusion, percentage body fat and visceral fat mass were positively associated
with ID in both sexes of preadolescents. These associations might be attributed
to the chronic inflammation induced by excess adiposity.
PMID- 22088367
TI - [Molecular pathology of multifocal prostate cancer and its clinical application].
PMID- 22088366
TI - Creativity and bipolar disorder: touched by fire or burning with questions?
AB - Substantial literature has linked bipolar disorder with creative accomplishment.
Much of the thinking in this area has been inspired by biographical accounts of
poets, musicians, and other highly accomplished groups, which frequently document
signs of bipolar disorder in these samples. A smaller literature has examined
quantitative measures of creativity among people with bipolar disorder or at risk
for the disorder. In this paper, we provide a critical review of such evidence.
We then consider putative mechanisms related to the link of bipolar disorder with
creativity, by drawing on literature outside of bipolar disorder on personality,
motivational, and affective predictors of creativity. Because so little research
has directly evaluated whether these factors could help explain the elevations of
creativity in bipolar disorder, we conclude with an agenda for future research on
the theoretically and clinically compelling topic of creativity in bipolar
disorder.
PMID- 22088368
TI - [Clinical and pathologic features of renal mucinous tubular and spindle cell
carcinoma].
AB - OBJECTIVE: To investigate the clinical and pathological features of the mucinous
tubular and spindle cell carcinoma (MTSCC) of the kidney. METHODS: Seven cases of
MTSCC were analyzed by gross examination and light microscopy. Immunostaining was
performed to detect the expression of CD10, CK7, CK18, CK19, Villin, EMA, P504S
and vimentin. The literature on this tumor was reviewed to discuss the
histological features of MTSCC and its clinical behavior. RESULTS: Three of 7
cases were male and the other 4 were female. The mean age of the patients was
48.2 years old, with a range from 39 to 61 years. All the patients presented no
symptom and their tumors were found by health examination. Tumors averaged 5.5 cm
in greatest dimension (range from 4.0 cm to 9.0 cm). The tumors were well
circumscribed without capsules, and the cut surfaces were solid and soft with
white-tan color. By light microscopy, tumors were composed of tightly packed,
small, elongated tubules with transitions to spindle cell components. Five cases
had mucinous stroma. Clear cell clusters, focal sarcomatoid differentiation,
papillations and foamy macrophages were seen in several cases.
Immunohistochemically, all 7 cases showed positive for CK7, five of 5 cases
positive for EMA, CK18 and P504S, four of 5 cases positive for CK19, but
heterogeneous for CD10, villin and vimentin expression. No evidence of local
recurrence or distant metastases was identified in the 5 patients with follow-up
information. CONCLUSIONS: The mucinous tubular and spindle cell carcinoma is a
low-grade and polymorphic neoplasm. The morphology of these tumors may not be
uniform with a wide histological spectrum. The tumors can be tubular predominant
or spindle cells predominant with scant to abundant mucinous stroma, which
coupled with the presence of other unusual features such as clear cells,
papillations, foamy macrophages, necrosis and sarcomatoid differentiation.
Immunohistochemically, MTSCC can express the markers from the proximal convoluted
tubules to collecting tubules.
PMID- 22088369
TI - [Mucinous tubular and spindle cell carcinoma of kidney: a clinicopathological
study].
AB - OBJECTIVE: To investigate the clinicopathological features, histogenesis and
prognosis of mucinous tubular and spindle cell carcinoma (MTSCC). METHODS: Five
MTSCCs were studied with histochemical, immunohistochemical staining, electron
microscopy, and review of the related literatures. RESULTS: Four cases of MTSCC
were females and one was male. Three patients presented with flank discomfort and
two were incidentally found with health examination. In gross examination, the
tumors were circumscribed. The cut surface was solid, gray-white, yellow or red.
Necrosis was present in one case of high-grade MTSCC. Microscopically, low-grade
MTSCC was mainly consisted of tubular, spindle cell and mucinous stroma with
relatively bland morphology, and mitoses were rare. While in the high-grade area
of one case, the cells were spindle or polymorphic with severe atypia and high
mitotic activity, without mucinous stroma and tubular structure. Mucin was
positive for Alcian blue. The neoplastic cells were positive for vimentin (5/5),
CKpan (5/5), CK7 (5/5), CK19 (5/5), 34betaE12 (1/5), EMA (5/5), E-cadherin (3/5),
CD10 (1/5), P504S (5/5), and CAM5.2 (5/5). The Ki-67 index was low (< or = 5%) in
the low-grade component, while it was high (15%) in the high-grade component.
Ultrastructural study showed short microvilli along glandular lumens. The nuclear
membrane was focally invaginated. Four cases were followed up for 3 to 52 months,
and recurrence and metastasis were not found. CONCLUSIONS: MTSCC occurs
predominantly in females and it is a rare kidney neoplasm. Most of MTSCCs are low
grade and the prognosis is relatively good. However, the patients of high-grade
MTSCC should be closely followed up.
PMID- 22088370
TI - [Correlation of 53BP1 gene mutation with prostatic adenocarcinoma].
AB - OBJECTIVE: To study the incidence of 53BP1 gene mutations in prostatic
adenocarcinoma and benign prostatic hypertrophy, and to analyze the relationship
between 53BP1 mutations and prostatic adenocarcinoma. METHODS: Genomic DNA
extraction, PCR amplification and gene sequencing were used to detect the
occurrence of 53BP1 gene mutations in 50 cases of prostatic adenocarcinoma. Ten
cases of benign prostatic hypertrophy were included as controls. RESULTS: Amongst
the 50 cases of prostatic adenocarcinoma studied, 15 showed genetic alterations
of 53BP1, including 4 cases with single nucleotide polymorphism. The mutation
rate was 24.0% (12/50). Seven of the 53BP1 mutations detected represented
missense mutations and none of them were situated in functionally important
domains. The other 4 were synonymous mutations, in which c. 4760G > T was
situated in Tudor domain. There was no obvious correlation between 53BP1 gene
mutations and the various clinicopathologic parameters of prostate adenocarcinoma
(P>0.05). CONCLUSION: Certain percentage of prostatic adenocarcinoma harbors
53BP1 mutations which may be involved in the carcinogenesis.
PMID- 22088371
TI - [Relationship between miR-218 and CDK6 expression and their biological impact on
glioma cell proliferation and apoptosis].
AB - OBJECTIVES: To investigate the relationship between the expression of miR-218 and
CDK6 in glioma cells, and their biological impacts on the tumor cell
proliferation and apoptosis. METHODS: Expression levels of miR-218 as well as
CDK6 and Ki-67 proteins were analyzed in 60 cases of gliomas with various grades
and 10 control brain tissue samples by tissue microarray, locked oligonucleotide
probe in situ hybridization and immunohistochemistry. Glioblastoma multiform cell
line (U87MG) was transfected with miR-218 mimics (mimics group) and a control
sequence (control group), followed by qRT-PCR detection of miR-218 and
immunocytochemical stain of CDK6 and Ki-67, respectively. Single cell gel
electrophoresis was used to detect the presence of apoptotic cell. RESULTS: The
miR-218 labeling indexes (LI) were statistically different (P<0.05) among all
groups including control (22.45 +/- 0.59) and various glioma groups (grades I -
II 4.00 +/- 1.07, grade III 1.87 +/- 1.06 and grade IV 0.94 +/- 0.78,
respectively). The CDK6 LI of the four groups was 7.25 +/- 1.20, 16.71 +/- 0.80,
24.43 +/- 0.62 and 32.05 +/- 0.43, respectively. Significant differences existed
between the control group and the glioma groups, and between grade IV and grades
I - II glioma groups (P<0.01). Ki-67 positive cell densities of the above four
groups (0.00 +/- 0.00, 9.30 +/- 3.48, 31.15 +/- 9.44 and 60.15 +/- 13.60) were
significantly different from one and another (P<0.01). The expression of miR-218
negatively correlated with CDK-6 LI (r = -0.480, P<0. 01) and Ki-67 positive cell
density (r = - 0.534, P<0.01), while the latter two positively correlated with
each other (r = 0.530, P<0.01). U87MG transfection experiment showed that the miR
218 level of the mimics group was significantly higher than that of the control
group (P<0.01). CDK6 and Ki-67 LI of the mimics group (14.74 +/- 1.19 and 30.88
+/- 3.31) were significantly lower than those of the control group (79.06 +/-
2.07 and 64.94 +/- 3.96, P<0.01), whilst its apoptotic index (AI) (68.44 +/-
7.05) was significantly higher than that of the control group (13.04 +/- 0.97,
P<0.01). CONCLUSIONS: The expression level of miR-218 is an important reference
indicator for the assessment of the grade of gliomas. An aberrant decrease of its
expression may lead to an increase of the CDK6 expression and proliferative
activity of giloma cells. Introducing exogenous miR-218 may effectively down
regulate the CDK6 expression, inhibit cell proliferation and induce apoptosis of
malignant giloma cells. These findings imply that miR-218 may serve as a
therapeutic agent against malignant glioma.
PMID- 22088372
TI - [Pulmonary lymphomatoid granulomatosis: an immunohistochemical and gene
rearrangement study].
AB - OBJECTIVE: To study the immunophenotype and gene rearrangement pattern of
pulmonary lymphomatoid granulomatosis. METHODS: Nine cases of pulmonary
lymphomatoid granulomatosis, included 5 cases of open lung biopsy, 3 cases of
lobectomy specimen and 1 case of autopsy, were retrospectively analyzed by
immunohistochemistry, in-situ hybridization for Epstein-Barr virus-encoded RNA,
immunoglobulin and T-cell receptor gene rearrangement studies. RESULTS: The age
of patients ranged from 3 to 59 years. The male-to-female ratio was 3: 6.
Histologically, all cases showed lymphocytic infiltration surrounding the blood
vessels and in the perivascular areas. Most of these lymphoid cells expressed T
cell marker CD3. There were also variable numbers of CD20-positive B cells. The
staining for CD56 was negative. According to the WHO classification, there were 4
cases of grade I , 1 case of grade II and 4 cases of grade III lesions. Six cases
had gene rearrangement studies performed and 3 of them demonstrated clonal
immunoglobulin gene rearrangement (including 1 of the grade II and 2 of the grade
III lesions). No T-cell receptor gene rearrangement was detected. CONCLUSIONS:
Pulmonary lymphomatoid granulomatosis may represent a heterogeneous group of
lymphoproliferative disorders. Some of the cases show B-cell immunophenotype and
clonal immunoglobulin gene rearrangement, especially the grade II and grade
lesions. They are likely of lymphomatous nature.
PMID- 22088373
TI - [Comparison of histopathologic changes and expression of biomarkers in breast
carcinoma before and after neoadjuvant chemotherapy].
AB - OBJECTIVE: To investigate the relationship between the pathologic responses and
histologic type, grade, the expression of ER, PR and HER2 and their changes in
breast carcinoma before and after neoadjuvant chemotherapy (NAC). METHODS: Two
hundred and nine cases of breast cancer with NAC were analyzed and clinical,
pathologic data were evaluated based on the Miller and Payne ( MP) grading
system. The expression of ER, PR and HER2 in the cancers before and after NAC
were detected by immunohistochemistry (MaxVision method). SPSS 15.0 software was
used to conduct statistical analysis. RESULTS: (1) Pathologic responses to the
NAC were graded as MP1 (14 cases), MP2 (35 cases), MP3 (106 cases), MP4 (36
cases) and MP5 (18 cases); (2) The expression of ER in core needle biopsy had
related negatively to the pathologic response (chi2 = 33.083, P = 0.001).
However, the histologic type, grade, ER and PR status, and HER2 expression in
surgically-removed specimens had not related to the pathologic response (P>0.05);
(3) After NAC, the pathologic type and grade changed in 6. 8% (9/132) and 34.9%
(30/86) of the cases, and the rates of changes in the expression of ER, PR and
HER2 were 42.4% (75/177), 55.4% (98/177) and 26.6% (46/173) , respectively. Only
the expression of HER2 had significant difference between before and after
neoadjuvant chemotherapy (P = 0.049). The changes in other data had no
relationship with the pathologic response (P>0.05). CONCLUSIONS: Analysis of core
needle biopsy can provide important information to predict the pathologic
responses to the NAC. The pathologic appearance, grade, ER, PR and HER2 in breast
carcinoma may change after NAC. It is necessary to examine the histologic type,
grade and the expression of ER, PR and HER2 after NAC once more.
PMID- 22088374
TI - [Invasive carcinoma arising in breast microglandular adenosis: a
clinicopathologic study of three cases and review of the literature].
AB - OBJECTIVE: To study the clinicopathologic features, immunophenotypes and
differential diagnoses of invasive carcinoma arising in breast microglandular
adenosis (MGACA). METHODS: Clinical and pathologic findings of 3 cases of MGACA
were analyzed by histomorphology and immunohistochemical staining of CK7, S-100
protein, ER, PR, HER2, SMA, MSA, p63 and PAS. Literatures were reviewed. RESULTS:
(1) Histologically, 3 tumors all showed a spectrum of glandular proliferations
ranging from microglandular adenosis (MGA) to atypical microglandular adenosis
(AMGA) to in situ carcinoma (DCIS) to invasive carcinoma. The invasive carcinoma
component was ductal in case 1, and matrix-producing in case 2 and case 3. (2)
All epithelial cells in MGA, AMGA, DCIS and MGACA were positive for CK7 and S-100
protein, but were negative for ER and HER2. PR was negative in case 1 and case 2
but was low positive in case 3. Myoepithelial cell differentiation was not
demonstrated in MGA, AMGA, DCIS and MGACA by immunohistochemical staining for
SMA, MSA or p63. PAS staining showed the presence of basement membrane in MGA,
AMGA and DCIS, except MGACA. CONCLUSIONS: MGACA is an extremely rare tumor of the
breast and has distinct morphological and immunohistochemical features. Further
studies are needed to evaluate the clinical behavior of this rare neoplasm.
PMID- 22088375
TI - [Establishment of experimental angiogenic models with applications of
quantitative digital image analysis].
AB - OBJECTIVE: To establish experimental models for tumor neovascularization and to
apply quantitative digital imaging analysis in the study. METHODS: An endothelial
tube formation model was established by human umbilical vein endothelial cells
(HUVECs). A vasculogenic mimicry model was established by SGC-7901 gastric cancer
cell line. Fertilized eggs were used to establish a chorioallantoic membrane
angiogenesis model. Using gene transfection experiment, IRX1 tumor suppressor
gene was chosen as a therapeutic target. Image Pro Plus (IPP) analysis software
was used for digital vascular images analysis with parameters including points,
lines, angles and integral absorbance (IA) for the tubular formation or
vasculogenic mimicry. RESULTS: Digital image analysis by IPP showed that HUVEC
tubular formation was significantly inhibited in IRX1 transfectant, compared with
controls. The tubular numbers in three groups were 12.80 +/- 3.83, 29.00 +/- 5.34
and 28.20 +/- 4.32 (P<0.01). The connection points of tubules in three groups
were 13.20 +/- 2.59, 25.00 +/- 2.24 and 24.60 +/- 3.21 (P<0.01). The tubular
lengths of three groups were (821.5 +/- 12.5), (930.9 +/- 13.5) and (948.4 +/-
18.1) microm (P=0.022). The IA values of PAS stain in three groups were 3606 +/-
363, 14 200 +/- 1251 and 15 043 +/- 1220 (P<0.01). In chick chorioallantoic
membrane model, the angular numbers of tubules in three groups were 6.41 +/-
2.60, 10.27 +/- 2.65 and 9.18 +/- 1.99 (P<0.01). CONCLUSIONS: The endothelial
tube formation model, vasculogenic mimicry model and chorioallantoic membrane
angiogenesis model are useful for gene therapy and drug screening with targeting
neoplastic vascularization. Professional image analysis software may greatly
facilitate the quantitative analysis of tumor neovascularization.
PMID- 22088376
TI - [Intracranial clear cell meningioma: a clinicopathologic analysis].
PMID- 22088377
TI - [Compare three methods to detect the Pneumocystis carinii in the bronchoalveolar
wash sample of AIDS patients].
PMID- 22088378
TI - [Detection of the expression of HER2 using CdTe/ZnSe core/shell quantum dots as
fluorescence probe in breast cancer cells].
PMID- 22088379
TI - [Thyroid metastatic renal clear cell carcinoma: report of a case].
PMID- 22088380
TI - [Glomerulocystic kidney disease: report of a case].
PMID- 22088381
TI - [Fibrous hamartoma of infancy: report of a case].
PMID- 22088382
TI - [Thyroid paraganglioma: report of a case].
PMID- 22088383
TI - [Hepatic lymphoepithelioma-like cholangiocarcinoma: report of a case].
PMID- 22088384
TI - [Intracranial primary malignant melanoma: report of a case].
PMID- 22088385
TI - [Research advance in tumors associated with microphthalmia-associated
transcription factor gene family].
PMID- 22088386
TI - [Update of secretagogin].
PMID- 22088387
TI - Assessment of acute cholangitis by MR imaging.
AB - PURPOSE: The purpose of this study is to assess the common MRI findings of acute
cholangitis compared with those of non-acute cholangitis. MATERIALS AND METHODS:
During a 31-month period, we performed MRCP and contrast-enhanced MRI on 173
patients with biliary abnormalities including duct dilatation or stricture. The
causes of the biliary abnormalities included biliary stone disease (n=85),
cholangiocarcinoma (n=47), periampullary cancer (n=20), GB cancer (n=4), and
others (n=17). Among 173 patients, 66 consecutive patients were confirmed with
acute cholangitis diagnosed according to the Tokyo guideline, and 107 patients
were confirmed as having non-acute cholangitis. Two radiologists retrospectively
and independently accessed the MR findings, including the cause of biliary
abnormality, increased periductal signal intensity on T2-weighted images, the
transient periductal signal difference, and the presence of abscess, thrombosis,
and ragged duct. They also measured the dilated duct and the thickened wall. The
Student t-test and the Pearson chi-square were used. The kappa statistics were
used to determine interobserver agreement. Logistic regression was used to
identify the MR findings that predicted acute cholangitis. RESULTS: MRI correctly
accessed the cause of biliary abnormality in 163 patients (94%). The
statistically common findings for acute cholangitis were as follows: increased
periductal signal intensity on T2-weighted imaging (n=26, 39%, p<0.05); transient
periductal signal difference (n=31, 47%, p<0.05); abscess (n=18, 27%, p<0.05);
thrombosis (n=12, 18%, p<0.05); and ragged duct (n=11, 17%, p<0.05).
Interobserver agreement was good to excellent for each finding (kappa=0.74-0.97).
The wall thickness showed a statistically significant difference between the
acute cholangitis and the non-acute cholangitis group (2.65 mm:2.32 mm, p<0.05),
however, there was no significant difference in duct dilatation in the two
groups. The periductal transient attenuation difference was an independent
predictor of acute cholangitis (Exp (B)=6.389, p=0.018). CONCLUSION: MRI
accurately assesses the cause of biliary abnormality in patients with
cholangitis. Using statistically common MR findings for acute cholangitis, MR
imaging is very successful in predicting acute cholangitis.
PMID- 22088388
TI - An accurate multislice method for low-energy transmission electron microscopy.
AB - The conventional multislice method (CMS), originally proposed by Cowley and
Moodie (1957), is an important algorithm for image and electron diffraction
calculations in transmission electron microscopy. Nevertheless, this method is
based on the so-called high-energy approximation, which neglects the second
differential term ?(2)phi(r)/?z(2) to greatly simplify the calculation without
severe loss of accuracy. In the current study, we show that for low-energy
transmission electron microscopy (LE-TEM) (<100 kV), the high-energy
approximation error becomes large and the accurate multislice method, proposed by
Chen and Van Dyck (1997), can be used as an alternative method to obtain more
accurate calculations. The accurate multislice method, called the revised real
space method (RRS) in this paper, can be realized by treating the propagation and
scattering as an entirety in real space. A detailed comparison of the numerical
results of the RRS and CMS at different accelerating voltages, Debye-Waller
factors, and beam tilts is performed. Results show that for image and diffraction
simulations in LE-TEM, CMS is no longer sufficiently accurate and the RRS
procedure can be used as an alternative method with reasonable computing time.
PMID- 22088389
TI - Tergal glands in termite soldiers of the subfamily Syntermitinae (Isoptera:
Termitidae).
AB - The subfamily Syntermitinae comprises 14 genera of termites that are exclusively
neotropical. The present study reports morphological data about mandibulate
nasute soldiers from termite species belonging to three different genera within
this subfamily. We describe tergal glands that were present under all tergites of
soldiers of the following species: Cornitermes cumulans, Procornitermes araujoi,
Syntermes nanus, and Syntermes wheeleri. The tergal glands were composed of class
2 and class 3 cells. Class 2 cells never reached the cuticle and were located
below a flat layer of epidermal cells. Class 3 cells, composed of secretory cells
and canal cells, were sporadic, whereas class 2 secretory cells were abundant.
Secretory cells of class 3 were narrow and their cytoplasms were filled with
several clear, oval-shaped vesicles with limiting membranes. The ultrastructure
of class 2 cells showed well-developed smooth endoplasmic reticulum, Golgi
apparatus, elongated mitochondria, several electron-lucent vesicles, and electron
dense granules that contain paracrystalline structures in S. nanus. Scanning
electron micrographs displayed pores, campaniform sensilla and hairs in the outer
cuticle of the soldier tergites. We hypothesize that soldier tergal glands may be
involved in the production of defensive compounds, which occur in similar glands
of certain cockroaches, or of primer pheromones, that might act in the regulation
of soldier differentiation in the termite colony. To date, tergal glands have
only been described in termite imagoes, and their occurrence in these soldiers of
basal Syntermitinae implies a specific role in this caste that is still
speculative and needs to be clarified.
PMID- 22088390
TI - Autogenous grafts for condylar reconstruction in treatment of TMJ ankylosis:
current concepts and considerations for the future.
AB - Temporomandibular joint (TMJ) ankylosis is characterized by difficulty or
inability to open the mouth due to fusion of the temporal and the mandible,
resulting in facial symmetry/deformity, malocclusion and dental problems. The
only treatment option for TMJ ankylosis is surgical with or without condylar
reconstruction. Various autogenous grafts are available for condylar
reconstruction after freeing the ankylotic mass such as costochondral,
sternoclavicular, fibular, coronoid, and metatarsophalangeal. Costochondral graft
is preferred by surgeons, but distraction osteogenesis is slowly gaining
popularity and may ultimately become the standard procedure, providing a cost
effective approach with low morbidity and excellent functional outcomes. Tissue
engineering is another budding field which has shown promising results in animal
studies but has not been applied to humans. To date, there is no ideal autogenous
graft for condylar reconstruction that satisfies the complex anatomy and the
myriad of functions of a missing condyle.
PMID- 22088391
TI - Nanoscale analysis of structural synaptic plasticity.
AB - Structural plasticity of dendritic spines and synapses is an essential mechanism
to sustain long lasting changes in the brain with learning and experience. The
use of electron microscopy over the last several decades has advanced our
understanding of the magnitude and extent of structural plasticity at a nanoscale
resolution. In particular, serial section electron microscopy (ssEM) provides
accurate measurements of plasticity-related changes in synaptic size and density
and distribution of key cellular resources such as polyribosomes, smooth
endoplasmic reticulum, and synaptic vesicles. Careful attention to experimental
and analytical approaches ensures correct interpretation of ultrastructural data
and has begun to reveal the degree to which synapses undergo structural
remodeling in response to physiological plasticity.
PMID- 22088394
TI - Good things come in small packages: subcellular organization and development in
bacteria.
PMID- 22088392
TI - Prospect for feedback guided surgery with ultra-short pulsed laser light.
AB - The controlled cutting of tissue with laser light is a natural technology to
combine with automated stereotaxic surgery. A central challenge is to cut hard
tissue, such as bone, without inducing damage to juxtaposed soft tissue, such as
nerve and dura. We review past work that demonstrates the feasibility of such
control through the use of ultrafast laser light to both cut and generate optical
feedback signals via second harmonic generation and laser induced plasma spectra.
PMID- 22088395
TI - Amyloidosis.
PMID- 22088393
TI - Recurrent infections and immune evasion strategies of Staphylococcus aureus.
AB - Staphylococcus aureus causes purulent skin and soft tissue infections (SSTIs)
that frequently reoccur. Staphylococal SSTIs can lead to invasive disease and
sepsis, which are among the most significant causes of infectious disease
mortality in both developed and developing countries. Human or animal infections
with S. aureus do not elicit protective immunity against staphylococcal diseases.
Here we review what is known about the immune evasive strategies of S. aureus
that enable the pathogen's escape from protective immune responses. Three
secreted products are discussed in detail, staphylococcal protein A (SpA),
staphylococcal binder of immunoglobulin (Sbi) and adenosine synthase A (AdsA). By
forming a complex with V(H)3-type IgM on the surface of B cells, SpA functions as
a superantigen to modulate antibody responses to staphylococcal infection. SpA
also captures pathogen-specific antibodies by binding their Fcgamma portion. The
latter activity of SpA is shared by Sbi, which also associates with complement
factors 3d and factor H to promote the depletion of complement. AdsA synthesizes
the immune signaling molecule adenosine, thereby dampening innate and adaptive
immune responses during infection. We discuss strategies how the three secreted
products of staphylococci may be exploited for the development of vaccines and
therapeutics.
PMID- 22088396
TI - Improving childhood nutrition in India.
PMID- 22088397
TI - Moves to measure wellbeing must support a social model of health.
PMID- 22088398
TI - The sentinel.
PMID- 22088399
TI - A pair of ragged clauses: the health bill in the House of Lords.
PMID- 22088400
TI - The painful truth: deaths and misuse of prescribed drugs.
PMID- 22088401
TI - US Supreme Court to decide whether health reform law is constitutional.
PMID- 22088402
TI - UK government disbands advisory group on obesity.
PMID- 22088403
TI - Patients challenge trusts' decision on location of radiotherapy service.
PMID- 22088404
TI - Healthcare regulator is investigated over role to protect patients.
PMID- 22088405
TI - European countries cut funding for a range of activities against illicit drug
use.
PMID- 22088406
TI - Gynaecologist botched cosmetic vaginal operations and a termination, GMC hears.
PMID- 22088407
TI - Short-term outcomes of the prone perineal approach for extra-levator abdomino
perineal excision (elAPE).
AB - BACKGROUND: Many studies report that low rectal cancer treated with abdomino
perineal excision (APE) have higher rates of CRM involvement with associated
local recurrence and worse survival when compared to low anterior resection. We
present a single surgeon's short-term outcomes using the prone perineal extra
levator (elAPE) approach. METHODS: Thirty-one patients between 2006 and 2010
underwent elAPE with curative intent. Data was collected prospectively recording
patient tumour characteristics and histological outcome. Outcome measures
included circumferential resection margins, recurrence rates, 30-day morbidity
and mortality. RESULTS: Mean distance of tumour from anal verge was 3.63 +/- SD
1.52 cm. 14 patients had pre-operative chemo-radiotherapy. The involved
circumferential resection margin rate was 3.2%. Median follow-up was 20 (0-45)
months, with overall mortality of 13.3% and 30 day mortality of 6.6%.
CONCLUSIONS: The prone position elAPE has a low circumferential resection margin
involved rate and, through improved vision, reduces the risk of inadvertent
tumour or specimen perforation.
PMID- 22088408
TI - All words are not created equal: expectations about word length guide infant
statistical learning.
AB - Infants have been described as 'statistical learners' capable of extracting
structure (such as words) from patterned input (such as language). Here, we
investigated whether prior knowledge influences how infants track transitional
probabilities in word segmentation tasks. Are infants biased by prior experience
when engaging in sequential statistical learning? In a laboratory simulation of
learning across time, we exposed 9- and 10-month-old infants to a list of either
disyllabic or trisyllabic nonsense words, followed by a pause-free speech stream
composed of a different set of disyllabic or trisyllabic nonsense words.
Listening times revealed successful segmentation of words from fluent speech only
when words were uniformly disyllabic or trisyllabic throughout both phases of the
experiment. Hearing trisyllabic words during the pre-exposure phase derailed
infants' abilities to segment speech into disyllabic words, and vice versa. We
conclude that prior knowledge about word length equips infants with perceptual
expectations that facilitate efficient processing of subsequent language input.
PMID- 22088409
TI - The use of fetal foot length to determine stillborn gestational age in Vietnam.
AB - OBJECTIVE: To assess the use of fetal foot length for predicting gestational age
in stillborn fetuses in Vietnam and the ability of this measurement to
differentiate early from late fetal deaths. METHODS: In a prospective case
series, gestational age was determined from either certain first day of last
menstrual period or early ultrasound scan. Foot length was measured, with a non
elastic tape measure, from the heel to the tip of the longest toe. Agreement of
observed and predicted foot length for gestational age was tested, as well as the
influence of fetal characteristics. RESULTS: Accurate gestational age and foot
length were available for 52 of 107 participants. Strong agreement was
demonstrated between observed and predicted values of foot length across
gestations (95% confidence interval, -4.7 to 4.3 weeks). Accuracy of fetal foot
length in predicting gestational age was affected by growth restriction but not
fetal gender, maceration, or congenital anomalies. Fetal foot length of 55 mm or
greater demonstrated a sensitivity and specificity of 88% in identifying late
fetal deaths. CONCLUSION: Foot length is a good differentiator of early and late
fetal death, which is important for the global recording of the number of
stillbirths.
PMID- 22088410
TI - The legal status of emergency contraception in Latin America.
AB - Timely access to emergency contraception (EC) can contribute to reducing the
number of unwanted pregnancies, and ultimately, the number of unsafe abortions
and maternal fatalities. In Latin America, where all countries are parties to
international human rights treaties that recognize the rights to autonomy,
privacy, and health, and recognize sexual and reproductive rights including the
right to family planning, the legal status of EC has been discussed in the
courts. This article focuses on the analysis of the principal arguments voiced in
the courts: the difference between contraceptives and abortifacients, the
scientific status of available research on EC, and the age at which people
develop a legal right to make decisions about their personal health. The
conclusion is that Latin American countries whose laws or regulations ban access
to EC in the public and/or the private sector fail to fulfill their obligations
under international human rights law.
PMID- 22088412
TI - Management of recurrent cutaneous abscesses during therapy with infliximab.
AB - BACKGROUND: Infliximab is a chimeric monoclonal antibody, belonging to the class
of anti-tumor necrosis factor-alpha (TNF-alpha) agents, approved for the
treatment of psoriasis and psoriatic arthritis. Drugs of this class are known to
be associated with an infective risk, probably because they interfere with
inflammatory and immune response at different levels. Although cutaneous
Staphylococcus aureus infections seem to be more frequent than any other
infection in the course of anti-TNF-alpha treatment, only a few case reports in
the literature deal with this side effect, and, in particular, with its
management. OBJECTIVE: Our aim was to report a case of recurrent methicillin
sensitive S aureus (MSSA) cutaneous abscesses during therapy with infliximab and
successful management. CASE SUMMARY: In July 2009, a 53-year-old white woman
(weighing 85 kg) affected by psoriasis and psoriatic arthritis was administered
infliximab (5 mg/kg IV), based upon clinical appearance and previous unsuccessful
treatment with cyclosporine, methotrexate, etanercept, and adalimumab. Three days
after the first 3 infusions (at weeks 0, 2, and 6) she complained about the
recurrent onset of painful, erythematous, indurated, and pus-draining cutaneous
nodules located on her abdomen. The swab always revealed the presence of MSSA,
and antibiotic oral therapy with amoxicillin + clavulanic acid (875 + 125 mg BID
for 7 days) was established, with complete resolution of the abscesses. Routine
laboratory findings were in normal ranges, with the exception of an elevated
erythrosedimentation rate and an increased white blood cell count (range, 13,000
15,000/mm(3)) with neutrophilia (range, 75%-80%). HIV infection was ruled out. In
agreement with the infectious disease consultant, 1 day before the fourth
infusion, a prophylactic antibiotic therapy with amoxicillin + clavulanic acid
(875 + 125 mg BID for 5 days) was added to the therapeutic regimen. This
treatment schedule was successfully repeated at each following infusion (every 8
weeks), and no recurrence of skin abscesses was observed. The patient provided
signed authorization for publication of this case. CONCLUSIONS: This case report
describes a woman with psoriasis and psoriatic arthritis who developed MSSA skin
abscesses after each of the first 3 infliximab infusions, which did not recur for
the next 6 infusions after amoxicillin + clavulanic acid was added to her
regimen, pre- and 4 days postinfusion. Adequately designed, placebo-controlled,
double-blind trials are needed to determine whether such prophylactic antibiotic
treatment is well tolerated or effective for this common complication of therapy
with anti-TNF-alpha agents, when withdrawal of the drug is not advisable, as in
this case.
PMID- 22088413
TI - Room-temperature-stable recombinant activated coagulation factor VII recombinant:
chemical and microbiologic stability over 24 hours during continuous in vitro
infusion.
AB - rFVIIa-25C is a recombinant coagulation factor VIIa (rFVIIa) formulated to remain
stable at room temperature (up to 25 degrees C) for up to 2 hours. The bolus
injection of rFVIIa-25C has been reported to be bioequivalent to that of rFVIIa.
With alternative administration by continuous infusion (50 MUg/kg/h) for surgical
hemostatic coverage in hemophilia patients with inhibitors, rFVIIa has been
reported to be stable. This research letter presents data from an in vitro study
of the activity and chemical stability (rFVIIa content, clot activity, and
degradation products), physical stability, and microbiologic stability of rFVIIa
25C administered as a continuous infusion. The findings suggest that rFVIIa-25C
remained biochemically stable and aseptic during 24-hour continuous infusion in
vitro at 19.3 degrees C to 20.7 degrees C, with no clinically significant changes
in clot activity, solution constituents, or concentrations.
PMID- 22088414
TI - Comparative pharmacokinetics and tolerability of branded etanercept (25 mg) and
its biosimilar (25 mg): a randomized, open-label, single-dose, two-sequence,
crossover study in healthy Korean male volunteers.
AB - BACKGROUND: The biosimilar is a recombinant dimeric tumor necrosis factor
receptor (TNFR) under development for the treatment of rheumatoid arthritis.
OBJECTIVE: The aim of this study was to compare the pharmacokinetics and/or
tolerability of branded etanercept and its biosimilar in healthy Korean men
before investigating the clinical efficacy of the biosimilar in subjects.
METHODS: Etanercept (reference, 25 mg) or its biosimilar (test, 25 mg) was
subcutaneously injected to the periumbilical area of healthy volunteers in a
randomized, open-label, single-dose, active-controlled, two-sequence, crossover
study. Plasma concentrations of TNFR in serial blood samples for 480 hours after
dosing were measured by ELISA. The primary outcome, pharmacokinetic
characteristics, was assessed via geometric mean ratios (GMRs) of the log
transformed pharmacokinetic parameters. The second outcome, tolerability, was
evaluated using physical examinations, electrocardiograms, clinical laboratory
tests, vital sign measurements, and adverse events (AEs) by unmasked
investigators. RESULTS: Twenty-three men of mean age (%CV) 25.8 years (17.1%) and
weight 70.5 kg (12.8%) were administered study medication. Four subjects dropped
out after the first period; their data were included in the analysis. Both test
and reference drugs were absorbed with a median T(max) of 72 (range, 36-144)
hours and eliminated with mean (%CV) t(1/2) of 92.7 (20.9%) and 87.4 (16.6%)
hours, respectively. The GMRs (90% CIs) of the test to reference drug for C(max),
AUC(0-t), and AUC(0-infinity) were 0.99 (0.83-1.17), 0.95 (0.79-1.13), and 0.95
(0.80-1.13), respectively. Eleven of 21 (52.4%) and 8 of 21 (38.1%) subjects
administered the test and reference drugs reported 22 and 21 AEs, respectively.
Common AEs were headache (14.3%), throat irritation (8.5%), and epistaxis (9.5%).
Three serious AEs related to a traffic accident (back, neck, and musculoskeletal
pain) were reported in a test drug-treated subject. CONCLUSIONS: In this select
group of Korean healthy male volunteers, the reference drug and the test
biosimilar met the standard criteria for assuming bioequivalence as defined by
Korean regulatory authorities. Because the reference drug is a biological
product, further trials for assessment of its efficacy are still required by
Korean authorities. World Health Organization International Clinical Trials
Registry Platform identifier: KCT0000118.
PMID- 22088415
TI - Letter to the editor.
PMID- 22088416
TI - Patterns of therapy switching, augmentation, and discontinuation after initiation
of treatment with select medications in patients with osteoarthritis.
AB - BACKGROUND: Osteoarthritis (OA) is a debilitating condition characterized by
chronic pain. Several pain medications are recommended, and patients frequently
alternate among these medications. OBJECTIVES: The purpose of this study was to
examine the use of pain medications in clinical practice with respect to
recommended guidelines. This objective was accomplished by evaluating patterns of
switching, augmentation, and discontinuation after treatment initiation with
select medications in patients with OA. METHODS: The LifeLink Health Plan Claims
Database was used to select patients with OA (International Classification of
Diseases, Ninth Revision, Clinical Modification [ICD-9-CM] code 715.XX) who were
newly prescribed (index event) nonsteroidal antiinflammatory drugs (NSAIDs),
cyclooxygenase (COX)-2 inhibitors, acetaminophen, tramadol, weak opioids, and
strong opioids. Descriptive statistics, Kaplan-Meier analyses, and the COX
proportional hazards model were used to assess therapy switching, augmentation,
and discontinuation during the 12-month postindex period. Patterns of
intraarticular injections and joint replacement surgeries among the cohorts were
also evaluated. RESULTS: Substantial proportions of OA patients switched,
augmented, or discontinued therapy during the postindex period. Rates of therapy
switching, augmentation, and discontinuation, respectively, were significantly
different (all P < 0.0001 for overall effects using log-rank tests) across the
evaluated medication cohorts: NSAIDs, 22.3%, 6.7%, 93.2%; COX-2 inhibitors,
27.5%, 10%, 87.4%; acetaminophen, 46.0%, 6.5%, 98.7%; tramadol, 44.5%, 8.4%,
95.6%; weak opioids, 27.2%, 4.1%, 98.3%; and strong opioids, 41.1%, 3.3%, 97%.
Therapy switching, augmentation, and discontinuation occurred within 2 months
after treatment initiation in two thirds of patients and within 6 months in >90%
of patients. The patterns of intraarticular injections were significantly
different across treatment cohorts, as were the patterns of joint replacement
surgeries (both P < 0.0001 for overall effects using log-rank tests), with
average times to surgery that appeared longer with acetaminophen, NSAIDs, and COX
2 inhibitor initiators (416-447 days) than with tramadol and opioids (354-385
days). CONCLUSIONS: Results indicate that therapy switching and discontinuation
were frequent among OA patients initiating treatment with the currently
recommended medication classes and might suggest suboptimal pain relief or
potentially intolerable therapy-related side effects.
PMID- 22088417
TI - Outcomes of repair of common arterial trunk with truncal valve surgery: a review
of the society of thoracic surgeons congenital heart surgery database.
AB - BACKGROUND: Previous studies have suggested truncal valve insufficiency may
adversely affect outcome after common arterial trunk (CAT) repair. It is unknown
if truncal insufficiency requiring truncal valve surgery (TVS) at the time of
primary CAT repair impacts outcome. METHODS: Patients in The Society of Thoracic
Surgeons Congenital Heart Surgery Database undergoing CAT repair from 2000 to
2009 were included. Outcomes were compared for patients with and without TVS at
the time of CAT repair and were further stratified by interrupted aortic arch
(IAA) repair. RESULTS: Of 572 patients (63 centers), median age at surgery was 12
days (interquartile range, 6 to 34). Twenty-three patients underwent concomitant
TVS (n=22 repair, n=1 replacement) during CAT repair, and 4 patients underwent
TVS later during the same hospitalization (n=1 repair, n=3 replacement). Thirty
nine patients underwent IAA repair at the time of CAT repair, 5 of whom had
concomitant TVS. Mortality for CAT repair with TVS versus isolated CAT repair was
30% versus 10% (p=0.0002). All 4 patients who required TVS later during the
admission died. Truncal valve surgery was associated with increased mortality
among CAT patients both with and without IAA repair, with the highest mortality
(60%) among CAT patients undergoing IAA repair and TVS (n=5). Common arterial
trunk plus TVS had an increased risk of mechanical support and a longer hospital
stay. CONCLUSIONS: Truncal valve surgery in patients undergoing CAT repair is
associated with significant mortality. Repair of IAA and TVS at the time of CAT
repair carries particularly high risk. Failure to address significant truncal
insufficiency, necessitating early reoperation with TVS, had uniformly poor
outcomes.
PMID- 22088418
TI - Modified and "reverse" frozen elephant trunk repairs for extensive disease and
complications after stent grafting.
AB - BACKGROUND: The frozen elephant trunk (FET) repair technique combines
conventional arch repair with the patient under circulatory arrest with stent
grafting and is increasingly being used to treat extensive thoracic aortic
disease. This surgical approach is evolving, including its use for complications
after thoracic aortic stent grafting - the so-called reversed frozen elephant
trunk (RFET). We evaluated the safety and efficacy of FET and RFET operations in
high-risk patients. METHODS: Between July 2001 and December 2010, 31 patients
underwent FET and 19 patients underwent RFET for extensive thoracic aortic
disease. Causes included aneurysm (n=32), acute dissection (n=17), and rupture
(n=1). Twenty-three cases (46%) were for urgent or emergency indications. Patient
data and outcomes were collected through a prospectively maintained clinical
database and 3-dimensional analysis of computed tomography (CT) scans. Outcomes
were assessed using Kaplan-Meier methodology. RESULTS: In-hospital mortality was
8% (n=4, including 1 emergency RFET procedure for aortic rupture and 2 urgent FET
procedures for symptomatic degenerative aneurysm). Stroke occurred in 5 patients
(10%) and spinal cord injury in 4 patients (8%). Mean hospital stay was 14.3 days
(range 4 to 67 days). Five endoleaks were observed (4 type II, 1 type I)
requiring 2 endovascular reinterventions. Mean follow-up was 17 months (range, 1
to 76 months) and actuarial survival was 87% at 2 years. CONCLUSIONS: Frozen
elephant trunk repair is an effective surgical strategy for managing high-risk
patients with extensive pathologic conditions of the thoracic aorta. The RFET
approach is a feasible option for proximal aortic complications after previous
descending stent grafting. Intermediate outcomes are reasonable for both
approaches and further evaluation of these techniques is warranted.
PMID- 22088419
TI - Sequential design for clinical trials evaluating a prosthetic heart valve.
AB - BACKGROUND: Clinical trials involving prosthetic heart valves are typically based
on a set of objective performance criteria for certain late adverse event rates.
Typically, these trials require 800 patient-years of data to be collected to
provide 80% power while maintaining the type I error at the 0.05 level. METHODS:
This work presents a sequential design alternative to the standard objective
performance criteria trial that allows for the possibility of stopping the trial
early. Logistical issues regarding these designs are considered, and power
calculations are provided that investigate the probability of early stopping if
the prosthetic valve exceeds expectations with respect to the objective
performance criteria end points. RESULTS: Designs exist that provide at least 50%
power at the interim look and 80% overall power under the alternative hypothesis.
If the prosthetic valve performs better than expected, the interim power may
exceed 80%. CONCLUSIONS: The proposed sequential designs can reduce the time
required to bring a prosthetic heart valve to market, helping to ensure that
patients and clinicians have access to the latest devices in shorter time.
PMID- 22088420
TI - Effects of watershed densities of animal feeding operations on nutrient
concentrations and estrogenic activity in agricultural streams.
AB - Application of manures from animal feeding operations (AFOs) as fertilizer on
agricultural land can introduce nutrients and hormones (e.g. estrogens) to
streams. A landscape-scale study was conducted in the Shenandoah River watershed
(Virginia, USA) in order to assess the relationship between densities of AFOs in
watersheds of agricultural streams and in-stream nutrient concentrations and
estrogenic activity. The effect of wastewater treatment plants (WWTPs) on
nutrients and estrogenic activity was also evaluated. During periods of high and
low flow, dissolved inorganic nitrogen (DIN) and orthophosphate (PO(4)-P)
concentrations were analyzed and estrogens/estrogenic compounds were extracted
and quantified as17beta-estradiol equivalents (E2Eq) using a bioluminescent yeast
estrogen screen. Estrogenic activity was measurable in the majority of collected
samples, and 20% had E2Eq concentrations >1 ng/L. Relatively high concentrations
of DIN (>1000 MUg/L) were also frequently detected. During all sampling periods,
there were strong relationships between watershed densities of AFOs and in-stream
concentrations of DIN (R(2) = 0.56-0.81) and E2Eq (R(2) = 0.39-0.75).
Relationships between watershed densities of AFOs and PO(4)-P were weaker, but
were also significant (R(2) = 0.27-0.57). When combined with the effect of
watershed AFO density, streams receiving WWTP effluent had higher concentrations
of PO(4)-P than streams without WWTP discharges, and PO(4)-P was the only analyte
with a consistent relationship to WWTPs. The results of this study suggest that
as the watershed density of AFOs increases, there is a proportional increase in
the potential for nonpoint source pollution of agricultural streams and their
receiving waters by nutrients, particularly DIN, and compounds that can cause
endocrine disruption in aquatic organisms.
PMID- 22088421
TI - Perception of pesticide use by farmers and neighbors in two periurban areas.
AB - Public concern about pesticide use is high although varying with social factors.
Individual differences in 'perception' and attitude to pesticide use might be
particularly evident in periurban regions where farmers and other people live
together. This was investigated using a questionnaire sent to 600 farmers and 600
non-farmers in two periurban areas of Sweden. 'Neighbors'(1) were found to have a
more negative attitude to pesticides than farmers, who were slightly positive to
the use. Neighbors perceived pesticide use in agriculture to be more harmful to
the environment than did farmers and also to reduce the quality of products. Both
farmers and neighbors thought that farmers are the predominant users of
pesticides. However, reported pesticide users within the home setting were just
as common among the neighbors as among the farmers. Perceptions of pesticide use
were also found to differ between periurban regions within the country. Attitudes
and perceptions of pesticide use, as well as of who is the user, differ based on
the group of people in a periurban environment and between different regions.
Such differences might play a role for tensions and conflicts in the periurban
area of a society and also for regulations of pesticide use.
PMID- 22088422
TI - Pesticides in water supply wells in Zealand, Denmark: a statistical analysis.
AB - Data from the Danish National Borehole Database are used to predict drinking
water well vulnerability to contamination by pesticides, and to identify the
dominant mechanisms leading to well pollution in Zealand, Denmark. The frequency
of detection and concentrations of 4 herbicides and 3 herbicide metabolites are
related to factors accounting for geology (thicknesses of sand, clay and chalk
layers), geographical location (distance to surface water and distance to
contaminated sites), redox conditions and well depth using logistic regression,
the binomial test and Spearman correlation techniques. Results show that drinking
water wells located in urban areas are more vulnerable to BAM and phenoxy acids
contamination, while non-urban area wells are more subject to bentazone
contamination. Parameters accounting for the hydraulic connection between the
well and the surface (well depth and thickness of the clay confining layer) are
often strongly related to well vulnerability. Results also show that wells close
to surface water are more vulnerable to contamination, and that sandy layers
provide better protection against the leaching of oxidizable pesticides than clay
aquitards, because they are more likely to be aerobic. 4-CPP is observed more
often at greater well depth, perhaps because of anaerobic dechlorination of
dichlorprop. The field data are used to create a set of probabilistic models to
predict well vulnerability to contamination by pesticides.
PMID- 22088423
TI - Impact of forest fires on particulate matter and ozone levels during the 2003,
2004 and 2005 fire seasons in Portugal.
AB - The main purpose of this work is to estimate the impact of forest fires on air
pollution applying the LOTOS-EUROS air quality modeling system in Portugal for
three consecutive years, 2003-2005. Forest fire emissions have been included in
the modeling system through the development of a numerical module, which takes
into account the most suitable parameters for Portuguese forest fire
characteristics and the burnt area by large forest fires. To better evaluate the
influence of forest fires on air quality the LOTOS-EUROS system has been applied
with and without forest fire emissions. Hourly concentration results have been
compared to measure data at several monitoring locations with better modeling
quality parameters when forest fire emissions were considered. Moreover, hourly
estimates, with and without fire emissions, can reach differences in the order of
20%, showing the importance and the influence of this type of emissions on air
quality.
PMID- 22088424
TI - Blood and urine levels of long half-life toxicants by nativity among immigrants
to the United States.
AB - One's place of birth is a major determinant of his or her exposure to
environmental toxicants. By understanding biological burdens of long half-life
toxicants by race and nativity we can better understand geographic variation in
toxicant distribution. We used the National Health and Nutrition Examination
Survey (1999-2006) biomonitoring data to examine differences in blood and urine
levels of long half-life environmental toxicants of foreign-born relative to US
born people by race/ethnicity. We log transformed blood and urine measures of 51
environmental toxicants. We then used "seemingly unrelated regression," a robust
technique for making multiple comparisons across a group of variables with
correlated error terms, to examine differences in blood and urine toxicants by
nativity and race. We found that, compared to native-born Americans, the foreign
born are generally more likely to be exposed to metals (p<0.001) and
organochlorine pesticides (p<0.001), but less likely to be exposed to dioxin-like
compounds (p<0.001) or polyflourinated compounds (p<0.001). While levels of
toxicants varied greatly by region of birth, US-born participants had
consistently higher levels of dioxin-like compounds and polyflourinated
compounds.
PMID- 22088425
TI - Association of SLCO1B1 1b with peptic ulcer amongst Japanese patients taking low
dose aspirin.
AB - BACKGROUND: In the recent case-control study, we showed an inverse association
between peptic ulcer and angiotensin type 1 receptor (AT1R) blockers (ARBs) or
HMG-Co A reductase inhibitors (statins). The aim was to evaluate whether the
genotypes of uptake and efflux transporters of ARBs and statins relate to the
presence of peptic ulcer and/or ulcer bleeding associated with aspirin use.
METHODS: Patients taking 100mg of enteric-coated aspirin for cardiovascular
diseases who also participated in endoscopic surveillance were studied. SLCO1B,
ABCC2, ABCG2, and MDR1 genotypes were determined by PCR or PCR-RFLP. RESULTS: 492
patients enrolled including 78 with peptic ulcer. The frequencies of the SLCO1B1
521TT genotype were significantly higher in the ulcer group (p=0.006) compared to
the controls. After adjustment for significant factors, the SLCO1B1 1b haplotype
was significantly associated with peptic ulcer (OR, 3.64; 95% CI, 1.81-7.29).
CONCLUSIONS: SLCO1B1 1b haplotype may identify patients at increased risk for
aspirin-induced peptic ulcer.
PMID- 22088426
TI - A follow-up survey of the integrity of the dermatology National Resident Matching
Program.
AB - BACKGROUND: Our group's 2009 study of the integrity of the dermatology match
revealed that some dermatology program directors violated National Resident
Matching Program (NRMP) policy during their communications with applicants. Our
group's article concluded with recommendations to change this behavior.
OBJECTIVE: We repeated a survey of dermatology applicants to understand if
dermatology program personnel behavior has changed since our group's 2009 study
of the dermatology match. METHODS: We surveyed 2011 applicants to Department of
Dermatology, Stanford University, Palo Alto, CA. The survey was anonymous and
available online. RESULTS: Of applicants, 14% were asked to reveal how they
intended to rank a program before match day. Of applicants, 32% felt pressured to
reveal how they intended to rank programs. Of applicants, 90% were asked about
interviews at other programs. Of applicants, 44% were asked about their marital
status and 19% were asked if they had children or intended to have children.
LIMITATIONS: The response rate for applicants was 53%. CONCLUSION: Although our
previous study increased knowledge about the problems within the dermatology
match, dermatology program personnel continue to violate NRMP policy. The most
widespread violations are asking applicants where they will interview, asking
applicants if they are married, and pressuring applicants to reveal how they
intend to rank programs. We continue to recommend that programs avoid
postinterview contact, and recommend that the NRMP create training videos for
applicants and interviewers.
PMID- 22088427
TI - Predictive value of margins in diagnostic biopsies of nonmelanoma skin cancers.
AB - BACKGROUND: With geographic regional variation, nonmelanoma skin cancer biopsy
reports include assessment of margins. When margins are reported as negative,
clinical dilemmas may emerge concerning the necessity of additional treatment.
OBJECTIVE: To evaluate the predictive value of biopsy margins with regard to
residual tumor present in subsequent excisions of nonmelanoma skin cancers.
METHODS: This is a retrospective review of 235 diagnostic nonmelanoma skin cancer
biopsies and their corresponding excisions for margin status at biopsy, and the
presence of residual tumor in subsequent excisions. RESULTS: Twelve of 148
squamous cell carcinomas (8.1%) had negative biopsy margins and all of the
subsequent excisions were free of residual tumor. The squamous cell carcinomas
with negative biopsy margins consisted predominantly of nonfacial, superficial
tumors of the well-differentiated and keratoacanthoma subtype. Nine of 87 basal
cell carcinomas (10.3%) had negative biopsy margins. Seven of those 9 (77.8%) had
residual tumor present in subsequent excisions. LIMITATIONS: Statistical analysis
performed reached significance, but with small sample size as only 21 of the
biopsy specimens had negative margins. Also, residual tumor was determined via
standard bread-loafing technique on excisions, which is known to examine only a
proportion of the tissue and can lead to false-negative results. CONCLUSIONS: The
results of this small pilot study suggest that negative-margin diagnostic
biopsies may be therapeutic for well-differentiated or keratoacanthoma subtypes
of squamous cell carcinoma because all subsequent excisions were devoid of tumor.
Negative biopsy margins from basal cell carcinomas were not predictive of tumor
removal.
PMID- 22088428
TI - Prognostic value of histologic features of toxic epidermal necrolysis.
AB - BACKGROUND: The prognosis of toxic epidermal necrolysis (TEN), Stevens-Johnson
syndrome (SJS), and SJS/TEN overlap syndrome has been assessed using a disease
specific severity score (SCORTEN) based on clinical and laboratory data.
Histologic data may improve outcome prediction. OBJECTIVE: We sought to evaluate
whether dermal mononuclear infiltration and epidermal necrosis predict survival
of patients with TEN, SJS, or SJS/TEN. METHODS: We conducted a retrospective
review of clinical records and skin biopsy specimens read without knowledge of
clinical data. RESULTS: We identified 108 patients (SJS, n = 42; SJS/TEN, n = 36;
TEN, n = 30). Overall mortality was 21.3%. Dermal infiltration and epidermal
necrosis were not associated with time from disease onset to biopsy. Extensive
dermal infiltrates were seen in 19 (18.5%) patients and full-thickness epidermal
necrosis in 56 (52%) patients. Dermal infiltrate severity was not associated with
day-1 (D1) SCORTEN or hospital death. Epidermal necrosis severity showed trends
toward associations with D1 SCORTEN (P = .11) and hospital death (P = .06). In
univariate analyses, full-thickness epidermal necrosis was significantly
associated with hospital death (32.1% vs 11.4%, P = .017) and worse D1 SCORTEN
values (1.98 +/- 1.29 vs 1.55 +/- 1.21; P = .04). In the bivariate analysis,
however, D1 SCORTEN remained significantly associated with hospital death (odds
ratio = 3.07, 95% confidence interval 1.83-5.16) but the association with full
thickness epidermal necrosis was no longer significant (odds ratio = 2.02, 95%
confidence interval 0.65-7.12). LIMITATIONS: Retrospective study design and
indirect assessment of progression are limitations. CONCLUSION: Full-thickness
epidermal necrosis was associated with mortality but did not independently
predict hospital death after adjustment based on the SCORTEN value. Dermal
infiltrate severity was not associated with hospital death.
PMID- 22088429
TI - The risk of nail changes with epidermal growth factor receptor inhibitors: a
systematic review of the literature and meta-analysis.
AB - BACKGROUND: The overall incidence and risk of nail changes associated with the
use of epidermal growth factor receptor inhibitors (EGFRIs) varies widely across
the literature. OBJECTIVE: We conducted a systematic review of the literature and
performed a meta-analysis to determine the risk of developing nail toxicity among
patients receiving EGFRIs. METHODS: Databases from Pubmed and Web of Science from
January 1998 until July 2011 and abstracts presented at the American Society of
Clinical Oncology annual meetings from 2004 through July 2011 were searched to
identify relevant studies. The incidence and relative risk (RR) of nail toxicity
were calculated using random-effects or fixed-effects model depending on the
heterogeneity of the included studies. RESULTS: A total of 2107 patients from 22
clinical trials were included in this analysis. The overall incidence of all
grade nail toxicity was 17.2% (95% confidence interval [CI]: 13.8%-21.3%), with
an RR of 76.94 (95% CI: 40.76-145.22, P < .001). The overall incidence of high
grade nail toxicity was 1.4% (95% CI: 0.9%-2.1%), with an RR of 13.11 (95% CI:
3.73-46.03, P < .001). LIMITATIONS: The ability to detect and grade nail changes
may vary among institutions. CONCLUSION: There is a significant risk of
developing nail toxicity in cancer patients receiving EGFRIs. The risk is
independent of the underlying agent. Adequate monitoring and early intervention
are recommended to prevent debilitating toxicity and suboptimal dosing of EGFRI.
PMID- 22088430
TI - Antidepressant-like effect of sildenafil through oxytocin-dependent cyclic AMP
response element-binding protein phosphorylation.
AB - Oxytocin (OT) levels in plasma increase during sexual response and are
significantly lower in patients with depression. A drug for the treatment of
sexual dysfunction, sildenafil, enhances the electrically evoked release of OT
from the posterior pituitary. In this study, we showed that sildenafil had an
antidepressant-like effect through activation of an OT signaling pathway.
Application of sildenafil reduced depression-related behavior in male mice. The
antidepressant-like effect was blocked by an OT receptor (OTR) antagonist and was
absent in OTR knockout (KO) mice. Sildenafil increased the phosphorylation of
cAMP response element-binding protein (CREB) in the hippocampus. The OTR
antagonist inhibited sildenafil-induced CREB phosphorylation and sildenafil had
no effect on CREB phosphorylation in OTR KO mice. These results suggest
sildenafil to have an antidepressant-like effect through the activation of OT
signaling and to be a promising drug for the treatment of depression.
PMID- 22088431
TI - Discriminating between maximal and feigned isokinetic knee musculature
performance using waveform similarity measures.
AB - BACKGROUND: Muscle strength test outcomes may aid in determination of impairment
or disability rating following injury. In such settings, verification of
participant effort during testing is imperative. This investigation explored the
utilization of within-set moment waveform similarity measures, namely cross
correlation and percent root mean square difference scores, to develop decision
rules for discriminating between maximal and feigned efforts during isokinetic
testing of the knee joint musculature. METHODS: A mixed-gender sample of 46
participants performed non-reciprocal sets of maximal or feigned knee extension
and flexion concentric and eccentric efforts at testing velocities of 30 degrees
s(-1) and 120 degrees s(-1). Logistic regression and Monte Carlo simulations were
used to derive decision rules for differentiating between the two effort types.
FINDINGS: Employing cutoff scores corresponding to 100% specificity;
sensitivities of the knee extensor's velocity-specific decision rules were 92.4%
and 84.8%, respectively. The velocity-specific knee flexor's test sensitivities
were 56.5% and 46.7%. INTERPRETATION: Utilizing the proposed decision rules,
substantiating maximal effort performance of the knee extensors may be possible
using this specific testing protocol. However, the proposed methods are limited
in their ability to verify performance of maximal knee flexor efforts.
PMID- 22088432
TI - Acid increases NHE8 surface expression and activity in NRK cells.
AB - We previously demonstrated that there is a paucity of brush-border membrane NHE3
in neonates, the predominant Na(+)/H(+) exchanger in the adult proximal tubule,
while NHE8 is relatively highly expressed in neonates compared with adults. We
recently showed that metabolic acidosis in neonatal rodents can increase brush
border membrane NHE8 protein expression and Na(+)/H(+) exchange activity. To
further examine the regulation of NHE8 by acid, we incubated NRK cells, which
express NHE8 but not NHE3, with either acid or control media (6.6 vs. 7.4). There
was an increase in Na(+)/H(+) exchanger activity within 6 h of incubation with
acid media assessed as the rate of sodium-dependent recovery of pH from an acid
load (dpH(i)/dt). The acid stimulation persisted for at least 24 h. The increase
in Na(+)/H(+) exchange activity was paralleled by an increase in surface
expression of NHE8, assessed by surface biotinylation and streptavidin
precipitation. The increase in both apical membrane NHE8 protein expression and
Na(+)/H(+) exchange activity with pH 6.6 media compared with 7.4 media was not
affected by actinomycin D or cycloheximide consistent with an increase in surface
expression independent of mRNA or protein synthesis. Furthermore, there was no
increase in total cellular NHE8 protein abundance or mRNA abundance with acid
media. Finally, we demonstrate that the increase in surface expression of NHE8
with acid media was blocked by colchicine and cytochalasin D and mediated by acid
increasing the rate of exocytosis. In conclusion, NHE8 surface expression and
activity are regulated by acid media by increasing the rate of trafficking to the
apical membrane.
PMID- 22088433
TI - Urine concentrating mechanism: impact of vascular and tubular architecture and a
proposed descending limb urea-Na+ cotransporter.
AB - We extended a region-based mathematical model of the renal medulla of the rat
kidney, previously developed by us, to represent new anatomic findings on the
vascular architecture in the rat inner medulla (IM). In the outer medulla (OM),
tubules and vessels are organized around tightly packed vascular bundles; in the
IM, the organization is centered around collecting duct clusters. In particular,
the model represents the separation of descending vasa recta from the descending
limbs of loops of Henle, and the model represents a papillary segment of the
descending thin limb that is water impermeable and highly urea permeable. Model
results suggest that, despite the compartmentalization of IM blood flow, IM
interstitial fluid composition is substantially more homogeneous compared with
OM. We used the model to study medullary blood flow in antidiuresis and the
effects of vascular countercurrent exchange. We also hypothesize that the
terminal aquaporin-1 null segment of the long descending thin limbs may express a
urea-Na(+) or urea-Cl(-) cotransporter. As urea diffuses from the urea-rich
papillary interstitium into the descending thin limb luminal fluid, NaCl is
secreted via the cotransporter against its concentration gradient. That NaCl is
then reabsorbed near the loop bend, raising the interstitial fluid osmolality and
promoting water reabsorption from the IM collecting ducts. Indeed, the model
predicts that the presence of the urea-Na(+) or urea- Cl(-) cotransporter
facilitates the cycling of NaCl within the IM and yields a loop-bend fluid
composition consistent with experimental data.
PMID- 22088435
TI - More actors in ammonia absorption by the thick ascending limb.
AB - This review will briefly summarize current knowledge on the basolateral ammonia
transport mechanisms in the thick ascending limb (TAL) of the loop of Henle. This
segment transports ammonia against a concentration gradient and is responsible
for the accumulation of ammonia in the medullary interstitium, which, in turn,
favors ammonia secretion across the collecting duct. Experimental data indicate
that the sodium/hydrogen ion exchanger isoform 4 (NHE4; Scl9a4) is a
sodium/ammonia exchanger and plays a major role in this process. Disruption of
murine NHE4 leads to metabolic acidosis with inappropriate urinary ammonia
excretion and decreases the ability of the TAL to absorb ammonia and to build the
corticopapillary ammonia gradient. However, NHE4 does not account for the
entirety of ammonia absorption by the TAL, indicating that, at least, one more
transporter is involved.
PMID- 22088434
TI - Involvement of cytochrome P-450 1B1 in renal dysfunction, injury, and
inflammation associated with angiotensin II-induced hypertension in rats.
AB - We investigated the contribution of cytochrome P-450 1B1 (CYP1B1) to renal
dysfunction and organ damage associated with ANG II-induced hypertension in rats.
ANG II (300 ng.kg(-1).min(-1)) or vehicle were infused for 2 wk, with daily
injections of a selective CYP1B1 inhibitor, 2,4,3',5'-tetramethoxystilbene (TMS;
300 MUg/kg ip), or its vehicle. ANG II increased blood pressure and renal CYP1B1
activity that were prevented by TMS. ANG II also increased water intake and urine
output, decreased glomerular filtration rate, increased urinary Na(+) and K(+)
excretion, and caused proteinuria, all of which were prevented by TMS. ANG II
infusion caused hypertrophy, endothelial dysfunction, and increased reactivity of
renal and interlobar arteries to vasoconstrictor agents and renal vascular
resistance and interstitial fibrosis as indicated by accumulation of alpha-smooth
muscle actin, fibronectin, and collagen, and inflammation as indicated by
increased infiltration of CD-3(+) cells; these effects were inhibited by TMS. ANG
II infusion also increased production of reactive oxygen species (ROS) and
activities of NADPH oxidase, ERK1/2, p38 MAPK, and c-Src that were prevented by
TMS. TMS alone had no effect on any of the above parameters. These data suggest
that CYP1B1 contributes to the renal pathophysiological changes associated with
ANG II-induced hypertension, most likely via increased ROS production and
activation of ERK1/2, p38 MAPK, and c-Src and that CYP1B1 could serve as a novel
target for treating renal disease associated with hypertension.
PMID- 22088436
TI - Tissue injury after lithium treatment in human and rat postnatal kidney involves
glycogen synthase kinase-3beta-positive epithelium.
AB - It was hypothesized that lithium causes accelerated and permanent injury to the
postnatally developing kidney through entry into epithelial cells of the distal
nephron and inhibition of glycogen synthase kinase-3beta (GSK-3beta). GSK-3beta
immunoreactivity was associated with glomeruli, the thick ascending limb of
Henle's loop, and collecting ducts in the developing and adult human and rat
kidney. In rats, the abundance of inactive, phosphorylated GSK-3beta (pGSK-3beta)
protein decreased during postnatal development. After feeding of dams with
litters lithium [50 mmol Li/kg chow, postnatal (P) days 7-28], the offspring
showed plasma lithium concentration of 1.0 mmol/l. Kidneys from lithium-treated
rat pups exhibited dilated distal nephron segments with microcysts. Stereological
analysis showed reduced cortex and outer medullary volumes. Lithium increased
pGSK-3beta and the proliferation marker proliferating cell nuclear antigen (PCNA)
protein abundances in the cortex and medulla. After lithium treatment, pGSK-3beta
immunopositive cells exhibited restricted distribution and were associated
primarily with subsets of cells in dilated and microcystic segments of cortical
collecting ducts. After 6 wk of lithium discontinuation, adult rats exhibited
attenuated urine concentration capacity and diminished outer medullary volume.
Histological sections of two nephrectomy samples and a biopsy from three long
term lithium-treated patients showed multiple cortical microcysts that originated
from normally appearing tubules. Microcysts were lined by a cuboidal PCNA-, GSK
3beta-, and pGSK-3beta-immunopositive epithelium. The postnatal rat kidney may
serve as an experimental model for the study of lithium-induced human kidney
injury. The data are compatible with a causal relationship between epithelial
entry of lithium into cells of the aldosterone-sensitive distal nephron,
inactivation of GSK-3beta, proliferation, and microcysts.
PMID- 22088437
TI - Increased urinary protein excretion in the "normal" range is associated with
increased renin-angiotensin system activity.
AB - Increased levels of albuminuria and proteinuria, both linked to augmented renin
angiotensin system (RAS) activity, are associated with adverse kidney and
cardiovascular events. However, the relationship between variations in urinary
albumin excretion (UAE) and total protein excretion (UTPE) in the normal range
and RAS activity is unclear. We examined the association between UAE and UTPE and
the hemodynamic response to angiotensin II (ANG II) challenge, a well-accepted
indirect measure of RAS activity, in healthy individuals with normal UAE and
UTPE. Forty subjects (15 men, 25 women; age 38 +/- 2 yr; UAE, 3.32 +/- 0.55
mg/day; UTPE, 56.8 +/- 3.6 mg/day) were studied in high-salt balance. Blood
pressure (BP), arterial stiffness determined by applanation tonometry, and
circulating RAS components were measured at baseline and in response to graded
ANG II infusion. The primary outcome was the BP response to ANG II challenge at
30 and 60 min. UAE was associated with a blunted diastolic BP response to ANG II
infusion (30 min, P = 0.005; 60 min, P = 0.17), a relationship which remained
even after adjustment (30 min, P < 0.001; 60 min, P = 0.035). Similar results
were observed with UTPE (30 min, P = 0.031; 60 min, P = 0.001), even after
multivariate analysis (30 min, P = 0.008; 60 min, P = 0.001). Neither UAE nor
UTPE was associated with systolic BP, circulating RAS components, or arterial
stiffness responses to ANG II challenge. Among healthy individuals with UAE and
UTPE in the normal range, increased levels of these measures were independently
associated with a blunted diastolic BP response to ANG II, indicating increased
vascular RAS activity, which is known to be deleterious to both renal and cardiac
function.
PMID- 22088438
TI - L1 cell adhesion molecule and epidermal growth factor receptor activation confer
cisplatin resistance in intrahepatic cholangiocarcinoma cells.
AB - Intrahepatic cholangiocarcinoma (ICC) is refractory to conventional chemotherapy.
We previously generated chemoresistant ICC (SCK(R)) cells and showed that AKT and
ERK signaling conferred cisplatin resistance. Here, we report that epidermal
growth factor receptor (EGFR) signaling and L1 cell adhesion molecule (L1CAM)
conferred cisplatin resistance in SCK(R) cells in an additive fashion. Activation
of EGFR connected to AKT and ERK signaling pathways may induce anti-apoptosis and
promote cell proliferation, while L1CAM promoted cell proliferation by mainly
activating ERK signaling. Inhibition of EGFR activation or L1ACM greatly
sensitized the cells to cisplatin. EGFR and L1CAM may be important targets for
ICC therapy.
PMID- 22088439
TI - Characterization of a cell culture model for clinically aggressive hepatocellular
carcinoma induced by chronic hypoxia.
AB - We demonstrated in an in vitro model (human HepG2 liver cells) that chronic
hypoxia induced gene expression is associated with an aggressive phenotype in
patients with hepatocellular carcinoma (HCC). The aim of this study was to
characterize this model further using gene expression microarray, real-time PCR
and immunocytochemistry. Subsequently, pathway analysis software was used to
identify relevant processes. After examination, we selected 2% O2 during 72 h as
conditions to study chronic hypoxia. The most affected signaling is centered on
TGF-beta1 and PPARalpha/RXRalpha. Cells at 2% O2 showed a shift in expression of
Epithelial-to-Mesenchymal-Transition (EMT) related genes. Furthermore, a
downregulation of liver specific detoxification pathways including cytochrome
P450's and glutathione-S-transferases was observed. Both up- and downregulation
events within different signaling cascades indicated a cellular adaptation and
the onset of a new equilibrium. The prominent role of TGF-beta1- and
PPARalpha/RXRalpha signaling and cell motility pathways warrants their further
investigation for therapeutic targets in HCC.
PMID- 22088440
TI - The challenges of offering public second trimester abortion services in South
Africa: health care providers' perspectives.
AB - Around 25% of abortions in South Africa are performed in the second trimester.
This study aimed to better understand what doctors, nurses and hospital managers
involved in second trimester abortion care thought about these services and how
they could be improved. Nineteen in-depth interviews with abortion-related
service providers and managers in the Western Cape Province, South Africa, were
undertaken. Data were analysed using a thematic analysis approach. Participants
expressed resistance to the dilation and evacuation (D&E) procedure, as this
required more active provider involvement. Medical abortion was preferred as it
required less provider involvement in the abortion process. A shortage of
providers willing to perform D&E resulted in most public sector services being
outsourced to private sector doctors. Respondents noted an increased demand for
services and a concomitant lack of infrastructure, physical space and personnel
to respond to these demands, sometimes resulting in fragmented or poor quality
care. At medical induction sites, most thought introducing the combined
mifepristone-misoprostol regimen would improve service capacity, although they
were concerned about cost. Improving contraceptive services was also seen as a
much-needed intervention to improve care and prevent abortion. Ongoing training,
including values clarification, as well as emotional support and team-building
for providers are needed to ensure sustainable, high-quality second trimester
abortion services.
PMID- 22088441
TI - The Atmospheric Scanning Electron Microscope with open sample space observes
dynamic phenomena in liquid or gas.
AB - Although conventional electron microscopy (EM) requires samples to be in vacuum,
most chemical and physical reactions occur in liquid or gas. The Atmospheric
Scanning Electron Microscope (ASEM) can observe dynamic phenomena in liquid or
gas under atmospheric pressure in real time. An electron-permeable window made of
pressure-resistant 100 nm-thick silicon nitride (SiN) film, set into the bottom
of the open ASEM sample dish, allows an electron beam to be projected from
underneath the sample. A detector positioned below captures backscattered
electrons. Using the ASEM, we observed the radiation-induced self-organization
process of particles, as well as phenomena accompanying volume change, including
evaporation-induced crystallization. Using the electrochemical ASEM dish, we
observed tree-like electrochemical depositions on the cathode. In silver nitrate
solution, we observed silver depositions near the cathode forming incidental
internal voids. The heated ASEM dish allowed observation of patterns of contrast
in melting and solidifying solder. Finally, to demonstrate its applicability for
monitoring and control of industrial processes, silver paste and solder paste
were examined at high throughput. High resolution, imaging speed, flexibility,
adaptability, and ease of use facilitate the observation of previously difficult
to-image phenomena, and make the ASEM applicable to various fields.
PMID- 22088442
TI - Thermal diffuse scattering in transmission electron microscopy.
AB - In conventional transmission electron microscopy, thermal scattering
significantly affects the image contrast. It has been suggested that not
accounting for this correctly is the main cause of the Stobbs factor, the
ubiquitous, large contrast mismatch found between theory and experiment. In the
case where a hard aperture is applied, we show that previous conclusions drawn
from work using bright field scanning transmission electron microscopy and
invoking the principle of reciprocity are reliable in the presence of thermal
scattering. In the aperture-free case it has been suggested that even the most
sophisticated mathematical models for thermal diffuse scattering lack in their
numerical implementation, specifically that there may be issues in sampling,
including that of the contrast transfer function of the objective lens. We show
that these concerns can be satisfactorily overcome with modest computing
resources; thermal scattering can be modelled accurately enough for the purpose
of making quantitative comparison between simulation and experiment. Spatial
incoherence of the source is also investigated. Neglect or inadequate handling of
thermal scattering in simulation can have an appreciable effect on the predicted
contrast and can be a significant contribution to the Stobbs factor problem.
PMID- 22088443
TI - Design of a hybrid double-sideband/single-sideband (schlieren) objective aperture
suitable for electron microscopy.
AB - A novel design is described for an aperture that blocks a half-plane of the
electron diffraction pattern out to a desired scattering angle, and then--except
for a narrow support beam--transmits all of the scattered electrons beyond that
angle. Our proposed tulip-shaped design is thus a hybrid between the single
sideband (ssb) aperture, which blocks a full half-plane of the diffraction
pattern, and the conventional (i.e. fully open) double-sideband (dsb) aperture.
The benefits of this hybrid design include the fact that such an aperture allows
one to obtain high-contrast images of weak-phase objects with the objective lens
set to Scherzer defocus. We further demonstrate that such apertures can be
fabricated from thin-foil materials by milling with a focused ion beam (FIB), and
that such apertures are fully compatible with the requirements of imaging out to
a resolution of at least 0.34nm. As is known from earlier work with single
sideband apertures, however, the edge of such an aperture can introduce unwanted,
electrostatic phase shifts due to charging. The principal requirement for using
such an aperture in a routine data-collection mode is thus to discover
appropriate materials, protocols for fabrication and processing and conditions of
use such that the hybrid aperture remains free of charging over long periods of
time.
PMID- 22088444
TI - In-focus electron microscopy of frozen-hydrated biological samples with a Boersch
phase plate.
AB - We report the implementation of an electrostatic Einzel lens (Boersch) phase
plate in a prototype transmission electron microscope dedicated to aberration
corrected cryo-EM. The combination of phase plate, C(s) corrector and Diffraction
Magnification Unit (DMU) as a new electron-optical element ensures minimal
information loss due to obstruction by the phase plate and enables in-focus phase
contrast imaging of large macromolecular assemblies. As no defocussing is
necessary and the spherical aberration is corrected, maximal, non-oscillating
phase contrast transfer can be achieved up to the information limit of the
instrument. A microchip produced by a scalable micro-fabrication process has 10
phase plates, which are positioned in a conjugate, magnified diffraction plane
generated by the DMU. Phase plates remained fully functional for weeks or months.
The large distance between phase plate and the cryo sample permits the use of an
effective anti-contaminator, resulting in ice contamination rates of <0.6 nm/h at
the specimen. Maximal in-focus phase contrast was obtained by applying voltages
between 80 and 700 mV to the phase plate electrode. The phase plate allows for in
focus imaging of biological objects with a signal-to-noise of 5-10 at a
resolution of 2-3 nm, as demonstrated for frozen-hydrated virus particles and
purple membrane at liquid-nitrogen temperature.
PMID- 22088445
TI - Multilayer Fresnel zone plate for soft X-ray microscopy resolves sub-39nm
structures.
AB - Best resolutions in X-ray focusing are obtained to date by using diffractive
lenses called Fresnel zone plates (FZPs). Their further improvement is
nevertheless hindered by fundamental limitations in the employed manufacturing
techniques. Here, we show a novel method to fabricate FZPs based on multilayer
deposition with atomic layer deposition (ALD) and subsequent sectioning with
focused ion beam (FIB). For the first time a multilayer FZP working in the soft X
ray range was prepared and could achieve the best resolution obtained so far for
multilayer FZPs by resolving features below 39nm in size in a scanning soft X-ray
microscope. The new technique presents high potential for high resolution
microscopy in both the soft and hard X-ray range.
PMID- 22088446
TI - The degradation of EBSD-patterns as a tool to investigate surface crystallized
glasses and to identify glassy surface layers.
AB - Surface crystallized samples of glass-ceramics containing cordierite,
rhombohedral BaAl2B2O7 and fresnoite were analyzed using electron backscatter
diffraction (EBSD). The first two materials were chosen because surface
crystallized samples of these materials have previously been shown to contain
crystals covered by a very thin layer of glass. In all materials, EBSD pattern
degradation occurs if the step size of a scan is chosen to be small. It is shown
that the minimum step size enabling an evaluable EBSD-scan increases notably, if
the crystals are covered by a thin layer of glass. It is also shown that pattern
degradation may be utilized to prove the existence of such a thin glass or
otherwise thermally sensitive layer. This provides significant information
concerning the nucleation process of glasses also with respect to nucleation
theory of glass-ceramics. It is also possible to describe the quantity of
crystalline surface covered by the thermally sensitive layer.
PMID- 22088447
TI - Idiopathic pulmonary fibrosis in relation to gene polymorphisms of transforming
growth factor-beta1 and plasminogen activator inhibitor 1.
AB - BACKGROUND: Idiopathic pulmonary fibrosis (IPF) is a progressive and lethal
fibrotic lung disease of unknown etiology. Host susceptibility or genetic factors
may be important for the predisposition to it. Transforming growth factor-beta1
(TGF-beta1, a potent profibrotic cytokine) and plasminogen activator inhibitor 1
(PAI-1) play important roles in the development of pulmonary fibrosis. The
objective of the study was to investigate the association between the gene
polymorphisms of TGF-beta1 869 T > C and PAI-1 4G/5G and the susceptibility to
IPF in Han ethnicity. METHODS: Polymerase chain reaction (PCR) and restriction
fragment length polymorphism were performed to analyse the gene polymorphisms of
TGF-beta1 in 869T > C and PAI-1 4G/5G in 85 IPF patients and 85 healthy controls
matched in age, gender, race and smoker status. RESULTS: There was a significant
difference in 869T > C genotype distribution of TGF-beta1 between IPF cases and
controls, a significant negative association between TC genotype and the
development of IPF (OR = 0.508, 95%CI: 0.275 - 0.941) and a positive association
between CC genotype and the development of IPF (OR = 1.967, 95%CI: 1.063 -
3.641). There was a significant positive association between PAI-1 5G/5G genotype
and the development of IPF (OR = 0.418, 95%CI: 0.193 - 0.904). CONCLUSIONS: Gene
polymorphisms of TGF-beta1 in 869T > C and PAI-1 4G/5G may affect the
susceptibility to IPF in Han ethnicity. Further investigations are needed to
confirm these findings and assess their biological significance in the
development of the disease in this ethnic population.
PMID- 22088448
TI - Rituximab induction therapy in highly sensitized kidney transplant recipients.
AB - BACKGROUND: The number of highly sensitized patients is rising, and sensitization
can lead to renal transplant failure. The present study aimed to investigate the
safety and efficacy of renal transplantation following induction therapy with
rituximab in highly sensitized kidney transplant recipients. METHODS: Seven
highly sensitized kidney transplant recipients who underwent rituximab therapy
from December 2008 to December 2009 were retrospectively analyzed. There were 3
men and 4 women, with a mean age of 38.5 years (range, 21-47 years). The duration
of hemodialysis was 3-12 months, with a mean duration of 11 months. For 4
patients, this was the second transplant; the previous graft survival time was 2
11 years, with a mean survival time of 5.8 years. All the female recipients had
history of multiple pregnancies, and all patients had previously received blood
transfusions. All donors were men, with a mean age of 32.5 years (range, 25-37
years). In 2 of the 7 patients, both class I and class II of panel reactive
antibody were high; the remaining 5 patients showed either high in class I or in
class II of panel reactive antibody. The mean panel reactive antibody value was
31% for class I and 51% for class II respectively. The donors and the recipients
had the same blood type, with low lymphocyte cytotoxicity ranging from 2% to 5%.
The human leukocyte antigen (HLA) mismatch numbers were from 2 to 4. All patients
received tacrolimus (0.1 mg * kg(-1) * d(-1)) and mycophenolate mofetil (750 mg
twice per day) orally 3 days prior to surgery. All patients received a single
dose of 600 mg rituximab (375 mg/m(2)) infusion on the day before surgery and
polyclonal antibody (antithymocyte globulin) on the day of surgery. Postoperative
creatinine, creatinine clearance rate, and occurrence of rejection by
pathological biopsy confirmation were monitored. RESULTS: No patient had delayed
graft function after surgery. Two patients had acute rejection, one on day 7 and
the other on day 13 post-surgery. Diagnosis of acute rejections was based on the
clinical assessments and pathological biopsy results. According to the Banff 07
classification of renal allograft pathology, one of the patients was Ia and the
other was IIa; the C4d staining was negative in both patients. One patient
received methylprednisolone plus cyclophosphamide and the other received
antithymocyte globulin (ATG) therapy, both leading to successful reversion of the
acute rejection. All patients were discharged postoperatively and all had normal
renal function during the 7th to 12th month follow-up. Pulmonary infection
occurred in 1 patient 4 months after surgery and was successfully cured.
CONCLUSION: Rituximab induction therapy can reduce the occurrence of
postoperative humoral rejection in highly sensitized renal transplant recipients,
suggesting that kidney transplantation may be safe and effective for these
patients.
PMID- 22088449
TI - Phase I trial of icotinib, a novel epidermal growth factor receptor tyrosine
kinase inhibitor, in Chinese patients with non-small cell lung cancer.
AB - BACKGROUND: The preclinical experiments and studies of congener drugs show
icotinib, a new epidermal growth factor receptor (EGFR) tyrosine kinase
inhibitor, can specifically bind to the tyrosine kinase domain of the EGFR, block
the EGFR related signal, thereby inhibit the growth of tumor cell. The objective
of this study was to investigate the safety, tolerability and dose-related
biologic effects of icotinib in patients with non-small cell lung cancer (NSCLC)
in a Chinese patient population. METHODS: This was an open-label, phase I, dose
escalation, safety/tolerability trial of oral icotinib (100 to 400 mg),
administered twice per day for 28-continuous-day cycles until disease progression
or undue toxicity. RESULTS: Forty patients with stage IIIB (15%) or IV (85%)
NSCLC were included in the study. They had mainly adenocarcinoma (85%), with a
performance status (PS) of 0 (45%) or 1 (55%) and less than half the patients
(45%) had histories of smoking and all were pretreated by at least one regimen of
chemotherapy. Patients were assigned to three dose levels of 150 mg b.i.d, 200 mg
b.i.d, or 125 mg t.i.d. The follow-up periods ranged from 5 to 80 weeks. Adverse
events were found in 35% patients, most of which were mild and reversible. The
adverse events mainly occurred in the first 4 weeks and included rash (25%),
diarrhea, nausea and abdominal distention. One definite interstitial lung disease
(ILD) was found in a patient in the dose of 200 mg b.i.d. According to an 8-week
assessment, one (2.5%) patient receiving 150 mg gained complete response (CR)
that persisted for 44 weeks, seven (17.50%) patients had partial remission (PR),
and 18 (45%) patients had stable disease (SD). The objective response including
CR + PR was 20%. The median time of progression-free survival for the 40 patients
was 20 weeks (range: 12 to 32 weeks). The response was not affected by
pathological type, history of smoking, or numbers of previous therapeutic
regimens. No relationship between dose, response, adverse effect, or duration of
the study was observed. CONCLUSIONS: Icotinib, given as oral twice daily, showed
favorable safety and tolerability. Mild and reversible rash, diarrhea, and nausea
were the main adverse events. Antitumor activity was obvious at each dose in
heavily pretreated patients. Pharmacodynamic evaluations and further phase II/III
trials are in progress.
PMID- 22088450
TI - Early and long-term results of combined cardiac surgery and neoplastic resection
in patients with concomitant severe heart disease and neoplasms.
AB - BACKGROUND: It is a surgical dilemma when patients present with both severe heart
disease and neoplasms. The best surgical treatment remains controversial. This
study aimed to analyze the early and long-term results of simultaneous surgical
treatment of severe heart disease and neoplasms. METHODS: We reviewed the
clinical records of 15 patients who underwent simultaneous neoplastic resection
and cardiac surgery between September 2006 and January 2011. There were 5 male
and 10 female patients. The mean age was (59.2 +/- 12.5) years and the mean left
ventricular ejection fraction was (57.4 +/- 11.0)%. All patients were followed up
completely for a period of 12 to 51 months (mean, (33.1 +/- 11.2) months).
RESULTS: Fifteen patients underwent simultaneous cardiac surgery and neoplastic
resection. Cardiac procedures consisted of off pump coronary artery bypass
grafting (n = 7), aortic valve replacement (n = 3), mitral valve replacement (n =
3), mitral valve replacement with coronary artery bypass grafting (n = 1) and
left atrial myxoma resection (n = 1). Neoplastic resection consisted of lung
cancer resection (n = 5), colonic cancer resection (n = 3), gallbladder resection
(n = 1), colonic cancer resection with gallbladder resection (n = 1),
hysterectomy (n = 2), hysterectomy with bilateral salpingo-oophorectomy (n = 2)
and left ovariectomy (n = 1). Pathological examination confirmed malignant
disease in 10 patients and benign disease in 5 patients. There were no
perioperative myocardial infarctions, stroke, pericardial tamponade, renal
failure or hospital deaths. The most frequent complications were atrial
fibrillation (33.3%), pneumonia (26.7%), low cardiac output syndrome (6.7%) and
delayed healing of surgical wounds (6.7%). There was 1 late death 42 months after
surgery for recurrent malignant disease. At 1 and 3 years, survival rates were
100% (Kaplan-Meier method). CONCLUSIONS: Simultaneous cardiac surgery and
neoplastic resection was not associated with increased early or late morbidity or
mortality. Cardiopulmonary bypass does not appear to adversely affect survival in
patients with malignant disease. The long-term survival was determined by tumor
stage.
PMID- 22088451
TI - Modified culotte stenting for treatment of complex coronary bifurcation lesions:
immediate and 9-month outcomes in a pilot study.
AB - BACKGROUND: The optimal stenting strategy for the treatment of coronary
bifurcation lesions (CBLs) remains uncertain. The present study observed
technical feasibility and reliability, 9-month clinical and angiographic outcomes
of the modified culotte stenting (MCS) in the treatment of CBLs with drug-eluting
stents. METHODS: A total of 34 consecutive patients with CBLs that required
stenting the parent vessel (PV), the main branch (MB) and the side branch (SB)
were included. All patients were first assigned to receive MCS for CBL
interventions (per MCS), and might be switched to receive the double-kissing
crush stenting (DKS) in case of temporally acute branch occlusion (per protocol).
RESULTS: The immediate angiographic or procedural success was achieved in 33/34
(97%) lesions (patients) per MCS, 34/34 (100%) lesions (patients) per protocol
with 100% successful final balloon kissing. The long-term clinical success at 9
months was 94% per MCS and 94% per protocol, only 2 patients had reoccurrence of
angina but none of them needed target lesion revascularization. There were no
procedure-related biomarker elevation, no in-stent thrombosis peri-procedurally
and at 9-month follow-up. Quantitative coronary angiography data at 9 months
showed that in-stent (6%) or in-segment (6%) binary stenosis was infrequent, and
minimal lumen diameter was significantly reduced but late lumen loss was
acceptable with only (0.10 +/- 0.14) mm for PV, (0.21 +/- 0.23) mm for MB and
(0.27 +/- 0.32) mm for SB. CONCLUSIONS: MCS for treatment of CBLs that required
dual-stent implantation was technically easier and safer, readily to complete
final balloon kissing, and was associated with high immediate success and optimal
9-month outcomes.
PMID- 22088453
TI - Intrahepatic biliary injuries associated with radiofrequency ablation of hepatic
malignancies.
AB - BACKGROUND: Biliary injury after radiofrequency ablation can cause serious
consequences including death. However, there are limited data regarding bile duct
changes with or without complications associated with radiofrequency ablation of
hepatic malignancies. This study aimed to assess the incidence, prognosis and
risk factors of intrahepatic biliary injury associated with radiofrequency
ablation. METHODS: Between June 2001 and January 2009, 638 patients with hepatic
malignancies (405 with hepatocellular carcinoma, and 233 with liver metastasis)
who had 955 treatment sessions were enrolled in this study. Imaging and
laboratory data, the course of treatment, and patient outcomes were reviewed
retrospectively. The risk factors of biliary injury and the impact on overall
survival of patients were analyzed. The chi-square test, Fisher's exact test,
Kaplan-Meier curves and stepwise Logistic regression model were used for
statistical analysis where appropriate. RESULTS: Biliary injury was observed in
17 patients after 17 ablation sessions based on imaging findings. The overall
incidence of biliary injury was 1.8% (17/955) with an average onset time of 12
weeks (2-36 weeks). Mild, moderate and severe complications of biliary injury
were identified in 9, 6 and 2 cases, respectively. The median survival time after
detection of biliary injury was 40 months. There seemed no notable difference in
overall survival between patients with and those without biliary injuries. By
multivariate analysis, vessel infiltration (P = 0.034) and treatment session >= 4
times (P = 0.025) were independent risk factors for biliary injury of
hepatocellular carcinoma; while tumor located centrally was the only independent
risk factor in the metastasis group (P = 0.043). CONCLUSIONS: The incidence of
biliary injury was not frequent (1.8%). Through appropriate treatment,
intrahepatic bile duct injuries seemed not affect the patients' long-term
survival. Additionally, risk factors may be helpful for selecting radiofrequency
ablation candidates and predicting biliary complications.
PMID- 22088452
TI - Coexistence of Th1/Th2 and Th17/Treg imbalances in patients with allergic asthma.
AB - BACKGROUND: Recent recognition is that Th2 response is insufficient to fully
explain the aetiology of asthma. Other CD4(+) T cells subsets might play a role
in asthma. We investigated the relative abundance and activities of Th1, Th2,
Th17 and CD4(+)CD25(+) Treg cells in patients with allergic asthma. METHODS:
Twenty-two patients with mild asthma, 17 patients with moderate to severe asthma
and 20 healthy donors were enrolled. All patients were allergic to house dust
mites. Plasma total IgE, pulmonary function and Asthma Control Questionnaire were
assessed. The proportions of peripheral blood Th1, Th2, Th17 and CD4(+)CD25(+)
Treg cells were determined by flow cytometry. The expression of cytokines in
plasma and in the culture supernatant of peripheral blood mononuclear cells was
determined by enzyme linked, immunosorbent assay. RESULTS: The frequency of blood
Th2 cells and IL-4 levels in plasma and culture supernatant of peripheral blood
mononuclear cells were increased in all patients with allergic asthma. The
frequency of Th17 cells and the plasma and culture supernatant levels of IL-17
were increased, whereas the frequency of CD4(+)CD25(+) Treg cells and plasma IL
10 levels were decreased in patients with moderate to severe asthma.
Dermatophagoides pteronyssinus specific IgE levels were positively correlated
with the percentage of blood Th2 cells and plasma IL-4 levels. Forced expiratory
volume in the first second was negatively correlated with the frequency of Th17
cells and plasma IL-17 levels, and positively correlated with the frequency of
Treg cells. However, mean Asthma Control Questionnaire scores were positively
correlated with the frequency of Th17 cells and plasma IL-17 levels, and
negatively correlated with the frequency of Treg cells. CONCLUSIONS: Imbalances
in Th1/Th2 and Th17/Treg were found in patients with allergic asthma.
Furthermore, elevated Th17 cell responses, the absence of Tregs and an imbalance
in Th17/Treg levels were associated with moderate to severe asthma.
PMID- 22088454
TI - New prognostic parameters for very-low-risk gastrointestinal stromal tumors.
AB - BACKGROUND: According to the National Institutes of Health consensus criteria,
gastrointestinal stromal tumors (GISTs) smaller than 2 cm in diameter with less
than 5 mitotic figures per 50 high-power fields are considered very-low-risk
GISTs, but these two indices alone cannot reliably predict a benign outcome
during long-term follow-ups. Therefore, identification of additional parameters
for predicting the clinical behavior of GISTs is necessary. METHODS: Eighty-eight
patients with tumors that meet the very-low-risk GIST criteria were
retrospectively investigated and morphological parameters of tumors associated
with the biological behavior of very-low-risk GISTs were evaluated in the present
study. The Kaplan-Meier method was used to calculate disease-free survival rates.
RESULTS: Eighty-one patients were followed up for one to 16.3 years. Five cases
of relapses were identified in the patients. Distinctive infiltrative growth
patterns such as muscularis propria, muscularis mucosa, or nerve infiltration
were identified by microscopy in 4 patients with the relapse, including three
patients who experienced multiple recurrences. The infiltrative growth features
became more obvious in multiple recurrent tumors compared to the single recurrent
tumor, while only one developed relapse in 76 patients without infiltration (P <
0.0001). CONCLUSION: Microscopic infiltrative growth patterns of the tumor may
have clinical significance in predicting the prognosis of very-low-risk GISTs.
PMID- 22088455
TI - Environment surveillance of filamentous fungi in two tertiary care hospitals in
China.
AB - BACKGROUND: Invasive fungal infections have constituted an increasingly important
cause of morbidity and mortality in immunocompromised patients. In this study, a
surveillance project was conducted in three different intensive care units of two
large tertiary hospitals in China. METHODS: A one-year surveillance project was
conducted in two tertiary hospitals which located in northern China and southwest
China respectively. Air, surfaces and tap water were sampled twice a month in a
central intensive care unit, a bone marrow transplant unit, a neurosurgery
intensive care unit and a live transplant department. Environmental conditions
such as humidity, temperature and events taking place, for example the present of
the visitors, healthcare staff and cleaning crew were also recorded at the time
of sampling. RESULTS: The air fungal load was 91.94 cfu/m(3) and 71.02 cfu/m(3)
in the southwest China hospital and the northern China hospital respectively. The
five most prevalent fungi collected from air and surfaces were Penicillium spp.,
Cladospcrium spp., Alternaria spp., Aspergillus spp. and Saccharomyces spp. in
the southwest China hospital, meanwhile Penicillium spp., Fusarium spp.,
Aspergillus spp., Alternaria spp. and Cladospcrium spp. in the northern China
hospital. The least contaminated department was intensive care units, and the
heaviest contaminated department was neurosurgery intensive care unit. Seventy
three percent of all surfaces examined in the northern China hospital and eighty
six percent in the southwest China hospital yielded fungi. Fifty-four percent of
water samples from the northern China hospital and forty-nine percent from the
southwest China hospital yielded fungi. CONCLUSIONS: These findings suggested
that the fungus exist in the environment of the hospital including air, surface
and water. Air and surface fungal load fluctuated over the year. Air fungal load
was lower in winter and higher in summer and autumn, but seldom exceeded
acceptable level. The higher values were created during May to August in the
northern China hospital and May to June and September to October in the southwest
China hospital. A correlation between air fungal load and humidity, as well as
personnel was observed.
PMID- 22088456
TI - Role of tumor necrosis factor-alpha in the pathogenesis of atrial fibrillation.
AB - BACKGROUND: Tumor necrosis factor-alpha (TNF-alpha) is a pleiotropic
proinflammatory cytokine and contributes to many kinds of cardiovascular diseases
via its receptors (TNFR1/TNFR2). We hypothesize that TNF-alpha plays a role in
the pathogenesis of chronic atrial fibrillation (AF). METHODS: Sixty-seven
consecutive patients who were scheduled to have cardiac surgery were enrolled
into the study. Thirty-one patients with rheumatic heart disease (RHD) and AF
were enrolled as study group (AF group). The sinus rhythm (SR) control groups
consisted of 20 patients with RHD and 16 patients with coronary artery disease
(CAD). Peripheral blood sample was collected before the operation. About 5 mm(3)
left atrial tissue was disserted during the operation and was separated into
three parts for Western blotting, real time polymerase chain reaction (RT-PCR)
and immunohistochemistry (IHC) analysis. RESULTS: Compared with the controls (RHD
SR and CAD SR), the levels of TNF-alpha ((14.40 +/- 5.45) pg/ml vs. (4.20 +/-
3.19) pg/ml vs. (2.68 +/- 2.20) pg/ml, P = 0.000) and its soluble receptor 1
(sTNFR1) ((1623.9 +/- 558.6) pg/ml vs. (1222.3 +/- 175.6) pg/ml vs. (1387.5 +/-
362.2) pg/ml, P = 0.001) in plasma were higher in patients with AF. TNF-alpha
level had positive correlation with the left atrial diameter (LAD) (r = 0.642, P
= 0.000). Western blotting analysis showed that the protein levels of TNF-alpha
(0.618 +/- 0.236 vs. 0.234 +/- 0.178 vs. 0.180 +/- 0.103, P = 0.000) were higher
in patients with AF. The RT-PCR analysis results demonstrated that the mRNA
expression of TNF-alpha (0.103 +/- 0.047 vs. 0.031 +/- 0.027 vs. 0.023 +/- 0.018,
P = 0.000) increased in patients with AF. IHC analysis displayed that, comparing
to the SR, the expression of TNF-alpha (0.125 +/- 0.025 vs. 0.080 +/- 0.027 vs.
0.070 +/- 0.023, P = 0.000) increased in the AF group. The protein level and mRNA
expression of TNF-alpha also had positive correlation with left atrium diameter
(LAD) (r = 0.415, P = 0.000 and r = 0.499, P = 0.000). CONCLUSIONS: The results
revealed that TNF-alpha elevated in the plasma and left atrial tissue and had
positive correlation with LAD in patients of chronic AF. TNF-alpha might involve
in the pathogenesis of chronic AF.
PMID- 22088457
TI - Clinical evaluation of the quantitative locator for conjunctiva resection used as
an instrument for the treatment of conjunctivochalasis.
AB - BACKGROUND: The crescent excision of the inferior bulbar conjunctiva has been
advised as a surgical procedure in the management of conjunctivochalasis
refractory to medical treatments. However, it is difficult for this procedure to
design how much conjunctival tissue should be excised. This study aimed to
present a quantitative locator for conjunctiva resection and evaluate its effect
on the treatment of conjunctivochalasis (CCh). METHODS: Poly beta-hydroxyethyl
methacrylate resin/beta-hydroxyethyl methacrylate (HEMA, water gel) was used as
the material to make the quantitative locator which was designed to suit the
specific patient. Forty-six patients with bilateral symptomatic CCh were included
in this prospective study. Of the patients, while the right eye underwent the
popularly used crescent-shaped conjunctiva resection (group I), the left eye was
treated with conjunctiva resection assisted by the quantitative locator (group
II). International Ocular Surface Disease Index (OSDI), scores of remnant
conjunctiva fold, complications and conjunctival cut healing, height of tear
meniscus, tear break-up time (BUT), and time of surgery were evaluated. Tasting
chloromycetin test (TCT) was used to evaluate how the lacrimal duct worked.
RESULTS: OSDI in group II (8.82 +/- 2.36) was significantly lower than that in
group I (14.67 +/- 2.21) (t = 12.22, P < 0.01). The amount of conjunctiva fold
remaining in group II was less than that in group I. Scores of remnant
conjunctiva fold in group I were significantly higher than those in group II (t =
31.85, P < 0.01). While evaluation scores of conjunctival cut healing in group I
were lower than those in group II, scores of complication in group I were
significantly higher than those in group II at 8 weeks after surgery (t = 89.60,
P < 0.01). There was no significant difference in eyes with normal BUT (chi(2) =
0.031, P = 0.985) between the two groups, as the case was in eyes with positive
TCT (chi(2) = 0.14, P = 0.930) and in eyes with normal height of tear meniscus
(chi(2) = 0.48, P = 0.780). Mean surgery time in group II ((17.11 +/- 2.08)
minutes) was significantly shorter than that in group I ((25.22 +/- 4.78)
minutes) (t = 13.84, P < 0.01). CONCLUSION: A quantitative locator can be used as
an effective, safe, and less time-consuming instrument to facilitate conjunctival
excision for symptomatic CCh treatment.
PMID- 22088458
TI - Expression of Smad7 inhibits fibrogenic responses of keratocytes to transforming
growth factor beta2.
AB - BACKGROUND: Transforming growth factor beta (TGFbeta) is one of the most
important growth factors in the development of fibrosis and scarring on cornea.
Smad7, an inhibitory Smad, can inhibit TGFbeta signal transduction. In recent
years, effects of lentiviral-mediated Smad7 on inhibition of fibrosis on some
organs have been studied, while little is known about the effects on cornea. This
study aimed to determine the effects of lentiviral-mediated Smad7 gene expression
on keratocyte proliferation and fibrosis induced by TGF beta2 in vitro. METHODS:
Keratocytes were cultured from corneal tissue isolated from Sprague-Dawley (SD)
rats and transfected with Smad7 expressing lentiviral vector (Lv-Smad7) or non
functioning control vector (Lv-blank). Following the exposure to TGFbeta2,
keratocytes were processed for immunoblotting to assess the phosphorylation of
Smad2 as down-stream event of TGFbeta/Smad signaling. Expression of fibrotic
markers alpha-smooth muscle actin (alpha-SMA), type III collagen (collagen III)
were measured by Western blotting and quantitative real time polymerase chain
reaction (PCR). Overall cell proliferation was determined by 3-(4,5-dimethyl
thiazol-2-yl)-2,5-diphenyltetrazolium bromide (MTT) assay and the expression of
cell cycle-related marker Ki67 at both mRNA and protein levels. RESULTS: The
Smad7 gene transfer suppressed TGFbeta/Smad signaling in keratocytes by down
regulating phosphorylation of Smad2. Markers of cell proliferation and fibrosis
including Ki67, alpha-SMA, collagen III were inhibited by introduction of Smad 7
into TGFbeta exposed keratocytes. Consequently, the rate of cell proliferation
was attenuated. CONCLUSION: Smad7 gene transfer inhibited fibrogenic responses of
keratocytes to TGFbeta2.
PMID- 22088459
TI - Growth of G422 glioma implanted in the mouse brain was affected by the immune
ability of the host.
AB - BACKGROUND: It is generally accepted that gliomas are the most common primary
brain tumors with poor prognosis. We aimed to explore the relationship of the
immunity of the central nervous system and the genesis and development of glioma.
METHODS: G422 glioma was implanted in the brain of BALB/c mice (immuno-competent
mice), nude mice (T cell related immuno-deficient) and complement C3 knock-out
mice (complement C3 related immunodeficient). The survival time of the host,
growth and histopathology of the tumor, and concentrations of tumor necrosis
factor-alpha (TNF-alpha) and interferon-gamma (INF-gamma) in tumor tissues were
assessed. RESULTS: Tumor spheres were formed in all mice after injection, and
glial fibrillary acidic protein (GFAP) positive staining of the cells declared
their glioma origin. The longest median survival time of (44.3 +/- 6.0) days was
found in BALB/c mice, followed by (24.8 +/- 5.2) days in nude mice and the
shortest (18.6 +/- 5.8) days in complement C3 knock-out mice. Accordingly, the
growth of the tumor was fastest in complement C3 knock-out mice, followed by the
nude mice and slowest in the BALB/c mice. Although the proportions of
infiltrating CD68(+) lymphocytes in tumor tissues showed no significant
difference (P > 0.05), TNF-alpha level in the nude and C3 knock-out mice, (28.11
+/- 4.86) umol/L and (22.87 +/- 6.36) umol/L respectively, were significantly
lower (P < 0.01) than that in the BALB/c mice, which was (230.21 +/- 39.17)
umol/L. The INF-gamma level was highest in the BALB/c mice ((180.76 +/- 29.19)
umol/L), followed by the nude mice ((113.46 +/- 23.76) umol/L) and then the C3
knock-out mice ((16.84 +/- 4.45) umol/L). CONCLUSIONS: The G422 glioma implanted
in the brains of mice with different immune ability would be a useful model for
studying the relationship of the immune system and tumor in the central nervous
system. Furthermore, the T cells and complement C3 compartments of the immune
response may affect the growth of implanted tumors and inflammatory factors such
as TNF-alpha and INF-gamma.
PMID- 22088460
TI - Sutureless choledochoduodenostomy with an intraluminal degradable stent in dog
model.
AB - BACKGROUND: It is difficult and time-consuming for carrying out conventional hand
sewn bilioenteric anastomosis, especially for small bile duct anastomosis and
laparoscopic procedure. In order to simplify it, we have developed a novel
procedure of sutureless bilioenteric anastomosis with an intraluminal degradable
stent. This study aimed to evaluate the feasibility and safety of this technique
with cholangioduodenostomy in dog model. METHODS: A patent intraluminal
degradable stent tube for sutureless choledochoduodenostomy in dog model was made
with polylactic acid in diameter of 3 mm or 4 mm. Thirty-eight dogs were randomly
divided into to a stent group (SG, n = 20) and a control group (CG, n = 18). Dogs
in the SG underwent sutureless choledochoduodenostomy with intraluminal stent,
while the CG underwent conventional choledochoduodenostomy (single layer
discontinuous anastomosis with absorbable suture). Dogs of each group were
divided into 4 subgroups according to time of death (1, 3, 6, and 12 months
postoperatively) to evaluate the healing of anastomosis. Operation time,
intraoperative tolerance pressure of anastomosis, rate of postoperative bile
leakage, bursting pressure of anastomosis were compared between the two groups.
Anastomosis tissue was observed afterwards by pathology evaluation,
hydroxyproline content, serum bilirubin, liver enzyme level and magnetic
resonance cholangio-pancreatography (MRCP) to assess the stricture. RESULTS: All
procedures were completed successfully. The surgical time of the SG was
significantly less than the CG (SG: (19.2 +/- 4.3) minutes, vs. CG: (29.2 +/-
7.1) minutes, P = 0.000). One bile leakage was occurred in either group. No
significant difference of intraoperative tolerance pressure of anastomosis, rate
of bile leakage and postoperative bursting pressure of anastomosis, anastomotic
stricture, hydroxyproline content, serum bilirubin and liver enzyme level was
found between the two groups. MRCP showed no anastomosis stricture and
obstruction during months of follow-up. CONCLUSION: The technique of sutureless
choledochoduodenostomy with a degradable intraluminal stent is feasible and a
safe procedure in this dog model.
PMID- 22088461
TI - Preconditioning of intravenous parecoxib attenuates focal cerebral
ischemia/reperfusion injury in rats.
AB - BACKGROUND: Several studies suggest that cyclooxygenase-2 (COX-2) contributes to
the delayed progression of ischemic brain damage. This study was designed to
investigate whether COX-2 inhibition with parecoxib reduces focal cerebral
ischemia/reperfusion injury in rats. METHODS: Ninety male Sprague-Dawley rats
were randomly assigned to three groups: the sham group, ischemia/reperfusion
(I/R) group and parecoxib group. The parecoxib group received 4 mg/kg of
parecoxib intravenously via the vena dorsalis penis 15 minutes before ischemia
and again at 12 hours after ischemia. The neurological deficit scores (NDSs) were
evaluated at 24 and 72 hours after reperfusion. The rats then were euthanized.
Brains were removed and processed for hematoxylin and eosin staining, Nissl
staining, and measurements of high mobility group Box 1 protein (HMGB1) and tumor
necrosis factor-alpha (TNF-alpha) levels. Infarct volume was assessed with 2,3,5
triphenyltetrazolium chloride (TTC) staining. RESULTS: The rats in the I/R group
had lower NDSs (P < 0.05), larger infarct volume (P < 0.05), lower HMGB1 levels
(P < 0.05), and higher TNF-alpha levels (P < 0.05) compared with those in the
sham group. Parecoxib administration significantly improved NDSs, reduced infarct
volume, and decreased HMGB1 and TNF-alpha levels (P < 0.05). CONCLUSIONS:
Pretreatment with intravenous parecoxib was neuroprotective. Its effects may be
associated with the attenuation of inflammatory reaction and the inhibition of
inflammatory mediators.
PMID- 22088462
TI - Autologous nucleus pulposus transplantation to lumbar 5 dorsal root ganglion
after epineurium dissection in rats: a modified model of non-compressive lumbar
herniated intervertebral disc.
AB - BACKGROUND: Nucleus pulposus of intervertebral discs has proinflammatory
characteristics that play a key role in neuropathic pain in lumbar herniated
intervertebral disc. One of the most commonly used animal models (the traditional
model) of non-compressive lumbar herniated intervertebral disc is created by L4
L5 hemilaminectomy and the application of autologous nucleus pulposus to cover
the left L4 and L5 nerve roots in rats. However, such procedures have the
disadvantages of excessive trauma and low success rate. We proposed a modified
model of non-compressive lumbar herniated intervertebral disc in which only the
left L5 dorsal root ganglion is exposed and transplanted with autologous nucleus
pulposus following incision of epineurium. We aimed to compare the modified model
with the traditional one with regard to trauma and success rate. METHODS: Thirty
Sprague-Dawley male rats were randomized into three groups: sham operation group
(n = 6), traditional group (n = 12), and modified group (n = 12). The amount of
blood loss and operative time for each group were analyzed. The paw withdrawal
threshold of the left hind limb to mechanical stimuli and paw withdrawal latency
to heat stimuli were examined from the day before surgery to day 35 after
surgery. RESULTS: Compared with the traditional group, the modified group had
shorter operative time, smaller amount of blood loss, and higher success rate
(91.7% versus 58.3%, P < 0.05). There was no decrease in paw withdrawal latency
in any group. The sham operation group had no decrease in postoperative paw
withdrawal threshold, whereas the modified and traditional groups had significant
reduction in paw withdrawal threshold after surgery (mechanical hyperalgesia).
CONCLUSIONS: Transplantation of nucleus pulposus onto the L5 dorsal root ganglion
following incision of epineurium in rats established an improved animal model of
non-compressive lumbar herniated intervertebral disc with less trauma and more
stable pain ethology.
PMID- 22088463
TI - Effects of HepII domain peptides V of fibronectin on corneal permeability,
endothelial cells, intraocular pressure and morphology of trabecular meshwork in
rats.
AB - BACKGROUND: Trabecular meshwork (TM) cell volume may be an important determinant
of aqueous humor outflow in the eye. This study aimed to evaluate the role of
HepII domain peptides V on corneal permeability, corneal endothelial cells,
intraocular pressure (IOP) and morphology of trabecular meshwork in rats.
METHODS: The IOP of rat eyes was measured before and 3, 5, 7 and 8 hours after
topical delivery of HepII domain peptides V through intracameral injections. The
peptide's concentration in aqueous humor was assessed by high performance liquid
chromatography (HPLC). The shape and density of endothelial cells were observed
by laser confocal microscopy 8 hours, 3 and 14 days after intracameral injections
of HepII domain peptides V. The morphological changes in TM of rat eyes were
assessed by transmission electron microscopy (TEM). RESULTS: Intracameral
injection of HepII domain peptides V significantly (P < 0.001) decreased IOP by
(5.71 +/- 2.10) mmHg in rats at 5 hours after injection. There were no obvious
changes of the shape and the density of corneal endothelial cells. In addition,
morphological changes in the TM of rats were observed including the expansion of
intercellular spaces in the juxtacanalicular meshwork, removal of extracellular
material, cellular relaxation, and cytoskeleton reorganization. CONCLUSIONS:
HepII domain peptides V could not penetrate cornea and was safe to corneal
endothelial cells. HepII domain peptides V could significantly decrease IOP in
rat probably by disorganizing actin cytoskeleton and cell-junction in the TM.
PMID- 22088464
TI - Downregulation of Rho associated coiled-coil forming protein kinase 1 in the
process of delayed myocardialization of cardiac proximal outflow tract septum in
connexin 43 knockout mice embryo.
AB - BACKGROUND: The connexin43 knockout (Cx43 KO) mouse dies at birth with an
enlarged conotruncal region, which leads to the obstruction of the right outflow
tract (OFT). Since myocardialization of the proximal OFT septum is one of the key
events during heart development, we investigated the process in the Cx43 KO
embryo hearts. Rho associated coiled-coil forming protein kinase 1 (ROCK1), is a
recently found key molecule to regulate the myocardialization of OFT, but its
spatiotemporal expression pattern during myocardialization remains unknown. The
objective of this study was to investigate the differentially expressed pattern
of ROCK1 between Cx43 KO and wild type embryo hearts, and its relationship with
the delayed myocardialization in Cx43 KO embryo hearts. METHODS: Using
immunohistochemistry, the processes of myocardiolization were investigated both
in Cx43 KO and wild type embryo hearts. The differentially expressed pattern of
ROCK1 between Cx43 KO and wildtype embryo hearts was evaluated both at the mRNA
and protein level by real-time RT-PCR and immunohistochemistry. RESULTS: The
expression of alpha-sarcomeric actin (alpha-SCA) in the proximal OFT septum of
Cx43 KO embryos was delayed. Meanwhile, it was shown that the downregulation of
ROCK1 coincided with delayed myocardialization. The expression of ROCK1 protein
was mainly limited to the proximal outflow tract septum from embryo day (E) E11.5
to E15.5. Its expression pattern was similar with that of alpha-SCA. Real-time RT
PCR found that the expression level of Rock-1 mRNA began at a low level on E11.5
and reached peak at E13.5 and E14.5. CONCLUSIONS: ROCK1 may have an important
role in the process of myocardialization of the proximal OFT septum.
Downregulation of ROCK1 is likely to contribute to the aberrant myocardialization
in Cx43 KO embryo hearts.
PMID- 22088465
TI - Establishment and gene expression profiling of LKB1 stable knockdown lung cancer
cell line.
AB - BACKGROUND: Lung cancer is the leading cause of cancer-related death in China.
Mutation analysis reveals that LKB1 inactivation is present in 30% of non-small
cell lung cancer (NSCLC), indicating its role as a tumor suppressor. However, the
molecular mechanism is still not clear. Our study attempted to establish LKB1
stable knockdown NSCLC cell line, detect alterations in gene expression and
identify the genes regulated by LKB1. METHODS: LKB1 stable knockdown H1299 cell
line was established using a lentiviral short hairpin RNA. To identify the
knockdown effect, LKB1 mRNA and protein expression level were evaluated with
quantitative real-time PCR and Western blotting. We treated the cell lines with 2
deoxyglucose to determine if LKB1 protein function was impacted. Gene microarray
analysis was performed to detect the gene expression alterations in LKB1 stable
knockdown H1299 cells. RESULTS: LKB1 mRNA and protein expression were
significantly suppressed in LKB1 stable knockdown H1299 cell line. 2-DG treatment
had little impact on the phosphorylation of AMPK, which is the downstream target
of LKB1, indicating the loss of function of LKB1. The microarray data showed that
LKB1 knockdown resulted in expression alterations of 1243 kinds of genes,
including those involved in cell migration, cell proliferation and cell
apoptosis. CONCLUSIONS: The establishment of LKB1 stable knockdown H1299 cell
line provides us with a great tool to investigate various genes regulated by LKB1
through microarray. The discovery of cell proliferation and migration-related
genes regulated by LKB1 is critical for unraveling molecular mechanisms of LKB1's
role in the development and metastasis of lung cancer.
PMID- 22088466
TI - Osteoprotegerin and osteoprotegerin ligand expression during human marrow stromal
cell differentiation and their effect on osteoclast formation.
AB - BACKGROUND: Osteoprotegerin (OPG) and osteoprotegerin ligand (OPGL) play an
important role in human bone metabolism. The aim of this research was to detect
the expression of OPG and OPGL during human marrow stromal cells (hMSC)
differentiation into osteoblasts (OB), and to observe their effect on osteoclasts
(OC) formation in vitro to investigate bone metabolism mechanisms. METHODS: hMSCs
were obtained from human bone marrow specimens using gradient centrifugation
method, before being purified and incubated with differentiation medium to
develop along the human osteoblasts (hOB) pathway. Morphology observation,
biochemical detection and cell staining were performed during hMSC
differentiation. OPG and OPGL mRNA levels were detected by reverse transcription
polymerase chain reaction. OPG and OPGL protein expression were determined by
Western blotting. We further obtained OC progenitor cells from mice bone marrow
and co-cultured with differentiating MSCs. We assessed the effect of OPG and OPGL
on OC formation by identifying tartrate resistant acid phosphatase (TRAP)
positive multinuclear cells. RESULTS: Optimal hMSC survival and purification were
observed, along with stable biochemical indexes. Alkaline phosphatase secretion
increased significantly and mineralization nodules appeared in the process of
cell differentiation. OPG mRNA and protein level increased significantly, while
OPGL mRNA and protein level decreased. Average levels of OPG mRNA and protein
were about 2.5-fold higher than the control, while OPGL mRNA and protein levels
were reduced by about one-half. In the group co-culturing with undifferentiated
MSC or added OPGL, we found TRAP positive and multi- nuclear OC formation.
However, OC formation was absent in the group co-culturing with differentiated
MSC or added OPG. CONCLUSIONS: During hMSC differentiation into hOB, OPG
secretion increased rapidly and OPGL production decreased significantly. The
OPG/OPGL ratio was also increased, while OC formation was inhibited and bone
absorption decreased. Thus, regulation of the OPG/OPGL ratio may be important in
controlling MSC differentiation, OB and OC formation in succession involved in
bone metabolism.
PMID- 22088467
TI - Induction of actin disruption and downregulation of P-glycoprotein expression by
solamargine in multidrug-resistant K562/A02 cells.
AB - BACKGROUND: Solamargine (SM), a steroidal glycoalkaloid isolated from the Chinese
herb Solanum incanum, has been shown to inhibit the growth of some cancer cell
lines and induce significant apoptosis. However, the effects of SM on multidrug
resistant (MDR) cells and the molecular mechanisms involved are poorly
understood. The purpose of this study was to evaluate the anti-MDR effects of SM
and the associated mechanisms in MDR K562/A02 cells. METHODS: The cytotoxicity of
SM was measured by 3-(4,5-dimethylthiazol)-2,5-diphenyltetrazolium bromide (MTT)
assay. The 14',6-diamidino-2-phenylindole (DAPI) nuclear staining and flow
cytometry were used to detect SM-induced apoptosis. The mRNA expression of P
glycoprotein (P-gp) was investigated by real-time PCR (RT-PCR). Western blotting
was used to determine the expression of Bcl-2, Bax, and actin. The changes in the
morphology of actin were examined with immunofluorescence staining. RESULTS: MTT
results showed that SM effectively killed the MDR sublines K562/A02, KB/VCR, and
H460/paclitaxel (Taxol), and their parental cell lines K562, KB, and H460 to an
equivalent or more sensitive degree. Based on the results by flow cytometry and
immunostaining, the pro-apoptotic effects of SM were observed in MDR K562/A02
cells. Furthermore, the RT-PCR results showed that SM induced the downregulation
of MDR1 mRNA. In addition, the expression of P-gp and actin was decreased in the
SM-treated cells, as measured by western blotting and immunostaining.
CONCLUSIONS: These results demonstrate that SM effectively triggers apoptosis in
MDR tumor cells, which is associated with actin disruption and downregulation of
MDR1 expression. This compound may merit further investigation as a potential
therapeutic agent that bypasses the MDR mechanism for the treatment of MDR
tumors.
PMID- 22088468
TI - Clinicopathologic and prognostic implications of progranulin in breast carcinoma.
AB - BACKGROUND: Progranulin is a newly discovered 88-kDa glycoprotein originally
purified from the highly tumorigenic mouse teratoma-derived cell line PC. Its
expression is closely correlated with the development and metastasis of several
cancers. However, no immunohistochemical evidence currently exists to correlate
progranulin expression with clinicopathologic features in breast carcinoma
biopsies, and the role of progranulin as a new marker of metastatic risk and
prognosis in breast cancer has not yet been studied. The aim of this study was to
investigate the clinicopathologic and prognostic implications of progranulin
expression in breast carcinoma and its correlation with tumor angiogenesis.
METHODS: Progranulin expression was determined immunohistochemically in 183
surgical specimens from patients with breast cancer and 20 tissue samples from
breast fibroadenomas. The tumor angiogenesis-related biomarker, vascular
endothelial growth factor was assayed and microvessel density was assessed by
counting vascular endothelial cells in tumor tissues labeled with endoglin
antibody. The relationship between progranulin expression and the
clinicopathologic data were analyzed. RESULTS: Progranulin proteins were
overexpressed in breast cancer. The level of progranulin expression was
significantly correlated with tumor size (P = 0.004), lymph node metastasis (P <
0.001) and TNM staging (P < 0.001). High progranulin expression was associated
with higher tumor angiogenesis, reflected by increased vascular endothelial
growth factor expression (P < 0.001) and higher microvessel density (P = 0.002).
CONCLUSION: Progranulin may be a valuable marker for assessing the metastasis and
prognosis of breast cancer, and could provide the basis for new combination
regimens with antiangiogenic activity.
PMID- 22088469
TI - Taxonomic analysis of cryptococcus species complex strain S8012 revealed
Cryptococcus gattii with high heterogeneity on the genetics.
AB - BACKGROUND: Initially, Cryptococcus (C.) neoformans was previously divided into
two varieties comprising C. neoformans var. neoformans and C. neoformans var.
gattii. Currently, taxonomic studies defined C. neoformans as C. species complex,
which contains C. neoformans var. neoformans (serotype D), the hybrid isolates
(serotype AD), C. neoformans var. grubii (serotype A) and C. gattii (serotypes B
and C). However, Liao and his team once isolated a unique C. gattii isolate,
namely strain S8012 with unique phenotype from cerebrospinal fluid (CSF) of a 43
year-old male patient in the Shanghai Changzheng Hospital and described as C.
neoformans var. shanghaiensis in 1980s. The aim of this study was to explore the
genetic background and polymorphism of Chinese clinical C. gattii isolates.
METHODS: S8012 was analyzed as representative strain using the M13-polymerase
chain reaction (PCR) fingerprinting pattern and multilocus sequence analysis
including internal transcribed spacers of rDNA (ITS region), the intergenic
spacer 1 regions (IGS1), RPB1, RPB2, CNLAC1, and TEF1 genes. RESULTS: The PCR
fingerprinting pattern results showed strain S8012 belonged to molecular types
VGI, and phylogenetic analysis suggested strain S8012 was grouped into the
cluster of C. gattii environmental isolates originated from Eucalyptus
camaldulensis trees in Australia. CONCLUSION: C. gattii isolates from Chinese
patients expresses high polymorphism on the phenotype, and molecular type VGI
isolates from China have a close genetic relationship with the C. gattii isolates
from Australia.
PMID- 22088470
TI - Genomics of hepatitis B virus-related hepatocellular carcinoma and adjacent
noncancerous tissues with cDNA microarray.
AB - BACKGROUND: Hepatocellular carcinoma (HCC) is a common primary cancer frequently
associated with hepatitis B virus (HBV) infection. However, whether these
identified genes are particularly associated with HBV-related HCC remains
unknown. The aim of this study was to investigate the differential gene
expression between HBV-related HCC tissues and adjacent noncancerous tissues.
METHODS: cDNA microarray was used to detect the differential gene expression
profile in the HBV-related HCC tissues and adjacent noncancerous tissues, and
reverse transcription-polymerase chain reaction (RT-PCR) was performed to verify
the differential expression of candidate genes obtained from cDNA microarray
experiment. RESULTS: In this study, 1369 genes or expressed sequence tags (ESTs)
including 121 genes or ESTs with at least two-fold expression alterations between
cancerous and noncancerous tissues were identified. Special AT-rich sequence
binding protein 1 (SATB-1) expression was positive in 73% (16/22) of cancerous
tissues and negative (0/22) in all noncancerous tissues of HBV-related HCC
patients. Transmembrane 4 superfamily member 1 (TM4SF-1) expression was positive
in 86% (19/22) of cancerous tissues and negative (0/22) in all noncancerous
tissues. Suppression of tumorigenicity 14 (ST-14) expression was positive in 73%
(16/22) of noncancerous tissues in patients with HBV-related HCC and negative in
all HCC tissues (0/22). CONCLUSION: This study provided the gene expression
profile of HBV-related HCC and presented differential expression patterns of SATB
1, TM4SF-1 and ST-14 between cancerous and noncancerous tissues in patients with
HBV-related HCC.
PMID- 22088471
TI - Novel mutations of PRSS1 gene in patients with pancreatic cancer among Han
population.
AB - BACKGROUND: A high mortality rate of pancreatic cancer becomes a bottleneck for
further treatment with long-term efficacy. It is urgent to find a new mean to
predict the early onset of pancreatic cancer accurately. The authors hypothesized
that genetic variants of cationic trypsinogen (PRSS1) gene could affect trypsin
expression/function and result in abnormal activation of protease activated
receptor-2 (PAR-2), then lead to pancreatic cancer. The aim of this study was to
elaborate some novel mutations of PRSS1 gene in the patients with pancreatic
cancer. METHODS: Totally 156 patients with pancreatic cancer and 220 unrelated
individuals as controls were enrolled in this study. The mutations of PRSS1 gene
were analyzed by direct sequencing. K-ras Mutation Detection Kit was used to find
the general k-ras gene disorder in the pancreatic cancer tissue. Then the
clinical data were collected and analyzed simultaneously. RESULTS: There were two
patients who carried novel mutations which was IVS 3 + 157 G > C of PRSS1 gene in
peripheral blood specimens and pancreatic cancer tissue. What's more, it was
surprising to find a novel complicated mutation of exon 3 in PRSS1 gene (c.409 A
> G and c.416 C > T) in another young patient. The complicated mutation made No.
135 and No. 137 amino acid transfer from Thr to Ala and Thr to Met respectively.
No any mutation was found in the normal controls while no mutations of k-ras gene
were detected in the three patients. CONCLUSION: Mutations of PRSS1 gene may be
an important factor of pancreatic cancer.
PMID- 22088472
TI - Magnetic resonance imaging and magnetic resonance angiography in severe crush
syndrome with consideration of fasciotomy or amputation: a novel diagnostic tool.
PMID- 22088473
TI - Intensive chromic acid burns and acute chromium poisoning with acute renal
failure.
PMID- 22088474
TI - Sarcomatoid carcinoma of the renal pelvis in duplex kidney.
PMID- 22088476
TI - Analytical methods for brain targeted delivery system in vivo: perspectives on
imaging modalities and microdialysis.
AB - Since the introduction of microdialysis in 1974, the semi-invasive analytical
method has grown exponentially. Microdialysis is one of the most potential
analysis technologies of pharmacological drug delivery to the brain. In recent
decades, analysis of chemicals targeting the brain has led to many improvements.
It seems likely that fluorescence imaging was limited to ex vivo and in vitro
applications with the exception of several intravital microscopy and photographic
imaging approaches. X-ray computed tomography (CT), magnetic resonance imaging
(MRI), and positron emission tomography (PET) have been commonly utilized for
visualization of distribution and therapeutic effects of drugs. The efficient
analytical methods for studies of brain-targeting delivery system is a major
challenge in detecting the disposition as well as the variances of the factors
that regulate the substances delivery into the brain. In this review, we
highlight some of the ongoing trends in imaging modalities and the most recent
developments in the field of microdialysis of live animals and present insights
into exploiting brain disease for therapeutic and diagnostics purpose.
PMID- 22088477
TI - Comprehensive investigation of the influence of acidic, basic, and organic mobile
phase compositions on bioanalytical assay sensitivity in positive ESI mode
LC/MS/MS.
AB - The sensitivity and accuracy of a bioanalytical method is critical in defining
the pharmacokinetic (PK) parameters of a potential new chemical entity (NCE).
Inhaled therapeutics and low dose NCEs present one of the most significant
analytical challenges to the bioanalyst, due to their low systemic concentration.
The sensitivity of a bioanalytical LC/MS/MS based assay can be influenced by
multiple parameters, including: mobile phase composition, extraction efficiency
and chromatographic performance. In this work, we discuss the influence of acidic
(pH 3), and basic (pH 10) aqueous mobile phases in conjunction with the two most
common organic modifiers used in HPLC, acetonitrile and methanol, on the assay
sensitivity of twenty-four probe pharmaceuticals in solvent and biological fluid
extract. The study showed that when the test probe pharmaceuticals were analyzed
with basic aqueous mobile phases compared to standard acidic conditions the
following results were observed: increases in chromatographic peak area ranging
from 1.2 to 9.6 fold for twenty-one of the test compounds as well as increased
signal-to-noise for greater than seventy percent of the compounds. This observed
increase in the MS response was not necessarily related to the later elution of
the analyte in a higher organic composition under basic conditions. This was
demonstrated as seven out of the twenty-four (approximately thirty percent) of
the probe pharmaceuticals tested, eluted earlier, or with the same retention
time, under basic conditions, and still produced a greater signal-to-noise when
analyzed under these basic conditions. Also observed were decreases in
chromatographic peak width, and increases in the retention time of very
hydrophilic pharmaceutical compounds. The effect of the mobile phase combinations
on the retention and MS response of the choline-containing phospholipids present
in precipitated plasma was also investigated, as these analytes are a major
source of interference when developing a bioanalytical assay.
PMID- 22088479
TI - Forced degradation studies of rapamycin: identification of autoxidation products.
AB - The immunosuppressant drug rapamycin, also known as Sirolimus, underwent
autoxidation under mild conditions to give numerous monomeric and oligomeric
compounds, which were generally characterized by size-exclusion chromatography
and NP-HPLC with UV and MS detection. Some of the more predominant products,
epoxides and ketones, were isolated and identified. Two epoxides and 10S-epimer
of rapamycin were described for the first time. Observed rapamycin isomers were
also addressed. Computational chemistry was used to provide mechanistic insights.
Formation of the majority of the rapamycin products could be rationalized with
free radical-mediated autoxidation reactions involving alkene and alcohol sites.
Methodological aspects of oxidative stress testing are discussed.
PMID- 22088480
TI - Effects of valproate on glutamate metabolism in rat brain slices: a (13)C NMR
study.
AB - Sodium valproate is a drug widely used for the treatment of epilepsy and mood
disorders. We studied the effect of valproate on cerebral energy metabolism by
incubating rat brain slices with 5 mM [3-(13)C]glutamate in the absence and the
presence of 1 mM valproate. Substrate removal and product formation were measured
by enzymatic and carbon 13 NMR methods. Fluxes through the enzymatic steps
involved were calculated with an original mathematical model. We demonstrate
that, in the presence of valproate, glutamate consumption and aspartate
accumulation and labeling were inhibited, whereas GABA accumulation and labeling
were increased. Consistent with these observations, this drug inhibited the
unidirectional flux from glutamate to alpha-ketoglutarate and fluxes through
several enzymes (gamma aminobutyric acid aminotransferase, alpha-ketoglutarate
dehydrogenase, succinate dehydrogenase, malate dehydrogenase, aspartate
aminotransferase, malic enzyme, pyruvate dehydrogenase, pyruvate carboxylase and
citrate synthase). By contrast, glutamic acid decarboxylase flux was increased.
With 2 mM glutamate+1 mM valproate and with 5 mM glutamate+2 mM valproate, GABA
and aspartate labelings were similarly altered. On the basis of the effects of
valproate, it is concluded that our cellular model and our cellular metabolomic
approach appear suitable to study the beneficial and adverse interactions of
neurotropic compounds with the cerebral metabolic pathways.
PMID- 22088481
TI - Premonitory features and seizure self-prediction: artifact or real?
AB - Seizure prediction is currently largely investigated by means of EEG analyses. We
here report on evidence available on the ability of epilepsy patients themselves
to predict seizures either by means of subjective experiences ("prodromes"),
apparent awareness of precipitants, or a feeling of impending seizure (self
prediction). These data have been collected prospectively by paper or electronic
diaries. Whereas evidence for a predictive value of prodromes is missing, some
patients nevertheless can forsee impending seizures above chance level. Relevant
cues and practical implications are discussed.
PMID- 22088482
TI - ZSTK474, a specific phosphatidylinositol 3-kinase inhibitor, induces G1 arrest of
the cell cycle in vivo.
AB - Phosphatidylinositol 3-kinase (PI3K) is regarded as a promising therapeutic
target because it is often activated in cancer. We previously reported that
ZSTK474, a specific PI3K inhibitor, inhibits tumour cell proliferation via G1
arrest of the cell cycle without inducing apoptosis in vitro. However, it
remained unclear whether ZSTK474 induces G1 arrest to exert antitumour efficacy
in vivo. We recently developed a live imaging system, named Fluorescent
Ubiquitination-based Cell Cycle Indicator (Fucci), to visualise cell cycle
distribution. Here, by using this system, we tested whether ZSTK474 induces G1
arrest in tumour cells in vivo, as well as in vitro. Fucci-introduced human
breast cancer MCF-7 cells and cervical cancer HeLa cells were subcutaneously
xenografted in nude mice. ZSTK474 was administered to the tumour-bearing mice for
5 days, and the cell cycle distribution in the xenografted tumours were analysed
by monitoring fluorescence in live mice. We demonstrate that ZSTK474 induces
G1arrest along with tumour suppression in vivo. Moreover, we show that ZSTK474
suppresses the tumour growth without inducing apoptosis. Interestingly, such
increase in G1 cells and tumour suppression was maintained during long-term (3
month) administration of ZSTK474. These results suggest that ZSTK474 exerts its
in vivo antitumour efficacy via G1 arrest but not via apoptosis as long as it is
administered, and could be used for months as maintenance therapy for patients
with advanced cancers.
PMID- 22088483
TI - Concurrent infections with multiple human papillomavirus (HPV) types in the New
Technologies for Cervical Cancer (NTCC) screening study.
AB - INTRODUCTION: We investigated clustering patterns of human papillomavirus (HPV)
in a large study, the New Technologies in Cervical Cancer (NTCC) screening study.
MATERIALS AND METHODS: Women aged 25-60 years who attended cervical screening in
eight different areas in Northern and Central Italy were tested for HPV infection
with Hybrid Capture 2 (HC2). Genotyping of the HC2-positive samples was performed
for 13 HPV types targeted by GP5+/GP6+ PCR, followed by Reverse Line Blot.
Logistic regression was used to model type-specific HPV positivity, adjusted for
age, study area, and specific HPV type prevalence. Subject-level random effects
were added to represent unobservable risk factors common to all HPV types.
RESULTS: A total of 36,877 women were included. Of 2833 HC2-positive women, 2108
were confirmed to be positive for any of the 13 specific HPV infections using the
PCR assay, and amongst them 430 (20.4% of all PCR-positive women) were infected
with multiple types. The observed-to-expected ratio for infection with >=2 HPV
types was 1.21 (95% Credible Interval: 1.13-1.30). Amongst the 78 combinations of
specific HPV types, none of the pairs reached the chosen level of significance, p
value <0.01. CONCLUSIONS: Multiple HPV infections occurred more frequently than
predicted by chance. The excess of multiple infections was small, though not
completely absent, after controlling for all sources of common correlation
between HPV types. The present analysis of the NTCC screening study showed no
evidence that specific HPV types have the tendency to be found more or less often
than others in coinfections.
PMID- 22088484
TI - A phase 2 trial of trabectedin in children with recurrent rhabdomyosarcoma, Ewing
sarcoma and non-rhabdomyosarcoma soft tissue sarcomas: a report from the
Children's Oncology Group.
AB - PURPOSE: To determine the toxicity, efficacy and pharmacokinetics of trabectedin
given over 24h every 3 weeks to children with recurrent rhabdomyosarcoma, Ewing
sarcoma, or non-rhabdomyosarcoma soft tissue sarcomas. PATIENTS AND METHODS:
Trabectedin was administered as a 24-h intravenous infusion every 21 days. Two
dose levels were evaluated (1.3 and 1.5mg/m(2)) for safety; efficacy was then
evaluated using a traditional 2-stage design (10+10) at the 1.5mg/m(2) dose
level. Pharmacokinetics (day 1 and steady state) were performed during cycle 1.
RESULTS: Fifty patients were enrolled, eight patients at 1.3mg/m(2) and 42 at
1.5mg/m(2). Dose limiting toxicities (DLTs) in the dose finding component
included fatigue and reversible GGT elevation in 1/6 evaluable patients at
1.3mg/m(2) and 0/5 at 1.5mg/m(2). Efficacy was evaluated in 42 patients enrolled
at the 1.5mg/m(2) dose of whom 22% experienced reversible grade 3 or 4 toxicities
that included AST, ALT, or GGT elevations, myelosuppression and deep venous
thrombosis. One patient with rhabdomyosarcoma had a partial response and one
patient each with rhabdomyosarcoma, spindle cell sarcoma and Ewing sarcoma had
stable disease for 2, 3 and 15 cycles, respectively. CONCLUSION: Trabectedin is
safe when administered over 24h at 1.5mg/m(2). Trabectedin did not demonstrate
sufficient activity as a single agent for children with relapsed paediatric
sarcomas.
PMID- 22088485
TI - Targeted BIRC5 silencing using YM155 causes cell death in neuroblastoma cells
with low ABCB1 expression.
AB - The BIRC5 (Survivin) gene is located at chromosome 17q in the region that is
frequently gained in high risk neuroblastoma. BIRC5 is strongly over expressed in
neuroblastoma tumour samples, which correlates to a poor prognosis. We recently
validated BIRC5 as a potential therapeutic target by showing that targeted knock
down with shRNA's triggers an apoptotic response through mitotic catastrophe. We
now tested YM155, a novel small molecule selective BIRC5 suppressant that is
currently in phase I/II clinical trials. Drug response curves showed IC50 values
in the low nM range (median: 35 nM, range: 0.5-> 10,000 nM) in a panel of 23
neuroblastoma cell lines and four TIC-lines, which resulted from an apoptotic
response. Nine out of 23 cell lines were relatively resistant to YM155 with IC50
values > 200 nM, although in the same cells shRNA mediated knock down of BIRC5
caused massive apoptosis. Analysis of differentially expressed genes between five
most sensitive and five most resistant cell lines using Affymetrix mRNA
expression data revealed ABCB1 (MDR1) as the most predictive gene for resistance
to YM155. Inhibition of the multi-drug resistance pump ABCB1 with cyclosporine or
knockdown with shRNA prior to treatment with YM155 demonstrated that cell lines
with ABCB1 expression became 27-695 times more sensitive to YM155 treatment. We
conclude that most neuroblastoma cell lines are sensitive to YM155 in the low nM
range and that resistant cells can be sensitised by ABCB1 inhibitors. Therefore
YM155 is a promising novel compound for treatment of neuroblastoma with low ABCB1
expression.
PMID- 22088486
TI - Coarctation of the aorta in the newborn.
PMID- 22088487
TI - Forensic antiepileptic drug levels in autopsy cases of epilepsy.
AB - A 1-year retrospective coroner-based forensic examination of causes of death
among persons with a history of epilepsy was conducted at the Allegheny County
Coroner's Office to evaluate the phenomenon of sudden unexplained/unexpected
death in epilepsy (SUDEP), a diagnosis of exclusion. All cases at the Coroner's
Office from January 1, 2001 through December 31, 2001, were examined. Review of a
total of 1200 autopsied deaths revealed 12 cases with a past medical history of
seizure disorder on the death certificate, which listed seizure disorder as the
immediate cause of death or contributory cause of the death. Of the 7 men with
seizure disorders, 5 were categorized as definite SUDEP and 2 as possible SUDEP.
Of the 5 women with seizure disorders, 2 were listed as definite SUDEP, 2 as
possible, and 1 as non-SUDEP because the convulsive seizures developed from a
grade II glial tumor. Postmortem findings were evaluated for 11 cases; 1 body was
decomposed. Toxicological screens were carried out on blood, bile, urine, and eye
fluid for all 12. Antiepileptic drug (AED) levels detected in postmortem
toxicological analysis were examined. AED levels were determined in 7 cases. Four
of 7 had subtherapeutic AED levels, 2 had therapeutic levels, and only 1 victim
of SUDEP had levels above the therapeutic range. Five cases had no detectable AED
levels. AED levels at autopsy were either absent or subtherapeutic in 9 of 10
SUDEP cases, findings consistent with the likelihood of poor AED compliance.
Subtherapeutic levels of AEDs may be a risk factor for SUDEP that could
contribute to increased interictal and/or ictal epileptiform activity with
associated autonomic dysfunction leading to disturbance of heart rate, heart
rhythm, and/or blood pressure.
PMID- 22088488
TI - Monkey to human comparative anatomy of the frontal lobe association tracts.
AB - The greater expansion of the frontal lobes along the phylogeny scale has been
interpreted as the signature of evolutionary changes underlying higher cognitive
abilities in humans functions in humans. However, it is unknown how an increase
in number of gyri, sulci and cortical areas in the frontal lobe have coincided
with a parallel increase in connectivity. Here, using advanced tractography based
on spherical deconvolution, we produced an atlas of human frontal association
connections that we compared with axonal tracing studies of the monkey brain. We
report several similarities between human and monkey in the cingulum, uncinate,
superior longitudinal fasciculus, frontal aslant tract and orbito-polar tract.
These similarities suggest to preserved functions across anthropoids. In
addition, we found major differences in the arcuate fasciculus and the inferior
fronto-occipital fasciculus. These differences indicate possible evolutionary
changes in the connectional anatomy of the frontal lobes underlying unique human
abilities.
PMID- 22088489
TI - Global considerations in measuring effectiveness of advanced practice nurses.
AB - Documentation of advanced practice nurses' (APNs) effectiveness globally is
essential in developing educational programs and standards, regulations, titling,
prescribing privileges, and scope and standards of practice. Based on the body of
research on APN effectiveness to date, two major factors have emerged in
developing future studies, (1) careful, deliberate choice of outcomes and (2)
dose effects. The purpose of this paper is to review these measurement issues.
Careful selection in choice of patient outcomes important to regional and
national health care challenges is essential in developing studies to document
APN effects on outcomes important to the country and region. It is equally
important to consider the concept of dose effects of the APNs. Dose effects
consist of 3 components: dose (number of APNs at the clinical practice site,
region or country and amount of APN care in minutes or contacts); APN (education,
expertise, and experience); and host and host response (organizational,
governmental or patient and/or family receptiveness to APNs and to APN practice).
Considering each component of the concept is essential in developing studies to
examine APN effectiveness internationally.
PMID- 22088490
TI - Influence of stimulus amplitude on unintended visuomotor entrainment.
AB - Rhythmic limb movements have been shown to spontaneously coordinate with rhythmic
environmental stimuli. Previous research has demonstrated how such entrainment
depends on the difference between the movement periods of the limb and the
stimulus, and on the degree to which the actor visually tracks the stimulus. Here
we present an experiment that investigated how stimulus amplitude influences
unintended visuomotor entrainment. Participants performed rhythmic forearm
movements while visually tracking an oscillating stimulus. The amplitude and
period of stimulus motion were manipulated. Larger stimulus amplitudes resulted
in stronger entrainment irrespective of how participants visually tracked the
movements of the stimulus. Visual tracking, however, did result in increased
entrainment for large, but not small, stimulus amplitudes. Collectively, the
results indicate that the movement amplitude of environmental stimuli plays a
significant role in the emergence of unintended visuomotor entrainment.
PMID- 22088491
TI - Clinical evaluation of acute systemic reaction and detection of IgG antibodies
against PF4/heparin complexes in hemodialysis patients.
AB - Heparin-induced thrombocytopenia (HIT) is a pathophysiological syndrome caused by
platelet-activating antibodies that recognize PF4/heparin complexes. The abrupt
onset of HIT following intravenous bolus heparin is known as an acute systemic
reaction. Clinical features of this type of HIT may be similar to those of common
complications during hemodialysis. The aim of the study was to identify whether
the clinical features of the acute systemic reaction are caused by HIT or
dialytic complications. Twenty-seven dialytic patients who had thrombocytopenia
and clinical features of an acute systemic reaction were enrolled out of 202 HIT
suspected patients. Thirteen patients had HIT confirmed due to the presence of
positive functional and immunoassays. Eight of the thirteen patients presented
with acute systemic reactions due to HIT. The most common symptom of acute
systemic reaction was dyspnea. The other nineteen patients, involving both HIT
and non-HIT patients, had dialysis-complicated ASR. The major feature of the
acute systemic reaction in hemodialysis was hypotension and its relevant
symptoms. An immunoassay for the detection of IgG antibodies against PF4/heparin
complexes (HIT-IgG) showed the wide-range linearity of the calibration curve by
employing three concentrations of recombinant mouse monoclonal antibodies for
PF4/heparin complexes. The results are expressed as micrograms of IgG in one
milliliter. Significantly high levels in thirteen HIT patients were compared with
levels in fourteen non-HIT patients. The highest median of 1,530 MUg/ml (IQR:
3,267-813) was obtained in the presence of HIT associated with an acute systemic
reaction. In HIT patients who did not show characteristics of an HIT-derived
acute systemic reaction, the median was 339 MUg/ml (1,178-834). Despite showing a
positive ELISA, nine non-HIT patients without any platelet-activating antibodies
showed a value of 97 MUg/ml (166-56). The lowest median of 8.3 MUg/ml (11-6) was
in non-HIT patients with a negative ELISA. In conclusion, measurements of HIT-IgG
-specific antibodies can facilitate an appropriate estimation in hemodialysis
patients of whether the clinical features of an acute systemic reaction are
caused by HIT or dialytic complications.
PMID- 22088492
TI - GhostNet marine debris survey in the Gulf of Alaska--satellite guidance and
aircraft observations.
AB - Marine debris, particularly debris that is composed of lost or abandoned fishing
gear, is recognized as a serious threat to marine life, vessels, and coral reefs.
The goal of the GhostNet project is the detection of derelict nets at sea through
the use of weather and ocean models, drifting buoys and satellite imagery to
locate convergent areas where nets are likely to collect, followed by airborne
surveys with trained observers and remote sensing instruments to spot individual
derelict nets. These components of GhostNet were first tested together in the
field during a 14-day marine debris survey of the Gulf of Alaska in July and
August 2003. Model, buoy, and satellite data were used in flight planning. A
manned aircraft survey with visible and IR cameras and a LIDAR instrument located
debris in the targeted locations, including 102 individual pieces of debris of
anthropogenic or terrestrial origin.
PMID- 22088493
TI - Analysis of the factor structure of the Sociocultural Attitudes Towards
Appearance Questionnaire (SATAQ-3) in Spanish secondary-school students through
exploratory structural equation modeling.
AB - The aims of the present study were: (1) to assess the factor structure of the
SATAQ-3 in Spanish secondary-school students by means of exploratory factor
analysis (EFA), confirmatory factor analysis (CFA) and exploratory structural
equation modeling (ESEM) models; and (2) to study its invariance by sex and
school grade. ESEM is a technique that has been proposed for the analysis of
internal structure that overcomes some of the limitations of EFA and CFA.
Participants were 1559 boys and girls in grades seventh to tenth. The results
support the four-factor solution of the original version, and reveal that the
best fit was obtained with ESEM, excluding Item 20 and with correlated uniqueness
between reverse-keyed items. Our version shows invariance by sex and grade. The
differences between scores of different groups are in the expected direction, and
support the validity of the questionnaire. We recommend a version excluding Item
20 and without reverse-keyed items.
PMID- 22088494
TI - Is adjunctive dexamethasone beneficial in patients with bacterial meningitis?
PMID- 22088495
TI - Time patients spend in the emergency department: England's 4-hour rule-a case of
hitting the target but missing the point?
AB - STUDY OBJECTIVE: To address concerns about prolonged emergency department (ED)
stays from crowding, England mandated that the maximum length of ED stay for 98%
of patients be no greater than 4 hours. We evaluate the effect of the mandated ED
care intervals in England. METHODS: This was a retrospective analysis of ED
patient throughput before, during, and after implementation of the target.
Fifteen acute hospital trusts' ED data were purposively sampled, including all
patient visits during May and June of 2003 to 2006. We compared total time in ED
and time to clinician across years, segregating for admitted versus discharged
patients and young versus old patients, using a random-effects regression model
and adjusting for hospital clustering. RESULTS: We analyzed 735,588 ED visits.
The proportion of patients seen and treated within 4 hours improved from 83.9% to
96.3%. Adjusted total length of ED stay from 2003 to 2006 increased by 8.6
minutes for all patients and 30 minutes for admissions; time to physician
improved by 1 minute for all patients. The proportion of patients leaving the ED
during the last 20 minutes before 4 hours increased from 4.7% of all patients in
2003 to 8.4% in 2006. Admitted patients were more likely than discharged ones to
leave the ED in the last 20 minutes, and the relative likelihood increased each
year after 2003, with incidence rate ratio 1.04 (95% confidence interval [CI]
0.78 to 1.39), 1.39 (95% CI 1.05 to 1.82), and 1.55 (95% CI 1.19 to 2.20) for
2004, 2005, and 2006, respectively. An increasing proportion of elderly patients
were in the last 20-minute departure interval each year compared with younger
patients (in 2003 7.4% versus 4.1%; in 2006 17.3% versus 6.3%). CONCLUSION: The
introduction of a time target reduced the proportion of patients staying greater
than 4 hours. More patients departed within 20 minutes of the target 4-hour
interval after the mandate, notably, the elderly.
PMID- 22088496
TI - A new antifungal coumarin from Clausena excavata.
AB - A new gamma-lactone coumarin, named as excavarin-A, showing antifungal activity
was isolated from the leaves of Clausena excavata by bioassay guided
fractionation method. The structure was elucidated by spectroscopic data analysis
and identified as 7((2E)-4(4,5-dihydro-3-methylene-2-oxo-5-furanyl)-3-methylbut-2
enyloxy) coumarin. Minimum inhibitory concentration (MIC) was determined against
fifteen fungal strains pathogenic against plants and human. The least MIC was
recorded against the human pathogen, Candida tropicalis and the plant pathogens
Rhizoctonia solani and Sclerotinia sclerotiorum. Antifungal activities against
the human pathogens, Aspergillus fumigatus and Mucor circinelloides and plant
pathogens, Colletotrichum gloeosporioides, Lasiodiplodia theobromae, Fusarium
oxysporum and Rhizopus stolonifer were stronger than that of the standard
antimicrobials.
PMID- 22088497
TI - New antioxidant and antiglycation active triterpenoid saponins from the root bark
of Aralia taibaiensis.
AB - Four new oleanane type triterpenoid saponins (1-4) and a known saponin (5) were
isolated from the root bark of Aralia taibaiensis Z.Z. Wang et H.C. Zheng. The
structures of the four new compounds were elucidated as 3-O-{beta-D
glucopyranosyl-(1->2)-[beta-D-glucopyranosyl-(1->3)]-beta-D-glucurono-pyranosyl}
olean-11,13(18)-diene-28-oic acid 28-O-beta-D-glucopyranosyl ester (1), 3-O-{beta
D-gluco-pyranosyl-(1->3)-[alpha-l-arabinofuranosyl-(1->4)]-beta-D
glucuronopyranosyl}-olean-11,13(18)-diene-28-oic acid 28-O-beta-D-glucopyranosyl
ester (2), 3-O-{beta-d-glucopyranosyl-(1->2)-[alpha-l-arabinofuranosyl-(1->4)]
beta-D-glucuronopyranosyl}-oleanolic acid 28-O-beta-D-glucopyranosyl ester (3)
and 3-O-{beta-D-glucopyranosyl-(1->2)-[beta-D-glucopyranosyl-(1->3)]-beta-D
glucuronopyranosyl}-oleanolic acid 28-O-beta-D-glucopyranosyl ester (4), on the
basis of extensive spectral analysis and chemical evidence. Compounds 1-5
exhibited moderate effects on antioxidant and antiglycation activities, which
correlated with treatment of diabetes mellitus.
PMID- 22088498
TI - Anthropogenic and natural sources of acidity and metals and their influence on
the structure of stream food webs.
AB - We compared food web structure in 20 streams with either anthropogenic or natural
sources of acidity and metals or circumneutral water chemistry in New Zealand.
Community and diet analysis indicated that mining streams receiving anthropogenic
inputs of acidic and metal-rich drainage had much simpler food webs (fewer
species, shorter food chains, less links) than those in naturally acidic,
naturally high metal, and circumneutral streams. Food webs of naturally high
metal streams were structurally similar to those in mining streams, lacking fish
predators and having few species. Whereas, webs in naturally acidic streams
differed very little from those in circumneutral streams due to strong
similarities in community composition and diets of secondary and top consumers.
The combined negative effects of acidity and metals on stream food webs are
clear. However, elevated metal concentrations, regardless of source, appear to
play a more important role than acidity in driving food web structure.
PMID- 22088499
TI - Re: "Application of a repeat-measure biomarker measurement error model to 2
validation studies: examination of the effect of within-person variation in
biomarker measurements".
PMID- 22088500
TI - Effect of cerium dioxide, titanium dioxide, silver, and gold nanoparticles on the
activity of microbial communities intended in wastewater treatment.
AB - Growth in production and use of nanoparticles (NPs) will result increased
concentrations of these in industrial and urban wastewaters and, consequently, in
wastewater-treatment facilities. The effect of this increase on the performance
of the wastewater-treatment process has not been studied systematically and
including all the microbial communities involved in wastewater treatment. The
present work investigates, by using respiration tests and biogas-production
analysis, the inhibitory effect of four different commonly used metal oxide
(CeO(2) and TiO(2)) and zero-valent metal (Ag and Au) nanoparticles on the
activity of the most important microbial communities present in a modern
wastewater-treatment plant. Specifically, the actions of ordinary heterotrophic
organisms, ammonia oxidizing bacteria, and thermophilic and mesophilic anaerobic
bacteria were tested in the presence and absence of the nanoparticles. In
general, CeO(2) nanoparticles caused the greatest inhibition in biogas production
(nearly 100%) and a strong inhibitory action of other biomasses; Ag nanoparticles
caused an intermediate inhibition in biogas production (within 33-50%) and a
slight inhibition in the action of other biomasses, and Au and TiO(2)
nanoparticles caused only slight or no inhibition for all tested biomasses.
PMID- 22088501
TI - Phosphate removal from wastewaters by a naturally occurring, calcium-rich
sepiolite.
AB - Developing an easily handled and cost-effective phosphate absorbent is crucial
for the control of water eutrophication. In this study, a naturally occurring,
calcium-rich sepiolite (NOCS) was evaluated for its feasibility as a phosphate
absorbent candidate. Batch studies showed that phosphate sorption on NOCS
followed a stepwise isotherm for concentrations between 5 and 1000 mg P/l, and
the phosphate sorption was fitted well by the Freundlich equation. The estimated
maximum phosphorus sorption capacity was 32.0 mg P/g, which was quite high
compared with other natural materials and was comparable to some efficient
manmade P absorbents. The NOCS sorption kinetics followed a pseudo-first-order
model with an R(2) value of 0.999. The adsorption of phosphate was highly pH
dependent. Phosphate adsorption decreased moderately with increasing pH values
from 3.0 to 6.0, and it decreased sharply in alkaline conditions. Ionic strength,
sulfate, nitrate and chloride anions had no effects on the phosphate removal
capacity of NOCS, but fluoride and bicarbonate anions exerted large effects.
Phosphorus fractionation indicated that phosphate removed from the solution was
primarily formed as a calcium-bound phosphorus precipitation, which was further
confirmed by SEM-EDS analysis. Moreover, phosphate was barely (<1.5%) desorbed
from the phosphorus-adsorbed sepiolite regardless of pH value.
PMID- 22088502
TI - Leaching characteristics of steel slag components and their application in
cementitious property prediction.
AB - High-efficiency recovery and utilization of steel slag are important concerns for
environmental protection and sustainable development. To establish a rapid method
to evaluate the cementitious properties of steel slag, leaching tests were
carried out on steel slag components via an evaporation-condensation method; the
leaching characteristics and mechanism of the slag were also investigated. The
relationship between leaching characteristics and cementitious properties, which
were represented by mortar compressive strength, was analyzed. Results show that
there exist significant differences among the amounts of chemically active
leached components. The leaching process can be described by the shrinking
unreacted core model controlled by intra-particle diffusion, and is in accordance
with Kondo R hydration kinetics equation. The leaching process showed a good
linear relationship between the amounts of components leached from steel slag and
the mortar compressive strength of cementitious materials prepared from reference
cement and steel slag with mass ratios of 50:50 and 70:30. The compressive
strengths of mortars subjected to 7, 28, and 90 days of curing can be accurately
predicted by the sum of leached (CaO+Al(2)O(3)) obtained after a certain length
of leaching time.
PMID- 22088503
TI - Ionothermal synthesis of hierarchical BiOBr microspheres for water treatment.
AB - Bismuth oxybromide (BiOBr) micropsheres with hierarchical morphologies have been
fabricated via an ionothermal synthesis route. Ionic liquid acts as a unique soft
material capable of promoting nucleation and in situ growth of 3D hierarchical
BiOBr mesocrystals without the help of surfactants. The as-prepared BiOBr
nanomaterials can effectively remove heavy metal ions and organic dyes from
wastewater. They can also kill Micrococcus lylae, a Gram positive bacterium, in
water under fluorescent light irradiation. Their high adaptability in water
treatment may be ascribed to their hierarchical structure, allowing them high
surface to volume ratio, facile species transportation and excellent light
harvesting ability.
PMID- 22088504
TI - Cytotoxicity of serum protein-adsorbed visible-light photocatalytic Ag/AgBr/TiO2
nanoparticles.
AB - Photocytotoxicity of visible-light catalytic Ag/AgBr/TiO(2) nanoparticles (NPs)
was examined both in vitro and in vivo. The Ag/AgBr/TiO(2) NPs were prepared by
the deposition-precipitation method. Their crystalline structures, atomic
compositions, and light absorption property were examined by X-ray diffraction
(XRD) patterns, X-ray photoelectron (XPS) intensities, and ultraviolet-visible
(UV-vis) diffuse reflectance spectroscopic tools. The Ag/AgBr/TiO(2) NPs appeared
to be well internalized in human carcinoma cells as evidenced by transmission
electron microscopy (TEM). The cytotoxicity of cetylmethylammonium bromide (CTAB)
appeared to be significantly reduced by adsorption of serum proteins in the
cellular medium on the NP surfaces. Two types of human cervical HeLa and skin
A431 cancer cells were tested to check their viability after the cellular uptake
of the Ag/AgBr/TiO(2) NPs and subsequent exposure to an illumination of visible
light from a 60 W/cm(2) halogen lamp. Fluorescence images taken to label
mitochondria activity suggest that the reactive oxygen species should trigger the
photo-destruction of cancer cells. After applying the halogen light illumination
for 50-250 min and ~8 ppm (MUg/mL) of photocatalytic Ag/AgBr/TiO(2) NPs, we
observed a 40-60% selective decrease of cell viability. Ag/AgBr/TiO(2) NPs were
found to eliminate xenograft tumors significantly by irradiating visible light in
vivo for 10 min.
PMID- 22088505
TI - Combining scanning tunneling microscopy and synchrotron radiation for high
resolution imaging and spectroscopy with chemical, electronic, and magnetic
contrast.
AB - The combination of high-brilliance synchrotron radiation with scanning tunneling
microscopy opens the path to high-resolution imaging with chemical, electronic,
and magnetic contrast. Here, the design and experimental results of an in-situ
synchrotron enhanced x-ray scanning tunneling microscope (SXSTM) system are
presented. The system is designed to allow monochromatic synchrotron radiation to
enter the chamber, illuminating the sample with x-ray radiation, while an
insulator-coated tip (metallic tip apex open for tunneling, electron collection)
is scanned over the surface. A unique feature of the SXSTM is the STM mount
assembly, designed with a two free-flex pivot, providing an angular degree of
freedom for the alignment of the tip and sample with respect to the incoming x
ray beam. The system designed successfully demonstrates the ability to resolve
atomic-scale corrugations. In addition, experiments with synchrotron x-ray
radiation validate the SXSTM system as an accurate analysis technique for the
study of local magnetic and chemical properties on sample surfaces. The SXSTM
system's capabilities have the potential to broaden and deepen the general
understanding of surface phenomena by adding elemental contrast to the high
resolution of STM.
PMID- 22088506
TI - Optimum HRTEM image contrast at 20 kV and 80 kV--exemplified by graphene.
AB - The dependence of high-resolution transmission electron microscopy (HRTEM) image
contrast of graphene on the adjustable parameters of an aberration-corrected
microscope operated at 80 and 20 kV has been calculated and, for 80 kV, compared
with measurements. We used density functional theory to determine the projected
atom potential and obtained the image intensity by averaging over the energy
distribution of the imaging electrons, as derived from the electron energy loss
spectroscopy measurements. Optimum image contrast has been determined as a
function of energy spread of the imaging electrons and chromatic aberration
coefficient, showing that significant improvement of contrast can be achieved at
80 kV with the help of a monochromator, however at 20 kV only with chromatic
aberration correction and bright atom contrast conditions.
PMID- 22088507
TI - In-situ TEM on (de)hydrogenation of Pd at 0.5-4.5 bar hydrogen pressure and 20
400 degrees C.
AB - We have developed a nanoreactor, sample holder and gas system for in-situ
transmission electron microscopy (TEM) of hydrogen storage materials up to at
least 4.5 bar. The MEMS-based nanoreactor has a microheater, two electron
transparent windows and a gas inlet and outlet. The holder contains various O
rings to have leak-tight connections with the nanoreactor. The system was tested
with the (de)hydrogenation of Pd at pressures up to 4.5 bar. The Pd film
consisted of islands being 15 nm thick and 50-500 nm wide. In electron
diffraction mode we observed reproducibly a crystal lattice expansion and
shrinkage owing to hydrogenation and dehydrogenation, respectively. In selected
area electron diffraction and bright/dark-field modes the (de)hydrogenation of
individual Pd particles was followed. Some Pd islands are consistently
hydrogenated faster than others. When thermally cycled, thermal hysteresis of
about 10-16 degrees C between hydrogen absorption and desorption was observed for
hydrogen pressures of 0.5-4.5 bar. Experiments at 0.8 bar and 3.2 bar showed that
the (de)hydrogenation temperature is not affected by the electron beam. This
result shows that this is a fast method to investigate hydrogen storage materials
with information at the nanometer scale.
PMID- 22088508
TI - Imaging properties of bright-field and annular-dark-field scanning confocal
electron microscopy: II. point spread function analysis.
AB - The imaging properties of bright field and annular dark field scanning confocal
electron microscopy (BF-SCEM and ADF-SCEM) are discussed based on their point
spread functions (PSFs) in comparison with multislice simulations. Although the
PSFs of BF-SCEM and ADF-SCEM show similar hourglass shapes, their numerical
distributions are quite different: BF-SCEM PSF is always positive and shows a
center of symmetry whereas the ADF-SCEM PSF is complex and has Hermitian
symmetry. These PSF properties explain the large elongation effect in BF-SCEM for
laterally extended object and almost no-elongation in ADF-SCEM, illustrating the
importance of the numerical analysis of PSFs. The Hermitian symmetry of the ADF
SCEM PSF results in an interesting "edge enhancement effect" at the interface.
Simulation using the PSF and the multislice method verified this effect at GaAs
surfaces and InAs interfaces embedded in GaAs. This unique feature of ADF-SCEM
can potentially be useful for depth sectioning. It is also pointed out that a PSF
imaging model cannot be applicable for BF-SCEM of a phase object, when the system
is symmetric and aberration free.
PMID- 22088509
TI - Towards improving the diagnosis and management of chronic cough in China.
PMID- 22088510
TI - The Chinese national guidelines on diagnosis and management of cough (December
2010).
PMID- 22088511
TI - Multiple dimensions of cardiopulmonary dyspnea.
AB - BACKGROUND: The current theory of dyspnea perception presumes a multidimensional
conception of dyspnea. However, its validity in patients with cardiopulmonary
dyspnea has not been investigated. METHODS: A respiratory symptom checklist
incorporating spontaneously reported descriptors of sensory experiences of
breathing discomfort, affective aspects, and behavioral items was administered to
396 patients with asthma, chronic obstructive pulmonary disease (COPD), diffuse
parenchymal lung disease, pulmonary vascular disease, chronic heart failure, and
medically unexplained dyspnea. Symptom factors measuring different qualitative
components of dyspnea were derived by a principal component analysis. The
separation of patient groups was achieved by a variance analysis on symptom
factors. RESULTS: Seven factors appeared to measure three dimensions of dyspnea:
sensory (difficulty breathing and phase of respiration, depth and frequency of
breathing, urge to breathe, wheeze), affective (chest tightness, anxiety), and
behavioral (refraining from physical activity) dimensions. Difficulty breathing
and phase of respiration occurred more often in COPD, followed by asthma (R(2) =
0.12). Urge to breathe was unique for patients with medically unexplained dyspnea
(R(2) = 0.12). Wheeze occurred most frequently in asthma, followed by COPD and
heart failure (R(2) = 0.17). Chest tightness was specifically linked to medically
unexplained dyspnea and asthma (R(2) = 0.04). Anxiety characterized medically
unexplained dyspnea (R(2) = 0.08). Refraining from physical activity appeared
more often in heart failure, pulmonary vascular disease, and COPD (R(2) = 0.15).
CONCLUSIONS: Three dimensions with seven qualitative components of dyspnea
appeared in cardiopulmonary disease and the components under each dimension
allowed separation of different patient groups. These findings may serve as a
validation on the multiple dimensions of cardiopulmonary dyspnea.
PMID- 22088512
TI - Diagnostic efficiency and complication rate of CT-guided lung biopsy: a single
center experience of the procedures conducted over a 10-year period.
AB - BACKGROUND: Computed tomography (CT)-guided transthoracic lung biopsy is a well
established technique for the diagnosis of pulmonary lesions. The objective of
this study was to evaluate the diagnostic efficiency and complication rate of CT
guided lung biopsy in a Chinese population. METHODS: CT-guided cutting needle
lung biopsies were performed in our institution on 1014 patients between January
2000 and October 2010. A chest radiograph was taken after the biopsy. Data about
basic patient information, final diagnosis, and complications secondary to biopsy
procedure (pneumothorax and bleeding) were extracted. RESULTS: The diagnostic
efficiency of CT-guided lung biopsy was 94.8%; only 53 patients did not get a
final diagnosis from lung biopsy. Final diagnoses found 639 malignant lesions
(63.0%) and 322 benign lesions (31.8%). Pneumothorax occurred in 131 patients and
15 required insertion of an intercostal drain. Small hemoptysis occurred in 41
patients and mild parenchymal hemorrhage occurred in 16 patients. The overall
complication rate was 18.5%. CONCLUSIONS: CT-guided cutting needle biopsy of
pulmonary lesions is a relatively safe technique with a high diagnostic accuracy.
It can be safely performed in clinical trials.
PMID- 22088513
TI - Hemodynamic effects and safety of pulmonary angiography in Chinese patients with
pulmonary hypertension.
AB - BACKGROUND: Pulmonary angiography is widely performed in pulmonary hypertension
patients, but its immediate effects on right heart hemodynamics and safety are
not well known. The objective of this study was to investigate the right heart
hemodynamic effects and safety of pulmonary angiography in Chinese patients with
pulmonary hypertension. METHODS: Between January 2008 and June 2009, pulmonary
hypertension patients undergoing pulmonary angiography were consecutively
enrolled. Pulmonary angiography was performed during breath-holding after deep
breathing. The baseline clinical data, hemodynamic measurements before and after
pulmonary angiography and complications occurring within 48 hours after
angiography were recorded. RESULTS: Ninety-five patients were included. All
received non-ionic contrast medium with a volume of (75.7 +/- 29.8) ml.
Angiography reduced heart rate in patients with baseline mean pulmonary arterial
pressure >= 60 mmHg (change of heart rate: (-3.1 +/- 7.0) beats/min, P = 0.005),
increased mean right atrial pressure, diastolic and end-diastolic right
ventricular pressure in patients with baseline mean pulmonary arterial pressure <
60 mmHg (all P < 0.05). Patients with decreased mean pulmonary arterial pressure
(change of mean pulmonary arterial pressure <= -10 mmHg) had the highest total
pulmonary resistance (P = 0.009 vs. no change in mean pulmonary arterial pressure
(change of mean pulmonary arterial pressure, -10 mmHg to 10 mmHg); P = 0.03 vs.
increased mean pulmonary arterial pressure (change of mean pulmonary arterial
pressure >= 10 mmHg)) and the lowest cardiac output (P = 0.018 vs. no change in
mean pulmonary arterial pressure; P = 0.013 vs. increased mean pulmonary arterial
pressure). There were 7 complications (7%), with 6 related to catheter and only 1
directly related to angiography. All complications were mild and no death
occurred. CONCLUSION: Pulmonary angiography has minimal effect on right heart
hemodynamics and is safe in pulmonary hypertension patients.
PMID- 22088514
TI - XAF1 as a prognostic biomarker and therapeutic target in squamous cell lung
cancer.
AB - BACKGROUND: X-linked inhibitor of apoptosis (XIAP)-associated factor 1 (XAF1) is
a new tumor suppressor. Low expression of XAF1 is associated with poor prognosis
of human cancers. However, the effect of XAF1 on lung cancer remains unknown. In
this study, we investigated the expression of XAF1 and its role in squamous cell
lung cancer. METHODS: Cancer tissues, cancer adjacent tissues and normal lung
tissues were collected from 51 cases of squamous cell lung cancer. The expression
of XAF1 mRNA was determined by reverse transcription-polymerase chain reaction
(RT-PCR). The expression of XAF1 protein was determined by Western blotting and
immunohistochemical staining. Ad5/F35-XAF1 virus was generated. Cell
proliferation and apoptosis were measured by 3-(4,5-dimethylthiazol-2-yl)-2,5
diphenyltetrazolium bromide (MTT) method and flow cytometry (FACS), respectively.
RESULTS: The levels of XAF1 protein and mRNA in cancer tissues were significantly
lower than those in cancer adjacent and normal lung tissues (P < 0.05). The low
expression of XAF1 was associated with tumor grade, disease stage,
differentiation status and lymph node metastasis in squamous cell lung cancer
patients. The restoration of XAF1 expression mediated by Ad5/F35-XAF1 virus
significantly inhibited cell proliferation and induced apoptosis in a dose- and
time-dependent manner. CONCLUSION: XAF1 is a valuable prognostic marker in
squamous cell lung cancer and may be a potential candidate gene for lung cancer
therapy.
PMID- 22088515
TI - Utility of squamous cell carcinoma antigen, carcinoembryonic antigen, Cyfra 21-1
and neuron specific enolase in lung cancer diagnosis: a prospective study from
China.
AB - BACKGROUND: Early detection and diagnosis is urgent for the sake of effective
treatment strategy for lung cancer. However, a convenient, economical and
relatively precise method is not available. We here report a prospective study to
find the possible value of the combined use of four popular tumor markers in the
early diagnosis of lung cancer among patients with suspicious nodules in the
lung. METHODS: Six hundred and sixty inpatients with suspicious nodules in the
lung were divided into a lung cancer group and a benign pulmonary tumor group
according to post-operative histological examinations. Serum levels of four tumor
markers including squamous cell carcinoma antigen (SCC), carcinoembryonic antigen
(CEA), Cyfra 21-1 and neuron specific enolase (NSE) were assayed for each
patient. Receiver operating characteristic (ROC) curves were constructed for each
tumor marker. The power of lung cancer diagnosis of each tumor marker, as well as
a combination of them were analyzed and compared. RESULTS: The serum levels
(median, range) of SCC, CEA, Cyfra 21-1 and NSE were 0.44 (0.01 - 35.70) ng/ml,
2.49 (0.30 - 26.78) ng/ml, 2.30 (0.82 - 73.33) ng/ml and 10.54 (0.10 - 56.41)
ng/ml respectively in lung cancer group, and were 0.32 (0.01 - 0.90) ng/ml, 1.60
(0.20 - 8.93) ng/ml, 1.41 (0.72 - 4.82) ng/ml and 9.36 (6.56 - 24.24) ng/ml
respectively in the benign pulmonary tumor group. The difference in each tumor
marker between the two groups was significant (P < 0.05). The ROCs of SCC, CEA,
Cyfra 21-1 and NSE were 0.702 (95%CI, 0.654 - 0.751), 0.611 (95%CI, 0.563 -
0.659), 0.650 (95%CI, 0.601 - 0.700) and 0.598 (95%CI, 0.542 - 0.654)
respectively, indicating very low power of these four tumor markers. When a
combination of SCC, CEA, Cyfra 21-1 and NSE were employed, the diagnosis power
was strengthened. CONCLUSION: SCC, CEA, Cyfra 21-1 and NSE are valuable in the
early diagnosis of lung cancer among suspicious nodules in the lung, especially
when they were assayed together for one patient.
PMID- 22088516
TI - Pulmonary fungal infections after bone marrow transplantation: the value of high
resolution computed tomography in predicting their etiology.
AB - BACKGROUND: The correct diagnosis of etiology of fungal infection after bone
marrow transplantation is very important to the choice of antifungal drugs and a
premise for improvement of therapeutic efficacy. This study aimed to compare high
resolution computed tomography (HRCT) findings of the pulmonary fungal infections
to determine whether the etiology of various fungal infections could be diagnosed
with HRCT. METHODS: Eighty-five cases were enrolled. According to the pathogens
responsible for fungal infections, the patients were classified into three groups
including invasive aspergillosis (n = 52), candidiasis (n = 19) and
cryptococcosis (n = 14) groups. All the patients underwent HRCT scans. Two
independent radiologists retrospectively analyzed the HRCT scans regarding CT
patterns and distribution of lung abnormality. RESULTS: Most fungal infections in
the three groups occurred in the neutropenic phase. There was no significant
difference in the constituent ratio of fungal infections at different phases
after bone marrow transplantation among the three groups. Agreement between the
two observers for all the CT characteristics of fungal infections was excellent
(k > 0.75). There was a significant difference in occurrence ratio of mass among
the three groups (P = 0.02). Occurrence ratio of mass (43.3%, 13/30) in the group
with invasive aspergillosis was higher than in each of other two groups (20.0%,
2/10; 14.3%, 1/7). There was no significant difference in other CT
characteristics of nodules or masses; including number, margin, halo sign,
cavitation and air-crescent sign. There was no significant difference in number,
margin, air bronchogram and distribution of air-space consolidation. CONCLUSIONS:
The HRCT appearance of various pulmonary fungal infections has a great deal of
overlap and is nonspecific. Mass is more common in invasive aspergillosis, which
is helpful to the diagnosis of invasive aspergillosis after bone marrow
transplantation.
PMID- 22088517
TI - Real world clinical performance of the zotarolimus eluting coronary stent system
in Chinese patients: a prospective, multicenter registry study.
AB - BACKGROUND: Early clinical trials with the Endeavor zotarolimus eluting stent
(ZES) in western populations demonstrated low rates of target lesion
revascularization with a favorable safety profile including low late stent
thrombosis with up to 5 years of follow-up. The aim of this clinical registry
study was to evaluate real world clinical performance of the ZES coronary system
in Chinese patients. METHODS: The China Endeavor Registry is a prospective,
multicenter registry assessing the safety of the ZES system in a real world
patient population. It was conducted at 46 centers in China in routine treatment
of patients with coronary artery stenosis, including patients with clinical
characteristics or lesion types that are often excluded from randomized
controlled trials. The registry included 2210 adult patients who underwent single
vessel or multi-vessel percutaneous coronary intervention. The primary end point
was the rate of major adverse cardiac events (MACE) at 12 months. RESULTS: The 12
month rate of MACE for all patients in the registry was 3.03%. Cardiac death or
myocardial infarction rate was 1.28% and target lesion revascularization rate was
1.66%, non-target lesion target vessel revascularization (TVR) was 0.52%, TVR was
2.18%, and target vessel failure was 3.22%. There was only one case of emergent
cardiac bypass surgery. The 12-month overall incidence of all Academic Research
Consortium (ARC)-defined stent thrombosis was 0.43%. CONCLUSION: Mid-term results
from the real-world China Endeavor Registry suggest that Endeavor ZES was safe
and effective in Chinese patients.
PMID- 22088518
TI - Duloxetine versus placebo in the treatment of patients with generalized anxiety
disorder in China.
AB - BACKGROUND: Duloxetine is approved for the treatment of generalized anxiety
disorder (GAD) in the United States and elsewhere. This study aimed to assess the
efficacy, tolerability, and safety of duloxetine in Chinese patients with GAD.
METHODS: This 9-site study consisted of double-blind treatment for 15 weeks
either with duloxetine 60 - 120 mg or with placebo. Patients with at least
moderately severe GAD and a Sheehan Disability Scale (SDS) global functioning
impairment total score >= 12 were included in this study. Patients who were
randomly assigned to duloxetine received 60 mg for 7 weeks; at that point, for
nonresponders the dose was increased to 120 mg for the remaining 8 weeks. The
primary efficacy measure was mean change from baseline to endpoint on the
Hospital Anxiety and Depression Scale-Anxiety subscale score (HADS-A). Secondary
efficacy measures included the Hamilton Anxiety Rating Scale (HAMA), the SDS, and
pain measures. Safety and tolerability were assessed. RESULTS: Baseline
characteristics did not differ significantly between treatment groups. Mean age
of the subjects (n = 210) was 37.6 years, 50.5% were female, and 74.3% completed
the 15 weeks treatment. Patients treated with duloxetine had significantly
greater improvement compared to placebo on the HADS-A (mean change -6.6 vs. -4.9,
respectively, P = 0.022). Improvement in anxiety was greater with duloxetine
treatment at 7 weeks and continued through 15 weeks for both the HADS-A and the
HAMA total score (0.01 <= P < 0.05). Compared with placebo, duloxetine was also
associated with greater improvement on most secondary measures, but not on the
SDS global functioning score. Nausea, dizziness, and somnolence occurred
significantly more frequently as treatment-emergent adverse events with
duloxetine treatment compared with placebo treatment. CONCLUSIONS: Duloxetine 60
120 mg once daily is effective and well-tolerated for the treatment of patients
with GAD in China.
PMID- 22088519
TI - Prospective, naturalistic study of open-label OROS methylphenidate treatment in
Chinese school-aged children with attention-deficit/hyperactivity disorder.
AB - BACKGROUND: Attention deficit hyperactivity disorder (ADHD) is one of the most
common mental disorders during childhood, characterized by the core symptoms of
hyperactivity, impulsivity and inattention and puts great burden on children
themselves, their families and the society. Osmotic release oral system
methylphenidate (OROS-MPH) is a once-daily controlled-release formulation
developed to overcome some of the limitations associated with immediate-release
methylphenidate (IR-MPH). It has been marketed in China since 2005 but still
lacks data from large-sample clinical trials on efficacy and safety profiles. The
aim of this study was to evaluate the effectiveness and safety of OROS-MPH in
children aged 6 to 16 years with ADHD under naturalistic clinical setting.
METHODS: This 6-week, multi-center, prospective, open-label study enrolled 1447
ADHD children to once-daily OROS-MPH (18 mg, 36 mg or 54 mg) treatment. The
effectiveness measures were parent-rated Inattention and Overactivity With
Aggression (IOWA) Conners I/O and O/D subscales, physician-rated CGI-I and parent
rated global efficacy assessment scale. Blood pressure, pulse rate measurement,
adverse events (AEs) and concomitant medications and treatment review were
conducted by the investigator and were served as safety measures. RESULTS: A
total of 1447 children with ADHD (mean age (9.52 +/- 2.36) years) were enrolled
in this trial. Totally 96.8% children received an OROS-MPH modal dose of 18 mg,
3.1% with 36 mg and 0.1% with 54 mg at the endpoint of study. The parent IOWA
Conners I/O score at the end of week 2 showed statistically significant (P <
0.001) improvement with OROS-MPH (mean: 6.95 +/- 2.71) versus the score at
baseline (10.45 +/- 2.72). The change in the parent IOWA Conners O/D subscale,
CGI-I and parent-rated global efficacy assessment scale also supported the
superior efficacy for OROS-MPH treatment. Fewer than half of 1447 patients
(511(35.3%)) reported AEs, and the majority of the events reported were mild
(68.2%). No serious adverse events were reported during the study. CONCLUSION:
This open-label, naturalistic study provides further evidence of effectiveness
and safety of OROS-MPH in school-aged children under routine practice.
PMID- 22088520
TI - Comparison of tirofiban combined with dalteparin or unfractionated heparin in
primary percutaneous coronary intervention of acute ST-segment elevation
myocardial infarction patients.
AB - BACKGROUND: Primary percutaneous coronary intervention (PCI) is the best
treatment of choice for acute ST segment elevation myocardial infarction (STEMI).
This study aimed to determine the clinical outcomes of tirofiban combined with
the low molecular weight heparin (LMWH), dalteparin, in primary PCI patients with
acute STEMI. METHODS: From February 2006 to July 2006, a total of 120 patients
with STEMI treated with primary PCI were randomised to 2 groups: unfractionated
heparin (UFH) with tirofiban (group I: 60 patients, (61.2 +/- 9.5) years), and
dalteparin with tirofiban (group II: 60 patients, (60.5 +/- 10.1) years). Major
adverse cardiac events (MACE) during hospitalization and at 4 years after PCI
were examined. Bleeding complications during hospitalization were also examined.
RESULTS: There were no significant differences in sex, mean age, risk factors,
past history, inflammatory marker, or echocardiography between the 2 groups. In
terms of the target vessel and vascular complexity, there were no significant
differences between the 2 groups. During the first 7 days, emergent
revascularization occurred only in 1 patient (1.7%) in group I. Acute myocardial
infarction (AMI) occurred in 1 (1.7%) patient in group I and in 1 (1.7%) in group
II. Three (5.0%) patients in group I and 1 (1.7%) in group II died. Total in
hospital MACE during the first 7 days was 4 (6.7%) in group I and 2 (3.3%) in
group II. Bleeding complications were observed in 10 patients (16.7%) in group I
and in 4 patients (6.7%) in group II, however, the difference was not
statistically significant. No significant intracranial bleeding was observed in
either group. Four years after PCI, death occurred in 5 (8.3%) patients in group
I and in 4 (6.7%) in group II. MACE occurred in 12 (20.0%) patients in group I
and in 10 (16.7%) patients in group II. CONCLUSIONS: Dalteparin was effective and
safe in primary PCI of STEMI patients and combined dalteparin with tirofiban was
effective and safe without significant bleeding complications compared with UFH.
Although there was no statistically significant difference, LMWH decreased the
bleeding complications compared with UFH.
PMID- 22088521
TI - Endovascular treatment of iliac vein compression syndrome.
AB - BACKGROUND: Iliac vein compression syndrome (IVCS), the symptomatic compression
of the left common iliac vein between the right common iliac artery and the
vertebrae, is not an uncommon condition. The aim of this research was to
retrospectively evaluate long-term outcome and the significance of endovascular
treatment in patients with left IVCS. METHODS: Between January 1997 and September
2008, 296 patients received interventional therapy in the left common iliac vein.
In the second stage, 170 cases underwent saphenous vein high ligation and
stripping. Two hundred and thirty-one cases were followed up over a period of 6
to 120 months (average 46 months) and evaluated for symptom improvement with
color ultrasound and ascending venography. RESULTS: The stenotic or occlusive
segments of the left iliac vein were successfully dilated in 285 cases, of whom
272 received stent implantation therapy. Most of the patients achieved
satisfactory results on discharge. During the follow-up period, varicose veins
were alleviated in 98.7% of the patients, and leg swelling disappeared or was
obviously relieved in 84% of cases. About 85% of leg ulcers completely healed.
The total patency rate was 91.7% as evaluated with color ultrasound and 91.5%
with ascending venography. CONCLUSIONS: Endovascular treatment of IVCS provides
effective symptomatic improvement and good long-term patency in most patients.
PMID- 22088522
TI - A diagnosis-based health economic analysis of postural orthostatic tachycardia
syndrome in Chinese children.
AB - BACKGROUND: Postural orthostatic tachycardia syndrome (POTS) is a common clinical
problem in children and adolescents. The previous diagnostic approach to POTS of
children and adolescents is based on a series of tests to exclude all other
causes, which is time and medical resource consuming. Recently, a new diagnostic
approach has been developed. The present study was designed to statistically
analyze the results of clinical investigation items and the cost for the
diagnosis of POTS in children patients, and evaluate cost changes in the
diagnosis of POTS. METHODS: A total of 315 children patients were divided into
two groups according to diagnosis period, including group I diagnosed in 2002 -
2006 (100 cases) and group II in 2007 - 2010 (215 cases) and the diagnostic item
based distribution of the cost was analyzed. The diagnostic costs were compared
between two groups using SPSS17.0. RESULTS: The per-capita cost of diagnosis in
group I was (621.95 +/- 21.10) Yuan, costs of diagnostic tests (head-up tilt
test, standing test, etc) accounted for 8.68% and the exclusive tests for 91.32%.
The per-capita cost of diagnosis in group II was (542.69 +/- 23.14) Yuan,
diagnostic tests accounted for 10.50% and exclusive tests for 89.50%. Comparison
of the total cost of diagnostic tests between the two groups showed significant
differences (P < 0.05). CONCLUSION: The cost of POTS diagnosis has been declined
in recent years, but the cost of exclusive diagnosis is still its major part.
PMID- 22088523
TI - Endovascular treatment of Budd-Chiari syndrome.
AB - BACKGROUND: Budd-Chiari syndrome (BCS) is a posthepatic portal hypertension
caused by the obstruction of the lumen of the hepatic veins or the proximal
inferior vena cava (IVC). This study aimed to evaluate the clinical experience of
interventional therapy for Budd-Chiari syndrome. METHODS: IVC venography was
carried out first, the obliteration or stenosis in the IVC was opened or dilated
with the hard guided wire or Rups100 puncture needle and balloon, then a stent
was routinely implanted for the type of obliteration or stenosis. RESULTS: The
procedure was successful in 821 out of 903 cases including IVC intervention in
760 cases, and hepatic vein intervention in 61 cases. An IVC stent was used in
517 cases and hepatic vein stent in 19 cases. There were no pulmonary embolisms,
but acute renal failure occurred in eight cases, hepatic coma in two cases and
acute heart failure in 43 cases. Two patients died in this group and five cases
were complicated with acute IVC thrombosis. Follow up of 7 to 124 months was made
in 679 cases with recurrence found in 59 cases. CONCLUSIONS: Interventional
therapy is safe and effective with a fast recovery for most types of BCS. It is
gradually becoming the first therapeutic choice.
PMID- 22088524
TI - Minimally invasive video-assisted thyroidectomy for accidental papillary thyroid
microcarcinoma: comparison with conventional open thyroidectomy with 5 years
follow-up.
AB - BACKGROUND: Minimally invasive video-assisted thyroidectomy (MIVAT) has received
increasing attention for malignant thyroid diseases. The aim of this study was to
compare the outcomes of MIVAT with conventional open thyroidectomy (CT) for
papillary thyroid microcarcinoma (PTMC). METHODS: Thirty-one patients were
treated with MIVAT and 37 with CT. Their pathological characteristics, surgical
complications, 5-year postoperative thyroglobulin (TG) and ultrasonic results
were followed up. RESULTS: All the patients took levothyroxine for suppressing
thyroid stimulating hormone (TSH) after surgery, and were followed up with
measurement of serum TG and neck ultrasonography at intervals of 6 or 12 months.
There was no statistically significant difference between the CT and MIVAT groups
for sex ratio, operation time, positive lymph nodes, complications and prognosis,
but the MIVAT group had better cosmetic results. CONCLUSIONS: MIVAT did not
differ significantly from CT for PTMC after 5 years follow-up, but it did have
better cosmetic results. MIVAT is a safe and valid surgical technique for
selected cases.
PMID- 22088525
TI - Short-term effects of supplementary feeding with enteral nutrition via
jejunostomy catheter on post-gastrectomy gastric cancer patients.
AB - BACKGROUND: Most gastric cancer patients who undergo gastrectomy develop
malnutrition. It is, therefore, crucial to establish an effective means to
provide nutrition for these patients. To perform home enteral nutrition (EN) to
ensure adequate nutritional intake in gastric cancer patients, we placed a
jejunostomy catheter during gastric surgery. Most patients showed improved
nutritional status. METHODS: Twenty-nine inpatients at our hospital underwent
radical gastrectomy and jejunostomy from December 2002 to December 2007 and were
designated as the jejunostomy group, and 32 matched patients without a
jejunostomy tube were designated as the tube-free group. The jejunostomy group
was treated with EN from 72 hours to 3 months postoperatively. The tube-free
group did not receive EN. Data including preoperative and postoperative body
weight, body mass index (BMI), nutrition risk screening (NRS) score, Karnofsky
performance score (KPS), and laboratory biochemical indicators were documented
respectively and compared. RESULTS: Compared with preoperative week 1, both
groups showed decreased body weight and BMI at 3 months postoperatively. The
weight loss in the jejunostomy group ((7.1 +/- 3.3) kg) was significantly less
than that in the tube-free group ((9.9 +/- 3.1) kg). Similarly, BMI decreased by
(2.4 +/- 1.0) kg/m(2) in the jejunostomy group, which was significantly less than
in the tube-free group ((3.2 +/- 0.9) kg/m(2)). The number of patients with
postoperative NRS >= 3 was decreased in the jejunostomy group, but was increased
in the tube-free group, and this difference was significant. There were no
significant differences between the two groups in total lymphocyte count,
hemoglobin, albumin and prealbumin, and adverse drug effects. CONCLUSIONS: Short
term (3 months) EN supplementation via jejunostomy tube can reduce the risk of
malnutrition and weight loss, and improve tolerance of chemotherapy. Tube feeding
is reliable for achieving these goals because it is not important whether or not
the patients have appetites.
PMID- 22088526
TI - Intraoperative ultrasound assistance in the resection of small, deep-seated, or
ill-defined intracerebral lesions.
AB - BACKGROUND: Intraoperative ultrasound (IOUS) has been increasingly used as a
guiding tool during neurosurgical procedures. In this study, we aimed to evaluate
the potential application of intraoperative ultrasound assisted surgery in the
resection of small, deep-seated, or ill-defined lesions. METHODS: Eighty-six
consecutive patients with small, deep-seated, or ill-defined intracerebral
lesions were studied prospectively. An improved intraoperative imaging technique
and surgical setup were practiced during the surgery. IOUS was performed in three
orthogonal imaging planes (horizontal, coronal and sagittal). RESULTS:
Histopathological diagnoses of these 86 cases included cavernomas, metastases,
hemangioblastomas, gliomas, and radiation necrosis. Forty-seven of the 86 lesions
(54.7%) were small and deep-seated, 34/86 (39.5%) were ill-defined, and 5/86
(5.8%) were small, deep-seated, and ill-defined. Sonograms in the horizontal
plane were obtained in all 86 cases. Sonograms in the sagittal plane and in the
coronal plane were obtained only in 52 cases and in 46 cases, respectively, due
to technical limitation. In 13 cases, sonograms in all three orthogonal planes
were available. All lesions were successfully identified and localized by IOUS.
Total resection was performed in 67 lesions (77.9%) and partial resection was
performed in 19 lesions (22.1%). CONCLUSIONS: We propose IOUS to be performed in
three orthogonal planes when surgery is planned for small, deep-seated, or ill
defined brain lesions. By applying this simple, improved technique, surgeons can
perform resection of these lesions precisely.
PMID- 22088527
TI - Unplanned decannulation of tracheotomy tube in massive burn patients: a
retrospective case series study.
AB - BACKGROUND: Unplanned extubation is associated with adverse outcomes in intensive
care unit. The massive burn patient differs from other critically ill patients in
many ways. However, little is known about the unplanned decannulation (UD) in
Burn Intensive Care Unit. This paper describes the special features of the
circumstances and outcome of UD of tracheotomy tube in massive burn patients.
METHODS: A case series study was performed between January 1999 and December 2008
and UD of tracheotomy tube was analyzed retrospectively. A total of 21 patients
with 29 UD events were identified. Demographic data, diagnosis, intervention, UD
events and outcome of UD patients were collected. Differences in proportions were
compared using the chi-square (chi(2)) or Fisher's exact test. RESULTS: Patients
with UD were often burned with head and neck (67%) and combined with inhalation
injury (62%). The majority of them (76%) were transferred patients, occurred
early (55%) and were accidental UD (79%). UD events tended to happen in day shift
(90%) and to be associated with the medical procedure that was performing by
caregivers at besides (79%). Loose of the stabilizing rope, medical procedure and
tracheotomy malposition were the main causes of UD. Early UD and reintubation
failure were associated with patients' death. CONCLUSIONS: UD happened to massive
burn patients can lead to patient death. Careful management of respiratory tract
was essential for massive burn patients.
PMID- 22088528
TI - Ten-year survey on oncology publications from China and other top-ranking
countries.
AB - BACKGROUND: Cancer is a global disease that knows no borders. Over the past
decade, oncology research had developed rapidly worldwide. The aim of this study
was to evaluate the publication characteristics in oncology journals from China
and other top-ranking countries. METHODS: The present study was designed to study
publication characteristics in oncology journals from China and other top-ranking
countries, the United States (USA), Japan, Germany, the United Kingdom (UK) and
France, from 2001 to 2010. We also examined the research output from the three
different regions of China: the mainland of China, Hong Kong and Taiwan. RESULTS:
Articles published in 163 journals related to oncology were retrieved from the
PubMed database. The number of articles showed significantly positive trends for
the six countries. The percentage of articles in the world output showed a
significantly positive increase in contributions from China, especially the
mainland of China. China contributed 4.5% of the total 163 journals, and 2.5% of
the journals with the top 10% impact factor (IF) scores. USA contributed 31.4% of
the total world output, 40.5% of the top 10% IF score journals and ranked the
first. CONCLUSIONS: This analysis described the research output from each country
and region of China, and revealed the positive trend in China during 2001 and
2010. Also, by contrast with other top-ranking countries, these results imply
that China falls behind the others in conducting high-quality oncology research.
PMID- 22088529
TI - Health insurance and household income associated with mammography utilization
among American women, 2000 - 2008.
AB - BACKGROUND: National Breast and Cervical Cancer Early Detection Program (NBCCEDP)
has provided free or low-cost mammograms to low-income or no health insurance
women in all of the states of the United States (US) since 1997. The objective of
this study was to understand whether health insurance and annual household income
impacted the mammography utilization since the implementation of NBCCEDP, in
order to evaluate how the implementation of NBCCEDP impacted mammography
utilization among American women. METHODS: Data were from the database of
Behavioral Risk Factor Surveillance System (BRFSS) of the CDC in US. Mammography
utilization was measured by whether the American woman aged 40 to 64 years had
the mammography within the last two years. The chi square test and multivariate
Logistic regression were used to evaluate the associations between mammography
utilization and health insurance, annual household income, and other factors for
any given year. RESULTS: From 2000 to 2008, the rate of mammography utilization
among participants had a steady decrease on the whole from 86.7% to 83.8%. The
results showed that the mammography utilization correlated significantly with
health insurance and annual household income for any given year. The results also
showed that compared with participants who were uninsured, those who were insured
had a greater times higher rate of mammography in 2008 than any other year from
2000 to 2008, and compared with participants whose annual household income was
below $15 000, those whose annual household income was above $50 000 had a
greater times higher rate of mammography in 2008 than in 2004 and 2006.
CONCLUSIONS: Health insurance and annual household income impacted the
mammography utilization for any given year from 2000 to 2008, and the
implementation of NBCCEDP has not achieved its original goal on breast cancer
screening.
PMID- 22088530
TI - Role of extracellular signal-regulated kinase 1/2 in cigarette smoke-induced
mucus hypersecretion in a rat model.
AB - BACKGROUND: Airway mucus hypersecretion is an important pathophysiological
feature of chronic obstructive pulmonary disease, which is closely associated
with cigarette smoking. However, the signal transduction pathway from the cell
surface to the nucleus through which cigarette smoke causes upregulation of mucin
gene expression is not well known. This study was designed to investigate the
role of extracellular signal-regulated Kinase 1/2 (ERK 1/2) in airway mucus
hypersecretion induced by cigarette smoke in rats. METHODS: A rat model of airway
mucus hypersecretion was induced by exposure to cigarette smoke for 4 weeks.Rats
exposed to inhalation of cigarette smoke or normal saline were given an
intraperitoneal injection of U0126, a specific MEK1 kinase inhibitor, at doses of
0.25 mg/kg, 0.5 mg/kg and 1 mg/kg for 14 days. Expression of MUC5AC mRNA and
protein, ERK 1/2 and phosphorylated-ERK 1/2 (p-ERK 1/2) were detected by RT-PCR,
immunohistochemistry and Western blotting. RESULTS: Cigarette smoke significantly
increased airway goblet cells metaplasia, induced the overexpression of MUC5AC
mRNA and protein in bronchial epithelia, and increased the ratio of p-ERK 1/2 and
ERK 1/2. U0126 significantly attentuated the expression of MUC5AC mRNA and
protein induced by cigarette smoke (P < 0.05). Moreover, there was a significant
positive correlation between the ratio of p-ERK1/2 to ERK1/2 and the expression
of MUC5AC mRNA and protein (P < 0.05). CONCLUSIONS: Inhibition of ERK 1/2 by
U0126 decreased the ratio of p-ERK 1/2 to ERK 1/2 and expression of MUC5AC mRNA
and protein. ERK 1/2 may play an essential role in cigarette smoke-induced mucus
hypersecretion in vivo.
PMID- 22088531
TI - Calcineurin is involved in cardioprotection induced by ischemic postconditioning
through attenuating endoplasmic reticulum stress.
AB - BACKGROUND: Ischemic postconditioning (I-postC) is a newly discovered and more
amenable cardioprotective strategy capable of protecting the myocardium from
ischemia/reperfusion (I/R) injury. Endoplasmic reticulum (ER) is a principal site
for secretary protein synthesis and calcium storage. Myocardial I/R causes ER
stress and emerging studies suggest that the cardioprotection has been linked to
the modulation of ER stress. The aim of the present study was to determine
whether cardioprotection of I-postC involves reduction in ER stress through
calcineurin pathway. METHODS: In the in vivo model of rat myocardial I/R,
myocardial infarct size was measured by triphenyltetrazolium chloride (TTC)
staining and apoptosis was detected using terminal eoxynucleotidyl transferase
mediated deoxyuridine triphosphate nick-end labeling (TUNEL) assay. Expression of
calreticulin, C/EBP homologous protein (CHOP), caspase-12, and activation of
caspase-12 in myocardium were detected by Western blotting. The activity and
expression of calcineurin in myocardium were also detected. RESULTS: I-postC
protected the I/R heart against apoptosis, myocardial infarction, and leakage of
lactate dehydrogenase (LDH) and creatine kinase-MB (CK-MB). I-postC suppressed
I/R-induced ER stress, as shown by a decrease in the expression of calreticulin
and CHOP, and caspase-12 activation. I-postC downregulated calcineurin activation
in myocardium subjected to I/R. CONCLUSION: I-postC protects myocardium from I/R
injury by suppressing ER stress and calcineurin pathways are not associated with
the I-postC-induced suppression of ER stress-related apoptosis.
PMID- 22088532
TI - GRP78 upregulation-induced increase in cisplatin sensitivity of SPCA1 lung cancer
cells.
AB - BACKGROUND: Glucose regulated protein 78 (GRP78), an endoplasmic reticulum (ER)
chaperone, plays a critical role in chemotherapy resistance in a variety of
cancers. In this study, we investigated the up-regulation of GRP78 induced by
A23187 and its association with the chemotherapeutical sensibility to cisplatin
in human lung cancer cell line SPCA1. METHODS: SPCA1 cells were pretreated with
A23187 at different concentrations. The expression of GRP78 at the mRNA level was
analyzed by RT-PCR; the expression of GRP78 at the protein level was determined
by Western blotting and immunofluorescence assay. Cell survival was determined by
MTT assay. Cell apoptosis was analyzed by flow cytometry. RESULTS: The expression
of GRP78 at both the mRNA and protein levels was obviously induced by A23187 in
SPCA1 cells, with an elevation of GRP78 by 2.1-fold at the mRNA level and by 3.8
fold at the protein level compared to the control. There was a dose-dependent
response. Survival curve analysis demonstrated that A23187 induction caused a
significant reduction of survival for the cells subjected to cisplatin treatment
(P < 0.05). After treatment by cisplatin, the percentage of apoptotic cells in
the A23187 pretreated group increased about three fold compared with the control
group ((27.53 +/- 4.32)% vs. (9.25 +/- 3.64)%, P < 0.05). CONCLUSIONS: A23187
treatment was fairly effective for the induction of GRP78 in SPCA1 cells at both
the mRNA and protein levels. To a certain extent, GRP78 up-regulation by A23187
was associated with the enhancement of drug sensitivity to cisplatin in human
lung cancer cell line SPCA1.
PMID- 22088533
TI - Analysis of the ratio of mitchondrial DNA with A1555G mutant to wild type in deaf
patients of Fujian province in China by a new method and its relationship with
the severity of hearing loss.
AB - BACKGROUND: It has been suggested that the ratio of mutant and wild type
mitochondrial DNA may be related to its clinical phenotype. In this study, we
developed a high sensitive real-time amplification refractory mutation system
quantitative polymerase chain reaction (RT-ARMS-qPCR) assay for quantitation of
the mitochondrial DNA (mtDNA) with a mutated 1555 site, and explored the
relationship between the ratio of mutated mtDNA and the severity of hearing loss
of mitochondrial deafness (MD) patients of Fujian province in China. METHODS: An
amplified mtDNA fragment containing the 1555 site was ligated into a vector to
construct a plasmid DNA standard. An RT-ARMS-qPCR system was used to measure the
mtDNA copy number containing wild-type and mutant sequences in a cohort of 126 MD
patients of Fujian province in China. Combined with the clinical data, we
explored the relationship between the ratio of mutated mtDNA and the severity of
hearing loss of MD. RESULTS: The variation coefficient in the cohort was 1.21%,
the interassay variation coefficient was 1.78%, and the linear range was 10(2) -
10(8) copies/ul for detecting a recombinant, wild-type plasmid. The primers
amplified only the intended sequences. Mutation copy number correlated with the
degree of deafness in sporadic cases with heteroplasmic mutations of mtDNA A1555G
(R = 0.811, P = 0.003), but not in sporadic cases with homoplasmic mutations (R =
0.007, P = 0.989). The copy number of homoplasmic or heteroplasmic mutations of
mtDNA A1555G in familial cases correlated with degree of deafness (R = 0.352, P =
0.023 and R = 0.90, P = 0.012, respectively). CONCLUSIONS: The RT-ARMS-qPCR
system is suitable for determining the copy number of mtDNA fragments containing
the A1555G mutation. The ratio of mutated mtDNA correlates with the severity of
hearing loss of MD.
PMID- 22088534
TI - Clinical utility of automated platelet clump count in the screening for ethylene
diamine tetraacetic acid-dependent pseudothrombocytopenia.
AB - BACKGROUND: Platelet (PLT) clumping occurring in pseudothrombocytopenia (PTCP)
can result in inaccurate PLT. Automated platelet clump count (APCC) is a
quantitative parameter of platelet aggregation. In this study, we evaluated the
clinical utility of APCC in the screening for platelet aggregation related
ethylene diamine tetraacetic acid (EDTA)-dependent PTCP (EDTA-PTCP). METHODS: A
total of 105 patients and 200 healthy individuals were enrolled in this study.
Blood samples were collected with dipotassium EDTA and sodium citrate
respectively. ADVIA 2120 hematology analyzer was used to perform complete blood
count (CBC) and APCC. Blood smears of both EDTA- and citrate-anticoagulated
samples were made for microscope observation and manual PLT counting. RESULTS: In
25 patients with EDTA-PTCP patients, for EDTA-2K anticoagulated-blood, PLT was
(55 +/- 6) * 10(9)/L, significantly lower than citrate anticoagulated blood ((186
+/- 13) * 10(9)/L)). APCC was (905 +/- 694) * 10(9)/L, significantly higher than
citrate anticoagulated blood (98 +/- 37) * 10(9)/L. In true thrombocytopenia and
healthy control groups, APCC was (63 +/- 60) * 10(9)/L and (69 +/- 59) * 10(9)/L
respectively and there was no significant difference between EDTA and citrate
anticoagulants. Receiver operator characteristic (ROC) curve showed both
sensitivity and specificity of APCC were 96% when the cutoff value of APCC was
set as 182 * 10(9)/L. Other platelet parameters had poor performance. CONCLUSION:
The APCC has a good sensitivity and specificity in differentiating EDTA-PTCP from
true thrombocytopenia compared with other platelet parameters.
PMID- 22088535
TI - A novel missense mutation of the TYR gene in a pedigree with oculocutaneous
albinism type 1 from China.
AB - BACKGROUND: The mutation of the tyrosinase (TYR) gene results in oculocutaneous
albinism type 1 (OCA1), an autosomal recessive genetic disorder. OCA1 is the most
common type of OCA in the Chinese population. Hence, the TYR gene was tested in
this study. We also delineated the genetic analysis of OCA1 in a Chinese family.
METHODS: Genomic DNA was isolated from the blood leukocytes of a proband and his
family. Mutational analysis at the TYR locus by DNA sequencing was used to screen
five exons, including the intron/exon junctions. A pedigree chart was drawn and
the fundus of the eyes of the proband was also examined. RESULTS: A novel
missense mutation p.I151S on exon 1, and homozygous TYR mutant alleles were
identified in the proband. None of the mutants was identified among the 100
normal control subjects. Genetic analysis of the proband's wife showed normal
alleles in the TYR gene. Thus, the fetus was predicated a carrier of OCA1 with a
normal appearance. CONCLUSION: This study provided new information about a novel
mutation, p.I151S, in the TYR gene in a Chinese family with OCA1. Further
investigation of the proband would be helpful to determine the effects of this
mutation on TYR activity.
PMID- 22088536
TI - Effects of extremely low frequency magnetic field on anxiety level and spatial
memory of adult rats.
AB - BACKGROUND: As the widespread use of electric devices in modern life, human are
exposed to extremely low frequency magnetic fields (ELF MF) much more frequently
than ever. Over the past decades, a substantial number of epidemiological and
experimental studies have demonstrated that ELF MF (50 Hz) exposure is associated
with increased risk of various health effects. The present study examined the
effects of chronic exposure to ELF MF on anxiety level and spatial memory of
adult rats. METHODS: The 50-Hz ELF MF was used during the whole experimental
procedures and the value of magnetic field (MF) was set to 2 mT. Adult rats were
divided randomly to control, MF 1 hour and MF 4 hours group. Anxiety-related
behaviors were examined in the open field test and the elevated plus maze;
changes in spatial learning and memory were determined in Morris water maze after
4 weeks of daily exposure. RESULTS: Rats in MF 4 hours group had increased
anxiety-like behaviors with unaltered locomotor activity. In the Morris water
maze test, rats had reduced latency to find the hidden platform and improved long
term memory of former location of platform without changes in short-term memory
and locomotor activity. CONCLUSION: Chronic ELF MF exposure has anxiogenic effect
on rats, and the promoting effects on spatial learning and long-term retention of
spatial memory.
PMID- 22088537
TI - Activation of c-Jun N-terminal kinase 1/2 regulated by nitric oxide is associated
with neuronal survival in hippocampal neurons in a rat model of ischemia.
AB - BACKGROUND: C-Jun N-terminal kinase (JNK) signaling pathway plays a critical role
in cerebral ischemia. Although the mechanistic basis for this activation of
JNK1/2 is uncertain, oxidative stress may play a role. The purpose of this study
was to investigate whether the activation of JNK1/2 is associated with the
production of endogenous nitric oxide (NO). METHODS: Ischemia and reperfusion
(I/R) was induced by cerebral four-vessel occlusion. Sprague-Dawley (SD) rats
were divided into 6 groups: sham group, I/R group, neuronal nitric oxide synthase
(nNOS) inhibitor (7-nitroindazole, 7-NI) given group, inducible nitric oxide
synthase (iNOS) inhibitor (2-amino-5,6-dihydro-methylthiazine, AMT) given group,
sodium chloride control group, and 1% dimethyl sulfoxide (DMSO) control group.
The levels of protein expression and phospho-JNK1/2 were detected by Western
blotting and the survival hippocampus neurons in CA1 zone were observed by cresyl
violet staining. RESULTS: The study illustrated two peaks of JNK1/2 activation
occurred at 30 minutes and 3 days during reperfusion. 7-NI inhibited JNK1/2
activation during the early reperfusion, whereas AMT preferably attenuated JNK1/2
activation during the later reperfusion. Administration of 7-NI and AMT can
decrease I/R-induced neuronal loss in hippocampal CA1 region. CONCLUSION: JNK1/2
activation is associated with endogenous NO in response to ischemic insult.
PMID- 22088538
TI - Nicotinamide mononucleotide adenylyltransferase 1 gene NMNAT1 regulates neuronal
dendrite and axon morphogenesis in vitro.
AB - BACKGROUND: Wallerian degeneration is a self-destructive process of axonal
degeneration that occurs after an axonal injury or during neurodegenerative
disorders such as Parkinson's or Alzheimer's disease. Recent studies have found
that the activity of the nicotinamide adenine dinucleotide (NAD) synthase enzyme,
nicotinamide mononucleotide adenylyltransferase 1 (NMNAT1) can affect the rate of
Wallerian degeneration in mice and drosophila. NMNAT1 protects neurons and axons
from degeneration. However, the role of NMNAT1 in neurons of central nervous
system is still not well understood. METHODS: We set up the culture of primary
mouse neurons in vitro and manipulated the expression level of NMNAT1 by RNA
interference and gene overexpression methods. Using electroporation transfection
we can up-regulate or down-regulate NMNAT1 in cultured mouse dendrites and axons
and study the neuronal morphogenesis by immunocytochemistry. In all functional
assays, FK-866 (CAS 658084-64-1), a highly specific non-competitive inhibitor of
nicotinamide phosphoribosyltransferase was used as a pharmacological and positive
control. RESULTS: Our results showed that knocking down NMNAT1 by RNA
interference led to a marked decrease in dendrite outgrowth and branching and a
significant decrease in axon growth and branching in developing cortical neurons
in vitro. CONCLUSIONS: These findings reveal a novel role for NMNAT1 in the
morphogenesis of developing cortical neurons, which indicate that the loss of
function of NMNAT1 may contribute to different neurodegenerative disorders in
central nervous system.
PMID- 22088539
TI - Hemo oxygenase-1 induction in vitro and in vivo can yield pancreas islet
xenograft survival and improve islet function.
AB - BACKGROUND: The induced expression of heme oxygenase-1 (HO-1) in donor islets
improves allograft survival. Cobalt protoporphyrin (CoPP) could significantly
enhance the expression of HO-1 mRNA and protein in rat islet safely. Our work was
to study how to protect pancreatic islet xenograft by CoPP-induction. METHODS:
Islet xenografts treated with CoPP-induction and CoPP + Zinc protoporphyrin
(ZnPP) in vitro and in vivo were randomly transplanted into murine subrenal
capsule; then the graft survival time was compared by blood glucose level and
pathological examination and meanwhile the interferon gamma (IFN-gamma), tumor
necrosis factor alpha (TNF-alpha), interleukin 10 (IL-10) and IL-1beta level in
serum and their mRNA and HO-1 mRNA and protein expression were examined. RESULTS:
Islets with CoPP-induction under low- and high-glucose stimulation exhibited much
higher insulin secretion compared with other three groups. CoPP-induction could
increase higher expression of HO-1 (mRNA: 3.33- and 76.09-fold in vitro and in
vivo; protein: 2.85- and 58.72-fold). The normoglycemia time in induction groups
((14.63 +/- 1.19) and (16.88 +/- 1.64) days) was significantly longer. The
pathological examination showed less lymphocyte infiltration in induction groups.
The IL-10 level and its mRNA in induction groups were significantly higher.
CONCLUSIONS: The HO-1 induced by CoPP would significantly improve function,
prolong normoglycemia time and reduce lymphocyte infiltration. Meanwhile CoPP
induction in vivo had more beneficial effects than in vitro. Its mechanism could
be related to immune-modulation of IL-10.
PMID- 22088540
TI - Microwave ablation: results in ex vivo and in vivo porcine livers with 2450-MHz
cooled-shaft antenna.
AB - BACKGROUND: Imaging-guided thermal ablation using different energy sources
continues to gain favor as a minimally invasive technique for the treatment of
primary and metastatic hepatic malignant tumors. This study aimed to evaluate the
performance of microwave ablation with 2450-MHz internally cooled-shaft antenna
in ex vivo and in vivo porcine livers. METHODS: All studies were animal care and
ethics committee approved. Microwave ablation was performed using a noncooled or
cooled-shaft antenna in 23 ex vivo (92 ablations) and eight in vivo (36
ablations) porcine livers. Diameters of the coagulation zone were observed on
gross specimens. The coagulation diameters achieved in different microwave
ablation parameter groups were compared. Curve estimation analysis was performed
to characterize the relationship between applied power and treatment duration and
coagulation diameter (including short-axis and long-axis diameter). RESULTS:
Coagulation zones were elliptical and an arrowed-shaped carbonization zone around
the shaft was observed in all groups. But the antenna track was also coagulated
in the noncooled-shaft antenna groups. In ex vivo livers, the short-axis diameter
correlated with the power output in a quadratic curve fashion (R(2) = 0.95) by
fixing ablation duration to 10 minutes, and correlated with the ablation duration
in a logarithmic curve fashion (R(2) = 0.98) by fixing power output to 80 W. The
short-axis reached a relative plateau within 25 minutes. In in vivo livers, short
axis diameter correlated with the coagulation duration in a sigmoidal curve
fashion (60 W group R(2) = 0.76, 80 W group R(2) = 0.87), with a relative plateau
achieved within 10 minutes for power settings of 60 W and 80 W. CONCLUSIONS: The
internally cooled microwave antenna may be advantageous to minimize collateral
damage. The short-axis diameter enlargement has a plateau by fixing power output.
PMID- 22088541
TI - Co-culture with microglia promotes neural stem cells differentiation into
astrocytes.
AB - BACKGROUND: Neural stem cells (NSCs) are a self-renewing and multipotent
population of the central nervous system (CNS), which are active during
development and maintain homeostasis and tissue integrity throughout life.
Microglias are an immune cell population resident in the CNS, which have crucial
physiological functions in the developing and adult CNS. This study aimed to
investigate that whether microglia co-cultured with NSCs could promote
astrogliogenesis from NSCs. METHODS: Microglia and NSCs were co-cultured in 24
well insert plates. NSCs were plated in the bottom of the well and microglia in
the insert. Fluorescent staining, Western blotting and RT-PCR were used to
determine the effect of microglia on NSCs differentiation. RESULTS: Co-culture of
microglia and NSCs promoted astrogliogenesis from NSCs. Several key genes, such
as Notch 1, Notch 2, Notch 3, Hes 5, and NRSF were downregulated, while the
critical genes Id1 and Id2 were upregulated. BMP2 and FGF2 were upregulated.
CONCLUSION: Microglias act as a regulator of NSCs astrogliogenesis.
PMID- 22088542
TI - Influenza A pandemic (H1N1) 2009 virus infection.
AB - The clinical spectrum of the 2009 pandemic influenza A (H1N1) infection ranged
from self-limited mild illness to progressive pneumonia, or even a fatal outcome.
We summarize the clinical manifestations, risk factors for severe and fatal
cases, pathologic findings and treatment of this disease in this paper based on
current reports from different regions of the world.
PMID- 22088543
TI - Fluctuations in the rate of autopsy in China.
AB - Autopsy has played a unique role in the progression of clinical medicine, medical
education, epidemiology, and public health. However, the autopsy rate has been
decreasing during the past several decades worldwide, and its necessity is
frequently argued. Autopsy-based research in China, a country with the world's
largest population, is very important for studying the spectrum and epidemiology
of diseases as well as for discovering new diseases. This article summarizes the
brief history of autopsy in China and analyzes the cause of its decline in recent
decades by reviewing previously published papers, review articles, self-collected
materials, and private correspondence. Since the first officially permitted
autopsy in 1913, China witnessed the highest autopsy rate between 1950 and 1970,
and since then the autopsy rate began to decline as it in other parts of the
world. The main reasons for the reduction in autopsy rates in China include
negligence by hospital administrators and relevant government authorities,
unmotivated clinicians, helpless pathologists, unenforceable regulations and
laws, and local cultures and customs.
PMID- 22088544
TI - Genotype and allele frequencies of heme oxygenase-1 promoter region in a Greek
cohort.
AB - BACKGROUND: Heme oxygenase-1 (HO-1) is an enzyme, which catabolizes heme into
carbon monoxide, biliverdin and free iron. The induction of this enzyme is an
important cytoprotective mechanism, which occurs as an adaptive and beneficial
response to a wide variety of oxidant stimuli. HO-1 inducibility is mainly
modulated by a (GT)(n) polymorphism in the promoter region, and has been shown
that short (S) repeats are associated with greater up-regulation of HO-1,
compared with long (L) repeats. METHODS: In the present study, 250 healthy Greek
individuals have been screened in order to estimate the frequencies of (GT)(n)
alleles in the HO-1 gene. RESULTS: Nineteen different alleles, ranging from 17 to
39 repeats, with (GT)(23) and (GT)(30) being the most common ones, were
identified. CONCLUSION: The possible role of this polymorphism in disease states
is discussed.
PMID- 22088545
TI - Clinical and imaging features of pulmonary artery sling in infants without
significant hemodynamic changes.
AB - BACKGROUND: Pulmonary artery sling (PAS) is a rare congenital heart anomaly and
may cause unexplained respiratory symptoms in infants. Since the non-specific
respiratory symptoms of PAS may lead to misdiagnosis, the aim of this study was
to clarify the clinical and imaging features of this disease for timely diagnosis
and treatment. METHODS: Clinical histories, physical examinations and imaging
studies were retrospectively evaluated in nine infants with PAS. Chest X-ray,
echocardiography and contrast-enhanced computed tomography (CT) with 3
dimensional reconstructions were performed in all patients and three of them
received surgical treatment. RESULTS: Nine cases included six males and three
females with a mean age of (4.3 +/- 2.8) months ranging from 2 to 11 months old.
All patients had respiratory symptoms including recurrent cough, stridor and
wheezing. The onset of symptoms was within 3 months in all cases and three
children had symptoms only a few days after birth. The chest X-ray showed
pneumonia in all cases. Contrast-enhanced CT showed the tracheal compression at
different lengths in every case. The echocardiograph findings of PAS were
anomalous origins of the left pulmonary artery from the posterior aspect of the
right pulmonary artery. Of the 9 cases, 8 cases were diagnosed correctly by
echocardiography. Of the complicated abnormalities, there were one with secundum
atrial septal defect, one with patent foramen ovale and three with persistent
left superior vena cava. None of them were complicated with significant blood
dynamic changes. CONCLUSIONS: Infants with recurrent respiratory symptoms such as
chronic cough, stridor and wheezing, should be examined for the possible presence
of congenital pulmonary artery sling. As a noninvasive technique,
echocardiography is very helpful and should be the first-choice modality for the
diagnosis of pulmonary artery sling. Contrast-enhanced CT, clearly demonstrating
the anatomy of pulmonary artery sling and the position and extent of trachea
compression, is necessary for the final diagnosis and pre-operation evaluation.
PMID- 22088546
TI - Efficacy and safety of intravenous itraconazole followed by oral itraconazole
solution in the treatment of invasive pulmonary mycosis.
AB - BACKGROUND: Invasive pulmonary mycosis is the most common type of invasive fungal
infection. It is often severe and difficult to treat, and is accompanied by high
mortality. In this study, we aimed to evaluate the efficacy and safety of
intravenous itraconazole followed by oral itraconazole solution in the treatment
of invasive pulmonary mycosis and to determine the distribution of different
fungi species. METHODS: This was a multi-center, open-label study which enrolled
71 patients who were diagnosed as invasive pulmonary mycosis between July 2007
and January 2009. All patients received intravenous itraconazole therapy followed
by oral itraconazole solution with a total treatment duration of 6 weeks.
Intravenous itraconazole was given at a dose of 200 mg bid (intravenous infusion
every 12 hours) for the first two days, 200 mg qd for the subsequent 12 days.
Sequential oral itraconazole solution was given at a dose of 100 mg bid for 4
weeks. Efficacy and safety were assessed according to clinical as well as
microbiological criteria. RESULTS: Seventy one patients participated in this
study. Of the 60 patients in the full analysis dataset, the clinical response
rate was 61.7% and the mycological eradication rate was 66.7%. The overall
response rate was 66.7%. Drug-related adverse events occurred in 18.0% of
patients: hypokalemia, liver function impairment and mild gastrointestinal side
effects were the most common. One patient suffered from severe adverse event,
with limb and facial swelling. CONCLUSION: This study showed that in patients
with invasive pulmonary mycosis, intravenous itraconazole followed by oral
itraconazole solution therapy was safe and effective.
PMID- 22088547
TI - Hashimoto encephalopathy: a case report with proton MR spectroscopic findings.
AB - A 52-year-old female patient with Hashimoto encephalopathy was admitted to
hospital for clinical treatment, and the findings on MR spectroscopy (MRS) and MR
imaging (MRI) in the brain were reported. MRS revealed the decreases in N
acetylaspartate (NAA/Cr=1.19) and myo-inositol peaks, and the elevations in
lipid, lactate, glutamate/glutamine multiplet and choline (Cho/Cr=1.21) peaks
which supported a cerebral inflammatory change, in addition to multifocal
hyperintensities on T2WI and fluid-attenuated inversion recovery (FLAIR) images,
slight hyperintensities on diffusion weighted imaging (DWI), hypointensities on
T1WI. The atrophy of the brain was revealed on follow-up MRI two years later.
PMID- 22088548
TI - Littoral cell angioma of the spleen: report of three cases and a review of the
literature.
AB - Littoral cell angioma is a recently described rare vascular tumor of the spleen.
The clinical course of this benign tumor is asymptomatic in most patients.
Herein, we described three patients with littoral cell angioma detected during
physical examination. A brief discussion and review of a handful of cases of
splenic littoral cell angioma, which have been previously reported in the English
language literature, are performed in this paper.
PMID- 22088549
TI - Very late coronary aneurysm formation with subsequent stent thrombosis secondary
to drug-eluting stent.
AB - Drug-eluting stents have changed the practice in interventional cardiology. With
the widespread use of these stents important safety concerns regarding stent
thrombosis and formation of coronary artery aneurysm have been expressed. While
the majority of attention was focused on stent thrombosis, the formation of
coronary aneurysm was only described in anecdotal reports. We report on a patient
who suffered from very late stent thrombosis in association with coronary artery
aneurysm formation secondary to drug-eluting stent but not to bare-metal stent.
PMID- 22088550
TI - Coexisting ankylosing spondylitis and rheumatoid arthritis: a case report with
literature review.
AB - A 30-year-old female patient with coexisting ankylosing spondylitis and
rheumatoid arthritis was diagnosed and treated. The human leukocyte antigen (HLA)
B27 is a predisposing factor of ankylosing spondylitis and HLA-DR4 is a
predisposing factor of rheumatoid arthritis. This patient was HLA-B27 and HLA-DR4
positive, and ankylosing spondylitis manifested before rheumatoid arthritis.
After disease modifying anti-rheumatic drugs successfully arrested ankylosing
spondylitis activity the patient conceived and delivered a healthy baby. One year
later, she developed peripheral polyarthritis and was diagnosed with rheumatoid
arthritis. We hypothesized that pregnancy may be one of the environmental factors
that can activate rheumatoid arthritis, and that disease modifying anti-rheumatic
drugs play an important role in keeping the disease under control.
PMID- 22088551
TI - A rare case of circumferential intramural dissection of thoracic esophagus with
contained esophageal perforation.
AB - In this report, a full account of an extremely rare case on esophageal intramural
dissection (EID) is presented. A 56-year-old female patient, misdiagnosed as
esophageal mediastinal fistula under endoscopic view, was diagnosed correctly as
EID with contained esophageal perforation in the operation and cured by thoracic
esophagectomy.
PMID- 22088552
TI - Laparoscopic treatment of a massive fibroepithelial polyp accompanied by ureteral
intussusception.
AB - Ureteral fibroepithelial polyp accompanied by intussusception is a rare
occurrence. Currently, most ureteral polyps could be removed readily by
ureteroscopy. Nevertheless, endoscopic resection can be difficult in patient with
a large polyp, especially accompanied by an intussusception. We described our
experience and laparoscopic technique for treatment of a symptomatic 63-year-old
woman who presented with a pedunculated, 9-cm-long, left lower ureteral,
fibroepithelial polyp accompanied by a 2-cm-long intussusception.
PMID- 22088553
TI - Meningitis caused by Enterococcus casseliflavus with refractory cerebrospinal
fluid leakage following endoscopic endonasal removal of skull base
chondrosarcoma.
PMID- 22088554
TI - [Myoma and pregnancy: when medical treatment is not sufficient].
AB - The leiomyoma is the most common benign uterine tumor in population of
childbearing age women. We report a patient case with uterine myomas threatening
the pregnancy continuation and causing a real problem of maternal tolerance. In
presence of a 22 cm subserosal fibroma which is responsible of a severe abdominal
pain syndrome resistant to level 3 analgesics, the use of surgical treatment was
considered, even if current recommendations are for an optimal medical
management. Myomectomy by laparotomy has been performed after 13 weeks of
gestation.
PMID- 22088555
TI - A survey of ward nurses attitudes to the Intensive Care Nurse Consultant service
in a teaching hospital.
AB - The aim of an Intensive Care Nurse Consultant (ICNC) service is to optimise care
of complex ward patients and reduce adverse events. Despite their widespread
implementation, specific assessment of ward nurses' attitudes towards such is
lacking. Accordingly, we surveyed ward nurses' attitudes towards our ICNC service
in five domains: (a) accessibility and approachability; (b) perceived ICNC skill
and knowledge; (c) perceived influence on patient management; (d) usefulness as a
resource of clinical information; (e) impact upon adverse outcomes. To achieve
this, an anonymous Liker-type questionnaire was distributed to 208 ward nurses in
our hospital. We also included space for free text. Completed questionnaires were
entered manually into a SURVEYMONKEYTM pro-forma to permit automatic report
generation and results summary. The major findings were that ICNC staff were
perceived as being approachable and good communicators, were skilled at early
detection of deteriorating patients, and that they reduce serious adverse events.
In addition, nurses believe the ICNC service provides continuity of care post
discharge from the intensive care unit (ICU), as well as assisting staff to
prioritise clinical issues following medical emergency team (MET) review or ICU
discharge. The ward nurses did not believe that the ICNC service reduced their
skills in managing ward patients. In contrast, respondents stated that the ICNC
service needed to improve the processes of referral to allied health and
education of ward staff regarding deteriorating patients. Finally, ward nurses
suggest they would call the MET service rather than the ICNC service for patients
who had already deteriorated. This survey suggests that the ICNC service is
valued, and is perceived to prevent the development of adverse events, rather
than playing a major role in the management of the deteriorating patient. There
is a need to improve referrals to allied health and further educate ward nurses.
PMID- 22088556
TI - Assessment of the effects of AZD3480 on cognitive function in patients with
schizophrenia.
AB - AZD3480 is a selective agonist of alpha4beta2 central neuronal nicotinic
receptors (NNRs). This study investigated its effects on cognition, relative to
placebo, in 440 patients with stable schizophrenia who were taking a single
atypical antipsychotic medication and who were active cigarette smokers. Mean age
was 41 (range 19 to 55) years and the majority of patients (88%) had a diagnosis
of paranoid schizophrenia. Patients were randomized to one of 3 doses of AZD3480:
5 mg, 20 mg, and 35/100 mg (depending on CYP2D6 metabolic status), or to placebo.
Treatment was given once daily for 12 weeks. The primary outcome measure was
change in cognitive function from baseline to Week 12, as measured by IntegNeuro
computerized test battery of cognitive function scores. Secondary outcome
measures included assessment of functional capacity (University of California at
San Diego Performance Based Skills Assessment [UPSA2]) and adaptive function
(Social Functioning Scale [SFS]). AZD3480 failed to improve cognition relative to
placebo in this population of patients or in subpopulations defined by
disposition, metabolic status, antipsychotic treatment, age, age of illness
onset, and sex. Likewise, no improvement relative to placebo was observed in
either the SFS measure of adaptive functioning or the UPSA2 measure of functional
capacity. AZD3480 was generally well tolerated in the population studied.
PMID- 22088557
TI - Orthorexia nervosa presenting as prodrome of schizophrenia.
PMID- 22088558
TI - Structural studies of lead lithium borate glasses doped with silver oxide.
AB - Silver oxide doped lead lithium borate (LLB) glasses have been prepared and
characterized. Structural and composition characterization were accessed by XRD,
FTIR, Raman, SEM and EDS. Results from FTIR and Raman spectra indicate that
Ag(2)O acts as a network modifier even at small quantities by converting three
coordinated to four coordinated boron atoms. Other physical properties, such as
density, molar volume and optical basicity are also evaluated. Furthermore, they
are also affected by the silver oxide composition.
PMID- 22088559
TI - Estimation of the rotamerization constants of different conformations of N
acetylalanine: a theoretical and matrix-isolation FT-IR study.
AB - The conformational landscape of N-acetylalanine has been investigated by a
theoretical and matrix-isolation FT-IR study. Optimizations of N-acetylalanine
structures has been conducted at successive higher levels of theory HF/3-21G,
DFT(B3LYP)/6-31++G** and MP2/6-31++G**. This resulted in three stable
conformations. Among these, one conformation contains an intramolecular H-bond.
The vibrational properties of these conformations were calculated and used to
identify the conformations in a cryogenic argon matrix. The intensities of some
bands assigned to a particular conformation were used to estimate the
rotamerization constants K(r12) and K(r13) for the equilibria NAA1 NAA2 and NAA1
NAA3, respectively. The obtained experimental values were in agreement with the
theoretical predictions.
PMID- 22088560
TI - Unidirectional growth of benzil crystal from solution by Sankaranarayanan
Ramasamy method and its characterization.
AB - Good quality <100> benzil single crystal with a diameter 18 mm and length 75 mm
was successfully grown from solution by the unidirectional growth method of
Sankaranarayanan-Ramasamy (SR) for the first time in the literature. The seed
crystals have been harvested from conventional solution growth technique and
subsequently used for unidirectional growth. The grown crystal was subjected to
various characterization studies. The results of UV-vis spectral analysis,
photoluminescence, etching and microhardness studies were compared with
conventional solution grown crystal to that of SR method grown crystal. The
quality of SR method grown benzil crystal is better than conventional solution
grown crystal.
PMID- 22088561
TI - Kidney-derived proteins in urine as biomarkers of induced acute kidney injury in
sheep.
AB - Acute kidney injury (AKI) is a life-threatening condition for which an early
diagnosis is problematic. The aim of the present study was to identify kidney
derived urinary proteins specific to AKI in sheep. AKI was induced in six sheep
by an overdose of ketoprofen. Six untreated sheep served as controls. Urine
samples were collected for up to 24 h after drug administration and pooled
according to time and treatment. Tissue samples from kidney were taken
immediately after euthanasia. Urinary proteins were separated by two-dimensional
gel electrophoresis (2DE) and the proteins of interest were identified by mass
spectrometry. Calbindin-D28k, retinol-binding protein 4 and CD1d were identified
in ketoprofen-treated sheep, but not in controls. In addition, calbindin-D28k and
CD1d were localized in kidney tissues by immunohistochemical staining. These
preliminary results suggest that urinary calbindin-D28k and CD1d represent
potential useful biomarkers of AKI, at least in sheep.
PMID- 22088563
TI - Post hoc ergo propter hoc: complications and death after gastrostomy placement.
PMID- 22088562
TI - Micro-RNA dysregulation in multiple sclerosis favours pro-inflammatory T-cell
mediated autoimmunity.
AB - Pro-inflammatory T cells mediate autoimmune demyelination in multiple sclerosis.
However, the factors driving their development and multiple sclerosis
susceptibility are incompletely understood. We investigated how micro-RNAs, newly
described as post-transcriptional regulators of gene expression, contribute to
pathogenic T-cell differentiation in multiple sclerosis. miR-128 and miR-27b were
increased in naive and miR-340 in memory CD4(+) T cells from patients with
multiple sclerosis, inhibiting Th2 cell development and favouring pro
inflammatory Th1 responses. These effects were mediated by direct suppression of
B lymphoma Mo-MLV insertion region 1 homolog (BMI1) and interleukin-4 (IL4)
expression, resulting in decreased GATA3 levels, and a Th2 to Th1 cytokine shift.
Gain-of-function experiments with these micro-RNAs enhanced the encephalitogenic
potential of myelin-specific T cells in experimental autoimmune
encephalomyelitis. In addition, treatment of multiple sclerosis patient T cells
with oligonucleotide micro-RNA inhibitors led to the restoration of Th2
responses. These data illustrate the biological significance and therapeutic
potential of these micro-RNAs in regulating T-cell phenotypes in multiple
sclerosis.
PMID- 22088564
TI - Expression of dentine sialophosphoprotein in mouse nasal cartilage.
AB - OBJECTIVE: Dentine sialophosphoprotein (DSPP) was initially thought to be unique
for dentine formation during tooth development, whilst recent reports have shown
a much broader expression pattern such as in bone, periodontium and inner ear.
Our goal was to explore its expression and potential impact during early nasal
cartilage formation in comparison with tooth development. STUDY DESIGN: We
investigated DSPP expression in the nasal cartilage by immunohistochemistry and
in situ hybridisation. We also cloned a 719bp partial DSPP cDNA from nasal
cartilage and analysed its homology to the published mouse DSPP cDNA sequence. In
addition, quantitative RT-PCR was undertaken to compare the expression pattern of
DSPP in nasal cartilage and tooth germs during embryonic development. RESULTS:
The expression of DSPP in mouse nasal chondrocytes was detected using in situ
hybridisation and immunohistochemical staining. The quantitative RT-PCR data
showed that expression levels of DSPP in nasal cartilage are similar to that of
tooth: low at E18, and increased during development with the peak level at P3.
Furthermore, DSPP levels in nasal cartilage are lower than tooth but higher than
bone. CONCLUSION: DSPP is expressed in nasal cartilage, and a similar temporal
expression pattern in cartilage and tooth indicates the potential importance of
DSPP during development.
PMID- 22088565
TI - Wilms' tumor metastatic to bilateral testes at presentation: case and review of
the literature.
AB - A 7-year-old male with bilateral palpable testicular masses was found to have
metastatic stage IV Wilms' tumors associated with both left renal and lung
lesions. The patient was treated successfully with testicular-sparing bilateral
partial orchiectomies, radical nephrectomy, chemotherapy, and radiation, with 8
years free of recurrence. This is the only reported case of bilateral testicular
tumors from metastatic Wilms' tumor that were present at the initial
presentation. A review of the literature of all previous reported cases of
metachronous or related testicular metastatic Wilms' tumors is reported.
PMID- 22088566
TI - Is routine postoperative chest radiography needed after percutaneous
nephrolithotomy?
AB - OBJECTIVE: To assess whether routine postoperative chest radiography (CXR) is
required after percutaneous nephrolithotomy (PCNL) for the detection and possible
management of hydropneumothorax. It is the standard for many urologists to obtain
routine postoperative CXRs after PCNL to assess for hydropneumothorax. However,
it has been our experience that in the few patients who develop
hydropneumothorax, the CXR findings almost never affect the clinical management.
METHODS: A retrospective review was performed of 214 PCNL procedures acquired
from 2007 to 2010. The data analyzed included patient demographics, operative
data, postoperative CXR findings, and complications. RESULTS: We reviewed 214
PCNL procedures, 49% of the 164 patients were men, with a mean age of 48 years
and a mean stone burden of 2.4 * 2.5 cm. Renal access was obtained by the
urologists in 47% of cases. Renal access was obtained in the upper pole (51%),
midpole (26%), and lower pole (23%) through the 11th-12th intercostal space (21%)
and below the 12th rib (78%). Renal access was unsuccessful in 2.8%. All patients
underwent postoperative CXR. Only 2 patients (1%) had a hydropneumothorax, and
both had clinical symptoms. One patient's postoperative CXR findings were minimal
pleural effusion only. Both patients were treated with tube thoracostomy. The
mean hospital length of stay was 1.6 days, and the mortality rate was 0.5%.
CONCLUSION: Routine postoperative CXR is not needed after PCNL. Obtaining
selective CXR when a recognized intraoperative hydropneumothorax has occurred,
the physical examination reveals an abnormality, or the patient experiences
respiratory difficulties in the postoperative period is safe, cost-effective, and
reduces unnecessary radiation exposure to the patients.
PMID- 22088567
TI - Long-term biochemical recurrence rates after robot-assisted radical
prostatectomy: analysis of a single-center series of patients with a minimum
follow-up of 5 years.
AB - OBJECTIVE: To address the long-term biochemical recurrence (BCR)-free survival
rates of patients treated with robotic-assisted laparoscopic prostatectomy (RALP)
with a minimum follow-up of 5 years. MATERIALS AND METHODS: Prospectively
collected data of 184 patients treated with RALP at a single institution were
analyzed. Kaplan-Meier and life tables analyses targeted the rates of BCR
according to pathologic parameters. Cox regression analyses addressed predictors
of BCR. RESULTS: Median follow-up was 67.5 months. One and 10 patients died of
prostate cancer (PCa) and other causes, respectively. Mean time to BCR was 83.8
months. The 3-, 5-, and 7-year BCR-free survival rates were 94%, 86%, and 81%,
respectively. These rates were 97%, 93%, and 85% for pT2 disease; 94%, 84%, and
84% for pT3a; and 69%, 43%, and 43% for pT3b (P<.001). The same figures were 97%,
90%, and 88% for Gleason sum 6 or lower; 90%, 86%, and 75% for Gleason sum 7; and
85%, 65%, and 65% for Gleason sum 8-10 (P=.01). At univariable analyses, prostate
specific antigen, pathologic Gleason score, and presence of extracapsular
extension, seminal vesicle invasion, and adjuvant radiotherapy were significantly
associated with BCR. At multivariable analysis, the presence of seminal vesicle
invasion and the presence of Gleason sum 8-10 represented independent predictors
of BCR (HR=5.14; P=.004 and HR=3.04; P=.04, respectively). CONCLUSION: We report
the longest available follow-up in RALP patients. RALP represents an
oncologically effective procedure. Our oncological results support the increasing
diffusion of RALP for the treatment of organ-confined PCa.
PMID- 22088568
TI - Oligohydramnios associated with sonographically normal kidneys.
AB - We report a male newborn presenting with sonographically normal kidneys,
oligohydramnios during late pregnancy, and persisting anuric renal failure.
Despite intensive treatment, the patient suffered from severe hypotension and
died at the age of 4 weeks. At autopsy, kidneys were found to be normal; on
histology, deranged renal structures, in particular proximal tubuli and vessels,
were noted, leading to the diagnosis of renal tubular dysgenesis (RTD). The
diagnosis was confirmed by 2 heterozygous nonsense mutations of the ACE gene.
Because the recurrence rate of RTD is 25% for the autosomal recessive trait,
knowledge and genetic diagnosis of the disease is important for the parents.
PMID- 22088569
TI - Shock wave lithotripsy and diabetes mellitus: a population-based cohort study.
AB - OBJECTIVE: To determine if shock wave lithotripsy (SWL) is associated with
diabetes mellitus (DM) in a community setting. The pancreas is vulnerable to
injury at SWL as evidenced by case studies; thus, concern exists for the
development of DM after SWL. METHODS: The Rochester Epidemiologic Project was
used to identify all Olmsted County, Minnesota residents diagnosed with
urolithiasis from 1985 to 2008. New-onset DM was identified by diagnostic codes
and treatment with SWL by surgical codes. Cox proportional hazards models were
used to determine the risk of DM after SWL therapy. RESULTS: A total of 5287
incident cases of stone formation without pre-existing DM and with >=3 months of
follow-up. After an average follow-up of 8.7 years, 423 patients (8%) were
treated with SWL and new-onset DM had developed in 743 (12%). The diagnosis of DM
followed SWL in 77 patients. However, no association was evident between SWL and
the development of DM before (hazard ratio 0.98, 95% confidence interval 0.76
1.26) or after (hazard ratio 0.92, 95% confidence interval 0.71-1.18) SWL,
controlling for age, sex, and obesity. CONCLUSION: In the present large,
population-based cohort, the long-term risk of developing DM was not increased in
persons who underwent SWL to treat their kidney stones.
PMID- 22088570
TI - Isolated large hydatid cyst in the kidney of an elderly man.
AB - Cystic disease (CD) is a parasitic infestation by Echinococcus granulosus and
occurs endemically in many countries, associated with sheep farming. Renal
involvement is rare (2-4%) and is often indicative of disseminated disease.
Echinococcal larvae can reach the kidneys through the bloodstream or lymph glands
or through a direct route. The larvae grow slowly, and patients bearing larvae
are typically asymptomatic. We report the case of a 79-year-old male with an
isolated hydatid cyst in the kidney and describe its management by
transperitoneal nephrectomy. Diagnosis was based on epidemiology and imaging
findings, and was confirmed by histopathological and serum examinations.
PMID- 22088571
TI - On-demand tramadol hydrochloride use in premature ejaculation treatment.
AB - OBJECTIVES: To determine the efficacy of tramadol in premature ejaculation (PE)
treatment compared with placebo. METHODS: A single-blind, placebo-controlled,
crossover study was conducted with 60 lifelong (primary) patients with PE. The
patients were randomized into 2 groups, each consisting of 30 patients, who took
tramadol or placebo on demand. PE was defined as an intravaginal ejaculation
latency time of <=60 seconds in 90% of intercourse episodes. The efficacy of the
drugs was assessed using the intravaginal ejaculation latency time, ability of
ejaculation control, and sexual satisfaction scores after an 8-week treatment
period. RESULTS: All participants completed the study voluntarily. Two groups
were similar in terms of the patient demographics. Increases in the intravaginal
ejaculation latency time, ability of ejaculation control, and sexual satisfaction
score between the placebo and tramadol groups were compared with the baseline
values in both groups. At the end of study period, the tramadol group had
significantly (P<.001) greater values for all 3 parameters compared with those in
the placebo group. CONCLUSIONS: On-demand use of low-dose tramadol is effective
for lifelong PE. Currently, selective seratonin reuptake inhibitors such as
dapoxetine, are a more popular treatment option for PE. However, tramadol might
be considered an alternative agent for primary PE treatment.
PMID- 22088572
TI - Metastatic prostate carcinoma mimicking primary anal cancer.
AB - A 71-year-old man with a history of castration-refractory prostate cancer was
initially treated with hormonal therapy. He responded with a decreasing prostate
specific antigen level and improved symptoms. Chemotherapy was initiated later,
after an increasing prostate-specific antigen level and findings of distant
metastases. Nine months after his initial diagnosis, he presented with a large
multinodular perianal mass that was suspicious for primary anal cancer. Biopsy
revealed poorly differentiated metastatic prostate carcinoma. The patient died 2
months after the initial presentation with perianal skin metastasis.
PMID- 22088573
TI - Juvenile granulosa cell tumor arising from intra-abdominal testis in newborn:
case report and review of the literature.
AB - In the present case, the neonate presented with a left-sided abdominal mass and
an empty left scrotum. Abdominal ultrasonography showed well-defined cystic
formation, and laparotomy revealed a tumor arising from an intra-abdominal left
testis. The carcinoembryonic antigen and neuron-specific enolase levels were
within normal limits, and the serum beta-human chorionic gonadotropin and alpha
fetoprotein levels were within age-related normal values. The findings from the
immunochemistry tests confirmed the diagnosis.
PMID- 22088574
TI - [Solitary intrasellar plasmocytoma revealed by a diplopia: a case report].
AB - INTRODUCTION: Plasmocytomas rarely invade the skull base. It can be solitary or
multiple. The clinical presentation mainly consists in a progressive neuropathy
involving many cranial nerves, or may simply present as an optochiasmatic
syndrome. OBSERVATION: The authors report a case of a female patient aged 68
years presenting with an optochiasmatic syndrome with an anosmia and paralysis of
the right sixth (VI) cranial nerve progressing over two years prior to her
hospitalisation. Cerebral MRI showed a voluminous intrasellar lesion, isointense
on T1 and hyperintense on T2, enhancing intensely after gadolinium injection with
evidence of invasion of the sphenoid and cavernous sinuses. The endocrinologic
assay was normal. A sphenoidal biopsy by the rhinoseptal route permitted the
diagnosis of a plasmocytoma. A complete radiologic and laboratory assessment of
the patient was accomplished, the patient benefited from local radiotherapy.
DISCUSSION: Plasmocytomas are malignant tumors that are essentially osseous. The
cervicocephalic region is rarely afflicted (1%). Extension to the cranial base
seldom occurs. Less than 30 cases have been described in the literature
simulating the other numerous neoplastic intrasellar lesions. CONCLUSION:
Plasmocytomas of the cranial base revealing a myeloma represent a very rare
entity. Nevertheless, whether solitary or multiple, a plasmocytoma must be
considered amongst the differential diagnoses in the face of any invasive lesion
of the sphenoid sinus.
PMID- 22088576
TI - A randomized trial comparing gentamicin/citrate and heparin locks for central
venous catheters in maintenance hemodialysis patients.
AB - BACKGROUND: Central venous catheters (CVCs) are used for vascular access in
hemodialysis patients who have no alternative access or are awaiting placement or
maturation of a permanent access. The major complications of CVCs are catheter
related bloodstream infection and clotting in the catheter lumen. STUDY DESIGN:
Parallel-group, randomized, multicenter clinical trial, with patients blinded to
study intervention. SETTING & PARTICIPANTS: 16 free-standing dialysis facilities
in Northern California belonging to a single provider. 303 adult maintenance
hemodialysis patients who were using a tunneled cuffed CVC for vascular access.
INTERVENTION: The treatment group received an antibiotic lock containing
gentamicin 320 MUg/mL in 4% sodium citrate, whereas the control group received
the standard catheter lock containing heparin 1,000 U/mL. Both groups received
triple-antibiotic ointment on the catheter exit site during dressing changes at
each dialysis treatment. OUTCOMES: Catheter-related bloodstream infection and
catheter clotting. MEASUREMENTS: Catheter-related bloodstream infection was
defined as the occurrence of symptoms consistent with bacteremia together with
positive blood culture results in the absence of another obvious source of
infection. Catheter clotting was measured as the rate of thrombolytic agent use
required to maintain adequate blood flow. A single patient could contribute more
than one infection or clotting episode. RESULTS: The rate of catheter-related
bloodstream infection was 0.91 episodes/1,000 catheter-days in the control group
and 0.28 episodes/1,000 catheter-days in the treatment group (P = 0.003). The
time to the first episode of bacteremia was significantly delayed (P = 0.005).
The rates of tissue plasminogen activator use were similar in the treatment and
control groups: 2.36 versus 3.42 events/1,000 catheter-days, respectively (P =
0.2). LIMITATIONS: The requirement for dialysis facility staff to prepare the
treatment intervention prevented a completely blinded study. CONCLUSION:
Gentamicin 320 MUg/mL in 4% sodium citrate used as a routine catheter lock in
CVCs in patients on maintenance hemodialysis therapy markedly decreases the
incidence of catheter-related bloodstream infection and is as effective as
heparin 1,000 U/mL in preventing catheter clotting.
PMID- 22088575
TI - A complex multilevel attack on Pseudomonas aeruginosa algT/U expression and
algT/U activity results in the loss of alginate production.
AB - Infection by the opportunistic pathogen Pseudomonas aeruginosa is a leading cause
of morbidity and mortality seen in cystic fibrosis (CF) patients. This is mainly
due to the genotypic and phenotypic changes of the bacteria that cause conversion
from a typical nonmucoid to a mucoid form in the CF lung. Mucoid conversion is
indicative of overproduction of a capsule-like polysaccharide called alginate.
The alginate-overproducing (Alg(+)) mucoid phenotype seen in the CF isolates is
extremely unstable. Low oxygen tension growth of mucoid variants readily selects
for nonmucoid variants. The switching off mechanism has been mapped to the algT/U
locus, and the molecular basis for this conversion was partially attributed to
mutations in the algT/U gene itself. To further characterize molecular changes
resulting in the unstable phenotype, an isogenic PAO1 derivative that is
constitutively Alg(+) due to the replacement of the mucA with mucA22 (PDO300) was
used. The mucA22 allele is common in mucoid CF isolates. Thirty-four spontaneous
nonmucoid variants, or sap (suppressor of alginate production) mutants, of PDO300
were isolated under low oxygen tension. About 40% of the sap mutants were rescued
by a plasmid carrying algT/U (Group A). The remaining sap mutants were not (Group
B). The members of Group B fall into two subsets: one similar to PAO1, and
another comparable to PDO300. Sequence analysis of the algT/U and mucA genes in
Group A shows that mucA22 is intact, whereas algT/U contains mutations. Genetic
complementation and sequencing of one Group B sap mutant, sap22, revealed that
the nonmucoid phenotype was due to the presence of a mutation in PA3257. PA3257
encodes a putative periplasmic protease. Mutation of PA3257 resulted in decreased
algT/U expression. Thus, inhibition of algT/U is a primary mechanism for alginate
synthesis suppression.
PMID- 22088578
TI - Initial fixation strength of transosseous-equivalent suture bridge rotator cuff
repair is comparable with transosseous repair.
AB - BACKGROUND: The outcome of rotator cuff repair correlates with tendon healing.
Early studies of arthroscopic rotator cuff repair demonstrate lower healing rates
than traditional open techniques. Transosseous-equivalent repair techniques
(suture bridge) were developed to improve the initial fixation strength. PURPOSE:
To compare the initial in vitro tensile fixation strength of a transosseous
equivalent suture bridge (TOE-SB) rotator cuff repair construct to a traditional
transosseous (TO) suture construct. STUDY DESIGN: Controlled laboratory study.
METHODS: Identical simulated rotator cuff tears were created on 8 matched pairs
of humeri. Each matched pair underwent repair with 4 sutures using either the TOE
SB or TO technique. Initial fixation strength was tested in a custom testing jig.
Each shoulder underwent 1000 cycles each of low and then high load testing. Gap
displacement was measured at anterior and posterior sites of the repair with
digital video tracking of paired reflective markers and recorded at predetermined
cycle intervals. RESULTS: There were no statistically significant differences in
gap formation at the repair sites under low or high load conditions between TOE
SB and TO techniques. The mean maximal gap formation of the repairs during low
load testing in the TOE-SB and TO constructs was 0.93 +/- 0.88 mm and 0.55 +/-
0.22 mm, respectively (P = .505). The mean maximal gap formation during high load
testing in the TOE-SB and TO constructs was 2.04 +/- 1.10 mm and 2.28 +/- 1.62
mm, respectively (P = .517). The most significant increase in gap distance
occurred at the transition from low load to high load in both constructs. Most of
the incremental displacement occurred within the first 100 cycles for both high
and low load testing (P < .001). CONCLUSION: The arthroscopic TOE-SB technique is
comparable in initial fixation strength to the traditional TO simple suture
repair technique. CLINICAL RELEVANCE: Arthroscopic techniques can achieve initial
fixation strength comparable with traditional TO techniques performed without
suture anchors.
PMID- 22088579
TI - Biomechanical and histological evaluations of the doubled semitendinosus tendon
autograft after anterior cruciate ligament reconstruction in sheep.
AB - BACKGROUND: A sheep or goat anterior cruciate ligament (ACL) reconstruction model
with the semitendinosus tendon autograft has not as of yet been established.
HYPOTHESIS: The semitendinosus tendon graft may be necrotized after ACL
reconstruction until 12 weeks. Structural properties of the femur-graft-tibia
complex may not be restored to the normal ACL level even at 12 months after
surgery. STUDY DESIGN: Controlled laboratory study. METHODS: Thirty-eight mature
sheep were used. In each animal, the right knee underwent ACL reconstruction
using the semitendinosus tendon autograft. Two of them were not tested because of
pneumonia. Twenty of 36 sheep were used for biomechanical evaluations. Five
randomly selected animals were sacrificed at 0, 12, 24, or 52 weeks after
surgery. In each animal, both the knees were harvested, and the left knee was
used to obtain the control data. The remaining 16 sheep were used for
histological evaluation. Two or 3 randomly selected animals were sacrificed at 0,
2, 6, 12, 24, or 52 weeks after surgery. RESULTS: The tendon graft was
predominantly acellular at 2 weeks. Although cell infiltration increased at 6
weeks, the core portion remained necrotic even at 12 weeks. At 24 and 52 weeks,
the necrotic lesion disappeared in the core portion. In each period, the
anteroposterior translation of the reconstructed knee remained significantly
greater than that of the control (P < .0001). At 52 weeks, the maximum load of
the femur-graft-tibia complex was significantly lower than that of the femur-ACL
tibia complex (P < .0001), although there was no significant difference in the
cell density, the tissue dimensions, the stiffness, and the elongation at failure
between the 2 complexes. CONCLUSION: The sheep ACL reconstruction model showed
predominant intrinsic fibroblast necrosis in the tendon autograft until 12 weeks.
Although the structural properties of the femur-graft-tibia complex gradually
improved, they were not completely restored to the femur-ACL-tibia complex level
even at 52 weeks. CLINICAL RELEVANCE: Remodeling of the semitendinosus tendon
autograft after ACL reconstruction is not different from that of the bone-tendon
bone graft. This study has suggested that vigorous activity should not be
permitted for patients in the early periods after ACL reconstruction using
semitendinosus tendon autografts, which are necrotized and weakened after
surgery.
PMID- 22088577
TI - Depressed mood enhances anxiety to unpredictable threat.
AB - BACKGROUND: Depression and anxiety disorders (ADs) are highly co-morbid, but the
reason for this co-morbidity is unclear. One possibility is that they predispose
one another. An informative way to examine interactions between disorders without
the confounds present in patient populations is to manipulate the psychological
processes thought to underlie the pathological states in healthy individuals. In
this study we therefore asked whether a model of the sad mood in depression can
enhance psychophysiological responses (startle) to a model of the anxiety in ADs.
We predicted that sad mood would increase anxious anxiety-potentiated startle
responses. METHOD: In a between-subjects design, participants (n=36) completed
either a sad mood induction procedure (MIP; n=18) or a neutral MIP (n=18).
Startle responses were assessed during short-duration predictable electric shock
conditions (fear-potentiated startle) or long-duration unpredictable threat of
shock conditions (anxiety-potentiated startle). RESULTS: Induced sadness enhanced
anxiety- but not fear-potentiated startle. CONCLUSIONS: This study provides
support for the hypothesis that sadness can increase anxious responding measured
by the affective startle response. This, taken together with prior evidence that
ADs can contribute to depression, provides initial experimental support for the
proposition that ADs and depression are frequently co-morbid because they may be
mutually reinforcing.
PMID- 22088580
TI - Should complementary and alternative medicine familiarisation modules be taught
in African medical schools?
AB - Complementary and alternative medicines (CAMs) are household sources of therapy
in African communities. A large percentage of the African population uses CAMs as
an alternative to mainstream medicine. This is partly due to tradition, and
partly because of inability to afford conventional treatments. In the developed
countries, the use of CAMs is gradually on the increase. As a result, certain
medical schools in these countries are incorporating CAM familiarisation modules
into their undergraduate medical curricula. In Africa, most medical schools have
not taught CAM yet. However, in view of the rising use of CAMs by the African
populace, and the potential CAM-conventional therapy interactions, there is the
need for physicians to get familiar with those CAMs in common use. To achieve
this, it is recommended that African medical schools introduce CAM
familiarization modules into the undergraduate medical curricula. This would
fully prepare the 21st century doctors to deliver holistic medical treatment, and
thus be at par with the global trend.
PMID- 22088581
TI - An updated review on medicinal herb genus Spilanthes.
AB - Herbal medicine has been used in medical practice for thousands of years and
recognized especially as a valuable and readily available resource for healthcare
in Asian nations. India is a vast repository of medicinal plants that can be
developed as a safe and economical system of medicine for the treatment of
various ailments. Unfortunately, most of the traditionally used medicinal plants
are yet unexplored or have not been fully examined by modern medical science.
Therefore, exploring traditionally reported medicinal plants to leverage their
potential for the betterment of human health is of great importance. The present
review examines a promising medicinal plant genus Spilanthes. In recent years,
many new compounds and activities of this plant genus have been established. The
present review therefore, aims to compile up-to-date and comprehensive
information of genus Spilanthes with special emphasis on phytochemical and
ethnomedical uses, scientifically documented pharmacological activities and
tissue culture methods for conservation.
PMID- 22088582
TI - [Icariin and its pharmaceutical efficacy: research progress of molecular
mechanism].
AB - Icariin is one of the key active components of Epimedium species, which is most
widely applied to supplement the kidney in traditional Chinese medicine.
Scientific research has found that icariin possesses extensive therapeutic
effects such as protecting neurons from injury, promoting growth of neuronal
synapse, improving sexual dysfunction and bone morphogenesis, as well as anti
inflammation, anti-tumor and anti-depression functions. Considering that
molecular mechanism is the fundamental basis for pharmaceutical efficacy of
icariin, in this article, the authors retrospectively retrieved 122 scientific
papers recorded in the PubMed database with "icariin" in the title from January
1, 1995 to January 5, 2011. It was found that icariin has been closely
highlighted in the intervention of p38 mitogen-activated protein kinases and
phosphatidylinositol 3-kinase/Akt signal pathways, inhibition of
phosphodiesterase 5, and regulation of nuclear receptors. Besides, the authors
also discussed the main orientation for molecular mechanism of icariin in future
research.
PMID- 22088583
TI - Estimation of sample size and testing power (part 2).
AB - This article introduces definitions of three special tests, namely, non
inferiority test (to verify that the efficacy of the experimental drug is
clinically not inferior to that of the positive control drug), equivalence test
(to verify that the efficacy of the experimental drug is equivalent to that of
the control drug) and superiority test (to verify that the efficacy of the
experimental drug is superior to that of the control drug), and methods of sample
size estimation under the three different conditions. By specific examples, the
article introduces formulas of sample size estimation for the three special
tests, and their SAS realization in detail.
PMID- 22088584
TI - Investigations of botanicals on food intake, satiety, weight loss and oxidative
stress: study protocol of a double-blind, placebo-controlled, crossover study.
AB - BACKGROUND: Botanicals represent an important and underexplored source of
potential new therapies that may facilitate caloric restriction and thereby may
produce long-term weight loss. In particular, one promising botanical that may
reduce food intake and body weight by affecting neuroendocrine pathways related
to satiety is hydroxycitric acid (HCA) derived from Garcinia cambogia Desr.
METHODS AND DESIGN: The objective of this article is to describe the protocol of
a clinical trial designed to directly test the effects of Garcinia cambogia
derived HCA on food intake, satiety, weight loss and oxidative stress levels, and
to serve as a model for similar trials. A total of 48 healthy, overweight or
obese individuals (with a body mass index range of 25.0 to 39.9 kg/m(2)) between
the ages of 50 to 70 will participate in this double-blind, placebo-controlled,
crossover study designed to examine the effects of two doses of Garcinia cambogia
derived HCA on food intake, satiety, weight loss, and oxidative stress levels.
Food intake represents the primary outcome measure and is calculated based on the
total calories consumed at breakfast, lunch, and dinner meals during each test
meal day. This study can be completed with far fewer subjects than a parallel
design. DISCUSSION: Of the numerous botanical compounds, the compound Garcinia
cambogia-derived HCA is selected for testing in the present study because of its
potential to safely reduce food intake, body weight, and oxidative stress levels.
We will review potential mechanisms of action and safety parameters throughout
this clinical trial. TRIAL REGISTRATION: ClinicalTrials.gov (Identifier:
NCT01238887).
PMID- 22088585
TI - [Study protocol for a self-controlled case study to evaluate the safety and
standardization for external application of Chinese medicine Jiuyi Powder].
AB - BACKGROUND: As the main medicinal powder for drawing out pus and removing
necrotic tissue in external therapies of traditional Chinese surgery, Sheng
Powder has made great contributions to the treatment of inflammatory wounds and
has the unique bactericidal and decay-discharging function that can not be
replaced by antibiotics. However, Sheng Powder has toxicity because it contains
mercury. So far, there is no clinical research on the standards of dose and usage
of Sheng Powder and there is a lack of objective and quantitative criteria for
operating standards and monitoring of toxicity and side effects. Therefore, the
authors choose Jiuyi Powder, one of the most commonly used Sheng Powder, to
evaluate the safety of its external use, and form a standardization program for
clinical implementation. METHODS AND DESIGN: This study is a nonrandomized,
nonblinded, self-controlled case study. There will be two key stages in the
study. In stage one, 10 patients with plasma cell mastitis will be enrolled. The
patients will receive continuous external application of Jiuyi Powder with a
fixed dose (160 mg/d). Blood mercury and urine mercury levels will be dynamically
tested at different time intervals, and the observation of pharmacokinetic
parameters will be conducted after Jiuyi Powder has been absorbed by the surface
of the wound. In stage two, 30 patients with each of the four conditions
including postoperative wounds of head or facial surgeries, plasma cell mastitis,
anal fistula and chronic absorption ulcer of the shank will be enrolled,
respectively. According to the dose of 1.5 mg/cm(2), Jiuyi Powder will be
externally applied to the wound surface for 14 d. On the basis of the first-phase
study, the test time will be chosen and the toxicity outcome will be detected to
evaluate the safety of external application of Jiuyi Powder and to establish
recommendations for standardized clinical use. DISCUSSION: The purpose of this
study is to evaluate the safety of the external application of Jiuyi Powder
through a two-stage study. The pharmacokinetic parameters of external application
of Jiuyi Powder at the clinical dose range, the changes in blood and urinary
mercury levels and related safety indexes will be observed on different wounds
located in different positions externally. The evaluation of whether Jiuyi Powder
could be a routine medication for drawing out pus and removing necrotic tissue in
inflammatory and refractory wounds will be stated. TRIAL REGISTRATION NUMBER:
ChiCTR-TNC-11001366.
PMID- 22088586
TI - [Acupuncture combined with Chinese herbal medicine Plantain and Senna Granule in
treatment of functional constipation: a randomized, controlled trial].
AB - BACKGROUND: Functional constipation is one of the most common gastrointestinal
diseases. Currently, there is no effective Western medical therapy for functional
constipation and it significantly impacts the quality of life of the patients.
Integrated traditional Chinese and Western medicine therapies were reported to
have better therapeutic effects than routine Western medicine therapies.
OBJECTIVE: To explore the efficacy of acupuncture combined with Chinese herbal
medicine Plantain and Senna Granule in the treatment of functional constipation.
DESIGN, SETTING, PARTICIPANTS AND INTERVENTIONS: A total of 390 patients with
confirmed functional constipation enrolled from the Department of
Gastroenterology, the First Affiliated Hospital of Xinxiang Medical College in
China from February 2008 to January 2010 were randomly divided into three groups,
with 130 cases in each group. Acupuncture group was treated with puncture of
point Tianshu (ST25), Shangjuxu (ST37), Zusanli (ST36), Dachangyu (BL25) and
Zhigou (SJ6) twice daily for four weeks, while Plantain and Senna Granule group
was treated with 5 g of Plantain and Senna Granule once daily, and the
combination group was given above-mentioned acupuncture and Plantain and Senna
Granule. MAIN OUTCOME MEASURES: The defecation cycle, stool property,
constipation symptom, accompanying symptoms, gastrointestinal transit time,
including total gastrointestinal transit time, mouth-intestine transit time,
colonic transit time, right colonic transit time, left colonic transit time and
rectosigmoid colonic transit time, and adverse reactions of the three groups were
evaluated before treatment, at the end of treatment and 64 weeks after treatment,
respectively. RESULTS: Compared with before treatment, the scores of defecation
cycle, stool property, constipation symptoms and accompanying symptoms, and
gastrointestinal transit time all decreased significantly at the end of treatment
in each group (P<0.01), and the combination group showed better results than the
other groups (P<0.05). Compared with the end of treatment, the above-mentioned
indexes recurred insignificantly in the combination group and acupuncture group
after 64 weeks of follow-up (P>0.05), but all recurred significantly in the
Plantain and Senna Granule group (P>0.05). Both short- and long-term total
response rates of the combination group showed significant differences compared
with those of the other two groups (P<0.05 or P<0.01). No serious adverse
reactions occurred in all the three groups. CONCLUSION: Acupuncture plus Plantain
and Senna Granule can significantly decrease gastrointestinal transit time and
defecation cycle in patients with functional constipation; it can also change
stool property and alleviate constipation symptom and accompanying symptoms, with
good security and tolerance.
PMID- 22088587
TI - [Flavonoids of puerarin versus tanshinone II A for ischemic stroke: a randomized
controlled trial].
AB - BACKGROUND: Flavonoids are widely used today in the treatment of ischemic stroke.
The therapeutic effects and functions of flavonoids are, therefore, generating
more and more interest. OBJECTIVE: To investigate the therapeutic effects and
functions of flavonoids of puerarin in treating patients with ischemic stroke.
DESIGN, SETTING, PARTICIPANTS AND INTERVENTIONS: A total of 67 inpatients
suffering from ischemic stroke from the Department of Neurology, Changhai
Hospital in China were divided into two groups randomly, the treatment group,
which was treated with flavonoids of puerarin, and the control group,
administered with tanshinone II A sulfate instead. MAIN OUTCOME MEASURES: Defects
in neurological function were evaluated according to the National Institutes of
Health Stroke Scale (NIHSS) on the first day of onset. Lactate dehydrogenase
(LDH), serum interleukin-6 (IL-6) and brain-derived neurotrophic factor (BDNF)
levels were determined by radioimmunoassay on the second trial day. After a 14
day treatment, LDH, serum IL-6 and BDNF levels and NIHSS score were also
detected, and CT perfusion imaging was used to measure and analyze the regional
cerebral blood flow (rCBF), regional cerebral blood volume (rCBV) and mean
transit time (MTT). RESULTS: On the first day, NIHSS scores of the two groups
were similar. On the second day there was no significant difference in LDH and IL
6 levels between the treatment group and the control group. After a 14-day
treatment, LDH and IL-6 levels and the NIHSS score in the treatment group were
lower than those in the control group (P<0.05). There was no significant
difference in BDNF levels in the two groups. After 14 d, the CT perfusion imaging
demonstrated that the treatment group showed more effective blood perfusion than
the control group. CONCLUSION: Flavonoids of puerarin can restrain the increase
of IL-6 after acute ischemic stroke, and depress the LDH raised by reperfusion
after cerebral ischemia. It can also enhance blood perfusion of the ischemic
region.
PMID- 22088588
TI - [Analysis of facial far-infrared thermogram of patients with acute facial
neuritis].
AB - OBJECTIVE: In order to provide an objective observational index for facial
neuritis, the authors monitored the changes of facial far-infrared thermogram in
patients with acute facial neuritis. METHODS: A total of 23 patients with acute
facial neuritis were enrolled from Department of Acupuncture and Moxibustion,
Chinese PLA General Hospital. Another 21 healthy participants were selected as
the control group. Focal plane thermal imaging system (thermal sensitivity 0.05
degrees centigrade) was applied to collect facial far-infrared thermogram.
Temperature differences in the thermogram of both sides of the cheeks, inner
canthus, supraorbitals and forehead of the same patient were compared separately
and statistically and analyzed by software provided by the imaging system.
RESULTS: Results of far-infrared thermography of the patients displayed obvious
temperature differences ranging from 0.01 to 0.26 degrees centigrade between two
sides of the cheeks, inner canthus, supraorbitals and forehead areas. In the
control group, far-infrared thermogram showed that there were no obvious
temperature differences between two sides of the cheeks, inner canthus,
supraorbitals and forehead. There were significant differences in temperature
difference in the four monitoring areas between the two groups (P<0.01). Among
the 23 patients, there were 14 patients with congestive change, 7 with ischemic
change and 2 with both congestive and ischemic changes. CONCLUSION: The facial
far-infrared thermogram of patients with acute facial neuritis is characterized
mainly by congestive changes. Far-infrared thermography can objectively reflect
the changes of blood-supply status in patients with facial neuritis.
PMID- 22088589
TI - [Study of traditional Chinese medicine pulse signals in patients with coronary
heart disease based on recurrence quantification analysis].
AB - OBJECTIVE: By using recurrence quantification analysis (RQA) to analyze
traditional Chinese medicine pulse signals of patients with coronary heart
disease (CHD), this study aims to find nonlinear dynamic parameters of pulses to
distinguish patients with CHD from normal subjects. METHODS: First, pulse signals
were collected using ZBOX-I pulse digitization gathering analyzer from October
2007 to June 2008. RQA was used to analyze RQA parameters of pulses of 63
patients with CHD and 61 normal subjects. RQA parameters included recurrence rate
(RR), determinism (DET), averaged diagonal length (L), entropy of diagonal length
(ENTR), length of longest diagonal line (L(max)), laminarity (LAM), trapping time
(TT) and length of longest vertical line (V(max)). Then, rank-sum test and
BoxPlot were employed to find significant difference and distribution of RQA
parameters. Lastly, receiver operating characteristic (ROC) curves were used to
assess the diagnostic value of the measurements with significant difference.
RESULTS: There were significant differences in RQA parameters of pulse signals
between the two groups, including RR, DET, L, ENTR, LAM, TT and V(max), and their
areas under the ROC curves were 1.000, 0.898, 0.653, 0.673, 0.885, 0.898, 0.986
and 0.994, respectively. CONCLUSION: Compared with the normal subjects, the pulse
signals of the patients with CHD are presented with more certainty, regularity
and stability. RQA measurements of RR, TT, Vmax, DET and LAM show good diagnostic
value according to their ROC curves.
PMID- 22088590
TI - [Analysis of major herbs in Chinese herbal formula Jianpi Huoxue Decoction for
improving intestinal permeability based on uniform design].
AB - OBJECTIVE: To investigate the herbal medicines which play a main role in Chinese
herbal formula Jianpi Huoxue Decoction for improving intestinal permeability and
protect alcohol-induced liver injury and intestine damage, and to explore the
analysis method for the material base of pharmacological effects of the Chinese
herbal compound. METHODS: Sprague Dawley rats were given Lieber-DeCarli ethanol
liquid diet once daily for 6 weeks to induce alcoholic liver injury. In step one,
U(17)(17(16)) table of uniform design was adopted to design the experiment and
the eight herbs of Jianpi Huoxue Decoction were screened to seek the herbs which
play the main role. Three and a half hours before the rats were killed, each rat
was administered lipopolysaccharide once, then blood sample was collected from
portal vein and endotoxin content in plasma was detected as the index of
intestinal permeability. The data were analyzed by stepwise regression to find
the herbal drugs which had the best effects and the compatibility ratio of these
drugs. In step two, the rats with Lieber-DeCarli liquid diet-induced liver injury
were divided into four groups to test and verify the results. RESULTS: According
to the obtained regression equation, Rasux Paeonia Alba (Baishao), Rhizoma
Alismatis (Zexie) and Fructus Schisandrae Chinensis (Wuweizi) were the main
herbal drugs in Jianpi Huoxue Decoction in improving intestinal permeability, and
the doses for rats were 1.33, 0.50 and 0.17 g/kg respectively. In the
verification experiment, combination of Baishao, Zexie and Wuweizi significantly
decreased the endotoxin level in plasma of rats with Lieber-DeCarli-induced liver
injury and showed reliability. CONCLUSION: Baishao, Zexie and Wuweizi are the
major herbs of Jianpi Huoxue Decoction for improving intestinal permeability.
Uniform design is efficient in screening the major herbs or their optimal
combination in a certain Chinese compound.
PMID- 22088591
TI - [Crohn disease in rats induced by different concentrations of
trinitrobenzenesulfonic acid and ethanol].
AB - OBJECTIVE: To induce Crohn disease in rats by intraluminal instillations of
different concentrations of 2,4,6-trinitrobenzenesulfonic acid (TNBS) and
ethanol. METHODS: Crohn disease in rats was induced with enema containing TNBS
and 50% ethanol with volume ratio of 2:1 (experimental group 1) or 1:1
(experimental group 2), or solution containing TNBS and anhydrous ethanol with
volume ratio of 2:1 (experimental group 3) or 1:1 (experimental group 4).
Equivalent volume of normal saline was used to set as the normal saline control,
and rats without any treatment were set as the normal control group. The rats
were killed at various time points (3, 7, 14 and 21 d) respectively. Colonic
inflammation and damage were assessed microscopically and histologically.
RESULTS: In the colon of rats in the experimental group 3, discontinuous erosion,
ulceration and infiltration of neutrophils occurred after one week; pebble sign
and even segmental inflammation appeared on day 14. On day 21, it appeared
improvement in the colon tissue and obviously thickened bowel wall, but the
inflammation was easily observed under the light microscope. Experimental group 1
was similar to experimental group 3 in appearance of the colon on days 3 and 7;
on day 14, colonic inflammation and damage were improved as compared with the
experimental group 3, but there were obvious individual differences in
histological findings among rats in group 1. In the experimental group 2, the
intestinal wall turned to be normal on day 14. In the experimental group 4, there
were high mortality and extensive damage of colon tissues, and the pathological
characteristics were quite different from Crohn disease in humans. CONCLUSION:
The characteristics of the rat model of Crohn disease induced with a enema
containing TNBS and anhydrous ethanol with volume ratio of 2:1 are similar to the
clinical features of human Crohn disease, including typical pathological
characteristics and long duration of inflammation. It may be an ideal
experimental model for studying pathogenesis of Crohn disease and for evaluating
treatment effects.
PMID- 22088592
TI - [Effects of daidzein on steroid receptor coactivator-1 expression in MC3T3-E1
cells and the mechanism].
AB - OBJECTIVE: To investigate the roles of daidzein in the expressions of steroid
receptor coactivator-1 (SRC-1) and nuclear receptor corepressor (NcoR) in MC3T3
E1 osteoblastic cells. METHODS: MC3T3-E1 cells were cultured in alpha-minimal
essential medium (alpha-MEM) containing 2% fetal bovine serum and treated with
various concentrations of daidzein (10(-9), 10(-7) and 10(-5) mol/L) or 17beta
estradiol at 10(-8) mol/L for 3 d. The protein levels of SRC-1 and NcoR in MC3T3
E1 cells were determined by Western blotting. Estrogen receptor (ER) antagonist
ICI182780 at 10(-7) mol/L or specific ERalpha antagonist methyl-piperidino
pyrazole (MPP) at 10(-6) mol/L were used to block the corresponding receptors,
and then MC3T3-E1 cells were treated with daidzein at 10(-7) mol/L or 10(-5)
mol/L for 3 d. SRC-1 and NcoR protein levels were detected by Western blotting.
RESULTS: The protein levels of SRC-1 increased by 2.5 fold (P<0.05) and 2 fold
(P<0.05) by 10(-7) and 10(-5) mol/L of daidzein respectively, while the NcoR
levels were not significantly altered. 17beta-Estradiol at dose of 10(-8) mol/L
did not affect the expression of SRC-1 but decreased NcoR protein expression by
35% (P<0.05). Compared with the control, daidzein at 10(-7) and 10(-5) mol/L did
not increase SRC-1 expression when ERs were blocked by antagonist ICI182780.
Daidzein at 10(-7) and 10(-5) mol/L up-regulated SRC-1 by 1.8 fold (P<0.05) and
2.4 fold (P<0.05) respectively while ERalpha was blocked by MPP. CONCLUSION:
Daidzein increases protein level of SRC-1 and the ratio of SRC-1/NcoR. ERbeta,
instead of ERalpha, participates in the action of daidzein in regulating SRC-1
expression. Up-regulation of SRC-1 and increase of SRC-1/NcoR are part of the
mechanism of the estrogenic effect of daidzein in improving osteogenesis.
PMID- 22088593
TI - Amelioration of immobilization stress-induced biochemical and behavioral
alterations and mitochondrial dysfunction by naringin in mice: possible mechanism
of nitric oxide modulation.
AB - OBJECTIVE: The present study was undertaken to evaluate the effects of naringin
on immobilization stress-induced biochemical-behavioral changes and mitochondrial
dysfunction in mice. METHODS: Mice were randomized and grouped based on body
weights. Respective drug treatments were given for 14 d, and on the 15th day all
the animals were subjected to a 6-hour immobilization stress; then all the
animals were subjected to various behavioral paradigms and were sacrificed.
Various biochemical parameters and mitochondrial functions were analyzed using
brain homogenate. RESULTS: The 6-hour acute immobilization stress significantly
altered the behavioral (anxiety and memory) and biochemical parameters coupled
with mitochondrial dysfunction in mice. Fourteen days pretreatment with naringin
(50 and 100 mg/kg, per oral) significantly inhibited the behavioral and
biochemical alterations and mitochondrial dysfunction caused by acute
immobilization stress (P<0.05). Further, pretreatment with L-arginine (50 mg/kg,
intraperitoneally), a nitric oxide precursor, reversed the protective effect of
naringin (P<0.05). In addition, pretreatment with NG-nitro-L-arginine methyl
ester (5 mg/kg, intraperitoneally) caused potentiation in the protective effect
of naringin. CONCLUSION: These results suggest the possible involvement of
nitrergic pathway in the protective effect of naringin against immobilization
stress-induced behavioral, biochemical and mitochondrial dysfunctions in mice.
PMID- 22088594
TI - 6-gingerol, an active ingredient of ginger, protects acetaminophen-induced
hepatotoxicity in mice.
AB - OBJECTIVE: To investigate the hepatoprotective efficacy of 6-gingerol against
acetaminophen-induced hepatotoxicity in mice. METHODS: Mice were injected with a
single dose of acetaminophen (900 mg/kg) to induce hepatotoxicity, while 6
gingerol (30 mg/kg) or the standard drug silymarin (25 mg/kg) was given 30 min
after the acetaminophen administration. The mice were sacrificed 4 h after
acetaminophen injection to determine the activities of liver marker enzymes such
as aspartate aminotransferase (AST), alanine aminotransferase (ALT) and alkaline
phosphatase (ALP), total bilirubin in serum, and lipid peroxidation and
antioxidant status (superoxide dismutase, catalase, glutathione peroxidase,
glutathione reductase, glutathione transferase and glutathione) in liver
homogenate. RESULTS: The treatment of 6-gingerol and silymarin to acetaminophen
induced hepatotoxicity showed significant hepatoprotective effect by lowering the
hepatic marker enzymes (AST, ALT, and ALP) and total bilirubin in serum (P<0.05).
In addition, 6-gingerol and silymarin treatment prevented the elevation of
hepatic malondialdehyde formation and the depletion of antioxidant status in the
liver of acetaminophen-intoxicated mice (P<0.05). CONCLUSION: The results
evidently demonstrate that 6-gingerol has promising hepatoprotective effect which
is comparable to the standard drug silymarin.
PMID- 22088595
TI - Comparative study on WHO Western Pacific Region and World Federation of Chinese
Medicine Societies International Standard Terminologies on Traditional Medicine:
six-meridian pattern identification/syndrome differentiation.
PMID- 22088596
TI - Introduction of the World Health Organization project of the International
Classification of Traditional Medicine.
AB - The World Health Organization plans to incorporate "traditional medicine" into
the next revision of its International Classification of Diseases-Version 11 (ICD
11). If traditional medicine is included in ICD-11, it is definitely an epoch
making issue. The expected result is the International Classification of
Traditional Medicine, China, Japan and Korea Version (ICTM-CJK). The intention of
the ICTM project is not only beneficial for traditional medical components, but
also might be beneficial for Western biomedicine. For this shared purpose, China,
Japan and Korea must understand the meaning of this project and collaborate to
develop it.
PMID- 22088597
TI - Surgical trainee participation during infrainguinal bypass grafting procedures is
associated with increased early postoperative graft failure.
AB - OBJECTIVE: This study was conducted to determine the potential effect of surgical
trainee participation during infrainguinal bypass procedures on postoperative
graft patency rates. METHODS: Data from the National Surgical Quality Improvement
Program (NSQIP) Participant User Files from 2005 through 2009 were
retrospectively reviewed, using propensity score matching, to identify all
patients undergoing infrainguinal bypass grafting procedures, excluding those who
had prior operation <=30 days of the index procedure. A separate analysis was
performed on a subset of procedures from the entire NSQIP sample that was matched
on propensity for intraoperative surgical trainee participation. The primary
predictor variable was intraoperative surgical trainee participation. The main
outcome measure was the 30-day postoperative graft failure rate. RESULTS: For the
entire sample of 14,723 NSQIP patients undergoing infrainguinal bypass grafting,
30-day graft failure rates were significantly higher when a surgical trainee
participated (5.8%) vs without participation (3.9%; P < .0001). For the cohort of
9234 patients matched on their propensity for intraoperative trainee
participation, this difference in graft failure rate remained significant (5.0%
with participation vs 4.0% without participation; P = .02). CONCLUSIONS: Surgical
trainee participation is an independent risk factor for technical failure after
infrainguinal bypass grafting. Prospective evaluation is needed to determine the
cause of this increase in graft failure rates for procedures that involve
surgical trainees.
PMID- 22088598
TI - Selective organ preservation in muscle-invasive bladder cancer: review of the
literature.
AB - The standard of care for transitional-cell carcinoma of the bladder with invasion
to the muscularis propria is radical cystectomy with bilateral pelvic lymph node
dissection. However, currently there is a tendency for organ preservation in
selected cases of muscle-invasive bladder cancer. Trimodality treatment,
including transurethral resection of the bladder tumor (TURBT), radiation therapy
and chemotherapy, has been shown to produce 5-year and 10-year overall survival
rates comparable to those of radical cystectomy. The current 5-year overall
survival rates range from 50 to 67% with trimodality treatment, and approximately
75% of the surviving patients maintains their bladder. After trimodality
treatment complete response is obtained in more than 70% of patients with muscle
invasive bladder cancer. Clinical criteria helpful in determining patients for
bladder preservation include such variables as small tumor size (<2 cm), early
tumor stage (T2-T3 disease), a visibly and microscopically complete TURBT,
absence of ureteral obstruction, no evidence of pelvic lymph node metastases, and
absence of carcinoma in situ (Tis). The close collaboration of urologists,
radiation oncologists and medical oncologists is of paramount importance in
succeeding in bladder preservation.
PMID- 22088599
TI - Clinical significance of plasma CD146 and P-selectin in patients with type 2
diabetic nephropathy.
AB - OBJECTIVE: To investigate the levels of plasma CD146 and P-selectin in patients
with type 2 diabetic nephropathy at different stages. METHODS: A total of 80
patients with type 2 diabetes mellitus were enrolled in the present study.
According to 24h urinary albumin excretion ratio and renal function, they were
further divided into group of diabetes without microalbuminuria (DN0, n=20),
microalbuminuria group (DN1, n=20), macroalbuminuria group (DN2, n=20) and renal
insufficiency group (DN3, n=20). Another 20 healthy subjects were enrolled as
control group (non-DM). Plasma CD146 and P-selectin were measured by ELISA.
RESULTS: Plasma CD146 and P-selectin were significantly increased in patients
with type 2 diabetes with microalbuminuria (DN1) compared with health control
(CD146: 415.3+/-29.0 vs. 243.5+/-14.7 ng/ml, P<0.05; P-selectin: 66.8+/-3.4 vs.
45.3+/-2.7 ng/ml, P<0.001). With the development of diabetic nephropathy, both
plasma CD146 and P-selectin level progressively rise, with the highest levels in
patients with significant renal insufficiency (DN3: 515.9+/-36.9 and 81.5+/-5.1
ng/ml respectively, P<0.001). Moreover, the increase in CD146 is positively co
related to the rise of P-selectin in patients with type 2 diabetes. CONCLUSION:
Expression of CD146 and P-selectin in patients with type 2 diabetes is elevated,
and they are positively correlated with severity of diabetic nephropathy.
PMID- 22088600
TI - The design and recombinant protein expression of a consensus porcine interferon:
CoPoIFN-alpha.
AB - CoPoIFN-alpha is a recombinant non-naturally occurring porcine interferon-alpha
(IFN-alpha). It was designed by scanning 17 porcine IFN-alpha nonallelic subtypes
and assigning the most frequently occurring amino acid in each position. We used
a porcine IFN-alpha (PoIFN-alpha) derived from domestic pig as a control. Both
porcine IFN-alpha genes were introduced into yeast expression vector PpICZalpha-A
and expressed in Pichia pastoris. The antiviral unit of these two IFN-alphas were
assayed in MDBK, PK-15 and MARC-145 cells against vesicular stomatitis virus
(VSV), and their inhibitory abilities on pseudorabies virus (PRV) and porcine
reproductive and respiratory syndrome virus (PRRSV) replication were also
examined, respectively. We found the antiviral activity (units/mg) of CoPoIFN
alpha was 46.4, 63.6 and 53.5-fold higher than that of PoIFN-alpha for VSV
inhibition in MDBK, PK-15 and MARC-145 cells, 4.8-fold higher for PRV inhibition
in PK-15 cells, and 5-fold higher for PRRSV inhibition in MARC-145 cells. Our
results also showed that the PRV and PRRSV-specific cytopathic effect (CPE) could
be inhibited in the cells pretreated with CoPoIFN-alpha and PoIFN-alpha, and the
virus titers in the cells pretreated with CoPoIFN-alpha were lower than those
cells pretreated with PoIFN-alpha by 10-20-fold. The antiproliferative activity
of CoPoIFN-alpha was significantly higher than that of PoIFN-alpha on a molar
basis. The mRNA level of Mx1 and OAS1 genes in PK-15 cells induced by CoPoIFN
alpha were enhanced about 4.6-fold and 3.2-fold compared to that induced by PoIFN
alpha. Based on a homology model of CoPoIFN-alpha and IFNAR2, all of the
different residues between native PoIFN-alpha and CoPoIFN-alpha were not involved
in IFNAR1 binding site, and there is no direct interaction between these residues
and IFNAR2, either. We speculate that the higher activity of CoPoIFN-alpha was
likely due to the electrostatic potential introduced by residue Arg156 around the
binding site or a structural perturbation caused by these different residues.
This may enhance the overall binding affinity of CoPoIIFN-alpha and the
receptors. Thus, CoPoIFN-alpha may have the potential to be used in therapy of
porcine diseases.
PMID- 22088601
TI - Preparing the ground for the 'paperless hospital': a case study of medical
records management in a UK outpatient services department.
AB - PURPOSE: The purpose of the study was to understand the preparations for the
introduction of electronic patient record systems (EPRs) within the outpatient
services department of a large acute hospital based in the UK. In particular, one
of the main aims of the study was to examine in detail the likely impact of EPRs
on the working practices of healthcare workers, their expectations regarding the
impact of EPRs within the department and other sociotechnical aspects of the
management of patient information. METHODS: Twenty-seven semi-structured
interviews were undertaken with staff in a variety of roles that deal with the
management of medical records. The interviews focused on the organisation of the
medical records department and current problems (e.g., missing records). In
addition, the interviews contained questions about the specific role of medical
records supervisors in the administration of records, as well as pathways and
expectations about EPRs more generally. The data from the interviews was analysed
using a mixture of thematic and template analysis and coded using constructs from
a sociotechnical model of information system implementation and adoption.
RESULTS: The findings show that despite severe delays to the nationally led
(NPfIT) roll-out of EPRs and associated IT infrastructure within the UK, staff
attitudes within the department were broadly positive about the potential of
future EPRs to deliver efficiencies (e.g., improved workflow within the
department, reduced reliance on paper-based systems). One of the main influences
on attitudes towards the type of EPRs that should exist within outpatients was
prompted by negative reactions to the way in which NPfIT systems had been managed
and attempted to be introduced in the past. A strong commitment to end-user
involvement in EPRs design, together with a rejection of NPfIT, appears to have
shaped attitudes towards future expectations of the adoption of new EPRs within
the department. In addition, staff do not believe that a rapid change to
'paperless' working is likely to be possible. CONCLUSIONS: Our findings provide
further evidence that there is a need to treat the implementation of EPRs not
simply as an exercise in technical system delivery, but as a larger process of
sociotechnical systems change. We conclude the paper with some guidelines, the
aim of which is to provide guidance regarding EPRs implementation and adoption
informed by sociotechnical principles and ideas.
PMID- 22088602
TI - Unidentified bright objects in neurofibromatosis type 1: conventional MRI in the
follow-up and correlation of microstructural lesions on diffusion tensor images.
AB - PURPOSE: To evaluate the evolution of unidentified bright objects (UBOs) in
individuals with neurofibromatosis type 1 (NF1) by serial magnetic resonance
imaging (MRI), and to relate this to regional fractional anisotropy (FA).
MATERIALS AND METHODS: The signal pattern of the T2-weighted sequences in the
basal ganglia, thalamus, brain stem, and cerebellum for 27 NF1 individuals and a
control group were analyzed by diffusion tensor imaging (DTI). The presence or
absence of UBOs in 2 consecutive MRI examinations was related to FA. RESULTS: We
demonstrated significant differences in FA for the basal ganglia, cerebellum, and
thalamus between NF1 patients and controls (P <= 0.05), even with a reduction or
disappearance of UBOs. CONCLUSIONS: MRI allows for adequate monitoring of the
temporal and spatial distribution of UBOs in patients with NF1. DTI confirmed
changes in FA despite the disappearance or reduction of UBOs, thereby confirming
the hypothesis that microstructural damage occurs in specific brain regions of
NF1 patients.
PMID- 22088603
TI - Psychological distress in a Department of Veterans Affairs spine patient
population.
AB - BACKGROUND CONTEXT: The veteran population presents a unique confluence of
biopsychosocial factors in the treatment of spinal conditions. In addition to
poorer health status and higher numbers of chronic medical conditions compared
with the general population, previous reports have highlighted the high
prevalence of psychological disorders within the Department of Veterans Affairs
(VA) health system. To our knowledge, no study has specifically evaluated
psychological distress in patients with a spinal disorder within the VA health
system. PURPOSE: To determine the prevalence of psychological distress among
spine patients in a VA hospital and if higher levels of distress correlated with
patient demographics and self-reported patient outcome scores. STUDY
DESIGN/SETTING: Cross-sectional evaluation of adult patients at a regional VA
outpatient orthopedic spine surgery clinic. PATIENT SAMPLE: One hundred forty
nine adult patients presenting for treatment of spine-related disorders. OUTCOME
MEASURES: Patients were evaluated using the Distress and Risk Assessment Method
(DRAM), a validated survey consisting of the Zung Depression Scale and the
Modified Somatic Perception Questionnaire. In addition, self-reported pain,
disability, and quality of life were assessed using the visual analog scale (VAS)
for neck or back pain and the Neck Disability Index or Oswestry Disability Index
(ODI) depending on the patient's location of pain. METHODS: The DRAM survey was
used to determine the prevalence of psychological distress by classifying
patients into normal, at-risk, and severe distress groups. Visual analog scale
scores for neck and back pain, and self-reported disability scores, and
demographic data including age, gender, combat experience, and use of
antidepressant, anxiolytic, or narcotic medications were obtained at the time of
enrollment. RESULTS: The DRAM survey identified 79.9% of patients as having some
degree of psychological distress, whereas the remaining 20.1% were classified as
normal. Among those with psychological distress, 43.6% of patients were
categorized as severe distress. Compared with the normal group, a history of
combat was more frequent in all distressed patient groups including the at-risk
(p=.04) and severe distress (p=.009) groups. Those in the severe distress
category more commonly reported the use of narcotics (p=.043) and
antidepressant/anxiolytics medications (p=.0001). Those in the severe distress
group had significantly higher ODI scores (p<.0001) and back pain VAS scores
(p=.0360) compared with the normal group. CONCLUSIONS: We identified a large
number of patients (80%) with some level of psychological distress and 43% with
severe distress. The percent of patients with severe psychological distress in
the VA was double that previously reported in a non-VA patient setting. Patients
with severe distress had higher ODI scores, back pain VAS scores, use of
narcotics and antidepressants, and a reported history of combat when compared
with those without distress.
PMID- 22088604
TI - RETRACTED: A study of shower aerosols and deposition of lead dust from
distribution system and premise plumbing in Baltimore homes.
AB - This article has been withdrawn at the request of the author(s) and/or editor.
The Publisher apologizes for any inconvenience this may cause. The full Elsevier
Policy on Article Withdrawal can be found at
http://www.elsevier.com/locate/withdrawalpolicy.
PMID- 22088605
TI - Effects of coenzyme Q10 on vascular endothelial function in humans: a meta
analysis of randomized controlled trials.
AB - OBJECTIVE: The purpose of this study was to quantify the effect of coenzyme Q10
on arterial endothelial function in patients with and without established
cardiovascular disease. BACKGROUND: Endothelial dysfunction has been implicated
in the pathogenesis of atherosclerosis. METHODS AND RESULTS: The search included
MEDLINE, Cochrane Library, Scopus, and EMBASE to identify studies up to 1 July
2011. Eligible studies were randomized controlled trials on the effects of
coenzyme Q10 compared with placebo on endothelial function. Two reviewers
extracted data on study characteristics, methods, and outcomes. Five eligible
trials enrolled a total of 194 patients. Meta-analysis using random-effects model
showed treatment with coenzyme Q10 significantly improvement in endothelial
function assessed peripherally by flow-mediated dilatation (SMD 1.70, 95% CI:
1.00-2.4, p<0.0001). However, the endothelial function assessed peripherally by
nitrate-mediated arterial dilatation was not significantly improved by using fix
effects model (SMD -0.19, 95% CI: -1.75 to 1.38, p = 0.81). CONCLUSION: Coenzyme
Q10 supplementation is associated with significant improvement in endothelial
function. The current study supports a role for CoQ10 supplementation in patients
with endothelial dysfunction.
PMID- 22088606
TI - Candida theae sp. nov., a new anamorphic beverage-associated member of the
Lodderomyces clade.
AB - Four strains representing a novel yeast species belonging to the genus Candida
were independently isolated in Taiwan and Ecuador. Two strains (G17(T) and G31)
were isolated in Taiwan, by pellet precipitation from plastic-bottled tea drinks
produced in Indonesia, while two additional strains (CLQCA 10-049 and CLQCA 10
062) were recovered from ancient chicha fermentation vessels found in tombs in
Quito, Ecuador. These four strains were morphologically, and phylogenetically
identical to each other. No sexual reproduction was observed on common
sporulation media. Large-subunit (LSU) rRNA gene sequence analysis revealed the
four strains to belong to the Lodderomyces clade, closely related to members of
the Candida parapsilosis species complex. The four strains, which have identical
LSU D1/D2 sequences, differ from their closest phylogenetic neighbors, Candida
orthopsilosis and Candida parapsilosis, by 6-9 nt substitutions, respectively.
Physiologically, the four strains are similar to Candida parapsilosis, although
they can be distinguished from their closest relative by the assimilation of
arbutin, nitrite, and creatine. The Indonesian and Ecuadorian strain sets can
also be distinguished from one another based on ITS sequencing, differing by 4
substitutions in ITS1 and 1 single nucleotide indel in ITS2. Collectively, the
results indicate that the four strains represent a previously unrecognized
species of Candida. The name Candida theae sp. nov. is proposed to accommodate
these strains, with G-17(T) (BCRC 23242(T)=CBS 12239(T)=ATCC MYA-4746(T))
designated as the type strain.
PMID- 22088607
TI - Response styles to depressed mood affect the long-term course of psychosocial
functioning in depressed patients.
AB - BACKGROUND: Components of psychosocial functioning represent both relevant mental
health outcomes and predictors for the further course of illness in patients with
depression and other mental illnesses. Determinants of these outcomes beyond
residual symptom levels have rarely been investigated. The present study aimed at
investigating prospective effects of demographic variables, depression levels,
and response styles to depressed mood on future psychosocial functioning outcomes
in depressed patients. METHODS: We followed up a sample of unipolar depressed
inpatients (n=71) one, six, 42, and 66 months after hospital discharge. At each
measuring point, patients were assessed with regard to diagnostic status, symptom
levels, response styles, subjective quality of life (QoL), and interviewer-rated
social and occupational functioning. Longitudinal data were analyzed using time
lagged linear models. RESULTS: Controlled for age, sex, and concurrent depression
levels, higher symptom-focused rumination predicted lower future QoL in the
psychological domain and lower social and occupational functioning. In parallel,
higher levels of habitual distraction predicted higher future QoL in the
psychological domain. Effects were comparable for men and women. CONCLUSION:
Given that response styles to depressed mood appear not only to affect the course
of depressive symptoms but also future psychosocial outcomes, it is of particular
relevance to address these coping styles in psychological therapies for
depression and high-risk groups. Future controlled intervention studies should
investigate possible specific mechanisms of how response styles may affect
psychosocial outcomes.
PMID- 22088608
TI - A meta-analysis of cognitive deficits in first-episode Major Depressive Disorder.
AB - BACKGROUND: Recurrent-episode Major Depressive Disorder (MDD) is associated with
a number of neuropsychological deficits. To date, less is known about whether
these are present in the first-episode. The current aim was to systematically
evaluate the literature on first-episode MDD to determine whether cognition may
be a feasible target for early identification and intervention. METHODS:
Electronic database searches were conducted to examine neuropsychological studies
in adults (mean age greater than 18 years old) with a first-episode of MDD.
Effect sizes were pooled by cognitive domain. Using meta-regression techniques,
demographic and clinical factors potentially influencing heterogeneity of
neuropsychological outcome were also investigated. RESULTS: The 15 independent
samples reviewed yielded data for 644 patients with a mean age of 39.36 years
(SD=10.21). Significant cognitive deficits were identified (small to medium
effect sizes) for psychomotor speed, attention, visual learning and memory, and
all aspects of executive functioning. Symptom remission, inpatient status,
antidepressant use, age and educational attainment, each significantly
contributed to heterogeneity in effect sizes in at least one cognitive domain.
LIMITATIONS: Reviewed studies were limited by small sample sizes and often did
not report important demographic and clinical characteristics of patients.
CONCLUSIONS: The current meta-analysis was the first to systematically
demonstrate reduced neuropsychological functioning in first-episode MDD.
Psychomotor speed and memory functioning were associated with clinical state,
whereas attention and executive functioning were more likely trait-markers.
Demographic factors were also associated with heterogeneity across studies.
Overall, cognitive deficits appear to be feasible early markers and targets for
early intervention in MDD.
PMID- 22088609
TI - Pain anxiety, acceptance, and outcomes among individuals with HIV and chronic
pain: a preliminary investigation.
AB - The current study investigated the role of during treatment changes in pain
anxiety in the relation between during treatment changes in pain acceptance and
chronic pain outcomes. Participants included 45 (15 women) adults (M(age) =
50.42, SD = 7.69) who were HIV positive and experienced chronic pain. They were
offered 12 weekly, 90-min group CBT sessions to increase understanding about
chronic pain and to improve coping skills. Four hierarchical regression analyses
were conducted to examine the mediating role of treatment changes in pain anxiety
in the relation between treatment changes in pain acceptance and chronic pain
outcomes. Results suggest that increases in pain acceptance during treatment were
associated with decreased levels of pain anxiety during treatment, as well as
decreases in pain-related impairment at treatment completion. Furthermore,
decreases in pain anxiety during treatment were associated with decreases in pain
related impairment at treatment completion. Finally, treatment changes in pain
anxiety were found to partially mediate the association between treatment changes
in pain acceptance and pain-related impairment at treatment completion. Results
are discussed within the context of better understanding the processes of change
within a CBT model for chronic pain patients.
PMID- 22088610
TI - Effect of self-focused attention on post-event processing in social anxiety.
AB - The study investigated the relationship between self-focused attention (SFA) and
post-event processing (PEP) in social anxiety. SFA is the process of directing
attention to internal stimuli during a social interaction. PEP is a detailed
review of performance following an interaction. Highly socially anxious students
(N = 82) were randomly assigned to a high SFA (n = 40) or low SFA condition (n =
42) and completed baseline measures of social anxiety, depression, trait SFA, and
trait rumination. After SFA was manipulated via instructions, participants
engaged in a 5-min unstructured conversation with a confederate, followed by a
manipulation check. PEP was assessed the next day online. The high SFA group
reported a similar amount of positive PEP but more frequent negative PEP over the
24-h period compared to the low SFA group. These results provide support for a
causal relationship between SFA and PEP and have important applications for the
development of effective cognitive-behavioural interventions.
PMID- 22088611
TI - Anxiety and depression and their links with delusions and hallucinations in
people with a dual diagnosis of psychosis and substance misuse: a study using
data from a randomised controlled trial.
AB - Rates of depression and anxiety have been linked to severity and distress
associated with positive symptoms in psychosis. There is also tentative evidence
to suggest that these concurrent symptoms might be related to delusional and
hallucinatory content. Our aim was to assess the cross-sectional associations
between anxiety and depression, and hallucination and delusion severity and
distress in a sample of 327 people dually diagnosed with psychosis and substance
misuse problems. In addition, the relationships between specific symptom content
and levels of anxiety and depression were examined. Anxiety was associated with
delusion distress and depression with hallucination distress, although neither
was related to symptom severity. Auditory commands to harm or kill the self were
associated with higher levels of depression. Delusions with themes pertaining to
the paranormal, and those with references to celebrities were associated with
lower levels of depression. No specific delusion or hallucination content was
associated with level of anxiety, when other variables were controlled for. The
results demonstrate that anxiety and depression are linked to distinct aspects of
psychotic experience, highlighting the need to acknowledge the role of these
concurrent symptoms in the context of psychosis. In addition, findings relating
to specific types of delusions and hallucinations highlight avenues for further
research.
PMID- 22088612
TI - Interaction between filaggrin null mutations and tobacco smoking in relation to
asthma.
AB - BACKGROUND: The mechanisms underlying the association between filaggrin (FLG)
deficiency and asthma are not known. It has been hypothesized that FLG deficiency
leads to enhanced percutaneous exposure to environmental substances that might
trigger immune responses. We hypothesized that interactions between FLG
deficiency and environmental exposures play a role in asthma development.
OBJECTIVE: We sought to investigate possible interactions between FLG null
mutations and tobacco smoking in relation to asthma. METHODS: A total of 3471
adults from a general population sample participated in a health examination.
Lung function and serum specific IgE levels to inhalant allergens were measured,
and information on asthma and smoking was obtained by means of questionnaire.
Participants were genotyped for the 2 most common FLG null mutations in white
subjects: R501X and 2282del4. Another Danish population was used for replication.
RESULTS: The FLG null mutation genotype was significantly associated with a
higher prevalence of asthma and decreased FEV(1)/forced vital capacity ratio. In
logistic regression analyses with asthma as the outcome, a significant
interaction was found between FLG null mutations and smoking status (P = .02).
This interaction was confirmed, although it was not statistically significant, in
another Danish population study. Interactions between FLG genotype and cumulated
smoking exposure were found in relation to asthma (P = .03) and decreased
FEV(1)/forced vital capacity ratio (P = .03). A 3-way interaction was found among
FLG genotype, smoking, and asthma, suggesting that the FLG-smoking interaction
mainly played a role in nonatopic subjects. CONCLUSION: FLG null mutations
modified the effects of smoking on the risk of asthma. This finding might have
implications for risk stratification of the population.
PMID- 22088615
TI - The conceptualization and measurement of individualized care.
AB - Individualized nursing care, a form of person-centered care delivery, is accepted
as best practice, yet its implementation into actual care is far from complete.
Appropriate measures of this elusive concept are needed to better understand
barriers to implementation. This study explored and tested the convergent
validity and the reliability of 2 individualized nursing care measures. A cross
sectional survey design was used to collect data using the Individualized Care
Scale and the Individualized Care Instrument (ICI) from a sample of nurses (n =
263, response rate 71%) working in older peoples' care settings in Finland, and
the data were analyzed statistically. Cronbach's alpha coefficients for the ICI
scales (.63-.80) and ICS-A and B subscales (both alpha = .91) demonstrated only
moderate correlation between the 2 instruments (r = -.39 to .50) and possibly the
complexity of measuring "individualized care." The study acknowledges the latent
influence of culture and care approach to the conceptualization of individuality.
It concludes that the concept may best be measured at this point with the use of
other factors in addition to instruments to capture its multiple domains.
PMID- 22088613
TI - Toll-like receptor 4-, 7-, and 8-activated myeloid cells from patients with X
linked agammaglobulinemia produce enhanced inflammatory cytokines.
AB - BACKGROUND: Bruton tyrosine kinase (BTK) is a component of signaling pathways
downstream from Toll-like receptors (TLRs) 2, 4, 7, 8, and 9. Previous work in
BTK-deficient mice, cell lines, and cultured cells from patients with X-linked
agammaglobulinemia (XLA) suggested defective TLR-driven cytokine production.
OBJECTIVE: We sought to compare TLR-4-, TLR-7-, and TLR-8-induced cytokine
production of primary cells from patients with XLA with that seen in control
cells. METHODS: PBMCs from patients with XLA, freshly isolated plasmacytoid
dendritic cells, monocytes, and monocytoid dendritic cells were activated with
TLR-4, TLR-7, and TLR-8 agonists. Signaling intermediates and intracellular and
secreted cytokine levels were compared with those seen in control cells. RESULTS:
Although TLR-4, TLR-7, and TLR-8 activation of nuclear factor kappaB and mitogen
activated protein kinase pathways in cells from patients with XLA and control
cells were comparable, TLR-activated freshly isolated monocytes and monocytoid
dendritic cells from patients with XLA produced significantly more TNF-alpha, IL
6, and IL-10 than control cells. TLR-7/8-activated plasmacytoid dendritic cells
produced normal amounts of IFN-alpha. In murine models BTK regulates the
degradation of Toll-IL-1 receptor domain-containing adaptor protein, terminating
TLR-4-induced cytokine production. Although this might explain the heightened TLR
4-driven cytokine production we observed, Toll-IL-1 receptor domain-containing
adaptor protein degradation is intact in cells from patients with XLA, excluding
this explanation. CONCLUSION: In contrast to previous studies with BTK-deficient
mice, cell lines, and cultured cells from patients with XLA suggesting impaired
TLR-driven cytokine production, these data suggest that BTK inhibits TLR-induced
cytokine production in primary human cells.
PMID- 22088614
TI - Bifidobacterium as an oral delivery carrier of interleukin-12 for the treatment
of Coxsackie virus B3-induced myocarditis in the Balb/c mice.
AB - IL-12 plays an important role in the treatment of many infectious diseases by
being administered intravenously or intramuscularly. However, intravenous or
intramuscular administration is difficult and inconvenient and may cause side
effects. The aim of this study is to develop a novel oral delivery system for IL
12 using genetically engineered Bifidobacterium longum as the carrier and further
investigate the efficacy of IL-12-expressed B. longum on the coxsackie virus B3
(CVB3)-induced myocarditis in mice. A mIL-12 gene expression vector pBBADs-IL-12
for B. longum was constructed and transformed into Bifidobacterium. Subsequently,
the expression of mIL-12 in the engineered B. longum was identified in vitro by
western blot and enzyme-linked immunosorbent assay (ELISA) after l-arabinose
induction. Moreover, our data indicated that oral administration of IL-12
expressed B. longum for two weeks after CVB3 infection in the Balb/c mice could
downregulate the severity of virus-induced myocarditis, markedly reduce the virus
titers in the heart and induce a Th1 pattern in the spleen and heart compared
with the controls. In conclusion, a novel oral delivery system of Bifidobacterium
for murine IL-12 has been successfully established. Oral administration of mIL-12
transformed B. longum may play a therapeutic role in the treatment of CVB3
induced myocarditis in the mice.
PMID- 22088616
TI - Detection of Toxoplasma gondii antigens reactive with antibodies from serum,
amniotic, and allantoic fluids from experimentally infected pregnant ewes.
AB - Toxoplasma gondii, an intracellular protozoan parasite, is one of the major
causes of infectious abortion in sheep. To further understand the pathogenesis of
toxoplasmosis, serum, amniotic and allantoic fluids and foetal stomach contents
were collected from experimentally infected pregnant ewes to determine pathogen
numbers and other markers of infection. Fifteen pregnant ewes (90 days of
gestation) were each orally inoculated with 3000 sporulated oocysts of T. gondii.
Serum samples were collected weekly following challenge. Amniotic and allantoic
fluids and foetal stomach contents were collected at 21, 25, 28, 33 and 35 days
post-infection. Characteristic placental lesions were detected in 1 of 4
challenged ewes at day 25, 3 of 4 challenged ewes at day 28 and in all challenged
ewes at days 33 and 35 post-infection. T. gondii was detected only sporadically
in amniotic and allantoic fluids before 35 days of infection, by real-time PCR,
and only in ewes with placental lesions. At 35 days post-infection, high numbers
of parasite were detected in both amniotic and allantoic fluids. An increase in
the number of fluids from challenged animals with IgM and IgG was detected over
time, except for IgG in allantoic fluid, which was detected in all samples from
day 21 post-infection. IgG in amniotic and allantoic fluids was shown to be
specific for T. gondii, and reacted with antigens with an apparent molecular mass
of approximately 22 kDa and 30 kDa. Results suggest a maternal source of
immunoglobulin in the allantoic fluid and a foetal source of immunoglobulin in
the amniotic fluid early in infection but that both sources may contribute
immunoglobulin to both fluids at a later stage.
PMID- 22088617
TI - Estimation of the risk of conversion of mild cognitive impairment of Alzheimer
type to Alzheimer's disease in a south Brazilian population-based elderly cohort:
the PALA study.
AB - BACKGROUND: Higher mild cognitive impairment (MCI) prognostic variability has
been related to sample characteristics (community-based or specialized clinic)
and to diverse operationalization criteria. The aim of the study was to evaluate
the trajectory of MCI of Alzheimer type in a population-based elderly cohort in
Southern Brazil. We also estimated the risk for the development of probable
Alzheimer's disease (AD) in comparison with healthy subjects. METHODS: Data were
derived from a population-based cohort (the PALA study). MCI outcomes were sub
classified into three categories: conversion, stabilization, and reconversion.
The risk of progression to dementia was compared between MCI and normal
participants. The analysis was based on 21 MCI subjects and 220 cognitively
intact participants (N = 241). RESULTS: Of the 21 MCI subjects, 38% developed
dementia, 24% remained stable and 38% improved. The MCI annual conversion rate to
AD was 8.5%. MCI was associated with significantly higher risk of conversion to
AD (HR = 49.83, p = 0.004), after adjustment for age, education, sex and Mini
Mental State Examination score. CONCLUSIONS: Independent of the heterogeneity of
the outcomes, MCI of the Alzheimer type participants showed significantly higher
risk of developing probable AD, demonstrating the impact of the use of these MCI
criteria that emphasize long-term episodic memory impairment.
PMID- 22088618
TI - Pathophysiology of acute respiratory distress syndrome. Glucocorticoid receptor
mediated regulation of inflammation and response to prolonged glucocorticoid
treatment.
AB - Based on molecular mechanisms and physiologic data, a strong association has been
established between dysregulated systemic inflammation and progression of ARDS.
In ARDS patients, glucocorticoid receptor-mediated down-regulation of systemic
inflammation is essential to restore homeostasis, decrease morbidity and improve
survival and can be significantly enhanced with prolonged low-to-moderate dose
glucocorticoid treatment. A large body of evidence supports a strong association
between prolonged glucocorticoid treatment-induced down-regulation of the
inflammatory response and improvement in pulmonary and extrapulmonary physiology.
The balance of the available data from controlled trials provides consistent
strong level of evidence (grade 1B) for improving patient-centered outcomes. The
sizable increase in mechanical ventilation-free days (weighted mean difference,
6.58 days; 95% CI, 2.93 -10.23; P<0.001) and ICU-free days (weighted mean
difference, 7.02 days; 95% CI, 3.20-10.85; P<0.001) by day 28 is superior to any
investigated intervention in ARDS. The largest meta-analysis on the subject
concluded that treatment was associated with a significant risk reduction
(RR=0.62, 95% CI: 0.43-0.91; P=0.01) in mortality and that the in-hospital number
needed to treat to save one life was 4 (95% CI 2.4-10). The balance of the
available data, however, originates from small controlled trials with a moderate
degree of heterogeneity and provides weak evidence (grade 2B) for a survival
benefit. Treatment decisions involve a tradeoff between benefits and risks, as
well as costs. This low cost highly effective therapy is familiar to every
physician and has a low risk profile when secondary prevention measures are
implemented.
PMID- 22088619
TI - [Management of goiters].
AB - Simple goiter is defined as an enlarged thyroid without dysfunction, thyroiditis
or cancer. Complications of the goiter appear only at stage of plurinodulaire
goiter. Homogeneous simple goiters of young subjects resolve with thyroid hormone
administration. Many simple multinodular goiters of adults can benefit from
simple monitoring. Total thyroidectomy is recommended for goiters that become
symptomatic, unsightly, accompanied by lowering of TSH concentration, or
containing suspicious nodules. Radioactive iodine constitutes an alternative to
surgery for voluminous, compressive, hyperfunctionnal goiters, especially in
older people.
PMID- 22088622
TI - Re: the effect of tumor location on prognosis in patients treated with radical
nephroureterectomy at memorial sloan-kettering cancer center.
PMID- 22088623
TI - Re: chronic kidney disease after nephroureterectomy for upper tract urothelial
carcinoma and implications for the administration of perioperative chemotherapy.
PMID- 22088620
TI - IRF5 haplotypes demonstrate diverse serological associations which predict serum
interferon alpha activity and explain the majority of the genetic association
with systemic lupus erythematosus.
AB - OBJECTIVE: High serum interferon alpha (IFNalpha) activity is a heritable risk
factor for systemic lupus erythematosus (SLE). Auto-antibodies found in SLE form
immune complexes which can stimulate IFNalpha production by activating endosomal
Toll-like receptors and interferon regulatory factors (IRFs), including IRF5.
Genetic variation in IRF5 is associated with SLE susceptibility; however, it is
unclear how IRF5 functional genetic elements contribute to human disease.
METHODS: 1034 patients with SLE and 989 controls of European ancestry, 555
patients with SLE and 679 controls of African-American ancestry, and 73 patients
with SLE of South African ancestry were genotyped at IRF5 polymorphisms, which
define major haplotypes. Serum IFNalpha activity was measured using a functional
assay. RESULTS: In European ancestry subjects, anti-double-stranded DNA (dsDNA)
and anti-Ro antibodies were each associated with different haplotypes
characterised by a different combination of functional genetic elements (OR>2.56,
p<1.9*10(-14) for both). These IRF5 haplotype-auto-antibody associations strongly
predicted higher serum IFNalpha in patients with SLE and explained >70% of the
genetic risk of SLE due to IRF5. In African-American patients with SLE a similar
relationship between serology and IFNalpha was observed, although the previously
described European ancestry-risk haplotype was present at admixture proportions
in African-American subjects and absent in African patients with SLE.
CONCLUSIONS: The authors define a novel risk haplotype of IRF5 that is associated
with anti-dsDNA antibodies and show that risk of SLE due to IRF5 genotype is
largely dependent upon particular auto-antibodies. This suggests that auto
antibodies are directly pathogenic in human SLE, resulting in increased IFNalpha
in cooperation with particular combinations of IRF5 functional genetic elements.
SLE is a systemic autoimmune disorder affecting multiple organ systems including
the skin, musculoskeletal, renal and haematopoietic systems. Humoral autoimmunity
is a hallmark of SLE, and patients frequently have circulating auto-antibodies
directed against dsDNA, as well as RNA binding proteins (RBP). Anti-RBP
autoantibodies include antibodies which recognize Ro, La, Smith (anti-Sm), and
ribonucleoprotein (anti-nRNP), collectively referred to as anti-retinol-binding
protein). Anti-retinol-binding protein and anti-dsDNA auto-antibodies are rare in
the healthy population. These auto-antibodies can be present in sera for years
preceding the onset of clinical SLE illness and are likely pathogenic in SLE.
PMID- 22088624
TI - Re: impact of patient age on outcome following bladder-preserving treatment for
non-muscle-invasive bladder cancer.
PMID- 22088626
TI - Re: Pathological Stage Review is Indicated in Primary pT1 Bladder Cancer.
PMID- 22088627
TI - Re: Expression Signature of E2F1 and its Associated Genes Predict Superficial to
Invasive Progression of Bladder Tumors.
PMID- 22088629
TI - Re: does perineural invasion on prostate biopsy predict adverse prostatectomy
outcomes?
PMID- 22088631
TI - Re: The Gleason Score of Tumor at the Margin in Radical Prostatectomy is
Predictive of Biochemical Recurrence.
PMID- 22088632
TI - Re: continence, potency and oncological outcomes after robotic-assisted radical
prostatectomy: early trifecta results of a high-volume surgeon.
PMID- 22088634
TI - Re: chlorhexidine rinse for prevention of urethritis in men linked to oral sex.
PMID- 22088633
TI - Re: management of prostate cancer in older men: recommendations of a working
group of the International Society of Geriatric Oncology.
PMID- 22088635
TI - Re: metabolism of fructose to oxalate and glycolate.
PMID- 22088636
TI - Re: diet, but not oral probiotics, effectively reduces urinary oxalate excretion
and calcium oxalate supersaturation.
PMID- 22088637
TI - Re: Determination of Renal Stone Composition With Dual-Energy CT: In Vivo
Analysis and Comparison With X-Ray Diffraction.
PMID- 22088638
TI - Re: results of buccal mucosa grafts for repairing long bulbar urethral
strictures.
PMID- 22088639
TI - Re: the impact of lower urinary tract symptoms and urinary incontinence on female
sexual dysfunction using a validated instrument.
PMID- 22088640
TI - Re: antibacterial therapy improves the effectiveness of prostate cancer detection
using prostate-specific antigen in patients with asymptomatic prostatitis.
PMID- 22088641
TI - Re: effect of transurethral resection of the prostate on erectile function: a
prospective comparative study.
PMID- 22088642
TI - Re: revascularization versus medical therapy for renal-artery stenosis.
PMID- 22088646
TI - Re: treatment of 161 men with symptomatic late onset hypogonadism with long
acting parenteral testosterone undecanoate: effects on body composition, lipids,
and psychosexual complaints.
PMID- 22088647
TI - Re: distribution of male infertility specialists in relation to the male
population and assisted reproductive technology centers in the United States.
PMID- 22088648
TI - Re: clinical studies show no effects of soy protein or isoflavones on
reproductive hormones in men: results of a meta-analysis.
PMID- 22088649
TI - Re: adverse effect of paroxetine on sperm.
PMID- 22088650
TI - Re: relationship between semen parameters and spontaneous pregnancy.
PMID- 22088651
TI - Re: ovarian tissue autologous transplantation to the upper extremity for girls
receiving abdominal/pelvic radiation: 20-year follow-up of reproductive endocrine
function.
PMID- 22088653
TI - Re: congenital juvenile granulosa cell tumor of the testis in newborns.
PMID- 22088654
TI - Re: therapeutic potential of human mesenchymal stem cells producing IL-12 in a
mouse xenograft model of renal cell carcinoma.
PMID- 22088655
TI - Atypical frontal lobe activity during verbal working memory in youth with a
family history of alcoholism.
AB - BACKGROUND: Abnormal brain functioning during verbal working memory (VWM) tasks
has been shown in individuals with alcohol use disorders (AUDs). Since
adolescents with a familial history of alcoholism (FHP) are at high risk for
developing an AUD, it is important to consider whether atypical brain activity
during VWM may help to explain FHP vulnerability toward developing alcoholism.
METHODS: To that end, using functional magnetic resonance imaging, we examined
brain response during a VWM 2-back task in 19 FHP adolescents and 16 age and
gender-matched family history negative (FHN) controls. RESULTS: Despite no group
differences in task accuracy, FHP youth had significantly slower average reaction
time when making correct responses during the 2-back condition than FHN youth. In
contrast to a vigilance control condition, while covarying for reaction time, FHP
adolescents showed less activation during VWM than FHN youth in multiple areas of
the prefrontal cortex (PFC)--a brain region crucial to intact working memory
skills. CONCLUSIONS: These results suggest that even prior to heavy alcohol use,
FHP adolescents show atypical executive brain functioning during VWM, and that
these differences are independent of slower working memory reaction time in FHP
youth. Given the importance of working memory in numerous areas of day-to-day
functioning, such as adaptive decision-making, these abnormalities may contribute
to FHP youth vulnerability toward developing AUDs.
PMID- 22088657
TI - Excess CO2 supply inhibits mixotrophic growth of Chlorella protothecoides and
Nannochloropsis salina.
AB - Mixotrophy can be exploited to support algal growth over night or in dark-zones
of a photobioreactor. In order to achieve the maximal productivity, however, it
is fundamental also to provide CO(2) in excess to maximize photosynthetic
activity and phototropic biomass production. The aim of this paper is to verify
the possibility of exploiting mixotrophy in combination with excess CO(2). Two
species with high biomass productivity were selected, Nannochloropsis salina and
Chlorella protothecoides. Different organic substrates available at industrial
scale were tested, and glycerol chosen for its ability to support growth of both
species. In mixotrophic conditions, excess CO(2) stimulated photosynthesis but
blocked the metabolization of the organic substrate, thus canceling the
advantages of mixotrophy. By cultivating microalgae under day-night cycle,
organic substrate supported growth during the night, but only if CO(2) supply was
not provided. This represents thus a possible method to reconcile CO(2)
stimulation of photosynthesis with mixotrophy.
PMID- 22088656
TI - Psychological processes and stimulant use among men who have sex with men.
AB - BACKGROUND: Prior research established that psychological factors are associated
with the frequency of stimulant (i.e., cocaine, crack, and methamphetamine) use
among substance-using men who have sex with men (MSM). The present investigation
examined whether and how psychological factors are associated with engagement in
any stimulant use in the broader population of MSM. METHODS: A probability sample
of 879 MSM residing in San Francisco was obtained using random digit dialing from
May of 2002 through January of 2003. Of these, 711 participants (81%) completed a
mail-in questionnaire that assessed psychological factors and substance use.
After accounting for demographic factors, a multiple logistic regression analysis
examined correlates of any self-reported stimulant use during the past 6 months.
Path analyses examined if the use of alcohol or other substances to avoid
negative mood states (i.e., substance use coping) mediated the associations of
sexual compulsivity and depressed mood with stimulant use. RESULTS: Younger age
(adjusted OR [AOR]=0.58; 95% CI=0.47-0.70), HIV-positive serostatus (AOR=2.55;
95% CI=1.61-4.04), greater depressed mood (AOR=1.26; 95% CI=1.05-1.52) and higher
sexual compulsivity (AOR=1.46; 95% CI=1.18-1.80) were independently associated
with increased odds of stimulant use. Substance use coping partially mediated the
associations of sexual compulsivity (beta(indirect)=0.11, p<.001) and depressed
mood (beta(indirect)=0.13, p<.001) with stimulant use. CONCLUSIONS: Clinical
research is needed to examine if interventions targeting sexual compulsivity and
emotion regulation reduce stimulant use among MSM.
PMID- 22088658
TI - Effect of essential inorganic metals on primary thermal degradation of
lignocellulosic biomass.
AB - This study employed thermogravimetric analysis (TGA) and analytical Py-GC/MS in
order to examine the catalytic effect of main inorganic metals (K, Mg and Ca) on
the thermal degradation and the formation of pyrolytic products in
lignocellulosic biomass. In addition, potential mechanisms of the primary
pyrolysis in presence of the inorganic metals were derived. TG analysis showed
that when potassium content increased in the biomass, char formation increased
from 10.5 wt.% to 19.6 wt.% at 550 degrees C, and temperatures at which the
maximum degradation rate was achieved shifted from 367 degrees C to 333 degrees
C. With increasing magnesium content, the maximum degradation rate increased from
1.21 wt.%/ degrees C to 1.43 wt.%/ degrees C. Analytical Py-GC/MS revealed that
potassium had a distinguished catalytic effect promoting the formation of low
molecular weight compounds and suppressing the formation of levoglucosan. An
increase in the yield of C6 and C2C6 lignin derivatives with increasing potassium
content was also observed.
PMID- 22088659
TI - Safety of endovascular treatment of chronic cerebrospinal venous insufficiency: a
report of 240 patients with multiple sclerosis.
AB - PURPOSE: To evaluate the safety of outpatient endovascular treatment in patients
with multiple sclerosis (MS) and chronic cerebrospinal venous insufficiency
(CCSVI). MATERIALS AND METHODS: A retrospective analysis was performed to assess
complications occurring within 30 days of endovascular treatment of CCSVI. The
study population comprised 240 patients; 257 procedures were performed over 8
months. The indication for treatment in all patients was symptomatic MS. Of the
procedures, 49.0% (126 of 257) were performed in a hospital, and 51.0% (131 of
257) were performed in the office. Primary procedures accounted for 93.0% (239 of
257) of procedures, and repeat interventions accounted for 7% (18 of 257). For
patients treated primarily, 87% (208 of 239) had angioplasty, and 11% (26 of 239)
had stent placement; 5 patients were not treated. Of patients with restenosis,
50% (9 of 18) had angioplasty, and 50% (9 of 18) had stent placement. RESULTS:
After the procedure, all but three patients were discharged within 3 hours.
Headache after the procedure was reported in 8.2% (21 of 257) of patients;
headache persisted > 30 days in 1 patient. Neck pain was reported in 15.6% (40 of
257); 52.5% (21 of 40) of these patients underwent stent placement. Three
patients experienced venous thrombosis requiring retreatment within 30 days.
Sustained intraprocedural arrhythmias were observed in three patients, and two
required hospital admission. One of these patients, who was being retreated for
stent thrombosis, was hospitalized because of a stress-induced cardiomyopathy.
CONCLUSIONS: Endovascular treatment of CCSVI is a safe procedure; there is a 1.6%
risk of major complications. Cardiac monitoring is essential to detect
intraprocedural arrhythmias. Ultrasonography after the procedure is recommended
to confirm venous patency and to identify patients experiencing acute venous
thrombosis.
PMID- 22088660
TI - In vitro pharmacodynamic evaluation of garenoxacin against quinolone-resistant
Streptococcus pneumoniae.
AB - The bactericidal activity and resistance selectivity of garenoxacin against
Streptococcus pneumoniae with mutations in ParC (S79F) or both GyrA (S81F) and
ParC (D83Y and K137N) were investigated using in vitro pharmacokinetic models
simulating plasma concentrations for a standard clinical regimen [400mg once
daily (q.d.)]. The efficacy of garenoxacin was compared with that of levofloxacin
(500 mg q.d.) and moxifloxacin (400mg q.d.). Garenoxacin showed excellent
bactericidal activity against S. pneumoniae, including quinolone-resistant S.
pneumoniae (QRSP), achieving ratios of area under the plasma concentration-time
curve over 24h to minimum inhibitory concentration (AUC(0-24)/MIC) >= 26.3,
without emerging resistant subpopulations. The area above the killing curves was
greater and the time to achieve 99.9% killing was shorter for garenoxacin than
the corresponding values for levofloxacin and moxifloxacin. No resistant
subpopulations and no additional substitution of amino acids in GyrA or ParC
emerged following treatment with garenoxacin. On the other hand, in the parC
mutant strain, levofloxacin and moxifloxacin treatment caused an increase in the
frequency of the resistant population and an additional substitution of amino
acids in GyrA (levofloxacin, S81Y/F/C; moxifloxacin, S81Y or E85K). In QRSP with
mutations in GyrA and ParC, levofloxacin had no bactericidal activity, whilst the
bactericidal activity of moxifloxacin was less than that of garenoxacin;
moreover, an additional substitution of amino acids in ParC (S79Y) was noted. In
conclusion, garenoxacin corresponding to an oral dose of 400mg showed excellent
bactericidal activity against S. pneumoniae, including QRSP, without the
emergence of resistant mutants.
PMID- 22088661
TI - Clinically-oriented monitoring of acute effects of methylphenidate on cerebral
hemodynamics in ADHD children using fNIRS.
AB - OBJECTIVE: Attention Deficit Hyperactivity Disorder (ADHD), a common
developmental syndrome with inattention, hyperactivity, and impulsivity, is
typically treated with the psychostimulant drug, methylphenidate (MPH). We
explored the feasibility of using functional near-infrared spectroscopy (fNIRS)
to search for a clinically implementable biological marker for the acute MPH
effect on ADHD children. METHODS: Following an MPH washout period, twelve ADHD
children performed a go/no-go task before and 1.5 h after MPH intake. fNIRS was
used to monitor the lateral prefrontal cortical hemodynamics of ADHD children
performing a go/no-go task. RESULTS: There was no significant activation in the
lateral prefrontal cortices examined before MPH intake. However, after MPH
intake, significant MPH-elicited activation (oxygenated hemoglobin signal
increase) was detected in the right lateral prefrontal cortex (LPFC) implicated
with response inhibition functions. There was a large significant correlation
between increases in task performance and activation in the right LPFC.
CONCLUSIONS: The improved cognitive performance was associated with activation in
the right LPFC, which might serve as a biological marker to monitor the effect of
MPH in ADHD children. SIGNIFICANCE: MPH-effect assessment in ADHD children using
fNIRS can be performed within a 3 h stay at a hospital during a single visit, and
thus may be integrated into clinical practice.
PMID- 22088662
TI - A supplementary coil for 2H decoupling with commercial HCN MAS probes.
AB - Partial deuteration is a powerful tool to increase coherence life times and
spectral resolution in proton solid-state NMR. The J coupling to deuterium needs,
however, to be decoupled to maintain the good resolution in the (usually
indirect) (13)C dimension(s). We present a simple and reversible way to expand a
commercial 1.3mm HCN MAS probe with a (2)H channel with sufficient field strength
for J-decoupling of deuterium, namely 2-3kHz. The coil is placed at the outside
of the stator and requires no significant modifications to the probe. The
performance and the realizable gains in sensitivity and resolution are
demonstrated using perdeuterated ubiquitin, with selectively CHD(2)-labeled
methyl groups.
PMID- 22088663
TI - Direct EPR irradiation of a sample using a quartz oscillator operating at 250 MHz
for EPR measurements.
AB - Direct irradiation of a sample using a quartz oscillator operating at 250 MHz was
performed for EPR measurements. Because a quartz oscillator is a frequency fixed
oscillator, the operating frequency of an EPR resonator (loop-gap type) was tuned
to that of the quartz oscillator by using a single-turn coil with a varactor
diode attached (frequency shift coil). Because the frequency shift coil was
mobile, the distance between the EPR resonator and the coil could be changed.
Coarse control of the resonant frequency was achieved by changing this distance
mechanically, while fine frequency control was implemented by changing the
capacitance of the varactor electrically. In this condition, EPR measurements of
a phantom (comprised of agar with a nitroxide radical and physiological saline
solution) were made. To compare the presented method with a conventional method,
the EPR measurements were also done by using a synthesizer at the same EPR
frequency. In the conventional method, the noise level increased at high
irradiation power. Because such an increase in the noise was not observed in the
presented method, high sensitivity was obtained at high irradiation power.
PMID- 22088664
TI - [Far from the clinical trial... close to our reality].
PMID- 22088665
TI - [Diabetic male age 35 with spontaneous swelling of the left thigh].
PMID- 22088666
TI - [Reciprocal acknowledgement and decision-making shared with the patient].
AB - "Reciprocal recognition" is a philosophical concept that is essential to
understand the attitudes that are basic for peaceful personal relationships. When
it is not present, relationships in which people struggle for recognition are
established. When the patients are excluded from the decision making regarding
their health, their autonomy is not respected. This may make the patients feel
like they are being treated as objects, with the consequent loss of trust in the
doctor. An informed patient, that it, with their own criteria and desires to
participate in what concerns them, is generating a group of growing tendencies.
Reciprocal recognition applied to the physician-patient relationship need for one
hand, the patient's trust in professional consulting and, secondly, the
professional's initiative of sharing decisions with patients. The authors reflect
on the concept of reciprocal recognition, with scenarios illustrating the
consultation.
PMID- 22088667
TI - [Morbi-mortality and use of recourses after acute coronary syndrome in a Spanish
population].
AB - OBJECTIVES: To describe the management of patients suffering acute coronary
syndrome (ACS) and to determine its clinical and economic consequences in a
Spanish population. METHODS: A multicenter, retrospective claim database study
including patient medical records from 6 primary care centers, two hospitals and
two years of follow-up was carried out. Patients >=30 years, suffering a first
acute coronary syndrome (ACS), between 2003 and 2007, were included. Groups:
acute coronary syndrome with and without ST segment elevation. VARIABLES: socio
demographic, co-morbidities, metabolic syndrome (MS), biochemical parameters,
drugs, cumulative incidence (total mortality and cardiovascular events (CVE:
including myocardial infarction, stroke and peripheral artery disease) and total
costs. STATISTICAL ANALYSIS: logistic regression, Kaplan-Meier curves and ANCOVA;
(P<.05). RESULTS: A total of 1020 patients were included. Mean age: 69 years;
males: 65%. Groups: ST segment elevation ACS (N=632; 62%). Co-morbidities:
hypertension (56%), dyslipidemia (46%) and diabetes (38%). Prevalence of MS: 59%
(CI 95%: 56-62%). All biochemical parameters had improved after two years of
follow-up. The average total cost per patient was ?14,069 (87% direct costs; 13%
productivity loss costs). Direct costs: primary care (20%), specialty care (67%);
hospitalization costs represented 63% of total costs. The average total cost for
patients presenting more than one CVE was 22,750? vs 12,380? for those patients
who suffered only one (P<.001). Cumulative incidence: total mortality 14%; CVE:
16%. CONCLUSIONS: In the current clinical practice, and despite the clinical
efforts carried out, patients with an ACS are still at a high risk of suffering
further CVE, representing a high cost burden to the health care system.
PMID- 22088668
TI - [Acute coronary syndrome complicated by heart failure as predictor of long-term
infarction].
AB - BACKGROUND: Heart failure (Killip>I) in patients with acute coronary syndrome
(ACS) is a recognized risk factor for death. However, its relationship with the
risk of new acute ischemic events has not been well established. OBJECTIVE: The
aim of this study has been to evaluate the association between Killip>I on
admission and the risk of a new acute myocardial infarction (AMI) during follow
up due to ACS. PATIENTS AND METHODS: A total of 972 and 426 survivors of an ACS
with non-ST segment evaluation (Non-STE-ACS) and AMI with ST segment elevation
(STEMI) were studied prospectively and consecutively. The presence of Killip>I
was determined on admission together with the classical prognostic variables. The
relationship between Killip>I and subsequent post-discharge AMI was established
with the Cox regression adapted for competitive events. RESULTS: During a median
follow-up of 3 years, 135 (13.9%) and 53 (12.4%) patients with Non-STE-ACS and
STEMI presented a new AMI. Patients with Non-STE-ACS and STEMI with Killip>I
(15.6% and 21.3% respectively) showed a higher incidence of AMI (28.3 vs 6.3 and
10.6 vs 3.3 per 100 patients-years of follow-up, p<0.001, respectively). In the
multivariate analysis, adjusted for traditional risk factors and controlled for
competitive events (death and revascularization), confirmed that Killip>I
subjects with Non-STE-ACS and STEMI showed a significantly higher risk of AMI
(HR: 1.76; CI 95%: 1.15-2.68; p=0.009 and HR: 1.90; 95% CI: 1.07-3.36; p=0.029
respectively). CONCLUSIONS: In patients with Non-STE-ACS and STEMI, the presence
of Killip>I on admission is independently associated to an increased risk of long
term AMI.
PMID- 22088669
TI - Multidimensional enantio gas chromtography/mass spectrometry and gas
chromatography-combustion-isotopic ratio mass spectrometry for the authenticity
assessment of lime essential oils (C. aurantifolia Swingle and C. latifolia
Tanaka).
AB - This article focuses on the genuineness assessment of Lime oils (Citrus
aurantifolia Swingle and C. latifolia Tanaka), by Multi Dimensional Gas
Chromatography (MDGC) to determine the enantiomeric distribution of alpha
thujene, camphene, beta-pinene, sabinene, alpha-phellandrene, beta-phellandrene,
limonene, linalool, terpinen-4-ol, alpha-terpineol and by gas chromatography
combustion isotope ratio mass spectrometry (GC-C-IRMS) to determine the isotopic
ratios of alpha-pinene, beta-pinene, limonene, alpha-terpineol, neral, geranial,
beta-caryophyllene, trans-alpha-bergamotene, germacrene B. To the author's
knowledge this is the first attempt to assess the authenticity and differentiate
Persian Lime from Key lime oils by GC-C-IRMS. The results of the two analytical
approaches were compared. The simultaneous use of the two techniques provides
more reliable capability to detect adulteration in Citrus essential oils. In
fact, in some circumstance only one of the two techniques allows to discriminate
adulterated or contaminated oils. In cases where only small anomalies are
detected by the two techniques due to subtle adulterations, their synergic use
allows to express judgments. The advantage of both techniques is the low number
of components the analyst must evaluate, reducing the complexity of the data
necessary to deal with. Moreover, the conventional analytical approach based on
the evaluation of the whole volatile fraction can fail to reveal the quality of
the oils, if the adulteration is extremely subtle.
PMID- 22088670
TI - The statistical overlap theory of chromatography using power law (fractal)
statistics.
AB - The chromatographic dimensionality was recently proposed as a measure of
retention time spacing based on a power law (fractal) distribution. Using this
model, a statistical overlap theory (SOT) for chromatographic peaks is developed
that estimates the number of peak maxima as a function of the chromatographic
dimension, saturation and scale. Power law models exhibit a threshold region
whereby below a critical saturation value no loss of peak maxima due to peak
fusion occurs as saturation increases. At moderate saturation, behavior is
similar to the random (Poisson) peak model. At still higher saturation, the power
law model shows loss of peaks nearly independent of the scale and dimension of
the model. The physicochemical meaning of the power law scale parameter is
discussed and shown to be equal to the Boltzmann-weighted free energy of transfer
over the scale limits. The scale is discussed. Small scale range (small beta) is
shown to generate more uniform chromatograms. Large scale range chromatograms
(large beta) are shown to give occasional large excursions of retention times;
this is a property of power laws where "wild" behavior is noted to occasionally
occur. Both cases are shown to be useful depending on the chromatographic
saturation. A scale-invariant model of the SOT shows very simple relationships
between the fraction of peak maxima and the saturation, peak width and number of
theoretical plates. These equations provide much insight into separations which
follow power law statistics.
PMID- 22088671
TI - Separation of imidacloprid and its degradation products using reversed phase
liquid chromatography with water rich mobile phases.
AB - Water rich mobile phases in RPLC are not generally used because of the longer
retention times involved when organic modifiers such as methanol or acetonitrile
are used. The problem of longer retention times can be addressed using
hydrophobic alcohols such as pentanol in low quantities (less than 1%) as organic
modifiers. The advantages of using these mobile phases in RPLC for the separation
of water soluble and weakly retained congeners is demonstrated through the
separation of imidacloprid and its degradation products using a 0.4% pentanol in
water mobile phase with 0.2% acetic acid.
PMID- 22088672
TI - Effects of the insulin sensitizing drug, pioglitazone, and lipopolysaccharide
administration on markers of systemic inflammation and clinical parameters in
horses.
AB - Equine metabolic syndrome (EMS) is a condition of obese horses characterized by
insulin resistance, systemic inflammation, and an increased risk of laminitis.
The pathogenesis of EMS is thought, in part, to be due to inflammatory proteins
produced by adipose tissue. Reducing inflammation may decrease the incidence of
laminitis in horses with EMS. Pioglitazone hydrochloride, a thiazolidinedione,
has efficacy to reduce obesity associated inflammation in humans. Eight normal,
adult, horses were administered 1mg/kg pioglitazone for 14 days, and eight horses
served as controls. Physical examination and hematologic variables, transcript
abundance of pro-inflammatory cytokines in skeletal muscle and adipose tissue,
and circulating concentrations of the acute phase protein, serum amyloid A and
pro-inflammatory cytokine, TNF-alpha were assessed prior to, and following, an
LPS infusion (35 ng/kg). The objective was to determine if pre-treatment with
pioglitazone would mitigate the development of inflammation and associated
clinical markers of inflammation following LPS administration. Lipopolysaccharide
administration induced systemic inflammation, as assessed by clinical and
hematological aberrations, increased TNF-alpha, SAA and adipose tissue IL-6 mRNA
abundance, however no mitigating effects of pioglitazone were detected. A longer
treatment period or higher dose might be indicated for future experiments.
PMID- 22088673
TI - Immunogenicity of eight Mycobacterium avium subsp. paratuberculosis specific
antigens in DNA vaccinated and Map infected mice.
AB - Mycobacterium avium subsp. paratuberculosis (Map), the etiological agent of
chronic enteritis of the small intestine in domestic and wild ruminants, causes
substantial losses to livestock industry. Control of this disease is seriously
hampered by the lack of adequate diagnostic tools and vaccines. Here we report on
the immunogenicity of eight Map specific antigens, i.e. MAP1693c, Ag3, MAP2677c
(identified by post-genomic and immunoproteomic analysis of Map secretome) and
Ag5, Ag6, MAP1637c, MAP0388 and MAP3743 (identified by bioinformatic in silico
screening of the Map genome). Strong, antigen-specific IFN-gamma responses were
induced in mice vaccinated with plasmid DNA encoding MAP1693c, MAP1637c, MAP0388
and MAP3743. In contrast, T cell responses in Map infected mice were directed
preferentially against Ag5 and to a lesser extent against MAP3743. None of the
tested DNA vaccines conferred protection against subsequent challenge with Map.
PMID- 22088674
TI - Development of a live, attenuated, potential vaccine strain of R. equi expressing
vapA and the virR operon, and virulence assessment in the mouse.
AB - Pneumonia caused by Rhodococcus equi remains a significant problem in foals. The
objective of this study was to develop a safe and efficacious attenuated strain
of R. equi for eventual use in oral immunization of foals. The approach involved
expression of vapA in a live, virulence plasmid-negative, strain of R. equi
(strain 103-). PCR-amplified fragments of the vapA gene, with and without the
upstream genes virR, orf5, vapH, orf7 and orf8 (orf4-8), were cloned into a
shuttle vector pNBV1. These plasmids, named pAW48A and pAWVapA respectively, were
electroporated into strain 103-. The presence of the recombinant vectors in the
attenuated strain (103-) and the integrity of the inserted genes were confirmed,
and both constructs expressed VapA. The virulence of the two strains was compared
to that of wild type R. equi 103+ and negative controls by their intravenous
inoculation into mice, followed by examination of liver clearance 4 days later.
Mice inoculated with R. equi 103-, 103-/pAWVapA and 103-/pNBV1 completely cleared
infection, whereas strain 103-/pAW48A persisted in 47% of mice.
PMID- 22088675
TI - Transcriptional analysis of equine lambda-light chains in the horse breeds
Rhenish-German Coldblood and Hanoverian Warmblood.
AB - The present study analyzed equine lambda-light chain genes (IGLV and IGLC)
transcribed in the horse breeds Rhenish-German Coldblood (RGC) and Hanoverian
Warmblood (HW). Primers were generated for the major expressed IGLV subgroup 8.
The significant majority of the sequences represented IGLC6/7. In RGC, IGLC1 and
IGLC5 were observed in significant higher frequencies than IGLC4. In HW,
significant differences were obtained for the transcription of IGLC1 and IGLC5.
IGLC4 was not determined in this breed. Five allotypic IGLC1 variants, four
allotypic IGLC5 variants, and three allelic as well as two allotypic IGLC6/7
variants were identified. IGLC1(b, d), IGLC5(c, d), and IGLC6/7(a3, b) were
detected in RGC while IGLC1(c) and IGLC5(b) were solely found in HW. Furthermore,
11 out of 144 known IGLV-segments were transcribed of which IGLV15 and IGLV17
were preferred significantly. IGLV25 displayed significant differences in the
rearrangement between both breeds. The classified pseudogenes IGLV101psi and
IGLV74psi were also identified. Rearrangements with IGLC-genes showed significant
differences for IGLV15 in both breeds, whereas IGLV25 also revealed significant
differences between the breeds. The transcriptional orientation of the functional
segments has no influence on the occurrence of the IGLV.
PMID- 22088676
TI - A rapid high-precision flow cytometry based technique for total white blood cell
counting in chickens.
AB - The automated analysis of total white blood cell count and white blood cell
differentials is routine in research and clinical diagnosis in mammalian species.
In contrast, in avian haematology these parameters are still estimated by
conventional microscopic procedures due to technical difficulties associated with
the morphological peculiarities of avian erythrocytes and thrombocytes. Both cell
types are nucleated and fairly resistant to cell lysis, a prerequisite for
automated leukocyte quantification and differentiation by commercial instruments.
By using an anti-CD45 monoclonal antibody in combination with selected subset
specific markers we have established a simple (no-lyse no-wash single-step one
tube) flow cytometry based technique for high precision chicken blood cell
quantification. EDTA-blood samples are diluted, spiked with fluorescence beads
and incubated with a mixture of fluorochrome conjugated chicken leukocyte
specific antibodies. We demonstrate that total leukocyte numbers as well as
thrombocyte, monocyte, T-cell, B-cell and heterophilic granulocyte numbers can be
determined by flow cytometry in a single step without prior cell lysis, cell
separation or cell washing steps. Importantly, we also show that blood samples
can be fixed prior to cell staining which enables shipping of samples making the
technology widely available. Comparison of this technique with conventional
microscopy revealed superior precision. By comparing leukocyte differentials of
two chicken populations and during immune system development after hatch we
demonstrate that large sample numbers can be analysed within hours. This
technique will help to overcome previous restrictions in immune status analysis
in chickens in experimental systems, during vaccine testing and health status
monitoring in chicken flocks. Advances in avian genomics should facilitate the
development of appropriate tools for other avian species in the future which will
make this technique broadly applicable.
PMID- 22088677
TI - Choosing homebirth--the women's perspective.
AB - OBJECTIVE: To describe the decision-making process and birth experience of ten
women in Finland who had planned to have a home birth. METHOD: The data were
collected by means of in-depth interviews in 2008 and were analyzed using
qualitative content analysis. RESULTS: Several reasons led to a decision to give
birth at home. The main reasons were: previous birth experience, considering
birth to be a natural process, increased autonomy, the home environment,
intuition, the desire to choose the birth attendant, mistrust of the medical
establishment and the opportunity to have the baby's siblings present at the
birth. There were inhibiting and facilitating factors which influenced the
women's decisions, and before making their decisions women sought out information
about home birth. Home birth was an extremely positive experience and women
highlighted their desire for the development of parent education to empower women
in their preparations for birth. Full autonomy, the participation of family
members, trust in one's ability to give birth and the absence of pharmacological
pain relief were major contributors to the positive birth experience. The need
for empowerment through parent education was highlighted in the interviews.
CONCLUSION: To the women of this study home birth was very positive experience in
which the autonomy was the important factor. According to this study maternity
care services do not respond to women's individual wishes and services should be
offer more alternatives and should be more empowering.
PMID- 22088678
TI - Prediction of bone strength at the distal tibia by HR-pQCT and DXA.
AB - BACKGROUND: Areal bone mineral density (aBMD) at the distal tibia, measured at
the epiphysis (T-EPI) and diaphysis (T-DIA), is predictive for fracture risk.
Structural bone parameters evaluated at the distal tibia by high resolution
peripheral quantitative computed tomography (HR-pQCT) displayed differences
between healthy and fracture patients. With its simple geometry, T-DIA may allow
investigating the correlation between bone structural parameter and bone
strength. METHODS: Anatomical tibiae were examined ex vivo by DXA (aBMD) and HR
pQCT (volumetric BMD (vBMD) and bone microstructural parameters). Cortical
thickness (CTh) and polar moment of inertia (pMOI) were derived from DXA
measurements. Finally, an index combining material (BMD) and mechanical property
(polar moment of inertia, pMOI) was defined and analyzed for correlation with
torque at failure and stiffness values obtained by biomechanical testing.
RESULTS: Areal BMD predicted the vBMD at T-EPI and T-DIA. A high correlation was
found between aBMD and microstructural parameters at T-EPIas well as between aBMD
and CTh at T-DIA. Finally, at T-DIA both indexes combining BMD and pMOI were
strongly and comparably correlated with torque at failure and bone stiffness.
CONCLUSION: Ex vivo, at the distal tibial diaphysis, a novel index combining BMD
and pMOI, which can be calculated directly from a single DXA measurement,
predicted bone strength and stiffness better than either parameter alone and with
an order of magnitude comparable to that of HR-pQCT. Whether this index is
suitable for better prediction of fracture risk in vivo deserves further
investigation.
PMID- 22088679
TI - The nature of memory failure in mild cognitive impairment: examining association
with neurobiological markers and effect of progression.
AB - The main goal of this study was to assess vulnerability to proactive interference
and memory binding capacity, the ability to combine different information into a
single coherent memory event, in persons with mild cognitive impairment (MCI). We
also examined whether hippocampal atrophy and vascular burden were differentially
related to these memory capacities in MCI. We further assessed whether memory
performance and brain changes differ as a function of later development (or not)
of dementia and whether they can predict progression to dementia. The study
included 77 participants, 49 meeting the criteria for MCI and 28 healthy older
adults. Results showed binding deficits and greater vulnerability to proactive
interference in persons with MCI compared with healthy older adults. Hippocampal
volume was associated with binding capacity, whereas vascular burden was
associated with resistance to interference in persons with MCI. Follow-up
analyses indicated that binding deficits predict progression from MCI to
dementia. In conclusion, binding deficits and vulnerability to proactive
interference are present in persons with MCI and are associated with different
brain markers. However, only binding deficits predict progression to dementia.
PMID- 22088682
TI - Almanac 2011: heart failure. The national society journals present selected
research that has driven recent advances in clinical cardiology.
PMID- 22088680
TI - Combined age- and trauma-related proteomic changes in rat neocortex: a basis for
brain vulnerability.
AB - This proteomic study investigates the widely observed clinical phenomenon, that
after comparable brain injuries, geriatric patients fare worse and recover less
cognitive and neurologic function than younger victims. Utilizing a rat traumatic
brain injury model, sham surgery or a neocortical contusion was induced in 3 age
groups. Geriatric (21 months) rats performed worse on behavioral measures than
young adults (12-16 weeks) and juveniles (5-6 weeks). Motor coordination and
certain cognitive deficits showed age-dependence both before and after injury.
Brain proteins were analyzed using silver-stained two-dimensional electrophoresis
gels. Spot volume changes (>2-fold change, p<0.01) were identified between age
and injury groups using computer-assisted densitometry. Sequences were determined
by mass spectrometry of tryptic peptides. The 19 spots identified represented 13
different genes that fell into 4 general age- and injury-dependent expression
patterns. Fifteen isoforms changed differentially with respect to both age and
injury (p<0.05). Further investigations into the nature and function of these
isoforms may yield insights into the vulnerability of older patients and
resilience of younger patients in recovery after brain injuries.
PMID- 22088683
TI - Prediction of nitrobenzene toxicity to the algae (Scenedesmus obliguus) by
quantitative structure-toxicity relationship (QSTR) models with quantum chemical
descriptors.
AB - In this study, Quantitative structure-toxicity relationship (QSTR) models were
developed to predict the toxicity of nitrobenzene to the algae (Scenedesmus
obliguus). Quantum chemical descriptors computed by PM3 Hamiltonian were used as
predictor variables. The cross-validated Q2(cum) value for the optimal QSTR
models is 0.867, indicating good predictive capability. The toxicity of
nitrobenzenes (pC) was found to be affected by the molecular structure, the heat
of formation (DeltaH(f)) and dipole moment (MU(z)). Contrary to the MU(z) values
of nitrobenzenes, the DeltaH(f) values increase with increase in pC values and
the energy of the highest occupied molecular orbital. Increasing the largest
positive atomic charge on a nitrogen atom and the most positive net atomic charge
on a hydrogen atom of the nitrobenzene leads to decrease in pC values.
Nitrobenzenes with larger absolute hardness tend to be more stable and less toxic
to the algae.
PMID- 22088681
TI - Deriving prevalence estimates of depressive symptoms throughout middle and old
age in those living in the community.
AB - BACKGROUND: There is considerable debate about the prevalence of depression in
old age. Epidemiological surveys and clinical studies indicate mixed evidence for
the association between depression and increasing age. We examined the prevalence
of probable depression in the middle aged to the oldest old in a project designed
specifically to investigate the aging process. METHODS: Community-living
participants were drawn from several Australian longitudinal studies of aging
that contributed to the Dynamic Analyses to Optimise Ageing (DYNOPTA) project.
Different depression scales from the contributing studies were harmonized to
create a binary variable that reflected "probable depression" based on existing
cut-points for each harmonized scale. Weighted prevalence was benchmarked to the
Australian population which could be compared with findings from the 1997 and
2007 National Surveys of Mental Health and Well-Being (NSMHWB). RESULTS: In the
DYNOPTA project, females were more likely to report probable depression. This was
consistent across age levels. Both NSMHWB surveys and DYNOPTA did not report a
decline in the likelihood of reporting probable depression for the oldest old in
comparison with mid-life. CONCLUSIONS: Inconsistency in the reports of late-life
depression prevalence in previous epidemiological studies may be explained by
either the exclusion and/or limited sampling of the oldest old. DYNOPTA addresses
these limitations and the results indicated no change in the likelihood of
reporting depression with increasing age. Further research should extend these
findings to examine within-person change in a longitudinal context and control
for health covariates.
PMID- 22088684
TI - Prescribing for children - taste and palatability affect adherence to
antibiotics: a review.
AB - The taste of an antibiotic is often not taken into account by practitioners,
although there is significant evidence to show palatability correlates strongly
with adherence. Many parents will be familiar with the difficulties of convincing
young children to take bitter, unfamiliar medicine. Certain drugs, for example
flucloxacillin, are so unpalatable that they should not be prescribed as syrups
without prior 'taste testing' in an individual child, while others, such as oral
cephalosporins, are accepted very well although they are more expensive with a
broader antimicrobial spectrum than may be strictly necessary. Palatability is
important in the broader context of global child health as regards the successful
treatment of malaria, HIV and dehydration. The hidden cost of poor adherence
resulting treatment failure, complications and the development of drug resistance
cannot be over emphasised. Prescribing should involve parents, children and
practitioners in an open discussion around the most suitable, palatable
formulations for successful treatment outcomes.
PMID- 22088685
TI - Re.: A prospective, randomised EORTC intergroup phase 3 study comparing the
oncologic outcome of elective nephron-sparing surgery and radical nephrectomy for
low-stage renal cell carcinoma.
PMID- 22088686
TI - Re.: Multi-institutional analysis of robotic partial nephrectomy for hilar versus
nonhilar lesions in 446 consecutive cases.
PMID- 22088687
TI - Re.: Natural history of renal cortical neoplasms during active surveillance with
follow-up longer than 5 years.
PMID- 22088688
TI - Re.: Recovery of renal function after open and laparoscopic partial nephrectomy.
PMID- 22088689
TI - Re.: Raman spectroscopy: a novel experimental approach to evaluating renal
tumours.
PMID- 22088690
TI - Re.: Mechanisms of recurrence of Ta/T1 bladder cancer.
PMID- 22088692
TI - Re.: Practice patterns and recurrence after partial cystectomy for bladder
cancer.
PMID- 22088694
TI - Re.: Impact of common medications on serum total prostate-specific antigen
levels: analysis of the National Health and Nutrition Examination Survey.
PMID- 22088695
TI - Re.: Prostate specific antigen concentration at age 60 and death or metastasis
from prostate cancer: case-control study.
PMID- 22088696
TI - Re.: Association between glomerular filtration rate, free, total, and percent
free prostate-specific antigen.
PMID- 22088697
TI - Re.: Suicide risk in men with prostate-specific antigen-detected early prostate
cancer: a nationwide population-based cohort study from PCBaSe Sweden.
PMID- 22088698
TI - Re.: Interval cancers in the Antwerp European Randomised Study of Screening for
Prostate Cancer study, using a 6 year screening interval.
PMID- 22088699
TI - Prostate cancer vs. post-biopsy hemorrhage: diagnosis with T2- and diffusion
weighted imaging.
PMID- 22088700
TI - Core 2 N-acetylglucosaminyltransferase-1 expression induces aggressive potential
of testicular germ cell tumor.
PMID- 22088701
TI - Re.: The effectiveness of the ultrasound bladder scanner in reducing urinary
tract infections: a meta-analysis.
PMID- 22088702
TI - Re.: Effectiveness and safety of tenofovir gel, an antiretroviral microbicide,
for the prevention of HIV infection in women.
PMID- 22088703
TI - Re.: Preexposure chemoprophylaxis for HIV prevention in men who have sex with
men.
PMID- 22088704
TI - Re.: Urological management of acute epididymo-orchitis in sexually active young
men: too great a public health risk?
PMID- 22088705
TI - Re.: Evidence for net renal tubule oxalate secretion in patients with calcium
kidney stones.
PMID- 22088706
TI - Re.: Clinical significance of uric acid dihydrate in urinary stones.
PMID- 22088708
TI - Re.: Postmenopausal hormone use and the risk of nephrolithiasis: results from the
Women's Health Initiative Hormone Therapy Trials.
PMID- 22088709
TI - Re.: Nephrolithiasis-associated bone disease: pathogenesis and treatment options.
PMID- 22088710
TI - Re.: Examination of the impact of airbags on renal injury using a national
database.
PMID- 22088711
TI - Re.: Pelvic fracture: the last 50 years.
PMID- 22088712
TI - Re.: Assessment and management of an open bladder neck at posterior
urethroplasty.
PMID- 22088713
TI - Factors influencing the choice of urinary diversion in patients undergoing
radical cystectomy.
PMID- 22088714
TI - Re.: Transabdominal ultrasonography of detrusor wall thickness in women with
overactive bladder.
PMID- 22088715
TI - Re.: An International Urogynecological Association (IUGA)/International
Continence Society (ICS) joint report on the terminology for female pelvic floor
dysfunction.
PMID- 22088716
TI - Re.: The use of mesh in vaginal prolapse repair: do the benefits justify the
risks?
PMID- 22088718
TI - Re.: Pad per day usage, urinary incontinence and urinary tract infections in
nursing home residents.
PMID- 22088719
TI - Re.: Midurethral sling procedures for stress urinary incontinence in women over
80 years.
PMID- 22088720
TI - Re.: The safety and efficacy of the "inside-out" trans-obturator Tvt in elderly
versus younger stress-incontinent women: a prospective study of 353 consecutive
patients.
PMID- 22088722
TI - Re.: Association between lower urinary tract symptoms and vascular risk factors
in aging men: the Hallym Aging Study.
PMID- 22088721
TI - Re.: The effect of asymptomatic urethral caruncle on micturition in women with
urinary incontinence.
PMID- 22088723
TI - Korean urologist's view of practice patterns in diagnosis and management of
benign prostatic hyperplasia: a nationwide survey.
PMID- 22088724
TI - Incidence of histological prostatitis and its correlation with PSA density.
PMID- 22088725
TI - A risk prediction model for delayed graft function in the current era of deceased
donor renal transplantation.
PMID- 22088726
TI - A study of renal outcomes in obese living kidney donors.
PMID- 22088727
TI - Re: Low testosterone concentrations and the symptoms of testosterone deficiency
according to the Androgen Deficiency in Ageing Males (ADAM) and Ageing Males'
Symptoms Rating Scale (AMS) questionnaires.
PMID- 22088728
TI - Re: The NERI hypogonadism screener: psychometric validation in male patients and
controls.
PMID- 22088729
TI - Re.: Pituitary surgery for small prolactinomas as an alternative to treatment
with dopamine agonists.
PMID- 22088730
TI - Re.: A prospective diary study of stuttering priapism in adolescents and young
men with sickle cell anemia: report of an international randomized control trial;
the Priapism in Sickle Cell Study (PISCES study).
PMID- 22088731
TI - Re.: Overweight and seminal quality: a study of 794 patients.
PMID- 22088734
TI - Re.: An aromatase polymorphism modulates the relationship between weight and
estradiol levels in obese men.
PMID- 22088735
TI - Re.: Soy protein isolates of varying isoflavone content do not adversely affect
semen quality in healthy young men.
PMID- 22088736
TI - Re.: Healthy overweight male partners of subfertile couples should not worry
about their semen quality.
PMID- 22088737
TI - Re.: Protamine contents and P1/P2 ratio in human spermatozoa from smokers and non
smokers.
PMID- 22088738
TI - Re.: Teaching children clean intermittent self-catheterization (CISC) in a group
setting.
PMID- 22088739
TI - Re.: Contemporary epidemiology and characterization of newborn males with prune
belly syndrome.
PMID- 22088740
TI - Re.: Evaluation of need for salvage continence procedures after failed modern
staged repair.
PMID- 22088741
TI - Re.: Failed exstrophy closure: management and outcome.
PMID- 22088742
TI - Re.: Early life inorganic lead exposure induces testicular teratoma and renal and
urinary bladder preneoplasia in adult metallothionein-knockout mice but not in
wild type mice.
PMID- 22088743
TI - Re.: A novel imaging approach for early detection of prostate cancer based on
endogenous zinc sensing.
PMID- 22088744
TI - Re.: Nonsteroidal selective androgen receptor modulators enhance female sexual
motivation.
PMID- 22088745
TI - Human-restricted bacterial pathogens block shedding of epithelial cells by
stimulating integrin activation.
PMID- 22088746
TI - Effects of Cl316,243, a Beta 3-adrenoceptor agonist, and intravesical
prostaglandin E2 on the primary bladder afferent activity of the rat.
PMID- 22088747
TI - Re.: Comparison of the thermal and histopathological effects of bipolar and
monopolar electrosurgical resection of the prostate in a canine model.
PMID- 22088748
TI - Re.: Relaxation effect of phosphodiesterase-5 inhibitor on the animal bladder and
prostatic urethra: in vitro and in vivo study.
PMID- 22088749
TI - Spontaneous echocardiographic contrast in an obstructed coronary sinus because of
a perforated membrane.
PMID- 22088750
TI - Complications of percutaneous mitral balloon valvotomy: usefulness of real-time 3
dimensional technology.
PMID- 22088751
TI - "Surprise visitor".
PMID- 22088752
TI - Thoracic epidural or paravertebral catheter for analgesia after lung resection:
is the outcome different?
AB - OBJECTIVE: The aim of this study was to determine whether thoracic epidural
analgesia (TEA) or a paravertebral catheter block (PVB) with morphine patient
controlled analgesia influenced outcome in patients undergoing thoracotomy for
lung resection. DESIGN: A retrospective analysis. SETTING: A tertiary referral
center. PARTICIPANTS: The study population consisted of 1,592 patients who had
undergone thoracotomy for lung resection between May 2000 and April 2008.
INTERVENTIONS: Not applicable. MEASUREMENTS AND MAIN RESULTS: Patients who
received PVBs were younger, had a higher forced expiratory volume in 1 second,
had a higher body mass index, a higher incidence of cardiac comorbidity, fewer
pneumonectomies, and more wedge resections. A multivariable logistic regression
model was used to develop a propensity-matched score for the probability of
patients receiving an epidural or a paravertebral catheter. Four patients with an
epidural to one with a paravertebral catheter were matched, with 488 patients and
122 patients, respectively. Postmatching analysis now showed no difference
between the groups for preoperative characteristics or operative extent.
Postmatching analysis showed no significant difference in outcome between the two
groups for the incidence of postoperative respiratory complication (p = 0.67),
intensive therapy unit (ITU) stay (p = 0.51), ITU readmission (p = 0.66), or in
hospital mortality (p = 0.67). There was a significant reduction in the hospital
length of stay in favor of the paravertebral group (6 v 7 days, p = 0.008).
CONCLUSIONS: Paravertebral catheter analgesia with morphine patient-controlled
analgesia seems as effective as thoracic epidural for reducing the risk of
postoperative complications. The authors additionally found that paravertebral
catheter use is associated with a shorter hospital stay and may be a better form
of analgesia for fast-track thoracic surgery.
PMID- 22088753
TI - Chromenones as potent bradykinin B1 antagonists.
AB - A series of fused 6,6-bicyclic chromenones was investigated for activity against
the bradykinin B1 receptor. SAR studies based on a pharmacophore model revealed
compounds with high affinity for both human and rabbit B1. These compounds
demonstrated favorable pharmacokinetic properties and 5-chlorochromenone 15 was
efficacious in a carrageenan-induced mechanical hyperalgesia model for chronic
pain.
PMID- 22088754
TI - Fluorescence behavior of non-functionalized carbon nanoparticles and their in
vitro applications in imaging and cytotoxic analysis of cancer cells.
AB - We report fluorescence behavior in non-functionalized carbon nanoparticles (NCNP)
prepared from lamp soot and their application in imaging of normal and cancer
cells. Structural characterization of these particles by Raman spectroscopy
showed characteristic peaks located at 1350 and 1590 cm(-1) corresponding to the
diamond-like (D) and graphite-like (G) bands of the carbon allotropes
respectively with the characteristic ratio I(D)/I(G)=2.24. X-ray diffraction
study confirmed the presence of amorphous as well as graphitized carbon in these
nanostructures with minimum grain size ~2 nm. A typical luminescence lifetime
measured by time resolved fluorescence spectroscopy was obtained 3.54 ns. The
photoluminescence behavior of these particles was excitation dependent and gave
off blue, green and red fluorescence under UV, blue and green excitation,
respectively. Cellular uptake of these NCNP yielded excellent results for cell
imaging of human embryonic kidney, lung carcinoma and breast adenocarcinoma
cells. Cell imaging was further correlated with cytotoxicity in the above
mentioned cell lines and also in leukemia cell lines. Dose dependant cytotoxicity
was observed after 24 h up to 48 h of incubation of nanoparticles. Fluorescence
microscopy of nanoparticle-cell interaction clearly indicated aggregation of the
particles.
PMID- 22088755
TI - Facile fabrication of biocompatible PLGA drug-carrying microspheres by O/W
pickering emulsions.
AB - This study is focused on the preparation of Ibuprofen (IBU) loaded micrometer
sized poly(lactic-co-glycolic acid) (PLGA) microspheres and process variables on
the size, drug loading and release during preparation of formulation. Silicon
dioxide (SiO(2)) nanoparticle-coated PLGA microspheres were fabricated via a
combined system of "Pickering-type" emulsion route and solvent volatilization
method in the absence of any molecular surfactants. Stable oil-in-water emulsions
were prepared using SiO(2) nanoparticles as a particulate emulsifier and a
dichloromethane (CH(2)Cl(2)) solution of PLGA as an oil phase. The SiO(2)
nanoparticle-coated PLGA microspheres were fabricated by the evaporation of
CH(2)Cl(2) in situ, and then bare-PLGA microspheres were prepared by removal of
the SiO(2) nanoparticles using HF aqueous solution. The two types of microspheres
were characterized in terms of size, component and morphology using scanning
electronic microscope (SEM), Fourier-transform infrared, optical microscope, and
so on. Moreover, IBU was encapsulated into the hybrid beads by dispersing them in
the CH(2)Cl(2) solution of PLGA in the fabrication process. The sustained release
could be obtained due to the barrier of the polymeric matrix (PLGA). More over,
the release curves were nicely fitted by the Weibull equation and the release
followed Fickian diffusion. The combined system of Pickering emulsion and solvent
volatilization opens up a new route to fabricate a variety of microspheres. The
resulting microspheres may find applications as delivery vehicles for
biomolecules, drugs, cosmetics and living cells.
PMID- 22088756
TI - Poly (N-isopropylacrylamide)-PLA and PLA blend nanoparticles for temperature
controllable drug release and intracellular uptake.
AB - We designed a temperature-responsive and biodegradable novel drug-delivery
carrier. A block copolymer, poly (N-isopropylacrylamide-dl-lactide) (PNIPAAm
PLA), was synthesized by the ring-opening polymerization of dl-lactide, and used
as a carrier for a drug-delivery system. In this study, temperature-responsive
nanoparticles (NPs) encapsulating betamethasone disodium 21-phosphate (BP) were
prepared from a blend of PLA homopolymer and block copolymers by an oil-in-water
solvent-diffusion method in the presence of zinc ion (PLA/PNIPAAm-PLA (NPs)). The
resulting NP size was around 140 nm. The drug release from temperature-responsive
NP could be controllable by changing the temperature. Moreover, a murine
macrophage-like cell line, RAW 264.7 cells, was used to measure and image the
cell uptake of fluorescent PLA/PNIPAAm-PLA NPs at 30 degrees C and 37 degrees C
on the boundary of LCST (34 degrees C). Below the LCST, cellular uptake was not
observed, but contrary to cellular uptake it was clearly observed above the LCST.
Moreover, we found this effect to be useful for controlling the stealthiness by
changing the temperature. Present temperature-responsive NPs have successfully
exhibited thermo-responsive drug release and intracellular uptake while
possessing a biodegradable character.
PMID- 22088757
TI - Thermo-responsive poly(N-isopropylacrylamide) grafted onto microtextured
poly(dimethylsiloxane) for aligned cell sheet engineering.
AB - Poly(N-isopropylacrylamide) (PNIPAAm)-grafted poly(dimethylsiloxane) (PDMS)
offers an inexpensive, biocompatible, oxygen permeable, and easily microtextured
thermo-responsive substrate for producing cell sheets. This study introduces a
method of grafting PNIPAAm onto microtextured PDMS that is suitable for
generating aligned vascular smooth muscle cell (VSMC) sheets. We examined a wide
range of processing parameters in order to identify the conditions that led to
acceptable sheet growth and detachment behavior. Substrates grafted under these
conditions produced confluent cell sheets that fully detached in less than 10 min
after lowering the culture temperature from 37 degrees C to 20 degrees C. The
grafted layer thickness was determined to be 496+/-8 nm by atomic force
microscopy. Surface characterization by Fourier transform infrared spectroscopy
showed a relative grafting yield of 0.488+/-0.10, defined as the ratio of the
PNIPAAm 1647 cm(-1) to the PDMS 2962 cm(-1) absorbance peaks. The water contact
angle of the substrates was shown to change from 89.6 degrees to 101.0 degrees
at 20 degrees C and 37 degrees C, respectively. We also found that cell
behavior on PNIPAAm-grafted PDMS was not directly related to surface wettability
or relative grafting densities.
PMID- 22088758
TI - Enhanced tissue penetration-induced high bonding strength of a novel tissue
adhesive composed of cholesteryl group-modified gelatin and disuccinimidyl
tartarate.
AB - The aim of this study was to evaluate the effect of cholesteryl group content on
the bonding strength of a novel tissue adhesive composed of cholesteryl group
modified geletin (CholGltn) and disuccinimidyl tartarate (DST). The bonding
strength of this tissue adhesive with fresh arterial media reached a maximum at a
CholGltn content of 70% in the CholGltn/gelatin (Gltn) mixture, which then
decreased with increasing CholGltn content with a fixed succinimidyl group:amino
group ratio of 1:1. The maximum bonding strength obtained was 6-fold higher
compared with that of the original Gltn. Furthermore, maximum peeling strength
was also obtained at a CholGltn content of 70% in the CholGltn/Gltn mixture and
at a similar succinimidyl group:amino group ratio. The highest peeling strength
was 8-fold higher compared with Gltn and 6-fold higher compared with commercial
aldehyde-based adhesive. After exposure of FITC-labeled Gltn or CholGltn to
aortic smooth muscle cells (SMCs), which are abundant in arterial media, CholGltn
integrated effectively with the surface of SMCs. This indicated that FITC-labeled
CholGltn anchors into the cell membrane of SMCs. From these results, it was
demonstrated that tissue adhesive composed of a CholGltn/Gltn mixture and DST
showed improved penetration into arterial media compared with adhesive composed
of Gltn and DST. This behavior supports the suggestion that the hydrophobic
cholesteryl group in Gltn contributes to the enhanced bonding/peeling strength.
This novel tissue adhesive may become a useful material in the clinical field for
the treatment of aortic dissection.
PMID- 22088759
TI - Single-walled carbon nanotubes-polymer modified graphite electrodes for DNA
hybridization.
AB - Single-walled carbon nanotubes (SWCNT)-poly(vinylferrocenium) (PVF(+)) modified
pencil graphite electrodes (PGEs) were developed in our study for the
electrochemical monitoring of a sequence-selective DNA hybridization event.
Firstly, SWCNT-PVF(+) modified PGE, PVF(+) modified PGE and unmodified PGE were
characterized using scanning electron microscopy (SEM). The electrochemical
behavior of these electrodes was then investigated using electrochemical
impedance spectroscopy (EIS) and differential pulse voltammetry (DPV). The SWCNT
PVF(+) modified PGEs were optimized for improved DNA sensing ability by measuring
the guanine oxidation signal. In order to obtain the full coverage immobilization
of the DNA probe following the optimum working conditions, the effect of amino
linked, thiol-linked and, bare oligonucleotides (ODNs), and the concentration of
the DNA probe on the response of the modified electrode were examined. After
optimization studies, the sequence-selective DNA hybridization was evaluated in
the case of hybridization between an amino-linked probe and its complementary
(target), a noncomplementary (NC) sequence, calf thymus double stranded DNA
(dsDNA), and target/mismatch (MM) mixtures in the ratio of 1:1. SWCNT-PVF(+)
modified PGEs presented very effective discrimination of DNA hybridization owing
to their superior selectivity and sensitivity.
PMID- 22088760
TI - A double-targeted magnetic nanocarrier with potential application in hydrophobic
drug delivery.
AB - A double-targeted magnetic nanocarrier based with potential applications in the
delivery of hydrophobic drugs has been developed. It consists of magnetite
(Fe(3)O(4)) nanoparticles encapsulated in self-assembled micelles of the
amphiphilic copolymer MPEG-PLGA [methoxy poly (ethylene glycol)-poly (d,l-lactide
co-glycolide)], and was fabricated using the solvent-evaporation technique. The
magnetic nanocarrier has a very stable core-shell structure and is
superparamagnetic. Its cytotoxicity was evaluated using the MTT assay with three
cell lines-HeLa, MCF-7, and HT1080; it exhibited no cytotoxicity against any
tested line at concentrations of up to 400 MUg/mL after incubation for 24 h. Its
cellular uptake was studied by Prussian blue staining and by fluorescence
microscopy after encapsulating a fluorescent probe (hydrophobic quantum dots)
into the nanocarrier. Finally, the magnetic targeting property of the magnetic
nanocarrier was confirmed by an in vitro test. Overall, the results obtained
demonstrate the potential of the double-targeted nanocarrier for the
intracellular delivery of hydrophobic drugs.
PMID- 22088761
TI - Development and validation of an HPLC-based screening method to acquire
polyhydroxyalkanoate synthase mutants with altered substrate specificity.
AB - A rapid and convenient method for the compositional analysis of
polyhydroxyalkanoate (PHA) was developed using high-performance liquid
chromatography (HPLC) and alkaline sample pretreatment in a 96-well plate format.
The reliability of this system was confirmed by the fact that a mutant with a
D171G mutation of Aeromonas caviae PHA synthase (PhaC(Ac)), which gained higher
reactivity toward 3-hydroxyhexanoate (3HHx), was selected from the D171X mutant
library. Together with D171G mutant, several single mutants showing high
reactivity toward 3HHx were isolated by the HPLC assay. These new mutants and
double mutants combined with an N149S mutation were used to synthesize P(3
hydroxybutyrate-co-3HHx) in Ralstonia eutropha PHB(-)4 from soybean oil as carbon
source, achieving higher levels of 3HHx fraction than the wild-type enzyme. Based
on these results, the high-throughput screening system will serve as a powerful
tool for exploring new and beneficial mutations responsible for regulating
copolymer composition of PHA.
PMID- 22088762
TI - A dynamical approach to contact distance based protein structure determination.
AB - Protein native structure topology based folding dynamics captures many aspects of
protein folding. The fact that folding is driven by a potential derived only from
residue pairs in native contact, a sparse distance matrix, lead us to postulate
this as a solution method to the molecular distance geometry problem. In the
standard Go model non-bonded residues move under the influence of a Lennard-Jones
potential and consequently folding is slow. In this study we apply a faster
quadratic potential Go model to solving the full-atom distance geometry problem,
where distance data is based only on residue atoms within 5 A in the native
structure. We show that the method works well when only atomic contact data is
known and when a substantial proportion of this contact data is missing. Also, we
show that the method can be applied in conjunction with secondary structure
prediction schemes to enhance accuracy in cases of missing contact data.
PMID- 22088763
TI - Enrichment of virtual hits by progressive shape-matching and docking.
AB - The main applications of virtual chemical screening include the selection of a
minimal receptor-relevant subset of a chemical library with a maximal chemical
diversity. We have previously reported that the combination of ligand-centric and
receptor-centric virtual screening methods may provide a compromise between
computational time and accuracy during the hit enrichment process. In the present
work, we propose a "progressive distributed docking" method that improves the
virtual screening process using an iterative combination of shape-matching and
docking steps. Known ligands with low docking scores were used as initial 3D
templates for the shape comparisons with the chemical library. Next, new
compounds with good template shape matches and low receptor docking scores were
selected for the next round of shape searching and docking. The present iterative
virtual screening process was tested for enriching peroxisome proliferator
activated receptor and phosphoinositide 3-kinase relevant compounds from a
selected subset of the chemical libraries. It was demonstrated that the iterative
combination improved the lead-hopping practice by improving the chemical
diversity in the selected list of virtual hits.
PMID- 22088764
TI - Adsorption of Pb(II) and Cu(II) from aqueous solution on magnetic porous
ferrospinel MnFe2O4.
AB - The adsorption of Pb(II) and Cu(II) from aqueous solution on magnetic porous
ferrospinel MnFe(2)O(4) prepared by a sol-gel process was investigated. Single
batch experiment was employed to test pH effect, sorption kinetics, and isotherm.
The interaction mechanism and the regeneration were also explored. The results
showed that Pb(II) and Cu(II) removal was strongly pH-dependent with an optimum
pH value of 6.0, and the equilibrium time was 3.0 h. The adsorption process could
be described by a pseudo-second-order model, and the initial sorption rates were
526.3 and 2631.5 MUmol g(-1)min(-1) for Pb(II) and Cu(II) ions, respectively. The
equilibrium data were corresponded well with Langmuir isotherm, and the maximum
adsorption capacities were 333.3 and 952.4 MUmol g(-1) for Pb(II) and Cu(II)
ions, respectively. The adsorbed Pb(II) and Cu(II) ions were in the form of the
complex with oxygen in carboxyl and hydroxyl groups binding on the surface of
magnetic porous MnFe(2)O(4). The sorbent could be reused for five times with high
removal efficiency.
PMID- 22088765
TI - Viscosity contribution of an arbitrary shape rigid aggregate to a dilute
suspension.
AB - The study of rheological response of solid suspensions is essential in
understanding the relationships governing their kinematics and dynamics. However
the study is complicated mainly by the complex interplay between suspension
rheology and hydrodynamic behavior of the suspended solids, which for most of the
practically occurring situations have complex and arbitrary shapes, and exact
equations accounting for their hydrodynamic contribution are not available. For
this reason, using a recently developed methodology capable of computing the
average rigid body resistance matrix of arbitrary shaped clusters made of uniform
sized spheres, Brownian dynamic simulations under shear conditions are performed
for clusters with different geometries with the objective of estimating their
intrinsic viscosity. The population of clusters chosen encompassed a broad range
of morphologies, such as fractals with a wide range of masses and fractal
dimension values, dense clusters with spherical and spheroidal aspect ratios,
similar to those produced during coagulation experiments of colloidal
suspensions. It was found that fractal clusters with low fractal dimensions and
spheroidal clusters have sufficient structural anisotropies to show deviations
from Einstein's relationship, and display a moderate shear thinning behavior, as
well as a non-negligible linear viscoelasticity. On the other hand, clusters with
high fractal dimensions tend to behave progressively more like spheres as their
fractal dimension increases. We also found that the intrinsic viscosity of all
clusters, independent of their morphology, can be quantitatively predicted by
means of an equivalent ellipsoid model, in which clusters are modeled as
ellipsoids with the same principal moments of inertia.
PMID- 22088766
TI - Shape-directing role of cetyltrimethylammonium bromide in the preparation of
silver nanoparticles.
AB - We report a simple chemical reduction method for the synthesis of different
colored silver nanoparticles, AgNP, using tyrosine as a reducing agent. Effects
of cetyltrimethylammonium bromide, CTAB, and tyrosine concentrations are analyzed
by UV-visible measurements and scanning electron microscopy (SEM) to evaluate the
mode of AgNP aggregation. The position and shape of the surface resonance plasmon
absorption bands strongly depend on the reaction conditions, i.e., [CTAB],
[tyrosine], and reaction time. Sub-, post-, and dilution-micellar effects are
accountable for the fast and slow nucleation and growth processes.
Spectrophotometric measurement also shows that the average size and the
polydispersity of AgNP increase with [CTAB] in the solution. CTAB acted as a
shape-directing agent.
PMID- 22088767
TI - Cholesteryl ester transfer protein inhibition to reduce cardiovascular risk:
Where are we now?
AB - Elevated low-density lipoprotein-cholesterol (LDL-C) and reduced high-density
lipoprotein-cholesterol (HDL-C) are major risk factors for the development of
cardiovascular disease. One approach to raising HDL-C is to inhibit the
cholesteryl ester transfer protein (CETP), a plasma protein that promotes
transfer of cholesteryl esters from HDL and other lipoprotein fractions. Drugs
that inhibit CETP increase HDL-C and some lower LDL-C. However, the development
of torcetrapib, the first CETP inhibitor to be tested in a human clinical
outcomes trial, was terminated because it caused an excess of deaths and
cardiovascular events. There is evidence, however, that torcetrapib had adverse
off-target effects unrelated to CETP inhibition. This has opened the way for
retesting of the hypothesis that CETP inhibitors will be anti-atherogenic in
studies conducted with agents such as dalcetrapib and anacetrapib that do not
share the off-target effects of torcetrapib. Clinical outcome trials with
dalcetrapib and anacetrapib are currently under way.
PMID- 22088768
TI - Using a legal and regulatory framework to identify and evaluate priorities for
cancer prevention.
AB - This paper presents a framework for identifying legal and regulatory
interventions for the prevention of risk factors for cancer at the population
level. The framework has wider application for behavioural risk factors for other
non-communicable diseases. It is based on four different types of assessment:
identifying the determinants of cancer and key settings for interventions;
reviewing the key strategies that law can deploy; considering the most
appropriate level for interventions within federal systems; and considering the
role of law within a broader set of public health responses that includes
voluntary standards, co-regulation, outcome-based regulation and more technical,
prescriptive controls. The paper argues that law is an important tool for
preventing the burden of disease from cancer. It then uses the framework to
evaluate the current status of regulatory strategies for cancer prevention and to
identify law reform priorities, taking Australia as a case study. The paper
illustrates the application of the model at the country level by making extensive
use of Australian evidence and published research. However, the methodology
presented, the regulatory issues discussed, the evidence cited and the law reform
priorities identified will be relevant to other countries with a substantial
burden from cancer and non-communicable diseases.
PMID- 22088769
TI - Can law improve prevention and treatment of cancer?
PMID- 22088770
TI - Abuse experiences, substance use, and reproductive health in women seeking care
at an emergency department.
AB - INTRODUCTION: Abuse experiences can have negative health consequences for women.
Many women present to the emergency department for episodic, nonemergent care and
may have unique needs as survivors of abuse. The purpose of this study was to
describe child sexual abuse experiences, intimate partner violence, substance
use, and reproductive health outcomes in a sample of adult women who were seeking
care from a rural emergency department to better understand the health care needs
of this unique population. METHODS: One hundred forty-five adult women (18-45
years old) were recruited at an emergency department in the southeastern United
States. Questionnaires were used to assess for demographic characteristics,
history of child sexual abuse (CSA), intimate partner violence, reproductive
health, and substance use. RESULTS: In the sample, 42.8% of women (n = 62)
reported a positive history of CSA and 34.7% of women (n = 49) experienced
intimate partner physical violence during the past year. More than 46% of the
women (n = 65) had harmful drinking patterns in the past year and more than 50%
reported some type of substance use in the past 3 months. Women who experienced
CSA had a significantly greater number of lifetime sexual partners, were more
likely to report pain with sexual intercourse, and were more likely to report a
medical history of an abnormal Papanicolaou smear. DISCUSSION: The women in this
sample had high rates of abuse, harmful drinking patterns, and substance use and
were at risk for sexually transmitted infections. Through screening for lifetime
violence, including sexual violence, emergency nurses can be an important liaison
between women who have experienced CSA and appropriate referrals within the
health care system.
PMID- 22088771
TI - Screening, brief intervention, and referral to treatment: a nursing perspective.
PMID- 22088772
TI - Being prepared for the unprepared: a phenomenology field study of Swedish
prehospital care.
AB - INTRODUCTION: This paper presents a study of prehospital care with particular
focus on how ambulance personnel prepare themselves for their everyday
assignments. METHODS: The caring science field study took a phenomenological
approach, where data were analyzed for meaning. Two specialist ambulance nurses,
three registered nurses, and six paramedics participated. RESULTS: The previously
known discrepancy between in-hospital care and prehospital care was further
interpreted in this study. The pre-information from an emergency medical dispatch
(EMD) center provides ambulance personnel with basic expectations as to what they
will have to take care of. At the same time that they maintain their certainty
and control, our major findings indicate that prehospital care in emergency
medical service requires the personnel to be prepared for an open and flexible
encounter with the patient; to be prepared for the unprepared, i.e., to be open
and to avoid being governed by predetermined statements. DISCUSSION: Our findings
suggest that the outcomes of good prehospital care affect patient security. The
seemingly time-consuming dialogue with the patient facilitates understanding and
decision-making regarding the patient's medical needs, and it is comforting to
the patient. The ambulance personnel need to be well prepared for this task and
fully understand that the situation might differ considerably from the
information provided by the EMD centers. All objective information is of great
value in this care context, but ultimately it is the patient who provides
reliable information about her/his own situation.
PMID- 22088773
TI - Match probabilities for multiple siblings.
AB - A defendant whose DNA profile matches that of a crime stain may argue that he has
several, say n, brothers and that one of them may have been the origin of the
crime stain. If the probability for any of the brothers considered separately to
match the crime stain profile is p, we show that the probability that at least
one of the n brothers match is strictly smaller than np. This latter quantity
therefore is an easy to compute and conservative value to report.
PMID- 22088774
TI - [Spirometry as method of screening and intervention in high- risk smokers in
primary care].
AB - OBJECTIVE: To show the effectiveness of screening in the detection of new cases
of COPD in smokers of high-risk, and to describe the characteristics associated
with COPD, as well as determine the predisposition to quit. DESIGN: A cross
sectional and multicentre study. LOCATION: Basic Primary Care Centres
representing different rural and urban areas of Lleida. PARTICIPANTS: A total of
497 active smokers without COPD from 40 to 70 years selected from the database
Primary Care Database (e-CAP). INTERVENTION: Spirometry was performed on all
patients. The spirometry results were reported and brief counselling was given on
quitting smoking and the readiness to stop smoking was determined. VARIABLES:
Age, gender, height, weight, smoking, respiratory symptoms and physical activity.
RESULTS: The majority of patients (65.4%) were men, age 51+/- 7.6 years. They
smoked an average of 32 packs/year; 40.4% performed moderate activity and 27.4%
referred to some respiratory symptoms. Of the 25% who had an obstructive or mixed
pattern, 75.8% of the cases had a moderate level of severity. A decision to quit
was made by 38.8%. In the multivariate analysis, gender, age 50 to 59 years and a
smoking index>40 were associated with COPD. Moderate or intense physical activity
decreased the risk of COPD. CONCLUSIONS: To perform spirometry in high-risk
smokers, to show the spirometry results and give brief anti-smoking counselling,
enables not previously diagnosed cases of COPD to be detected. It also helps to
determine the readiness to stop smoking and to establish individualised treatment
and follow-up plans.
PMID- 22088775
TI - Mighty metaphors: behavioral and ERP evidence that power shifts attention on a
vertical dimension.
AB - Thinking about the abstract concept power may automatically activate the spatial
up-down image schema (powerful up; powerless down) and consequently direct
spatial attention to the image schema-congruent location. Participants indicated
whether a word represented a powerful or powerless person (e.g. 'king' or
'servant'). Following each decision, they identified a target at the top or
bottom of the visual field. In Experiment 1 participants identified the target
faster when their spatial position was congruent with the perceived power of the
preceding word than when it was incongruent. In Experiment 2 ERPs showed a higher
N1 amplitude for congruent spatial positions. These results support the view that
attention is driven to the image schema congruent location of a power word. Thus,
power is partially understood in terms of vertical space, which demonstrates that
abstract concepts are grounded in sensory-motor processing.
PMID- 22088776
TI - A key role for experimental task performance: effects of math talent, gender and
performance on the neural correlates of mental rotation.
AB - The neurophysiological mechanisms underlying superior cognitive performance are a
research area of high interest. The majority of studies on the brain-performance
relationship assessed the effects of capability-related group factors (e.g.
talent, gender) on task-related brain activations while only few studies examined
the effect of the inherent experimental task performance factor. In this
functional MRI study, we combined both approaches and simultaneously assessed the
effects of three relatively independent factors on the neurofunctional correlates
of mental rotation in same-aged adolescents: math talent (gifted/controls:
17/17), gender (male/female: 16/18) and experimental task performance (median
split on accuracy; high/low: 17/17). Better experimental task performance of
mathematically gifted vs. control subjects and male vs. female subjects validated
the selected paradigm. Activation of the inferior parietal lobule (IPL) was
identified as a common effect of mathematical giftedness, gender and experimental
task performance. However, multiple linear regression analyses (stepwise)
indicated experimental task performance as the only predictor of parietal
activations. In conclusion, increased activation of the IPL represents a positive
neural correlate of mental rotation performance, irrespective of but consistent
with the obtained neurocognitive and behavioral effects of math talent and
gender. As experimental performance may strongly affect task-related activations
this factor needs to be considered in capability-related group comparison studies
on the brain-performance relationship.
PMID- 22088777
TI - Are imaging and lesioning convergent methods for assessing functional
specialisation?: investigations using an artificial neural network.
AB - This article presents an investigation of the relationship between lesioning and
neuroimaging methods of assessing functional specialisation, using synthetic
brain imaging (SBI) and lesioning of a connectionist network of past-tense
formation. The model comprised two processing 'routes': one was a direct route
between layers of input and output units, while the other, indirect, route
featured an intermediate layer of processing units. Emergent specialisation
within the network was assessed (1) by lesioning either the direct or indirect
route and measuring past-tense performance for regular and irregular verbs, and
(2) by measuring functional activation in each route when processing each verb
type (SBI). SBI and lesioning approaches failed to converge when network
activation was summed over each route in our SBI approach. Examination of
individual network solutions suggested that the verb types might be using the
indirect route differently in terms of the pattern of activation across the
route, rather than in terms of gross activation. A subsequent SBI analysis
compared patterns of activation in the indirect route and confirmed that these
patterns were more similar between regular-type verbs than between regular and
irregular verbs. As the spatial and temporal resolution of neuroimaging
techniques improves, the results of this investigation suggest that the key to
finding functional specialisation will be to distinguish local coding differences
across behaviours that are the results of developmental processes. Other analyses
suggest that lesioning data may be limited because, with increasing damage, they
reveal the resting activations of a computational system rather than a
computational specialisation per se.
PMID- 22088778
TI - An integrated account of generalization across objects and features.
AB - Humans routinely make inductive generalizations about unobserved features of
objects. Previous accounts of inductive reasoning often focus on inferences about
a single object or feature: accounts of causal reasoning often focus on a single
object with one or more unobserved features, and accounts of property induction
often focus on a single feature that is unobserved for one or more objects. We
explore problems where people must make inferences about multiple objects and
features, and propose that people solve these problems by integrating knowledge
about features with knowledge about objects. We evaluate three computational
methods for integrating multiple systems of knowledge: the output combination
approach combines the outputs produced by these systems, the distribution
combination approach combines the probability distributions captured by these
systems, and the structure combination approach combines a graph structure over
features with a graph structure over objects. Three experiments explore problems
where participants make inferences that draw on causal relationships between
features and taxonomic relationships between animals, and we find that the
structure combination approach provides the best account of our data.
PMID- 22088779
TI - Does the Framingham Stroke Risk Profile predict white-matter changes in late-life
depression?
AB - BACKGROUND: Cardiovascular risk factors and diseases are important etiological
factors in depression, particularly late-life depression. Brain changes
associated with vascular disease and depression can be detected using magnetic
resonance imaging. Using diffusion tensor imaging (DTI), we investigated whether
the Framingham Stroke Risk Profile (FSRP), a well-validated risk prediction
algorithm, is associated with changes in white-matter connectivity. We
hypothesized that depressed participants would show reduced white-matter
integrity with higher FSRP, and non-depressed controls (matched for mean vascular
risk) would show minimal co-variance with white-matter changes. METHODS: Thirty
six participants with major depression (age 71.8 +/- 7.7 years, mean FSRP 10.3 +/
7.6) and 25 controls (age 71.8 +/- 7.3 years, mean FSRP 10.1 +/- 7.7) were
clinically interviewed and examined, followed by 60-direction DTI on a 3.0 Tesla
scanner. Image analysis was performed using FSL tools (www.fmrib.ox.ac.uk/fsl) to
assess the correlation between FSRP and fractional anisotropy (FA). Voxelwise
statistical analysis of the FA data was carried out using Tract Based Spatial
Statistics. The significance threshold for correlations was set at p < 0.05 using
threshold-free cluster-enhancement. Partial correlation analysis investigated
significant correlations in each group. RESULTS: Participants in the depressed
group showed highly significant correlations between FSRP and FA within the body
of corpus callosum (r = -0.520, p = 0.002), genu of corpus callosum (r = -0.468,
p = 0.005), splenium of corpus callosum (r = -0.536, p = 0.001), and cortico
spinal tract (r = -0.473, p = 0.005). In controls, there was only one significant
correlation in the body of corpus callosum (r = -0.473, p = 0.023). CONCLUSIONS:
FSRP is associated with impairment in white-matter integrity in participants with
depression; these results suggest support for the vascular depression hypothesis.
PMID- 22088780
TI - Prosthetic knee infection in the young immigrant patient--do not forget
tuberculosis!
AB - Skeletal tuberculosis (TB) frequently masquerades as more common etiologies and
can be difficult to diagnose. We describe a case of TB knee arthritis in a young
Haitian-born woman in whom the diagnosis was not initially suspected, resulting
in treatment with total knee arthroplasty that subsequently failed. A long course
of medical and surgical therapies was then necessary to treat this patient.
Issues in the diagnosis and management of TB in the native and prosthetic knee
joint are presented.
PMID- 22088781
TI - Predictors of intensive care unit admission after total joint arthroplasty.
AB - Total joint arthroplasty (TJA) is a relatively safe orthopedic procedure.
However, complications do occur, and some may necessitate admission to the
intensive care unit (ICU). Our purpose was to determine risk factors associated
with admittance to ICU after TJA. We evaluated 22,343 primary and revision total
hip and knee arthroplasties from 1999 to 2008. One hundred thirty patients were
admitted to the ICU. Cases were matched 1:2 for date of surgery, surgeon, and
type of surgery. The causes for admission to ICU were recorded. Independent risk
factors for ICU admission were smoking, cemented arthroplasty, general
anesthesia, allogenic transfusion, higher C-reactive protein, lower hemoglobin
level, higher body mass index, and older age. Proper identification and
management of these "at-risk" patients may decrease the incidence of ICU
admittance after TJA.
PMID- 22088782
TI - Accuracy of dynamic tactile-guided unicompartmental knee arthroplasty.
AB - Unicompartmental knee arthroplasty (UKA) can achieve excellent clinical and
functional results for patients having single-compartment osteoarthritis.
However, UKA is considered to be technically challenging to perform, and
malalignment of implant components significantly contributes to UKA failures. It
has been shown that surgical navigation and tactile robotics could be used to
provide very accurate component placement when the bones were rigidly fixed in a
stereotactic frame during preparation. The purpose of this investigation was to
determine the clinically realized accuracy of UKA component placement using
surgical navigation and tactile robotics when the bones are free to move. A group
of 20 knees receiving medial UKA with dynamically referenced tactile-robotic
assistance was studied. Implant placement errors were comparable with those
achieved using tactile robotics with rigid stereotactic fixation.
PMID- 22088783
TI - Incidence of clinically significant venous thromboembolic events in Asian
patients undergoing total knee arthroplasty without anticoagulation.
AB - This study aimed to evaluate the incidence of clinically significant venous
thromboembolic events (VTE) in Asian patients undergoing total knee arthroplasty
(TKA) without anticoagulation. All patients who underwent TKA by a single surgeon
from 2006 to 2010 in Singapore General Hospital were reviewed. Only symptomatic
patients were referred for ultrasonography. Of the 531 patients reviewed, 3
patients developed symptoms of deep vein thrombosis with subsequent
ultrasonographic confirmation, whereas 1 patient developed fatal pulmonary
embolism without any clinical or radiologic evidence of deep vein thrombosis.
Hence, the incidence of clinically significant VTE was 0.75%. Given the low
incidence of clinically significant VTE, there is a need to review the current
practice of routine chemoprophylaxis in Asian patients undergoing TKA.
PMID- 22088784
TI - Cerebral and mesenteric tissue oxygenation by positional changes in very low
birth weight premature infants.
AB - AIM: There has been no clear consensus of the appropriate positioning in preterm
infants. We aimed to evaluate the changes of cerebral and mesenteric tissue
oxygenation in three different positions, by near infrared spectroscopy (NIRS),
in stable very low birth weight (VLBW) infants of postnatal >30 days. METHODS:
NIRS monitoring of cerebral and mesenteric tissue oxygenation in three different
positions was performed in 29 stable preterm newborns in neonatal intensive care
unit (NICU). The patients were observed in three different positions
consecutively, each lasting for 4h. The demographic features, cerebral and
mesenteric tissue oxygenation with positional changes and feeding periods were
recorded. RESULTS: Mean gestational age, mean birth weight and mean postnatal age
of the patients were 27.6+/-1.7 weeks, 1046+/-308 g and 42.4+/-15.7 days,
respectively. There were no statistically significant differences in cerebral and
mesenteric tissue regional SO(2) values in each position (p=0.954 and p=0.151,
respectively). The values of cerebral and mesenteric tissue regional SO(2) before
and after feeding did not show any statistically significant difference in each
position. CONCLUSIONS: Clinically stable very low birth weight infants are able
to maintain a stable cerebral and mesenteric tissue oxygenation in different
positions, both before and after feeding. Positional changes seem to be safe in
VLBW stable infants and this influence should be considered in care of these
patients in the NICU. Clinical Trials Identification Number: NCT01255189.
PMID- 22088785
TI - Neonatal factors associated with alteration of palatal morphology in very preterm
children: the EPIPAGE cohort study.
AB - BACKGROUND: Altered palatal morphology has been observed among some preterm
children, with possible consequences on chewing, speaking and esthetics, but
determinants remain unknown. AIM: To explore the role of neonatal characteristics
and neuromotor dysfunction in alteration of palatal morphology at 5 years of age
in very preterm children. STUDY DESIGN: Prospective population-based cohort
study. SUBJECTS: 1711 children born between 22 and 32 weeks of gestation in 1997
or born between 22 and 26 weeks of gestation in 1998 were included in the study.
They all had a medical examination at 5 years of age. OUTCOME MEASURES:
Alteration of palatal morphology. RESULTS: The prevalence of altered palatal
morphology was 3.7% in the overall sample, 5.1% among boys and 2.2% among girls
(adj OR: 2.52; 95%CI: 1.44-4.42). The risk for altered palatal morphology was
higher for lower gestational age (adj OR: 0.85; 95%CI: 0.74-0.97 per week), small
for-gestational age children (adj OR: 2.11; 95%CI: 1.20-3.72) or children
intubated for more than 28 days (adj OR: 3.16; 95%CI: 1.11-8.98). Altered palatal
morphology was more common in case of cerebral palsy or moderate neuromotor
dysfunction assessed at 5 years. Results were basically the same when neuromotor
dysfunction was taken into account, except for intubation. CONCLUSION: Male sex,
low gestational age, small-for-gestational age and long intubation have been
identified as probable neonatal risk factors for alteration of palatal morphology
at 5 years of age in very preterm children. Further studies are needed to confirm
these results.
PMID- 22088786
TI - Early outcomes of bilateral sequential single lung transplantation after ex-vivo
lung evaluation and reconditioning.
AB - BACKGROUND: Ex vivo lung perfusion (EVLP) is a novel approach for extended
evaluation and/or reconditioning of donor lungs not meeting standard
International Society for Heart and Lung Transplantation criteria for
transplantation. METHODS: We retrospectively evaluated 13 consecutive EVLP runs
between January 2009 and December 2010. Lungs rejected for routine
transplantation were implanted to the EVLP circuit and reperfused using acellular
supplemented Steen Solution (Vitrolife, Goteborg, Sweden) up to a target flow
rate of 40% of the donor's calculated flow at a cardiac index of 3.0
liters/min/m(2); target left atrial pressure < 5 mm Hg; and pulmonary artery
pressure < 15 mm Hg. Mechanical ventilation was introduced after rewarming to 32
degrees C: tidal volume, 6 to 8 ml/kg; respiratory rate, 7 to 8 breaths/min;
duration of inspiration/expiration (I/E) ratio, 1:2; and positive end-expiratory
pressure, 5 to 10 cm H(2)O. Hemodynamic and respiratory data monitoring with
hourly clinical assessment were performed. Donor data, conversion rate to
transplantation, and recipient outcome were analyzed. RESULTS: Donor data (n =
13) were: age, 44.23 +/- 8.33 years; female/male, 8:5; cause of death:
intracranial hemorrhage, 11 (85%), stroke, 1 (7.5%), hypoxic brain injury, 1
(7.5%); smoking history, 9 (69%), 17.44 +/- 8.92 pack-years; mechanical
ventilation, 102.6 +/- 91.92 hours; chest x-ray imaging: abnormal, 12 (92.5%);
normal, 1 (7.5%). EVLP: mean 141 +/- 28.83 minutes. Arterial partial pressure of
oxygen/fraction of inspired oxygen 100% before termination of the circuit vs pre
retrieval value: 57.32 +/- 9.1 vs 42.36 +/- 14.13 kPa (p < 0.05). Six (46%) pairs
of donor lungs were transplanted. Median follow-up was 297.5 days (range, 100-390
days), with 100% survival at 3 months. CONCLUSIONS: EVLP may facilitate
assessment and/or reconditioning of borderline lungs, with a conversion rate of
46 % and good short-term survival.
PMID- 22088787
TI - SETX gene mutation in a family diagnosed autosomal dominant proximal spinal
muscular atrophy.
AB - Autosomal dominant proximal spinal muscular atrophy (ADSMA) is a rare disorder
with unknown gene defects in the majority of families. Here we describe a family
where the diagnosis of juvenile and adult onset ADSMA was made in three
individuals. Because of retained tendon reflexes an atypical course of juvenile
amyotrophic lateral sclerosis (ALS4) was considered. SETX gene sequencing
revealed the previously reported heterozygous missense mutation c.1166T50% differential expression of 201
leukocyte transcripts, including upregulated expression of pro-inflammatory and
metastasis-related genes. CBSM altered leukocyte expression of 91 genes by >50%
at follow-up (group * time interaction), including downregulation of pro
inflammatory and metastasis-related genes and upregulation of type I interferon
response genes. Promoter-based bioinformatic analyses implicated decreased
activity of NF-kappaB/Rel and GATA family transcription factors and increased
activity of interferon response factors and the glucocorticoid receptor as
potential mediators of CBSM-induced transcriptional alterations. CONCLUSIONS: In
early-stage breast cancer patients, a 10-week CBSM intervention can reverse
anxiety-related upregulation of pro-inflammatory gene expression in circulating
leukocytes. These findings clarify the molecular signaling pathways by which
behavioral interventions can influence physical health and alter peripheral
inflammatory processes that may reciprocally affect brain affective and cognitive
processes.
PMID- 22088798
TI - Cord blood levels of thyroid hormones and IGF-1 weakly correlate with breast milk
levels of PBDEs in Taiwan.
AB - In vivo studies indicate that prenatal or neonatal exposure of rodents to
polybrominated diphenyl ethers (PBDEs) disrupts thyroid hormone balance, but few
studies have reported an association of PBDEs and insulin-like growth factor 1
(IGF-1). The goal was to examine whether PBDEs exposure affects the levels of
thyroid hormones and IGF-1 in cord blood. Study participants were healthy
pregnant women recruited from the general population in central Taiwan between
2000 and 2001 and in southern Taiwan from 2007 to 2009. One-hundred-forty-nine
breast milk samples (n=149), which were collected within one month after
delivery, were analyzed using a high resolution gas chromatograph equipped with a
high resolution mass spectrometer. The average and median levels of breast milk
Sigma(14)PBDEs were 5.34 and 3.38 ng/g lipid in 2000-2001 and 5.22 and 3.13 ng/g
lipid in 2007-2009, respectively. In general, levels of PBDE congeners were very
low in this study population and not significantly different between the years
2000-2001 and 2007-2009. Breast milk Sigma(14)PBDEs were not significantly
correlated with thyroid hormones and IGF-1 in cord blood. After examining
multiple stepwise linear regression models with adjustment for maternal age, pre
pregnancy body mass index (BMI), parity, gestational age, and region (namely,
central and southern Taiwan), we found that log of T4 in cord blood was
significantly but slightly correlated with higher BDE-154 (B=0.113, p=0.017) in
breast milk. The log of FT4 concentration was significantly related to a decrease
in the log of BDE-99 level (B=-0.137, p=0.043) and an increase in the log of BDE
154 level (B=0.158, p=0.008). Meanwhile, the log of IGF-1 level was also
significantly linked to an increase in the log of BDE-196 level (B=0.532,
p=0.028) and decrease in the log of BDE-85 level (B=-0.235, p=0.018). Few
epidemiological studies report an association between PBDEs exposure and IGF-1.
Based on our findings, further in vivo and epidemiological studies are encouraged
and needed to explore associations between PBDEs exposure and levels of thyroid
hormones and IGF-1.
PMID- 22088799
TI - SCIPIO brings new momentum to cardiac cell therapy.
PMID- 22088800
TI - Cardiac stem cells in patients with ischaemic cardiomyopathy (SCIPIO): initial
results of a randomised phase 1 trial.
AB - BACKGROUND: c-kit-positive, lineage-negative cardiac stem cells (CSCs) improve
post-infarction left ventricular (LV) dysfunction when administered to animals.
We undertook a phase 1 trial (Stem Cell Infusion in Patients with Ischemic
cardiOmyopathy [SCIPIO]) of autologous CSCs for the treatment of heart failure
resulting from ischaemic heart disease. METHODS: In stage A of the SCIPIO trial,
patients with post-infarction LV dysfunction (ejection fraction [EF] <=40%)
before coronary artery bypass grafting were consecutively enrolled in the
treatment and control groups. In stage B, patients were randomly assigned to the
treatment or control group in a 2:3 ratio by use of a computer-generated block
randomisation scheme. 1 million autologous CSCs were administered by
intracoronary infusion at a mean of 113 days (SE 4) after surgery; controls were
not given any treatment. Although the study was open label, the echocardiographic
analyses were masked to group assignment. The primary endpoint was short-term
safety of CSCs and the secondary endpoint was efficacy. A per-protocol analysis
was used. This study is registered with ClinicalTrials.gov, number NCT00474461.
FINDINGS: This study is still in progress. 16 patients were assigned to the
treatment group and seven to the control group; no CSC-related adverse effects
were reported. In 14 CSC-treated patients who were analysed, LVEF increased from
30.3% (SE 1.9) before CSC infusion to 38.5% (2.8) at 4 months after infusion
(p=0.001). By contrast, in seven control patients, during the corresponding time
interval, LVEF did not change (30.1% [2.4] at 4 months after CABG vs 30.2% [2.5]
at 8 months after CABG). Importantly, the salubrious effects of CSCs were even
more pronounced at 1 year in eight patients (eg, LVEF increased by 12.3 ejection
fraction units [2.1] vs baseline, p=0.0007). In the seven treated patients in
whom cardiac MRI could be done, infarct size decreased from 32.6 g (6.3) by 7.8 g
(1.7; 24%) at 4 months (p=0.004) and 9.8 g (3.5; 30%) at 1 year (p=0.04).
INTERPRETATION: These initial results in patients are very encouraging. They
suggest that intracoronary infusion of autologous CSCs is effective in improving
LV systolic function and reducing infarct size in patients with heart failure
after myocardial infarction, and warrant further, larger, phase 2 studies.
FUNDING: University of Louisville Research Foundation and National Institutes of
Health.
PMID- 22088801
TI - Relationship of obesity-related disturbances with LH/FSH ratio among post
menopausal women in the United States.
AB - OBJECTIVES: Although luteinizing hormone to follicular stimulating hormone
(LH/FSH) ratio is a controversial criterion for identifying a sub-group of
infertile women with polycystic ovary syndrome (PCOS) and abnormalities at the
level of the hypothalamic-pituitary-ovarian axis, an elevated LH/FSH ratio is
frequently observed in PCOS cases. Obesity and insulin resistance are highly
prevalent among PCOS women. To date, no studies have examined the associations of
LH/FSH ratio with these co-morbid conditions outside the context of pre- and peri
menopausal PCOS women. The objective of this study is to evaluate whether the
LH/FSH ratio is associated with obesity, insulin resistance, metabolic
disturbances and chronic inflammation among post-menopausal U.S. women, 35-60
years of age. STUDY DESIGN: Cross-sectional study of 693 women who participated
in the 1999-2002 National Health and Nutrition Examination Survey. MAIN OUTCOME
MEASURES: Body mass index, waist circumference, triglycerides, high-density
lipoprotein (HDL) cholesterol, systolic and diastolic blood pressures, fasting
glucose, metabolic syndrome, Homeostasis Model Assessment for Insulin Resistance
and C-reactive protein (CRP). RESULTS: Age- and hysterectomy-adjusted regression
models suggest that CRP level is positively associated with LH/FSH ratio and
LH/FSH>1, high glucose level and LH/FSH>2 are inversely related and HDL<50mg/dL
is positively associated with both LH/FSH>1 and LH/FSH>2. CONCLUSIONS: In a
nationally representative sample of post-menopausal women, markers of chronic
inflammation and dyslipidemia which are characteristics of PCOS-associated
morbidities were also significantly associated with LH/FSH ratio, meriting
further investigation.
PMID- 22088802
TI - [The exposure method of treatment of burns in children].
AB - Acute burn is a more or less profound destruction of the skin envelope. The depth
of the injury determines the severity of functional and aesthetic sequelae. Local
care prevents the infection, factor of deepening of the burn. Currently the
dressing used in most Burns centers is a bandage covering a bacterial product
applied to the burn. The advantage of this dressing is to prevent the occurrence
of local infection. In contrast, open-air method of treatment is based on the
notions that the wound is infected. His purpose is to reduce the effects of
infection by creating an unfavourable environment to the growth and
multiplication of bacteria. The principle of this method is the formation over
the burned area of an eschar. The eschar acts as a natural dressing to protect
the injured area against infection. The residual skin islets are preserved. The
surgical cleavage of the eschar is easy; the deep surface of the crust defines
the surgical plan. Deep tangential excisions are not necessary. The
implementation of this technique is easy and it is particularly well suited to
pediatrics. Treatment is not painful and the child's activities are not hampered
by bandages. Respect of the children's quality of life and medical-economic
efficiency of this method give it a prominent place in the treatment of burns in
children.
PMID- 22088803
TI - Accuracy of needle biopsy of breast lesions visible on ultrasound: audit of fine
needle versus core needle biopsy in 3233 consecutive samplings with ascertained
outcomes.
AB - INTRODUCTION: Core needle biopsy (CNB) has progressively replaced fine needle
aspiration cytology (FNAC) in the diagnosis of breast lesions. Less information
is available on how these tests perform for biopsy of ultrasound (US) visible
breast lesions. This study examines the outcomes of CNB and FNAC in a large
series ascertained with surgical histology or clinical-imaging follow-up.
MATERIALS AND METHODS: Retrospective five-year audit of 3233 consecutive US
guided needle samplings of solid breast lesions, from self-referred symptomatic
or asymptomatic subjects, performed by six radiologists in the same time-frame
(2003-2006): 1950 FNAC and 1283 CNB. The probability of undergoing CNB as a first
test instead of FNAC was evaluated using logistic regression. Accuracy and
inadequacy were calculated for each of CNB and FNAC performed as first test.
Accuracy measures included equivocal or borderline/atypical lesions as positive
results. RESULTS: The probability of CNB as a first test instead of FNAC
increased significantly over time, when there was a pre-test higher level of
suspicion, in younger (relative to older) women, with increasing lesion size on
imaging, and for palpable (relative to impalpable) lesions. Inadequacy rate was
lower for CNB (B1 = 6.9%) than for FNAC (C1 = 17.7%), p < 0.001, and specifically
in malignant lesions (B1 = 0.9% vs. C1 = 4.5%; p < 0.001). False negative rate
was equally low for both CNB and FNAC (1.7% each test). CNB performed
significantly better than FNAC for absolute sensitivity (93.1% vs. 74.4%; p <
0.001) and complete sensitivity (97.4% vs. 93.8%; p = 0.001), however specificity
was lower for CNB than FNAC (88.3% vs. 96.4%; p < 0.001). Absolute diagnostic
accuracy was higher for CNB than FNAC (84.5% vs. 71.9; p < 0.001) while FNAC
performed better than CNB for complete diagnostic accuracy (95.4% vs. 93.2; p <
0.008). In the small subgroup assessed with CNB after an inconclusive initial
FNAC (231 cases) there was improved complete sensitivity (from 93.8% to 97.0%)
however this also increased costs. CONCLUSION: FNAC and CNB were generally
performed in different patients, thus our study reported indirect comparisons of
these tests. Although FNAC performed well (except for relatively high
inadequacy), CNB had significantly better performance based on measures of
sensitivity, but this was associated with lower specificity for CNB relative to
FNAC. Overall, CNB is the more reliable biopsy method for sonographically-visible
lesions; where FNAC is used as the first-line test, inadequate or inconclusive
FNAC can be largely resolved by using repeat sampling with CNB.
PMID- 22088804
TI - Quality of life and experience of care in women with metastatic breast cancer: a
cross-sectional survey.
AB - CONTEXT: Despite developments in the medical management of metastatic breast
cancer, little is known about the quality of life (QoL) and experience of care in
women with it. OBJECTIVES: To explore QoL, experience of care, and support needs
of women living with metastatic breast cancer in the U.K. METHODS: Questionnaire
based, cross-sectional study, undertaken in two U.K. cancer centers and online
via the Breast Cancer Care website, assessing QoL and experience of care in 235
women with metastatic breast cancer. RESULTS: QoL was assessed using the
Functional Assessment of Cancer Therapy--Breast QoL measure. Overall, QoL was low
(mean 89.0, standard deviation 21.8) for total score. Low scores were seen
uniformly in physical, social, emotional, and functional well-being domains.
Symptom burden was a significant problem, with over one-third of women (34%)
reporting high levels of pain and other uncontrolled symptoms. In multiple
regression analysis, social well-being was significantly better for older women
(P<0.001) but was lower in those with bone metastases only (P=0.002). Functional
well-being was significantly higher in women without children (P=0.004).
Satisfaction with experience of care was low and appeared to be predominantly in
the hospital setting, with little evidence of involvement of general
practitioners and palliative care services. CONCLUSION: Despite improvements in
treatment and survival of women with metastatic breast cancer, this group reports
high symptom burden and dissatisfaction with elements of their care, indicating
that alternative models of service delivery should be explored.
PMID- 22088805
TI - Does hyperglycemia affect the diagnostic value of 18F-FDG PET/CT?
AB - BACKGROUND: Net cellular uptake of (18)F-FDG is adversely affected by elevated
plasma glucose levels. The purpose of our study was to investigate the influence
of hyperglycemic state at the time of (18)F-FDG injection on the diagnostic
accuracy of (18)F-FDG PET/CT. METHOD: Pre-scan plasma glucose levels of all
patients who were referred for PET/CT with an oncologic indication during the
year 2005 were reviewed. All seventy-six patients (50 men, 26 women, mean age +/-
SD: 65.5 +/- 9.7 years) with pre-scan glucose level higher than 140 mg/dL (mean
plasma glucose level +/- SD: 168.7 +/- 30.8, ranging from 140 to 260 mg/dL) were
enrolled into our study. The accuracy of PET/CT scans was assessed using
concurrent or follow-up CT, MRI and histologic evidences as well as clinical
follow-up as the reference standard. RESULTS: PET/CT was true positive in 37
patients, true-negative in 30 patients, false negative in 6 patients and false
positive in 3 patients. Overall sensitivity, specificity, positive predictive
value, negative predictive value and diagnostic accuracy of (18)F-FDG PET/CT on a
patient basis were 86%, 90.9%, 92.5%, 83.3% and 88.1%, respectively. Diabetes
mellitus was recorded in 50 patients. No significant diagnostic accuracy
difference between diabetics and non-diabetics was noticed. CONCLUSION: Our study
suggests that (18)F-FDG accumulation of malignant lesions remains sufficiently
high for reliable qualitative clinical diagnosis in chronic and acute
hyperglycemic states. However, regarding the discordant and inconclusive results
of the available reports and the remarkable concerns with reference to the
adverse effects of elevated plasma glucose levels on the diagnostic accuracy of
(18)F-FDG PET/CT scans, further direct clues seems to be required and should be
provided by future studies.
PMID- 22088806
TI - Associations between CYP1A1 and CYP2E1 polymorphisms and susceptibility to
esophageal cancer in Chaoshan and Taihang areas of China.
AB - PURPOSE: To study the causes of esophageal cancer in Chaoshan and Taihang areas.
METHODS: By using gel-based DNA microarray genotyping method, four cancer-related
polymorphisms including CYP1A1 m2, CYP1A1 m4, CYP2E1 Pst I and CYP2E1 Rsa I were
studied with 565 (CYP1A1) or 482 (CYP2E1) cases and 468 (CYP1A1) or 466 (CYP2E1)
controls. RESULTS: For CYP1A1 m2, the mutant allele frequencies were 21.3%
(Chaoshan) and 19.6% (Taihang), and OR for AG versus AA genotype (Chaoshan) was
1.855 (95% CI [1.227-2.805]). For CYP1A1 m4, no mutant allele was detectable. For
CYP2E1 Pst I, the mutant allele frequencies were 27.3% (Chaoshan) and 29.4%
(Taihang), and OR for GG versus CC genotype (Taihang) was 3.263 (95% CI [1.059
10.052]). For CYP2E1 Rsa I, the mutant allele frequencies were 27.3% (Chaoshan)
and 29.6% (Taihang), and OR for CC versus TT genotype (Taihang) was 3.167 (95% CI
[1.026-9.776]). CONCLUSION: The results suggest that AG genotype of CYP1A1 in
Chaoshan area and GG (CC) genotype of CYP2E1 in Taihang area are significantly
associated with esophageal cancer susceptibility.
PMID- 22088807
TI - [Radiation-induced nephropathy].
AB - BACKGROUND: The kidneys are dose-limiting organs when total body irradiation or
irradiation of the digestive tract is planned. The incidence of radiation-induced
toxicity is probably underestimated due to its latency and confounding factors
like chemotherapy. MATERIAL AND METHODS: A search of the literature for radiation
induced renal toxicity was performed. RESULTS: Most toxicities occur around 18
months. Renal mobility is significant in terms of dosimetric consequences, in
particular in the young child. In case of total body irradiation, the dose
responsible for a 5% risk of toxicities is around 16 Gy in 2 Gy fractions over 2
weeks. For partial renal irradiation, the volume receiving 20 Gy should be below
32% of the total renal volume. Compensatory mechanisms remain possible in areas
receiving 12 Gy or less in 1 Gy fractions. When nephrotoxic chemotherapy, these
tolerance doses must be lowered. Treatment of radiation-induced nephropathy may
include ACE inhibitors. DISCUSSION/CONCLUSION: Prospective assessment of dose
volume histograms and consideration of renal mobility in treatment plans along
with improving radiation techniques should help to improve treatment plans
including the kidneys.
PMID- 22088808
TI - Mindful maths: reducing the impact of stereotype threat through a mindfulness
exercise.
AB - Individuals who experience stereotype threat - the pressure resulting from social
comparisons that are perceived as unfavourable - show performance decrements
across a wide range of tasks. One account of this effect is that the cognitive
pressure triggered by such threat drains the same cognitive (or working-memory)
resources that are implicated in the respective task. The present study
investigates whether mindfulness can be used to moderate stereotype threat, as
mindfulness has previously been shown to alleviate working-memory load. Our
results show that performance decrements that typically occur under stereotype
threat can indeed be reversed when the individual engages in a brief (5 min)
mindfulness task. The theoretical implications of our findings are discussed.
PMID- 22088809
TI - Genistein reduces heparan sulfate accumulation in human mucolipidosis II skin
fibroblasts.
AB - Genistein, a soy isoflavone, reduces glycosaminoglycan synthesis and its effect
on mucopolysaccharidoses has been tested. In this report, we examined the effect
of genistein in human mucolipidosis II skin fibroblasts in vitro. Heparan sulfate
was accumulated within both cells and in extracellular spaces in mucolipidosis
II. Genistein reduced the amount of heparan sulfate in cultured cells dose
dependently and also inhibited cell growth dose dependently.
PMID- 22088810
TI - Is celiac axis resection justified for T4 pancreatic body cancer?
AB - BACKGROUND: The clinical impact of the distal pancreatectomy with en-bloc celiac
axis resection for locally advanced pancreatic body cancer remains unclear.
METHODS: We reviewed the records of 13 patients who underwent distal
pancreatectomy-celiac axis resection between 1991 and 2009, 58 patients who
underwent distal pancreatectomy for pancreatic body cancer involving major
vessels, the extrapancreatic neural plexus or other organs (T4 according to the
Japanese stage classification) between 1991 and 2009, and 24 patients with
unresectable locally advanced pancreatic cancer without distant metastases
(unresectable group) between 2001 and 2009. The clinicopathologic factors and
overall survival among the 3 groups were compared. RESULTS: The distal
pancreatectomy-celiac axis resection group was associated with a significantly
higher incidence of morbidity (92% vs 60%, P = .03) and positive surgical margins
(69% vs 26%, P = .003) than the distal pancreatectomy group; however, no survival
difference was found between the 2 groups. No survivor has lived more than 3
years after operation in the distal pancreatectomy-celiac axis resection group.
The distal pancreatectomy-celiac axis resection group had a significantly better
prognosis than the unresectable group (median survival time, 20.8 vs 9.8 months;
P = .01). CONCLUSION: Aggressive resection for T4 pancreatic body cancer by
distal pancreatectomy-celiac axis resection can be justified for otherwise
unresectable tumors. The surgical indication should be evaluated carefully
because of the higher incidence of morbidity and lower incidence of curability
compared with distal pancreatectomy, as well as because there have been no long
term survivors so far.
PMID- 22088811
TI - Error training: missing link in surgical education.
PMID- 22088812
TI - Forging successful interdisciplinary research collaborations: a nationwide survey
of departments of surgery.
AB - BACKGROUND: Our aim was to estimate the prevalence of academic surgeons engaged
in interdisciplinary collaborations and identify success factors and challenges
to establishing these collaborations. METHODS: Chairs of surgery at US medical
schools and selected hospitals and research institutes were surveyed in 2009 to
determine the frequency, types, outcomes, and value of interdisciplinary
collaborations; National Institutes of Health funding for these collaborations;
major barriers and success factors; and departmental and institutional activities
to promote collaborations. RESULTS: Eighty-two department chairs (58%) completed
the survey. Ninety-three percent answered that their faculty engaged in
interdisciplinary collaborations, and 71% stated that it was critical for their
research success. On average, 27% of full-time MDs/MD-PhDs were involved in
collaborations compared to 81% of PhDs within their departments. The most
frequent collaborators included other clinical (43%) and basic science (24%)
departments. Only 5% indicated that their most frequent collaborators were with
other university programs, primarily with bioengineering or biomedical
engineering. Collaborations resulted most often in publications, research
opportunities for surgical residents, and National Institutes of Health funding.
Pilot funding and active networking were key success factors. Longer chair tenure
was statistically significantly associated with more success factors and fewer
barriers to collaborations. Surgeons were much less likely to participate in
institution-wide efforts than in departmental activities, although these
activities were ongoing in more than two-thirds of institutions. CONCLUSION:
Surgeons value collaborations as critical for their research success. Our survey
indicates the potential for additional collaborations through more involvement
with institutional efforts and with other university faculty. Stable, supportive
department chairs are critical to establishing these activities.
PMID- 22088813
TI - Incisional hernia after abdominal closure with slowly absorbable versus fast
absorbable, antibacterial-coated sutures.
AB - BACKGROUND: Incisional hernia remains among the most common complications after
midline incision of the abdominal wall. The role of the suture material used for
abdominal wall closure remains controversial. To decrease bacterial adherence to
surgical sutures, braided suture materials with antibacterial activity (Vicryl
plus, Ethicon, Inc) were developed. This is the first study to analyze long-term
results using an antibacterial-braided suture material for abdominal wall closure
in a large clinical trial. METHODS: To analyze the effects of Triclosan-coated
suture material (Vicryl plus) on the development of incisional hernia, we
performed a 36-month follow-up of 1,018 patients who had a primary midline
incision for elective abdominal surgery. In the first time period, a PDS II loop
suture was used. In the second observation period, we used Vicryl plus. All
variables were recorded prospectively in a database. The primary outcome was the
number of incisional hernias. Risk factors for the development of incisional
hernias were collected prospectively to compare the 2 groups. RESULTS: The
overall incisional hernia rate in the 36-month follow-up period was 14.6%.
Analyzing the influence of the suture material used on the development of
incisional hernia, we did not find differences between the 2 groups (PDS II, 14%;
Vicryl plus, 15.2%). In the multivariate analysis of possible factors in the
study population, only body mass index (BMI) showed a significant influence on
the development of incisional hernias. Despite the incidence of wound infections
being less in the Vicryl plus group (6.1% vs 11.9%; P < .05), there were no
difference in incidence of incisional hernia between the 2 groups. CONCLUSION:
Fast absorbable sutures with antibacterial coating (Tricosan) do not increase the
hernia rate after midline abdominal incision compared with slowly absorbable
sutures, when wound infection rates are decreased by coating the fast absorbable
suture with Triclosan. The development of incisional hernia is significantly
increasing in patients with a BMI >30 kg/m(2).
PMID- 22088814
TI - Decrease in donor heart injury by recombinant clusterin protein in cold
preservation with University of Wisconsin solution.
AB - BACKGROUND: Donor organ injury during cold preservation before transplantation
negatively impacts graft survival. Clusterin (CLU) is a chaperonic protein, and
its expression confers donor hearts resistance to cold ischemic injury. This
study was conducted to evaluate if the supplement of recombinant CLU protein
(rCLU) protects donor organs from injury during cold storage with University of
Wisconsin (UW) solution. METHODS: Human endothelial cell cultures were used as an
in vitro model. Heart transplantation in mice was used as an in vivo model. Cell
membrane disruption or death was indicated by the release of lactate
dehydrogenase (LDH). Donor injury was determined by its functional recovery, and
histologic and biochemical analyses. RESULTS: Supplement of rCLU to UW solution
protected cultured human endothelial cells from cold-induced cell necrosis, as
evidenced by a decrease in both release of LDH and the number of ethidium bromide
stained necrotic cells. The protective activity of rCLU was associated with
enhanced membrane fluidity at cold temperature. During cold storage of heart
organs in UW solution, supplemental rCLU significantly decreased LDH release from
heart tissue. In a preclinical model of transplantation, heart grafts after cold
preservation with rCLU-containing UW solution had better functional recovery and
decreased perivascular inflammation, neutrophil infiltration, and cardiac cell
death, including apoptosis and necrosis, that correlated with lower levels of
serum creatine kinase and LDH in recipients. CONCLUSION: Our data suggest that
supplement of CLU protein in a cold preservation solution may have potential in
improving cold preservation of donor organs in transplantation.
PMID- 22088816
TI - Accidental discovery: the polytetrafluoroethylene graft.
PMID- 22088815
TI - Pretreating mesenchymal stem cells with interleukin-1beta and transforming growth
factor-beta synergistically increases vascular endothelial growth factor
production and improves mesenchymal stem cell-mediated myocardial protection
after acute ischemia.
AB - BACKGROUND: Mesenchymal stem cells (MSCs) improve postischemic myocardial
function in part through their secretion of growth factors such as vascular
endothelial growth factor (VEGF). Pretreating MSCs with various cytokines or
small molecules can improve VEGF secretion and MSC-mediated cardioprotection.
However, whether 1 cytokine can potentiate the effect of another cytokine in MSC
pretreatment to achieve a synergistic effect on VEGF production and
cardioprotection is poorly studied. METHODS: MSCs were treated with interleukin
(IL)-1beta and/or transforming growth factor (TGF)-beta1 for 24 hours before
experiments. VEGF production was determined by enzyme-linked immunosorbent assay.
Isolated hearts from adult male Sprague-Dawley rats were subjected to 15 minutes
of equilibration, 25 minutes of ischemia, and 40 minutes reperfusion. Hearts (n =
5-7 per group) were randomly infused with vehicle, untreated MSCs, or MSCs
pretreated with IL-1beta and/or TGF-beta1. Specific inhibitors were used to
delineate the roles of p38 mitogen-activated protein kinase (MAPK) and SMAD3 in
IL-1beta- and TGF-beta1-mediated stimulation of MSCs. RESULTS: MSCs cotreated
with IL-1beta and TGF-beta1 exhibited synergistically increased VEGF secretion,
and they greatly improved postischemic myocardial functional recovery. Ablation
of p38 MAPK and SMAD3 activation with specific inhibitors negated both IL-1beta-
and TGF-beta1-mediated VEGF production in MSCs and the ability of these
pretreated MSCs to improve myocardial recovery after ischemia. CONCLUSION:
Pretreating MSCs with 2 cytokines may be useful to fully realize the potential of
cell-based therapies for ischemic tissues.
PMID- 22088818
TI - The experience of burnout across different surgical specialties in the United
Kingdom: a cross-sectional survey.
AB - BACKGROUND: We used a cross-sectional e-mail survey to assess the prevalence of
psychological morbidity across different surgical specialties and identify
predictor variables of burnout in surgeons. METHOD: The survey was sent to 1971
surgeons from 127 National Health Service (NHS) hospital trusts across the United
Kingdom. Burnout prevalence and mood were assessed using the Maslach Burnout
Inventory-General Survey and Profile of Mood States (POMS), respectively.
Demographic and POMS factors were investigated as predictors of burnout using
linear and stepwise regression analyses. RESULTS: Responses to the survey were
received from 342 surgeons (17% response rate). One-third of 313 respondents
showed high mean levels of burnout on exhaustion (2.32; standard deviation [SD],
1.62) and cynicism (2.34; SD, 1.44) subscales. Some specialties worked
significantly more hours per week (F[8, 252] = 2.89; P = .004), but burnout
prevalence did not differ significantly between specialty, grade, age, gender,
hours worked per week, or years spent in post. The number of years in specialty
(beta = -0.17; P = .003) independently predicted surgeons' scores on exhaustion.
POMS factors significantly predicted burnout, where fatigue (beta = 0.58; P <
.001) was the best predictor of exhaustion scores, depression (beta = 0.28; P <
.001) the best predictor of cynicism, and vigor (beta = 0.29; P < .001) the best
predictor of professional efficacy. Management issues were cited as contributing
to psychological morbidity. CONCLUSION: UK surgeons show high levels of cynicism
and exhaustion burnout irrespective of their specialty, grade, or hours worked
per week. Surgeons' mood profiles significantly predicted burnout, indicating the
POMS could be used as part of an assessment for preventive interventions. NHS
management and infrastructure are highlighted as influences on surgeons'
psychological health.
PMID- 22088817
TI - The outcome of resected cystic pancreatic endocrine neoplasms: a case-matched
analysis.
AB - INTRODUCTION: Cystic pancreatic endocrine neoplasms (CPENs) are uncommon tumors
with uncertain disease biology and ill-defined diagnostic features. METHODS: A
prospectively maintained pancreatic cyst registry was queried, and 31 cases of
CPEN that were resected between 1995 and 2010 were identified. Patient and lesion
characteristics were detailed and compared with resected non-PEN cystic lesions.
Recurrence and survival outcome were compared with 31 noncystic PENs matched for
functional status, differentiation, size, World Health Organization
classification, grade, and presence of metastases. RESULTS: During the study
period, CPENs accounted for 7% of resected pancreatic cysts (31/469) and 12% of
resected PENs (31/255). CPENs were primarily sporadic (94%), solitary (87%),
nonfunctioning (100%), and incidentally discovered (68%). The median diameter was
2.1 cm (range, 0.9-6.2 cm), and preoperative imaging identified septations in
29%, a solid component in 26%, and cyst wall enhancement or a characteristic
hypervascular rim in 45% of cases. Preoperative imaging and/or cytology suggested
the diagnosis of CPEN in 61%. Compared with resected nonendocrine cystic lesions,
CPEN were less frequently symptomatic, less likely to contain septations, and
smaller. Compared with matched noncystic PENs, CPENs had comparable demographic,
radiologic, and pathologic features and statistically similar long-term outcome
(5-year disease-free survival: CPEN: 100% vs PEN: 86%, P = .947). CONCLUSION: In
this study, CPENs were primarily asymptomatic small lesions that could be
characterized in the majority of cases by cyst wall enhancement on preoperative
imaging and/or cytologic assessment. No significant difference in recurrence or
survival outcome was identified between cystic and noncystic PENs.
PMID- 22088819
TI - Evaluation of the International Study Group of Pancreatic Surgery definition of
post-pancreatectomy hemorrhage in a high-volume center.
AB - BACKGROUND: Although postpancreatectomy hemorrhage (PPH) is observed infrequently
after pancreatic surgery, it remains a serious complication with a high rate of
mortality. Recently, the International Study Group of Pancreatic Surgery (ISGPS)
issued a new definition for PPH. To evaluate and validate this new definition, we
analyzed data retrospectively from our center. METHODS: Data from 945 patients
who underwent pancreatic surgery in our department between October 1993 and
December 2009 were identified retrospectively from our prospective database with
regard to the occurrences of PPH. We graded the hemorrhages recorded in our
database according to the ISGPS consensus definition. We assessed the clinical
course, morbidity, mortality, and duration of hospital stay for patients with
grade B and C PPHs in comparison with patients who underwent pancreatic
resections without hemorrhage. RESULTS: Grade B PPH after pancreatic surgery
occurred in 16 patients (1.7%), and grade C PPH occurred in 38 patients (4.0%).
Mortality was significantly increased in PPH grades B and C compared with control
patients (25.9% vs 2.0%; P < .001) and contributed to nearly one-half of the
mortality in the present series. Morbidity was also increased in patients with
grade B (76.5%) and C (94.6%) PPH compared with control patients (59.6%; P <
.001). Grade B and C PPH correlated significantly with the incidence of grade C
postoperative pancreatic fistula (14.8% vs 1.9%), grade C delayed gastric
emptying (18.5% vs 4.0%), and wound infection (38.9% vs 13.5%) compared with
control patients. CONCLUSION: This is the first clinical evaluation of the ISGPS
PPH definition. Our data indicate that the new definition correlates well with
morbidity, mortality, and duration of hospital stay. The definition, therefore,
seems suitable for clinical and scientific applications.
PMID- 22088820
TI - NBCA medical adhesive (n-butyl-2-cyanoacrylate) versus suture for patch fixation
in Lichtenstein inguinal herniorrhaphy: a randomized controlled trial.
AB - BACKGROUND: We compared the effectiveness of n-butyl-2-cyanoacrylate (NBCA) and
traditional suture for patch fixation in Lichtenstein tension-free herniorrhaphy
for inguinal hernias. METHODS: A total of 110 patients with primary unilateral
inguinal hernia were assigned randomly to either experimental or control groups.
In the experimental group, NBCA adhesive was used during Lichtenstein
herniorrhaphy; traditional suture was used in the control group. We evaluated
operation time, postoperative duration of stay, visual analogue scale (VAS) pain
score, incidence of chronic pain and hematoma formation, and hernia recurrence.
RESULTS: There was no hernia recurrence or wound infection in either group. In
the experimental group, 2 local hematomas occurred while no patients experienced
chronic postoperative pain; in the control group, 10 hematomas occurred, and 6
patients experienced chronic pain. There was no difference in postoperative
duration of stay between the groups (P > .05), but the experimental group had a
lesser operation time and postoperative VAS score (P < .05). CONCLUSION: The use
of NBCA medical adhesive in tension-free inguinal herniorrhaphy is effective and
safe.
PMID- 22088821
TI - Reduction in endotoxemia, oxidative and inflammatory stress, and insulin
resistance after Roux-en-Y gastric bypass surgery in patients with morbid obesity
and type 2 diabetes mellitus.
AB - BACKGROUND: Roux-en-Y gastric bypass (RYGB) results in profound weight loss and
resolution of type 2 diabetes mellitus (T2DM). The mechanism of this remarkable
transition remains poorly defined. It has been proposed that endotoxin
(lipopolysaccharide [LPS]) sets inflammatory tone, triggers weight gain, and
initiates T2DM. Because RYGB may diminish LPS from endogenous and exogenous
sources, we hypothesized that LPS and the associated cascade of oxidative and
inflammatory stress would diminish after RYGB. METHODS: Fifteen adults with
morbid obesity and T2DM undergoing RYGB were studied. After an overnight fast, a
baseline blood sample was collected the morning of surgery and at 180 days to
assess changes in glycemia, insulin resistance, LPS, mononuclear cell nuclear
factor (NF)-kappaB binding and mRNA expression of CD14, TLR-2, TLR-4, and markers
of inflammatory stress. RESULTS: At 180 days after RYGB, subjects had a
significant decrease in body mass index (52.1 +/- 13.0 to 40.4 +/- 11.1), plasma
glucose (148 +/- 8 to 101 +/- 4 mg/dL), insulin (18.5 +/- 2.2 mMUU/mL to 8.6 +/-
1.0 mMUU/mL) and HOMA-IR (7.1 +/- 1.1 to 2.1 +/- 0.3). Plasma LPS significantly
reduced by 20 +/- 5% (0.567 +/- 0.033 U/mL to 0.443 +/- 0.022 E U/mL). NF-kappaB
DNA binding decreased significantly by 21 +/- 8%, whereas TLR-4, TLR-2, and CD-14
expression decreased significantly by 25 +/- 9%, 42 +/- 8%, and 27 +/- 10%,
respectively. Inflammatory mediators CRP, MMP-9, and MCP-1 decreased
significantly by 47 +/- 7% (10.7 +/- 1.6 mg/L to 5.8 +/- 1.0 mg/L), 15 +/- 6%
(492 +/- 42 ng/mL to 356 +/- 26 ng/mL) and 11 +/- 4% (522 +/- 35 ng/mL to 466 +/-
35 ng/mL), respectively. CONCLUSION: LPS, NF-kappaB DNA binding, TLR-4, TLR-2,
and CD14 expression, CRP, MMP-9, and MCP-1 decreased significantly after RYGB.
The mechanism underlying resolution of insulin resistance and T2DM after RYGB may
be attributable, at least in part, to the reduction of endotoxemia and associated
proinflammatory mediators.
PMID- 22088822
TI - Heme oxygenase-1 regulates the JNK signaling pathway through the MLK3-MKK7-JNK3
signaling module in brain ischemia injury.
AB - Although previous researches indicated that heme oxygenase-1 (HO-1) plays a
conspicuous role in neuronal injury induced by reperfusion following the brain
ischemia, reasonable mechanisms for the role of HO-1 are not clear. In this work,
we investigated whether HO-1 was involved in the regulation of the c-Jun N
terminal kinase (JNK) signaling pathway and neuronal cell injury induced by the
brain ischemia followed by reperfusion. Cobaltic protoporphyrin (CoPP), an
activator of HO-1, was administrated to induce the overexpression of HO-1 by
intracerebroventricular infusion 20 min before ischemia. The results showed that
the combination of HO-1-mixed lineage kinase 3 (MLK3), MLK3-mitogen-activated
kinase kinase 7 (MKK7) and MKK7-JNK3 increased to a peak at 6h of reperfusion
following 15 min of ischemia induced by four-vessel occlusion in rats, and these
effects were downregulated by CoPP. In addition, CoPP could inhibit the
activation of JNK3, c-Jun and caspase-3. Furthermore, pretreatment with CoPP
significantly increased the survival of neurons after 5 days of reperfusion. In
contrast, all of the above effects of CoPP were reversed by zinc protoporphyrin
(ZnPP), a selective inhibitor of HO-1. Our results suggested that HO-1 could
protect neurons against brain ischemic injury by downregulating the JNK signaling
pathway through the MLK3-MKK7-JNK3 signaling module.
PMID- 22088824
TI - Baicalin promotes neuronal differentiation of neural stem/progenitor cells
through modulating p-stat3 and bHLH family protein expression.
AB - Signal transducer and activator of transcription 3 (stat3) and basic helix-loop
helix (bHLH) gene family are important cellular signal molecules for the
regulation of cell fate decision and neuronal differentiation of neural
stem/progenitor cells (NPCs). In the present study, we investigated the effects
of baicalin, a flavonoid compound isolated from Scutellaria baicalensis G, on
regulating phosphorylation of stat3 and expression of bHLH family proteins and
promoting neuronal differentiation of NPCs. Embryonic NPCs from the cortex of E15
16 rats were treated with baicalin (2, 20 MUM) for 2h and 7 days. Neuronal and
glial differentiations were identified with mature neuronal marker microtubule
associated protein (MAP-2) and glial marker Glial fibrillary acidic protein
(GFAP) immunostaining fluorescent microscopy respectively. Phosphorylation of
stat3 (p-stat3) and expressions of bHLH family genes including Mash1, Hes1 and
NeuroD1 were detected with immunofluorescent microscopy and Western blot
analysis. The results revealed that baicalin treatment increased the percentages
of MAP-2 positive staining cells and decreased GFAP staining cells. Meanwhile,
baicalin treatment down-regulated the expression of p-stat3 and Hes1, but up
regulated the expressions of NeuroD1 and Mash1. Those results indicate that
baicalin can promote the neural differentiation but inhibit glial formation and
its neurogenesis-promoting effects are associated with the modulations of stat3
and bHLH genes in neural stem/progenitor cells.
PMID- 22088823
TI - Dihydrotestosterone differentially modulates the cortisol response of the
hypothalamic-pituitary-adrenal axis in male and female rhesus macaques, and
restores circadian secretion of cortisol in females.
AB - Here we used a within-subject design to evaluate hypothalamic-pituitary-adrenal
(HPA) activity following replacement of low and high physiological levels of
testosterone (T) to adult, gonadally-suppressed, male rhesus macaques, and
replacement with sex-specific low and high physiological doses of
dihydrotestosterone (DHT) in the same adult males as well as in adult, gonadally
suppressed, female rhesus macaques. As indexes of HPA axis activation following T
and DHT replacement, serum levels of cortisol (CORT) were measured before and
following dexamethasone (DEX) inhibition, and corticotrophin-releasing factor
(CRF) induced activation. Female monkeys were assessed for differences in
response associated with dominant (DOM) and subordinate (SUB) social status. Data
show that the high physiological dose of DHT significantly decreased basal CORT
in both male and female monkeys irrespective of social status, but reduced CRF
stimulated CORT only in males. SUB female monkeys showed a trend towards
increased CRF-stimulated CORT release under high-dose DHT replacement compared to
DOM females or males given the same treatment, indicating that androgens likely
have no influence on reducing HPA activation under chronic psychosocial stress in
females. The normal circadian rhythm of CORT release was absent in placebo
replaced SUB and DOM females and was restored with low-dose DHT replacement.
These results indicate that DHT significantly reduces CRF-stimulated CORT release
only in male monkeys, and plays a role in maintaining circadian changes in CORT
release in female monkeys.
PMID- 22088825
TI - Brain potentials show rapid activation of implicit attitudes towards young and
old people.
AB - While previous behavioural research suggests that attitudes, for example towards
elderly people, may be activated automatically, this type of research does not
provide information about the detailed time-course of such processing in the
brain. We investigated the impact of age related attitude information in a
Go/NoGo association task that paired photographs of elderly or young faces with
positive or negative words. Event related brain potentials showed an N200 (NoGo)
component, which appeared earlier in runs which required similar responses for
congruent stimulus pairings (e.g. respond to pictures of elderly faces or
negative words) than for incongruent pairings (e.g. respond to elderly faces or
positive words). As information processing leading to a certain attitude must
precede differential brain activity according to the congruence of the paired
words and faces, we show that this type of information is activated almost
immediately following the structural encoding of the face, between 170 and 230 ms
after onset of the face.
PMID- 22088826
TI - Perceived social support as a predictor of disease-specific quality of life in
head-and-neck cancer patients.
AB - BACKGROUND: Treatment for head-and-neck cancer (HNC) can lead to severe
decrements in disease-specific quality of life (DSQOL) due to disfigurement and
disability in speech, eating, and/or breathing. Psychosocial factors such as
social support may explain individual variance in DSQOL outcomes. OBJECTIVE: The
researchers sought to evaluate changes in perceived availability of social
support from pretreatment to posttreatment and to determine whether decreases in
perceived social support predicted poorer posttreatment DSQOL among HNC patients,
controlling for disease- and treatment-related factors. METHODS: Participants (n
= 32) were newly diagnosed with HNC and were awaiting surgery and/or radiation
treatment. Measures included the ENRICHD Social Support instrument (ESSI) to
assess perceived social support and the Functional Assessment of Cancer Therapy
Head & Neck (FACT-H&N) to assess DSQOL. Paired-samples t-tests and hierarchical
regression analyses were conducted to determine relationships between
pretreatment and posttreatment perceived social support and DSQOL. RESULTS:
Perceived social support decreased significantly from pre- to posttreatment
(F[31] = -2.71, P < .01). After adjusting for relevant covariates and
pretreatment DSQOL, change in perceived social support remained a significant
predictor of posttreatment DSQOL (beta = .47, P < .01). LIMITATIONS: This study
included a relatively small sample of HNC patients, which limited power to
evaluate mechanisms of observed relationships. CONCLUSIONS: Increased social
isolation may be a risk factor for poorer physical recovery from, or adjustment
to, treatment-related side effects. Social support may be an important target for
psychosocial interventions for patients who face challenging treatment side
effects.
PMID- 22088827
TI - The attitudes of nurses toward opioid analgesics at a hospital in Colombia.
PMID- 22088828
TI - The role of spirituality and religious coping in the quality of life of patients
with advanced cancer receiving palliative radiation therapy.
AB - OBJECTIVES: National palliative care guidelines outline spiritual care as a
domain of palliative care, yet patients' religiousness and/or spirituality (R/S)
are underappreciated in the palliative oncology setting. Among patients with
advanced cancer receiving palliative radiation therapy (RT), this study aims to
characterize patient spirituality, religiousness, and religious coping; examine
the relationships of these variables to quality of life (QOL); and assess
patients' perceptions of spiritual care in the cancer care setting. METHODS: This
is a multisite, cross-sectional survey of 69 patients with advanced cancer
(response rate = 73%) receiving palliative RT. Scripted interviews assessed
patient spirituality, religiousness, religious coping, QOL (McGill QOL
Questionnaire), and perceptions of the importance of attention to spiritual needs
by health providers. Multivariable models assessed the relationships of patient
spirituality and R/S coping to patient QOL, controlling for other significant
predictors of QOL. RESULTS: Most participants (84%) indicated reliance on R/S
beliefs to cope with cancer. Patient spirituality and religious coping were
associated with improved QOL in multivariable analyses (beta = 10.57, P < .001
and beta = 1.28, P = .01, respectively). Most patients considered attention to
spiritual concerns an important part of cancer care by physicians (87%) and
nurses (85%). LIMITATIONS: Limitations include a small sample size, a cross
sectional study design, and a limited proportion of nonwhite participants (15%)
from one US region. CONCLUSION: Patients receiving palliative RT rely on R/S
beliefs to cope with advanced cancer. Furthermore, spirituality and religious
coping are contributors to better QOL. These findings highlight the importance of
spiritual care in advanced cancer care.
PMID- 22088829
TI - Validity of affect measurements in evaluating symptom reporting in athletes.
AB - Identifying factors that improve the assessment of athletes' psychological
functioning is imperative to make proper return-to-play decisions following
concussion. Prior research indicates that an individual's affect is related to
symptom reporting. The present study examines two novel methods of affect
assessment in college athletes at baseline participating in a sports-concussion
management program. A total of 256 athletes completed a neuropsychological
baseline battery with measurements of psychological symptoms (BDI-Fast Screen,
Post-Concussion Symptom Scale, and ImPact Total Symptom Score) and a measure of
affective memory bias (the Affective Verbal Learning Test; AVLT). Examiners
completed an observation-based rating of affect. Multivariate analysis of
variance and chi2 analyses were conducted to examine the effect of affect on
symptom reports. Examiners' Affect Ratings were predictive of broad symptom
reporting, while the performance based index of affect (Affective Verbal Learning
Test, AVLT) was more predictive of depressive symptoms. These findings suggest
that performance on the AVLT may be a useful indicator of self-reported
depression in a collegiate athlete sample. Additionally, these results
demonstrate that examiners' behavioral assessments of affect are important in the
assessment of psychological functioning in athletes. Continued work should focus
on developing objective measures that are sensitive and valid for the evaluation
of outcomes from concussion.
PMID- 22088830
TI - Strong evidence in support of CT colonography screening.
PMID- 22088832
TI - Thallium concentrations and sources in the surface sediments of Bohai Bay.
AB - The Tl concentrations and chemical speciation were determined in the surface
sediments of Bohai Bay to evaluate its biogeochemical characteristics. The total
Tl concentrations were in the range of 0.506-0.770 MUg/g and correlated
significantly with clay and total organic carbon (TOC) contents, suggesting that
the grain size and TOC were major factors controlling Tl distribution. The
sequential extraction performed to indicate Tl speciation and availability in
Bohai Bay sediments suggested that Tl occurred mainly in the residual fraction
and Tl came mainly from natural input. In the non-residual fractions, Fe-Mn oxide
and organic matter fractions accounted for the main portions, suggesting that the
labile Tl adsorption was dominated by Fe-Mn oxides and organic matter. In
addition, according to our estimate, about 2.7 t/yr and 0.16 t/yr of Tl reached
Bohai Bay via rivers and atmosphere, respectively.
PMID- 22088831
TI - Participation and yield of colonoscopy versus non-cathartic CT colonography in
population-based screening for colorectal cancer: a randomised controlled trial.
AB - BACKGROUND: Screening for colorectal cancer is widely recommended, but the
preferred strategy remains unidentified. We aimed to compare participation and
diagnostic yield between screening with colonoscopy and with non-cathartic CT
colonography. METHODS: Members of the general population, aged 50-75 years, and
living in the regions of Amsterdam or Rotterdam, identified via the registries of
the regional municipal administration, were randomly allocated (2:1) to be
invited for primary screening for colorectal cancer by colonoscopy or by CT
colonography. Randomisation was done per household with a minimisation algorithm
based on age, sex, and socioeconomic status. Invitations were sent between June
8, 2009, and Aug 16, 2010. Participants assigned to CT colonography who were
found to have one or more large lesions (>=10 mm) were offered colonoscopy; those
with 6-9 mm lesions were offered surveillance CT colonography. The primary
outcome was the participation rate, defined as number of invitees undergoing the
examination relative to the total number of invitees. Diagnostic yield was
calculated as number of participants with advanced neoplasia relative to the
total number of invitees. Invitees and screening centre employees were not masked
to allocation. This trial is registered in the Dutch trial register, number
NTR1829. FINDINGS: 1276 (22%) of 5924 colonoscopy invitees participated, compared
with 982 (34%) of 2920 CT colonography invitees (relative risk [RR] 1.56, 95% CI
1.46-1.68; p<0.0001). Of the participants in the colonoscopy group, 111 (9%) had
advanced neoplasia of whom seven (<1%) had a carcinoma. Of CT colonography
participants, 84 (9%) were offered colonoscopy, of whom 60 (6%) had advanced
neoplasia of whom five (<1%) had a carcinoma; 82 (8%) were offered surveillance.
The diagnostic yield for all advanced neoplasia was 8.7 per 100 participants for
colonoscopy versus 6.1 per 100 for CT colonography (RR 1.46, 95% CI 1.06-2.03;
p=0.02) and 1.9 per 100 invitees for colonoscopy and 2.1 per 100 invitees for CT
colonography (RR 0.91, 0.66-2.03; p=0.56). The diagnostic yield for advanced
neoplasia of 10 mm or more was 1.5 per 100 invitees for colonoscopy and 2.0 per
100 invitees for CT colonography, respectively (RR 0.74, 95% CI 0.53-1.03;
p=0.07). Serious adverse events related to the screening procedure were post
polypectomy bleedings: two in the colonoscopy group and three in the CT
colonography group. INTERPRETATION: Participation in colorectal cancer screening
with CT colonography was significantly better than with colonoscopy, but
colonoscopy identified significantly more advanced neoplasia per 100 participants
than did CT colonography. The diagnostic yield for advanced neoplasia per 100
invitees was similar for both strategies, indicating that both techniques can be
used for population-based screening for colorectal cancer. Other factors such as
cost-effectiveness and perceived burden should be taken into account when
deciding which technique is preferable. FUNDING: Netherlands Organisation for
Health Research and Development, Centre for Translational Molecular Medicine, and
the Nuts Ohra Foundation.
PMID- 22088833
TI - Women with prior preterm birth and short cervical length: to cerclage or not?
PMID- 22088834
TI - Women with prior preterm birth and short cervix: do NOT cerclage.
PMID- 22088835
TI - Cerclage decreases preterm birth: finally the level I evidence is here.
PMID- 22088837
TI - The rate of cervical change and the phenotype of spontaneous preterm birth.
AB - OBJECTIVE: Preterm birth is classified by the presence of uterine contractions
and/or amniorrhexis at clinical presentation. This classification does not
include prior cervical change. We hypothesized that the rate of cervical
shortening before preterm birth would not differ according to clinical
presentation. STUDY DESIGN: We analyzed data from a completed study of paired
cervical ultrasound measurements to test our hypothesis. Cervical ultrasound
measurements obtained 4 weeks apart in the second trimester were related to
gestational age and clinical presentation at birth. RESULTS: Of 2521 eligible
women, 128 were delivered after preterm labor and 106 after preterm membrane
rupture; 89 delivered preterm for a medical or obstetrical indication; 2198
delivered at term. The rate of change was similar in women who presented with
preterm labor (-0.96 mm/week) and preterm ruptured membranes (-0.82 mm/week).
CONCLUSION: Cervical shortening occurs at the same rate before spontaneous
preterm birth, regardless of presentation.
PMID- 22088838
TI - Silent existence: a retroperitoneal tumor had an unexpected origin.
PMID- 22088839
TI - Outpatient balloon vaginoplasty for treatment of vaginal aplasia: retropubic
balloon vaginoplasty was performed as an office procedure under local anesthesia.
AB - We evaluated the feasibility and operative and postoperative outcomes of office
balloon vaginoplasty. Office balloon vaginoplasty was performed successfully for
a case with vaginal aplasia. She was currently married and presenting with
minimal penetration and dyspareunia. We successfully performed the procedure
through retro-pubic space under local anesthesia within 25 min total operative
time and it was well tolerated by the patient. No operative complications were
reported. The resulting neovagina was cosmetically attractive and 10 cm in depth.
Sexual intercourse was started on the day of catheter removal. Penetration and
satisfaction scores increased up to 90 points for both partners.
PMID- 22088840
TI - Discussion: 'Bacterial vaginosis and STI risk' by Allsworth et al.
PMID- 22088841
TI - NoRSE: noise reduction and state evaluator for high-frequency single event
traces.
AB - NoRSE was developed to analyze high-frequency datasets collected from multistate,
dynamic experiments, such as molecular adsorption and desorption onto carbon
nanotubes. As technology improves sampling frequency, these stochastic datasets
become increasingly large with faster dynamic events. More efficient algorithms
are needed to accurately locate the unique states in each time trace. NoRSE
adapts and optimizes a previously published noise reduction algorithm and uses a
custom peak flagging routine to rapidly identify unique event states. The
algorithm is explained using experimental data from our lab and its fitting
accuracy and efficiency are then shown with a generalized model of stochastic
datasets. The algorithm is compared to another recently published state finding
algorithm and is found to be 27 times faster and more accurate over 55% of the
generalized experimental space. NoRSE is written as an M-file for Matlab.
AVAILABILITY: http://web.mit.edu/stranogroup/NoRSE.txt.
PMID- 22088842
TI - Dragon PolyA Spotter: predictor of poly(A) motifs within human genomic DNA
sequences.
AB - MOTIVATION: Recognition of poly(A) signals in mRNA is relatively straightforward
due to the presence of easily recognizable polyadenylic acid tail. However, the
task of identifying poly(A) motifs in the primary genomic DNA sequence that
correspond to poly(A) signals in mRNA is a far more challenging problem.
Recognition of poly(A) signals is important for better gene annotation and
understanding of the gene regulation mechanisms. In this work, we present one
such poly(A) motif prediction method based on properties of human genomic DNA
sequence surrounding a poly(A) motif. These properties include thermodynamic,
physico-chemical and statistical characteristics. For predictions, we developed
Artificial Neural Network and Random Forest models. These models are trained to
recognize 12 most common poly(A) motifs in human DNA. Our predictors are
available as a free web-based tool accessible at http://cbrc.kaust.edu.sa/dps.
Compared with other reported predictors, our models achieve higher sensitivity
and specificity and furthermore provide a consistent level of accuracy for 12
poly(A) motif variants. CONTACT: vladimir.bajic@kaust.edu.sa SUPPLEMENTARY
INFORMATION: Supplementary data are available at Bioinformatics online.
PMID- 22088843
TI - Inferring gene regulatory networks from gene expression data by path consistency
algorithm based on conditional mutual information.
AB - MOTIVATION: Reconstruction of gene regulatory networks (GRNs), which explicitly
represent the causality of developmental or regulatory process, is of utmost
interest and has become a challenging computational problem for understanding the
complex regulatory mechanisms in cellular systems. However, all existing methods
of inferring GRNs from gene expression profiles have their strengths and
weaknesses. In particular, many properties of GRNs, such as topology sparseness
and non-linear dependence, are generally in regulation mechanism but seldom are
taken into account simultaneously in one computational method. RESULTS: In this
work, we present a novel method for inferring GRNs from gene expression data
considering the non-linear dependence and topological structure of GRNs by
employing path consistency algorithm (PCA) based on conditional mutual
information (CMI). In this algorithm, the conditional dependence between a pair
of genes is represented by the CMI between them. With the general hypothesis of
Gaussian distribution underlying gene expression data, CMI between a pair of
genes is computed by a concise formula involving the covariance matrices of the
related gene expression profiles. The method is validated on the benchmark GRNs
from the DREAM challenge and the widely used SOS DNA repair network in
Escherichia coli. The cross-validation results confirmed the effectiveness of our
method (PCA-CMI), which outperforms significantly other previous methods. Besides
its high accuracy, our method is able to distinguish direct (or causal)
interactions from indirect associations. AVAILABILITY: All the source data and
code are available at: http://csb.shu.edu.cn/subweb/grn.htm. CONTACT:
lnchen@sibs.ac.cn; zpliu@sibs.ac.cn SUPPLEMENTARY INFORMATION: Supplementary data
are available at Bioinformatics online.
PMID- 22088844
TI - Time-course network analysis reveals TNF-alpha can promote G1/S transition of
cell cycle in vascular endothelial cells.
AB - MOTIVATION: Tumor necrosis factor-alpha (TNF-alpha), a major inflammatory
cytokine, is closely related to several cardiovascular pathological processes.
However, its effects on the cell cycle of vascular endothelial cells (VECs) have
been the subject of some controversy. To investigate the molecular mechanism
underlying this process, we constructed time-course protein-protein interaction
(PPI) networks of TNF-alpha induced regulation of cell cycle in VECs using
microarray datasets and genome-wide PPI datasets. Then, we analyzed the
topological properties of the responsive PPI networks and calculated the node
degree and node betweenness centralization of each gene in the networks. We found
that p21, p27 and cyclinD1, key genes of the G1/S checkpoint, are in the center
of responsive PPI networks and their roles in PPI networks are significantly
altered with induction of TNF-alpha. According to the following biological
experiments, we proved that TNF-alpha can promote G(1)/S transition of cell cycle
in VECs and facilitate the cell cycle activation induced by vascular endothelial
growth factor. CONTACT: shaoli@mail.tsinghua.edu.cn SUPPLEMENTARY INFORMATION:
Supplementary data are available at Bioinformatics online.
PMID- 22088845
TI - TREAT: a bioinformatics tool for variant annotations and visualizations in
targeted and exome sequencing data.
AB - TREAT (Targeted RE-sequencing Annotation Tool) is a tool for facile navigation
and mining of the variants from both targeted resequencing and whole exome
sequencing. It provides a rich integration of publicly available as well as in
house developed annotations and visualizations for variants, variant-hosting
genes and host-gene pathways. AVAILABILITY AND IMPLEMENTATION: TREAT is freely
available to non-commercial users as either a stand-alone annotation and
visualization tool, or as a comprehensive workflow integrating sequencing
alignment and variant calling. The executables, instructions and the Amazon Cloud
Images of TREAT can be downloaded at the website:
http://ndc.mayo.edu/mayo/research/biostat/stand-alone-packages.cfm.
PMID- 22088846
TI - QuRe: software for viral quasispecies reconstruction from next-generation
sequencing data.
AB - SUMMARY: Next-generation sequencing (NGS) is an ideal framework for the
characterization of highly variable pathogens, with a deep resolution able to
capture minority variants. However, the reconstruction of all variants of a viral
population infecting a host is a challenging task for genome regions larger than
the average NGS read length. QuRe is a program for viral quasispecies
reconstruction, specifically developed to analyze long read (>100 bp) NGS data.
The software performs alignments of sequence fragments against a reference
genome, finds an optimal division of the genome into sliding windows based on
coverage and diversity and attempts to reconstruct all the individual sequences
of the viral quasispecies--along with their prevalence--using a heuristic
algorithm, which matches multinomial distributions of distinct viral variants
overlapping across the genome division. QuRe comes with a built-in Poisson error
correction method and a post-reconstruction probabilistic clustering, both
parameterized on given error rates in homopolymeric and non-homopolymeric
regions. AVAILABILITY: QuRe is platform-independent, multi-threaded software
implemented in Java. It is distributed under the GNU General Public License,
available at https://sourceforge.net/projects/qure/. CONTACT: ahnven@yahoo.it;
ahnven@gmail.com SUPPLEMENTARY INFORMATION: Supplementary data are available at
Bioinformatics online.
PMID- 22088847
TI - Protein subcellular localization of fluorescence imagery using spatial and
transform domain features.
AB - MOTIVATION: Subcellular localization of proteins is one of the most significant
characteristics of living cells. Prediction of protein subcellular locations is
crucial to the understanding of various protein functions. Therefore, an
accurate, computationally efficient and reliable prediction system is required.
RESULTS: In this article, the predictions of various Support Vector Machine (SVM)
models have been combined through majority voting. The proposed ensemble SVM
SubLoc has achieved the highest success rates of 99.7% using hybrid features of
Haralick textures and local binary patterns (HarLBP), 99.4% using hybrid features
of Haralick textures and Local Ternary Patterns (HarLTP). In addition, SVM-SubLoc
has yielded 99.0% accuracy using only local ternary patterns (LTPs) based
features. The dimensionality of HarLBP feature vector is 581 compared with 78 and
52 for HarLTP and LTPs, respectively. Hence, SVM-SubLoc in conjunction with LTPs
is fast, sufficiently accurate and simple predictive system. The proposed SVM
SubLoc approach thus provides superior prediction performance using the reduced
feature space compared with existing approaches. AVAILABILITY: A web server
accompanying the proposed prediction scheme is available at http://111.68.99.218/
SVM-SubLoc CONTACT: asif@pieas.edu.pk; khan.asifullah@gmail.com SUPPLEMENTARY
INFORMATION: Supplementary data are available at Bioinformatics online.
PMID- 22088848
TI - COPD phenotypes: Sueiros's sign.
PMID- 22088849
TI - Does load carriage differentially alter postural sway in overweight vs. normal
weight schoolchildren?
AB - Among a wide range of negative consequences stemming from excess mass in
children, recent studies suggest an impairment of postural control, including
basic capabilities such as static and dynamic balance. Such impairment may be
compounded when additional tasks are performed, such as carrying localized loads
as occurs among children using a backpack. To investigate this, postural control
was measured among 77 overweight and obese children (6-11 years old), and an
equal number of normal-weight children matched for gender, age, and height.
Testing was conducted at school, in which center of pressure (COP) time series
during quiet standing were obtained in the presence and absence of each student's
backpack. A traditional postural control measure derived from COP (mean velocity)
did not indicate significant differences between overweight and normal-weight
children, regardless of backpack presence. In contrast, a complexity index
(derived from multiscale entropy) suggested the existence of different postural
strategies and reduced balance capabilities among overweight children, whose
consequences need to be further clarified.
PMID- 22088850
TI - Between-day reliability of time-to-contact measures used to assess postural
stability.
AB - Traditional measures of postural stability consider movement of the center of
pressure (COP) or the center of mass (COM) without regard to the boundary of
support (BOS). A potentially more appropriate measure is postural time-to-contact
(TtC) which defines the spatio-temporal proximity of the COM or COP to the BOS.
Given the increasing popularity of TtC measures, it is important to determine
their reliability. Therefore, the purpose of this study was to determine the
effects of the number of trials and trial duration on the reliability of postural
TtC measures. COP data were collected (100 Hz) in 16 young healthy participants
during 10 trials (60-s duration) of quiet standing with eyes open on two
occasions - seven days apart. Postural TtC of each trial was calculated using two
different methods. The intersession reliability of the TtC measures was assessed
by calculating between session intraclass correlation coefficients (ICC(2,1))
using different combinations of the number of trials (1-10) and trial duration
(10, 20, 30, 40, 50 and 60s). Both TtC methods were very reliable. Additionally,
both measures of TtC were more reliable than the standard deviation of the
anterior-posterior COP and slightly more reliable than path length. This
difference was most pronounced when fewer and shorter trials were used.
PMID- 22088851
TI - Black-on-clear piggyback technique for a black occlusive intraocular device in
intractable diplopia.
AB - Black occlusive intraocular devices have been used successfully for intractable
binocular diplopia. We describe a novel technique of implanting both a black
occlusive device and a clear poly(methyl methacrylate) intraocular lens (IOL) in
the capsular bag during phacoemulsification surgery. If the need should arise at
a later date, this approach will allow safer and easier explantation of the black
occlusive device, avoiding the need for IOL exchange.
PMID- 22088852
TI - Walking assessment with instrumented insoles in patients with lower limb
spasticity after botulinum toxin infiltration.
AB - INTRODUCTION: Botulinum toxin A (BTA) improves the kinematic parameters of gait
in patients with spasticity of lower limbs, but there are no studies in which
kinetic parameters are measured with instrumented insoles. We therefore used
instrumented insoles to perform a functional assessment of therapeutic results in
patients with lower limb spasticity after brain injury or spinal cord
infiltration indicating BTA. MATERIAL AND METHODS: Ten patients (11 lower limbs)
seen in a Neurorehabilitation Unit. The tests carried out included clinical
examination, gait assessment (Functional Ambulation Categories (FAC); Hospital de
Sagunto Gait Scale), and biomechanical assessment (Biofoot / IBV version 5.0),
before and three weeks after infiltration with BTA. STATISTICS: t-test for
related samples of clinical variables, functional variables and biomechanical
variables before and after infiltration. Level of significance P< .05.
Qualitative method to assess whether changes in the biomechanical variables
tended toward normal values. RESULTS: BTA improves muscle tone, joint arch and
frequency of spasms (P<.01). The patient sample showed a high level of
satisfaction with the improvement in symptoms. There were no changes in walking
ability after injection. There were no statistically significant changes in
biomechanical parameters, but there was improved gait cadence. The relatively
small statistical significance close to P=.1 of the peak pressure in the heel
after injection indicates the need for further studies with instrumented insoles
in people with spasticity due to central nervous system injury. CONCLUSIONS: With
the decrease in muscle tone after infiltration with BTA the clinical symptoms
associated with muscle tone improved without any functional changes in gait
scales. The changes in the biomechanical parameters show that larger studies
using instrumented insoles should be performed in the population with spasticity
after a central nervous system injury treated with BTA infiltration.
PMID- 22088853
TI - Clinical and spatiotemporal gait effects of canes in hip osteoarthritis.
AB - OBJECTIVE: To investigate the effects of cane use on spatiotemporal gait
parameters, pain, and function in adults with hip osteoarthritis (OA). DESIGN:
Prospective observational study. SETTING: An academic tertiary Veterans Affairs
Healthcare Center. PARTICIPANTS: Thirteen adults with symptomatic hip OA and 13
healthy adults. METHODS: We undertook gait analysis in all subjects with an
optoelectronic camera system. Pain, stiffness, and physical function in subjects
with hip OA were assessed with the Western Ontario and McMaster Universities
Osteoarthritis Index (WOMAC). MAIN OUTCOME MEASUREMENTS: Baseline spatiotemporal
measures of unaided gait were obtained for healthy subjects. Baseline and 4-week
spatiotemporal gait parameters were assessed for hip OA subjects while they
walked with and without a cane. Participants with hip OA completed the WOMAC at
baseline and after 4 weeks of cane use. RESULTS: At baseline when walking
unaided, the subjects with hip OA (age range 60-75 years) had a significantly
slower gait velocity, shorter affected limb stride length, and longer double
stance time compared with healthy control subjects. When walking with a cane,
they had a reduction in gait velocity (P < .05) caused by a decrease in cadence
(P < .05) compared with walking unaided. After 4 weeks of cane use, the
participants with hip OA demonstrated significant improvements in gait velocity
(P < .05) and double-stance time (P < .05) when walking with a cane in comparison
with baseline data. There was no improvement in pain and function after 4 weeks
of cane use, a period in which only approximately 60% of the hip OA subjects used
the cane 6 or more times per week. CONCLUSIONS: Initial use of a cane led to
decreased gait velocity and cadence in people with hip OA compared with walking
unaided. This difference in gait velocity diminished after they practiced walking
with the cane. Inconsistent use of the cane may have contributed to the lack of
improvement in the subjects' hip OA pain and function.
PMID- 22088854
TI - An assessment of the compliance and utility of a home exercise DVD for caregivers
of children and adolescents with brachial plexus palsy: a pilot study.
AB - OBJECTIVE: To investigate the impact of a video-based educational resource on
home exercise compliance among caregivers of children with neonatal brachial
plexus palsy (NBPP). DESIGN: Retrospective analysis of self-reported caregiver
home exercise habits and resultant shoulder range of motion (ROM) and biceps
power in patients with NBPP. SETTING: Home-based exercise program. PARTICIPANTS:
Adult caregivers of children with NBPP followed up through the Brachial Plexus
Program at the University of Michigan (N = 83 surveyed initially, with N = 37
completing the final survey). METHODS: Caregivers completed surveys before and
approximately 3, 6, and 12 months (times A, B, and C, respectively) after
receiving the "Home Exercise Therapy Program for Brachial Plexus Palsy" digital
video disk (DVD). A retrospective analysis of shoulder ROM and biceps power of
patients was completed as representative of arm function during the study. MAIN
OUTCOME MEASUREMENTS: Surveys assessed home exercise compliance, resources used
to guide exercises, and caregiver confidence in the correctness of exercises
being performed. Functional outcomes analyzed include biceps strength and
shoulder active and passive ROM. RESULTS: Home exercise compliance increased from
74% initially to 96% at time A (P < .001), remained at 94% at time B (P < .001),
and fell to 84% at time C (P = .016). Use of the DVD to guide home exercise
decreased from 69% at time A to 57% at time B and C (P = .026). After receiving
the DVD, exercise frequency and caregiver confidence increased. Although some
measures of shoulder active ROM and biceps power improved during the course of
the study, there was no consistent statistically significant relationship between
increased caregiver confidence and functional outcomes. No causal relationship
exists between DVD content and functional status at this time. CONCLUSIONS: As
the first formal evaluation of a video-based resource guiding exercise therapy
for children with NBPP, we suggest that this population may be receptive to
alternative media and may benefit from dynamic modeling of home exercises.
PMID- 22088855
TI - The dimensionality and measurement properties of alcohol outcome expectancies
across Hispanic national groups.
AB - This study examines the psychometric properties of alcohol expectancies among
Hispanic subgroups. Face-to-face interviews were conducted as part of the 2006
Hispanic Americans Baseline Alcohol Survey (HABLAS), which employed a multistage
cluster sample design. A total of 5224 individuals (18+ years of age)
representing four Hispanic national groups (Puerto Ricans, Cuban Americans,
Mexican Americans, and South/Central Americans) were selected at random from the
household population in five metropolitan areas (Miami, New York, Philadelphia,
Houston, and Los Angeles). Alcohol expectancies included 18 items covering
positive (e.g., laugh more, become more talkative) and negative dimensions (e.g.,
become aggressive, lose control) when alcohol is consumed. Confirmatory factor
models replicated a previously proposed three-factor dimensional structure with a
substantial majority of items exhibiting measurement invariance across Hispanic
national group and gender. Items covering social extroversion were an exception,
showing a lack of invariance for female Cuban and South/Central Americans. Latent
mean differences across groups were detected for expectancies concerning
emotional fluidity, and the pattern of differences largely mirrored known
differences in alcohol consumption patterns. Results suggest that caution should
be exercised in interpreting differences in expectancies concerning social
extroversion across Hispanic groups, and additional work is needed to identify
indices of this construct with invariant measurement properties. However,
measures of emotional/behavioral impairment and emotional fluidity expectancies
can be validly compared across gender and Hispanic national groups.
PMID- 22088856
TI - Parental relationship satisfaction in French young adults associated with alcohol
abuse and dependence.
AB - Alcohol consumption is a major risk factor for disease in developed countries. In
addition to genetic susceptibility, alcohol consumption is shaped by one's social
and family environment. With data from 2009, we examined associations between
satisfaction with familial relationships and alcohol abuse and dependence using a
national sample of 1101 French young adults aged 22-35. Alcohol-related problems
were measured with the Alcohol Use Disorders Identification Test (AUDIT). Main
exposure variables included young adults' self-report of satisfaction with
parental relationships. In adjusted logistic regression models, having a poor
relationship with one's mother (OR=1.8, 95%CI 1.0-3.6) or father (OR=1.8, 95% CI
1.0-3.2) was associated with alcohol abuse and dependence. Gender stratified
analyses indicated unsatisfactory maternal relationships were associated with
alcohol problems in women (OR=2.6, 95%CI 1.1-6.6); unsatisfactory paternal
relationships were suggestive of alcohol abuse in men (OR=2.0, 95%CI 0.9-4.7),
but not in women. Non-cohabitation with a romantic partner was associated with an
almost three-fold increase of alcohol abuse and dependence in men (OR=2.8, 95% CI
1.6-4.8). The quality of parental relationships may be important for alcohol
abuse, particularly when the parent is the same gender. Family-centered
approaches may be considered in prevention efforts to reduce problem drinking in
French young adults.
PMID- 22088857
TI - Increased neopterin levels and its association with angiographic variables in
patients with slow coronary flow: an observational study.
AB - OBJECTIVE: Although various inflammatory markers have been studied in patients
with slow coronary flow (SCF), serum neopterin levels have not been studied
previously. We investigated the serum neopterin and high sensitivity C-reactive
protein (hs-CRP) levels and the relationship between neopterin and hs-CRP levels
and TIMI flow in patients with SCF. METHODS: The study group consisted of 51
patients with SCF. An age and gender matched control group was composed of 40
subjects. Coronary flow rates of all patients and control subjects were
documented by Thrombolysis in Myocardial Infarction (TIMI) frame count. We
measured serum neopterin and hs-CRP levels at the same time in patients with SCF
and control subjects in this cross-sectional observational study. Chi-square,
Mann-Whitney U and unpaired t tests, Pearson correlation and linear regression
analyses were used for statistical analysis. RESULTS: The TIMI frame counts for
all coronary arteries and the mean TIMI frame count were significantly higher in
the SCF group than controls. Serum neopterin levels were significantly higher
among patients with SCF when compared with control group (2.13+/-1.03 vs. 1.60+/
0.50 ng/ml; p=0.004). Serum hs-CRP levels were significantly higher among
patients with SCF when compared with control group (2.06+/-1.32 vs. 0.74+/-0.40
mg/L respectively; p<0.001). There was a significant association of serum
neopterin levels (beta=0.60, 95% CI: 4.93-9.06, p<0.001) and serum hs-CRP levels
(beta=0.29, 95%CI: 0.84-4.33, p=0.004) with mean TIMI frame count independent of
potential confounders such as age, gender, body mass index, smoking, glucose and
cholesterol levels. CONCLUSION: We have shown that serum neopterin and hs-CRP
levels were significantly elevated in patients with SCF when compared with
control subjects. Serum neopterin and hs-CRP levels were correlated with mean
TIMI frame count in patients with SCF.
PMID- 22088858
TI - [Effects of different statins, ezetimibe/simvastatin combination on hsCRP levels
in unstable angina pectoris and non-ST elevation myocardial infarction patients:
a randomized trial].
AB - OBJECTIVE: The aim of our study was to evaluate the effects of two different
statins and a statin/ezetimibe combination on high sensitive C-reactive protein
(hsCRP) values, which were given at high doses in the early period of acute
coronary syndromes. METHODS: A total of 150 patients with non-ST elevation
myocardial infarction and unstable angina pectoris were enrolled to our
prospective, randomized, single-blind study. Patients were divided into three
groups by block randomization method. One group received 20 mg/day atorvastatin,
one group received 10 mg/day rosuvastatin and the other group received 10 mg/day
ezetimibe/simvastatin combination therapy, which was initiated within the first
24 hours of admission. Follow-up duration was 2 months . Biochemical
investigations and hsCRP levels (by nephelometric method) were performed with 138
patients evaluated at baseline, 10th and 60th days of therapy. Decreases of hsCRP
levels were analyzed with one-way MANOVA and repeated measures of ANOVA methods.
Post-hoc Tukey HSD test was performed for finding the different group, when the
difference was detected between the groups. RESULTS: Tenth day hsCRP levels in
ezetimibe/simvastatin group was significantly lower than the other groups
(p<0.001). Further, after 60 days of follow-up a significant reduction was seen
in hsCRP levels in ezetimib/simvastatin group (in ezetimibe/simvastatin group the
mean hsCRP was reduced from 38.4+/-15.0 mg/L to 2.4+/-1.3 mg/L, in atorvastatin
group the mean hsCRP was reduced from 27.3+/-11.7 mg/L to 4.1+/-2.4 mg/L and in
rosuvastatin group the mean hsCRP was reduced from 22.0+/-6.9 mg/L to 3.6+/-1.7
mg/L (F (1.1, 148.2) = 746.9, p<0.01 and the difference between drugs; F (2.2,
148.2) = 32.1, p<0.01). No side effects related to drugs were seen during follow
up in all three treatment groups. CONCLUSION: This study showed that
ezetimibe/simvastatin 10 mg/day combination treatment was superior to
atorvastatin 20 mg/day and rosuvastatin 10 mg/day treatment in reducing the
inflammatory markers when high dose statins was started in the early period of
unstable angina and non ST elevation myocardial infarction.
PMID- 22088859
TI - Novel method to evaluate the conduction velocity and conducting area during
isthmus-dependent atrial flutter.
AB - OBJECTIVE: The difference of the conduction velocity (CV) around the tricuspid
valve annulus between the counter-clockwise (CCW) atrial flutter and the
clockwise (CW) atrial flutter has not been well clarified. This study was
undertaken to evaluate the CV and the conducting area (CA) per millisecond around
the tricuspid valve annulus using the electroanatomical mapping. METHODS: The
electroanatomical mapping was performed during the tachycardia for 30 consecutive
patients (mean age: 61+/-16 years) with isthmus-dependent atrial flutter (CCW,
25; CW, 5). We measured the CV and the CA of five divided areas of the right
atrium, that is, upper septum (US), lower septum (LS), isthmus (I), upper lateral
wall (UL) and lower lateral wall (LL) using the novel measurement method in the
isochronal map. Statistical differences of these data between the two groups were
assessed by the Student's t-test and one-way analysis of variance methods.
RESULTS: In total, the CV of the LS was significantly slower than other areas
(m/sec: US, 0.57+/-0.18; LS, 0.43+/-0.18; UL, 0.60+/-0.26; LL, 0.53+/-0.20; I,
0.50+/-0.17; p<0.05) and the CA of the US and UL were significantly larger than
other areas (mm2/sec: US, 34.5+/-16.2; LS, 16.2+/-9.5; UL, 40.0+/-14.1; LL,
27.0+/-17.0; I, 16.8+/-8.5; p<0.0001). There was no significant difference
between the CCW and the CW atrial flutters in terms of the CV and the CA of
equally divided five areas. CONCLUSION: In both of the CCW and the CW atrial
flutters, the CV of the LS was significantly slower than other areas and the CA
of the lower atrium was significantly smaller than the upper atrium.
PMID- 22088860
TI - [Comparison of left and right ventricular pulsed and tissue Doppler myocardial
performance index values using Z-score in newborns with hypoxic-ischemic
encephalopathy].
AB - OBJECTIVE: The aim of the study is determination of myocardial performance index
(MPI/Tei index) using pulsed (PD) and tissue Doppler (TD) techniques to show
cardiac response in newborns with hypoxic-ischemic encephalopathy (HIE) and
healthy newborns and eventually evaluation of the differences between these two
techniques. METHODS: The study is a prospective observational study. Twenty term
newborns diagnosed as perinatal asphyxia during postnatal 24 hours due to the
defined criteria and fifty healthy term neonates as control group were included
the study. Hypoxic group was divided into two groups with Sarnat stages, Sarnat
Stage 1 and 2-3. MPIs (Tei indexes) were calculated with PD and TD
echocardiographic techniques in all groups after the 24 hours of birth and one
year later. The statistical differences between same techniques were calculated
with Kruskal-Wallis test and Z score was used to compare the superiority of two
techniques. RESULTS: The MPI values calculated by PD (0.41+/-0.04, 0.51+/-0.02)
and TD (0.59+/-0.04, 0.51+/-0.02) during the first day of life in Sarnat Stage 2
3 in both ventricles were significantly higher than the control group (p<0.01,
p<0.02, p<0.03). While the Z score, calculated for MPI measured by PD and TD
methods, were found similar in both ventricles in Sarnat Stage 1 and control
groups, it was significantly different in other groups of Sarnat stages.
CONCLUSION: The degree of cardiac response in neonates with HIE is associated
with the severity of hypoxia. MPI values are not different from the controls in
newborns received mild hypoxia while they are higher in the patients who were
received moderate or severe hypoxia. Any advantage could not be found between two
techniques according to the measurement values, but higher variability in the
value of MPI, measured by TD method, calculated from moderate and severe hypoxia
group was detected.
PMID- 22088861
TI - [Follow-up of our patients with transposition of the great arteries and arterial
switch operation; comparison of simple and complex transposition cases].
AB - OBJECTIVE: 1. Follow-up data of patients with simple transposition of great
arteries (TGA) and TGA with ventricular septal defect (VSD), who had arterial
switch operation (ASO) are compared. 2. Factors affecting mortality and morbidity
after ASO are described. METHODS: Seventy-six patients, who had an ASO between
April 2007 and August 2010 were studied retrospectively. The patients with intact
ventricular septum (IVS) (n=36) were in Group 1, and those with VSD (n=40) in
Group 2. The pre and postoperative clinical and echocardiographic variables and
intensive care unit (ICU) outcomes were compared among groups using Mann-Whitney
U, Pearson correlation and logistic regression tests. RESULTS: The mean age at
operation was 44.1 days, weight was 3.6+/-0.98 kg. Patients were followed for
15.5+/-11.21 months. The aortic cross-clamp (AoCC) and cardiopulmonary bypass
(CPB) times were higher in patients with VSD (p=0.001, p=0.004). Patients in
Group 1 had longer inotropic agent infusion (p=0.001). Length of stay in ICU was
similar in two groups (p>0.05). There was no correlation between the length of
stay in ICU and age, weight, CPB time, AoCC time. Aortic regurgitation was more
frequent in Group 2 (p=0.02). During follow-up, 12 patients died (15.7%), and 8
patients had a revision operation (10.5%) (diaphragmatic plication in 4,
pulmonary artery reconstruction in 1, recoarctation operation in 3 patients).
Mortality was similar in groups (p>0.05). CONCLUSION: Arterial switch operation
provides anatomical correction in TGA. Appropriate timing and good perioperative
planning facilitates low morbidity and mortality in patients with VSD as in
patients with simple TGA.
PMID- 22088862
TI - Seroprevalence of dengue infection: a cross-sectional survey in mainland Tanzania
and on Pemba Island, Zanzibar.
AB - OBJECTIVE: Evidence available to date indicates that dengue viruses 1, 2, and 3
could be among the causes of acute fever in eastern Africa. Recently, four
reports on dengue infection in travelers and residents have raised concerns over
the occurrence of dengue fever in mainland Tanzania and in Zanzibar. The
objective of this study was to provide seroprevalence data on dengue infection in
Tanzania. METHODS: This study was conducted in 2007 at two peripheral hospitals,
one on Pemba Island, Zanzibar and one in Tosamaganga, Iringa Region, mainland
Tanzania. Two hundred and two consecutive febrile outpatients were studied for
antibodies and viral RNA to assess the circulation of dengue virus in Tanzania.
RESULTS: A seroprevalence of 7.7% was found on Pemba Island and of 1.8% was found
in Tosamaganga. No acute cases and no previous infections among patients under 11
years of age were detected. CONCLUSION: These findings provide the first baseline
data on dengue seroprevalence in the country. No recent dengue virus circulation
in Tanzania and in the Zanzibar archipelago up until the early 1990s is reported.
PMID- 22088863
TI - Comparative evaluation of intratracheal colistimethate sodium, imipenem, and
meropenem in BALB/c mice with carbapenem-resistant Acinetobacter baumannii
pneumonia.
AB - OBJECTIVE: The identification of the optimal agent for administration via the
respiratory tract when treating pneumonia caused by carbapenem-resistant
Acinetobacter baumannii (CRAB). METHODS: A murine model of acute CRAB pneumonia
was established by intratracheal (i.t.) inoculation with 2.5 * 107 colony-forming
units (CFU) of A. baumannii strain Ab396 plus 10% porcine mucin. After 4h the
infected BALB/c mice were treated intratracheally with 25MUl of either 0.85%
saline (control group), colistimethate sodium (CMS) (166 666 U/kg, CMS group),
imipenem/cilastatin (30/30 mg/kg, imipenem group), or meropenem (20mg/kg,
meropenem group), every 8h. The therapeutic efficacy of these agents was
examined. RESULTS: A. baumannii strain Ab396 was susceptible to CMS only.
However, meropenem treatment did give a significantly superior survival rate
(100%) compared to treatment with imipenem (50%), CMS (33%), or saline (0%)
(p<0.001 vs. the control and CMS groups, p=0.006 vs. the imipenem group, by log
rank test). Furthermore, compared to the other groups, the meropenem group
demonstrated significantly more favorable results in terms of tissue penetration
of the antibiotic, bacterial clearance, normalization of the wet lung-to-body
weight ratio, and down-regulation of pro-inflammatory cytokine levels in the
lungs. CONCLUSIONS: Administration of meropenem via the respiratory tract proved
to have the best therapeutic efficacy among the antibiotics tested when treating
advanced murine CRAB pneumonia.
PMID- 22088864
TI - Biological effects of the anti-parasitic chemotherapeutant emamectin benzoate on
a non-target crustacean, the spot prawn (Pandalus platyceros Brandt, 1851) under
laboratory conditions.
AB - The potential impact of commercial salmon aquaculture along the coast of British
Columbia on the health of non-target marine wildlife is of growing concern. In
the current initiative, the biological effects on gene expression within spot
prawn (Pandalus platyceros) exposed to the sea lice controlling agent, emamectin
benzoate (EB; 0.1-4.8 mg/kg sediment), were investigated. A mean sediment/water
partitioning coefficient (K(p)) was determined to be 21.81 and significant levels
of EB were detected in the tail muscle tissue in all exposed animals. Animals
selected for the experiment did not have eggs and were of similar weight.
Significant mortality was observed within 8 days of EB treatment at
concentrations between 0.1 and 0.8 mg/kg and there was no effect of EB on
molting. Twelve spot prawn cDNA sequences were isolated from the tail muscle
either by directed cloning or subtractive hybridization of control versus EB
exposed tissues. Three of the transcripts most affected by EB exposure matched
sequences encoding the 60S ribosomal protein L22, spliceosome RNA helicase
WM6/UAP56, and the intracellular signal mediator histidine triad nucleotide
binding protein 1 suggesting that translation, transcription regulation, and
apoptosis pathways were impacted. The mRNA encoding the molting enzyme, beta-N
acetylglucosaminidase, was not affected by EB treatment. However, the expression
of this transcript was extremely variable making it unsuitable for effects
assessment. The results suggest that short-term exposure to EB can impact
biological processes within this non-target crustacean.
PMID- 22088865
TI - Generalized rough fuzzy c-means algorithm for brain MR image segmentation.
AB - Fuzzy sets and rough sets have been widely used in many clustering algorithms for
medical image segmentation, and have recently been combined together to better
deal with the uncertainty implied in observed image data. Despite of their wide
spread applications, traditional hybrid approaches are sensitive to the empirical
weighting parameters and random initialization, and hence may produce less
accurate results. In this paper, a novel hybrid clustering approach, namely the
generalized rough fuzzy c-means (GRFCM) algorithm is proposed for brain MR image
segmentation. In this algorithm, each cluster is characterized by three
automatically determined rough-fuzzy regions, and accordingly the membership of
each pixel is estimated with respect to the region it locates. The importance of
each region is balanced by a weighting parameter, and the bias field in MR images
is modeled by a linear combination of orthogonal polynomials. The weighting
parameter estimation and bias field correction have been incorporated into the
iterative clustering process. Our algorithm has been compared to the existing
rough c-means and hybrid clustering algorithms in both synthetic and clinical
brain MR images. Experimental results demonstrate that the proposed algorithm is
more robust to the initialization, noise, and bias field, and can produce more
accurate and reliable segmentations.
PMID- 22088866
TI - A prescription fraud detection model.
AB - Prescription fraud is a main problem that causes substantial monetary loss in
health care systems. We aimed to develop a model for detecting cases of
prescription fraud and test it on real world data from a large multi-center
medical prescription database. Conventionally, prescription fraud detection is
conducted on random samples by human experts. However, the samples might be
misleading and manual detection is costly. We propose a novel distance based on
data-mining approach for assessing the fraudulent risk of prescriptions regarding
cross-features. Final tests have been conducted on adult cardiac surgery
database. The results obtained from experiments reveal that the proposed model
works considerably well with a true positive rate of 77.4% and a false positive
rate of 6% for the fraudulent medical prescriptions. The proposed model has the
potential advantages including on-line risk prediction for prescription fraud,
off-line analysis of high-risk prescriptions by human experts, and self-learning
ability by regular updates of the integrative data sets. We conclude that
incorporating such a system in health authorities, social security agencies and
insurance companies would improve efficiency of internal review to ensure
compliance with the law, and radically decrease human-expert auditing costs.
PMID- 22088867
TI - Improving the medical scale predictability by the pairwise comparisons method:
evidence from a clinical data study.
AB - In the clinical practice of psychiatry, presence or absence of particular
symptoms is based on the subjective interpretation, by the clinician, of mental
and behavioural descriptions offered by the patient. However, this subjectivity
that characterizes the diagnostic decision making process may limit the
reliability of diagnosis. In this current study, the pairwise comparisons (PC)
method is used to investigate whether the psychometric properties of a medical
screening questionnaire can be improved. The pilot data described herein did
indeed demonstrate that modest improvements in diagnostic accuracy could be
achieved using PC, and provides early evidence that the inconsistency produced by
subjective clinical ratings can be reduced using this method, thus providing
impetus for further investigation.
PMID- 22088868
TI - Sperm ultrastructure of the digenean Aphallus tubarium (Rudolphi, 1819) Poche,
1926 (Platyhelminthes, Cryptogonimidae) intestinal parasite of Dentex dentex
(Pisces, Teleostei).
AB - The ultrastructural organization of the spermatozoon of a cryptogonimid digenean,
Aphallus tubarium, a parasite of Dentex dentex, is described. The spermatozoon
possesses the elements found in other digeneans: two axonemes with 9+"1" pattern,
a mitochondrion, a nucleus, cortical microtubules, external ornamentation and
spine-like bodies. However, the mitochondrion appears as a cord with a bulge;
this characteristic has never been described in other studied cryptogonimid and
in other digeneans except in one lepocreadiid, Holorchis micracanthum. Likewise,
the presence of a thin cytoplasm termination in the anterior part of the
spermatozoon has never been pointed out in the cryptogonimids.
PMID- 22088869
TI - Genetics and patient outcome after cardiac surgery: unravelling translational
findings.
PMID- 22088870
TI - Is it time to implement preoperative platelet function testing before invasive
procedures?
PMID- 22088871
TI - Genetic interactions in the beta-adrenoceptor/G-protein signal transduction
pathway and survival after coronary artery bypass grafting: a pilot study.
AB - BACKGROUND: In heart failure, beta-adrenergic receptor (betaAR) stimulation
desensitizes the receptor, uncouples the downstream Galphas protein, and
diminishes signal transduction. We tested the hypotheses that haplotype-tagging
single-nucleotide polymorphisms (htSNPs) within the Galphas gene (GNAS) (i) are
functionally active and alter Galphas expression, (ii) influence survival after
coronary artery bypass grafting (CABG), and (iii) interact with betaAR SNPs.
METHODS: Amplification of GNAS intron 1 was followed by cloning, reporter assays,
electrophoretic mobility shift assays, and western blots. In a pilot study, 185
patients on betaAR blockade undergoing CABG were studied prospectively. The
primary endpoint was cardiac-related mortality at 1 yr. RESULTS: Two htSNPs
defined three common haplotypes with altered reporter activity, allele-specific
transcription factor binding, and Galphas protein expression (highest in *3
carriers followed by *2 and *1 haplotypes, P=0.013). After CABG, mortality was
GNAS diplotype-dependent: *3/*3: 0%; *3/*2: 2.4%; *3/*1: 2.9%; *2/*2: 4.5%;
*2/*1: 9.1%; and *1/*1: 20.0% (P=0.004). While beta(1)AR SNPs were not associated
with mortality, beta(2)AR Arg16 allele carriers were at higher risk than Gly16
allele carriers (P=0.008). Gene-gene interaction using gene-related risk alleles
demonstrated the number of risk alleles to be independently associated with death
(hazard ratio 2.3; 95% confidence interval: 1.5-3.5; P=0.0003). Carriers of the
no-risk allele had higher maximum isoproterenol-stimulated adenylyl cyclase
activities than risk allele carriers (P=0.003). CONCLUSIONS: Interactions in the
betaAR/Galphas pathway may be associated with altered mortality after CABG. This
could reconcile previously inconclusive data regarding the effects of betaAR SNPs
on cardiovascular prognosis.
PMID- 22088872
TI - Prophylactic magnesium sulphate and postoperative supraventricular arrhythmias in
patients undergoing thoracotomy. Letter 2.
PMID- 22088873
TI - Prophylactic magnesium sulphate and postoperative supraventricular arrhythmias in
patients undergoing thoracotomy. Letter 1.
PMID- 22088875
TI - Does hyperbaric oxygen have positive effect on neurological recovery in spinal
epidural haematoma? A case report.
PMID- 22088876
TI - Combined ultrasound imaging and hydrolocalization technique for accurate
placement of perineural catheters.
PMID- 22088877
TI - Prone ventilation for refractory hypoxaemia in a patient with severe chest wall
disruption and traumatic brain injury.
PMID- 22088878
TI - Acute severe hypoxaemia after mechanical ventilation in a patient with an Ebstein
anomaly requiring extracorporeal membrane oxygenation.
PMID- 22088879
TI - Fluid optimization guided by oesophageal Doppler significantly improves bowel
perfusion.
PMID- 22088880
TI - A survey of patients discharged to the community on modified-release strong
opioids by a tertiary level acute pain service.
PMID- 22088881
TI - Use of two oxygenators during extracorporeal membrane oxygenator for a patient
with acute respiratory distress syndrome, high-pressure ventilation, hypercapnia,
and traumatic brain injury.
PMID- 22088883
TI - Chiral pool synthesis of calystegine A3 from 2-deoxyglucose via a Brown
allylation.
AB - Calystegine A(3) is a naturally occurring nortropane iminosugar of which there
previously have been three total syntheses. Inspired by our previous work we here
report on a fourth approach using 17 steps from 2-deoxy-d-glucose applying a
diastereoselective allylation protocol.
PMID- 22088884
TI - A new approach for the N- and S-galactosylation of 5-arylidene-2-thioxo-4
thiazolidinones.
AB - N- and S-galactosylation was carried out via the reaction of 5-((Z)-arylidene)-2
thioxo-4-thiazolidinones with 2,3,4,6-tetra-O-acetyl-alpha-d-galactopyranosyl
bromide under alkaline conditions or under silylation conditions. Deacetylation
of the N-galactosylation products was performed with concentrated hydrochloric
acid in methanol (3.5%) or sodium methoxide in methanol without cleavage of the 2
thioxo-4-thaizolidinone ring by means of acid hydrolysis. The anomers were
separated by flash column chromatography, and their configurations were assigned
by NMR spectroscopy. The deprotected nucleosides were screened against leukemia L
1210 and were found inactive.
PMID- 22088885
TI - A reevaluation of the epimeric and anomeric relationship of glucosides and
galactosides in thermotropic liquid crystal self-assemblies.
AB - Anomers and epimers alpha- and beta-gluco and -galactosides are expected to
behave differently. However, recent results on a series of Guerbet glycosides
have indicated similar liquid crystal clearing temperatures for pure beta
glucosides and the corresponding alpha-galactosides. This observation has led to
speculation on similarities in the self-assembly interactions between the two
systems, attributed to the trans-configuration of the 4-OH group and the
hydrophobic aglycon. Previous simulations on related bilayers systems support
this hypothesis, by relating this clearing transition temperature to intralayer
(sugar-sugar) hydrogen bonding. In order to confirm the hypothesis, the
comparison was expanded to include the cis-configurated pair, that is, alpha
gluco/beta-galactoside. A set of alpha-configurated Guerbet glucosides as well as
octyl alpha-galactoside were prepared and their thermotropic phase behavior
studied. The data obtained enabled a complete comparison of the isomers of
interest. While the results in general are in line with a pairing of the stereo
isomers according to the indicated cis/trans-configuration, differences within
the pairs can be explained based on the direction of hydrogen bonds from a simple
modeling study.
PMID- 22088886
TI - Are male neonates more vulnerable to neonatal abstinence syndrome than female
neonates?
AB - BACKGROUND: Prior studies have shown an increased vulnerability among males to
adverse outcomes during the postnatal period. Most children exposed to opioids
and other medications in utero develop neonatal abstinence syndrome (NAS), yet
individual predisposition for NAS is poorly understood. OBJECTIVE: This
investigation examined the role of neonatal sex in the postnatal period for
neonates exposed to standardized opioid maintenance treatment in utero with a
focus on NAS regarding severity, medication requirements, and duration. METHODS:
This was a secondary analysis of data collected in a prospective randomized,
double-blind, double-dummy, multicenter trial (MOTHER study) that examined the
comparative safety and efficacy of methadone and buprenorphine during pregnancy.
A total of 131 neonates born to opioid-dependent women randomized at 6 US sites
(n = 74) and 1 European site (n = 37) were analyzed. Sex-based differences in
birth weight, length, head circumference, NAS duration, NAS severity, and
treatment parameters of full-term neonates were assessed. RESULTS: Males had a
significantly higher birth weight (P = 0.027) and head circumference (P = 0.017)
compared with females, with no significant sex difference in rates of preterm
delivery. No significant sex-related differences were found for NAS development,
severity, or duration, or medication administered, and there were no significant
differences in concomitant drug consumption during pregnancy (P = 0.959).
CONCLUSIONS: This unique prospective study shows similar postnatal vulnerability
for both sexes, suggesting that factors other than sex are the major determinants
of clinically significant NAS. ClinicalTrials.gov identifier: NCT 00271219.
PMID- 22088889
TI - Dental follicle cells and treated dentin matrix scaffold for tissue engineering
the tooth root.
AB - Tissue engineering strategies to reconstruct tooth roots are an effective therapy
for the treatment of tooth loss. However, strategies to successfully regenerate
tooth roots have not been developed and optimized. In the present study, rat
dental follicle stem cells (DFCs) were characterized, followed by a thorough
investigation of tooth roots regeneration for a combination of DFCs seeding
cells, treated dentin matrix (TDM) scaffolds, and an inductive alveolar fossa
microenvironment. Eighteen clones derived from single DFCs were harvested;
however, only three clones were amplified successfully more than five passages
and 90-95 days in culture. Following 270 days or 30 passages, the heterogeneous
DFCs showed suitable characteristics for seeding cells to regenerate tooth roots.
However, various features, such as variable proliferation rates, differentiation
characteristics, apoptosis rates, and total lifespan were observed in DFCs and
the three clones. Importantly, upon transplantation of DFCs combined with TDM for
four weeks, root-like tissues stained positive for markers of dental pulp and
periodontal tissues were regenerated in the alveolar fossa, but not in the skull
and omental pockets. These results indicate that tooth roots were successfully
regenerated and suggest that the combination of DFCs with TDM in the alveolar
fossa is a feasible strategy for tooth roots regeneration. This strategy could be
a promising approach for the treatment of clinical tooth loss and provides a
perspective with potential applications to regeneration of other tissues and
organs.
PMID- 22088888
TI - Protein adsorption in three dimensions.
AB - Recent experimental and theoretical work clarifying the physical chemistry of
blood-protein adsorption from aqueous-buffer solution to various kinds of
surfaces is reviewed and interpreted within the context of biomaterial
applications, especially toward development of cardiovascular biomaterials. The
importance of this subject in biomaterials surface science is emphasized by
reducing the "protein-adsorption problem" to three core questions that require
quantitative answer. An overview of the protein-adsorption literature identifies
some of the sources of inconsistency among many investigators participating in
more than five decades of focused research. A tutorial on the fundamental
biophysical chemistry of protein adsorption sets the stage for a detailed
discussion of the kinetics and thermodynamics of protein adsorption, including
adsorption competition between two proteins for the same adsorbent immersed in a
binary-protein mixture. Both kinetics and steady-state adsorption can be
rationalized using a single interpretive paradigm asserting that protein
molecules partition from solution into a three-dimensional (3D) interphase
separating bulk solution from the physical-adsorbent surface. Adsorbed protein
collects in one-or-more adsorbed layers, depending on protein size, solution
concentration, and adsorbent surface energy (water wettability). The adsorption
process begins with the hydration of an adsorbent surface brought into contact
with an aqueous-protein solution. Surface hydration reactions instantaneously
form a thin, pseudo-2D interface between the adsorbent and protein solution.
Protein molecules rapidly diffuse into this newly formed interface, creating a
truly 3D interphase that inflates with arriving proteins and fills to capacity
within milliseconds at mg/mL bulk-solution concentrations C(B). This inflated
interphase subsequently undergoes time-dependent (minutes-to-hours) decrease in
volume V(I) by expulsion of either-or-both interphase water and initially
adsorbed protein. Interphase protein concentration C(I) increases as V(I)
decreases, resulting in slow reduction in interfacial energetics. Steady state is
governed by a net partition coefficient P=(C(I)/C(B)). In the process of
occupying space within the interphase, adsorbing protein molecules must displace
an equivalent volume of interphase water. Interphase water is itself associated
with surface-bound water through a network of transient hydrogen bonds.
Displacement of interphase water thus requires an amount of energy that depends
on the adsorbent surface chemistry/energy. This "adsorption-dehydration" step is
the significant free energy cost of adsorption that controls the maximum amount
of protein that can be adsorbed at steady state to a unit adsorbent surface area
(the adsorbent capacity). As adsorbent hydrophilicity increases, adsorbent
capacity monotonically decreases because the energetic cost of surface
dehydration increases, ultimately leading to no protein adsorption near an
adsorbent water wettability (surface energy) characterized by a water contact
angle theta->65( degrees ). Consequently, protein does not adsorb (accumulate at
interphase concentrations greater than bulk solution) to more hydrophilic
adsorbents exhibiting theta<65( degrees ). For adsorbents bearing strong Lewis
acid/base chemistry such as ion-exchange resins, protein/surface interactions can
be highly favorable, causing protein to adsorb in multilayers in a relatively
thick interphase. A straightforward, three-component free energy relationship
captures salient features of protein adsorption to all surfaces predicting that
the overall free energy of protein adsorption DeltaG(ads)(o) is a relatively
small multiple of thermal energy for any surface chemistry (except perhaps for
bioengineered surfaces bearing specific ligands for adsorbing protein) because a
surface chemistry that interacts chemically with proteins must also interact with
water through hydrogen bonding. In this way, water moderates protein adsorption
to any surface by competing with adsorbing protein molecules. This Leading
Opinion ends by proposing several changes to the protein-adsorption paradigm that
might advance answers to the three core questions that frame the "protein
adsorption problem" that is so fundamental to biomaterials surface science.
PMID- 22088890
TI - Death in pain: peripheral nerve injury and spinal neurodegenerative mechanisms.
AB - A complex network operates in the spinal dorsal horn to integrate peripheral
nociceptive inputs with local and descending control mechanisms, and to cross
talk with higher brain areas. Injury to peripheral sensory nerves can trigger a
cascade of events within this relay which, in some cases, may turn into abnormal
responses outlasting the initial detrimental stimulus and leading to chronic
pain. In the spinal dorsal horn, evidence has been provided both in support and
against the occurrence of neuronal loss following peripheral nerve injury,
leaving this issue still unresolved. Only new conceptual and technical approaches
will determine the relevance of spinal neurodegenerative mechanisms to chronic
pain states and allow translation into novel therapeutic targets.
PMID- 22088887
TI - Pharmacological targets in the ubiquitin system offer new ways of treating
cancer, neurodegenerative disorders and infectious diseases.
AB - Recent advances in the development and discovery of pharmacological interventions
within the ubiquitin-proteasome system (UPS) have uncovered an enormous potential
for possible novel treatments of neurodegenerative disease, cancer, immunological
disorder and microbial infection. Interference with proteasome activity, although
initially considered unlikely to be exploitable clinically, has already proved to
be very effective against haematological malignancies, and more specific
derivatives that target subsets of proteasomes are emerging. Recent small
molecule screens have revealed inhibitors against ubiquitin-conjugating and
deconjugating enzymes, many of which have been evaluated for their potential use
as therapeutics, either as single agents or in synergy with other drugs. Here, we
discuss recent advances in the characterisation of novel UPS modulators (in
particular, inhibitors of ubiquitin-conjugating and -deconjugating enzymes) and
how they pave the way towards new therapeutic approaches for the treatment of
proteotoxic disease, cancer and microbial infection.
PMID- 22088891
TI - A celebration of Steven Gabbe's contributions and accomplishments: Associate
Editor, American Journal of Obstetrics and Gynecology, 1990 through 2010.
PMID- 22088893
TI - Bloody mess.
PMID- 22088894
TI - Bloody tests.
PMID- 22088897
TI - Attitudes of women in their forties toward the 2009 USPSTF mammogram guidelines:
a randomized trial on the effects of media exposure.
AB - OBJECTIVE: The objective of the study was to assess women's attitudes toward 2009
US Preventive Services Task Force mammography screening guideline changes and
evaluate the role of media in shaping opinions. STUDY DESIGN: Two hundred forty
nine women, aged 39-49 years, presenting for annual examinations randomized to
read 1 of 2 articles, and survey completion comprised the design of the study.
RESULTS: Eighty-eight percent overestimated the lifetime breast cancer (BrCa)
risk. Eighty-nine percent want yearly mammograms in their 40s. Eighty-six percent
felt the changes were unsafe, and even if the changes were doctor recommended,
84% would not delay screening until age 50 years. Those with a friend/relative
with BrCa were more likely to want annual mammography in their forties (92% vs
77%, P = .001), and feel changes unsafe (91% vs 69%, P <= .0001). Participants
with previous false-positive mammograms were less likely to accept doctor
recommended screening delay until age 50 years (8% vs 21%, P = .01). CONCLUSION:
Women overestimate BrCa risk. Skepticism of new mammogram guidelines exists, and
is increased by exposure to negative media. Those with prior false-positive
mammograms are less likely to accept changes.
PMID- 22088898
TI - Perioperative complications of history-indicated and ultrasound-indicated
cervical cerclage.
AB - OBJECTIVE: To evaluate perioperative complications of history- and ultrasound
indicated cerclage. METHODS: We performed a retrospective observational study of
a cohort of patients who underwent history- (n = 198) or ultrasound-indicated (n
= 89) cerclage procedures. We evaluated the rates of perioperative complications
based on indication for cerclage. The chi(2) was used for categorical variables
and Student t test for continuous data. RESULTS: One patient (0.35%) had an
intraoperative complication (unsuccessful regional anesthesia) and 1 patient
(0.35%) had a postoperative complication (contractions and bleeding 2 weeks after
cerclage placement, delivered a nonviable infant). Peripartum complications
included chorioamnionitis (6.2%), preterm premature rupture of membranes (11%),
preterm delivery (20%), and delivery before 32 weeks' gestational age (8%), and
they were similar in the history-indicated and ultrasound-indicated groups.
CONCLUSION: History- and ultrasound-indicated cerclages are associated with a
0.6%; 95% confidence interval, -0.26 to 1.66 risk of perioperative complications.
There was no difference in perioperative complications or outcome between the 2
groups.
PMID- 22088899
TI - Cervical collagen concentration within 15 months after delivery.
AB - OBJECTIVE: Cervical collagen concentration decreases during pregnancy. The
increased risk of preterm birth after a short interpregnancy interval may be
explained by an incomplete remodeling of the cervix. The objective of this study
was to describe the changes in cervical collagen concentration over 15 months
after delivery. STUDY DESIGN: The collagen concentrations were determined in
cervical biopsy specimens that were obtained from 15 women at 3, 6, 9, 12, and 15
months after delivery. RESULTS: The mean cervical collagen concentrations were
50%, 59%, 63%, 65%, and 65% of dry weight (SD, 4.2-6.5). This increase was
statistically significant until month 9, but not between months 9 and 12.
CONCLUSION: Low collagen concentrations in the uterine cervix may contribute to
the association between a short interpregnancy interval and preterm birth.
PMID- 22088900
TI - Discussion: 'Predictors of massive blood loss from placenta accreta' by Wright et
al.
PMID- 22088901
TI - Microbial adhesins to gastrointestinal mucus.
AB - The gastrointestinal tract (GIT) is lined by a layer of mucus formed by mucin
glycoproteins. This layer constitutes a physical and chemical barrier between the
intestinal contents and the underlying epithelia. In addition to this protective
role, mucins harbor glycan-rich domains that provide preferential binding sites
for pathogens and commensal bacteria. Although mucus-microbial interactions in
the GIT play a crucial role in determining the outcome of relationships of both
commensal and pathogens with the host, the adhesins and ligands involved in the
interaction are poorly delineated. This review focuses on the current knowledge
of microbial adhesins to gastrointestinal mucus and mucus components.
PMID- 22088903
TI - Integrated models of care delivery for the frail elderly: international
perspectives.
AB - INTRODUCTION: Interest is growing in integrated systems of care for the frail
elderly. Few such systems have been both documented and evaluated in a rigorous
manner. The present article provides an international review of such systems.
METHODS: The literature on integrated care covered the period from 1997 to 2010,
inclusive. Some 2,496 citations were identified from Age Line, PsycINFO, CINAHAL
and MedLine and were reviewed. To be included in this paper, articles had to
provide a good description of the care delivery system and good quality
evaluations. Only nine articles were retained. Most of the articles reviewed
described some form of coordinated care without evaluation. RESULTS: There were
essentially two types of models of integrated care delivery for the frail
elderly. One was a smaller, community-based model that relied on cooperation
across care providers, focused on home and community care, and played an active
role in health and social care coordination. The second type of model was a large
scale model that could be applied at a national/provincial/state, or large
regional health authority, level, had a single administrative authority and a
single budget, and included both home/community and residential services.
DISCUSSION: Integrated care delivery can be achieved in various ways.
Irrespective of which model is adopted, some of the key factors to be considered
are how care can be coordinated effectively across different types of services,
and how all the care provider organizations can be coordinated to ensure
continuity of care for frail elderly persons.
PMID- 22088902
TI - Medical, environmental and personal factors of disability in the elderly in
Spain: a screening survey based on the International Classification of
Functioning.
AB - OBJECTIVES: The International Classification of Functioning, Disability and
Health (ICF) advocates a multifactorial and multifaceted conceptualization of
disability. The objective of this study was to ascertain major medical,
environmental and personal determinants of severe/extreme disability among the
elderly population in Spain. The assessment scheme was consistent with the ICF
model of disability. METHODS: Nine populations contributed probabilistic or
geographically-defined samples following a two-phase screening design. The Mini
Mental State Examination and the 12-item version of the World Health Organization
Disability Assessment Schedule, 2(nd) ed. (WHO-DAS II), were used as cognitive
and disability screening tools, respectively. Positively screened individuals
underwent clinical work-up for dementia and were administered the 36-item version
of the WHO-DAS II to estimate ICF disability levels. We used logistic regression
for the purposes of data combination, adjusted for age and sex in all analyses.
RESULTS: The sample was composed of 503 participants aged >= 75 years. Alzheimers
disease and depression were highly predictive of severe/extreme disability (OR:
17.40, 3.71). Good access to social services was strongly associated with a low
level or absence of disability (OR: 0.05 to 0.18). Very difficult access to
services and having dementia or another psychiatric disorder were associated with
an increase in disability (OR: 66.06). There was also a significant interaction
effect between access to services and neurological disorders (OR: 12.74).
CONCLUSIONS: Disability is highly prevalent among the Spanish elderly and is
influenced by medical, social and personal factors. Disability could potentially
be reduced by ensuring access to social services, preventing dementia and stroke,
and treating depression.
PMID- 22088904
TI - [Prevalence and annual risk of tuberculosis infection in the school population
aged 7 years old in Ceuta (Spain)].
AB - OBJECTIVE: To determine the prevalence of tuberculosis infection and annual risk
of infection in the school population of Ceuta. METHOD: A cross-sectional study
was conducted. A tuberculin test (2UT RT-23 Tween 80) was given to 7-year-old
schoolchildren in Ceuta in 2008. A positive result was considered as an
induration of >=5 mm at 72 hours in unvaccinated children. RESULTS: A total of
612 children were studied. The prevalence of tuberculosis infection was 0.98%
(95% confidence interval with a 2.5% margin of error). The distribution showed
differences among three health areas, and was greatest in the most deprived area
(2.07%). The annual risk of infection was 0.15%. CONCLUSIONS: According to the
most recent studies, the prevalence of tuberculosis infection in Ceuta is one of
the highest of Spain. Our results do not agree with the epidemiological data for
tuberculosis in Ceuta, which also includes imported cases.
PMID- 22088905
TI - [Gender inequalities in health deterioration as a result of informal care in
Spain].
AB - OBJECTIVES: To analyze differences in the characteristics of care provided by
women and men to dependent persons, and their association with the perception of
health deterioration in both sexes. METHODS: We performed a nationwide cross
sectional study with data obtained from the 2008 Spanish Survey on Disability,
Personal Autonomy and Dependence, based on a sample size of 7,512 principal
caregivers. A descriptive analysis was performed of the characteristics of the
care provided (tasks performed, intensity, frequency and duration) by sex. A
multivariate logistic regression analysis was carried out to explore the
association between the caregivers' perceptions of deteriorated health and the
variables related to care, adjusted by sociodemographic characteristics. RESULTS:
Most (74%) of the caregivers in the sample were women. Women more frequently
perceived a deterioration in their health as a result of providing care than did
men (37% and 21%, respectively). Women provided care with greater intensity and
more frequently performed the tasks most associated with deteriorated health
(personal hygiene, assistance in feeding, etc.). Men more frequently carried out
tasks related to care outside the home (going to the doctor, shopping, etc.), all
of which acted as a protective factor against health deterioration. The intensity
of care was a risk factor for the perception of health deterioration in both
sexes, while frequency and duration were also risk factors in women. CONCLUSIONS:
The greater health deterioration perceived by women is related to gender
inequalities in the characteristics of the care provided. Interventions in
informal caregivers should be sensitive to these gender inequalities.
PMID- 22088906
TI - [Characteristics of intravenous drug users who share injection equipment in
Catalonia (Spain)].
AB - OBJECTIVES: To estimate the prevalence of direct and indirect syringe sharing
among intravenous drug users (IDUs) attending a harm reduction center in
Catalonia (Spain) and to identify factors associated with risk behaviors.
METHODS: A cross-sectional study was conducted between 2008 and 2009 in harm
reduction centers. Behavioral data were collected using anonymous questionnaires
administered by trained interviewers. RESULTS: Of the 748 respondents, 31.5% had
shared syringes at least once in the previous 6 months and 55.2% reported sharing
injection paraphernalia (spoons, water, filters). A higher risk of syringe
sharing was found among IDUs who injected daily (OR=1.5), injected cocaine
(OR=1.6), had less than half their supply of syringes from a free source
(OR=2.5), had an IDU sexual partner (OR=1.8) or who reported indirect sharing
(OR=4.1). A higher risk of indirect sharing was found in respondents who had an
illegal source of income (OR=1.5), injected daily (OR=1, 5), injected cocaine
(OR=1.4), reported sharing syringes (OR=3.9), or who reported a previous overdose
(OR=1.5). CONCLUSIONS: Despite the widespread use of harm reduction programs in
Catalonia, a significant proportion of IDUs continue to practise injection
related risk behaviors. Further reductions in risk behaviors could be achieved by
improving access to all sterile injecting equipment, especially among cocaine
injectors and IDUs who inject frequently, and by including IDU sexual partners
within the current network of harm reduction centers.
PMID- 22088907
TI - [Promoting routine human immunodeficiency virus testing in primary care].
AB - OBJECTIVES: To promote human immunodeficiency virus (HIV) testing in the primary
care setting and to describe patients' attitudes toward this practice. METHODS: A
non-randomized intervention was conducted on five physicians of an urban primary
care center attending patients aged 18-65 years old, who were scheduled to
undergo blood tests for other reasons. The patients were systematically offered
HIV blood testing if they reported having had sex without a condom with a person
of unknown HIV status. Not being tested required active refusal. The intervention
period was from October to December 2008 and the control period was from October
to December 2007. The main variable was the difference in the number of HIV tests
requested. The proportion of patients accepting the test was also analyzed.
RESULTS: Demographic factors were similar in patients in the two periods. The
number of HIV tests increased from 3.7% (22/599) to 27.2% (212/780), p <0.001. A
total of 209 patients were offered the HIV test. Their mean age was 45.6 years
(SD 11.7), 141 were women (68%) and 11 were born outside Spain (5%). One hundred
and ninety-five patients (93%) admitted the possibility of having been or being
at risk. Of these patients, only three (1.5%), refused the HIV test. CONCLUSIONS:
Routine HIV testing in the primary care setting is feasible and few patients
refuse to be tested.
PMID- 22088908
TI - [Analysis of drug advertising in Spanish gynecology journals].
AB - OBJECTIVES: To determine advertising pressure in three Spanish gynecology
journals, to describe the characteristics of the drugs advertised and to analyze
compliance with current regulatory standards in drug advertisements. METHODS: We
identified the number of advertisements, the characteristics of the drugs
advertised, the minimum information required by legislation and the advertising
message in the selected journals. RESULTS: A total of 139 advertisements were
identified, corresponding to 33 distinct products (28 prescription medicines and
five over-the-counter drugs). Advertising pressures were 18.13% in Progresos de
Obstetricia y Ginecologia, 16.18% in Acta Ginecologica and 5.21% in Clinica e
Investigacion en Ginecologia y Obstetricia. Legislative failure occurred in
82.14% of the advertisements and in 22.22% of slogans, while 41.46% of
advertising messages were misleading. CONCLUSION: A critical attitude to
advertising among health professionals is advisable. Information contained in
advertisements should be contrasted with official and other independent sources.
PMID- 22088909
TI - [Scientific-professional production on the ICF disability model in Spain. A
literature review (2001-2010)].
AB - OBJECTIVES: To quantify the use of the International Classification of
Functioning, Disability and Health (ICF) in Spain on the basis of published
reports, and to compare this use with that in other European countries. METHODS:
We reviewed the scientific literature published by, or with the participation of,
authors having Spanish institutional affiliations in Spanish or international
journals between May 2001 and June 2010. RESULTS: A total of 47 papers were
identified, with a recent annual increase in those published in English. There
was a predominance of theoretical journals (53.1%) and those specializing in
mental health, rehabilitation and disability, with a medium or low impact factor.
The World Health Organization-Disability Assessment Schedule (WHODAS-II) was used
in 27.6% of publications. Most studies addressed adult populations with mental
illness. Spain ranked midway in the table of European countries (fifth by Medline
references). CONCLUSIONS: This review suggests that the effective application of
the ICF in Spain is limited but is increasing and is internationally co
ordinated. The main fields of application are theoretical and diagnostic, in
various clinical, rehabilitation and population-based contexts and, to a much
lesser extent, in health services.
PMID- 22088910
TI - Harm reduction, students and pleasure: an examination of student responses to a
binge drinking campaign.
AB - BACKGROUND: Recent debates about 'binge drinking' in New Zealand have positioned
alcohol consumption amongst young drinkers as of concern. Research notes that
students drink more heavily than their peers and that they have a higher
incidence of alcohol related harms. In response, a harm reduction campaign aimed
at first year university students was developed at a New Zealand university.
METHODS: This mixed methods study used questionnaires (225) and a small number of
semi-structured interviews (4) to elicit student responses to the harm reduction
campaign. RESULTS: The majority of students in this study can be characterised as
binge drinkers, although their drinking does not appear to cause them concern.
The term 'binge drinking' is explored in three developed categories; 'light',
'moderate' and 'heavy' bingeing. Results are considered within a discussion of
pleasure as a hindrance to harm reduction campaigns. CONCLUSIONS: The concept of
'determined drunkenness' and the notion of pleasure are important in students'
motivations for drinking and may contribute to the resistance they have in
viewing their alcohol consumption as concerning. It is argued that students
already felt that they exercised control over their drinking for pleasure and
this produced contradictions in responses towards the campaign compared to actual
behaviour.
PMID- 22088911
TI - Maybe not perfect-but surely good enough?
PMID- 22088912
TI - Motor vehicle deaths among American Indian and Alaska Native populations.
AB - In the United States, the American Indian and Alaska Native (AI/AN) population
has the highest motor vehicle death rate, which is significantly greater than
that of any other race or ethnic group. To better understand why this significant
disparity exists and how to eliminate it, the authors conducted a systematic
review of the published scientific literature. Included studies were published
between January 1, 1990, and January 31, 2011, and identified risk factors, or
implemented and tested interventions, targeting motor vehicle deaths among the
AI/AN population. Only 14 papers met the study's inclusion criteria. Most of the
epidemiologic studies explored alcohol use as a risk factor for deaths of both
motor vehicle occupants and pedestrians; few studies addressed risk factors
specifically for pedestrians. All of the intervention studies focused on
mitigating risks for motor vehicle occupants. On the basis of the authors'
review, injury prevention interventions that are multifaceted and involve
partnerships to change policy, the environment, and individual behavior can
effectively mitigate motor-vehicle-related deaths among AI/ANs. Priority should
be given to implementing interventions that address pedestrian safety and to
sound investment in the states with the highest AI/AN motor vehicle death rates
because reducing their burden can dramatically reduce the overall disparity.
PMID- 22088913
TI - Protein restriction to pregnant rats increases the plasma levels of angiotensin
II and expression of angiotensin II receptors in uterine arteries.
AB - Whether gestational protein restriction affects the renin-angiotensin system
(RAS) in uterine artery remains unknown. In this study, we hypothesized that
gestational protein restriction alters the expression of RAS components in
uterine artery. In study one, time-scheduled pregnant Sprague Dawley rats were
fed a normal or low-protein (LP) diet from Day 3 of pregnancy until they were
killed at Days 19 and 22. The uterine arteries were collected and used for gene
expression of Ace, Ace2, Agtr1a, Agtr1b, Agtr2, Esr1, and Esr2 by quantitative
real-time PCR and/or Western blotting. LP increased plasma levels of angiotensin
II in pregnant rats. In the uterine artery, the expressions of Agtr1a, Agtr1b,
and Esr1 were increased by LP at Days 19 and 22 of pregnancy, whereas the
abundance of AGTR1 and AGTR2 was increased by LP at Day 19 of pregnancy. The
expression of Ace2 was not detectable in rat uterine artery. In study two, virgin
female rats were ovariectomized and implanted with either 17beta-estradiol (E2),
progesterone (P4), both E2 and P4, or placebo pellets until they were killed 7
days later. In rat uterine artery, E2 and P4 reduced the expression of Agtr1a,
and E2 increased the expression of Agtr1b and Agtr2, but neither E2 nor P4
regulated the expression of Ace. These results indicate that gestational protein
restriction induces an increase in Agtr1 expression in uterine artery, and thus
may exacerbate the vasoconstriction to elevated angiotensin II present in
maternal circulation, and that female sex hormones also play a role in this
process.
PMID- 22088914
TI - Bovine DNA methylation imprints are established in an oocyte size-specific
manner, which are coordinated with the expression of the DNMT3 family proteins.
AB - A subset of genes, known as imprinted genes, is present in the mammalian genome.
Genomic imprinting governs the monoallelic expression of these genes, depending
on whether the gene was inherited from the sperm or the egg. This parent-of
origin specific gene expression is generally dependent on the epigenetic
modification, DNA methylation, and the DNA methylation status of CpG
dinucleotides residing in loci known as differentially methylated regions (DMRs).
The enzymatic machinery responsible for the addition of methyl (-CH(3)) groups to
the cytosine residue in the CpG dinucleotides are known as DNA methyltransferases
(DNMTs). Correct establishment and maintenance of methylation patterns at
imprinted genes has been associated with placental function and regulation of
embryonic/fetal development. Much work has been carried out on imprinted genes in
mouse and human; however, little is known about the methylation dynamics in the
bovine oocyte. The primary objective of the present study was to characterize the
establishment of methylation at maternally imprinted genes in bovine growing
oocytes and to determine if the expression of the bovine DNMTs-DNMT3A, DNMT3B,
and DNMT3L-was coordinated with DNA methylation during oocyte development. To
this end, a panel of maternally imprinted genes was selected (SNRPN, MEST, IGF2R,
PEG10, and PLAGL1) and putative DMRs for MEST, IGF2R, PEG10, and PLAGL1 were
identified within the 5' regions for each gene; the SNRPN DMR has been reported
previously. Conventional bisulfite sequencing revealed that methylation marks
were acquired at all five DMRs investigated in an oocyte size-dependent fashion.
This was confirmed for a selection of genes using pyrosequencing analysis.
Furthermore, mRNA expression and protein analysis revealed that DNMT3A, DNMT3B,
and DNMT3L are also present in the bovine oocyte during its growth phase. This
study demonstrates for the first time that an increase in bovine imprinted gene
DMR methylation occurs during oocyte growth, as is observed in mouse.
PMID- 22088915
TI - Improving sperm cryopreservation with antifreeze proteins: effect on gilthead
seabream (Sparus aurata) plasma membrane lipids.
AB - Changes in the plasma membrane lipid composition have been related to a decrease
in sperm quality during cryopreservation. Antifreeze proteins (AFPs) have been
tested in different species because of their ability to depress the freezing
point and their potential interaction with membranes, but controversial effects
were reported. In the present study we analyzed separately the lipid composition
of two sperm membrane domains, head plasma membrane (HM) and flagellar membrane
(FM), after cryopreservation with an extender containing 5% dimethyl sulfoxide
(DMSO) either alone or with AFPI or AFPIII (1 MUg/ml). We used sperm from a
teleost, Sparus aurata, because the lack of acrosome avoids changes of lipid
profiles due to capacitation process or acrosomal losses during freezing/thawing.
Comparing with the control (cryopreservation with 5% DMSO alone), the addition of
AFPIII increased the velocity, linearity of movement, and percentage of viable
cells. In addition, freezing with DMSO alone increased the phosphatidyl-serine
content as well as the saturated fatty acids and decreased the unsaturated ones
(mainly polyunsaturated) both in HM and FM. These changes in the lipid components
were highly avoided with the addition of AFPIII. HM had a higher amount of
saturated fatty acids than FM and was more affected by cryopreservation without
AFPs. The percentage of viable cells was positively correlated with the amount of
unsaturated fatty acids in the HM, whereas the motility parameters were
positively correlated with both FM and HM amount of unsaturated fatty acids.
AFPs, especially AFPIII, seem to have interacted with unsaturated fatty acids,
stabilizing the plasma membrane organization during cryopreservation and
contributing to improve sperm quality after thawing.
PMID- 22088917
TI - Meiotic genetics moves forward with SPATA22 (repro42).
AB - This commentary provides a summary of existing meiotic mutants affecting the
synaptonemal complex and meiotic recombination in order to contextualize the
recent discovery of SPATA22/repro42 through ENU mutagenesis.
PMID- 22088916
TI - Developmental exposure to xenoestrogens at low doses alters femur length and
tensile strength in adult mice.
AB - Developmental exposure to high doses of the synthetic xenoestrogen
diethylstilbestrol (DES) has been reported to alter femur length and strength in
adult mice. However, it is not known if developmental exposure to low,
environmentally relevant doses of xenoestrogens alters adult bone geometry and
strength. In this study we investigated the effects of developmental exposure to
low doses of DES, bisphenol A (BPA), or ethinyl estradiol (EE(2)) on bone
geometry and torsional strength. C57BL/6 mice were exposed to DES, 0.1
MUg/kg/day, BPA, 10 MUg/kg/day, EE(2), 0.01, 0.1, or 1.0 MUg/kg/day, or vehicle
from Gestation Day 11 to Postnatal Day 12 via a mini-osmotic pump in the dam.
Developmental Xenoestrogen exposure altered femoral geometry and strength,
assessed in adulthood by micro-computed tomography and torsional strength
analysis, respectively. Low-dose EE(2), DES, or BPA increased adult femur length.
Exposure to the highest dose of EE(2) did not alter femur length, resulting in a
nonmonotonic dose response. Exposure to EE(2) and DES but not BPA decreased
tensile strength. The combined effect of increased femur length and decreased
tensile strength resulted in a trend toward decreased torsional ultimate strength
and energy to failure. Taken together, these results suggest that exposure to
developmental exposure to environmentally relevant levels of xenoestrogens may
negatively impact bone length and strength in adulthood.
PMID- 22088918
TI - The role of autophagy in human endometrium.
AB - Autophagy appears to play an important role in the normal development and
maintenance of homeostasis in a variety of tissues, including the female
reproductive tract. However, the role of autophagy and the association between
autophagy and apoptosis in cyclic remodeling of the human endometrium have not
been described. Therefore, we investigated the involvement of autophagy during
the human endometrial cycle and its association with apoptosis. Endometrial
samples were obtained from 15 premenopausal, nonpregnant women who underwent
hysterectomies for benign gynecological reasons. The autophagy-associated
protein, microtubule-associated protein 1 light chain 3 alpha (MAP1LC3A), was
immunolocalized, and its expression level was measured by Western blot analysis.
Apoptosis was evaluated by measuring the expression level of cleaved caspase 3
protein. MAP1LC3A protein was primarily expressed within the endometrial
glandular cells and increased during the secretory phase. The expression level of
the membrane-bound form of MAP1LC3A (MAP1LC3A-II) also increased as the menstrual
cycle progressed, reaching a maximum level during the late secretory phase. This
pattern coincided with the expression of cleaved caspase 3. Furthermore,
expression of MAP1LC3A-II and cleaved caspase 3 increased in the in vitro
cultured endometrial cancer cells when estrogen and/or progesterone were
withdrawn from the culture media to mimic physiological hormonal changes. These
findings suggest that endometrial cell autophagy is directly involved in the
cyclic remodeling of the human endometrium and is correlated with apoptosis. In
addition, we inhibited autophagic processes using 3-methyladenine (3-MA) or
bafilomycin A1 (Baf A1) to evaluate the role of autophagy in apoptosis induction
in endometrial cancer cells. While the inhibition of autophagosome formation
using 3-MA did not decrease apoptosis or cell death, the inhibition of
autophagosome degradation by fusion with lysosomes using Baf A1 increased
apoptosis and cell death, suggesting that the accumulation of autophagosomes
induces apoptosis. Furthermore, Baf A1-induced apoptotic cell death was decreased
by the apoptosis inhibitor N-benzyloxycarbonyl-Val-Ala-Asp-fluoromethylketone (Z
VAD-FMK). In conclusion, these results indicate that autophagy is involved in the
endometrial cell cycle affecting apoptosis and is most prominent during the late
secretory phase.
PMID- 22088919
TI - Probiotics can induce follicle maturational competence: the Danio rerio case.
AB - In the present study, the effects of the probiotic Lactobacillus rhamnosus IMC
501 on the acquisition of oocyte maturational competence was examined in
zebrafish (Danio rerio). L. rhamnosus administration induced the responsiveness
of incompetent follicles (stage IIIa) to 17,20-dihydroxy-4-pregnen-3-one and
their in vitro maturation. Acquisition of competence by the stage IIIa follicles
was further validated by changes of lhr, mprb, inhbaa (activin betaA1), tgfb1,
and gdf9 gene expression, which have recently emerged as key regulators of oocyte
acquisition of maturational competence, and pou5f1 gene expression, which in
other models has been shown to govern the establishment of developmental
competence of oocytes. In addition, a DNA microarray experiment was conducted
using the same follicles, and with relative gene ontology (GO) data analysis, the
molecular effects of probiotic administration emerged. Molecular analysis using
PCR-DGGE (denaturing gradient gel electrophoresis) approach, providing
information about only the most abundant bacterial members of the microbial
community, revealed that the probiotic was able to populate the gastrointestinal
tract and modulate the microbial communities, causing a clear shift in them and
specifically enhancing the presence of the lactic acid bacteria Streptococcus
thermophilus. At the same time, PCR-DGGE analysis revealed that the probiotic was
not directly associated with the ovaries. Finally, the effects of probiotic
treatment on zebrafish follicle development were also analyzed by FPA (focal
plane array) Fourier transform-infrared (FT-IR) imaging, a technique that
provides the overall biochemical composition of samples. Changes were found above
all in stage IIIa follicles from probiotic-exposed females; the modifications,
observed in protein secondary structures as well as in hydration and in bands
related to phosphate moieties, allowed us to hypothesize that probiotics act at
this follicle stage, affecting the maturation phase.
PMID- 22088920
TI - Incidence rates and risk factors for vascular events in patients with essential
thrombocythemia: a multicenter study from Korea.
AB - BACKGROUND: Essential thrombocythemia (ET) is classified as a Philadelphia
chromosome-negative classic myeloproliferative neoplasm. ET is a clonal stem cell
disorder that is often associated with JAK2 mutations and shares phenotypic and
pathogenetic similarities with other myeloproliferative neoplasms. Hemorrhagic
complications and arterial and venous thrombosis are common in patients with ET.
The aim of this retrospective analysis was to assess the cumulative incidence
rate and risk factors for thrombohemorrhagic events in patients with ET based on
a multicenter study in Korea. PATIENTS AND METHODS: A total of 239 patients with
ET, from February 1995 to April 2011, were retrospectively analyzed from 4 Korean
academic institutions. Data were collected through the review of medical records,
and vascular events were confirmed by diagnostic procedures for establishing
thrombosis and hemorrhagic complications. RESULTS: Of the patients (median age,
61 years; median follow-up, 51.8 months), 32 (13.4%) experienced
thrombohemorrhagic complications. The 10-year cumulative incidence rate showed a
20.6% incidence of thrombohemorrhagic events. In univariate analysis, the
presence of JAK2 mutations, high-risk group, previous thrombohemorrhagic events,
and >60 years old were shown to have higher incidences of vascular events than
any other factors. In multivariate analysis, previous thrombotic events and JAK2
mutations were independent risk factors for vascular events (hazard ratio, 2.907
[95% CI, 1.142-7.406], P =.025; and 4.146 [95% CI 1.227-14.018], P = 0.022).
CONCLUSION: Previous thrombotic history and the JAK2 V617F mutation were
associated with a higher 10-year cumulative incidence rate of thrombohemorrhagic
events.
PMID- 22088921
TI - Internal gating and somatization disorders: proposing a yet un-described neural
system.
AB - Medically unexplained symptoms (MUS) are a major medical burden and our current
understanding of the pathophysiological process leading to their development
remains minimal. While research has strongly linked chronic stress to the
development of MUS the exact mechanisms and the reason for the many variations in
the resultant symptomatology remain unclear. In this paper we advance the
hypothesis that an internal (visceral) sensory gating system must exist akin to
the much better studied external sensory gating system. The hypothesis is based
on the observations that under normal conditions sensations of internal organs do
not reach consciousness (i.e., filtered or gated out on a subconscious or
preattentive level). As visceral sensations are usually perceived only when there
is a pathological process affecting the organ, then failure of this internal
gating system leading to the sensations arriving to consciousness must be
interpreted by the brain to indicate pathology in this organ. If the hypothesis
proves to be true and such a system does exist, the implications are many and
significant including developing methods for assessing the system and possibly
correcting it.
PMID- 22088922
TI - Why the spleen is a very rare site for metastases from epithelial cancers.
AB - It is not known why metastases from epithelial cancers are rare in the spleen,
yet common in the other major organs of the reticuloendothelial system in which,
like the spleen, leucocytes display a prolonged physiological intravascular
transit time. Another unresolved issue that at first seems unrelated to splenic
metastases is the inconsistency between the concept of physiological granulocyte
disposal through granulocyte ageing and the observation that granulocytes leave
the blood in an exponential fashion (half-time 7 h), which implies random
disposal. Intravascular granulocytes pass through the spleen with an exponential
distribution of transit times (mean 10 min). The spleen is highly active in
physiological granulocyte destruction so it is suggested that the variation in
times of exposure to the splenic microenvironment converts the age-dependent
granulocyte destruction observed ex vivo into the random process observed in
vivo, probably through exposure to apoptosis-inducing signals. This leads to the
second hypothesis, which is that cancer cells fail to survive in the spleen as a
result of these pro-apoptotic signals.
PMID- 22088923
TI - Pyridoxal 5'-phosphate (PLP) deficiency might contribute to the onset of type I
diabetes.
AB - The incidence of type I diabetes is rising worldwide, particularly in young
children. Type I diabetes is considered a multifactorial disease with genetic
predisposition and environmental factors participating. Currently, despite years
of research, there is no consensus regarding the factors that initiate the
autoimmune response. Type I diabetes is preceded by autoimmunity to islet
antigens, among them the protein glutamic acid decarboxylase, GAD-65. Pyridoxal
5'-phosphate (PLP) is formed from vitamin B6 by the action of pyridoxal kinase.
Interaction of GAD65 with PLP is necessary for GAD65-mediated synthesis of the
neurotransmitter gamma-aminobutyric acid (GABA). PLP is also a required cofactor
for dopamine synthesis by L-aromatic decarboxylase (L-AADC). Both GAD65 and L
AADC are expressed in pancreatic islets. Here it is proposed that lack of the
vitamin B6 derivative pyridoxal 5'-phosphate might contribute to the appearance
of pancreatic islet autoimmunity and type I diabetes onset.
PMID- 22088924
TI - Analysis of 4999 online physician ratings indicates that most patients give
physicians a favorable rating.
AB - BACKGROUND: Many online physician-rating sites provide patients with information
about physicians and allow patients to rate physicians. Understanding what
information is available is important given that patients may use this
information to choose a physician. OBJECTIVES: The goals of this study were to
(1) determine the most frequently visited physician-rating websites with user
generated content, (2) evaluate the available information on these websites, and
(3) analyze 4999 individual online ratings of physicians. METHODS: On October 1,
2010, using Google Trends we identified the 10 most frequently visited online
physician-rating sites with user-generated content. We then studied each site to
evaluate the available information (eg, board certification, years in practice),
the types of rating scales (eg, 1-5, 1-4, 1-100), and dimensions of care (eg,
recommend to a friend, waiting room time) used to rate physicians. We analyzed
data from 4999 selected physician ratings without identifiers to assess how
physicians are rated online. RESULTS: The 10 most commonly visited websites with
user-generated content were HealthGrades.com, Vitals.com, Yelp.com, YP.com,
RevolutionHealth.com, RateMD.com, Angieslist.com, Checkbook.org, Kudzu.com, and
ZocDoc.com. A total of 35 different dimensions of care were rated by patients in
the websites, with a median of 4.5 (mean 4.9, SD 2.8, range 1-9) questions per
site. Depending on the scale used for each physician-rating website, the average
rating was 77 out of 100 for sites using a 100-point scale (SD 11, median 76,
range 33-100), 3.84 out of 5 (77%) for sites using a 5-point scale (SD 0.98,
median 4, range 1-5), and 3.1 out of 4 (78%) for sites using a 4-point scale (SD
0.72, median 3, range 1-4). The percentage of reviews rated >=75 on a 100-point
scale was 61.5% (246/400), >=4 on a 5-point scale was 57.74% (2078/3599), and >=3
on a 4-point scale was 74.0% (740/1000). The patient's single overall rating of
the physician correlated with the other dimensions of care that were rated by
patients for the same physician (Pearson correlation, r = .73, P < .001).
CONCLUSIONS: Most patients give physicians a favorable rating on online physician
rating sites. A single overall rating to evaluate physicians may be sufficient to
assess a patient's opinion of the physician. The optimal content and rating
method that is useful to patients when visiting online physician-rating sites
deserves further study. Conducting a qualitative analysis to compare the
quantitative ratings would help validate the rating instruments used to evaluate
physicians.
PMID- 22088925
TI - The capacity of acute stress disorder to predict posttraumatic psychiatric
disorders.
AB - BACKGROUND: One rationale for establishing the acute stress disorder diagnosis
was to identify recently trauma-exposed people who may develop later
posttraumatic stress disorder (PTSD). This study conducted a multi-site
assessment of the extent to which ASD predicts subsequent PTSD, and also major
depressive disorder, panic disorder, agoraphobia, social phobia, specific phobia,
generalized anxiety disorder, and substance use disorder, 12 months after trauma.
METHOD: Consecutive admissions to 5 major trauma hospitals across Australia (N =
1084) were assessed during hospital admission and within one month of trauma
exposure and subsequently re-assessed for psychiatric disorder 12 months after
the initial assessment (N = 859). RESULTS: Whereas 120 (10%) patients met
criteria for ASD in the initial month after trauma, 83 (10%) met criteria for
PTSD, and 268 (31%) had any psychiatric disorder at 12 months. In terms of those
diagnosed with ASD, 28 (36%) subsequently met criteria for PTSD and 50 (65%)
subsequently developed any psychiatric disorder. CONCLUSIONS: Whereas the
majority of people with ASD subsequently develop a psychiatric disorder, most
people with a disorder at 12 months do not initially display ASD.
PMID- 22088926
TI - Interaction of the BcII glucocorticoid receptor polymorphism and childhood abuse
in Bulimia Nervosa (BN): relationship to BN and to associated trait
manifestations.
AB - We recently documented a gene-environment interaction suggesting that individuals
with Bulimia Nervosa (BN) differed from normal eaters as to the combined presence
of the low-function allele of the glucocorticoid receptor polymorphism, BcII, and
childhood abuse. The present study examined the extent to which any such
interaction effect may have been attributable to behavioral impulsivity,
sensation seeking, affective instability or depression. We had 174 bulimic and
130 nonbulimic women provide blood for genetic assays, and measured
psychopathological traits and childhood abuse using structured interviews and
self-report questionnaires. As expected, we observed a significant BcII * abuse
interaction indicating genetic and environmental susceptibilities to co-occur
significantly more often in bulimic than in nonbulimic individuals. The BcII *
abuse interaction was attenuated when levels of depression were accounted for,
but was surprisingly unaffected by controls for motoric impulsivity, sensation
seeking or affective instability. Our findings suggest that stress-induced
alterations in glucocorticoid sensitivity contribute to BN and depressive
disturbances--without being associated with the behavioral/affective
dysregulation seen in many BN sufferers. We discuss theoretical and clinical
implications of these observations.
PMID- 22088927
TI - Incidence of severe work-related injuries among young adult workers in Brazil:
analysis of compensation data.
AB - OBJECTIVES: To obtain national estimates of the annual cumulative incidence and
incidence density of severe non-fatal injuries using compensation benefits data
from the Brazilian National Social Security Institute (INSS), and to describe
their sociodemographic distribution among workers aged under 25 years. METHODS:
Data are records of health-related compensation benefits from the Ministry of
Social Insurance's information system of compensation benefits of the INSS
recorded in 2006. Injuries were cases classified under chapter XIX, ICD-10. The
assessment of their relation with work was made by INSS's occupational physician
experts. The study population comprised young workers aged 16-24 years. RESULTS:
59,381 workers received compensation benefits for injuries in the study year.
Among them 14,491 (24.4%) were work related, 12,501 (86.3%) were male and 1990
were female workers (13.7%). The annual cumulative incidence rate of work-related
injuries (ACI-WI) was 2.9*1000 workers, higher among men (4.2*1000) than women
(1.0*1000). The incidence density rate (IDR-WI) was 0.7/1000 full-time equivalent
(FTE), higher for men (0.97/1000 FTE) than women (0.24/1000 FTE). Both morbidity
measures were higher in the younger group (16-19 years), and inversely related to
wage, especially for women in the younger group. Logging, extraction,
food/beverage and construction industries had higher ACI-WI and IDR-WI for
adolescents and young adult workers of both sex groups. CONCLUSIONS: These
findings suggest that the Brazilian labour laws limiting young adult workers in
hazardous settings need to be expanded, adding occupations in other extractive
industries and certain types of work in the food/beverage manufacturing
industries. Social inequalities associated with sex need to be examined further
with more detailed data.
PMID- 22088928
TI - Injury prevention and risk communication: a mental models approach.
AB - Individuals' decisions and behaviour can play a critical role in determining both
the probability and severity of injury. Behavioural decision research studies
peoples' decision-making processes in terms comparable to scientific models of
optimal choices, providing a basis for focusing interventions on the most
critical opportunities to reduce risks. That research often seeks to identify the
'mental models' that underlie individuals' interpretations of their circumstances
and the outcomes of possible actions. In the context of injury prevention, a
mental models approach would ask why people fail to see risks, do not make use of
available protective interventions or misjudge the effectiveness of protective
measures. If these misunderstandings can be reduced through context-appropriate
risk communications, then their improved mental models may help people to engage
more effectively in behaviours that they judge to be in their own best interest.
If that proves impossible, then people may need specific instructions, not
trusting to intuition or even paternalistic protection against situations that
they cannot sufficiently control. The method entails working with domain
specialists to elicit and create an expert model of the risk situation,
interviewing lay people to elicit their comparable mental models, and developing
and evaluating communication interventions designed to close the gaps between lay
people and experts. This paper reviews the theory and method behind this research
stream and uses examples to discuss how the approach can be used to develop
scientifically validated context-sensitive injury risk communications.
PMID- 22088929
TI - Increased risk of cancer among gout patients: a nationwide population study.
AB - OBJECTIVE: Few studies have investigated the association between gout and cancer.
The present study examined the relative risk of cancer in a nationwide cohort.
METHODS: The primary data source was the National Health Insurance database of
Taiwan. Data recorded between 2000 and 2008 for subjects >=20 years and with no
history of malignancy were included for the analysis. A gout case definition was
defined by records of gout diagnosis and anti-gout treatment (urate-lowering
drugs, including allopurinol, benzbromazone, probenacid and sulfinpyrazone, and
colchicine). Cox proportional hazards models were used to examine the association
between gout and cancer. RESULTS: A total of 694,361 patients (355,278 men,
339,083 women) were included; among them, 25,943 had a history of gout. Mean age
(+/-standard deviation) was 42.3+/-16.3 years. During 5,471,272 patient-years of
follow-up, cancer was detected in 24,088 patients (1745 with gout and 22,343
controls). The most cancers were liver, lung, and colonic cancers. The overall
incidence of cancer was significantly higher among gout patients than controls
(8.7 vs. 4.2 cases per 1000 patient-years, P<0.001). After adjustment for age and
sex, gout was found to be associated with a hazard ratio (HR) of 1.15 (95%
confidence interval [CI], 1.10-1.21; P<0.001) for cancer. Gout was most closely
associated with prostate cancer, with an age- and sex-adjusted HR of 1.71 (1.45
2.02). On the other hand, gout tended to have an inverse, albeit insignificant,
association with breast cancer (adjusted HR, 0.81; 95% CI, 0.63-1.04).
CONCLUSION: Gout was associated with increased risk of cancer, particularly that
of prostate cancer in males.
PMID- 22088930
TI - Frequency of hospitalization and severe events in 497 spondylarthritis patients
treated with anti-TNF drugs: comparisons with 423 RA patients.
PMID- 22088931
TI - Proximal symphalangism and premature ovarian failure.
AB - Proximal symphalangism is a rare autosomal dominant affection responsible for
multiple joint ankylosis, it results from NOG gene mutation whose locus is on
17q21-22. This gene may be involved in secretory functions of glands such as
ovarian function. Premature ovarian failure is idiopathic in 80% of cases but may
be secondary to multiple etiologies including genetic. We report the case of a
patient with proximal symphalangism and premature ovarian failure, and we discuss
the possibility of genetic relationship between the two anomalies.
PMID- 22088932
TI - Supraclavicular nerve entrapment syndrome.
AB - Supraclavicular nerve entrapment syndrome, although rare, should be considered
among the causes of anterior shoulder girdle pain. This syndrome is usually
related to anatomic variants (involving the bone structures, fibrous bands, or
muscles and tendons). Computed tomography is the most useful investigation.
Medications used to treat neuropathic pain may provide relief. Otherwise, a local
glucocorticoid injection or even surgical decompression should be considered.
PMID- 22088933
TI - Assessment of hand trabecular bone texture with high resolution direct digital
radiograph in rheumatoid arthritis: a case control study.
AB - OBJECTIVES: Rheumatoid arthritis is characterized by an early inflammatory
related periarticular osteopenia. A new high resolution direct digital X-ray
device has been recently developed to provide bone texture analysis which is
designed to assess changes in trabecular bone architecture. For the first time,
we have evaluated trabecular bone texture impairment in rheumatoid arthritis
patients compared to healthy controls. METHODS: In this cross-sectional study,
the reproducibility was assessed by three separate digital X-rays of the right
hand, with repositioning in 14 late rheumatoid arthritis patients and 14 healthy
subjects. Then, trabecular bone texture of the MCP2 and MCP3 from patients
enrolled in a prospective cohort of 78 rheumatoid arthritis patients was compared
with that of 50 healthy subjects, using three texture parameters: Hmean, co
occurrence and run-length. RESULTS: The coefficients of variation of the high
resolution direct digital X-ray measurements ranged from 0.5 to 1.8%. Only the
Hmean parameter was significantly decreased in rheumatoid arthritis patients
compared to healthy subjects at MCP2 (0.637+/-0.040 vs. 0.654+/-0.032, P<0.05)
and at MCP3 (0.646+/-0.044 vs. 0.665+/-0.037, P<0.05). This reduction was
significantly correlated to disease activity. CONCLUSIONS: This study
demonstrated both the good reproducibility of the high resolution digital X-ray
measurements and the trabecular bone texture impairment at MCP joints in
rheumatoid arthritis patients. In addition to provide a high resolution hand
radiograph, this technique may represent an interesting tool to easily quantify
periarticular osteopenia with a low radiation dose.
PMID- 22088934
TI - Development of inflammatory bowel disease during anti-TNF-alpha therapy for
inflammatory rheumatic disease: a nationwide series.
AB - OBJECTIVES: To describe cases of new onset of inflammatory bowel disease (IBD) in
patients with inflammatory rheumatic disease (IRD) receiving anti-TNF-alpha
therapy. METHODS: A call for observations of such cases was sent to members of
the French "Club rhumatismes et inflammation". Only patients without intestinal
symptoms before introduction of anti TNF-alpha agents were included. RESULTS:
During a 2-year period, 16 patients were declared: nine men and seven women, mean
age 41.5 +/- 17.4 years, 12 patients with ankylosing spondylitis, one with
rheumatoid arthritis, one with psoriatic arthritis and two juvenile idiopathic
arthritis with enthesitis related arthritis. Overall, 14 patients received
etanercept and two had infliximab. The meantime frame between onsets of anti-TNF-
alpha drugs and development of IBD was 29.3 +/- 20.1 months. According to
endoscopic and histological findings, IBD was classified as typical Crohn's
disease in eight cases, Crohn's-like disease in six cases, indeterminate in one
case and definite ulcerative colitis in one case. For all cases, each TNF-alpha
blocking agent was discontinued and replaced by another monoclonal anti TNF-alpha
antibody. After a mean follow up period of 23.4 +/- 19.5 months, outcome was
favorable without recurrent or flaring IBD. CONCLUSIONS: Paradoxical IBD may
occur during anti TNF-alpha therapy for inflammatory rheumatic disease, mostly in
patients with spondylarthropathies while receiving etanercept, at a frequency
estimated to 0.15% in the French patients with spondylarthropathies exposed to
TNF-alpha antagonists. The IBD mainly corresponded to Crohn's or Crohn's-like
disease. On the contrary, new onset IBD is less frequently observed in other
cases of IRD and with other TNF--alpha blockers.
PMID- 22088935
TI - A self-administered questionnaire to screen the risk of dementia: a pilot study
and the results of a comparison with the mini-mental state examination (MMSE).
AB - Cognitive impairment is an age-related condition as the rate of cognitive decline
rapidly increases with aging. The aim of this study was to screen the risk of
cognitive decline in people over 60 years from 16 different Italian cities, by
comparing the results of a self-administered questionnaire with the MMSE. We
analyzed data from 203 persons aged 60 years and over, who voluntarily accepted
to participate during the "Second Prevention Day for AD". A self-administered
questionnaire, developed by clinicians of our Department of Aging, was
distributed to all participants, in order to easily screen the risk of cognitive
impairment. Then, all subjects underwent cognitive assessment by MMSE. We
esteemed the risk of cognitive impairment of all participants basing on MMSE
scores (no risk, mild and moderate risk) and we compared this assessment with the
results obtained by the self-administered questionnaire. The comparison between
the risk of cognitive impairment revealed by our questionnaire and the risk
esteemed by MMSE resulted in a discrepancy in 43.96% of cases in no risk class.
In mild risk group there was a discrepancy of results in 70.53% of subjects. In
moderate risk class there was a discrepancy of results in 38.46% of individuals.
Our questionnaire resulted to be accurate for the evaluation of patients with
moderate risk of cognitive impairment. It showed a lower accuracy for the mild
risk class, often overestimating the risk of cognitive decline.
PMID- 22088936
TI - Topography of cortical thinning areas associated with hippocampal atrophy (HA) in
patients with Alzheimer's disease (AD).
AB - Prior studies have shown that patients with AD have decreased functional or
structural connectivity between the hippocampus and other brain areas. To the
best of our knowledge, however, there have been no studies investigating the
topography of cortical thinning areas and correlations with HA using surface
based morphometry of three-dimensional (3D) T1-weighted magnetic resonance (MR)
images. Cortical thickness was measured using SBM, and hippocampal volume was
measured using an automated method, in 219 patients with AD and 54 subjects with
no cognitive impairment (NCI). A partial correlation model was used in analysis
of cortical thinning related to HA. Cortical thinning areas related to HA were
found mostly within areas associated with polysynaptic or direct pathways of the
hippocampus, a finding consistent with the disconnection hypothesis. Therefore,
the cortical atrophy related to HA in patients with AD may represent disrupted
cortical brain networks in connection with HA. However, since the topography of
HA-related cortical thinning in groups with Clinical Dementia Ratings (CDR) of
0.5 and 1 corresponded to the stages I-II and III-IV of Braak and Braak staging,
respectively, we could not exclude the possibility of the "concomitant
hypothesis," i.e. that these areas are affected concomitantly with the
hippocampus.
PMID- 22088937
TI - The effect of aging and dental status on the frequency of eating out.
AB - Although many studies have investigated the effect of impaired dentition on
eating habits and social expression, very few studies have evaluated the effect
of age and dental status on the frequency of eating out, which was the purpose of
the present study. A sample of 161 community-dwelling adults aged between 20 and
94 years of age was interviewed and then clinically examined to record dental and
denture status. The bivariate analyses revealed that those who were eating out
less often were more likely older, widowed, pensioners, with elementary or less
than elementary education, suffering from hypertension, overweight or obese, with
bad self-rated health, complaining for xerostomia, with difficulties chewing hard
food and with fewer natural teeth. Multiple logistic regression analysis
identified as significant predictors for less frequently eating out older age and
low educational level. These results suggest that in the particular cultural
environment the dental status is not an independent predictor of the frequency of
eating out, but other biological and social factors play a more significant role.
PMID- 22088938
TI - A pilot test of a combined tobacco dependence treatment and lung cancer screening
program.
AB - Lung cancer screening with computed tomography has demonstrated a significant
reduction in mortality. While these findings are important for the lung cancer
research field, the most important risk factor for lung cancer, i.e. smoking,
should not be ignored. We performed a pilot study to examine the feasibility of
delivering a program that included both tobacco dependence treatment and lung
cancer screening. The objectives of this study were to: (1) estimate the
proportion of smokers who complied with a 12-week treatment protocol that
included both tobacco dependence treatment and lung cancer screening, (2) obtain
preliminary estimates of abstinence and quit attempts at 4 and 6 months, and (3)
obtain preliminary estimates of the cognitive social health information
processing (C-SHIP) constructs and how they change following the intervention. In
this randomized pilot study, 18 volunteers completed a 12-week protocol: half
received the tobacco dependence treatment program before a CT scan (BCT) and the
other received the CT scan first, followed by the treatment program (ACT). The
treatment protocol included both nurse-delivered telephone counseling and either
nicotine replacement therapy or varenicline. Only one person did not complete all
follow-up evaluations. At 4 months post enrollment, the carbon monoxide confirmed
quit rates were 33.3% in the BCT arm and 22.2% in the ACT arm (27.8% overall),
and all but one had made a 24-h attempt to quit. At 6 months the confirmed
abstinence decreased to 22.1% in the BCT arm and 11.1% in the ACT arm (16.7%
overall), and 72.2% of participants had made a 24-h quit attempt. These
preliminary results suggest that it might be better to deliver treatment before
the screening test. Future randomized trials with a larger sample size are needed
to confirm these findings.
PMID- 22088939
TI - Immunosuppression and lung cancer of donor origin after bilateral lung
transplantation.
AB - Analysis of databases from transplant recipients revealed a 3-5 fold higher risk
to develop de novo malignancies under continued immunosuppression. The underlying
mechanisms are poorly understood. Here we describe a patient who received a
bilateral lung transplantation for end-stage 'usual interstitial pneumonia' (UIP)
resulting in idiopathic lung fibrosis. The recipient presented with a non-small
cell lung carcinoma (NSCLC) in the donor lung 7 months later. Molecular and
immunological typing of the tumor revealed a cancer of donor origin with a
prominent intratumoral immune cell infiltrate without detectable effector
function. This is a unique case of de novo outgrowth of a NSCLC of donor origin
under continued immunosuppression, supporting the concept of tumor
immunosurveillance in vivo.
PMID- 22088940
TI - Placenta: predicting future health.
PMID- 22088941
TI - Growth, metastasis, and expression of CCL2 and CCL5 by murine mammary carcinomas
are dependent upon Myd88.
AB - Previously we reported that lipopolysaccharide (LPS) treatment of murine mammary
carcinomas resulted in decreased growth of the tumors. Here we show the decreased
growth following LPS treatment was mediated through effects downstream of TLR4
and Myd88. Perhaps more notably, simply reducing TLR4 or Myd88 levels was
sufficient to slow tumor growth rates. Moreover, reduced levels of Myd88
correlated with a significant reduction in lung metastasis as well as decreased
CCL2 and CCL5 expression. To determine whether inhibiting Myd88 function could
also alter tumor growth and chemokine expression we used a Myd88 homodimerization
inhibitory peptide. Indeed, inhibiting Myd88 function in four different murine
mammary carcinomas as well as the human breast cancer cell line MDA-MB-231 led to
decreased growth as well as CCL2 and CCL5 expression. These data imply that Myd88
is important for growth and metastasis of breast cancer, and expression of at
least two proinflammatory chemokines.
PMID- 22088942
TI - Subsensory galvanic vestibular stimulation augments arterial pressure control
upon head-up tilt in human subjects.
AB - The vestibular system plays an important role in control of arterial pressure
(AP) upon head-up tilt (HUT). To examine this role in human subjects, we
previously compared changes in AP with and without high-amplitude galvanic
vestibular stimulation (GVS), which is considered to obscure vestibular input. In
contrast, regarding sensory function in skin and muscle, it has been documented
that low-amplitude electrical stimulation improves both sensitivity and response.
In the present study, we examined whether GVS of smaller amplitude improves AP
control upon HUT. GVS was applied at the amplitude of the somatosensory threshold
(0.3-0.8 mA), 0.1 mA over the threshold, and 0.1 and 0.2 mA below the threshold
during HUT. AP decreased at the onset of HUT compared with that in the supine
position in 15 of 25 subjects without GVS (-12+/-2 mmHg), but applying GVS at 0.1
mA below the somatosensory threshold diminished the decrease (0.3+/-0.7 mmHg).
The APs of another 10 subjects were maintained or decreased by less than 5 mmHg
without GVS at the onset of HUT (4+/-2 mmHg), but applying GVS at the amplitude
of 0.1 mA below the somatosensory threshold further increased the AP (12+/-2
mmHg). GVS at the other amplitudes did not result in AP changes in either group.
Thus, subsensory weak GVS enhances AP control at the onset of HUT.
PMID- 22088943
TI - alpha2-Adrenergic receptor distribution and density within the nucleus tractus
solitarii of normotensive and hypertensive rats during development.
AB - The nucleus tractus solitarii (NTS), located in the brainstem, is one of the main
nuclei responsible for integrating different signals in order to originate a
specific and orchestrated autonomic response. Antihypertensive drugs are well
known to stimulate alpha(2)-adrenoceptor (alpha(2R)) in brainstem cardiovascular
regions to induce reduction in blood pressure. Because alpha(2R) impairment is
present in several models of hypertension, the aim of the present study was to
investigate the distribution and density of alpha(2R) binding within the NTS of
Wistar Kyoto (WKY) and spontaneously hypertensive (SHR) rats during development
(1,15,30 and 90 day-old) by an in vitro autoradiographical study. The NTS shows
heterogeneous distribution of alpha(2R) in dorsomedial/dorsolateral, subpostremal
and medial/intermediate subnuclei. Alpha(2R) increased from rostral to caudal
dorsomedial/dorsolateral subnuclei in 30 and 90 day-old SHR but not in WKY.
Alpha(2R) decreased from rostral to caudal subpostremal subnucleus in 15, 30 and
90 day-old SHR but not in WKY. Medial/intermediate subnuclei did not show any
changes in alpha(2R) according to NTS levels. Furthermore, alpha(2R) are
decreased in SHR as compared with WKY in all NTS subnuclei and in different ages.
Surprisingly, alpha(2R) impairment was also found in pre-hypertensive stages,
specifically in subpostremal subnucleus of 15 day-old rats. Finally, alpha(2R)
decrease from 1 to 90 day-old rats in all subnuclei analyzed. This decrease is
different between strains in rostral dorsomedial/dorsolateral and caudal
subpostremal subnuclei within the NTS. In summary, our results highlight the
importance of alpha(2R) distribution within the NTS regarding the neural control
of blood pressure and the development of hypertension.
PMID- 22088945
TI - The characterisation of two halogenated cathinone analogues: 3,5
difluoromethcathinone and 3,5-dichloromethcathinone.
AB - Australia has seen an increase in the importation and use of drugs that are
marketed and sold as "Legal Highs". These compounds have largely tended to be
various cathinone analogues, with 4-methylmethcathinone the most prominent to
date. In January 2009, unknown samples were submitted for analysis along with a
large seizure of 3-fluoromethcathinone as part of a police operation. The samples
were analysed and determined to be 3,5-difluoromethcathinone and 3,5
dichloromethcathinone. These compounds were synthesised and characterised. The GC
MS data of the samples and their N-acetyl derivatives, NMR, vapour-phase and
condensed-phase IR for these previously unreported compounds are presented. This
analytical data will enable laboratories to confirm the presence of these
compounds in the absence of commercially available reference standards.
PMID- 22088944
TI - Trypanosomes and the solution to a 50-year mitochondrial calcium mystery.
AB - The ability of mitochondria to take up Ca(2+) was discovered 50 years ago. This
calcium uptake, through a mitochondrial calcium uniporter (MCU), is important not
only for the regulation of cellular ATP concentration but also for more complex
pathways such as shaping Ca(2+) signals and the activation of programmed cell
death. The molecular nature of the uniporter remained unknown for decades. By a
comparative study of mitochondrial protein profiles of organisms lacking or
possessing MCU, such as yeast in the former case and vertebrates and trypanosomes
in the latter, two groups recently found the protein that possesses all the
characteristics of the MCU. These results add another success story to the
already substantial contributions of trypanosomes to mammalian biochemistry.
PMID- 22088946
TI - Society of Hair Testing guidelines for drug testing in hair.
AB - The Society of Hair Testing (SoHT) Guidelines for Drug Testing in Hair provide
laboratories with recommended best practice guidelines whether they are currently
offering drug testing in hair, or plan to offer a hair testing service in the
future. The guidelines include reference to recommended sample collection and
storage procedures, through sample preparation, pre-treatment and analysis and
the use of cut-offs.
PMID- 22088947
TI - Parameters of arterial stiffness: hypertensive and diabetic patients vs controls.
PMID- 22088948
TI - Expanded endonasal approach for the clipping of a ruptured basilar aneurysm and
feeding artery to a cerebellar arteriovenous malformation.
AB - While endovascular techniques play a significant and expanding role in the
management of basilar trunk aneurysms, open surgical clipping remains necessary
in select cases. Expanded endonasal transclival approaches offer the advantage of
direct access and visualization of the midline vertebrobasilar system, benefits
ideally suited to a basilar trunk aneurysm. A 59-year old woman with subarachnoid
hemorrhage was found to have a ruptured basilar trunk aneurysm associated with a
feeding vessel to a small cerebellar arteriovenous malformation (AVM). An
expanded endoscopic endonasal transclival approach was used to successfully clip
the basilar trunk aneurysm and feeding AVM vessel. The patient was subsequently
discharged home without any neurological deficits. Transclival clipping of
basilar trunk aneurysms is technically feasible and plays an important role in
management when other strategies fail. The technical benefits of this approach
include proximal and distal control of the basilar artery and improved
visualization of the brainstem and perforators. Endoscopic transclival approaches
should be considered in the management of complex basilar trunk aneurysms.
PMID- 22088949
TI - Focal brain atrophy in gastric bypass patients with cognitive complaints.
AB - Recently, we have identified a series of patients presenting with cognitive
complaints after gastric bypass, without any identifiable etiology. We aimed to
determine if focal brain atrophy could account for the complaints. A
retrospective case series was performed to identify patients with cognitive
complaints following gastric bypass who had a volumetric MRI. Voxel-based
morphometry was used to assess patterns of grey matter loss in all 10 patients
identified, compared to 10 age and gender-matched controls. All patients had
undergone Roux-en-Y gastric bypass surgery at a median age of 54 (range: 46-64).
Cognitive complaints developed at a median age of 57 (52-69). Formal
neuropsychometric testing revealed only minor deficits. No nutritional
abnormalities were identified. Voxel-based morphometry demonstrated focal
thalamic atrophy in the gastric bypass patients when compared to controls.
Patients with cognitive complaints after gastric bypass surgery may have focal
thalamic brain atrophy that could result in cognitive impairment.
PMID- 22088950
TI - Diffuse central neurocytoma with craniospinal dissemination.
AB - Central neurocytomas (CN) are benign central nervous system (CNS) tumors of
neuroglial origin that represent 0.25 to 0.5% of all intracranial tumors in
adults and an even smaller proportion of pediatric CNS tumors. These tumors
characteristically occur in the subependymal layer of the lateral ventricle near
the foramen of Monro and appear as sharply demarcated, solitary lesions. Surgical
resection is considered curative, as the reported recurrence rate is less than 5%
for patients with localized disease. In this report, we describe the case of a
three-year-old boy with a diffuse CN with craniospinal dissemination identified
at the time of diagnosis. Given the extensive nature of the disease, surgical
resection was not indicated and he underwent a chemotherapeutic regimen of
vincristine and carboplatin. At 18 months followup, the patient has completed 6
of 8 total cycles of vincristine and carboplatin and serial imaging shows stable
disease within the craniospinal axis.
PMID- 22088951
TI - Entrapment of the extensor pollicis longus tendon after a radial fracture in a
child.
PMID- 22088952
TI - Re: Ozcelik B, Egemen O, Sacak B. How to prevent the avulsed soft tissues from
wrapping around the K-wire. J Hand Surg Eur. 2011, 36: 518-9.
PMID- 22088953
TI - The metabotropic glutamate receptor 4-positive allosteric modulator VU0364770
produces efficacy alone and in combination with L-DOPA or an adenosine 2A
antagonist in preclinical rodent models of Parkinson's disease.
AB - Parkinson's disease (PD) is a debilitating neurodegenerative disorder associated
with severe motor impairments caused by the loss of dopaminergic innervation of
the striatum. Previous studies have demonstrated that positive allosteric
modulators (PAMs) of metabotropic glutamate receptor 4 (mGlu4), including N
phenyl-7-(hydroxyimino) cyclopropa[b]chromen-1a-carboxamide, can produce
antiparkinsonian-like effects in preclinical models of PD. However, these early
mGlu4 PAMsexhibited unsuitable physiochemical properties for systemic dosing,
requiring intracerebroventricular administration and limiting their broader
utility as in vivo tools to further understand the role of mGlu4 in the
modulation of basal ganglia function relevant to PD. In the present study, we
describe the pharmacologic characterization of a systemically active mGlu4 PAM, N
(3-chlorophenyl)picolinamide (VU0364770), in several rodent PD models. VU0364770
showed efficacy alone or when administered in combination with L-DOPA or an
adenosine 2A (A2A) receptor antagonist currently in clinical development
(preladenant). When administered alone, VU0364770 exhibited efficacy in reversing
haloperidol-induced catalepsy, forelimb asymmetry-induced by unilateral 6
hydroxydopamine (6-OHDA) lesions of the median forebrain bundle, and attentional
deficits induced by bilateral 6-OHDA nigrostriatal lesions in rats. In addition,
VU0364770 enhanced the efficacy of preladenant to reverse haloperidol-induced
catalepsy when given in combination. The effects of VU0364770 to reverse forelimb
asymmetry were also potentiated when the compound was coadministered with an
inactive dose of L-DOPA, suggesting that mGlu4 PAMs may provide L-DOPA-sparing
activity. The present findings provide exciting support for the potential role of
selective mGlu4 PAMs as a novel approach for the symptomatic treatment of PD and
a possible augmentation strategy with either L-DOPA or A2A antagonists.
PMID- 22088954
TI - Roscovitine inhibits CaV3.1 (T-type) channels by preferentially affecting closed
state inactivation.
AB - T-type calcium channels (Ca(V)3) play an important role in many physiological and
pathological processes, including cancerogenesis. Ca(V)3 channel blockers have
been proposed as potential cancer treatments. Roscovitine, a trisubstituted
purine, is a cyclin-dependent kinase (CDK) inhibitor that is currently undergoing
phase II clinical trials as an anticancer drug and has been shown to affect
calcium and potassium channel activity. Here, we investigate the effect of
roscovitine on Ca(V)3.1 channels. Ca(V)3.1 channels were transiently expressed in
human embryonic kidney 293 cells, and currents were recorded by using the whole
cell patch-clamp technique. Roscovitine blocks Ca(V)3.1 channels with higher
affinity for depolarized cells (EC50 of 10 MUM), which is associated with a
negative shift in the voltage dependence of closed-state inactivation. Enhanced
inactivation is mediated by roscovitine-induced acceleration of closed-state
inactivation and slowed recovery from inactivation. Small effects of roscovitine
were also observed on T-channel deactivation and open-state inactivation, but
neither could explain the inhibitory effect. Roscovitine inhibits Ca(V)3.1
channels within the therapeutic range (10-50 MUM) in part by stabilizing the
closed-inactivated state. The ability of roscovitine to block multiple mediators
of proliferation, including CDKs and Ca(V)3.1 channels, may facilitate its
anticancer properties.
PMID- 22088955
TI - Identification of novel molecular scaffolds for the design of MMP-13 inhibitors:
a first round of lead optimization.
AB - Osteoarthritis (OA) is the leading cause of joint pain and disability in middle
aged and elderly patients, and is characterized by progressive loss of articular
cartilage. Among the various matrix metalloproteinases (MMPs), MMP-13 is
specifically expressed in the cartilage of human OA patients and is not present
in normal adult cartilage. Thus, MMP-13-selective inhibitors are promising
candidates in osteoarthritis therapy. Recently, we designed an N-isopropoxy
arylsulfonamide-based hydroxamate inhibitor, which showed low nanomolar activity
and high selectivity for MMP-13. In parallel to further studies aiming to assess
the in vivo activity of our compound, we screened the Life Chemicals database
through computational docking to seek for novel scaffolds as zinc-chelating non
hydroxamate inhibitors. Experimental evaluation of 20 selected candidate
compounds verified five novel leads with IC(50) in the low MUM range. These newly
discovered inhibitors are structurally unrelated to the ones known so far and
provide useful scaffolds to develop compounds with more desirable properties.
Finally, a first round of structure-based optimization on lead 1 was accomplished
and led to an increase in potency of more than 5 fold.
PMID- 22088956
TI - Identification and development of 2,5-disubstituted oxadiazole as potential
candidate for treatment of XDR and MDR tuberculosis.
AB - Tuberculosis, the infection on the verge of eradication once, is now a great
threat to mankind. Emergence of MDR and XDR-TB synergised with HIV and other
immune-compressive diseases have increased the life threatening capacities of the
disease. A small molecule has been identified here, which showed potent anti
tubercular activity. The identified hit compound has also been proved active
against nearly 25 clinical isolates comparable with isoniazid.
PMID- 22088957
TI - Effect of leachate recirculation on mesophilic anaerobic digestion of food waste.
AB - The effects of using untreated leachate for supplemental water addition and
liquid recirculation on anaerobic digestion of food waste was evaluated by
combining cyclic water recycle operations with batch mesophilic biochemical
methane potential (BMP) assays. Cyclic BMP assays indicated that using an
appropriate fraction of recycled leachate and fresh make up water can stimulate
methanogenic activity and enhance biogas production. Conversely increasing the
percentage of recycled leachate in the make up water eventually causes
methanogenic inhibition and decrease in the rate of food waste stabilization. The
decrease in activity is exacerbated as the number cycles increases. Inhibition is
possibly attributed to accumulation and elevated concentrations of ammonia as
well as other waste by products in the recycled leachate that inhibit
methanogenesis.
PMID- 22088958
TI - Assessment of biotechnological strategies for the valorization of metal bearing
wastes.
AB - The present work deals with the application of biotechnology for the mobilization
of metals from different solid wastes: end of life industrial catalysts, heavy
metal contaminated marine sediments and fluorescent powders coming from a cathode
ray tube glass recycling process. Performed experiments were aimed at assessing
the performance of acidophilic chemoautotrophic Fe/S-oxidizing bacteria for such
different solid matrices, also focusing on the effect of solid concentration and
of different substrata. The achieved results have evidenced that metal
solubilization seems to be strongly influenced by the metal speciation and
partitioning in the solid matrix. No biological effect was observed for Ni, Zn,
As, Cr mobilization from marine sediments (34%, 44%, 15%, 10% yields,
respectively) due to metal partitioning. On the other hand, for spent refinery
catalysts (Ni, V, Mo extractions of 83%, 90% and 40%, respectively) and
fluorescent powders (Zn and Y extraction of 55% and 70%, respectively), the
improvement in metal extraction observed in the presence of a microbial activity
confirms the key role of Fe/S oxidizing bacteria and ferrous iron. A negative
effect of solid concentration was in general observed on bioleaching
performances, due to the toxicity of dissolved metals and/or to the solid organic
component.
PMID- 22088959
TI - Techno-economic evaluation of ultrasound and thermal pretreatments for enhanced
anaerobic digestion of municipal waste activated sludge.
AB - To enhance the anaerobic digestion of municipal waste-activated sludge (WAS),
ultrasound, thermal, and ultrasound+thermal (combined) pretreatments were
conducted using three ultrasound specific energy inputs (1000, 5000, and 10,000
kJ/kg TSS) and three thermal pretreatment temperatures (50, 70 and 90 degrees C).
Prior to anaerobic digestion, combined pretreatments significantly improved
volatile suspended solid (VSS) reduction by 29-38%. The largest increase in
methane production (30%) was observed after 30 min of 90 degrees C pretreatment
followed by 10,000 kJ/kg TSS ultrasound pretreatment. Combined pretreatments
improved the dimethyl sulfide (DMS) removal efficiency by 42-72% but did not show
any further improvement in hydrogen sulfide (H(2)S) removal when compared with
ultrasound and thermal pretreatments alone. Economic analysis showed that
combined pretreatments with 1000 kJ/kg TSS specific energy and differing thermal
pretreatments (50-90 degrees C) can reduce operating costs by $44-66/ton dry
solid when compared to conventional anaerobic digestion without pretreatments.
PMID- 22088960
TI - Different treatment strategies for highly polluted landfill leachate in
developing countries.
AB - The aim of this research was to determine appropriate treatment technique for
effective treatment of heavily polluted landfill leachate. We accomplished
several treatment experiments: (i) aerobic biological treatment, (ii) chemical
coagulation, (iii) advanced oxidation process (AOP) and (iv) several combined
treatment strategies. Efficiency of these treatment procedures were monitored by
analysing COD and colour removal. Leachate used for this study was taken from
Matuail landfill site at Dhaka city. With extended aeration process which is
currently used in Matuail landfill site for leachate treatment, maximum COD and
colour removal of 36% and 20%, respectively could be achieved with optimum
retention period of 7 days. With optimum aluminium sulphate dose of 15,000 mg/L
and pH value of 7.0, maximum COD and colour removals of 34% and 66%, respectively
were observed by using chemical coagulation. With optimum pH of 5.0 and optimum
dosages of reagents having H(2)O(2)/Fe(2+) molar ratio of 1.3 the highest removal
of COD and colour were found 68% and 87%, respectively with sludge production of
55%. Fenton treatment which is an advanced oxidation process was the most
successful between these three separate treatment procedures. Among the combined
treatment options performed, extended aeration followed by Fenton method was the
most suitable one.
PMID- 22088961
TI - Anaerobic digestion of chicken feather with swine manure or slaughterhouse sludge
for biogas production.
AB - Biogas production from anaerobic digestion of chicken feathers with swine manure
or slaughterhouse sludge was assessed in two separate experiments. Ground
feathers without any pre-treatment were added to 42-L digesters inoculated with
swine manure or slaughterhouse sludge, representing 37% and 23% of total solids,
respectively and incubated at 25 degrees C in batch mode. Compared to the control
without feather addition, total CH(4) production increased by 130% (P<0.001) and
110% (P=0.09) in the swine manure and the slaughterhouse sludge digesters,
respectively. Mixed liquor NH(4)N concentration increased (P<0.001) from 4.8 and
3.1g/L at the beginning of the digestion to 6.9 and 3.5 g/L at the end of
digestion in the swine manure and the slaughterhouse sludge digesters,
respectively. The fraction of proteolytic microorganisms increased (P<0.001)
during the digestion from 12.5% to 14.5% and 11.3% to 13.0% in the swine manure
and the slaughterhouse sludge digesters with feather addition, respectively, but
decreased in the controls. These results are reflective of feather digestion.
Feather addition did not affect CH(4) yields of the swine manure digesters
(P=0.082) and the slaughterhouse sludge digesters (P=0.21), indicating that
feathers can be digested together with swine manure or slaughterhouse sludge
without negatively affecting the digestion of swine manure and slaughterhouse
sludge.
PMID- 22088962
TI - Bias correction in the hierarchical likelihood approach to the analysis of
multivariate survival data.
AB - Frailty models are useful for measuring unobserved heterogeneity in risk of
failures across clusters, providing cluster-specific risk prediction. In a
frailty model, the latent frailties shared by members within a cluster are
assumed to act multiplicatively on the hazard function. In order to obtain
parameter and frailty variate estimates, we consider the hierarchical likelihood
(H-likelihood) approach (Ha, Lee and Song, 2001. Hierarchical-likelihood approach
for frailty models. Biometrika 88, 233-243) in which the latent frailties are
treated as "parameters" and estimated jointly with other parameters of interest.
We find that the H-likelihood estimators perform well when the censoring rate is
low, however, they are substantially biased when the censoring rate is moderate
to high. In this paper, we propose a simple and easy-to-implement bias correction
method for the H-likelihood estimators under a shared frailty model. We also
extend the method to a multivariate frailty model, which incorporates complex
dependence structure within clusters. We conduct an extensive simulation study
and show that the proposed approach performs very well for censoring rates as
high as 80%. We also illustrate the method with a breast cancer data set. Since
the H-likelihood is the same as the penalized likelihood function, the proposed
bias correction method is also applicable to the penalized likelihood estimators.
PMID- 22088963
TI - Arousal modulates temporal preparation under increased time uncertainty: Evidence
from higher-order sequential foreperiod effects.
AB - When the foreperiod (FP) is unpredictably varied in reaction-time tasks,
responses are slow at short but fast at long FPs (variable-FP effect), and
further vary asymmetrically as a function of FP sequence (sequential FP effect).
A trace-conditioning model attributes these phenomena to time-related associative
learning, while a dual-process model views them as resulting from combined
effects of strategic preparation and trial-to-trial changes in arousal.
Sometimes, responses are slower in long-long than in short-long FP sequences.
This pattern is not predicted from the trace-conditioning account, since FP
repetitions should speed up, rather than slow down, responses (due to
reinforcement). The effect, however, might indicate the contribution of arousal,
which according to the dual-process model, is heightened after a short FP(n-1)
but decreased after a long FP(n-1). In five experiments, we examined higher-order
sequential FP effects on performance, with a particular emphasis on analyzing
performance in long-FP(n) trials as a function of FP length in the two preceding
trials, varying temporal FP context (i.e. average FP length) and reaction mode
(simple vs. choice reaction). Slower responses in long-long-long (compared with
short-short-long) FP sequences were not found within a short-FP context (Exps. 1
& 2) but clearly emerged within a long-FP context (Exps. 3-5). This pattern
supports the notion that transient arousal changes contribute to sequential
performance effects in variable-FP tasks, in line with the dual-process account
of temporal preparation.
PMID- 22088964
TI - Diversity of human leukemia xenograft mouse models: implications for disease
biology.
AB - Over the past decade, xenografting human leukemia cells into mice with different
levels of immunodeficiency, with or without preconditioning, has provided an
important tool to study various aspects of leukemia biology and to identify
distinct clinical risk groups for evaluation of novel therapeutic strategies, as
well as the possibility of amplifying human leukemia cells in vivo.
Interestingly, these models using human acute lymphoblastic leukemia and acute
myeloid leukemia cells as xenografts recapitulate many clinical features of the
disease. Similar to the human environment (for example, in the bone marrow),
transplanted leukemia cells in the murine setting are exposed to both favorable
and unfavorable conditions for engraftment that may exert a distinct pressure for
selection of subclones. Thus, results obtained in these models may vary depending
on the experimental setup. The impact of in vivo growth of human leukemia cells
on the background of a more or less hostile murine environment for leukemia
biology and the course of the disease in patients are discussed in the context of
the diversity of xenograft models.
PMID- 22088965
TI - Identification of tumorigenic cells in Kras(G12D)-induced lung adenocarcinoma.
AB - We established an inducible Kras(G12D)-driven lung adenocarcinoma in CCSP
rtTA/TetO-Cre/LSL-Kras(G12D) mice that enable pursuits of the cellular and
molecular processes involved in Kras-induced tumorigenesis. To investigate the
cellular origin of this cancer, we first report a strategy using fluorescence
activated cell sorting fractionation that could highly enrich bronchiolar Clara
and alveolar type II cells, respectively. The EpCAM(+)MHCII(-) cells (bronchiolar
origin) were more enriched with tumorigenic cells in generating secondary tumors
than EpCAM(+)MHCII(+) cells (alveolar origin) in primary tumors that had been
already initiated with oncogenic Kras activation. In addition, secondary tumors
derived from EpCAM(+)MHCII(-) cells showed diversity of tumor locations compared
with those derived from EpCAM(+)MHCII(+) cells. In the alveolar region, secondary
tumors from EpCAM(+)MHCII(-) cells expressed not only bronchiolar epithelial
marker, panCK, but also differentiation marker, proSPC, consistent with the
notion that cancer-initiating cells display not only the abilities for self
renewal but also the features of differentiation to generate heterogeneous tumors
with phenotypic diversity. Furthermore, high level of ERK1/2 activation and
colony-forming ability as well as lack of Sprouty-2 expression were also observed
in EpCAM(+)MHCII(-) cells. Therefore, these results suggest that bronchiolar
Clara cells are the origin of cells and tumorigenesis for Kras(G12D)-induced
neoplasia in the lungs.
PMID- 22088966
TI - Effect of fruit and vegetable intake on oxidative stress and inflammation in
COPD: a randomised controlled trial.
AB - Epidemiological evidence supports a positive relationship between fruit and
vegetable (FV) intake, lung function and chronic obstructive pulmonary disease
(COPD). Increasing FV intake may attenuate the oxidative stress and inflammation
associated with COPD. An exploratory randomised controlled trial to examine the
effect of increased consumption of FV on oxidative stress and inflammation in
moderate-to-severe COPD was conducted. 81 symptomatically stable patients with a
habitually low FV intake (two or fewer portions of FV per day) were randomised to
the intervention group (five or more portions of FV per day) or the control group
(two or fewer portions of FV per day). Each participant received self-selected
weekly home deliveries of FV for 12 weeks. 75 participants completed the
intervention. There was a significant between-group change in self-reported FV
intake and biomarkers of FV intake (zeaxanthin (p = 0.034) and beta-cryptoxanthin
(p = 0.015)), indicating good compliance; post-intervention intakes in
intervention and control groups were 6.1 and 1.9 portions of FV per day,
respectively. There were no significant changes in biomarkers of airway
inflammation (interleukin-8 and myeloperoxidase) and systemic inflammation (C
reactive protein) or airway and systemic oxidative stress (8-isoprostane). This
exploratory study demonstrated that patients with moderate-to-severe COPD were
able to comply with an intervention to increase FV intake; however, this had no
significant effect on airway or systemic oxidative stress and inflammation.
PMID- 22088967
TI - Community-acquired pneumonia in younger patients is an entity on its own.
AB - Community-acquired pneumonia (CAP) is now most frequent in elderly patients. CAP
in the younger patient has attracted much less attention. Therefore, we compared
patients with CAP aged 18 to <65 yrs with those aged >= 65 yrs. Data from the
prospective multicentre Competence Network for Community Acquired Pneumonia Study
Group (CAPNETZ) database were analysed for potential differences in baseline
characteristics, comorbidities, clinical presentation, microbial investigations,
aetiologies, antimicrobial treatment and outcomes. Overall, 7,803 patients were
studied. The proportion of younger patients (aged <65 yrs) was 52.3% (18 to <30
yrs 6.4%; <40 yrs 17.1%; <50 yrs 29.4%). Comorbidity was present in only half of
the younger patients (46.6% versus 88.2%). Fever and chest pain were more common.
Most younger patients presented with mild CAP (74.0% had a CRB-65 [corrected]
score of 0 (confusion of new onset, [corrected] respiratory rate of >= 30 breaths
. min(-1), blood pressure <90 mmHg or diastolic blood pressure <= 60 mmHg, age >=
65 yrs)). Overall, Streptococcus pneumoniae and Mycoplasma pneumoniae were the
most frequent pathogens in the younger patients. Short-term mortality was very
low (1.7% versus 8.2%) and even lower in patients without comorbidity (0.3%
versus 2.4%). Long-term mortality was 3.2% versus 15.9%, also lower in patients
without comorbidity (0.8% versus 6.1%). Most of the differences found clearly
arise after the fifth or within the middle of the sixth decade. CAP in the
younger patient is a clinically distinct entity.
PMID- 22088968
TI - CXCR1 and CXCR2 haplotypes synergistically modulate cystic fibrosis lung disease.
AB - Cystic fibrosis (CF) lung disease severity is largely independent on the CF
transmembrane conductance regulator (CFTR) genotype, indicating the contribution
of genetic modifiers. The chemokine receptors CXCR1 and CXCR2 have been found to
play essential roles in the pathogenesis of CF lung disease. Here, we determine
whether genetic variation of CXCR1 and CXCR2 influences CF lung disease severity.
Genomic DNA of CF patients in Germany (n = 442) was analysed for common
variations in CXCR1 and CXCR2 using a single-nucleotide polymorphism (SNP)
tagging approach. Associations of CXCR1 and CXCR2 SNPs and haplotypes with CF
lung disease severity, CXCR1 and CXCR2 expression, and neutrophil effector
functions were assessed. Four SNPs in CXCR1 and three in CXCR2 strongly
correlated with age-adjusted lung function in CF patients. SNPs comprising
haplotypes CXCR1_Ha and CXCR2_Ha were in high linkage disequilibrium and patients
heterozygous for the CXCR1-2 haplotype cluster (CXCR1-2_Ha) had lower lung
function compared with patients with homozygous wild-type alleles (forced
expiratory volume in 1 s <= 70% predicted, OR 7.24; p = 2.30 * 10(-5)). CF
patients carrying CXCR1-2_Ha showed decreased CXCR1 combined with increased CXCR2
mRNA and protein expression, and displayed disturbed antibacterial effector
functions. CXCR1 and CXCR2 genotypes modulate lung function and antibacterial
host defence in CF lung disease.
PMID- 22088969
TI - Ventilation/perfusion lung scan in pulmonary veno-occlusive disease.
AB - Pulmonary veno-occlusive disease (PVOD), a rare form of pulmonary arterial
hypertension (PAH), requires histological proof for definitive diagnosis;
however, lung biopsy is not recommended in PAH. Recent conjoint European
Respiratory Society/European Society of Cardiology guidelines suggest that
nonmatched perfusion defects on ventilation/perfusion (V'/Q') lung scanning in
PAH patients may suggest PVOD. The aim of our study was to evaluate V'/Q' lung
scans in a large cohort of PVOD and idiopathic or heritable PAH patients. V'/Q'
lung scans from 70 patients with idiopathic or heritable PAH and 56 patients with
confirmed or highly probable PVOD were reviewed in a double-blind manner. The
vast majority of V'/Q' lung scans were normal or without significant
abnormalities in both groups. No differences in ventilation or perfusion lung
scans were observed between PAH and PVOD patients (all p>0.05). Furthermore, no
differences were observed between confirmed (n=31) or highly probable PVOD
(n=25). Nonmatched perfusion defects were found in seven (10%) idiopathic PAH
patients and four (7.1%) PVOD patients (p>0.05). Nonmatched perfusion defects
were rarely seen in a large cohort of idiopathic or heritable PAH and PVOD
patients. Future recommendations should be amended according to these results
suggesting that V'/Q' lung scanning is not useful in discriminating PVOD from
idiopathic PAH.
PMID- 22088970
TI - Early detection of COPD is important for lung cancer surveillance.
AB - It is well known that chronic obstructive pulmonary disease (COPD) is a
significant risk factor for lung cancer. Approximately 1% of COPD patients
develop lung cancer every year, which may be associated with genetic
susceptibility to cigarette smoke. Chronic inflammation caused by toxic gases can
induce COPD and lung cancer. Inflammatory mediators may promote the growth of
bronchioalveolar stem cells, and activation of nuclear factor-kappaB and signal
transducer and activator of transcription 3 play crucial roles in the development
of lung cancer from COPD. Low-dose computed tomography (LDCT) is an effective
procedure for the early detection of lung cancer in high-risk patients. However,
determining which patients should be screened for lung cancer in a primary care
setting is difficult. In this article, we review the epidemiology and aetiology
of lung cancer associated with COPD, verify the efficacy of lung cancer screening
by LDCT, and discuss the importance of early detection of COPD for lung cancer
surveillance. We propose that, for the prevention of both diseases, COPD
screening in smokers should be initiated as early as possible, so they can stop
smoking and so that candidates for an efficient lung cancer screening programme
can be identified.
PMID- 22088971
TI - Lack of involvement of type 7 phosphodiesterase in an experimental model of
asthma.
AB - Type 7 phosphodiesterases (PDE7) are responsible for the decrease of
intracellular cyclic AMP (cAMP) in many cells involved in allergic asthma by
suppressing their potential to respond to many activating stimuli. The elevation
of intracellular cAMP has been associated with immunosuppressive and anti
inflammatory activities and represents a potential treatment of asthma. Our aim
was to evaluate the impact of the deletion of the murine phosphodiesterase
(PDE)7B gene and then to evaluate the efficacy of a newly described selective
PDE7A and -B inhibitor on an ovalbumin (OVA)-induced airway inflammation and
airway hyperreactivity (AHR) model in mice. Inflammation was determined 72 h
after single OVA challenge or 24 h after multiple challenges by the relative cell
influx and cytokine content in bronchoalveolar lavage fluid. AHR and
immunoglobulin E levels in serum were determined after multiple challenges. For
the first time, we have demonstrated that the deletion of the PDE7B gene or the
pharmacological inhibition of PDE7A and -B had no effect on all the parameters
looked at in this model. These results highlight the absence of any implication
of the PDE7 enzyme in our model.
PMID- 22088972
TI - A role for the CXCL12 receptor, CXCR7, in the pathogenesis of human pulmonary
vascular disease.
AB - Given the critical role that endothelial cell dysfunction plays in the
pathogenesis of pulmonary hypertensive diseases, we set out to establish if
CXCR7, a receptor for the pro-angiogenic ligand CXCL12, is expressed in the
vasculature of human lung diseases and examine its role in mediating CXCL12
induced responses in primary pulmonary human microvascular endothelial cells.
Receptor and ligand expression was examined in control and explanted human
hypertensive lungs, in human plasma and in hypoxic rodent lungs, by ELISA and
immunohistochemical studies. Functional in vitro experiments examined the role of
CXCR7 in CXCL12-induced lung microvascular endothelial cell proliferation,
migration, and wound regeneration and repair. CXCR7 is elevated in the
endothelium of explanted human hypertensive lungs and circulating CXCL12
concentrations are significantly elevated in disease. We demonstrate that
alveolar hypoxia similar to that found in lung disease increases CXCR7 expression
in the pulmonary endothelium. Furthermore, CXCR7 is the receptor through which
endothelial cell regeneration and repair, and proliferation, is mediated, whereas
signalling via CXCR4 is essential for chemotactic cell migration. Our findings
demonstrate that CXCR7 has a critical but previously unrecognised role to play in
endothelial cell proliferation, suggesting that CXCR7-mediated signalling may be
functionally important in pulmonary vascular diseases.
PMID- 22088973
TI - Arsenic exposure from drinking water and dyspnoea risk in Araihazar, Bangladesh:
a population-based study.
AB - Bangladesh has high well water arsenic exposure. Chronic arsenic ingestion may
result in diseases that manifest as dyspnoea, although information is sparse.
Baseline values were obtained from an arsenic study. Trained physicians
ascertained data on dyspnoea among 11,746 subjects. Data were collected on
demographic factors, including smoking, blood pressure and arsenic exposure.
Logistic regression models estimated odds ratios and confidence intervals for the
association between arsenic exposure and dyspnoea. The adjusted odds of having
dyspnoea was 1.32-fold (95% CI 1.15-1.52) greater in those exposed to high well
water arsenic concentrations (>= 50 MUg . L(-1)) compared with low-arsenic
exposed nonsmokers (p<0.01). A significant dose-response relationship was found
for arsenic (as well as smoking) in relation to dyspnoea. In nonsmokers, the
adjusted odds of having dyspnoea were 1.36, 1.96, 2.34 and 1.80-fold greater for
arsenic concentrations of 7-38, 39-90, 91-178 and 179-864 MUg . L(-1),
respectively, compared with the reference arsenic concentration of <7 MUg . L(-1)
(p<0.01; Chi-squared test for trend). Arsenic exposure through well water is
associated with dyspnoea, independently of smoking status. This study suggests
that mandated well water testing for arsenic with reduction in exposure may
significantly reduce diseases that manifest as dyspnoea, usually cardiac or
pulmonary.
PMID- 22088974
TI - Mean platelet volume as an indicator of disease severity in patients with acute
pancreatitis.
AB - AIM: Acute pancreatitis (AP) constitutes a systemic inflammatory process which is
often accompanied by thrombosis and bleeding disorders. The role of platelets in
the pathophysiology of the disease has not been elucidated yet. Mean platelet
volume (MPV) is an index of platelet activation and reported to be influenced by
inflammation. The objective of the present study is to assess whether platelet
volume would be useful in predicting disease severity in AP. Additionally
possible relationship of MPV with clinical and radiologic parameters in
conjunction with other inflammatory markers during AP was also investigated.
PATIENTS AND METHODS: A total of 144 AP patients (male/female: 87/57), and 40
healthy subjects (male/female: 23/17) were enrolled in this study. Mean platelet
volume and inflammatory parameters were measured for all study participants.
Modified Glasgow Prognostic Score (mGPS) and the computerized tomography severity
index (CTSI) were used as to predict the disease severity in AP patients.
RESULTS: A statistically significant decrease in MPV levels was observed in AP
patients (8.06 +/- 0.71 fL) compared with healthy controls (8.63 +/- 0.62 fL)
(P<0.001). According to the mGPS, overall accuracy of MPV in determining severe
AP was 72.7% with a sensitivity, specificity, NPV and PPV of 70.6%, 73.9%, 81.9%,
and 60 respectively (AUC: 0.762). Overall accuracy of MPV in predicting disease
severity according to CTSI was not superior compared with other inflammation
markers. CONCLUSION: The present study demonstrated that MPV is decreased in AP.
Assessment of MPV with other inflammatory markers may provide additional
information about disease severity in AP.
PMID- 22088975
TI - Development and application of a method for the analysis of two trichothecenes:
deoxynivalenol and T-2 toxin in meat in China by HPLC-MS/MS.
AB - A reliable and sensitive method was developed and successfully applied for the
determination of deoxynivalenol and T-2 toxin simultaneously in pig dorsal
muscle, pig back fat and chicken muscle by high performance liquid chromatography
coupled with tandem mass spectrometry (HPLC-MS/MS) analysis. Limit of detection
of deoxynivalenol and T-2 was 0.02MUg/kg and 0.007MUg/kg, and limit of
quantification of deoxynivalenol and T-2 was 0.07MUg/kg and 0.02MUg/kg,
respectively. Sixty-six meat samples were analyzed and deoxynivalenol was
detected in the samples of pig back fat, with concentrations lower than
0.5MUg/kg, and T-2 toxin was detected in the samples of pig dorsal muscle, pig
back fat and chicken muscle, with concentrations lower than 0.5MUg/kg. The
results of sample analysis show that only trace residues of deoxynivalenol and T
2 toxin were detected in the samples analyzed.
PMID- 22088976
TI - Investigation of the insulin-like properties of zinc(II) complexes of 3-hydroxy-4
pyridinones: identification of a compound with glucose lowering effect in STZ
induced type I diabetic animals.
AB - Results from an investigation in an in vivo model of STZ-induced diabetic rats
demonstrate that compound bis(1,2-dimethyl-3-hydroxy-4(1H)-pyridinonate)zinc(II),
Zn(dmpp)(2), significantly lowers the blood glucose levels of individuals, thus
showing evidence of glucose lowering activity. The compound was selected from a
set of eight zinc(II) complexes of 3-hydroxy-4-pyridinones with diverse
lipophilicity that were prepared and characterized in our laboratory. Assessment
of insulin-like activity of the complexes was firstly performed in vitro by
measuring the inhibition of FFA release in isolated rat adipocytes. The results
indicate that compounds bis(2-methyl-3-hydroxy-4-pyridinonate)zinc(II),
Zn(mpp)(2) and Zn(dmpp)(2) display significantly higher activity than that of the
respective positive control thus suggesting its selection for in vivo tests.
Safety evaluation of the active zinc(II) compounds was performed in freshly
isolated rat hepatocytes. The results support that cell viability is not
significantly different from the control set after 1 and 2h of incubation with
both zinc(II) complexes.
PMID- 22088977
TI - Individual doses for women undergoing screening mammography examinations in
Poland in 2007.
AB - Exposure doses to women undergoing screening mammography examinations should be
kept as low as reasonably achievable, but they should ensure high enough image
quality for adequate diagnosis. The aim of this study was to estimate the
radiation risk according to the 'European guidelines for quality assurance in
breast cancer screening and diagnosis', fourth edition (European Commission
2006). Materials for this study were obtained from data from 250 screening
mammography facilities in Poland. For every mammography facility, a standard
average glandular dose for routine exposure was calculated. Furthermore, average
glandular doses for individual mammography examinations obtained according to the
methods proposed by Dance et al (2000 Phys. Med. Biol. 45 3225-40) were
calculated. The average glandular doses determined for 250 mammography facilities
ranged from 0.12 to 14.56 mGy (the mean values ranged from 0.62 to 4.53 mGy).
Only for 39 mammography facilities were all exposures found to be below the
acceptable level for an average glandular dose, and for only 18 mammography
facilities did no exposures exceed the achievable levels for an average glandular
dose. Average glandular doses to women undergoing mammography screening attained
unnecessary high values, and they were found to depend on the technical
parameters of the mammography equipment and maintenance of mammography units by
personnel in various mammography facilities.
PMID- 22088978
TI - A novel approach for the synthesis of superparamagnetic Mn3O4 nanocrystals by
ultrasonic bath.
AB - In this study, the synthesis of Mn(3)O(4) (husmannite) nanoparticles was carried
out in two different alkali media under sonication by ultrasonic bath and
conventional method. Manganese acetate was used as precursor, sodium hydroxide
and hexamethylenetetramine (HMT) as basic reagents in this synthesis. An
ultrasonic bath with low intensity was used for the preparation of nanomaterials.
The as prepared samples were characterized with X-ray diffraction (XRD), Fourier
transform infrared (FTIR) spectroscopy, transmission electron microscopy (HRTEM,
TEM), energy-dispersive spectrum (EDS), and superconducting quantum interference
device (SQUID) analysis. The XRD patterns exhibit the nanocrystals are in pure
tetragonal phase. The chemical composition was obtained by EDS analysis and
confirmed the presence of Mn and O in the sample. According to the TEM and HRTEM
results, both nanorods and nanoparticles of Mn(3)O(4) were obtained in the
presence of ultrasonic irradiation. The average size of nanoparticles was 10nm,
and the size of nanorods was 12 nm in diameter and 100-900 nm in length for the
samples prepared in basic medium with sodium hydroxide. In the conventional
method with the same basic medium, the nanorod was not observed and the nearly
cubic nanoparticles was appeared with an average size of 2.5 nm. The selected
area electron diffraction (SAED) patterns revealed that the nanocrystals are
polycrystalline in nature. When HMT was used as a basic reagent in the presence
of ultrasonic irradiation, it was led to a higher size of nanoparticles and
nanorods than when sodium hydroxide was used as a basic reagent. The average size
of nanoparticles was about 15 nm and its shape was nearly cubic. The diameter for
nanorods was 50 nm and the length was about a few micrometers. The magnetic
measurements were carried out on the sample prepared in sodium hydroxide under
ultrasonic irradiation. These measurements as a function of temperature and field
strength showed a reduction in ferrimagnetic temperature (T(c) = 40K) as compared
to those reported for the bulk (T(c) = 43K). The superparamagnetic behavior was
observed at room temperature with no saturation magnetization and hysteresis in
the region of measured field strength.
PMID- 22088980
TI - Dosing clopidogrel based on CYP2C19 genotype and the effect on platelet
reactivity in patients with stable cardiovascular disease.
AB - CONTEXT: Variants in the CYP2C19 gene influence the pharmacologic and clinical
response to the standard 75-mg daily maintenance dose of the antiplatelet drug
clopidogrel. OBJECTIVE: To test whether higher doses (up to 300 mg daily) improve
the response to clopidogrel in the setting of loss-of-function CYP2C19 genotypes.
DESIGN, SETTING, AND PATIENTS: ELEVATE-TIMI 56 was a multicenter, randomized,
double-blind trial that enrolled and genotyped 333 patients with cardiovascular
disease across 32 sites from October 2010 until September 2011. INTERVENTIONS:
Maintenance doses of clopidogrel for 4 treatment periods, each lasting
approximately 14 days, based on genotype. In total, 247 noncarriers of a
CYP2C19*2 loss-of-function allele were to receive 75 and 150 mg daily of
clopidogrel (2 periods each), whereas 86 carriers (80 heterozygotes, 6
homozygotes) were to receive 75, 150, 225, and 300 mg daily. MAIN OUTCOME
MEASURES: Platelet function test results (vasodilator-stimulated phosphoprotein
[VASP] phosphorylation and VerifyNow P2Y(12) assays) and adverse events. RESULTS:
With 75 mg daily, CYP2C19*2 heterozygotes had significantly higher on-treatment
platelet reactivity than did noncarriers (VASP platelet reactivity index [PRI]:
mean, 70.0%; 95% CI, 66.0%-74.0%, vs 57.5%; 95% CI, 55.1%-59.9%, and VerifyNow
P2Y(12) reaction units [PRU]: mean, 225.6; 95% CI, 207.7-243.4, vs 163.6; 95% CI,
154.4-173.9; P < .001 for both comparisons). Among CYP2C19*2 heterozygotes, doses
up to 300 mg daily significantly reduced platelet reactivity, with VASP PRI
decreasing to 48.9% (95% CI, 44.6%-53.2%) and PRU to 127.5 (95% CI, 109.9-145.2)
(P < .001 for trend across doses for both). Whereas 52% of CYP2C19*2
heterozygotes were nonresponders (>=230 PRU) with 75 mg of clopidogrel, only 10%
were nonresponders with 225 or 300 mg (P < .001 for both). Clopidogrel, 225 mg
daily, reduced platelet reactivity in CYP2C19*2 heterozygotes to levels achieved
with standard clopidogrel, 75 mg, in noncarriers (mean ratios of platelet
reactivity, VASP PRI, 0.92; 90% CI, 0.85-0.99, and PRU, 0.94; 90% CI, 0.84-1.04).
In CYP2C19*2 homozygotes, even with 300 mg daily of clopidogrel, mean VASP PRI
was 68.3% (95% CI, 44.9%-91.6%) and mean PRU, 287.0 (95% CI, 170.2-403.8).
CONCLUSION: Among patients with stable cardiovascular disease, tripling the
maintenance dose of clopidogrel to 225 mg daily in CYP2C19*2 heterozygotes
achieved levels of platelet reactivity similar to that seen with the standard 75
mg dose in noncarriers; in contrast, for CYP2C19*2 homozygotes, doses as high as
300 mg daily did not result in comparable degrees of platelet inhibition. TRIAL
REGISTRATION: clinicaltrials.gov Identifier: NCT01235351.
PMID- 22088981
TI - The role of progesterone in traumatic brain injury.
PMID- 22088979
TI - Racial variation in the cancer caregiving experience: a multisite study of
colorectal and lung cancer caregivers.
AB - BACKGROUND: As cancer care shifts from hospital to outpatient settings, the
number of cancer caregivers continues to grow. However, little is known about the
cancer caregiving experience. This gap in knowledge is especially evident for
racially diverse caregivers. OBJECTIVE: This study, part of a multisite study of
care recipients with either lung or colorectal cancer and their caregivers,
examined the caregiving experiences of African American (AA) and white
caregivers. METHODS: Caregivers were identified by cancer patients in the Cancer
Care Outcomes Research and Surveillance consortium. Caregivers completed a self
administered, mailed questionnaire that assessed their characteristics and
experiences. Analysis of covariance was used to compare racial groups by
objective burden and caregiving resources while controlling for covariates.
RESULTS: Despite greater preparedness for the caregiving role (P = .006), AA
caregivers reported more weekly hours caregiving than whites did (26.5 +/- 3.1 vs
18.0 +/- 1.7; P = .01). In later phases of caregiving, AAs reported having more
social support (P = .02), spending more hours caregiving (31.9 +/- 3.5 vs 16.9 +/
1.9; P < .001), and performing more instrumental activities of daily living on
behalf of their care recipient (P = .021). CONCLUSION: Racial differences in the
caregiving experience exist. IMPLICATIONS FOR PRACTICE: Nurses play a key role in
educating cancer patients and their caregivers on how to effectively cope with
and manage cancer. Because AA caregivers seem to spend more time in the
caregiving role and perform more caregiving tasks, AA caregivers may benefit from
interventions tailored to their specific caregiving experience.
PMID- 22088982
TI - Electron microscopy visualization of DNA-protein complexes formed by Ku and DNA
ligase IV.
AB - The repair of DNA double-stranded breaks (DSBs) is essential for cell viability
and genome stability. Aberrant repair of DSBs has been linked with cancer
predisposition and aging. During the repair of DSBs by non-homologous end joining
(NHEJ), DNA ends are brought together, processed and then joined. In eukaryotes,
this repair pathway is initiated by the binding of the ring-shaped Ku heterodimer
and completed by DNA ligase IV. The DNA ligase IV complex, DNA ligase IV/XRRC4 in
humans and Dnl4/Lif1 in yeast, is recruited to DNA ends in vitro and in vivo by
an interaction with Ku and, in yeast, Dnl4/Lif1 stabilizes the binding of yKu to
in vivo DSBs. Here we have analyzed the interactions of these functionally
conserved eukaryotic NHEJ factors with DNA by electron microscopy. As expected,
the ring-shaped Ku complex bound stably and specifically to DNA ends at
physiological salt concentrations. At a ratio of 1 Ku molecule per DNA end, the
majority of DNA ends were occupied by a single Ku complex with no significant
formation of linear DNA multimers or circular loops. Both Dnl4/Lif1 and DNA
ligase IV/XRCC4 formed complexes with Ku-bound DNA ends, resulting in intra- and
intermolecular DNA end bridging, even with non-ligatable DNA ends. Together,
these studies, which provide the first visualization of the conserved complex
formed by Ku and DNA ligase IV at juxtaposed DNA ends by electron microscopy,
suggest that the DNA ligase IV complex mediates end-bridging by engaging two Ku
bound DNA ends.
PMID- 22088983
TI - The effect of nicotine on anti-vascular endothelial growth factor therapy in a
mouse model of neovascular age-related macular degeneration.
AB - PURPOSE: The purpose of this article is to evaluate the effect of nicotine on
anti-vascular endothelial growth factor therapy in the treatment of neovascular
age-related macular degeneration. METHODS: One group of mice received nicotine in
drinking water and the other group received water only. Choroidal
neovascularization (CNV) was induced with a laser. Nicotinic acetylcholine
receptor-alpha7 (nAChRalpha7) expression was evaluated by immunohistochemistry.
Bevacizumab or adiponectin peptide II (APNpII) was injected intravitreally on Day
7 postlaser, and the effects were evaluated on Days 14 and 21. alpha-Bungarotoxin
was injected intraperitoneally on Days 2 to 5, and its effect was evaluated on
Day 14. RESULTS: Expression of nAChRalpha7 was 2 to 7 times higher between Days 3
and 7 postlaser compared with naive mice. In water-fed mice, APNpII, bevacizumab,
and alpha-bungarotoxin significantly reduced CNV size. In nicotine-fed mice,
treatment with APNpII or bevacizumab did not significantly reduce CNV size,
whereas alpha-bungarotoxin did have an effect. Comparing water- and nicotine-fed
mice, CNV size was 61% to 86% smaller in water-fed mice except for the alpha
bungarotoxin group, where there was no difference. Platelet-derived growth factor
and vascular endothelial growth factor expression was 1.5- to 2.5-fold higher at
Day 14 in nicotine-treated mice. CONCLUSION: Nicotine significantly blocks the
effect of anti-vascular endothelial growth factor therapy in the treatment of
laser-induced neovascular age-related macular degeneration. nAChRalpha7 is
significantly upregulated during the formation of CNV, and treatment with an
nAChRalpha7 antagonist decreases CNV size irrespective of nicotine
administration.
PMID- 22088987
TI - Random Survival Forests.
PMID- 22088986
TI - Assessing patient accrual to cooperative group lung cancer trials: why are rates
disappointing?
PMID- 22088988
TI - The long noncoding MALAT-1 RNA indicates a poor prognosis in non-small cell lung
cancer and induces migration and tumor growth.
AB - INTRODUCTION: The functions of large noncoding RNAs (ncRNAs) have remained
elusive in many cases. Metastasis-Associated-in-Lung-Adenocarcinoma-Transcript-1
(MALAT-1) is an ncRNA that is highly expressed in several tumor types. METHODS:
Overexpression and RNA interference (RNAi) approaches were used for the analysis
of the biological functions of MALAT-1 RNA. Tumor growth was studied in nude
mice. For prognostic analysis, MALAT-1 RNA was detected on paraffin-embedded non
small cell lung cancer (NSCLC) tissue probes (n = 352) using in situ
hybridization. RESULTS: MALAT-1 was highly expressed in several human NSCLC cell
lines. MALAT-1 expression was regulated by an endogenous negative feedback loop.
In A549 NSCLCs, RNAi-mediated suppression of MALAT-1 RNA suppressed migration and
clonogenic growth. Forced expression of MALAT-1 in NIH 3T3 cells significantly
increased migration. Upon injection into nude mice, NSCLC xenografts with
decreased MALAT-1 expression were impaired in tumor formation and growth. In situ
hybridization on paraffin-embedded lung cancer tissue probes revealed that high
MALAT-1 RNA expression in squamous cell carcinoma of the lung was associated with
a poor prognosis. On genetic level, MALAT-1 displays the strongest association
with genes involved in cancer like cellular growth, movement, proliferation,
signaling, and immune regulation. CONCLUSIONS: These data indicate that MALAT-1
expression levels are associated with patient survival and identify tumor
promoting functions of MALAT-1.
PMID- 22088990
TI - Percutaneous balloon pericardiotomy for recurrent malignant pericardial effusion.
PMID- 22088989
TI - Asymptomatic profound sinus bradycardia (heart rate <=45) in non-small cell lung
cancer patients treated with crizotinib.
AB - Crizotinib, a dual MET/ALK inhibitor, is now in advanced clinical development for
the treatment of anaplastic lymphoma kinase (ALK)-rearranged non-small cell lung
cancer (NSCLC). We have observed several patients who developed profound but
asymptomatic sinus bradycardia (HR <=45) during the course of crizotinib
treatment. Herein, we describe the clinical characteristics of three separate
patients enrolled in the A8081001 trial (NCT00585195) who developed asymptomatic
profound sinus bradycardia with their accompanying electrocardiogram tracings.
PMID- 22088991
TI - Erlotinib in metastatic bronchopulmonary mucoepidermoid carcinoma.
PMID- 22088992
TI - The role of postoperative radiotherapy after resection of stage III thymoma.
PMID- 22088994
TI - A practical guide to measure "all" malignant pleural mesothelioma tumors by
modified RECIST criteria?
PMID- 22088996
TI - How should we use bevacizumab in patients with non-small cell lung cancer?
PMID- 22088997
TI - Techniques of surgery and radiotherapy for multimodal treatment of pleural
mesothelioma.
PMID- 22088998
TI - Effectiveness of the single-shot dual-energy subtraction technique for portal
images.
AB - The aim of the present study was to evaluate the clinical efficacy of the single
shot dual-energy subtraction technique for obtaining portal images. We prepared
two storage phosphor plates for this study. A 1 mm thick tungsten sheet was
placed between the two storage phosphor plates. A single use of the double
exposure technique provides two portal images simultaneously (i.e., a standard
image and a low-contrast image), using the same patient position and with no
additional radiation delivered to the patient. A bone-enhanced image is created
by image subtraction between these two images. For evaluation of clinical
efficacy, three treatment sites--the brain, lung, and pelvis--were imaged. Ten
sets of images were obtained for each site, and five landmarks were selected for
each treatment site. The visibility of each landmark and the ease of overall
verification for the selected treatment sites were assessed separately for the
standard and bone-enhanced images. Four observers consisting of one radiation
oncologist and three radiation therapists participated in the present study. For
most of the landmarks studied, the bone-enhanced images were significantly
superior to the standard images. Regarding the ease of overall verification, the
bone-enhanced images were significantly superior to the standard images at all
sites. The p-values of mean rating for the brain, lung, and pelvis were 0.002,
0.012, and 0.003, respectively. The bone-enhanced images obtained using our
technique increased the image quality in terms of bone visibility, and are
considered useful for routine clinical practice.
PMID- 22089000
TI - Commissioning of Varian ring & tandem HDR applicators: reproducibility and
interobserver variability of dwell position offsets.
AB - Studies have shown that source dwells within Varian's HDR CT/MR compatible ring
applicators can deviate from intended positions by several millimeters.
Quantifying this offset is an important part of commissioning. The aims of this
study were to: 1) determine the reproducibility of the offset, 2) study the
interobserver variation in the offset's measurement, and 3) quantify the
dosimetric impact of the offset. Offsets were measured for four ring applicators:
two 30 degrees , one 45 degrees , and one 60 degrees . Dwell positions were
measured five times for each ring to determine the reproducibility of source
positioning. Experiments were done to compare two separate source wires, as well
as different time points within a single source wire's lifecycle. Data were
analyzed by three independent observers. To quantify the dosimetric impact of the
offset, a treatment plan was generated using BrachyVision. The dose to point A,
and the D(2cc) metric for rectum and bladder were calculated with and without the
offset. For the 45 degrees and 60 degrees rings, measured offsets were 3.0 mm
and 3.6 mm, respectively. The 30 degrees ring showed substantial variation in
distal dwell positions (maximum difference between the five experiments of 2.9
mm). Subsequent testing of a replacement ring showed an offset of 2.4 mm that was
more reproducible. Offsets varied less than 1 mm between different source wires,
and changed less than 1 mm over the course of a source wire's lifecycle. When
comparing observers, the average range in a measurement of a dwell position was
0. 5 mm (sigma = 0.2 mm, max 1.3 mm). The offset resulted in dose variations to
point A, bladder, and rectum of less than 1%, 2%, and 5%, respectively. Results
indicate that Varian rings can show systematic and random offsets of more than 3
mm. Some can be considered defective and should be replaced. Each applicator
should be individually commissioned and reproducibility should be confirmed with
multiple tests.
PMID- 22088999
TI - Validation of an electron Monte Carlo dose calculation algorithm in the presence
of heterogeneities using EGSnrc and radiochromic film measurements.
AB - The purpose of this study is to validate Eclipse's electron Monte Carlo algorithm
(eMC) in heterogeneous phantoms using radiochromic films and EGSnrc as a
reference Monte Carlo algorithm. Four heterogeneous phantoms are used in this
study. Radiochromic films are inserted in these phantoms, including in
heterogeneous media, and the measured relative dose distributions are compared to
eMC calculations. Phantoms A, B, and C contain 1D heterogeneities, built with
layers of lung- (phantom A) and bone- (phantoms B and C) equivalent materials
sandwiched in Plastic Water. Phantom D is a thorax anthropomorphic phantom with
2D lung heterogeneities. Electron beams of 6, 9, 12 and 18 MeV from a Varian
Clinac 2100 are delivered to these phantoms with a 10 * 10 cm2 applicator. Monte
Carlo simulations with an independent algorithm (EGSnrc) are also used as a
reference tool for two purposes: (1) as a second validation of the eMC dose
calculations, and (2) to calculate the stopping power ratio between radiochromic
films and bone medium, when dose is measured inside the heterogeneity. Percent
depth dose (PDD) film measurements and eMC calculations agree within 2% or 3 mm
for phantom A, and within 3% or 3 mm for phantoms B and C for almost all beam
energies. One exception is observed with phantom B and the 6 MeV, where measured
PDDs and those calculated with eMC differ by up to 4 mm. Gamma analysis of the
measured and calculated 2D dose distributions in phantom D agree with criteria of
3%, 3mm for 9, 12, and 18 MeV beams, and criteria of 5%, 3 mm for the 6 MeV beam.
Dose calculations in heterogeneous media with eMC agree within 3% or 3 mm with
radiochromic film measurements. Six (6) MeV beams are not modeled as accurately
as other beam energies. The eMC algorithm is suitable for clinical dose
calculations involving lung and bone.
PMID- 22089001
TI - A method to enhance spatial resolution of a 2D ion chamber array for quality
control of MLC.
AB - This work introduces a new method for verifying MLC leaf positions with enough
spatial resolution to replace film-based methods in performing QA tests. It is
implemented on a 2D ion chamber array, and it is based on the principle of
varying signal response of a volumetric detector to partial irradiation. A PTW 2D
ARRAY seven29 (PTW-729 2D) array was used to assess a Siemens OPTIFOCUS MLC.
Partial volume response curves for chambers in the array were obtained by
irradiating them with the leaves of the MLC, progressively covering varying
portions of the chambers correlated with the leaf positions. The readings from
the array's chambers are processed with an in-house program; it generates a
reference response that translates readings into leaf positions. This principle
allows discriminating errors in pairs of opposing leaves that could combine to
cancel their detection with other tools. Patterns of leaf positions, similar to
the Bayouth test but with different, purposefully introduced errors, were
generated and used to test the effectiveness of the method. The same patterns
were exposed on radiographic film and analyzed with the RIT software for
validation. For four test patterns with a total of 100 errors of +/- 1 mm, +/- 2
mm and +/- 3 mm, all were correctly determined with the proposed method. The
analysis of the same pattern with film using the Bayouth routine in the RIT
software resulted in either somewhat low true positives combined with a large
fraction of false positives, or a low true positive rate with a low false
positive ratio, the results being significantly affected by the threshold
selected for the analysis. This method provides an effective, easy to use tool
for quantitative MLC QA assessment, with excellent spatial resolution. It can be
easily applied to other 2D arrays, as long as they exhibit a partial volume
detector response.
PMID- 22089002
TI - Effects of voxel size and iterative reconstruction parameters on the spatial
resolution of 99mTc SPECT/CT.
AB - The purpose of this study was to evaluate the effects of voxel size and iterative
reconstruction parameters on the radial and tangential resolution for 99mTc SPECT
as a function of radial distance from isocenter. SPECT/CT scans of eight coplanar
point sources of size smaller than 1 mm3 containing high concentration 99mTc
solution were acquired on a SPECT/CT system with 5/8 inch NaI(Tl) detector and
low-energy, high-resolution collimator. The tomographic projection images were
acquired in step-and-shoot mode for 360 views over 360 degrees with 250,000
counts per view, a zoom of 2.67, and an image matrix of 256 * 256 pixels that
resulted in a 0.9 * 0.9 * 0.9 mm3 SPECT voxel size over 230 mm field-of-view. The
projection images were also rebinned to image matrices of 128 * 128 and 64 * 64
to yield SPECT voxel sizes of 1.8 * 1.8 * 1.8 and 3.6 * 3.6 * 3.6 mm3,
respectively. The SPECT/CT datasets were reconstructed using the vendor-supplied
iterative reconstruction software that incorporated collimator-specific
resolution recovery, CT-based attenuation correction, and dual-energy window
based scatter correction using different combinations of iterations and subsets.
SPECT spatial resolution was estimated as the full width at half maximum of the
radial and tangential profiles through the center of each point source in
reconstructed SPECT images. Both radial and tangential resolution improved with
higher iterations and subsets, and with smaller voxel sizes. Both radial and
tangential resolution also improved with radial distance further away from
isocenter. The magnitude of variation decreased for smaller voxel sizes and for
higher number of iterations and subsets. Tangential resolution was found not to
be equal to the radial resolution, and the nature of the anisotropy depended on
the distribution of the radionuclide and on the reconstruction parameters used.
The tangential resolution converged faster than the radial resolution, with
higher iterations and subsets. SPECT resolution was isotropic and independent of
radial distance when reconstructed using filtered back-projection. SPECT spatial
resolution and therefore quantification of SPECT uptake via partial-volume
correction in clinical images were found to depend on the nature of activity
distribution within the SPECT field-of-view and on the specific choice of
iterative reconstruction parameters.
PMID- 22089003
TI - The impact of uncertainties associated with MammoSite brachytherapy on the dose
distribution in the breast.
AB - The MammoSite radiation therapy system is a novel technique for treatment of
patients with early-stage breast cancer. It was developed to overcome the longer
schedules associated with external-beam radiation therapy. It consists of a small
balloon (4 cm in diameter) connected to an inflation channel and a catheter for
the passage of a high dose rate 192Ir brachytherapy source. The device is placed
into the tumor resection cavity and inflated with a mixture of saline and
radiographic contrast agent to a size that fills the cavity. A high dose rate
192Ir source is driven into the balloon center using a remote afterloader to
deliver the prescribed dose at a point 1 cm away from the balloon surface. There
are several uncertainties that affect the dose distribution in the MammoSite
brachytherapy. They include source position deviation, balloon deformation, and
the concentration of the contrast medium inside the balloon. The purpose of this
study is to investigate the extent of the dose perturbation for various
concentrations of the contrast medium in a MammoSite balloon using Monte Carlo
simulations and thermoluminescent dosimetry. This study also combines the impact
of these uncertainties on the MammoSite treatment efficacy. The current study
demonstrates that the combined uncertainties associated with the MammoSite
brachytherapy technique--up to the value of 2 mm balloon deformation, 1 mm source
deviation, and 15% contrast concentration--have no impact on the tumor control
probability.
PMID- 22089004
TI - Development and applicability of a quality control phantom for dental cone-beam
CT.
AB - Cone-beam CT (CBCT) has shown to be a useful imaging modality for various
dentomaxillofacial applications. However, optimization and quality control of
dental CBCT devices is hampered due to the lack of an appropriate tool for image
quality assessment. To investigate the application of different image quality
parameters for CBCT, a prototype polymethyl methacrylate (PMMA) cylindrical
phantom with inserts for image quality analysis was developed. Applicability and
reproducibility of the phantom were assessed using seven CBCT devices with
different scanning protocols. Image quality parameters evaluated were: CT number
correlation, contrast resolution, image homogeneity and uniformity, point spread
function, and metal artifacts. Deviations of repeated measurements were between
0.0% and 3.3%. Correlation coefficients of CBCT voxel values with CT numbers
ranged between 0.68 and 1.00. Contrast-to-noise ratio (CNR) values were much
lower for hydroxyapatite (0 < CNR < 7.7) than for air and aluminum (5.0 < CNR <
32.8). Noise values ranged between 35 and 419. The uniformity index was between
3.3% and 11.9%. Full width at half maximum (FWHM) measurements varied between
0.43 mm and 1.07 mm. The increase of mean voxel values surrounding metal objects
ranged between 6.7% and 43.0%. Results from preliminary analyses of the prototype
quality control phantom showed its potential for routine quality assurance on
CBCT. Large differences in image quality performance were seen between CBCT
devices. Based on the initial evaluations, the phantom can be optimized and
validated.
PMID- 22089005
TI - Motion artifacts occurring at the lung/diaphragm interface using 4D CT
attenuation correction of 4D PET scans.
AB - For PET/CT, fast CT acquisition time can lead to errors in attenuation
correction, particularly at the lung/diaphragm interface. Gated 4D PET can reduce
motion artifacts, though residual artifacts may persist depending on the CT
dataset used for attenuation correction. We performed phantom studies to evaluate
4D PET images of targets near a density interface using three different methods
for attenuation correction: a single 3D CT (3D CTAC), an averaged 4D CT (CINE
CTAC), and a fully phase matched 4D CT (4D CTAC). A phantom was designed with two
density regions corresponding to diaphragm and lung. An 8 mL sphere phantom
loaded with 18F-FDG was used to represent a lung tumor and background FDG
included at an 8:1 ratio. Motion patterns of sin(x) and sin4(x) were used for
dynamic studies. Image data was acquired using a GE Discovery DVCT-PET/CT
scanner. Attenuation correction methods were compared based on normalized
recovery coefficient (NRC), as well as a novel quantity "fixed activity volume"
(FAV) introduced in our report. Image metrics were compared to those determined
from a 3D PET scan with no motion present (3D STATIC). Values of FAV and NRC
showed significant variation over the motion cycle when corrected by 3D CTAC
images. 4D CTAC- and CINE CTAC-corrected PET images reduced these motion
artifacts. The amount of artifact reduction is greater when the target is
surrounded by lower density material and when motion was based on sin4(x). 4D
CTAC reduced artifacts more than CINE CTAC for most scenarios. For a target
surrounded by water equivalent material, there was no advantage to 4D CTAC over
CINE CTAC when using the sin(x) motion pattern. Attenuation correction using both
4D CTAC or CINE CTAC can reduce motion artifacts in regions that include a tissue
interface such as the lung/diaphragm border. 4D CTAC is more effective than CINE
CTAC at reducing artifacts in some, but not all, scenarios.
PMID- 22089006
TI - Comparison of bulk electron density and voxel-based electron density treatment
planning.
AB - The use of magnetic resonance imaging (MRI) alone for radiation planning is
limited by the lack of electron density for dose calculations. The purpose of
this work is to evaluate the dosimetric accuracy of using bulk electron density
as a substitute for computed tomography (CT)-derived electron density in
intensity-modulated radiation therapy (IMRT) treatment planning of head and neck
(HN) cancers. Ten clinically-approved, CT-based IMRT treatment plans of HN cancer
were used for this study. Three dose distributions were calculated and compared
for each treatment plan. The first calculation used CT-derived density and was
assumed to be the most accurate. The second calculation used a homogeneous
patient density of 1 g/cm3. For the third dose calculation, bone and air cavities
were contoured and assigned a uniform density of 1.5 g/cm3 and 0 g/cm3,
respectively. The remaining tissues were assigned a density of 1 g/cm3. The use
of homogeneous anatomy resulted in up to 4%-5% deviations in dose distribution as
compared to CT-derived electron density calculations. Assigning bulk density to
bone and air cavities significantly improved the accuracy of the dose
calculations. All parameters used to describe planning target volume coverage
were within 2% of calculations based on CT-derived density. For organs at risk,
most of the parameters were within 2%, with the few exceptions located in low
dose regions. The data presented here show that if bone and air cavities are
overridden with the proper density, it is feasible to use a bulk electron density
approach for accurate dose calculation in IMRT treatment planning of HN cancers.
This may overcome the problem of the lack of electron density information should
MRI-only simulation be performed.
PMID- 22089007
TI - Deformable registration using edge-preserving scale space for adaptive image
guided radiation therapy.
AB - Incorporating of daily cone-beam computer tomography (CBCT) image into online
radiation therapy process can achieve adaptive image-guided radiation therapy
(AIGRT). Registration of planning CT (PCT) and daily CBCT are the key issues in
this process. In our work, a new multiscale deformable registration method is
proposed by combining edge-preserving scale space with the multilevel free-form
deformation (FFD) grids for CBCT-based AIGRT system. The edge-preserving scale
space, which is able to select edges and contours of images according to their
geometric size, is derived from the total variation model with the L1 norm (TV
L1). At each scale, despite the noise and contrast resolution differences between
the PCT and CBCT, the selected edges and contours are sufficiently strong to
drive the deformation using the FFD grid, and the edge-preserving property
ensures more meaningful spatial information for mutual information (MI)-based
registration. At last, the deformation fields are gained by a coarse to fine
manner. Furthermore, in consideration of clinical application we designed an
optimal estimation of the TV-L1 parameters by minimizing the defined offset
function for automated registration. Six types of patients are studied in our
work, including rectum, prostate, lung, H&N (head and neck), breast, and chest
cancer patients. The experiment results demonstrate the significance of the
proposed method both quantitatively with ground truth known and qualitatively
with ground truth unknown. The applications for AIGRT, including adaptive
deformable recontouring and redosing, and DVH (dose volume histogram) analysis in
the course of radiation therapy are also studied.
PMID- 22089008
TI - Real-time dose reconstruction for wedged photon beams: a generalized procedure.
AB - A practical and accurate generalized procedure to reconstruct the isocenter dose
D(iso) for 3D conformal radiotherapy (3DCRT) has been developed for X-ray open
beams supplied by linacs of different manufacturers and equipped with aSi
electronic portal imaging devices (aSi EPIDs). This paper reports an extension of
the method, to be applied at the wedged X-ray beams characterized by the wedge
attenuation factor W(AF). Using water-equivalent solid phantoms (SPs) of
different thicknesses, w, and photon square fields of sizes, L, the generalized
midplane doses D(0)(W(AF), w/2,L) and generalized transit signals
s(t)(0)(W(AF),w,L) by 38 beams of six different linacs were determined. The
generalized data were fitted by surface equations and used together with the
information of the 'record & verify' network of the centers. In this manner, for
every beam, the D(iso) reconstruction was obtained in about 25 seconds after the
treatment. To test the in vivo dosimetric procedure, six pelvic treatments that
used conformed wedged beams were carried out with three linacs of different
manufacturers. For every beam, the comparison between the reconstructed D(iso)
and the D(iso,TPS) computed by the TPS, resulted in an acceptable tolerance level
of +/-5%, estimated for this kind of treatment. Generally the in vivo dosimetry
methods that use EPIDs require: (i) a special effort for the dosimetric
commissioning with SPs of different thicknesses, and (ii) extra time for the
analysis of the EPID signals. The proposed procedure simplifies the commissioning
step and supplies for Varian, Elekta, and Siemens linacs equipped with the aSi
EPIDs a quasi-real time in vivo dosimetry for open and wedged 3DCRT fields.
PMID- 22089009
TI - Establishment of air kerma reference standard for low dose rate Cs-137
brachytherapy sources.
AB - A guarded cylindrical graphite ionization chamber of nominal volume 1000 cm3 was
designed and fabricated for use as a reference standard for low-dose rate 137Cs
brachytherapy sources. The air kerma calibration coefficient (N(K)) of this
ionization chamber was estimated analytically using Burlin's general cavity
theory, as well as by the Monte Carlo simulation and validated experimentally
using Amersham CDCS-J-type 137Cs reference source. In the analytical method, the
N(K) was calculated for 662 keV gamma rays of 137Cs brachytherapy source. In the
Monte Carlo method, the geometry of the measurement setup and physics-related
input data of the 137Cs source and the surrounding material were simulated using
the Monte Carlo N-Particle code. The photon energy fluence was used to arrive at
the reference air kerma rate (RAKR) using mass energy absorption coefficient. The
energy deposition rates were used to simulate the value of charge rate in the
ionization chamber, and the N(K) was determined. The analytical and Monte Carlo
values of N(K) of the cylindrical graphite ionization chamber for 137Cs
brachytherapy source are in agreement within 1.07%. The deviation of analytical
and Monte Carlo values from experimental values of N(K) is 0.36% and 0.72%,
respectively. This agreement validates the analytical value, and establishes this
chamber as a reference standard for RAKR or AKS measurement of 137Cs
brachytherapy sources.
PMID- 22089010
TI - Increased beam attenuation and surface dose by different couch inserts of
treatment tables used in megavoltage radiotherapy.
AB - The use of solid carbon fiber table materials in radiotherapy has become more
common with the implementation of image-guided radiotherapy (IGRT), since the
solid materials give less imaging artifacts than the so-called tennis racket
couchtops. The downside of the solid carbon fiber couch inserts is that they
increase the beam attenuation, resulting in increased surface doses and
inaccuracies in determine the dose in the patient. The purpose of this study was
to evaluate the interaction of 6 and 15 MV photons with eight different couch
inserts. The presented results enable direct comparison of the attenuation
properties of the studied couchtops. With a direct posterior beam the maximum
attenuations reach 3.6% and 2.4% with 6 and 15 MV, respectively. The measured
maximum attenuation by a couchtop with an oblique gantry angle was 10.8% and 7.4%
at 6 and 15 MV energies, respectively. The skin-sparing effect was decreased
substantially with every couchtop. The highest increases in surface doses were
recorded to be four- and threefold, as compared to the direct posterior open
field surface doses of 6 and 15 MV, respectively. In conclusion, the carbon fiber
tabletops decrease the skin-sparing effect of megavoltage photon energies. The
increased beam attenuation and skin doses should be taken into account in the
process of treatment planning.
PMID- 22089011
TI - Energy absorption buildup factors of human organs and tissues at energies and
penetration depths relevant for radiotherapy and diagnostics.
AB - Energy absorption geometric progression (GP) fitting parameters and the
corresponding buildup factors have been computed for human organs and tissues,
such as adipose tissue, blood (whole), cortical bone, brain (grey/white matter),
breast tissue, eye lens, lung tissue, skeletal muscle, ovary, testis, soft
tissue, and soft tissue (4-component), for the photon energy range 0.015-15 MeV
and for penetration depths up to 40 mfp (mean free path). The chemical
composition of human organs and tissues is seen to influence the energy
absorption buildup factors. It is also found that the buildup factor of human
organs and tissues changes significantly with the change of incident photon
energy and effective atomic number, Z(eff). These changes are due to the
dominance of different photon interaction processes in different energy regions
and different chemical compositions of human organs and tissues. With the proper
knowledge of buildup factors of human organs and tissues, energy absorption in
the human body can be carefully controlled. The present results will help in
estimating safe dose levels for radiotherapy patients and also useful in
diagnostics and dosimetry. The tissue-equivalent materials for skeletal muscle,
adipose tissue, cortical bone, and lung tissue are also discussed. It is observed
that water and MS20 are good tissue equivalent materials for skeletal muscle in
the extended energy range.
PMID- 22089012
TI - Evaluating and modeling of photon beam attenuation by a standard treatment couch.
AB - The purpose of this study was to evaluate beam attenuation by treatment couch and
build a treatment couch model in TPS to check for beam-couch intersection at the
planning stage and deal with beam attenuation by treatment couch in dose
calculation. In this study, a standard treatment couch, Siemens ZXT couch, has
been incorporated into Pinnacle3 8.0 TPS, based on an existing TPS tool, model
based segmentation (MBS). This was done by generating the couch's model from
contours of the couch, together with the density information. Both the geometric
and dosimetric accuracy of the couch model were evaluated. The test of beam-couch
intersection prediction showed good agreement between predicted and measured
results, and the differences were within 1 degrees gantry rotation. For
individual posterior oblique beams, the attenuation by metallic frames and PMMA
couch top could reach nearly as high as 60% and 10%, respectively. For several
posterior oblique beams (180 degrees , 220 degrees , 235 degrees ) that
attenuated by the PMMA couch top, the calculated and measured dose distributions
were compared. The dose differences at central axis were within 1%, and almost
all points agreed with the calculations when the DD and DTA criteria of 3%/3 mm
were adopted. The difference between calculated and measured attenuation factors
were within 0.5%. This study demonstrates that the couch model created by MBS,
which contains geometric and density information of the couch, can be used to
detect the beam-couch intersection, and also is able to provide an accurate
representation of the couch top attenuation properties in patient dose
calculation.
PMID- 22089013
TI - The dosimetric effect of mixed-energy IMRT plans for prostate cancer.
AB - We investigated the effect of mixing high- and low-energy photon beams on the
quality of intensity-modulated radiation therapy (IMRT) plans for patients with
prostate cancer. Three different plans for each of twenty patients were generated
using either 6 MV or 15 MV alone, and both 6 and 15 MV beams. All the planning
parameters, goals, and constraints were set to be identical except beam energy.
The dose distributions were similar in terms of target coverage, conformity, and
homogeneity regardless of beam energy. The V(70Gy) of rectal wall in 6 MV, 15 MV
and mixed-energy plans was 16.7%, 17.9%, and 16.3%, respectively, while V(40Gy)
was 55.6%, 53.2%, and 50%. The mean dose to femoral heads in 6 MV, 15 MV, and
mixed-energy plans were 31.7 Gy, 26.3 Gy, and 26.2 Gy, respectively. The integral
dose of 6 MV plans was 7% larger than those of 15 MV or mixed-energy plans. These
results indicated that mixed-energy IMRT plans could take advantage of the
dosimetric characteristics of low- and high-energy beams. Even though the
reduction of dose to the organs at risk may not be clinically relevant, mixing
energy in an IMRT plan for deep-seated tumors can improve the overall plan
quality.
PMID- 22089014
TI - Thermoluminescent and Monte Carlo dosimetry of IR06-103Pd brachytherapy source.
AB - This work presents experimental dosimetry results for a new 103Pd brachytherapy
seed, in accordance with the AAPM TG-43U1 recommendation that all new low-energy
interstitial brachytherapy seeds should undergo one Monte Carlo (MC) and at least
one experimental dosimetry characterization. Measurements were performed using
TLD-GR200A circular chip dosimeters using standard methods employing
thermoluminescent dosimeters in a Perspex phantom. The Monte Carlo N-particle
(MCNP) code, version 5 was used to evaluate the dose-rate distributions around
this model 103Pd source in water and Perspex phantoms. The consensus value for
dose-rate constant of the IR06-103Pd source was found equal to 0.690 cGy.h(-1).U(
1). The anisotropy function, F(r, theta), and the radial dose function, g(L)(r),
of the seed were measured in Perspex phantom and calculated in both Perspex and
liquid water phantom. The measured values were also found in good agreement with
corresponding MC calculations.
PMID- 22089015
TI - Comparative analysis of SmartArc-based dual arc volumetric-modulated arc
radiotherapy (VMAT) versus intensity-modulated radiotherapy (IMRT) for
nasopharyngeal carcinoma.
AB - The purpose of this study was to evaluate and quantify the planning performance
of SmartArc-based volumetric-modulated arc radiotherapy (VMAT) versus fixed-beam
intensity-modulated radiotherapy (IMRT) for nasopharyngeal carcinoma (NPC) using
a sequential mode treatment plan. The plan quality and performance of dual arc
VMAT (DA-VMAT) using the Pinnacle3 Smart-Arc system (clinical version 9.0;
Philips, Fitchburg, WI, USA) were evaluated and compared with those of seven
field (7F)-IMRT in 18 consecutive NPC patients. Analysis parameters included the
conformity index (CI) and homogeneity index (HI) for the planning target volume
(PTV), maximum and mean dose, normal tissue complication probability (NTCP) for
the specified organs at risk (OARs), and comprehensive quality index (CQI) for an
overall evaluation in the 11 OARs. Treatment delivery time, monitor units per
fraction (MU/fr), and Gamma(3 mm, 3%) evaluations were also analyzed. DA-VMAT
achieved similar target coverage and slightly better homogeneity than
conventional 7F-IMRT with a similar CI and HI. NTCP values were only
significantly lower in the left parotid gland (for xerostomia) for DA-VMAT plans.
The mean value of CQI at 0.98 +/- 0.02 indicated a 2% benefit in sparing OARs by
DA-VMAT. The MU/fr used and average delivery times appeared to show improved
efficiencies in DA-VMAT. Each technique demonstrated high accuracy in dose
delivery in terms of a high-quality assurance (QA) passing rate (> 98%) of the
Gamma(3 mm, 3%) criterion. The major difference between DA-VMAT and 7F-IMRT using
a sequential mode for treating NPC cases appears to be improved efficiency,
resulting in a faster delivery time and the use of fewer MU/fr.
PMID- 22089016
TI - Patient-specific CT dosimetry calculation: a feasibility study.
AB - Current estimation of radiation dose from computed tomography (CT) scans on
patients has relied on the measurement of Computed Tomography Dose Index (CTDI)
in standard cylindrical phantoms, and calculations based on mathematical
representations of "standard man". Radiation dose to both adult and pediatric
patients from a CT scan has been a concern, as noted in recent reports. The
purpose of this study was to investigate the feasibility of adapting a radiation
treatment planning system (RTPS) to provide patient-specific CT dosimetry. A
radiation treatment planning system was modified to calculate patient-specific CT
dose distributions, which can be represented by dose at specific points within an
organ of interest, as well as organ dose-volumes (after image segmentation) for a
GE Light Speed Ultra Plus CT scanner. The RTPS calculation algorithm is based on
a semi-empirical, measured correction-based algorithm, which has been well
established in the radiotherapy community. Digital representations of the
physical phantoms (virtual phantom) were acquired with the GE CT scanner in axial
mode. Thermoluminescent dosimeter (TLDs) measurements in pediatric
anthropomorphic phantoms were utilized to validate the dose at specific points
within organs of interest relative to RTPS calculations and Monte Carlo
simulations of the same virtual phantoms (digital representation). Congruence of
the calculated and measured point doses for the same physical anthropomorphic
phantom geometry was used to verify the feasibility of the method. The RTPS
algorithm can be extended to calculate the organ dose by calculating a dose
distribution point-by-point for a designated volume. Electron Gamma Shower
(EGSnrc) codes for radiation transport calculations developed by National
Research Council of Canada (NRCC) were utilized to perform the Monte Carlo (MC)
simulation. In general, the RTPS and MC dose calculations are within 10% of the
TLD measurements for the infant and child chest scans. With respect to the dose
comparisons for the head, the RTPS dose calculations are slightly higher (10%
20%) than the TLD measurements, while the MC results were within 10% of the TLD
measurements. The advantage of the algebraic dose calculation engine of the RTPS
is a substantially reduced computation time (minutes vs. days) relative to Monte
Carlo calculations, as well as providing patient-specific dose estimation. It
also provides the basis for a more elaborate reporting of dosimetric results,
such as patient specific organ dose volumes after image segmentation.
PMID- 22089018
TI - Measurements to predict the time of target replacement of a helical tomotherapy.
AB - Intensity-modulated radiation therapy (IMRT) requires more beam-on time than
normal open field treatment. Consequently, the machines wear out and need more
spare parts. A helical tomotherapy treatment unit needs a periodical tungsten
target replacement, which is a time consuming event. To be able to predict the
next replacement would be quite valuable. We observed unexpected variations
towards the end of the target lifetime in the performed pretreatment measurements
for patient plan verification. Thus, we retrospectively analyze the measurements
of our quality assurance program. The time dependence of the quotient of two
simultaneous dose measurements at different depths within a phantom for a fixed
open field irradiation is evaluated. We also assess the time-dependent changes of
an IMRT plan measurement and of a relative depth dose curve measurement.
Additionally, we performed a Monte Carlo simulation with Geant4 to understand the
physical reasons for the measured values. Our measurements show that the dose at
a specified depth compared to the dose in shallower regions of the phantom
declines towards the end of the target lifetime. This reproducible effect can be
due to the lowering of the mean energy of the X-ray spectrum. These results are
supported by the measurements of the IMRT plan, as well as the study of the
relative depth dose curve. Furthermore, the simulation is consistent with these
findings since it provides a possible explanation for the reduction of the mean
energy for thinner targets. It could be due to the lowering of low energy photon
self-absorption in a worn out and therefore thinner target. We state a threshold
value for our measurement at which a target replacement should be initiated.
Measurements to observe a change in the energy are good predictors of the need
for a target replacement. However, since all results support the softening of the
spectrum hypothesis, all depth-dependent setups are viable for analyzing the
deterioration of the tungsten target. The suggested measurements and criteria to
replace the target can be very helpful for every user of a TomoTherapy machine.
PMID- 22089017
TI - Expanding the use of real-time electromagnetic tracking in radiation oncology.
AB - In the past 10 years, techniques to improve radiotherapy delivery, such as
intensity-modulated radiation therapy (IMRT), image-guided radiation therapy
(IGRT) for both inter- and intrafraction tumor localization, and hypofractionated
delivery techniques such as stereotactic body radiation therapy (SBRT), have
evolved tremendously. This review article focuses on only one part of that
evolution, electromagnetic tracking in radiation therapy. Electromagnetic
tracking is still a growing technology in radiation oncology and, as such, the
clinical applications are limited, the expense is high, and the reimbursement is
insufficient to cover these costs. At the same time, current experience with
electromagnetic tracking applied to various clinical tumor sites indicates that
the potential benefits of electromagnetic tracking could be significant for
patients receiving radiation therapy. Daily use of these tracking systems is
minimally invasive and delivers no additional ionizing radiation to the patient,
and these systems can provide explicit tumor motion data. Although there are a
number of technical and fiscal issues that need to be addressed, electromagnetic
tracking systems are expected to play a continued role in improving the precision
of radiation delivery.
PMID- 22089019
TI - The effect of gantry spacing resolution on plan quality in a single modulated arc
optimization.
AB - Volumetric-modulated arc technique (VMAT) is an efficient form of IMRT delivery.
It is advantageous over conventional IMRT in terms of treatment delivery time.
This study investigates the relation between the number of segments and plan
quality in VMAT optimization for a single modulated arc. Five prostate, five
lung, and five head-and-neck (HN) patient plans were studied retrospectively. For
each case, four VMAT plans were generated. The plans differed only in the number
of control points used in the optimization process. The control points were
spaced 2 degrees , 3 degrees , 4 degrees , and 6 degrees apart, respectively.
All of the optimization parameters were the same among the four schemes. The 2
degrees spacing plan was used as a reference to which the other three plans were
compared. The plan quality was assessed by comparison of dose indices (DIs) and
generalized equivalent uniform doses (gEUDs) for targets and critical structures.
All optimization schemes generated clinically acceptable plans. The differences
between the majority of reference and compared DIs and gEUDs were within 3%. DIs
and gEUDs which differed in excess of 3% corresponded to dose levels well below
the organ tolerances. The DI and the gEUD differences increased with an increase
in plan complexity from prostates to HNs. Optimization with gantry spacing
resolution of 4 degrees seems to be a very balanced alternative between plan
quality and plan complexity.
PMID- 22089020
TI - Dependence of intrafraction motion on fraction duration for pediatric patients
with brain tumors.
AB - The purpose of this study was to quantify the intrafraction motion of pediatric
patients with brain tumors during radiation therapy and investigate any
correlation between motion, use of general anesthesia, and daily treatment
duration. 100 pediatric patients with a mean age of 8.5 years (range: 1.0 to
17.8) were included in this prospective study. Forty-one patients required
general anesthesia during treatment, mean age 4.8 years; 59 patients did not,
mean age 11.2 years. Each patient had an intracranial tumor and was treated in
the supine position with a thermoplastic facemask and headrest for
immobilization. A pretreatment localization CBCT was acquired for each treatment
fraction and a post-treatment CBCT was acquired every other fraction. If the
magnitude of the patient's position pre-CBCT offset was >= 2 mm, the position was
corrected. The difference between the patient's position based on the post-CBCT
and the assumed position at the start of treatment (either the pre-CBCT offset if
the magnitude was < 2 mm, or 0 offset due to correction) was determined and
labeled intrafraction motion. Correlations between daily treatment duration and
intrafraction motion were examined. There was an average of 14.2 post-CBCTs
acquired per patient. The magnitude of the mean intrafraction motion was 1.2 +/-
0.8 mm for patients requiring general anesthesia, and 1.5 +/- 1.2 mm for those
without (p < 0.001). The mean offset in each direction was less than 0.5 mm for
both cohorts. There was no correlation between daily treatment duration and the
magnitude of intrafraction motion. The intrafraction motion of pediatric patients
undergoing external beam therapy for intracranial tumors is small, < 2 mm, and is
independent of the daily treatment duration.
PMID- 22089021
TI - Antiscatter grid use in pediatric digital tomosynthesis imaging.
AB - The objective of this study was to assess the effect of antiscatter grid use on
tomosynthesis image quality. We performed an observer study that rated the image
quality of digital tomosynthesis scout radiographs and slice images of a Leeds
TO.20 contrast-detail test object embedded in acrylic with and without a grid. We
considered 10, 15, 20 and 25 cm of acrylic to represent the wide range of patient
thicknesses encountered in pediatric imaging. We also acquired and rated images
without a grid at an increased patient dose. The readers counted the total number
of visible details in each image as a measure of relative image quality. We
observed that the antiscatter grid improves tomosynthesis image quality compared
to the grid-out case, which received image quality scores similar to grid-in
radiography. Our results suggest that, in order to achieve the best image quality
in exchange for the increase in patient dose, it may often be appropriate to
include an antiscatter grid for pediatric tomosynthesis imaging, particularly if
the patient thickness is greater than 10 cm.
PMID- 22089022
TI - Isocenter verification for linac-based stereotactic radiation therapy: review of
principles and techniques.
AB - There have been several manual, semi-automatic and fully-automatic methods
proposed for verification of the position of mechanical isocenter as part of
comprehensive quality assurance programs required for linear accelerator-based
stereotactic radiosurgery/radiotherapy (SRS/SRT) treatments. In this paper, a
systematic review has been carried out to discuss the present methods for
isocenter verification and compare their characteristics, to help physicists in
making a decision on selection of their quality assurance routine.
PMID- 22089023
TI - Calculating the peak skin dose resulting from fluoroscopically guided
interventions. Part I: Methods.
AB - While direct measurement of the peak skin dose resulting from a fluoroscopically
guided procedure is possible, the decision must be made a priori at additional
cost and time. It is most often the case that the need for accurate knowledge of
the peak skin dose is realized only after a procedure has been completed, or
after a suspected reaction has been discovered. Part I of this review article
discusses methods for calculating the peak skin dose across a range of clinical
scenarios. In some cases, a wealth of data are available, while in other cases
few data are available and additional data must be measured in order to estimate
the peak skin dose. Data may be gathered from a dose report, the DICOM headers of
images, or from staff and physician interviews. After data are gathered, specific
steps must be followed to convert dose metrics, such as the reference point air
kerma (K(a,r)) or the kerma area product (KAP), into peak skin dose. These steps
require knowledge of other related factors, such as the f-factor and the
backscatter factor, tables of which are provided in this manuscript. Sources of
error and the impact of these errors on the accuracy of the final estimate of the
peak skin dose are discussed.
PMID- 22089025
TI - Pore-expanded SBA-15 sulfonic acid silicas for biodiesel synthesis.
AB - Here we present the first application of pore-expanded SBA-15 in heterogeneous
catalysis. Pore expansion over the range 6-14 nm confers a striking activity
enhancement towards fatty acid methyl ester (FAME) synthesis from triglycerides
(TAG), and free fatty acid (FFA), attributed to improved mass transport and acid
site accessibility.
PMID- 22089026
TI - Flexible microfluidic cloth-based analytical devices using a low-cost wax
patterning technique.
AB - This paper describes the fabrication of microfluidic cloth-based analytical
devices (MUCADs) using a simple wax patterning method on cotton cloth for
performing colorimetric bioassays. Commercial cotton cloth fabric is proposed as
a new inexpensive, lightweight, and flexible platform for fabricating two- (2D)
and three-dimensional (3D) microfluidic systems. We demonstrated that the wicking
property of the cotton microfluidic channel can be improved by scouring in soda
ash (Na(2)CO(3)) solution which will remove the natural surface wax and expose
the underlying texture of the cellulose fiber. After this treatment, we
fabricated narrow hydrophilic channels with hydrophobic barriers made from
patterned wax to define the 2D microfluidic devices. The designed pattern is
carved on wax-impregnated paper, and subsequently transferred to attached cotton
cloth by heat treatment. To further obtain 3D microfluidic devices having
multiple layers of pattern, a single layer of wax patterned cloth can be folded
along a predefined folding line and subsequently pressed using mechanical force.
All the fabrication steps are simple and low cost since no special equipment is
required. Diagnostic application of cloth-based devices is shown by the
development of simple devices that wick and distribute microvolumes of simulated
body fluids along the hydrophilic channels into reaction zones to react with
analytical reagents. Colorimetric detection of bovine serum albumin (BSA) in
artificial urine is carried out by direct visual observation of bromophenol blue
(BPB) colour change in the reaction zones. Finally, we show the flexibility of
the novel microfluidic platform by conducting a similar reaction in a bent pinned
MUCAD.
PMID- 22089027
TI - Neonatal Fc receptor for IgG (FcRn) expressed in the gastric epithelium regulates
bacterial infection in mice.
AB - Neonatal Fc receptors for immunoglobulin (Ig)G (FcRn) assume a central role in
regulating host IgG levels and IgG transport across polarized epithelial
barriers. We have attempted to elucidate the contribution of FcRn in controlling
Helicobacter infection in the stomach. C57BL/6J wild-type or FcRn(-/-) mice were
infected with Helicobacter heilmannii, and gastric lesions, bacterial load and
the levels of antigen-specific IgG in serum and gastric juice were analyzed. The
elevated levels of anti-H. heimannii IgG in gastric juice were observed
exclusively in wild-type mice but not in FcRn(-/-) mice. In contrast, an increase
in lymphoid follicles and bacterial loads along with deeper gastric epithelium
invasion were noted in FcRn(-/-) mice. C57BL/6J wild-type or FcRn(-/-) mice were
also infected with Helicobacter pylori SS1, and the results of the bacterial load
in stomachs of these mice and the anti-H. pylori IgG levels in serum and gastric
juice were similar to those from H. heilmannii infection. Our data suggest that
FcRn can be functionally expressed in the stomach, which is involved in
transcytosis of IgG, and prevent colonization by H. heilmannii and the associated
pathological consequences of infection.
PMID- 22089031
TI - Synthesis, structures, and properties of ruthenium(II) complexes of N-(1,10
phenanthrolin-2-yl)imidazolylidenes.
AB - Mononuclear ruthenium complexes [RuCl(L1)(CH(3)CN)(2)](PF(6)) (2a),
[RuCl(L2)(CH(3)CN)(2)](PF(6)) (2b), [Ru(L1)(CH(3)CN)(3)](PF(6))(2) (4a),
[Ru(L2)(CH(3)CN)(3)](PF(6))(2) (4b), [Ru(L2)(2)](PF(6))(2) (5),
[RuCl(L1)(CH(3)CN)(PPh(3))](PF(6)) (6), [RuCl(L1)(CO)(2)](PF(6)) (7), and
[RuCl(L1)(CO)(PPh(3))](PF(6)) (8), and a tetranuclear complex
[Ru(2)Ag(2)Cl(2)(L1)(2)(CH(3)CN)(6)](PF(6))(4) (3) containing 3-(1,10
phenanthrolin-2-yl)-1-(pyridin-2-ylmethyl)imidazolylidene (L1) and 3-butyl-1
(1,10-phenanthrolin-2-yl)imidazolylidene (L2) have been prepared and fully
characterized by NMR, ESI-MS, UV-vis spectroscopy, and X-ray crystallography.
Both L1 and L2 act as pincer NNC donors coordinated to ruthenium (II) ion. In 3,
the Ru(II) and Ag(I) ions are linked by two bridging Cl(-) through a rhomboid
Ag(2)Cl(2) ring with two Ru(II) extending to above and down the plane. Complexes
2-8 show absorption maximum over the 354-428 nm blueshifted compared to
Ru(bpy)(3)(2+) due to strong sigma-donating and weak pi-acceptor properties of
NHC ligands. Electrochemical studies show Ru(II)/Ru(III) couples over 0.578-1.274
V.
PMID- 22089029
TI - Foreskin T-cell subsets differ substantially from blood with respect to HIV co
receptor expression, inflammatory profile, and memory status.
AB - The foreskin is the main site of heterosexual human immunodeficiency virus (HIV)
acquisition in uncircumcised men, but functional data regarding T-cell subsets
present at this site are lacking. Foreskin tissue and blood were obtained from
Ugandan men undergoing elective adult circumcision. Tissue was treated by
mechanical and enzymatic digestion followed by T-cell subset identification and
assessment of cytokine production using flow cytometry. Foreskin CD4(+) T cells
were predominantly an effector memory phenotype, and compared with blood they
displayed a higher frequency of CCR5 expression (42.0% vs. 9.9%) and interleukin
17 production. There was no difference in T-regulatory cell frequency, but
interferon-gamma and tumor necrosis factor-alpha production were increased in
foreskin CD8(+) T cells. These novel techniques demonstrate that the foreskin
represents a proinflammatory milieu that is enriched for HIV-susceptible T-cell
subsets. Further characterization of foreskin T-cell subsets may help to define
the correlates of HIV susceptibility in the foreskin.
PMID- 22089028
TI - Pulmonary-intestinal cross-talk in mucosal inflammatory disease.
AB - Chronic obstructive pulmonary disease (COPD) and inflammatory bowel diseases
(IBDs) are chronic inflammatory diseases of mucosal tissues that affect the
respiratory and gastrointestinal tracts, respectively. They share many
similarities in epidemiological and clinical characteristics, as well as in
inflammatory pathologies. Importantly, both conditions are accompanied by
systemic comorbidities that are largely overlooked in both basic and clinical
research. Therefore, consideration of these complications may maximize the
efficacy of prevention and treatment approaches. Here, we examine both the
intestinal involvement in COPD and the pulmonary manifestations of IBD. We also
review the evidence for inflammatory organ cross-talk that may drive these
associations, and discuss the current frontiers of research into these issues.
PMID- 22089030
TI - Opposing consequences of IL-23 signaling mediated by innate and adaptive cells in
chemically induced colitis in mice.
AB - The interleukin-23 (IL-23) pathway has emerged as a promising therapeutic target
for inflammatory bowel disease. Although the pathogenic role of IL-23 receptor
(IL-23R) on T lymphocytes is well established, its function on innate immune
cells has not been thoroughly examined. Here we investigate the consequence of IL
23R deletion in dextran sulfate sodium (DSS)-induced colitis. In IL23R(-/-) and
IL23p19(-/-) mice, we observed decreased weight loss and reduced leukocyte
infiltrate following DSS exposure. Surprisingly, when the IL-23R(-/-) allele was
crossed into Rag2(-/-) mice, we observed exacerbated disease, increased
epithelial damage, reduced pSTAT3 in the epithelium, and delayed recovery of
IL23R(-/-)Rag2(-/-) mice. This phenotype was rescued with exogenous IL22-Fc, and
epithelial pSTAT3 was restored. Depletion of Thy1(+) innate lymphoid cells
eliminated the majority of IL-22 production in the colon lamina propria of DSS
treated Rag2(-/-) mice, suggesting that these are the major IL-23 responsive
innate cells in this context. In summary, we provide evidence for opposing
consequences of IL-23R on innate and adaptive lymphoid cells in murine colitis.
PMID- 22089032
TI - Dynamics of screw and plate interaction.
PMID- 22089033
TI - Comment on "HCl adsorption on ice at low temperature: a combined X-ray
absorption, photoemission and infrared study" by P. Parent, J. Lasne, G. Marcotte
and C. Laffon, Phys. Chem. Chem. Phys., 2011, 13, 7142.
AB - On the basis of NEXAFS, photoemission and FTIR spectra of ice films with low
doses of adsorbed HCl, the authors of the PCCP paper "HCl adsorption on ice at
low temperature: a combined X-ray absorption, photoemission and infrared study",
Phys. Chem. Chem. Phys., 2011, 13, 7142, have come to conclusions regarding the
behavior of submonolayer amounts of HCl at 50 K that contradict published results
of the authors of this Comment. Our purpose is to argue that the conclusion,
attributed going forward to PLML (authors' initials), that nearly 100% of HCl
ionizes for dosage levels near to 0.16 monolayer (ML) or 0.3 Langmuir (L) at 50 K
is questionable. Rather, we reaffirm our conclusions of much lower levels of
ionization for similar temperatures and HCl dosages based on reactive ion
scattering (RIS) and low energy sputtering (LES) data for ice films and FTIR
spectra of ice nanocrystals. A second current paper by Ayotte et al., J. Phys.
Chem. A, 2011, 115, 6002, that largely parallels in method and results the RAIR
spectroscopy of PLML, is also given special notice.
PMID- 22089034
TI - Changes in reproductive life-history strategies in response to nest density in a
shell-brooding cichlid, Telmatochromis vittatus.
AB - To determine whether the appearance of a reproductively parasitic tactic varies,
and how this variation affects territorial males of the Lake Tanganyika cichlid
fish Telmatochromis vittatus, we examined the reproductive ecology of territorial
males in Mtondwe and compared it with that of a neighboring Wonzye population,
where nest density differs from that at Mtondwe. In Wonzye, with high nest
density, male tactics change with their body size from a territorial to a non
territorial parasitic tactic called piracy in which they conquer several nests
defended by territorial males and take over the nests while females are spawning.
These "pirate" males could decrease the costs incurred by travelling among nests
by exclusively targeting aggregations of nests in close proximity while avoiding
separate nests. Territorial males in Wonzye sacrifice the potential higher
attractiveness offered by large nests and instead compete for nests farther from
neighbors on which pirates less frequently intrude. In contrast, the Mtondwe
population had lower nest density and piracy was absent. Given that the success
of piracy depends on the close proximity of nests, nest density is likely
responsible for the observed variation in the occurrence of piracy between the
two populations. Furthermore, in Mtondwe, territorial males competed for larger
nests and were smaller than the territorial males in Wonzye. Thus, this lower
nest density may free territorial males from the selection pressures for
increased size caused by both defense against nest piracy and the need to develop
into pirates as they grow.
PMID- 22089035
TI - Inactivation of estrogen receptor by Schistosoma haematobium total antigen in
bladder urothelial cells.
AB - We recently reported the expression of an estradiol-like molecule by a trematode
parasite Schistosoma haematobium. We further established that this estradiol-like
molecule is an antagonist of estradiol, repressing the transcriptional activity
of the estrogen receptor (ER) in estrogen-responsive MCF7 cells and also that S.
haematobium total antigen (Sh) contains estrogenic molecules detected by mass
spectrometry. In the present study, we used HCV29 cells, a cell line derived from
normal urothelial cells, as well as an in vivo model to evaluate the expression
of ER in the bladders of Sh-instilled animals. We show that, similarly to MCF7
cells, Sh down-regulates the transcriptional activity of ER in HCV29 cells and
also in the bladders of Sh-treated mice. The antiestrogenic activity of the S.
haematobium extract and its repressive role in ER could have implications in the
carcinogenic process in bladders with S. haematobium infection.
PMID- 22089037
TI - Sledging is still a seasonal source of serious injury in Scottish children.
AB - In 2002 it was highlighted that sledging results in serious injuries in the
paediatric population and safety recommendations were made. The aim of this study
was to re-examine the number, severity and aetiology of sledging-related trauma.
This was a retrospective study performed in the Paediatric Emergency Department
(PED) of the Royal Aberdeen Children's Hospital (RACH) during two periods
totalling 12 days, when there was continuous snow ground cover. Records of all
attendances were scrutinized to identify patients with sledging injuries. The
nature, mechanism and severity of injury, and subsequent management were then
analysed. Of 403 PED attendances, 45 (11%) were sledging related with 16 (36%)
fractures and 13 (29%) head injuries. Eight patients (18%) were admitted to
hospital and three (7%) required an operation. Collision with a stationary object
was the most common reason for injury (51%), followed by the adoption of a
dangerous sledging position or use of a stationary jump. No patients were wearing
a helmet. In conclusion, there has been little change in the epidemiology and
aetiology of paediatric sledging injuries since 2002. Work is needed to inform
parents of the previously recommended safety measures that could reduce the
morbidity of this activity without detracting from the enjoyment.
PMID- 22089036
TI - All I want for coagulation.
AB - Evidence-based medicine underpins modern practice of medicine. This paper
describes a fictional consultation between Santa Claus and a doctor regarding
deep vein thrombosis (DVT) prophylaxis, giving a review of the evidence for DVT
prophylaxis in travellers while exposing the difficulty in applying evidence to
atypical clinical encounters. Medline and the Cochrane Library were searched, and
guidelines reviewed. Keywords used were DVT, thromboembolism, deep vein
thrombosis and air travel-related venous thromboembolism. All relevant studies
found, have been included in this review, with additional studies identified from
the references in these articles. In conclusion, compression stockings, with or
without a one-off dose of either aspirin or heparin, are the most evidence-based
approaches for prophylaxis in someone with established risk factors for DVT prior
to a long-haul flight. Simple exercises should also be encouraged.
PMID- 22089038
TI - A study of thromboprophylactic practices of Scottish orthopaedic surgeons in
patients undergoing total hip replacements. Should practice change with new
guidelines?
AB - Despite increasing scientific investigation, the best method for preventing
postoperative thromboembolism in patients undergoing a total hip replacement
(THR) remains unclear. National Institute for Health and Clinical Excellence
(NICE) and Scottish Intercollegiate Guidelines Network (SIGN) guidelines on the
prevention of thromboembolism have caused much controversy. We surveyed Scottish
surgeons regarding their thromboprophylaxis prescribing after THR. Questionnaires
were sent to all Scottish orthopaedic consultants. They were asked about routine
pharmacological and mechanical prophylaxis in patients undergoing a THR.
Comparison was made with a previous survey done in 2003. The response rate was
75%. The survey showed an increased use of pharmacological prophylaxis from 93%
to 100%. This was due to the increased use of aspirin from 51% to 64%. The use of
low molecular weight heparin has remained constant at 51%. No surgeons routinely
use warfarin, un-fractionated heparin or fondaparinux. Use of graded compression
stockings has increased from 59% to 70%. In conclusion, there is increasing
evidence that patients undergoing THR should receive extended prophylaxis for up
to 35 days. Oral agents such as dabigatran and rivaroxaban have offered a new
option for oral extended prescribing. The results in change of practice must be
closely audited.
PMID- 22089039
TI - A new approach to scoring systems to improve identification of acute medical
admissions that will require critical care.
AB - Removal of the intensive care unit (ICU) at the Vale of Leven Hospital mandated
the identification and transfer out of those acute medical admissions with a high
risk of requiring ICU. The aim of the study was to develop triaging tools that
identified such patients and compare them with other scoring systems. The
methodology included a retrospective analysis of physiological and arterial gas
measurements from 1976 acute medical admissions produced PREEMPT-1 (PRE-critical
Emergency Medical Patient Triage). A simpler one for ambulance use (PREAMBLE-1
[PRE-Admission Medical Blue-Light Emergency]) was produced by the addition of
peripheral oxygen saturation to a modification of MEWS (Modified Early Warning
Score). Prospective application of these tools produced a larger database of 4447
acute admissions from which logistic regression models produced PREEMPT-2 and
PREAMBLE-2, which were then compared with the original systems and seven other
early warning scoring systems. Results showed that in patients with arterial
gases, the area under the receiver operator characteristic curve was
significantly higher in PREEMPT-2 (89.1%) and PREAMBLE-2 (84.4%) than all other
scoring systems. Similarly, in all patients, it was higher in PREAMBLE-2 (92.4%)
than PREAMBLE-1 (88.1%) and the other scoring systems. In conclusion, risk of
requiring ICU can be more accurately predicted using PREEMPT-2 and PREAMBLE-2, as
described here, than by other early warning scoring systems developed over recent
years.
PMID- 22089040
TI - Impact of national guidelines on family history breast cancer surveillance.
AB - The breast cancer risk of women already under family history surveillance was
accurately assessed according to national guidelines in an attempt to rationalize
the service. Women attending two breast units in Glasgow between November 2003
and February 2005 were included. One thousand and five women under annual
surveillance were assessed and had their relatives diagnoses verified. Four
hundred and ninety-seven women were at significantly increased risk and eligible
for follow-up. Five hundred and eight (50%) women attending were not eligible for
family history surveillance, and 498 (98%) of these women accepted discharge. In
conclusion, national guidelines have helped to more clearly define women who
should undergo surveillance. This avoids unnecessary and potentially harmful
routine investigations, and the service has been improved.
PMID- 22089041
TI - Outcomes following a dedicated period of research during surgical training.
AB - With recent 'working-time'-related changes to surgical training structure, the
value of dedicated research during surgical training has been questioned. Online
survey examining career and academic outcomes following a period of surgically
related dedicated research at a Scottish University between 1972 and 2007. Of 58
individuals identified, contact details were available for 49 and 43 (88%)
responded. Ninety-five percent (n = 41) of respondents continue to pursue a
career in surgery and 41% (n = 17) are currently in academic positions. Ninety
one percent (n = 39) had published one or more first-author peer-reviewed
articles directly related to their research, with 53% (n = 23) publishing three
or more. Respondents with a clinical component to their research published
significantly more papers than those with purely laboratory-based research (P =
0.04). Eighty-one percent (n = 35) thought that research was necessary for career
progression, but only 42% (n = 18) felt research should be integral to training.
In conclusion, the majority of surgical trainees completing a dedicated research
period, published papers and continued to pursue a surgical career with a
research interest. A period of dedicated research was thought necessary for
career progression, but few thought dedicated research should be integral to
surgical training.
PMID- 22089042
TI - Effect of intradermal anaesthesia on success rate and pain of intravenous
cannulation: a randomized non-blind crossover study.
AB - Intravenous cannulation is a commonly performed procedure. This study aimed to
determine whether the success rate and pain of intravenous cannulation is
affected by prior injection of intradermal lidocaine. Intravenous cannulation was
performed twice in 45 healthy volunteers. Intradermal lidocaine was administered
prior to one of these cannulations. The outcome measures were success or failure
of cannulation, and pain of cannulation, measured with a 100 mm visual analogue
pain scale. The success rate of intravenous cannulation with and without prior
intradermal lidocaine was 54% and 76%, respectively. The difference was 22.0%
(95% CI 1.5-27.8%; P = 0.03). Log-linear analysis for three-way interaction
between the variables (outcome, vascular condition and use of lidocaine) showed a
significant influence of vascular condition on outcome (G(2) 24.6, P < 0.001).
The mean (SD) pain scores in the control and intervention group were 34.8 (21.0)
and 13.6 (13.2) mm, respectively. The difference between the mean pain scores was
21.2 mm (95% CI 15.1-27.3 mm). In conclusion, the success rate of intravenous
cannulation may be reduced with the use of intradermal lidocaine, but success
rate is primarily dependent on vascular condition. Intradermal lidocaine achieves
a clinically significant reduction in the pain of intravenous cannulation.
PMID- 22089043
TI - A preliminary clinical study on high-intensity focused ultrasound therapy for
tubal pregnancy.
AB - Our aim was to explore the clinical application value of high-intensity focused
ultrasound (HIFU) therapy for tubal pregnancy. Forty hospitalized patients with
tubal pregnancies (28 cases of non-ruptured tubal pregnancy and 12 cases of
ruptured tubal pregnancy) were selected to receive HIFU therapy. Serum human
chorionic gonadotropin (beta-HCG) concentrations were compared before and after
treatment. Serum beta-HCG was measured weekly and patients received observation
only if the concentration decreased by 15% or more, compared with the previous
value. Patients were given supplement HIFU therapy if the decrease in the serum
beta-HCG was <15% within two weeks. Ultrasound was used to detect the volume
changes in the ectopic lesions before and after treatment, and changes in vital
signs and complications were recorded. Contrast-enhanced ultrasonography was used
to assess fallopian tube patency after treatment. HIFU treatment was successful
in 33 of the 40 patients (82%). Seven patients failed HIFU treatment and received
surgical therapy (18%). Before and after treatment, serum beta-HCG concentrations
and lesion volume were significantly different (P < 0.05, P < 0.01,
respectively). Post-treatment tubal contrast-enhanced ultrasonography showed
tubal patency on the affected side in 21 cases (64%) at six months and in 27
cases (82%) at 12 months. In conclusion, HIFU is safe and effective, and can be a
treatment option for tubal pregnancy.
PMID- 22089044
TI - Effect of socioeconomic deprivation and the appointment of Welfare Attorneys.
AB - Most patients in intensive care unit (ICU) lack decision-making ability. The
Adults with Incapacity (Scotland) Act 2000 allows someone to appoint a Welfare
Attorney (WA) to act on their behalf should they lose capacity. Scotland has
areas of major socioeconomic deprivation associated with lower life-expectancy
and with a lack of knowledge about and consequently difficulty accessing
services. The effect of socioeconomic deprivation on WA registration was
investigated. A complete list of registered WAs was categorized by deprivation.
The Public Guardian, Scotland indicated whether patients admitted to ICU at
Glasgow Royal (April 2006-May 2009) had a WA registered. All Scottish ICU
admissions (2004-2008) were categorized by deprivation. Twelve of 1152 ICU
patients at Glasgow Royal had a WA. Of 165,997 WAs registered, 5984 were in the
most deprived and 27,970 in the most affluent areas. Overall, 3.9% of the
Scottish population had a WA (1.4% in the most, 6.5% in the least deprived
population decile). In conclusion, the uptake of WAs was low, especially in
deprived areas. The reasons could include a lack of knowledge, not anticipating
the need for a WA or not being confident in the process. Any educational package
needs to target the most socioeconomically disadvantaged.
PMID- 22089045
TI - The Scottish Liver Transplant Unit: current and future perspectives.
AB - The Scottish Liver Transplant Unit (SLTU) opened in 1992 and has now performed
over 900 liver transplants. During this time there have been major changes in
both organ donation and transplantation. Currently liver transplantation is
restricted by limited organ supply. Scotland has one of the lowest rates of organ
donation in Europe and one of the most rapidly increasing rates of cirrhosis. The
consequent waiting list mortality has driven innovations including increasing use
of marginal grafts, organs donated after cardiac death, split-liver transplants
and the development of living-donor liver transplantation. To maintain liver
transplantation, there is an urgent need to increase organ donation rates and to
find novel treatments which optimize outcomes from marginal grafts. This review
addresses the surgical aspects of liver transplantation and how these have
evolved over the two past decades. Major changes are currently underway in organ
donation organization, and there is continuing refinement of organ treatment and
storage. A number of measures to maintain and improve organ preservation and
function are currently being evaluated in clinical trials, and cell therapy holds
significant potential for the future. Scotland has a rising need for liver
transplantation and the SLTU continues to provide high-quality care and to be at
the forefront of the latest advances in organ transplantation.
PMID- 22089046
TI - The case of King Richard III.
AB - In this short essay we will discuss the possible diseases of King Richard III
according to the descriptions in Shakespeare's plays King Richard III and Henry
VI. Furthermore, it is shown that the description of the defeated enemy as
physically and mentally deformed is part of a long tradition which has its roots
in Ancient Greece.
PMID- 22089048
TI - Popliteal cystic adventitial disease: a case report and literature review.
AB - Cystic adventitial disease (CAD) is rare. The popliteal artery is the most
commonly affected artery. Patients with CAD are usually young and present with
ischaemic lower limb symptoms. We report a case of a 39-year-old male patient
with popliteal CAD. The affected segment was resected and repaired with an
interposition vein graft. In conclusion, we highlight the importance of correct
diagnosis and outline the different management strategies.
PMID- 22089049
TI - A case of arm swelling and muscle Wii-kness.
AB - The Nintendo Wii is now the world's most popular home games console owing to its
simple interface and replication of sports activities. Although safety advice is
provided by the manufacturer, a number of injuries have previously been
described. We describe a case of arm swelling with associated rise in serum
creatine kinase to over 8000 U/L in a man, following unaccustomed and sustained
strenuous muscle exertion through the use of the Nintendo Wii. His condition
spontaneously resolved with rest and conservative measures. His presentation
represents significant muscle injury through the use of this games console and
the replication of sporting activities; physicians should be aware of the variety
of musculoskeletal presentations such use of these devices can produce.
PMID- 22089050
TI - Gastric Schwannoma or GIST: accuracy of preoperative diagnosis?
AB - Mesenchymal cell tumours of the gastrointestinal tract are rare in western
society. Gastrointestinal stromal tumour (GIST) is the most common of this group
of tumours. Gastric schwannoma is rarer, accounting for only 0.2% of all gastric
tumours and 4% of all benign gastric neoplasms. Indeed, schwannoma has only been
recognized as a primary gastrointestinal tumour in the last 20 years through
advances in pathological techniques. We report a rare case of gastric schwannoma,
the endoscopic and radiological features of which were indistinguishable from a
GIST. Due to the diagnostic uncertainty, surgical resection is the treatment of
choice. Development of more reliable diagnostic methods, such as endoscopic core
biopsy, may help the accuracy of preoperative diagnosis.
PMID- 22089051
TI - Tuberculous pericarditis: a diagnostic quandary.
AB - Tuberculous pericarditis is uncommon in the Western world, and can prove a
diagnostic quandary in that confirmation of the diagnosis and culture of
mycobacteria can be difficult. We present a case of tuberculous pericarditis
where endobronchial ultrasound-guided transbronchial needle biopsy of a
pathological lymph node provided the diagnosis after other methods of
investigation had proved futile.
PMID- 22089052
TI - Nocardiosis complicated with Addison's disease.
AB - A 77-year-old woman presented with subacute respiratory symptoms which were
demonstrated to be due to nocardiosis. After initial improvement with
antimicrobial therapy, new symptoms appeared, consisting of persistent vomits,
abdominal pain and hypotension, which led to the diagnosis of Addison's disease.
PMID- 22089053
TI - Synchronous presentation of Gaucher disease and solitary plasmacytoma with
progression to multiple myeloma.
AB - A 37-year-old Polish immigrant presented with unilateral hip pain and difficulty
weight-bearing. Plain radiography and magnetic resonance imaging (MRI) revealed a
lytic lesion in the acetabulum, with abnormal serum electrophoresis and bone
marrow biopsy. The patient was diagnosed with two rare conditions presenting
synchronously - Gaucher disease and plasmacytoma. He was treated with enzyme
therapy and radiotherapy, but subsequently developed a recurrence of plasmacytoma
in the right femur, confirmed with bone marrow biopsy. This was also treated with
radiotherapy, followed by a retrograde femoral nail to reduce the risk of
pathological fracture. The patient went on to develop multiple lytic lesions in
the ribs and vertebra, seen on MRI. Further bone marrow biopsy confirmed
dissemination of the plasmacytoma into multiple myeloma, for which he was treated
with systemic chemotherapy. In patients presenting with refractory bone or joint
pain, haematological and histological investigations should be considered to
exclude less common diagnoses.
PMID- 22089054
TI - Toxic levels of glycosides in herbal medication: a potential cause of
hyperkalaemia.
AB - We report a previously healthy man presenting with life-threatening hyperkalaemia
and heart failure. The only possible cause was thought to be the long list of
herbal medications he was taking, several of which contained significant amounts
of cardiac glycosides. Hyperkalaemia is known to be associated with digoxin
toxicity and we present this as the likely cause in this case, and emphasize the
importance of a thorough drug history in forming a differential diagnosis.
PMID- 22089055
TI - Imaging in chronic cough in paediatrics.
AB - Chronic cough is a common presentation in paediatrics. We describe a case which
highlights the need for careful history taking and summarize the key clinical
features which should prompt a clinician to perform a chest X-ray.
PMID- 22089056
TI - Chemolysis of calcium oxalate stones: study in vitro and possible clinical
application.
AB - The flow cell modeling clinical conditions have been used to study the
interaction between dilute chemolytic solutions and large calcium oxalate renal
stones. The stone treatment with 5% disodium ethylenediaminetetraacetate aqueous
solutions or citrate buffer are found not to provide notable disruption of the
samples studied. The significant improvement is reached with the mixed
compositions containing both natural and synthetic chelating reagents:citrate and
ethylenediaminetetraacetate ions as well as an antibiotic. Description of the
chemolytic irrigation, numerical results and their possible clinical application
are the main topic of the present research.
PMID- 22089057
TI - Mycophenolate mofetil inducing remission of lupus enteritis.
AB - We report the case of a young woman with a background history of discoid lupus
who presented with abdominal pain, vomiting and intermittent diarrhoea. Physical
examination revealed tenderness in the right upper quadrant with a palpable right
inguinal lymph node without any other clinical signs of active lupus. Laboratory
investigations showed normal inflammatory markers, positive ANA and Anti-Ro
antibodies, persistent hypocomplementemia and lymphopenia, CT showed marked bowel
oedema involving the small and large bowel (halo sign) with massive ascites and
moderate right-sided pleural effusion. Mantoux test, AFB and TB cultures were
negative. A diagnosis of lupus enteritis was made and treatment with high-dose
steroids was commenced with little improvement. Treatment with cyclophosphamide
was discussed but declined by the patient. Mycophenolate mofetil was commenced
and resulted in significant clinical and radiological resolution. To the best of
the authors' knowledge this is the first report of the successful use of
mycophenolate mofetil in inducing and maintaining remission in lupus enteritis.
PMID- 22089058
TI - Association of galectin-3 and fibrosis markers with long-term cardiovascular
outcomes in patients with heart failure, left ventricular dysfunction, and
dyssynchrony: insights from the CARE-HF (Cardiac Resynchronization in Heart
Failure) trial.
AB - AIMS: Circulating biomarkers of collagen turnover reflect extracellular cardiac
matrix (ECCM) remodelling. The extent to which the success of cardiac
resynchronization therapy (CRT) is influenced by the degree of cardiac fibrosis
and whether CRT can influence matrix remodelling has yet to be studied. Our aim
was to determine, in patients with heart failure (HF) and cardiac dyssynchrony,
whether ECCM biomarkers are influenced by CRT and can predict cardiovascular
outcomes and response to CRT. METHODS AND RESULTS: Serum levels of ECCM
biomarkers [galectin-3 (Gal-3), N-terminal propeptides of type I and III
procollagens (PINP and PIIINP), type I collagen telopeptide (ICTP), and matrix
metalloproteinase 1 (MMP-1)] were measured in 260 patients, in a substudy of CARE
HF, a randomized controlled trial which evaluated the effects of CRT in patients
with left ventricular systolic dysfunction and cardiac dyssynchrony. ECCM
biomarkers did not change throughout the 18-month follow-up period. In age- and
gender-adjusted analyses, Gal-3 and PIIINP were associated with death or HF
hospitalization. In a further multivariate model, Gal-3 >30 ng/mL was associated
[OR (95% CI):2.98 (1.43-6.22), P = 0.004] with death or HF hospitalization, along
with left ventricular end-systolic volume >200 mL [3.42 (OR: 1.65-7.10), P =
0.001]. The outcome death or left ventricular ejection fraction (LVEF) <=35% was
associated with MMP-1 [<=3 ng/mL: 3.04 (1.37-6.71), P = 0.006]. No significant
interaction was observed between the tested biomarkers and the treatment group.
CONCLUSIONS: Increased Gal-3 and PIIINP, and low MMP-1 are associated with
adverse long-term cardiovascular outcomes but did not predict response to CRT.
CRT did not favourably affect serum concentrations of ECCM markers.
PMID- 22089059
TI - Genome-wide association studies (GWAS) in complex diseases: advantages and
limitations.
PMID- 22089060
TI - [Transitional care programs for patients with rheumatic diseases: review of the
literature].
AB - Transitional care programs are developed to facilitate the passage of a young
patient with a chronic disease to an adult clinic where he needs to learn how to
get involved in his own care. Various models have been proposed to address this
transition in a multidisciplinary process. This review will address, in an
updated format, various aspects of the transition units. With this objective in
mind, we developed a narrative overview of the available information in the
literature on transition units (TU) in rheumatic diseases. The most relevant
information is presented in different stages: description and recommendations for
the management of the adolescent patient, guidelines and information on programs
at TU, and a description of aspects that should be part of a TU. Although several
transition programs have been described, we do not have enough information to
know what the ideal one would be like. More research is needed in this field,
focusing on variables such as quality of life, satisfaction, activity and chronic
damage.
PMID- 22089061
TI - Cryoglobulinemia with acronecrosis not associated with hepatitis C infection: a
case report.
AB - Cryoglobulinemia is a rare disease characterized by the production of monoclonal
or polyclonal immunoglobulins that precipitate in cold temperature. While this
phenomenon can be observed in a large number of disorders, it has been associated
with hepatitis C virus infection in more than 90% of cases. The remaining 10%,
called essential cryoglobulinemia, has been characterized by a more severe course
and a failure to respond to conventional treatment. This article describes the
case of a patient with essential cryoglobulinemia presenting with acronecrosis
with a poor outcome, despite treatment, leading to amputation.
PMID- 22089062
TI - Treating rheumatoid arthritis to target: evidence-based recommendations for
enhanced disease management.
PMID- 22089063
TI - Compressive myelopathy as the presentation form of a transdiscal fracture of the
vertebrae in a patient with ankylosing spondylitis.
PMID- 22089064
TI - Premenopausal osteoporosis: how to treat?
AB - There is no agreement in defining osteoporosis in premenopausal women and
diagnosis must be done carefully and not based on densitometric parameters. One
must take into account the presence of other risk factors and history of
fragility fractures, diseases or drugs that cause bone loss. Over 50% of
premenopausal women with osteoporosis will have a secondary cause, with the
remainder diagnosed with idiopathic osteoporosis. Therapeutic considerations are
limited by a few studies in this group of patients, especially in regard to the
risk of fractures. On the other hand, the FRAX index cannot be applied to
premenopausal women. This article will review the measures to apply depending on
the type of premenopausal osteoporosis, based on current scientific evidence.
PMID- 22089065
TI - Biochemical markers in osteoporosis: usefulness in clinical practice.
AB - Currently, the measurement of bone remodeling biomarkers is an innovate proposal
in clinical evaluation of patients with osteoporosis. Its use may identify
patients at increased risk of fracture as well as monitoring therapeutic
efficacy. Because they constitute a relatively inexpensive non-invasive
measurement, its use should be widespread for serial and frequent measurements of
bone turnover. However, their analytical and biological variability limits their
clinical applicability.
PMID- 22089066
TI - Hyperparathyroidism: primary or secondary disease?
AB - Primary hyperparathyroidism (PHPT) is characterized by the autonomous production
of parathyroid hormone (PTH), in which there is hypercalcemia or normal-high
serum calcium levels in the presence of elevated or inappropriately normal serum
PTH concentrations. Exceptionally in symptomatic patients, a diagnostic can be
established on the basis of clinical data. PHPT must always be evaluated in
patients with clinical histories of nephrolithiasis, nephrocalcinosis, osseous
pain, subperiosteal resorption, and pathologic fractures, as well as in those
with osteoporosis-osteopenia, a personal history of neck irradiation, or a family
history of multiple endocrine neoplasia syndrome (types 1 or 2). Diagnosis of
PHPT is biochemical. Asymptomatic hypercalcemia without guiding signs or symptoms
is the most frequent manifestation of the disease. For differential diagnosis,
PTH must be measured, as well as phosphate, chloride, 25-hydroxyvitamin D, 1,25
dyhidroxyvitamin D and calcium-to-creatinine clearance. The diagnosis and
differential diagnosis of primary hyperparathyroidism will be discussed here.
PMID- 22089067
TI - Remmiting symmetric seronegative synovitis with pitting edema (RS3PE).
PMID- 22089069
TI - ?
PMID- 22089068
TI - Septic arthritis due to Fusobacterium nucleatum in an immunocompetent patient.
PMID- 22089070
TI - [Granulomatosis with polyangiitis (Wegener). Description of 15 cases].
AB - BACKGROUND: Granulomatosis with polyangiitis (GP) is a necrotizing vasculitis of
unknown etiology that involves small and medium caliber vessels. It is associated
with anti neutrophil cytoplasm antibodies (ANCA). It most often affects the
respiratory tract and the kidneys and its most important pathologic feature is
the presence of necrotizing granulomas. OBJECTIVES: To detail the features of 15
patients with GP diagnosed in a university referral center. PATIENTS AND METHODS:
Retrospective study: between 1984 and 2009, 15 patients with GP were diagnosed in
our center. Epidemiological, clinical, laboratory test as well as pathologic
studies and treatment were retrospectively analyzed. Biopsy diagnosis of GP was
considered as an inclusion criterion. RESULTS: Fifteen patients were diagnosed:
12 men and 3 women. Mean age at diagnosis: 52.2 years (14-78). 12 patients had a
history of smoking. A biopsy was diagnostic in all patients. ANCA were positive
in 11 cases, 6 had a cytoplasmic c-ANCA pattern. All patients had pulmonary
involvement and seven (40%) had renal involvement. All patients received
intravenous glucocorticoids and cyclophosphamide as induction therapy. During the
disease progression 5 patients died. CONCLUSIONS: The clinical features of this
series do not differ from those described by other authors. However, a history of
smoking is more common than expected. Frequently used drugs were glucocorticoids
and cyclophosphamide (oral and pulse therapy). The course was usually
unfavorable, with outbreaks or complications due to immunosuppression, except for
those with limited forms. Immunosuppressive therapy should be maintained
indefinitely in most cases.
PMID- 22089071
TI - [Vascular changes in severe carpal tunnel syndrome: a differential diagnosis of
vasculitis].
AB - Carpal tunnel syndrome may present with skin lesions. This may lead to a
differential diagnosis with vasculitis. Sympathetic nervous system perivascular
involvement and recurrent injuries secondary to sensory loss are probably part of
the mechanism of injury. In this case, we also comment on the pathogenic role of
persistent median artery associated with a bifid median nerve.
PMID- 22089072
TI - [Crowned Dens syndrome: calcification of the transverse ligament of the atlas].
PMID- 22089073
TI - [How to effectively use methotrexate in rheumatoid arthritis?].
AB - Methotrexate (MTX) is the first choice disease modifying anti-rheumatic drugs for
rheumatoid arthritis. In spite of its generalized use by rheumatologists
worldwide, there is a general lack of agreement regarding the route of
administration, the start-up dose and the way to increase the same. In this
article we propose a simplified outline for the use of the drug that should be
individualized, based on it's pharmacological aspects, guidelines and
recommendations published in high impact factor journals during the past few
years. Adverse reactions and side effects, as well as their follow up are also
reviewed.
PMID- 22089074
TI - [Acute miopericarditis as the presenting feature of adult-onset Still's disease].
AB - Adult Still's disease (ASD) was described by George Still in 1896. ASD is a rare
inflammatory disorder, of unknown etiology, whose clinical manifestations are
manifold. Diagnosis requires high clinical suspicion and exclusion of different
etiologies. We report the case of a 20 year old male with fever, arthritis,
dyspnea and chest pain. Laboratory findings showed increased levels of cardiac
enzymes, and a pleuropericardic effusion was detected in imaging tests, both of
them showing myopericarditis. Corticosteroid treatment was started with initial
improvement, although the addition of methotrexate was necessary in the following
months.
PMID- 22089075
TI - [Gouty arthritis is not everything].
PMID- 22089076
TI - [Trochanteric bursitis due to tuberculosis in an immunocompetent young woman].
AB - Soft tissue infection due to Mycobacterium tuberculosis can affect muscle,
tendons, fascia, bursa and synovial tissue. Tuberculous trochanteric bursitis is
a rare entity that usually affects immunocompromised patients. Manifestations
usually occur insidiously, which delays diagnosis and treatment. We present the
case of an immunocompetent young woman who came to our department for chronic
left hip pain. The study confirms the diagnosis of tuberculous trochanteric
bursitis. This case demonstrates the importance of considering a possible
infectious origin of bursitis in immunocompetent patients.
PMID- 22089077
TI - Exercise prescription: a case for standardised reporting.
AB - BACKGROUND: Structured, regular exercise is recommended to improve health
outcomes. Exercise takes many forms and varies in type, intensity, duration and
frequency. The authors used the example of exercise for chronic health conditions
to examine how exercise programmes are described and summarised in systematic
reviews. METHODS: Two independent reviewers conducted a review of exercise
reporting practices using the evidence of exercise effects for chronic conditions
as the source material. INCLUSION CRITERIA: systematic reviews that summarised
the effects of exercise programmes for adults with chronic health conditions.
EXCLUSION CRITERIA: reviews of studies of children and adolescent populations,
and non-English publications. RESULTS: Seventy-three reviews were included. Data
on sample size, number of included trials, interventions, comparisons, programme
characteristics, exercise components, author conclusions and recommendations were
extracted. Seventy-one per cent of reviews reported being unable to adequately
describe the exercise programmes, because the required information was not
reported in included trials. Using key exercise descriptors from the included
reviews, the authors developed criteria for reporting to a level that enables
replication. CONCLUSIONS: All included reviews recommended better and
standardised reporting. Incomplete exercise programme descriptions limit
confidence in the accurate replication of effective interventions and limits
critical appraisal of interventions when conflicting outcomes are reported. The
evaluation and implementation of physical activity and exercise research would be
facilitated if exercise programmes are comprehensively described. The authors
propose that systematic reviews/meta-analysis and clinical application of the
outcomes of exercise therapy research would be enhanced if authors used an
exercise reporting grid that includes a detailed description of the programme
components.
PMID- 22089078
TI - A systematic review of patient-reported outcome measures used to assess Achilles
tendon rupture management: what's being used and should we be using it?
AB - BACKGROUND: Currently, there is no consensus regarding the optimal management for
patients following an Achilles tendon rupture. To allow comparisons between
different treatments, a universally accepted outcome measure is required.
However, there are currently a range of these reported within the literature.
OBJECTIVE: To recommend the most suitable patient-reported outcome measure for
the assessment of patients following an Achilles tendon rupture, based on a
systematic review of first what is currently used and second evidence of
validity. METHODS: The electronic databases MEDLINE, EMBASE and AMED were
searched up to September 2010. Predefined inclusion and exclusion criteria were
applied to identify what outcome measures are reported in the literature. Aspects
of validity were defined and a checklist used to determine which aspects have
been evaluated. RESULTS: Twenty-one outcome measures in 50 research papers were
identified. The most commonly used was the American Orthopaedic Foot and Ankle
Society hind-foot score. Of the 21 outcome measures, only 4 cited independent
validation data. Of these four, only the 'Achilles tendon Total Rupture Score'
reported evidence to support multiple facets of validity, as defined by a
predetermined criteria checklist. CONCLUSIONS: The Achilles tendon Total Rupture
Score was identified as the only outcome measure which has demonstrated multiple
facets of validity for use in this patient group. However, even this tool has
limitations. Researchers should be aware of the limitations of the available
outcome measurement tools and check on their validity before use in clinical
research.
PMID- 22089079
TI - The impact of dementia on influenza vaccination uptake in community and care home
residents.
AB - BACKGROUND: Influenza vaccination is recommended for older people irrespective of
cognitive decline or residential setting. OBJECTIVE: To examine the effect of
dementia diagnosis on flu vaccination uptake in community and care home residents
in England and Wales. METHODS: Retrospective analysis of a primary care database
with 378,462 community and 9,106 care (nursing and residential) home residents
aged 65-104 in 2008-09. Predictors of vaccine uptake were examined adjusted for
age, sex, area deprivation and major chronic diseases. RESULTS: Age and sex
standardised uptake of influenza vaccine was 74.7% (95% CI: 73.7-75.8%) in
community patients without dementia, 71.4% (69.3-73.5%) in community patients
with dementia, 80.5% (78.9-82.2%) in care home patients without dementia and
83.3% (81.4-85.3%) in care home patients with dementia. In a fully adjusted
model, compared with community patients without dementia, patients with dementia
in the community were less likely to receive vaccination (RR: 0.96, 95% CI: 0.94
0.97) while care home patients with (RR: 1.06, 1.03-1.09) and without (RR: 1.03,
1.01-1.05) dementia were more likely to receive vaccination. Area deprivation and
chronic diseases were, respectively, negative and positive predictors of uptake.
CONCLUSION: Lower influenza vaccine uptake among community patients with
dementia, compared with care home residents, suggests organisational barriers to
community uptake but high uptake among patients with dementia in care homes does
not suggest concern over informed consent acts as a barrier. Primary care for
community patients with dementia needs to ensure that they receive all
appropriate preventive interventions.
PMID- 22089080
TI - Platypnoea-orthodeoxia in an elderly man with patent foramen ovale and dilated
ascending aorta.
AB - We report the case of an 85-year-old male with platypnoea-orthodeoxia associated
with patent foramen ovale (PFO) and ectatic ascending aorta, in the absence of
any significant pulmonary pathology.
PMID- 22089081
TI - Comparison of ABCD2 scoring between first healthcare-contact and stroke
specialist physicians for transient ischaemic attack in a rapid-access clinic.
PMID- 22089082
TI - The impact of dementia on care transitions during the last two years of life.
AB - BACKGROUND: Dementia is one of the main challenges to our health and social care.
This study compares the number and timing of transitions between care settings in
the last 2 years of life among older people with and without dementia. METHODS:
Data were derived from Finnish national registers, and include all those who died
in 2002 and 2003 at the age of 70 or older (n = 70,366). Negative binomial
regression analyses were used to analyse the impact of dementia on number of
transitions among people with and without dementia and to adjust the number for
age, gender and other diagnoses. RESULTS: In the group that lived at home 2 years
before death people with a dementia diagnosis had 32% more care transitions than
people without dementia, while the group that was in residential care facility 2
years before death people with dementia had 12% fewer moves than those without
dementia The average number of transition was highest in last 3 months of life.
People with dementia had their last move more often between care facilities and
hospitals offering basic health care than people without dementia. CONCLUSION:
Dementia has a significant impact on the number and type of transitions. As the
number of people with dementia increases, the quality and equity of care of these
patients in their last years constitute a special challenge.
PMID- 22089083
TI - Photic induction of locomotor activity is correlated with photic habitat in
Anolis lizards.
AB - A variety of ecologically important behaviors, including circadian rhythms and
seasonal reproduction, are influenced by non-visual responses to light, yet very
little is known about the relationship between photic habitat and non-visual
photoreception. Puerto Rican Anolis lizards have diverged into multiple photic
niches, making them a good model for non-visual photosensory ecology. We
investigated the photic induction of locomotor activity, a non-visual response to
light, in four species of Anolis comprising two pairs of closely related,
ecomorphologically similar species whose microhabitats differ in solar
irradiance. We developed a device for continuous, automated detection and
recording of anole locomotor activity, and used it to characterize activity under
12:12 h light-dark cycles. Next, we administered a series of 2-h light pulses
during the dark period of the light-dark cycle and measured the increase in
locomotor activity relative to baseline dark activity. Five different irradiances
(ranging from very dim to daytime levels) were given to each individual lizard on
separate nights. As expected, light caused an irradiance-dependent increase in
locomotor activity in all four species. The responses at the highest irradiances
were significantly greater in species occupying relatively more shaded habitats,
suggesting that non-visual photoreception may be adapted to habitat light in
Anolis lizards.
PMID- 22089084
TI - Estimation of the secondary cancer risk induced by diagnostic imaging radiation
during proton therapy.
AB - We have estimated the secondary cancer risk (SCR) introduced by image-guided
procedures during proton therapy. The physical dose from imaging radiation and
the corresponding organ equivalent dose were calculated for the case of a lumbar
spine patient. The maximum physical dose delivered to the patient during the
imaging procedure was estimated to be ~0.35% of the prescribed dose of 46 Gy.
However, this small imaging dose substantially raised the radiation-induced SCR
by ~8%. In addition, the clinical benefit (improved accuracy during the
procedure) and costs (extra SCR) associated with image-guided procedures were
quantitatively modelled by systematically investigating the changes in SCR as a
function of the prescribed dose, treatment target volume and imaging field size.
The results showed that the SCR varied sensitively with the volume receiving the
imaging and the therapeutic radiation, whereas the SCR depended to a lesser
extent on the magnitude of the applied therapeutic radiation. These results
showed that the additional SCR introduced by imaging radiation could be
efficiently reduced by minimizing the imaging field size during image-guided
procedures.
PMID- 22089085
TI - Proctophantastes nettastomatis (Digenea: Zoogonidae) from Vanuatu deep-sea fish:
new morphological features, allometric growth, and phenotypic plasticity aspects.
AB - The present paper deals with Proctophantastes nettastomatis (Digenea: Zoogonidae;
Lepidophyllinae) found in the intestine of three species of deep-sea fish,
Dicrolene longimana (Ophidiidae, Ophidiiformes), Bathyuroconger sp. (Congridae,
Anguilliformes), and Venefica tentaculata (Nettastomatidae, Anguilliformes). The
fish were collected near the islands of Espiritu Santo, Erromango, and Epi,
respectively, in the archipelago of Vanuatu (Southern Pacific Ocean) at depths
ranging from 561 to 990 m. Morphological and histological analyses showed that
the Vanuatu specimens differ from Proctophantastes abyssorum, Proctophantastes
gillissi, Proctophantastes glandulosum, Proctophantastes infundibulum, and
Proctophantastes brayi but are close to P. nettastomatis discovered in Suruga
Bay, Japan. P. nettastomatis is redescribed based both on the observations of our
specimens and of the Japanese holotype and paratype. The morphological
variability of the species is described. Morphometric data allowed the
identification of positive allometric growth for the hindbody, negative
allometric growth for the ventral sucker, and a growth phenotypic plasticity
between Ophidiiformes and Anguilliformes definitive hosts.
PMID- 22089086
TI - Larvicidal activity of silver nanoparticles synthesized using Plumeria rubra
plant latex against Aedes aegypti and Anopheles stephensi.
AB - In the present study activity of silver nanoparticles (AgNPs) synthesized using
Plumeria rubra plant latex against second and fourth larval instar of Aedes
aegypti and Anopheles stephensi was determined. Range of concentrations of
synthesized AgNps (10, 5, 2.5, 1.25, 0.625, 0.3125 ppm) and aqueous crude latex
(1,000, 500, 250, 125, 62.50, 31.25 ppm) were tested against larvae of A. aegypti
and A. Stephensi. The synthesized AgNps from P. rubra latex were highly toxic
than crude latex extract in both mosquito species. The LC(50) values for second
and fourth larval instars after 24 h of crude latex exposure were 1.49, 1.82 ppm
against A. aegypti and 1.10, 1.74 ppm against A. stephensi respectively. These
figures were 181.67, 287.49 ppm against A. aegypti and 143.69, 170.58 ppm against
A. stephensi respectively for crude latex extract. The mortality rates were
positively correlated with the concentration of AgNPs. The characterization
studies of synthesized AgNPs by UV-Vis spectrophotometry, transmission electron
microscopy (TEM), Particle size analysis (PSA) and zeta potential confirmed the
spherical shape and size (32-200 nm) of silver nanoparticles along with
stability. Toxicity studies carried out against non-target fish species Poecilia
reticulata, the most common organism in the habitats of A. aegypti and A.
stephensi showed no toxicity at LC(50) and LC(90) doses of the AgNPs. This is the
first report on mosquito larvicidal activity of latex synthesized nanoparticles.
PMID- 22089087
TI - In vitro induction of anterior gradient-2-specific cytotoxic T lymphocytes by
dendritic cells transduced with recombinant adenoviruses as a potential therapy
for colorectal cancer.
AB - Anterior gradient-2 (AGR2) promotes tumor growth, cell migration, and cellular
transformation, and is one of the specific mRNA markers for circulating tumor
cells in patients with gastrointestinal cancer. We investigated the feasibility
of AGR2 as a potent antigen for tumor immunotherapy against colorectal cancer
(CRC) cells using dendritic cells (DCs) transduced with a recombinant adenovirus
harboring the AGR2 gene (AdAGR2). DCs transduced with a recombinant adenovirus
encoding the AGR2 gene (AdAGR2/DCs) were characterized. These genetically
modified DCs expressed AGR2 mRNA as well as AGR2 protein at a multiplicity of
infection of 1,000 without any significant alterations in DC viability and
cytokine secretion (IL-10 and IL-12p70) compared with unmodified DCs as a
control. In addition, AdAGR2 transduction did not impair DC maturation, but
enhanced expression of HLA-DR, CD80, and CD86. AdAGR2/DCs augmented the number of
IFN-gamma-secreting T-cells and elicited potent AGR2-specific cytotoxic T
lymphocytes capable of lysing AGR2-expressing CRC cell lines. These results
suggest that AGR2 act as a potentially important antigen for immunotherapy
against CRC in clinical applications.
PMID- 22089089
TI - Identification of novel peptides that stimulate human neutrophils.
AB - Neutrophils play a key role in innate immunity, and the identification of new
stimuli that stimulate neutrophil activity is a very important issue. In this
study, we identified three novel peptides by screening a synthetic hexapeptide
combinatorial library. The identified peptides GMMWAI, MMHWAM, and MMHWFM caused
an increase in intracellular Ca2+ in a concentration-dependent manner via
phospholipase C activity in human neutrophils. The three peptides acted
specifically on neutrophils and monocytes and not on other non-leukocytic cells.
As a physiological characteristic of the peptides, we observed that the three
peptides induced chemotactic migration of neutrophils as well as stimulated
superoxide anion production. Studying receptor specificity, we observed that two
of the peptides (GMMWAI and MMHWFM) acted on formyl peptide receptor (FPR)1 while
the other peptide (MMHWAM) acted on FPR2. Since the three novel peptides were
specific agonists for FPR1 or FPR2, they might be useful tools to study FPR1- or
FPR2-mediated immune response and signaling.
PMID- 22089088
TI - Genetic association of the EGR2 gene with bipolar disorder in Korea.
AB - The early growth response gene 2 (EGR2) is located at chromosome 10q21, one of
the susceptibility loci in bipolar disorder (BD). EGR2 is involved in cognitive
function, myelination, and signal transduction related to neuregulin-ErbB
receptor, Bcl-2 family proteins, and brain-derived neurotrophic factor. This
study investigated the genetic association of the EGR2 gene with BD and
schizophrenia (SPR) in Korea. In 946 subjects (350 healthy controls, 352 patients
with BD, and 244 with SPR), nine single nucleotide polymorphisms (SNPs) in the
EGR2 gene region were genotyped. Five SNPs showed nominally significant allelic
associations with BD (rs2295814, rs61865882, rs10995315, rs2297488, and
rs2297489), and the positive associations of all except rs2297488 remained
significant after multiple testing correction. Linkage disequilibrium structure
analysis revealed two haplotype blocks. Among the common identified haplotypes
(frequency > 5%), 'T-G-A-C-T (block 1)' and 'A-A-G-C (block 2)' haplotypes were
over-represented, while 'C-G-G-T-T (block 1)' haplotype was under-represented in
BD. In contrast, no significant associations were found with SPR. Although an
extended analysis with a larger sample size or independent replication is
required, these findings suggest a genetic association of EGR2 with BD. Combined
with a plausible biological function of EGR2, the EGR2 gene is a possible
susceptibility gene in BD.
PMID- 22089090
TI - Fluorinated liquid crystals: design of soft nanostructures and increased
complexity of self-assembly by perfluorinated segments.
AB - The effects of perfluorinated and semiperfluorinated hydrocarbon units on the
self-assembly of rod-like, disc-like, polycatenar, taper- and star-shaped,
dendritic, and bent-core liquid crystalline (LC) materials is reviewed. The
influence of fluorinated segments is analyzed on the basis of their contributions
to the cohesive energy density, molecular shape, conformational flexibility,
micro-segregation, space filling, and interface curvature. Though the focus is on
recent progress in the last decade, previous main contributions, general aspects
of perfluorinated organic molecules, and the basics of LC self-assembly are also
briefly discussed to provide a complete overall picture. The main focus is on
structure-property-relations and the use of micro-segregation to tailor mesophase
morphologies. Especially polyphilic molecules with perfluorinated segments
provide new modes of LC self-assembly, leading to ordered fluids with periodic
multi-compartment structures and enhanced complexity compared to previously known
systems.
PMID- 22089091
TI - Living in a cage is a restricted privilege.
AB - There exist molecules, whose shape is reminiscent of a cage, that are able to
include either metal ions or anions or both. In contrast to what happens in the
macroscopic world, where a kinetic barrier prevents the escaping of the guest
from the cage, the inclusion-extrusion of an ion from a molecular cage is in most
cases thermodynamically controlled and the ion can get in or out of the cage at
will. This gives the basis for highly selective ion recognition processes by cage
shaped ligands or receptors for metal ions and anions. Nobody in everyday life
would say that a cage (for birds or wild animals), even if nicely designed and
splendidly decorated, was beautiful and appealing, due to the consciousness of
its reprehensible function. This does not happen in chemistry and we admire the
ingenuity and skilfulness of synthetic chemists for the design of cage-shaped
polycyclic hosts, made for the inclusion of a variety of guests, but also capable
of generating in the viewer emotion and gratification of aesthetical origin. We
have tried to outline, in this chapter, the development of cages in metal
coordination chemistry and in anion coordination chemistry, over the last 50
years.
PMID- 22089092
TI - Neighborhood disorder and children's antisocial behavior: the protective effect
of family support among Mexican American and African American Families.
AB - Using data from a sample of 673 Mexican Origin families, the current
investigation examined the degree to which family supportiveness acted as a
protective buffer between neighborhood disorder and antisocial behavior during
late childhood (i.e. intent to use controlled substances, externalizing, and
association with deviant peers). Children's perceptions of neighborhood disorder
fully mediated associations between census and observer measures of neighborhood
disorder and their antisocial behavior. Family support buffered children from the
higher rates of antisocial behavior generally associated with living in
disorderly neighborhoods. An additional goal of the current study was to
replicate these findings in a second sample of 897 African American families, and
that replication was successful. These findings suggest that family support may
play a protective role for children living in dangerous or disadvantaged
neighborhoods. They also suggest that neighborhood interventions should consider
several points of entry including structural changes, resident perceptions of
their neighborhood and family support.
PMID- 22089093
TI - Pathogenesis of ANCA-associated vasculitis: recent insights from animal models.
AB - PURPOSE OF REVIEW: To provide an update on animal models of antineutrophil
cytoplasmic autoantibody (ANCA)-mediated vasculitis and highlight recent insights
gained from studies in these models pertaining to immunopathogenesis. RECENT
FINDINGS: Animal models support the pathogenic potential of myeloperoxidase (MPO)
ANCA. Alternative pathway complement activation has been identified as a novel
inflammatory pathway in disease induction and a potential target for
intervention. Interventions targeting B cells, antibodies, and signal
transduction pathways may hold promise as well. The role of T cells is beginning
to be explored, and studies indicate a prominent role for Th17 responses. The
link between infection and ANCA vasculitis is well established. In animal models,
Toll-like receptor (TLR)4 ligation is involved in disease induction. Ligation of
TLRs contributes to the initiation of anti-MPO autoimmune responses in which TLR2
activation induces a Th17 response and TLR9 activation directs a Th1 response. An
animal model for PR3-ANCA vasculitis is not available yet but models with a
humanized immune system are being developed and show promising first results.
SUMMARY: Animal models of MPO-ANCA vasculitis have contributed substantially to
our understanding of disease immunopathogenesis and have illuminated novel
targets for intervention. The development of PR3-ANCA animal models remains a
challenge but recent observations in humanized model systems offer hope.
PMID- 22089094
TI - Animal models of antineutrophil cytoplasm antibody-associated vasculitis.
AB - PURPOSE OF REVIEW: To provide an update on the experimental models that have been
developed recapitulating clinical antineutrophil cytoplasm antibody (ANCA)
associated vasculitis. The application of the models in the study of
pathogenesis, and the therapeutic implications of this, are covered in the
article by van Timmeren and Heeringa in this issue. RECENT FINDINGS: Rodent
models of both myeloperoxidase (MPO) ANCA and proteinase 3 (PR3) ANCA associated
vasculitis have been developed, which have provided important insights into the
pathogenesis of ANCA-associated pulmonary and renal disease. The vast majority of
in-vivo work in this field has concerned MPO-ANCA associated disease, although
the last year has seen some advances in the modelling of anti-PR3 disease. As
with all experimental animal models, they are flawed in one way or another by
virtue of the means by which they are induced, but they have already provided
novel directions for future intervention in these complex diseases. To date,
there are no good models that replicate the granulomatous lesions found in
granulomatosis with polyangiitis (GPA, formerly Wegener's) or the development of
vasculitis lesions in organs other than the lungs or kidneys. SUMMARY: ANCA
associated vasculitis can be induced in various forms in susceptible rodents.
Further refinements are required for the full spectrum of disease phenotype to be
replicated in animals, but critical new targets have been proposed based on the
use of molecular blocking agents and transgenic animals to elucidate disease
pathways.
PMID- 22089095
TI - Treatment of antineutrophil cytoplasmic antibody-associated vasculitis with
rituximab.
AB - PURPOSE OF REVIEW: To review the present knowledge about the use of rituximab
(RTX) in patients with granulomatosis with polyangiitis (Wegener's; GPA),
microscopic polyangiitis (MPA), and eosinophilic granulomatosis with polyangiitis
(Churg-Strauss; EGPA), also collectively referred to as antineutrophil
cytoplasmic antibody-associated vasculitis. RECENT FINDINGS: More than 20 case
series and cohort studies involving more than 200 patients focusing on RTX use
for patients with refractory GPA and MPA have been reported. Two randomized
controlled trials have shown that RTX is not inferior to cyclophosphamide (CYC)
for induction of remission in severe GPA and MPA. The RAVE trial has further
shown that RTX is superior to CYC for patients with severe disease relapses. In
addition, reports are emerging on the use of RTX for remission maintenance in
chronically relapsing patients. There are also preliminary reports on the
beneficial use of RTX in eosinophilic granulomatosis with polyangiitis (Churg
Strauss). SUMMARY: RTX is the first proven alternative to CYC for remission
induction in severe GPA and MPA. RTX is the preferred agent for patients
presenting with severe disease flares, and its use had become the de facto
standard of care for patients with chronically relapsing refractory GPA. Its use
in EGPA requires further investigation.
PMID- 22089096
TI - Updating single-organ vasculitis.
AB - PURPOSE OF REVIEW: To clearly define single-organ vasculitis (SOV) and
distinguish diffuse from focal SOV. To delineate clinical, laboratory, and
histopathological features useful in differentiating focal SOV from systemic
vasculitis affecting the same territory. RECENT FINDINGS: SOV may affect organs
in a diffuse or multifocal fashion (e.g. central nervous system and skin) or may
be confined to focal sites (e.g. breast, gynecologic, testicular, and abdominal
structures, and the aorta). Because the territories affected in SOV may also be
targeted in systemic vasculitis, the diagnosis of SOV should be applied when it
is clear that vascular inflammation is not present in other sites at the time of
diagnosis as well as during follow-up surveillance, which has arbitrarily been
recommended to be of at least 6 months. Once the diagnosis of SOV is confirmed,
terms used for systemic vasculitides should be avoided (e.g. polyarteritis of the
testes). Focal SOV is often incidentally found in the course of biopsies or
surgery for suspected malignancy, infection, or structural abnormalities. In
focal SOV, resection of the inflammatory lesion alone may be curative, whereas
systemic therapy is almost always required for diffuse forms of SOV. SUMMARY: SOV
definition implies vascular inflammation confined to an isolated organ. This
diagnosis always requires exclusion of systemic illness. In focal forms of SOV,
certain clinical, laboratory, and pathologic features assist the clinician in
distinguishing isolated from systemic vasculitis, and consequently in devising
therapeutic and surveillance strategies.
PMID- 22089097
TI - Churg-Strauss syndrome: update on pathophysiology and treatment.
AB - PURPOSE OF REVIEW: Churg-Strauss syndrome (CSS) has a clear clinical phenotype
but its pathogenesis is not fully elucidated. Recent studies have focused on its
immunogenetic aspects and cytokine and chemokine-mediated pathogenetic
mechanisms, providing the rationale for the use of newer targeted therapies. This
study will review recent findings on the pathogenesis of CSS and its therapeutic
approaches. RECENT FINDINGS: CSS is usually considered a Th2-mediated disease,
but Th1 and Th17 responses might also play a role; the reported association
between CSS and HLA-DRB4 further underlines the pathogenetic relevance of CD4 T
cells which, thanks to their ability to secrete cytokines such as IL4, IL5, and
IL13, promote allergic and eosinophilic reactions. Resident cells such as
endothelial and epithelial cells might also amplify the immune response by
producing eosinophil-attracting chemokines such as eotaxin-3 and CCL17.
Conventional immunosuppressive therapies offer high chances of achieving
sustained remission, but steroid exposure remains high. Targeting IL5 with
mepolizumab seems promising in sparing steroids, but relapses often follow its
withdrawal. B-cell depletion using rituximab has proved effective in refractory
CSS cases. SUMMARY: Current knowledge on CSS pathogenesis is evolving; the
identification of key molecular mechanisms will pave the way for newer, more
specific treatments.
PMID- 22089098
TI - Erdheim-Chester disease.
AB - PURPOSE OF REVIEW: Erdheim-Chester disease (ECD) is a rare, non-Langerhans form
of histiocytosis first described in 1930 with a wide range of manifestations. The
number of new cases has dramatically increased over the past 10 years because of
the better recognition of this condition. The natural evolution is variable, but
the spontaneous prognosis is severe. In this review, we describe the relevant
clinical, radiological, prognostic, and therapeutic features of this orphan
disease. RECENT FINDINGS: Compelling evidence demonstrates the efficacy of
treatment by interferon alpha (IFNalpha) which has been reported to be a major
independent predictor of survival among ECD patients. Alternative treatments
remain to be defined. Recent studies have highlighted the central nervous system
involvement as an independent predictor of death. Pathophysiology is better
understood with a complex network of cytokines and chemokines and a systemic
immune Th-1-oriented perturbation. SUMMARY: ECD, although a rare and orphan
disease, has been overlooked and numerous new cases are currently diagnosed
because of general better knowledge of this histiocytosis. First-line treatment
is IFNalpha. We have recently described a unique cytokine signature that may
provide further clues to understand the pathogenesis of ECD, as well as provide
new tools for diagnosis and targeted therapy.
PMID- 22089099
TI - Biologic treatment of large-vessel vasculitides.
AB - PURPOSE OF REVIEW: Glucocorticoids are the mainstay of therapy for giant cell
arteritis (GCA) and Takayasu's arteritis. However, a significant proportion of
patients have a glucocorticoid-dependent or resistant disease course and serious
adverse events are frequent. This article summarizes the current evidence on the
use of biologic treatments in large-vessel vasculitis (LVV). RECENT FINDINGS:
Antitumour necrosis factor (TNF) treatment strategies have failed to show
efficacy in GCA, whereas their role in Takayasu's arteritis is yet unclear.
Preliminary reports on the use of the anti-interleukin-6 receptor antibody
tocilizumab (TOC) in both GCA and Takayasu's arteritis emerge. TOC was both able
to spare glucocorticoid doses but could also induce remission in untreated GCA
patients when used as monotherapy. There is little evidence for the use of
biologic drugs in LVV associated with systemic rheumatic diseases. Case series
suggest efficacy for anti-TNF antibodies in aortitis associated with relapsing
polychondritis and pulmonary artery aneurysm associated with Behcet's disease.
SUMMARY: TNF inhibition does not seem to save glucocorticoids or prevent relapses
in GCA. Blocking TNF may provide benefit to difficult-to-treat patients with
Takayasu's arteritis, relapsing polychondritis and Behcet's disease-associated
LVV. Emerging reports on the use of TOC suggest an important role of IL-6 in the
pathogenesis of large-vessel vasculitides and deserve further investigation. The
use of TOC monotherapy to induce remission in untreated GCA patients proves
fascinating.
PMID- 22089100
TI - Familial Mediterranean fever and related periodic fever
syndromes/autoinflammatory diseases.
AB - PURPOSE OF REVIEW: The spectrum of periodic fever syndromes
(PFS)/autoinflammation diseases is continuously expanding. This review provides
an overview of the primary research and an update on the main clinical
developments in these disorders published in the past 12-18 months. RECENT
FINDINGS: IL-1beta is pivotal to the pathogenesis of most of the PFS. In familial
Mediterranean fever (FMF) MEFV mutations lead to gain of pyrin function,
resulting in inappropriate IL-1beta release that is dependent on ASC but not the
NLRP3 inflammasome. Anti-IL-1 therapy is effective in tumour necrosis factor
receptor-associated periodic syndrome (TRAPS), whilst both spontaneous and
pathogen-associated molecular patterns (PAMPs) induced IL-1beta release have been
demonstrated in NLRP12-associated periodic syndrome (NAPS12). Somatic NLRP3/CIAS1
mosaicism is a significant cause of cryopyrin-associated periodic syndromes
(CAPS). Close connections have also been established between metabolic and
inflammatory pathways. In TRAPS increased reactive oxygen species (ROS) of
mitochondrial origin leads to production of pro-inflammatory cytokines, whilst
NLRP3 inflammasome activation in type 2 diabetes (T2D) is induced by oligomers of
islet amyloid polypeptides (IAPP). SUMMARY: Caspase 1 activation and IL-1beta
release is central to the pathogenesis of many autoinflammatory syndromes. This
is supported by the effectiveness of anti-IL-1 biologics in treatment of these
disorders.
PMID- 22089101
TI - Not all hemophagocytes are created equally: appreciating the heterogeneity of
the hemophagocytic syndromes.
AB - PURPOSE OF REVIEW: The deadly macrophage activation syndrome (MAS) constitutes
one of the few rheumatologic emergencies. MAS is part of a larger group of
diseases referred to as hemophagocytic syndromes that are seen in infections,
malignancies, or genetic immunodeficiencies. Because of the clinical similarity
of these diseases, many clinicians are tempted to approach them all similarly,
both in diagnostic criteria and treatment paradigms. New work in the field
suggests that not all hemophagocytic syndromes are equal. We will review the
latest literature from both human and murine models related to the diagnosis,
etiology, and treatment of hemophagocytic syndromes including MAS. RECENT
FINDINGS: More specific diagnostic criteria for the different hemophagocytic
syndromes are being developed. Animal models suggest at least two different
mechanisms by which hemophagocytic syndromes arise: enhanced antigen presentation
and excessive Toll-like receptor signaling. Work in humans suggests different
cytokine profiles, and different treatment strategies for the variety of
hemophagocytic syndromes. SUMMARY: The recent studies reviewed in this article
suggest that despite clinical similarities the different hemophagocytic syndromes
are indeed likely heterogeneous. Diagnostic criteria and treatment strategies
tailored to the underlying disease or genetic context are needed and will
hopefully be addressed by future work in this field.
PMID- 22089102
TI - Autoimmune hepatitis: current challenges in diagnosis and management in a
chronic progressive liver disease.
AB - PURPOSE OF REVIEW: The understanding of autoimmune hepatitis (AIH) has evolved in
the past two decades since diagnostic criteria were developed. Now with long-term
experience with well characterized cohorts, strides have been gained in
understanding the true epidemiology and natural history of the disease.
Therapeutic trials have also added new tools to the armamentarium in managing
this challenging disease. RECENT FINDINGS: AIH has been demonstrated to be a
disease of middle-aged women, with a disease course that frequently progresses to
cirrhosis, transplant or death. Despite its rare prevalence, AIH is one of the
most common indications for transplantation. Diagnosis remains challenging, and
the most recently adopted criteria prove very specific but lack sensitivity in
the diagnosis of AIH, particularly when presenting atypically. Recently, drug
induced AIH and IgG4-associated AIH have been proposed as distinct
clinicopathological entities. Clinical trials for alternate therapeutics have
long been needed, and recently two agents, budesonide and mycophenolate mofeteil,
show promise in treating AIH. SUMMARY: Increasing evidence has mounted to suggest
that AIH is a disease that often requires long-term treatment, and frequently
progresses to end-stage liver disease. Further research identifying predictors of
poor outcome, optimal therapeutic regimens and duration of treatment is much
needed.
PMID- 22089103
TI - Blood pressure control in a Japanese population with chronic kidney disease: a
baseline survey of a nationwide cohort.
AB - BACKGROUND: Hypertension is a key risk factor for adverse renal outcomes in
chronic kidney disease (CKD), and strict blood pressure control is recommended to
halt its progression. This study assessed blood pressure control in the Japanese
CKD population. METHODS: We used a nationwide database of 250,130 subjects (aged
20-88), including 45,845 CKD subjects (18.3%), participated in an annual health
check, "The Specific Health Check and Guidance in Japan," and examined the
relationship between CKD status and blood pressure. Blood pressures were measured
in sitting position by trained staff, and target blood pressure for CKD subjects
was defined as systolic (SBP)/diastolic blood pressure (DBP) <130/80 mm Hg.
RESULTS: In total population, CKD subjects had a higher prevalence of
hypertension (58.0% vs. 41.8%, P < 0.001) and a higher proportion with
antihypertensive medication (42.4% vs. 26.7%, P < 0.001), compared with non-CKD
subjects. The proportion of subjects achieving target blood pressure was
significantly lower among total CKD subjects than among total non-CKD subjects
(34.6% vs. 43.8%, P <= 0.001). Among CKD subjects, these proportions were
especially low in those with stage 4-5 (24.3-27.5%), those on antihypertensive
medication (21.6%) and those with proteinuria >=2+/- (21.3%). Logistic regression
analysis showed that independent factors for high-blood pressure in CKD subjects
were age, male gender, alcohol consumption, nonsmoking, diabetes, dyslipidemia,
obesity, proteinuria, and antihypertensive medication. CONCLUSIONS: Blood
pressure control was inadequate in the majority of Japanese CKD subjects, despite
antihypertensive treatment. More aggressive efforts to achieve target blood
pressures among CKD subjects are recommended.
PMID- 22089104
TI - Activation of the mineralocorticoid receptor increases striatin levels.
AB - BACKGROUND: Aldosterone (ALDO), a critical regulator of sodium homeostasis,
mediates its effects via activation of the mineralocorticoid receptor (MR)
through mechanisms that are not entirely clear. Striatin, a membrane associated
protein, interacts with estrogen receptors in endothelial cells. METHODS: We
studied the effects of MR activation in vitro and in vivo on striatin levels in
vascular tissue. RESULTS: We observed that dietary sodium restriction was
associated with increased striatin levels in mouse heart and aorta and that
striatin and MR are present in the human endothelial cell line, (EA.hy926), and
in mouse aortic endothelial cells (MAEC). Further, we show that MR co
precipitates with striatin in vascular tissue. Incubation of EA.hy926 cells with
ALDO (10(-8) mol/l for 5-24 h) increases striatin protein and mRNA expression, an
effect that was inhibited by canrenoic acid, an MR antagonist. Consistent with
these observations, incubation of MAEC with ALDO increased striatin levels that
were likewise blocked by canrenoic acid. To test the in vivo relevance of these
findings, we studied two previously described mouse models of increased ALDO
levels. Intraperitoneal ALDO administration augmented the abundance of striatin
protein in mouse heart. We also observed that in a murine model of chronic ALDO
mediated cardiovascular damage following treatment with N(G)-nitro-L-arginine
methyl ester plus angiotensin II an increased abundance of striatin protein in
heart and kidney tissue. CONCLUSION: Our results provide evidence that increased
striatin levels is a component of MR activation in the vasculature and suggest
that regulation of striatin by ALDO may modulate estrogen's nongenomic effects.
PMID- 22089105
TI - Blood pressure responses and metabolic effects of hydrochlorothiazide and
atenolol.
AB - BACKGROUND: Thiazides and beta-blockers cause adverse metabolic effects (AMEs),
but whether these effects share predictors with blood pressure (BP) response is
unknown. We aimed to determine whether AMEs are correlated with BP response in
uncomplicated hypertensives. METHODS: In a multicenter, open-label, parallel
group trial, we enrolled 569 persons, aged 17-65, with random assignment to 9
weeks of daily hydrochlorothiazide (HCTZ) or atenolol monotherapy, followed by 9
weeks of add-on therapy with the alternate agent. Measurements included home BP,
averaged over 1 week, weight and fasting levels of serum glucose, low-density
lipoprotein (LDL), high-density lipoprotein (HDL), triglycerides, and uric acid
(UA) before and after monotherapy and after add-on therapy. RESULTS: Increases in
UA correlated with reductions in systolic BP (SBP) (r = -0.18; P = 0.003) and
diastolic BP (DBP) (r = -0.20; P = 0.001) following HCTZ monotherapy and add-on
therapy (r = -0.27 and r = -0.21, respectively; both P < 0.001). After adjustment
for age, race, gender, and baseline body mass index (BMI), only the correlation
between UA and DBP response became nonsignificant. Reductions in HDL correlated
with systolic response following atenolol monotherapy (r = 0.18; P = 0.002) and
with systolic and diastolic response following add-on therapy (r = 0.30 and r =
0.24, respectively; both P < 0.0001). These correlations remained significant
after covariate adjustment. BP responses were not correlated with changes in
glucose, LDL, triglycerides, or weight following either therapy. CONCLUSIONS: BP
response correlated with changes in UA following HCTZ therapy and HDL following
atenolol therapy. No other significant correlations were observed between BP
response and AMEs, suggesting that these effects generally do not share
predictors. Patients should be monitored for AMEs, regardless of BP response.
PMID- 22089106
TI - Sleep-time blood pressure and the prognostic value of isolated-office and masked
hypertension.
AB - BACKGROUND: Elevated sleep-time blood pressure (BP) is a better predictor of
cardiovascular risk than the awake or 24 h BP means. However, discrepancies in
the diagnosis of hypertension between clinic and ambulatory measurements
(isolated-office and masked hypertension) are frequently defined by comparing
clinic with only awake BP. We evaluated the impact of sleep-time BP in the
prognostic value of isolated-office and masked hypertension. METHODS: We studied
3,344 subjects (1,718 men/1,626 women), 52.6 +/- 14.5 years of age, during a
median 5.6-years follow-up. Ambulatory BP was measured for 48 h at baseline, and
again annually or more frequently (quarterly) after treatment adjustments in
hypertensive subjects. RESULTS: Out-of-office (masked and sustained) hypertension
was associated with higher cardiovascular risk than normotension and isolated
office hypertension (P < 0.001) only when those conditions were defined on the
basis of asleep, but not on awake or 24 h BP mean. Using only awake pressure for
classification, 58.2% of subjects with masked hypertension were mistakenly
classified as normotensive, and 26.3% of subjects with sustained hypertension
were erroneously identified as isolated-office hypertensive. Cox proportional
hazard analysis using the awake and asleep pressure means as potential predictors
of cardiovascular risk and adjusted for significant confounders revealed that
only asleep mean was an independent significant predictor of outcome.
CONCLUSIONS: Subjects with elevated sleep-time BP are at high cardiovascular
risk, independently of either clinic or ambulatory awake measurements. Sleep-time
BP determined by ambulatory monitoring should thus be used for proper
identification of out-of-office hypertension, a condition associated with
markedly increased cardiovascular risk.
PMID- 22089107
TI - Agreement between community pharmacy, physician's office, and home blood pressure
measurement methods: the PALMERA Study.
AB - BACKGROUND: The usefulness of the community pharmacy blood pressure (BP) (CPBP)
method in assessing the effectiveness of antihypertensive treatment has not been
adequately studied. The aim of this study was to assess the agreement between
community pharmacy, home, and physician office BP (POBP) measurement methods in
treated hypertensive patients. METHODS: BP was measured at the pharmacy (three
visits), at home (4 days) and at the physician office (three visits). The Lin
correlation-concordance coefficient (CCC) was used to evaluate the quantitative
agreement. The qualitative agreement between methods to establish the patient's
hypertensive state was evaluated using the kappa-coefficient. Using home BP (HBP)
monitoring as the reference method, the sensitivity, specificity, positive and
negative likelihood ratios of the CPBP and POBP measurement methods were
calculated. RESULTS: The study included 70 patients. Agreements were acceptable
moderate between CPBP and HBP (CCC (systolic BP (SBP)/diastolic BP (DBP)) =
0.79/0.66; kappa = 0.56), moderate between CPBP and POBP (CCC = 0.57/0.61; kappa
= 0.35), and moderate-poor (CCC = 0.56/0.49; kappa = 0.28) between POBP and HBP.
The sensitivity, specificity, positive and negative likelihood ratio for the CPBP
and the POBP measurement methods were: 60.7%, 92.9%, 8.5, 0.4 and 75.0%, 54.8%,
1.7, 0.5, respectively. CONCLUSIONS: In this sample of treated hypertensive
patients, the agreement between the community pharmacy and HBP measurement
methods was acceptable-moderate and greater than other agreements. The CPBP
measurement method was more reliable than the POBP measurement method for
detecting the presence of both uncontrolled and controlled BP and could be a good
alternative to HBP monitoring when the latter lacks suitability.
PMID- 22089108
TI - First-fill medication discontinuations and nonadherence to antihypertensive
therapy: an observational study.
AB - BACKGROUND: Medication nonadherence is a barrier to successfully managing
hypertension, but little is known about the contribution that immediate
discontinuations have on antihypertensive (AHT) nonadherence. The purpose of this
study was to determine the proportion of new AHT users who discontinue after a
single dispensation, and to examine potential predictors of these
discontinuations. METHODS: This retrospective cohort study utilizing linked
administrative data from Saskatchewan, Canada. Subjects were >=40 years of age
and received a new AHT between 1994-2002. The primary end point was the
proportion of subjects who discontinued their AHT after the first dispensation
(first-fill discontinuation). The proportion of nonadherence attributed to first
fill discontinuations was then calculated. Multivariate regression identified
factors associated with first-fill discontinuations. RESULTS: 52,039 subjects
were included in the analyses. Mean age was 59.4 (s.d. 12.5) years, and 42% were
male. Overall, 25,812/52,039 (50%) subjects were nonadherent at 1 year; first
fill discontinuations accounted for 39.1% (10,081/25,812) of this nonadherence.
Approximately 20% (10,081/52,039) of all subjects discontinued all AHT therapy
after the first fill. A higher chronic disease score (adjusted odds ratio (OR)
1.09, 95% confidence interval (CI) 1.08-1.11) and antidepressant medication usage
during the observation year (adjusted OR 1.17, 95% CI 1.09-1.26) was associated
with increased risk for first-fill discontinuations. Older age, starting AHT
therapy after 1994, frequent physician visits, or use of a statin,
acetylsalicylic acid, warfarin or antihyperglycemic during the observation year
was associated with a lower risk for first-fill discontinuations. CONCLUSION: A
substantial proportion of nonadherence to AHT medications is due to
discontinuations after only a single dispensation.
PMID- 22089109
TI - Qiliqiangxin improves cardiac function in spontaneously hypertensive rats through
the inhibition of cardiac chymase.
AB - BACKGROUND: This study was designed to investigate the effects and mechanism of
action of the traditional Chinese drug formula, qiliqiangxin (QLQX), on cardiac
function in spontaneously hypertensive rats (SHRs). METHODS: We evaluated the
effects of oral high-dose (4 g/kg/day, n = 7) and low-dose (1 g/kg/day, n = 7)
QLQX on cardiac function in SHRs aged between 8 compared to control, the 8-week
old Wistar-Kyoto (WKY) rats. Echocardiography was performed to evaluate cardiac
function and hemodynamic parameters. Hematoxylin and eosin (HE) and Masson's
trichrome staining were performed, and the expression of myocardial angiotensin
(Ang)-converting enzyme, chymase, transforming growth factor (TGF)-beta, and
collagen-type I and III were evaluated with real-time reverse transcription-PCR.
Myocardial chymase, Ang-converting enzyme (ACE), and Ang II activities were
measured with radioimmunoassay (RIA) techniques. Cardiac mast cells were detected
with toluidine blue staining. RESULTS: In SHRs, the number of chymase enzyme
positive mast cells increased in the left ventricle (LV) compared with WKY rats.
QLQX significantly decreased mast cell density and cardiac chymase levels, and it
improved ejection fraction values and cardiac systolic function compared with
vehicle. Moreover, QLQX decreased left atrial diameters and improved the E/A
ratio. QLQX suppressed collagen-type I and III and TGF-beta mRNA levels, and Ang
II activity, in a dose-dependent manner. Whereas no difference in ACE activity
was found between SHRs, chymase expression and activity were significantly
decreased with QLQX. CONCLUSIONS: These data suggest that QLQX improves both
systolic and diastolic cardiac function in SHRs through downregulating the
cardiac chymase signaling pathway and chymase-mediated Ang II production.
PMID- 22089110
TI - Control of hypertension in treated children and its association with target organ
damage.
AB - BACKGROUND: The aim of our study was to investigate the control of hypertension
(HT) in treated children using ambulatory blood pressure (BP) monitoring (ABPM).
METHODS: We retrospectively reviewed all ABPM studies in our center. Controlled
HT was defined as systolic and diastolic BP index (patients' BP divided by the
95th percentile) at daytime and nighttime <1.0 or alternatively as BP load
(percentage of BP readings above the 95th percentile) <25% in children on
antihypertensive therapy. RESULTS: A total of 195 ABPM studies were included. The
mean age was 13.6 +/- 4.0 years. One hundred and thirty two children had
renoparenchymal HT, 10 renovascular (RVH), 10 endocrine, 4 cardiovascular, 29
primary (PH) and 5 children other forms of HT. 53% of all children had controlled
HT. There was no difference in the prevalence of controlled HT between primary
and secondary HT (52% and 53%) using the BP index criterion. Children with
renoparenchymal HT had significantly better control of HT than children with RVH
(58% vs. 20% P = 0.02). The use of angiotensin-converting enzyme inhibitors
(ACEI) monotherapy was significantly more effective in controlling HT than the
use of calcium-channel blockers (CCB, P = 0.02). The prevalence of left
ventricular hypertrophy in children with uncontrolled HT (assessed in 58
patients) was significantly higher than in children with controlled HT (46% vs.
13%, P < 0.01). CONCLUSIONS: This is the first pediatric study, to our knowledge,
on BP control in hypertensive children using ABPM. It indicates that control of
HT is inadequate in ~50% of treated children. Inadequate control of HT is
associated with target organ damage in this population.
PMID- 22089111
TI - Masked hypertension, endothelial dysfunction, and arterial stiffness in type 2
diabetes mellitus: a pilot study.
AB - BACKGROUND: The aim of this study was to assess the relationship between masked
hypertension (MHT) and vascular damage in patients with type 2 diabetes. METHODS:
The study subjects were patients with type 2 diabetes who were normotensive based
on blood pressure (BP) measurement in the clinic (n = 80) without
antihypertensive drugs and free of retinopathy, macroalbuminuria, overt
cardiovascular disease. Subjects underwent 24-h ambulatory blood pressure
monitoring (ABPM), measurement of flow-mediated dilatation (FMD), and brachial
ankle pulse wave velocity (baPWV). Based on the results of ABPM, subjects with
mean daytime systolic BP >=135 and/or 85 mm Hg were defined as MHT and their
clinical data were compared with those of normotensive patients (NT). The data
were also compared with those of type 2 diabetic patients with hypertension (HT)
as measured in the clinic (n = 32). RESULTS: MHT was detected in 47.5% of the
study subjects with normotension at clinic (n = 38). Impaired FMD (5.65 +/- 2.00%
for NT, 4.26 +/- 1.88% for MHT, 3.90 +/- 1.71% for HT, P < 0.001) and higher
baPWV (1,514.2 +/- 212.7 cm/s for NT, 1,749.9 +/- 339.7 cm/s for MHT, and 1,768.6
+/- 302.8 cm/s for HT, P < 0.001) were similarly noted in patients with MHT and
HT compared with NT. Multivariate regression analysis indicated that daytime
systolic BP measured by ABPM, the estimated duration of diabetes and serum
triglycerides were significantly associated with FMD and daytime systolic BP
measured by ABPM, not systolic BP at clinic, age, and HbA(1c) were significantly
associated with baPWV. CONCLUSIONS: Given that patients with impaired FMD and
higher baPWV are known to be at higher risk of cardiovascular disease, our data
suggest that type 2 diabetic patients with MHT could be also at increased risk of
cardiovascular disease.
PMID- 22089112
TI - Role of complement 3a in the synthetic phenotype and angiotensin II-production in
vascular smooth muscle cells from spontaneously hypertensive rats.
AB - BACKGROUND: Spontaneously hypertensive rats (SHR)-derived vascular smooth muscle
cells (VSMCs) show exaggerated growth with a synthetic phenotype and angiotensin
II (Ang II)-production. To evaluate the contribution of complement 3 (C3) or C3a
toward these abnormalities in SHR, we examined effects of a C3a receptor
inhibitor on proliferation, phenotype, and Ang II-production in VSMCs from SHR
and Wistar-Kyoto (WKY) rats. METHODS: Expression of pre-pro-C3 messenger RNA
(mRNA) and C3 protein was evaluated by reverse transcription-PCR and western blot
analyses, and C3a receptor mRNA was evaluated by reverse transcription-PCR
analysis in quiescent VSMCs from SHR and WKY rats. We examined the effects of the
C3a inhibitor, SB290157, on proliferation and the expression of phenotype-marker
and Krueppel-like factor 5 (KLF-5) mRNAs in VSMCs from SHR and WKY rats. We
examined effects of C3a receptor inhibitor, SB290157, on Ang II-production in
conditioned medium of VSMCs from SHR and WKY rats by a radioimmunoassay. RESULTS:
Expression of pre-pro-C3 mRNA and C3 protein was significantly higher in SHR
VSMCs than WKY VSMCs. SB290157 significantly inhibited proliferation of VSMCs
from SHR, but not in cells from WKY rats. Relative to WKY VSMCs, SB290157
significantly increased the low expression of SM22alpha mRNA and decreased the
high expression of osteopontin mRNA in SHR VSMCs. SB290157 significantly
decreased the high expression of KLF-5 and Ang II-production in VSMCs from SHR,
but not in cells from WKY rats. CONCLUSIONS: C3a induces exaggerated growth, a
synthetic phenotype and Ang II-production in SHR-derived VSMCs. C3a may be
primarily involved in cardiovascular remodeling in hypertension.
PMID- 22089113
TI - GRK2 levels in umbilical arteries of pregnancies complicated by gestational
hypertension and preeclampsia.
AB - BACKGROUND: G-Protein coupled receptor kinase 2 (GRK2) represents a regulator of
cell function in different cardiovascular conditions, including high blood
pressure. The relationship between elevated GRK2 levels and impaired vasorelaxant
responses is causative of hypertension through the increase in vascular
resistances. The aim of this study is to ascertain if this feature is present in
the fetal placental vasculature of pregnancies complicated by hypertensive
disorders. METHODS: We have assessed GRK2 levels in the umbilical arteries (UA)
of 21 preeclamptic or gestational hypertensive and 23 normotensive women at time
of delivery. RESULTS: GRK2 levels were increased in the hypertensive group (0.83
+/- 0.14 vs. 0.48 +/- 0.06 densitometry units; P < 0.05). GRK2 levels were in
correlation and in linear regression with systolic, diastolic, and mean arterial
pressure (P < 0.05, r(2) = 0.12, r(2) = 0.11, r(2) = 0.12). Correlations did not
reach a significant value for other clinical parameters such as gestational age
at birth, umbilical artery pulsatility index, maternal proteinuria, and neonatal
birth weight. Out of the 21 hypertensive women, 7 who developed a preeclampsia
associated with early preterm delivery (before 34 weeks) had a significantly
lower GRK2 levels compared to the remaining 14 (0.51 +/- 0.12 vs. 1.08 +/- 0.20
densitometry units, P < 0.05). CONCLUSIONS: We conclude that elevated GRK2 levels
in the umbilical vasculature is correlated to elevated blood pressure levels,
with a likely compensatory rather than causative role since the lack of
protective effect of elevated GRK2 levels may negatively affect the outcome of
the hypertensive state.
PMID- 22089114
TI - Aberrant regulation of the MRP3 gene in non-small cell lung carcinoma.
AB - INTRODUCTION: Multidrug-resistant protein-3 (MRP3), a membrane-bound transporter,
facilitates efflux of toxic compounds, including certain chemotherapies, out of
cells. Aberrant MRP3 expression has been linked to drug resistance in non-small
cell lung carcinoma (NSCLC). We sought to determine if tumor MRP3 expression
patterns correlate with the mutational status of upstream regulators, including
nuclear factor erythroid-2-related factor 2 (Nrf2) and its functional repressor
Keap1 in NSCLC cell lines and patient samples. METHODS: To identify putative Nrf2
binding sites in the MRP3 promoter and to evaluate Keap1, Nrf2, and p53 mutation
status in four cell lines and 33 NSCLC surgically resected tumor specimens with
regard to their impact on MRP3 levels. RESULTS: Chromatin immunoprecipitation
analysis of the MRP3 promoter revealed an almost threefold increase in Nrf2
binding to the third putative Nrf2-binding sequence distal to the start site,
demonstrating direct regulation of MRP3 by Nrf2. In NSCLC cell lines, elevated
Nrf2 protein was observed in cell lines with increased MRP3 RNA expression. In
patient tumor specimens, the presence of mutations in Keap1/Nrf2 correlated with
MRP3 RNA levels (p < 0.05). p53 mutations were observed in 33% of cases, and all
Keap1 mutant-positive tumors possessed a p53 mutation (n = 5; p = 0.0019).
CONCLUSIONS: We demonstrate direct involvement between the transcription factor
Nrf2 and the MRP3 promoter, which leads to the up-regulation of the MRP3 gene. In
addition, we found a statistically significant correlation between the presence
of Keap1/Nrf2 mutations and increased MRP3 messenger RNA levels in our NSCLC
patient samples.
PMID- 22089115
TI - Nicotinamide phosphoribosyltransferase: a potent therapeutic target in non-small
cell lung cancer with epidermal growth factor receptor-gene mutation.
AB - BACKGROUND: Non-small cell lung cancer (NSCLC) often has an epidermal growth
factor receptor (EGFR) gene mutation. Growth of EGFR-gene-mutated NSCLC depends
predominantly on EGFR signaling and requires a large amount of intracellular ATP
to activate EGFR signal transduction. Nicotinamide phosphoribosyltransferase
(NAMPT) is a rate-limiting enzyme in nicotinamide adenine dinucleotide
biosynthesis, and it regulates intracellular ATP levels in mammalian cells. The
effect of NAMPT inhibition on NSCLC has not been completely understood. METHODS:
We aimed to clarify the hypothesis that NAMPT inhibition suppresses growth of
EGFR-gene-mutated NSCLC through reduction of intracellular ATP levels, using
NAMPT-siRNA transfection and NAMPT inhibitor FK866. We used four lung
adenocarcinoma cell lines, including H358 (Wild type EGFR), LC2 (EGFR), PC9
(EGFR), and H1975 (EGFR), and evaluated the effect of FK866 on these cells and
its mechanisms, using cell proliferation, Western blot, ATP, and apoptosis assay.
RESULTS: We found that (1) H358, LC2, and H1975 cell lines highly expressed NAMPT
mRNA; (2) NAMPT-specific siRNA and FK866 suppressed proliferation of these
NSCLCs; (3) FK866 reduced intracellular ATP levels in H1975 cells; (4) FK866
dephosphorylated EGFR signal proteins, including EGFR, Akt, Map kinase kinase
1/2, and extracellular signal-regulated kinase 1/2 (ERK 1/2); (5) FK866 induced
apoptosis of H1975 cells; and (6) FK866 suppressed growth of H1975 xenograft
tumors and attenuated expression of phospho-ERK 1/2 in the tumors in a tumor
bearing mouse model. CONCLUSION: These findings indicate that NAMPT is a potent
therapeutic target in the treatment of EGFR-gene-mutated NSCLC.
PMID- 22089116
TI - Leptomeningeal metastasis from non-small cell lung cancer: survival and the
impact of whole brain radiotherapy.
AB - INTRODUCTION: Leptomeningeal metastasis (LM), or leptomeningeal carcinomatosis,
is a devastating complication of non-small cell lung cancer (NSCLC), and the
optimal therapeutic approach remains challenging. A retrospective review was
carried out to assess the impact of whole brain radiotherapy (WBRT), intrathecal
therapy (IT), and epidermal growth factor receptor (EGFR) tyrosine kinase
inhibitors (TKIs) on outcomes. METHODS: Patients with newly diagnosed LM from
NSCLC from January 2002 to December 2009 were identified through institutional
databases and medical records reviewed. Survival was assessed by Kaplan-Meier and
landmark analyses by administered treatment to minimize selection bias. RESULTS:
We identified 125 patients (45 men, 80 women) with LM from NSCLC, median age 59
years (range, 28-87 years). Almost all (123 [98%]) patients have died and median
overall survival was 3.0 months (95% confidence interval, 2.0-4.0). No
differences in survival were seen between patients who were treated with WBRT (n
=46) and those who were not (n =59, p =0.84) in a landmark analysis. In the seven
patients selected to receive IT chemotherapy, median survival was 18 months
(range, 5-33 months) and appeared superior to those not selected for this
treatment (p =0.001) in a landmark analysis. The median survival of the nine
patients with known EGFR mutations (all of whom received TKIs at some point) was
14 months (range, 1-28 months). CONCLUSIONS: This retrospective study, the
largest published series, demonstrates the poor survival of LM from NSCLC. In
this study, survival was not improved by WBRT. The survival of patients selected
for IT chemotherapy and those with EGFR mutations treated with TKIs highlights
the importance of developing novel agents.
PMID- 22089117
TI - Hepatocyte growth factor induces resistance to anti-epidermal growth factor
receptor antibody in lung cancer.
AB - INTRODUCTION: Epidermal growth factor receptor (EGFR) is an attractive drug
target in lung cancer, with several anti-EGFR antibodies and small-molecule
inhibitors showing efficacy in lung cancer patients. Patients, however, may
develop resistance to EGFR inhibitors. We demonstrated previously that hepatocyte
growth factor (HGF) induced resistance to EGFR tyrosine kinase inhibitors in lung
cancers harboring EGFR mutations. We therefore determined whether HGF could
induce resistance to the anti-EGFR antibody (EGFR Ab) cetuximab in lung cancer
cells, regardless of EGFR gene status. METHODS: Cetuximab sensitivity and signal
transduction in lung cancer cells were examined in the presence or absence of
HGF, HGF-producing fibroblasts, and cells tranfected with the HGF gene in vitro
and in vivo. RESULTS: HGF induced resistance to cetuximab in H292 (EGFR wild) and
Ma-1(EGFR mutant) cells. Western blotting showed that HGF-induced resistance was
mediated by the Met/Gab1/Akt signaling pathway. Resistance of H292 and Ma-1 cells
to cetuximab was also induced by coculture with lung fibroblasts producing high
levels of HGF and by cells stably transfected with the HGF gene. This resistance
was abrogated by treatment with anti-HGF neutralizing antibody. CONCLUSIONS: HGF
mediated resistance is a novel mechanism of resistance to EGFR Ab in lung
cancers, with fibroblast-derived HGF inducing cetuximab resistance in H292 tumors
in vivo. The involvement of HGF-Met-mediated signaling should be assessed in
acquired resistance to EGFR Ab in lung cancer, regardless of EGFR gene status.
PMID- 22089118
TI - Predictors of postoperative quality of life after surgery for lung cancer.
AB - INTRODUCTION: The aim was to analyze the association between selected patient
variables and health-related quality of life 6 months after surgery for lung
cancer. METHODS: In a prospective population-based cohort study, Short Form 36
(SF-36) was used to assess quality of life before and 6 months after surgery for
lung cancer. The change in SF-36 summary and subscale scores were used to
categorize quality of life in two groups (worse or stable/improved) at 6 months
compared with baseline. Logistic regression models adjusting for potential
confounding factors were used to analyze the association between patient
variables and quality of life 6 months after surgery. RESULTS: A baseline SF-36
questionnaire was completed by 249 patients. Nonresponders at 6 months (n = 36)
were excluded, and 14 patients who died before 6 months follow-up remained in the
study, leaving 213 patients available for analysis. Gender, comorbidity,
occurrence of postoperative complications, and tumor stage were not associated
with the physical aspect of quality of life 6 months after surgery. The extent of
resection, age, and adjuvant therapy was significantly associated with a
clinically relevant decline in the SF-36 physical component summary score 6
months postoperatively. No patient variables were predictive of a decline in the
mental component summary score. CONCLUSIONS: The extent of resection, age, and
adjuvant therapy was associated with a clinically relevant decline in the
physical aspect of health-related quality of life 6 months after surgery. Further
studies are needed to explore possible mechanisms.
PMID- 22089124
TI - What's new in Shock, December 2011?
PMID- 22089125
TI - Direct delivery of low-dose 7-nitroindazole into the bronchial artery attenuates
pulmonary pathophysiology after smoke inhalation and burn injury in an ovine
model.
AB - Bronchial circulation plays a critical role in the pathophysiology of burn and
smoke inhalation-induced acute lung injury. A 10-fold increase in bronchial blood
flow is associated with excessive production of nitric oxide (NO) following smoke
inhalation and cutaneous burn. Because an increased release of neuropeptides from
the airway has been implicated in smoke inhalation injury, we hypothesized that
direct delivery into the bronchial artery of low-dose 7-nitroindazole (7-NI), a
specific neuronal NO synthase inhibitor, would attenuate smoke/burn-induced acute
lung injury. Eighteen adult female sheep were instrumented for chronic
hemodynamic monitoring 5 to 7 days before the injury. The bronchial artery was
cannulated via intercostal thoracotomy, while blood flow was preserved. Acute
lung injury was induced by 40% total body surface area third-degree cutaneous
burn and smoke inhalation (48 breaths of cotton smoke, <40 degrees C) under deep
anesthesia. Following injury, animals (35.4 +/- 1.1 kg) were divided into three
groups: (a) 7-NI group: 1 h after injury, 7-NI (0.01 mg . kg . h, 2 mL . h) was
continuously infused into the bronchial artery, n = 6; (b) control group: 1 h
after injury, same amount of saline was injected into the bronchial artery, n =
6; (c) sham group: no injury, no treatment, same operation and anesthesia, n = 6.
After injury, all animals were ventilated and fluid resuscitated according to an
established protocol. The experiment was conducted for 24 h. Injury induced
severe pulmonary dysfunction, which was associated with increases in lung edema
formation, airway obstruction, malondialdehyde, and nitrate/nitrite. 7
Nitroindazole injection into the bronchial artery reduced the degree of lung
edema formation and improved pulmonary gas exchange. The increase in
malondialdehyde and nitrate/nitrite in lung tissue was attenuated by treatment.
Our data strongly suggest that local airway production of NO contributes to
pulmonary dysfunction following smoke inhalation and burn injury. Most mechanisms
that drive this pathophysiology reside in the airway.
PMID- 22089126
TI - Unexpected 100% survival following 60% blood loss using small-volume 7.5% NaCl
with adenocaine and Mg(2+) in the rat model of extreme hemorrhagic shock.
AB - Hemorrhage is responsible for up to 40% of trauma mortality, and of these deaths,
33% to 56% occur during the prehospital period. In an effort to translate the
cardioprotective effects of Adenocaine (adenosine, lidocaine) and Mg (ALM) from
cardiac surgery to resuscitation science, we examined the early resuscitative
effects of 7.5% NaCl with ALM in the rat model of 60% blood loss. Male Sprague
Dawley rats (250-350 g, n = 40) were anesthetized and randomly assigned to one of
five groups: (a) untreated, (b) 7.5% NaCl, (c) 7.5% NaCl/6% dextran 70, (d) 7.5%
NaCl/Mg, and (e) 7.5% NaCl/ALM. Blood withdrawal occurred over ~50 min (MAP 30-35
mmHg), and rats were left in shock for 30 min. Total shock time was ~80 min; 0.3
mL bolus was injected intravenously over 10 s, and hemodynamics monitored for 60
min (phase 1). Shed blood was reinfused and function monitored for a further 60
min (phase 2). Lead II electrocardiogram, arterial pressures, mean arterial
pressure (MAP), pulse pressure (PP), heart rate (HR), and rate-pressure product
were monitored. Mortality was as follows: untreated (100%), 7.5% NaCl (75%), 7.5%
NaCl/6% dextran 70 (87.5%), 7.5% NaCl/Mg (62.5%), and 7.5% NaCl/ALM (0%). Deaths
occurred at different times depending on treatment group and paralleled
differences in the total number of ventricular arrhythmias with the highest
number in untreated animals (49 +/- 17) and lowest in 7.5% NaCl/ALM rats (2 +/-
1.8) (P < 0.05). At the end of phase 1, MAP of 7.5% NaCl/ALM-treated animals
increased from 29 to 40 mmHg (P < 0.05). At the end of phase 2, MAP, PP, HR, and
rate-pressure product in the ALM group were 75%, 193%, 96%, and 83% of their
preshock values. Small-volume (~1 mL/kg) i.v. bolus of 7.5% NaCl/ALM led to 100%
survival following 60% blood loss with higher MAP than any group, an 89% to 96%
reduction in the total number of arrhythmias, and a stable HR.
PMID- 22089127
TI - In vivo Toll-like receptor 4 antagonism restores cardiac function during
endotoxemia.
AB - Severe sepsis and septic shock are often accompanied by acute cardiovascular
depression. Lipopolysaccharide (LPS) signaling via Toll-like receptor 4 (TLR4)
can induce septic organ dysfunction. The aim of this study was to elucidate the
in vivo impact of pharmacological TLR4 antagonism on LPS-induced cardiovascular
depression using eritoran tetrasodium (E5564). To simulate sepsis, C3H/HeN mice
were challenged i.p. with 2 mg/kg body weight LPS. With the intent to antagonize
the LPS effects, eritoran was administered i.v. (4 mg/kg body weight). Physical
activity, peripheral blood pressure, and heart frequency were recorded before and
after LPS and eritoran injection. In addition, intracardiac hemodynamic
parameters were analyzed with a pressure conductance catheter. After 2 and 6 h of
LPS stimulation +/- eritoran treatment, the hearts and aortae were harvested, and
TLR as well as inflammatory mediator expression was measured using reverse
transcription-quantitative polymerase chain reaction and enzyme-linked
immunosorbent assay. Lipopolysaccharide significantly decreased arterial blood
pressure over time. Administration of eritoran partially prevented the LPS
dependent reduction in blood pressure and preserved cardiac function. In
addition, LPS increased the expression of CD14 and TLR2 in cardiac and aortic
tissue. In aortic tissue, eritoran attenuated this increase, whereas no
significant reduction was observed in the heart. Furthermore, cardiac and aortic
inducible nitric oxide synthetase mRNA levels were significantly increased 6 h
after LPS application. This effect was reduced in the presence of eritoran. In
summary, the beneficial influence of eritoran on cardiovascular function in vivo
seems to rely mainly on reduction of LPS-induced inducible nitric oxide
synthetase expression as well as on attenuated cytokine expression in the
vascular wall.
PMID- 22089128
TI - Treatment of myocardial dysfunction in sepsis: the Toll-like receptor antagonist
approach.
PMID- 22089130
TI - Control over the oxidative reactivity of metalloporphyrins. Efficient
electrosynthesis of meso,meso-linked zinc porphyrin dimer.
AB - The electrochemical oxidation of zinc(II) 5,15-p-ditolyl-10-phenylporphyrin at
its first oxidation potential leads to the formation of the corresponding meso
meso porphyrin dimer as the main product. The number of electrons abstracted, the
addition of the hindered base 2,6-lutidine as well as operating in DMF, instead
of a CH(2)Cl(2)/CH(3)CN mixture are the key parameters to obtain high yields of
the desired coupling product. Indeed, when the electrolyses are carried out in
the CH(2)Cl(2)/CH(3)CN mixture, the unexpected zinc(II) 5-chloro-10,20-p-ditolyl
15-phenyl porphyrin is produced as a by-product, the chlorine atom originating
from the CH(2)Cl(2) solvent. The monomer and the dimer are characterised by
electrochemical analysis. The signature of the dimer is clearly distinguished on
the cyclic voltammogram of the monomer on condition of the prior addition of 2,6
lutidine as a hindered base, indicating that the dimerisation process is thus
strongly accelerated. Besides, unprecedented X-ray crystallographic structures of
the monomer and the meso-meso dimer are presented and their respective structural
parameters are compared.
PMID- 22089129
TI - Mutation in the CPC motif-containing 6th transmembrane domain affects
intracellular localization, trafficking and copper transport efficiency of ATP7A
protein in mosaic mutant mice--an animal model of Menkes disease.
AB - Copper is an essential micronutrient for all living organisms. ATP7A protein is a
copper-transporting ATPase which plays a vital role in the maintenance of
cellular copper homeostasis in mammals. This protein is retained within the trans
Golgi network, but after binding copper it can be translocated to the cell
membrane to participate in the efflux of excess Cu. Mutation of the ATP7A gene in
humans results in the severe neurodegenerative disorder, Menkes disease. The
mouse ATP7A homolog encodes a protein that plays the same role in copper
transport. Mosaic mutant mice display a lethal phenotype which resembles Menkes
disease, although the underlying molecular defect has not been characterized
until now. In the present study we identified a G to C nucleotide exchange in
exon 15 of the Atp7a gene in mosaic mutants, which resulted in an arginine to
proline substitution in the highly conserved 6th transmembrane domain of the
ATP7A protein. This mutated protein was mislocalized in kidney cells isolated
from mosaic mutant mice, and following exposure of these cells to increased
copper concentrations it was not translocated to the plasma membrane. Disturbance
of ATP7A function in mosaic mice results in increased copper accumulation in the
small intestine and kidneys, and in Cu deficiency in the brain, liver and heart.
Mouse models of Menkes disease belong to the mottled mutant group. The mosaic
mutant represents another interesting animal model for Menkes disease that will
be of value in research on copper metabolism and transport in mammals.
PMID- 22089131
TI - Natural polymorphisms in C. elegans HECW-1 E3 ligase affect pathogen avoidance
behaviour.
AB - Heritable variation in behavioural traits generally has a complex genetic basis,
and thus naturally occurring polymorphisms that influence behaviour have been
defined only in rare instances. The isolation of wild strains of Caenorhabditis
elegans has facilitated the study of natural genetic variation in this species
and provided insights into its diverse microbial ecology. C. elegans responds to
bacterial infection with conserved innate immune responses and, although lacking
the immunological memory of vertebrate adaptive immunity, shows an aversive
learning response to pathogenic bacteria. Here, we report the molecular
characterization of naturally occurring coding polymorphisms in a C. elegans gene
encoding a conserved HECT domain-containing E3 ubiquitin ligase, HECW-1. We show
that two distinct polymorphisms in neighbouring residues of HECW-1 each affect C.
elegans behavioural avoidance of a lawn of Pseudomonas aeruginosa. Neuron
specific rescue and ablation experiments and genetic interaction analysis
indicate that HECW-1 functions in a pair of sensory neurons to inhibit P.
aeruginosa lawn avoidance behaviour through inhibition of the neuropeptide
receptor NPR-1 (ref. 10), which we have previously shown promotes P. aeruginosa
lawn avoidance behaviour. Our data establish a molecular basis for natural
variation in a C. elegans behaviour that may undergo adaptive changes in response
to microbial pathogens.
PMID- 22089133
TI - The alignment of molecular cloud magnetic fields with the spiral arms in M33.
AB - The formation of molecular clouds, which serve as stellar nurseries in galaxies,
is poorly understood. A class of cloud formation models suggests that a large
scale galactic magnetic field is irrelevant at the scale of individual clouds,
because the turbulence and rotation of a cloud may randomize the orientation of
its magnetic field. Alternatively, galactic fields could be strong enough to
impose their direction upon individual clouds, thereby regulating cloud
accumulation and fragmentation, and affecting the rate and efficiency of star
formation. Our location in the disk of the Galaxy makes an assessment of the
situation difficult. Here we report observations of the magnetic field
orientation of six giant molecular cloud complexes in the nearby, almost face-on,
galaxy M33. The fields are aligned with the spiral arms, suggesting that the
large-scale field in M33 anchors the clouds.
PMID- 22089132
TI - The Medicago genome provides insight into the evolution of rhizobial symbioses.
AB - Legumes (Fabaceae or Leguminosae) are unique among cultivated plants for their
ability to carry out endosymbiotic nitrogen fixation with rhizobial bacteria, a
process that takes place in a specialized structure known as the nodule. Legumes
belong to one of the two main groups of eurosids, the Fabidae, which includes
most species capable of endosymbiotic nitrogen fixation. Legumes comprise several
evolutionary lineages derived from a common ancestor 60 million years ago (Myr
ago). Papilionoids are the largest clade, dating nearly to the origin of legumes
and containing most cultivated species. Medicago truncatula is a long-established
model for the study of legume biology. Here we describe the draft sequence of the
M. truncatula euchromatin based on a recently completed BAC assembly supplemented
with Illumina shotgun sequence, together capturing ~94% of all M. truncatula
genes. A whole-genome duplication (WGD) approximately 58 Myr ago had a major role
in shaping the M. truncatula genome and thereby contributed to the evolution of
endosymbiotic nitrogen fixation. Subsequent to the WGD, the M. truncatula genome
experienced higher levels of rearrangement than two other sequenced legumes,
Glycine max and Lotus japonicus. M. truncatula is a close relative of alfalfa
(Medicago sativa), a widely cultivated crop with limited genomics tools and
complex autotetraploid genetics. As such, the M. truncatula genome sequence
provides significant opportunities to expand alfalfa's genomic toolbox.
PMID- 22089134
TI - Additive threats from pathogens, climate and land-use change for global amphibian
diversity.
AB - Amphibian population declines far exceed those of other vertebrate groups, with
30% of all species listed as threatened by the International Union for
Conservation of Nature. The causes of these declines are a matter of continued
research, but probably include climate change, land-use change and spread of the
pathogenic fungal disease chytridiomycosis. Here we assess the spatial
distribution and interactions of these primary threats in relation to the global
distribution of amphibian species. We show that the greatest proportions of
species negatively affected by climate change are projected to be found in
Africa, parts of northern South America and the Andes. Regions with the highest
projected impact of land-use and climate change coincide, but there is little
spatial overlap with regions highly threatened by the fungal disease. Overall,
the areas harbouring the richest amphibian faunas are disproportionately more
affected by one or multiple threat factors than areas with low richness.
Amphibian declines are likely to accelerate in the twenty-first century, because
multiple drivers of extinction could jeopardize their populations more than
previous, mono-causal, assessments have suggested.
PMID- 22089135
TI - Active formation of 'chaos terrain' over shallow subsurface water on Europa.
AB - Europa, the innermost icy satellite of Jupiter, has a tortured young surface and
sustains a liquid water ocean below an ice shell of highly debated thickness.
Quasi-circular areas of ice disruption called chaos terrains are unique to
Europa, and both their formation and the ice-shell thickness depend on Europa's
thermal state. No model so far has been able to explain why features such as
Conamara Chaos stand above surrounding terrain and contain matrix domes. Melt
through of a thin (few-kilometre) shell is thermodynamically improbable and
cannot raise the ice. The buoyancy of material rising as either plumes of warm,
pure ice called diapirs or convective cells in a thick (>10 kilometres) shell is
insufficient to produce the observed chaos heights, and no single plume can
create matrix domes. Here we report an analysis of archival data from Europa,
guided by processes observed within Earth's subglacial volcanoes and ice shelves.
The data suggest that chaos terrains form above liquid water lenses perched
within the ice shell as shallow as 3 kilometres. Our results suggest that ice
water interactions and freeze-out give rise to the diverse morphologies and
topography of chaos terrains. The sunken topography of Thera Macula indicates
that Europa is actively resurfacing over a lens comparable in volume to the Great
Lakes in North America.
PMID- 22089136
TI - Intermediates in the transformation of phosphonates to phosphate by bacteria.
AB - Phosphorus is an essential element for all known forms of life. In living
systems, phosphorus is an integral component of nucleic acids, carbohydrates and
phospholipids, where it is incorporated as a derivative of phosphate. However,
most Gram-negative bacteria have the capability to use phosphonates as a
nutritional source of phosphorus under conditions of phosphate starvation. In
these organisms, methylphosphonate is converted to phosphate and methane. In a
formal sense, this transformation is a hydrolytic cleavage of a carbon-phosphorus
(C-P) bond, but a general enzymatic mechanism for the activation and conversion
of alkylphosphonates to phosphate and an alkane has not been elucidated despite
much effort for more than two decades. The actual mechanism for C-P bond cleavage
is likely to be a radical-based transformation. In Escherichia coli, the
catalytic machinery for the C-P lyase reaction has been localized to the phn gene
cluster. This operon consists of the 14 genes phnC, phnD, ..., phnP. Genetic and
biochemical experiments have demonstrated that the genes phnG, phnH, ..., phnM
encode proteins that are essential for the conversion of phosphonates to
phosphate and that the proteins encoded by the other genes in the operon have
auxiliary functions. There are no functional annotations for any of the seven
proteins considered essential for C-P bond cleavage. Here we show that
methylphosphonate reacts with MgATP to form alpha-D-ribose-1-methylphosphonate-5
triphosphate (RPnTP) and adenine. The triphosphate moiety of RPnTP is hydrolysed
to pyrophosphate and alpha-D-ribose-1-methylphosphonate-5-phosphate (PRPn). The C
P bond of PRPn is subsequently cleaved in a radical-based reaction producing
alpha-D-ribose-1,2-cyclic-phosphate-5-phosphate and methane in the presence of S
adenosyl-L-methionine. Substantial quantities of phosphonates are produced
worldwide for industrial processes, detergents, herbicides and pharmaceuticals.
Our elucidation of the chemical steps for the biodegradation of alkylphosphonates
shows how these compounds can be metabolized and recycled to phosphate.
PMID- 22089137
TI - Planetary science: Europa awakening.
PMID- 22089138
TI - Direct use of dioxygen as an oxygen source: catalytic oxidative synthesis of
amides.
AB - The first transition-metal-catalyzed direct oxidative synthesis of amides by
using dioxygen as an oxygen source has been developed under mild conditions, in
which DBU was used as the key additive. The present methodology, which utilizes
dioxygen as an oxidant and oxygen source and cheap copper salts as catalysts,
opens up an interesting and attractive avenue for the synthesis of amide
functionality.
PMID- 22089139
TI - Diarrhea associated with Shigella in children and susceptibility to
antimicrobials.
AB - OBJECTIVE: To evaluate the distribution and susceptibility to antimicrobials of
Shigella isolated from children with acute diarrhea and without diarrhea in
Teresina, state of Piaui, Brazil. METHODS: Four hundred children aged up to 60
months were studied. Stools were collected from all the patients between January
2004 and August 2007. Shigella was identified by conventional methods and
antibiogram and extended-spectrum beta-lactamase (ESBL) were performed by agar
diffusion. RESULTS: Shigellosis was only detected in children with acute diarrhea
(26/250; 10.4%), especially in those aged from 6 to 24 months and in the rainy
months. Shigella was susceptible to ceftriaxone, ciprofloxacin and nalidixic
acid. More than half of the strains were resistant to sulphametoxazole
trimethoprim and ampicillin. ESBL was not detected. CONCLUSIONS: S. flexneri is
common in Teresina. The resistance to ampicillin and sulphametoxazole
trimethoprim gives cause for concern, as these drugs are widely used in practice
and sulphametoxazole-trimethoprim is also recommended for treating children
suspected of having shigellosis.
PMID- 22089140
TI - Probing the structure of long DNA molecules in solution using synchrotron
radiation linear dichroism.
AB - Linear dichroism (LD), a spectroscopic method for aligned samples, has been used
with a synchrotron radiation source to reveal insights into the structure and
stability of DNA with increasing salt concentrations (thus stabilizing the base
pairing) and increasing temperature while remaining below the melting point (thus
destabilizing the base pairing). Measurements have been made from 350 nm to 182
nm, and the spectral changes observed quantified using a Bayesian Markov chain
Monte Carlo (MCMC) algorithm, which uses statistical methods to fit to
experimental data. Based on literature H-D exchange experiments, we surmise that
the cause of the spectral variations is the induction of transient single
stranding of tracts in the DNA polymer, particularly those with significant
content of the weaker AT base pairs. More detailed analysis of the LD data will
require better nucleotide transition polarization assignments.
PMID- 22089141
TI - HDAC6 and SIRT2 promote bladder cancer cell migration and invasion by targeting
cortactin.
AB - Histone deacetylase 6 (HDAC6) promotes cell motility and contributes to the
metastasis of cancers. The purpose of this study was to investigate the role of
HDAC6 in human bladder cancer for the first time. The results showed that HDAC6
promotes the migration and invasion of bladder cancer cells by targeting the
cytoskeletal protein cortactin. Furthermore, a colony formation assay as well as
in vitro migration and invasion assays demonstrated that this migration and
invasion was suppressed by the HDAC6-specific inhibitor tubacin. In addition,
cortactin is the substrate of SIRT2, which also belongs to the family of histone
deacetylases. We demonstrated that by using SIRT2-specific siRNA combined with
tubacin treatment, the cell migratory and invasive abilities were dramatically
suppressed. Taken together, we conclude that HDAC6 and SIRT2 work synergistically
to promote cell migration and invasion in bladder cancer, and the HDAC6-specific
inhibitor tubacin may be regarded as a novel therapeutic agent for bladder
cancer.
PMID- 22089142
TI - Socially excluded individuals fail to recruit medial prefrontal cortex for
negative social scenes.
AB - Converging behavioral evidence suggests that people respond to experiences of
social exclusion with both defensive and affiliative strategies, allowing them to
avoid further distress while also encouraging re-establishment of positive social
connections. However, there are unresolved questions regarding the cognitive
mechanisms underlying people's responses to social exclusion. Here, we sought to
gain insight into these behavioral tendencies by using functional magnetic
resonance imaging (fMRI) to examine the impact of social exclusion on neural
responses to visual scenes that varied on dimensions of sociality and emotional
valence. Compared to socially included participants, socially excluded
participants failed to recruit dorsomedial prefrontal cortex (dmPFC), a brain
region involved in mentalizing, for negative social scenes. Moreover, following
social exclusion, dmPFC demonstrated a linear effect of valence, with greater
activity to positive social scenes compared to negative social scenes. These
results suggest that, following social exclusion, people display a preference for
mentalizing about positive social information and tend to avoid negative aspects
of their social world.
PMID- 22089143
TI - Use of troponin as a screen for chest pain in the pediatric emergency department.
AB - Troponin levels are commonly employed in the assessment of adults presenting with
chest pain or concern for coronary ischemia. However, the utility of troponin
measurements in children is not well defined. The purpose of this study was to
review the use and clinical yield of serum troponin assay in a large pediatric
emergency department (ED). We identified all patients <22 years of age, not
previously known to have cardiac disease, who presented with chest pain and whose
troponin levels were evaluated in our pediatric ED during a 7-year period. Test
results were correlated to patient factors, such as chief complaint, cardiac
history, diagnostic workup, and discharge diagnoses. Of the 212 study patients
who presented with chest pain, troponin levels were increased (>= 0.1 ng/ml) in
37 (17%) subjects. The disposition for these 37 subjects included transfer to
adult facility (n = 6), admission to our pediatric inpatient service (n = 27),
and discharge to home from the ED (n = 10). Only one subject had an acute
myocardial infarction. For those with increased troponin level, 18 of 37 (48%)
cases were attributed to a primary cardiac diagnosis with the most common
discharge diagnosis of myocarditis or pericarditis. In the pediatric ED setting,
selective use of troponin assay may be a useful test in the management of
patients with chest pain. Most cases of increased troponin level related to chest
pain represent myocarditis, often mild and self-resolving. Given the low
likelihood that acute coronary syndrome is the etiology of chest pain, immediate
transfer to an adult facility for the majority of patients with increase of
troponin does not appear warranted.
PMID- 22089144
TI - [Cerebral amyloid angiopathy with associated vasculitis].
PMID- 22089145
TI - Implementation of assertive community treatment in Australia: model fidelity,
patient characteristics and staff experiences.
AB - The impact of variable implementation of the Assertive Community Treatment (ACT)
model on patient outcomes is increasingly recognised. We conducted the first
study of four established Australian ACT teams, examining team composition,
processes and model fidelity, using previously validated questionnaires.
Demographic and clinical details of patients and their own experiences of ACT
were gathered from staff. Associations between burnout and work experiences were
examined. All teams were ACT-like (mean DACTS score = 3.7, SD = 0.3) with few
significant patient differences between teams, except diagnosis (schizophrenia 61
93%, co-morbid substance abuse 16-33%) and proportion living alone (23-72%).
Clinicians were fairly satisfied, but inter-team differences in staffing profile
and experience emerged and one team scored highly on emotional exhaustion.
Increased burnout was associated with greater stress due to taking a team
approach. Inter-team differences suggested that attention to effective team
working and leadership, as well as model fidelity, may be warranted.
PMID- 22089146
TI - The pathway of internalizing and externalizing problems from childhood to
adolescence: a prospective study from age 7 to 14-16 in Korea.
AB - This study prospectively investigated developmental psychopathology pathway from
the age of 7 to ages 14-16. The subjects (N = 1,857) were evaluated using the
Korean version of the Child Behavior Checklist and reassessed using the Korean
Youth Self Report. In path analyses, total problems, externalizing problems, and
internalizing problems in childhood associated significantly with problems in
adolescence. In particular, childhood externalizing problems associated with
adolescent externalizing problems, for both genders. However, our results differ
from those of previous studies in that internalizing problems showed a
restrictive stability by gender and in that early externalizing problems
correlated negatively with later internalizing problems for girls. In the
syndrome scales analyses, we confirmed that some syndromes showed heterotypic
pathways, despite the general continuity of the developmental psychopathology.
The importance of Anxiety/Depression and Attention problems in childhood
suggested that adolescent difficulties are a consequence of an accumulation of
such risk factors.
PMID- 22089147
TI - Spontaneous retinal venous pulsatility in patients with cyanotic congenital heart
disease.
AB - Spontaneous retinal venous pulsations (SRVP) are assessed as a clinical marker
for patients with ophthalmic or neurological disorders. The pulsations are
influenced by intraocular pressure (IOP), cerebrospinal fluid pressure (CSFp),
and retinal venous pressure (RVP). However, little is known about the effect of
cyanosis with polycythemia, a common finding in adults with complex congenital
heart disease (CHD), on SRVP. This study investigated 11 subjects with long
standing cyanosis secondary to CHD and 11 control subjects to determine if there
were measurable differences in resting pulsatility for a given IOP level.
Intraocular pressure was measured using Goldman tonometry, and dynamic SRVP was
recorded noninvasively using a retinal vessel imaging system. Peak amplitude of
SRVP at each cardiac cycle was measured and compared with IOP. Heart rate was
also monitored during the tests. Results show that for a similar baseline IOP,
SRVP amplitudes are significantly lower in cyanotic patients compared with normal
subjects (P < 0.0001). This may be explained by an increased RVP or high CSFp in
these patients. Mean venous diameter is also significantly higher in cyanotic
patients (P < 0.01), but no significant relationship was found between SRVP or
diameter with blood parameters.
PMID- 22089148
TI - How the concentration of insulin affects the development of preantral follicles
in goats.
AB - This study investigated the effect of adding different insulin concentrations to
the culture medium for goat preantral follicle development in vitro. The ovarian
fragments were immediately fixed or cultured for 7 days in MEM with insulin (0,
5, 10 ng/ml and 5 or 10 MUg/ml). The results showed that, after 7 days of
culture, insulin at 10 ng/ml was the best concentration to preserve follicular
viability and ultrastructure, resulting in the highest rates of normal follicles.
After 7 days, only treatments with 10 ng/ml and 5 MUg/ml of insulin increased
follicular activation when compared to other concentrations. Regarding follicular
and oocyte growth, the presence of 10 ng/ml of insulin promoted a larger diameter
than other treatments. In conclusion, this study shows that addition of 10 ng/ml
of insulin to the culture medium improved the survival and stimulated growth of
goat preantral follicles.
PMID- 22089149
TI - [Incidence and risk factors of early postoperative paralytic ileus after radical
cystectomy and cutaneous ureterostomy with a unilateral and parallel stoma].
AB - To identify the incidence and risk factors for developing early postoperative
paralytic ileus (POPI) after radical cystectomy and cutaneous ureterostomy with a
unilateral and parallel stoma, we retrospectively reviewed 21 patients (mean age
73.0, 19 males and 2 females) with a minimum of 3 months of follow-up. POPI
occurred in 4 patients (19.0%), who did not need surgical treatment and the
insertion of a nasogastric tube. Age and past history of abdominal surgery
influenced the occurrence of POPI. The patients with and without POPI had a mean
age of 82.3+/-7.4 and 70.8+/-6.3 years old, respectively (p=0.0025), and 75.0%
(3/4) and 11.8% (2/17) of the patients, respectively, had a past history of
abdominal surgery (p= 0.0276). There were no significant differences between
patients with and without POPI in any of the following factors examined : sex,
body mass index, American Society of Anesthesiologists score, pT-category,
neoadjuvant chemotherapy, preoperative serum levels of hemoglobin, creatinine,
total protein, and albumin, operative time, blood loss, transfusion volume,
stomal side, postoperative day of ambulation, and removal of epidural anesthesia
tube. In conclusion, our results showed that increasing age and a past history of
abdominal surgery were significantly associated with the occurrence of POPI after
radical cystectomy and cutaneous ureterostomy.
PMID- 22089150
TI - [The state of antimicrobial prophylaxis for holmium laser enucleation of the
prostate : HoLEP and the results of a questionnaire survey].
AB - Holmium laser enucleation of the prostate (HoLEP) has been established as a
procedure for the treatment of patients with benign prostate hyperplasia, instead
of transurethral resection of prostate (TURP). To determine the appropriate
antimicrobial prophylaxis for the prevention of perioperative urinary tract
infection following HoLEP we sent a questionnaire to 79 institutes belonging to
the Japanese Urological Association. We surveyed 1) the performance of HoLEP, 2)
number of HoLEP performed in 2009, 3) antimicrobial agents and the term of the
administration for prophylaxis, 4) rate of perioperative infections, and 5) usage
of other antimicrobial prophylaxis in HoLEP, as compared with in TUR-P. We
received answers from 59 institutes (74. 9%). We examined 43 responses, which
were obtained from executive members who performed more than eleven cases of
HoLEP in 2009. Thirty-one of these institutes (72.1%) indicated parenteral
antibiotics ; three of them adopted oral antibiotics, and nine of them added oral
antibiotics following parenteral antibiotics. In 40 of them (93.0%), the rate of
perioperative infections was reported to be fewer than 5%. Twenty-seven of them
(62. 7%) adopted the same schedule for the prophylaxis in both HoLEP and TUR-P.
Eleven of them indicated shorter antimicrobial usage in HoLEP than in TUR-P. Ten
of the eleven institutes reported that the rate of perioperative infections in
HoLEP had been lower than in TUR-P. Our questionnaire survey demonstrated that
shorter antimicrobial prophylaxis might be possible in HoLEP than in TUR-P.
PMID- 22089151
TI - [Usefulness of MRI prior to prostate needle biopsy in PSA gray zone].
AB - To evaluate the diagnostic accuracy of prostate magnetic resonance imaging (MRI),
we compared MRI findings with the results of biopsy as well as findings from
specimens following total prostatectomy. The subjects consisted of 260 males who
showed a prostate specific antigen (PSA) level in the gray zone (4 ng/ml <=PSA
<10 ng/ml) and also underwent digital rectal examination (DRE), transrectal
ultrasound (TRUS), and MRI prior to prostate biopsy between April 2005 and
December 2009. In Evaluation 1, the results of DRE/TRUS/MRI were compared with
those of prostate biopsy. The biopsy-positive rate was higher in males positive
in each examination. However, 24.8% of males negative in all examinations were
biopsypositive. Thus, these examinations were considered to be inappropriate for
secondary screening. In evaluation 2, the prostate was divided into 4 regions,
and the findings from specimens following total prostatectomy were compared with
MRI findings in each region. For the region containing prostate cancer, MRI
showed a sensitivity of 26.0%, specificity of 98.3%, positive predictive value of
96.2%, and negative predictive value of 44. 4%. In patients with a Gleason score
>=7, cancer foci were more frequently detectable using MRI. MRI prior to prostate
biopsy in patients in the PSA gray zone is inappropriate for secondary screening
due to its low sensitivity. However, by virtue of its high positive predictive
value, MRI is useful for determining patients indicated for biopsy, as well as
DRE and TRUS. Accurate evaluation of the localization of all cancer lesions is
difficult using MRI. However, when MRI findings are present, they frequently
indicate the cancer lesion, which may be useful information for treatment.
PMID- 22089152
TI - [A case of bilateral renal calculi in a 1-year-old female with adenine
phosphoribosyl transferase partial deficiency].
AB - We report a case of bilateral renal calculi in a 1-year-old female with adenine
phosphoribosyl transferase (APRT) partial deficiency. She initially visited
another institution with high fever as the major complaint. Computed tomography
revealed a bilateral renal stone and left hydro nephrosis. In the urine, there
were 2, 8-dihydroxyadenine (DHA) crystals. An analysis of the APRT gene revealed
the APRT deficiency and the genotype to be APRT*J/APRT*Q0. We performed
extracorporeal shock wave lithotripsy (ESWL) under general anesthesia, and as
dissolution therapy we administered Meylon through the nephrostomy and citric
acid orally. The stone disappeared from her kidney. The analysis of the stone
fragments revealed 2,8- dihydroxyadenine (DHA) urolithiasis.
PMID- 22089153
TI - [Myocardial metastasis from renal cell carcinoma treated with sorafenib].
AB - We present a case of myocardial metastasis from renal cell carcinoma (RCC) during
the treatment with sorafenib. A 63-year-old male, who had undergone right radical
nephrectomy, received interferon-alpha (IFN), interleukin (IL-2) and 5
flurouracil (5-FU) for the treatment of lung and pleural metastases. However,
since this metastasis showed progressive disease, we administered sorafenib. Nine
months after the introduction of sorafenib, he complained of dyspnea. Chest
computed tomography and cardiac ultrasonography revealed a low density mass at
the cardiac muscle of the left cardiac ventricle, suggesting myocardial
metastasis of RCC. Molecular targeted therapy achieved a longer survival in
advanced RCC patients in comparison with the immunotherapy using cytokines.
Therefore, in metastasis evaluation, some organs which have been regarded as rare
sites should be carefully evaluated.
PMID- 22089154
TI - [Metachronous urothelial cancer in bilateral upper urinary tracts and bladder
associated with hereditary nonpolyposis colorectal cancer].
AB - A 40-year-old man had undergone right hemicolectomy and sigmoidectomy under the
diagnosis of ascending and sigmoid colon cancer and right nephroureterectomy
under the diagnosis of right ureteral cancer, in 1997 and in 2002, respectively.
In 2007, He visited our hospital with a complaint of bloody stool and hematuria.
Colon fiberscopy, ureteropelvicscopy and cystoscopy demonstrated colon cancer,
left renal pelvis cancer and bladder cancer, respectively, as diagnosed by
biopsies, followed by restative colectomy, left nephroureterectomy and
cystectomy. The final histopathological examination showed well differentiated
adenocarcinoma (pSM) in the colon, and urothelial carcinoma in the left renal
pelvis (pT2) and the bladder (pT1). Since his uncle and elder brother had
suffered from stomach cancer and colon cancer, respectively, he was diagnosed
with hereditary nonpolyposis colorectal cancer (HNPCC : Lynch syndrome). He has
been well doing without recurrence for 3 years after the surgery.
PMID- 22089155
TI - [A case report of retrocaval ureter associated with right ureteral tumors].
AB - A case of retrocaval ureter associated with right ureteral tumor in a 70-year-old
male is reported. The diagnosis was confirmed by CT and RP. Retroperitoneoscopic
nephroureterectomy was performed. The histology of the tumor was urethelial
carcinoma. After 20 months, there was neither evidence of recurrence nor
metastasis. To our knowledge, this is the 11th case of retrocaval ureter
associated with upper urinary tract tumors.
PMID- 22089156
TI - [Laparoscopic nephrectomy for patients with infected nonfunctioning kidney due to
ureteropelvic junction stenosis : report of two cases].
AB - We report two cases of infected nonfunctioning kidney due to ureteropelvic
junction (UPJ) stenosis treated by laparoscopic surgery. Patient 1 : A 78-year
old woman was referred to our clinic complaining of left flank pain and high
fever up. Asymptomatic UPJ stenosis with mild hydronephrosis was diagnosed 9
years ago at our clinic. Therefore we followed her up with no treatment. Computed
tomography (CT) scan revealed left severe hydronephrosis. Antibiotic chemotherapy
was performed without percutaneous nephrostomy. Laparoscopic nephrectomy was
performed with the resected renal size of 10 cm in greatest diameter and the
operative time of 262 min. The pathological diagnosis was atrophic kidney with
pyelonephritis. Patient 2 : A 28-year-old man was referred to our clinic
complaining of left flank pain and high fever up. CT scan revealed a left severe
hydronephrosis due to UPJ stenosis. Following percutaneous nephrostomy,antibiotic
chemotherapy was performed. Laparoscopic nephrectomy was performed with the
resected renal size of 6 cm in greatest diameter and the operative time of 140
min. The pathological diagnosis was atrophic kidney with pyelonephritis.
Laparoscopic nephrectomy for infected nonfunctioning kidney due to UPJ stenosis
is feasible and safe. With dense perinephric adhesions and failure to progress,we
should consider early open conversion to reduce morbidity.
PMID- 22089157
TI - [A case of proliferative cystitis forming ureterovesical junction obstruction].
AB - We report a case of proliferative cystitis forming ureterovesical junction
obstruction. A 28-year-old man presented with a complaint of gross hematuria.
Abdominal ultrasonography revealed left hydronephrosis and bladder tumor. Drip
infusion pyelography (DIP) demonstrated left ureterovesical junction obstruction
and cystoscopic findings appeared papillary sessile tumor around the bladder
neck, trigone, and bilateral ureteral orifice. Transurethral resection of the
bladder tumor (TURBT) was performed. The pathological diagnosis of the tumor was
proliferative cystitis and confirmed that left ureterovesical junction
obstruction was derived from proliferative cystitis. The tumor was not responsive
to medical treatment. After the 4th TURBT, the tumor was completely resected, and
left hydronephrosis and ureterovesical junction obstruction were improved. One
year after the last operation, there is no evidence of recurrence of the tumor.
Tumor formation arising from proliferative cystitis is relatively rare.
Pathogenesis and management of this rare condition are discussed.
PMID- 22089158
TI - [Local recurrence of micropapillary bladder tumor after radical cystectomy :
successful treatment with radiation therapy : a case report].
AB - A 75-year-old man consulted a physician because of gross hematuria and right
flank pain. Since a bladder tumor and right hydronephrosis were found, the
patient was referred to our hospital. The clinical diagnosis was cT3bN0M0 and
radical cystectomy was done. The pathological diagnosis was micropapillary
variant of urothelial carcinoma, pT3bN0M0. The local recurrence appeared in the
interior of the pelvis by computed tomography after the operation. A complete
response was obtained by radiotherapy.
PMID- 22089159
TI - [Invasive bladder cancer with concomitant carcinoma in situ treated with
chemoradiotherapy followed by BCG intravesical infusion therapy to preserve the
bladder : report of three cases].
AB - Three patients with invasive bladder cancer and concomitant carcinoma in situ
were treated sequentially with chemoradiotherapy followed by BCG intravesical
infusion therapy to preserve the bladder. Local complete response was achieved in
all patients, although lymph node metastasis was noted in one patient. The
multimodality therapy could be safely administered without acute or late
complications, including decreased bladder capacity.
PMID- 22089160
TI - [Prostate cancer in a young adult : a case report].
AB - We report a case of prostate cancer in a 41-year-old male. The patient initially
visited another institution with a chief complaint of left breech pain. He was
referred to our hospital for further investigation. Serum level of PSA was
267ng/ml and multiple bone metastases were found on bone scintigram. Digital
rectal examination revealed a stony-hard prostate. Computed tomography showed
multiple lung and lymph node metastases. Transperineal needle biopsy of the
prostate revealed moderately differentiated adenocarcinoma (Gleason score 4+5)
frombilateral lobes (the 3th Edition). The patient was diagnosed with cT4N1M1c
prostate cancer and maximal androgen blockade therapy was commenced.
PMID- 22089161
TI - Antinucleosome in systemic lupus erythematosus. A study in a Brazilian
population.
AB - Antinucleosome antibodies have been found with variable prevalence in systemic
lupus erythematosus (SLE) and were associated with more severe disease. This
research aims to study the prevalence of antinucleosome antibodies in a sample of
Brazilian adult SLE patients and their association with clinical findings and
disease activity. Ninety-two adult patients (81 females and 11 males, with mean
age of 37.29 +/- 10.98 years) with SLE were studied for clinical and antibody
profile, disease activity by SLE disease activity index (SLEDAI), and presence of
antinucleosome antibodies by ELISA. The prevalence of antinucleosome antibodies
was 61.9% (mean titer, 87.8 +/- 62.6 U). No relationship was found of
antinucleosome presence and any of the studied clinical features. A positive
association was detected with anti-DNA (p = 0.001) and SLEDAI (p < 0.0001), but
not with anti-Sm, anti-Ro, anti-La, and anti-RNP. No specific disease feature
could be associated with the presence of antinucleosome; however, a positive
relationship was detected with disease activity measured by SLEDAI and with anti
DNA presence.
PMID- 22089163
TI - Conclusions and recommendations of the International Expert Symposium in
Fukushima: Radiation and Health Risks.
PMID- 22089162
TI - Psychosocial problems among newly diagnosed rheumatoid arthritis patients.
AB - We identified patients with newly diagnosed rheumatoid arthritis (RA) in the ages
18-65 years who needed psychosocial interventions. A total of 123 patients (90
women) were asked to participate, but 19 declined and 4 dropped out early in the
study, leaving a total of 100 patients (75 women) in the sample. Questionnaires
used were the Epidemiological Investigation on Rheumatoid Arthritis study
questionnaire, the Hospital Anxiety and Depression Scale, the Sense of Coherence
(SOC) scale, and the General Coping Questionnaire. Interviews showed that 46% of
the included 100 patients had psychosocial problems (PSP). One third of them had
problems directly related to RA. The rest had problems with their life situation
in general, without or reinforced by RA. Compared to patients without
psychosocial problems, PSP patients lived in more strained social situations,
especially regarding personal finances and social support. More of the PSP
patients were anxious, showed lower SOC scores, and also used more emotion-based
coping strategies (resignation, protest, isolation and intrusion) and less
problem-oriented (minimization). They also had higher scores on depression and
more frequently expected that RA would negatively affect their future. PSP
patients also experienced a more negative impact of the disease, a finding not
confirmed by the sickness activity score judged by the rheumatologist. Thus,
early in the course of RA, screening instruments should be used to identify PSP
patients. Psychosocial treatment and support by medical social workers skilled in
RA care should be offered.
PMID- 22089164
TI - Is occupational therapy practice for older adults with lower limb amputations
evidence-based? A systematic review.
AB - BACKGROUND: Although occupational therapists are integral to the rehabilitation
process of people with amputations, the effectiveness of the occupational therapy
intervention for older adults with lower limb amputations has not been
investigated. OBJECTIVES: To identify the effectiveness of the occupational
therapy interventions with older adults aged 65 years and older with lower limb
amputations. STUDY DESIGN: Systematic review. METHODS: A systematic search was
conducted in CINAHL, PUBMED, OTSEEKER and OTDBASE from January 1985 to January
2011. The eligible papers were critiqued using a typology, which involved
designation of levels of evidence and quality markers. RESULTS: The databases
yielded 2,664 potential publications. Of these, only two were included in the
final review. These studies suggested that the frequency of the occupational
therapy sessions was found to be statistically significantly related to
prosthesis use and that service users perceived positive benefits about the
provision of stump boards. Both studies had limitations resulting in a need for
further investigation in these areas. CONCLUSION: Research evidence on the
occupational therapy interventions with this population is limited and scarce.
Occupational therapists need to take urgent action to address the identified
evidence-based gaps in order to devise informed targeted rehabilitation
programmes for this client group.
PMID- 22089165
TI - Inhibition of caspase mediated apoptosis restores muscle function after crush
injury in rat skeletal muscle.
AB - Although muscle regeneration after injury is accompanied by apoptotic cell death,
prolonged apoptosis inhibits muscle restoration. The goal of our study was to
provide evidence that inhibition of apoptosis improves muscle function following
blunt skeletal muscle injury. Therefore, 24 rats were used for induction of
injury to the left soleus muscle using an instrumented clamp. All animals
received either 3.3 mg/kg i.p. of the pan-caspase inhibitor Z-valinyl-alanyl-DL:
aspartyl-fluoromethylketone (z-VAD.fmk) (n = 12 animals) or equivalent volumes of
the vehicle solution DMSO (n = 12 animals) at 0 and 48 h after trauma. After
assessment of the fast twitch and tetanic contraction capacity of the muscle at
days 4 and 14 post injury, sampling of muscle tissue served for analysis of cell
apoptosis (cleaved caspase 3 immunohistochemistry), cell proliferation (BrdU
immunohistochemistry) as well as of muscle tissue area and myofiber diameter (HE
planimetric analysis). Muscle strength analysis after 14 days in the z-VAD.fmk
treated group revealed a significant increase in relative muscle strength when
compared to the DMSO treated group. In contrast to the DMSO treated injured
muscle, showing a transient switch towards a fast-twitching muscle phenotype
(significant increase of the twitch-to-tetanic force ratio), z-VAD.fmk treated
animals showed an enhanced healing process with a faster restoration of the
twitch-to-tetanic force ratio towards the physiological slow-twitching muscle
phenotype. This enhancement of muscle function was accompanied by a significant
decrease of cell apoptosis and cell proliferation at day 4 as well as by a
significant increase of muscle tissue area at day 4. At day 14 after injury z
VAD.fmk treated animals presented with a significant increase of myofiber
diameter compared to the DMSO treated animals. Thus, z-VAD.fmk could provide a
promising option in the anti-apoptotic therapy of muscle injury.
PMID- 22089166
TI - Service and wider societal costs of very young children with autism in the UK.
AB - Autism spectrum disorders (ASD) are associated with a substantial economic
burden, but there is little evidence of the costs in the early years; the period
in which children are increasingly likely to be diagnosed. We describe the
services used by 152 children aged 24-60 months with autism, report family out-of
pocket expenses and productivity losses, and explore the relationship between
family characteristics and costs. Children received a wide range of hospital and
community services including relatively high levels of contact with speech and
language therapists and paediatricians. Total service costs varied greatly (mean
L430 per month; range L53 to L1,116), with some families receiving little
statutory support. Higher costs were associated with increasing age and symptom
severity.
PMID- 22089167
TI - Diagnostic yield of chromosomal microarray analysis in an autism primary care
practice: which guidelines to implement?
AB - Genetic testing is recommended for patients with ASD; however specific
recommendations vary by specialty. American Academy of Pediatrics and American
Academy of Neurology guidelines recommend G-banded karyotype and Fragile X DNA.
The American College of Medical Genetics recommends Chromosomal Microarray
Analysis (CMA). We determined the yield of CMA (N = 85), karyotype (N = 119), and
fragile X (N = 174) testing in a primary pediatrics autism practice. We found
twenty (24%) patients with abnormal CMA results (eight were clinically
significant), three abnormal karyotypes and one Fragile X syndrome. There was no
relationship between CMA result and cognitive level, seizures, dysmorphology,
congenital malformations or behavior. We conclude that CMA should be the clinical
standard in all specialties for first tier genetic testing in ASD.
PMID- 22089168
TI - TNF-induced necroptosis in L929 cells is tightly regulated by multiple TNFR1
complex I and II members.
AB - TNF receptor 1 signaling induces NF-kappaB activation and necroptosis in L929
cells. We previously reported that cellular inhibitor of apoptosis protein
mediated receptor-interacting protein 1 (RIP1) ubiquitination acts as a
cytoprotective mechanism, whereas knockdown of cylindromatosis, a RIP1
deubiquitinating enzyme, protects against tumor necrosis factor (TNF)-induced
necroptosis. We report here that RIP1 is a crucial mediator of canonical NF
kappaB activation in L929 cells, therefore questioning the relative
cytoprotective contribution of RIP1 ubiquitination versus canonical NF-kappaB
activation. We found that attenuated NF-kappaB activation has no impact on TNF
induced necroptosis. However, we identified A20 and linear ubiquitin chain
assembly complex as negative regulators of necroptosis. Unexpectedly, and in
contrast to RIP3, we also found that knockdown of RIP1 did not block TNF
cytotoxicity. Cell death typing revealed that RIP1-depleted cells switch from
necroptotic to apoptotic death, indicating that RIP1 can also suppress apoptosis
in L929 cells. Inversely, we observed that Fas-associated protein via a death
domain, cellular FLICE inhibitory protein and caspase-8, which are all involved
in the initiation of apoptosis, counteract necroptosis induction. Finally, we
also report RIP1-independent but RIP3-mediated necroptosis in the context of TNF
signaling in particular conditions.
PMID- 22089169
TI - Macrocylic bisbibenzyl natural products and their chemical synthesis.
AB - Covering: 1995 to June 2011. The macrocyclic bisbibenzyl family of natural
products are commonly found in liverworts and other bryophytes, though the recent
isolation of riccardin C from a primrose extract has demonstrated their existence
in higher flowering plants. Each has a core comprising four aromatic rings and
two ethano bridges, being derived in Nature from two molecules of lunularin. Sub
classes are distinguished by the connectivity between these lunularin units,
while individual natural products are distinguished by the hydroxy- and/or alkoxy
substituents decorating the core structures. Further diversification results from
halogenation and oxidation, which may lead to dimerization or the creation of
additional rings. The review provides a timely update to a previous Natural
Product Reports article by Keseru and Nogradi (Nat. Prod. Rep. 1995, 12, 69-75)
and largely focuses on new additions to the family and the strategies used to
effect their chemical synthesis.
PMID- 22089170
TI - 'Zero' fluoroscopic exposure for ventricular tachycardia ablation in a patient
with situs viscerum inversus totalis.
AB - Situs viscerum inversus totalis (SVIT) is a congenital disorder characterized by
mirror reversal of the thoracic and abdominal organs. Different studies have
shown that the ablation procedure can be performed without fluoroscopy with
safety and effectiveness, in the setting of supraventricular tachycardia. We
successfully performed an anatomical map and a radiofrequency catheter ablation
of ventricular arrhythmia in a patient with SVIT without fluoroscopy.
PMID- 22089171
TI - Comparison of mucin levels at the ocular surface of postmenopausal women with and
without a history of dry eye.
AB - PURPOSE: To determine if levels of the glycocalyx membrane mucins, MUC1 and
MUC16, and the secreted goblet cell mucin MUC5AC are altered in conjunctival
cells and tears of postmenopausal women presenting with a history of non-Sjogren
dry eye and if mucin levels correlate with dry eye clinical diagnostic data.
METHODS: Eighty-four postmenopausal women with a history of non-Sjogren dry eye
and 30 normal subjects were recruited for this study. Impression cytology samples
were collected for mucin messenger RNA (mRNA) and protein analysis. Tears were
collected for mucin protein assay. Quantitative polymerase chain reaction,
Western blot, and enzyme-linked immunosorbent assay were used to quantitate MUC1,
MUC16, and MUC5AC levels. RESULTS: Postmenopausal women with a history of dry eye
displayed significantly increased MUC1 mRNA expression and cellular protein
compared with normal subjects (P < 0.001 and P < 0.01, respectively). Similarly,
cellular MUC16 protein levels were significantly higher (P < 0.001). Mucin levels
were found to be correlated with the clinical characterization of the subjects,
including staining and symptoms. Although cellular MUC5AC protein levels were
increased in symptomatic subjects, the increase did not reach statistical
significance. CONCLUSIONS: Elevation in MUC1 and MUC16 mRNA and/or protein levels
in postmenopausal women with non-Sjogren dry eye with a history of dry eye may be
a compensatory response to irritation and inflammation associated with the
disease. Understanding the pattern of mucin expression associated with the dry
eye pathology may clarify factors involved in the progression of the disease and
enhance the development of targeted therapies.
PMID- 22089172
TI - Persistent lamellar interface fluid with clear cornea after Descemet stripping
automated endothelial keratoplasty.
AB - PURPOSE: To describe clinical properties of a patient with persistent interface
fluid syndrome and clear graft, 3.5 years after Descemet stripping automated
endothelial keratoplasty (DSAEK). METHODS: Case report. RESULTS: A 69-year-old
woman who had DSAEK in 2006 presented with a clear cornea (no corneal edema) and
deep diffuse reticular haze in the right eye. Visual acuity was 20/40. Ultrahigh
resolution optical coherence tomography (UHR-OCT) imaging of the right eye
demonstrated persistent interface fluid at the donor-recipient junction. The
detachment between donor and recipient corneas was 70 MUm at the center. There
was no connection between the anterior chamber and the donor/recipient interface.
CONCLUSIONS: Despite the persistent detachment of the donor cornea, the recipient
cornea can remain clear in persistent interface fluid syndrome after DSAEK.
PMID- 22089173
TI - Studying the interactions of a platinum(II) 9-aminoacridine complex with proteins
and oligonucleotides by ESI-TOF MS.
AB - The interaction of a novel Pt complex, [Pt(dmba)(N9-9AA)(PPh(3))](+)1 (dmba = N,N
dimethylbenzylamine-kappaN,kappaC; 9AA = 9-aminoacridine), which exhibits anti
tumor activity, with certain key proteins has been monitored by ESI-MS. Also, the
interaction of 1 with a designed double-stranded oligonucleotide containing the
GG motif has been followed by mass spectrometry as well as by fluorimetry. The
results obtained show the low interaction of 1 with the considered proteins and
the absence of covalent interaction with the oligonucleotides, but the
fluorimetric data confirm the pi-pi interaction of 1 with the double-stranded
DNA, which is probably the reason of the previously reported activity of 1 in
several tumor cell lines.
PMID- 22089174
TI - Reversible phase transformation in proton conducting Strandberg-type POM based
metal organic material.
AB - A Cu(II)-phenanthroline connected Strandberg-type polyoxometalate based proton
conducting MOF, Cu(3)Mo(5)P(2), that contains one dimensional parallel water
channels has been reported. Cu(3)Mo(5)P(2) shows proton conduction at room
temperature as well as elevated temperature.
PMID- 22089175
TI - A single test of antimullerian hormone in late reproductive-aged women is a good
predictor of menopause.
PMID- 22089177
TI - A randomized double-blind placebo-controlled trial of a Chinese herbal medicine
preparation (Jiawei Qing'e Fang) for hot flashes and quality of life in
perimenopausal women.
AB - OBJECTIVE: This study aimed to evaluate the effectiveness and safety of a Chinese
herbal medicine preparation, Jiawei Qing'e Fang (JQF), on menopausal symptoms in
perimenopausal women. METHODS: A randomized double-blind placebo-controlled trial
was performed over 12 weeks in 72 perimenopausal women who reported 14 or more
hot flashes per week. The participants were randomly allocated to receive JQF or
placebo for 8 weeks. Posttreatment follow-up was performed 4 weeks after
intervention. The primary outcome was the Menopause-Specific Quality of Life.
Secondary outcomes included hot flash and plasma lipids. RESULTS: There was
greater improvement in hot flash score in the JQF group compared with the placebo
group, and the difference between the two groups was statistically significant (P
= 0.048). There were between-group differences in vasomotor (P = 0.011) and
physical (P = 0.034) domains. The triglyceride (TG) level in the JQF group showed
a significant reduction (P = 0.036) in women with a baseline TG greater than 150
mg/dL (1.7 mmol/L). CONCLUSIONS: The Chinese herbal medicine preparation JQF was
found to be superior to placebo in reducing hot flashes and improving menopausal
symptoms in the vasomotor and physical aspects and might have a potential benefit
in reducing TG levels. The herbal medicine preparation was well tolerated, with
no serious adverse events noted during the study period.
PMID- 22089178
TI - Interaction between oral estrogen plus progestogen therapy and ABO blood groups
on coagulation activation in postmenopausal women.
AB - OBJECTIVE: The aim of this study was to investigate the effect of postmenopausal
hormone therapy on coagulation and whether this effect differs according to ABO
blood groups. METHODS: This was a prospective observational study to evaluate
factor VIII (FVIII) activity, factor von Willebrand (vWF), and D-dimer (D-Di)
levels and ABO blood groups in 61 postmenopausal women using oral estrogen plus
progestogen therapy (EPT; 2 mg estradiol + 1 mg norethisterone acetate) for 3
months and in 101 women not using EPT. After 3 months, all eligible women who had
completed the treatment scheme proposed for the EPT group or those who opted to
participate but had not undergone EPT had a blood sample collected for analysis.
RESULTS: Significant differences were observed in FVIII activity and vWF levels
in the control group between those carrying group O and non-group O blood. For
EPT users, significant differences were observed for FVIII activity, vWF, and D
Di levels. After a multivariate regression analysis, FVIII activity and ABO blood
groups were independently associated with vWF levels, whereas interaction between
ABO blood groups and EPT were independently associated with FVIII activity.
Besides diabetes, the ABO * EPT interaction was also noted to be independently
associated with D-Di levels. CONCLUSIONS: These findings suggest an interactive
effect between oral EPT and non-O blood groups, contributing to the mechanism by
which estrogen triggers the hypercoagulability state and increased risk for
venous thrombosis in women undergoing oral EPT.
PMID- 22089179
TI - Effects of yoga exercise on serum adiponectin and metabolic syndrome factors in
obese postmenopausal women.
AB - OBJECTIVE: Regular and continuous yoga exercise is one of the most important
nonpharmacological methods of improving serum lipid concentrations, adipose
tissue, and metabolic syndrome factors. The purpose of this study was to analyze
the effects of yoga exercise on serum adiponectin and metabolic syndrome factors
in obese postmenopausal Korean women. METHODS: Sixteen healthy postmenopausal
women aged 54.50 +/- 2.75 years with more than 36% body fat were randomly
assigned to either a yoga exercise group (n = 8) or to a "no exercise" control
group (n = 8). The variables of body composition, visceral fat, serum
adiponectin, and metabolic syndrome factors were measured in all the participants
before and after the 16-week study. RESULTS: Body weight, percentage of body fat,
lean body mass, body mass index, waist circumference, and visceral fat area had
significantly decreased. High-density lipoprotein cholesterol and adiponectin had
significantly increased, but total cholesterol, triglyceride, low-density
lipoprotein cholesterol, blood pressure, insulin, glucose, and homoeostasis model
assessment-insulin resistance had significantly decreased. Serum adiponectin
concentrations were significantly correlated with waist circumference, high
density lipoprotein cholesterol, diastolic blood pressure, and homoeostasis model
assessment-insulin resistance in the postyoga exercise group. CONCLUSIONS: Our
findings indicate that yoga exercise improves adiponectin level, serum lipids,
and metabolic syndrome risk factors in obese postmenopausal women. Consequently,
yoga exercise will be effective in preventing cardiovascular disease caused by
obesity in obese postmenopausal Korean women.
PMID- 22089180
TI - Antioxidant enzymes GSR, SOD1, SOD2, and CAT gene variants and bone mineral
density values in postmenopausal women: a genetic association analysis.
AB - OBJECTIVE: Oxidative stress participates in decreasing bone formation and
stimulating bone resorption. Furthermore, antioxidant enzymes have been observed
to have low protective activity in women with osteoporosis.The aim of the present
study was to examine any association of selected gene polymorphisms of the
glutathione S-reductase (GSR), superoxide dismutase (SOD1 and SOD2), and catalase
(CAT) genes, alone or in combination, with the bone mineral density (BMD) values
of femoral neck (fn), lumbar spine (ls), and total hip (th) in Slovenian
postmenopausal women. METHODS: The gene polymorphisms of CAT, GSR, SOD1, and SOD2
genes in 468 postmenopausal women were analyzed using restriction fragment length
polymorphism and a fluorescent 5'-exonuclease genotyping method. BMD_fn, BMD_ls,
and BMD_th were measured using dual-energy x-ray absorptiometry. Moreover,
univariate statistic analysis and two-way analysis of variance for interaction
testing were performed. RESULTS: A significant association of BMD_th values (P =
0.027) was found in genotype subgroups of 423-287G>A GSR polymorphism located in
the third intron among postmenopausal women. Furthermore, women with at least one
G allele showed significantly higher levels of BMD_fn (P = 0.044), BMD_th (P =
0.009), and BMD_ls (P = 0.043) than those that are AA homozygotes. Interestingly,
the 423-287G>A_GSR*1154-393T>A_GSR combination was significantly associated with
BMD_fn (P = 0.013) and BMD_th (P = 0.002) in postmenopausal women. CONCLUSIONS:
The results of our study demonstrate for the first time that antioxidant enzyme
GSR gene polymorphisms are significantly associated with BMD, suggesting that the
A allele of 423-287G>A GSR polymorphism could contribute to decreased BMD values
in postmenopausal women.
PMID- 22089181
TI - Behavioral compensatory adjustment to exercise intervention.
PMID- 22089182
TI - Age and postmenopausal bleeding risk factors for malignant changes in endometrial
polyps.
PMID- 22089183
TI - Effects of excess body mass on strength and fatigability of quadriceps in
postmenopausal women.
AB - OBJECTIVE: Obesity is a major public health problem leading to, among other
things, reduced functional capacity. Moreover, obesity-related declines in
functional capacity may be compounded by the detrimental consequences of
menopause. The aim of this study was to understand the potential effects of
excess body mass on measures of functional capacity in postmenopausal women.
METHODS: Forty-five postmenopausal women aged 50 to 60 years were divided into
two groups according to body mass index (BMI): obese (BMI, >= 30 kg/m(2); n = 19)
and nonobese (BMI, 18.5-29.9 kg/m(2); n = 26). To determine clinical
characteristics, body composition, bone mineral density, and maximal exercise
testing was performed, and a 3-day dietary record was estimated. To assess
quadriceps function, isokinetic exercise testing at 60 degrees per second
(quadriceps strength) and at 300 degrees per second (quadriceps fatigue) was
performed. RESULTS: The absolute value of the peak torque was not significantly
different between the groups; however, when the data were normalized by body mass
and lean mass, significantly lower values were observed for obese women compared
with those in the nonobese group (128% +/- 25% vs 155% +/- 24% and 224% +/- 38%
vs 257% +/- 47%, P < 0.05). The fatigue index did not show any significant
difference for either group; however, when the data were normalized by the body
mass and lean mass, significantly lower values were observed for obese women (69%
+/- 16% vs 93% +/- 18% and 120% +/- 25% vs. 135% +/- 23%, P < 0.01). CONCLUSIONS:
Our results show that despite reduced muscle force, the combination of obesity
and postmenopause may be associated with greater resistance to muscle fatigue.
PMID- 22089184
TI - Postreperfusion cardiac arrest and resuscitation during orthotopic liver
transplantation: dynamic visualization and analysis of physiologic recordings.
AB - We recently reported on the Multi Wave Animator (MWA), a novel open-source tool
with capability of recreating continuous physiologic signals from archived
numerical data and presenting them as they appeared on the patient monitor. In
this report, we demonstrate for the first time the power of this technology in a
real clinical case, an intraoperative cardiopulmonary arrest following
reperfusion of a liver transplant graft. Using the MWA, we animated hemodynamic
and ventilator data acquired before, during, and after cardiac arrest and
resuscitation. This report is accompanied by an online video that shows the most
critical phases of the cardiac arrest and resuscitation and provides a basis for
analysis and discussion. This video is extracted from a 33-min, uninterrupted
video of cardiac arrest and resuscitation, which is available online. The unique
strength of MWA, its capability to accurately present discrete and continuous
data in a format familiar to clinicians, allowed us this rare glimpse into events
leading to an intraoperative cardiac arrest. Because of the ability to recreate
and replay clinical events, this tool should be of great interest to medical
educators, researchers, and clinicians involved in quality assurance and patient
safety.
PMID- 22089186
TI - Divergent effects of activated neutrophils on inflammation, Kupffer
cell/splenocyte activation, and lung injury following blunt chest trauma.
AB - Polymorphonuclear granulocytes (PMNs) have been attributed a primarily
deleterious role in the pathogenesis of acute lung injury (ALI). However,
evidence exists that PMNs might also act beneficially in certain types of ALI. In
this regard, we investigated the role of activated neutrophils in the
pathophysiology of lung contusion-induced ALI. We used the model of blunt chest
trauma accompanied by PMN-depletion in male C3H/HeN mice. Animals received 25
MUg/g body weight PMN-depleting antibody Gr-1 intravenously 48 h before trauma.
Bronchoalveolar lavage (BAL) and lung tissue interleukin 6 (IL-6) were similarly
elevated in PMN-depleted and control animals after trauma, whereas macrophage
inflammatory protein 2 and monocyte chemoattractant protein 1 in BAL and lungs,
IL-10 in BAL, and lung keratinocyte chemoattractant (KC) were even further
increased in the absence of PMNs. Plasma IL-6 and KC were also increased in
response to the insult and even further in the absence of PMNs. Chest trauma
induced an enhanced release of IL-6, tumor necrosis factor alpha, macrophage
inflammatory protein 2, monocyte chemoattractant protein 1, and IL-10 from
isolated KU, which was blunted in the absence of PMNs. In the presence of PMNs,
BAL protein was further increased at 30 h when compared with the 3-h time point,
which was not the case in the absence of PMNs. Taken together, in response to
lung trauma, activated neutrophils control inflammation including mediator
release from distant immune cells but simultaneously mediate pulmonary tissue
damage. Thus, keeping in mind potential inflammatory adverse effects, modulation
of neutrophil activation or trafficking might be a reasonable therapeutic
approach in chest trauma-induced lung injury.
PMID- 22089187
TI - Plasma levels of liver-specific miR-122 is massively increased in a porcine
cardiogenic shock model and attenuated by hypothermia.
AB - Tissue-specific circulating micro-RNAs (miRNAs) are released into the blood after
organ injury. In an ischemic porcine cardiogenic shock model, we investigated the
release pattern of cardiac-specific miR-208b and liver-specific miR-122 and
assessed the effect of therapeutic hypothermia on their respective plasma levels.
Pigs were anesthetized, and cardiogenic shock was induced by inflation of a
percutaneous coronary intervention balloon in the proximal left anterior
descending artery for 40 min followed by reperfusion. After fulfillment of the
predefined shock criteria, the pigs were randomized to hypothermia (33 degrees C,
n = 6) or normothermia (38 degrees C, n = 6). Circulating miRNAs were extracted
from plasma and measured with quantitative real-time polymerase chain reaction
(PCR). Tissue specificity was assessed by miRNA extraction from porcine tissues
followed by quantitative real-time PCR. In vitro, the release of miR-122 from a
cultured hepatocyte cell line exposed to either hypoxia or acidosis was assessed
by real-time PCR. miR-122 was found to be highly liver specific, whereas miR-208b
was expressed exclusively in the heart. In the control group, ischemic
cardiogenic shock induced a 460,000-fold and a 63,000-fold increase in plasma
levels of miR-122 (P < 0.05) and miR-208b (P < 0.05), respectively. Therapeutic
hypothermia significantly diminished the increase in miR-122 compared with the
normothermic group (P < 0.005). In our model, hypothermia was initiated after
coronary reperfusion and did not affect either myocardial damage as previously
assessed by magnetic resonance imaging or the plasma level of miR-208b. Our
results indicate that liver-specific miR-122 is released into the circulation in
the setting of cardiogenic shock and that therapeutic hypothermia significantly
reduces the levels of miR-122.
PMID- 22089188
TI - Clinical outcome of critically ill patients cannot be defined by cutoff values of
monocyte human leukocyte antigen-DR expression.
AB - Septic shock is the most common cause of death in intensive care units. During
the last two decades, new strategies have focused on the diagnosis and on the
immunological changes in critically ill patients. There have been conflicting
reports whether monocyte human leukocyte antigen (HLA) DR expression poses a
useful parameter to characterize clinical outcome of these patients. To elucidate
the role of monocyte HLA-DR expression, we hypothesized that low expression of
HLA-DR on circulating human monocytes in critically ill patients correlates with
higher mortality and that cutoff values of HLA-DR discriminate surviving from
nonsurviving patients. In this retrospective study, monocyte HLA-DR expression in
413 critically ill patients was investigated during their intensive care unit
stay. Human leukocyte antigen DR was determined in a quantitative and
standardized procedure by flow cytometry (anti-HLA-DR monoclonal antibodies bound
per cell [mABs/cell]) at least every third day or when clinical changes in the
patients conditions were observed. Healthy probands served as control group to
determine the range of "normal" values. As expected, HLA-DR expression was
significantly higher in the group of survivors (n = 279) than in the group of
nonsurvivors (n = 134; mABs/cell: 23,038 [SD, 11,150] vs. 18,070 [SD, 8,906]; P <
0.001). When minimal HLA-DR values per patient were compared, no cutoff values
could be identified between the groups of survivors and nonsurvivors (mABs/cell:
19,611 [SD, 11,129] vs. 14,944 [SD, 8,013]; P < 0.001). In conclusion, in this
sizable cohort we could again show that HLA-DR expression is decreased in
critically ill patients but it is not suitable as a prognostic or predictive
parameter for clinical outcome.
PMID- 22089189
TI - Neutralization of IL-10 restores the downregulation of IL-18 receptor on natural
killer cells and interferon-gamma production in septic mice, thus leading to an
improved survival.
AB - The objective of the study was to investigate the mechanisms of insufficient
interferon-gamma (IFN-gamma) response to interleukin 18 (IL-18) and the treatment
for the insufficient response in septic mice. Interleukin 18 stimulation does not
restore IFN-gamma production by blood mononuclear cells in septic patients but
does restore its production in postoperative patients. Although sepsis impairs
the IFN-gamma response to IL-18, little is known about why the IL-18/IFN-gamma
mediated immune response is ineffective in patients with sepsis. A cecal ligation
and puncture was made in C57BL/6 mice following a sublethal lipopolysaccharide
challenge to examine their IFN-gamma response to IL-18, focusing on natural
killer (NK) cells and cytokines. We next examined the effect of neutralization of
IL-10 on the NK cell and survival in septic mice. Interleukin 18 injection did
not restore IFN-gamma production in septic (cecal ligation and puncture) mice.
Despite an increase in the numbers of liver NK cells, the IL-18 receptor (IL-18R)
expression was decreased in the septic mice compared with sham mice. Serum IL-10
levels were positively correlated with the percentage of liver NK cells, but
negatively with their IL-18R expression. Neutralization of IL-10 restored the IL
18R expression on liver NK cells and restored the IFN-gamma response in the
septic mice, improving their survival. Sepsis might impair IL-18R expression on
liver and spleen NK cells and impair the IL-18-mediated IFN-gamma response.
Neutralization of IL-10 may restore this response in septic hosts, thereby
improving survival.
PMID- 22089190
TI - 17beta-estradiol attenuates reduced-size hepatic ischemia/reperfusion injury by
inhibition apoptosis via mitochondrial pathway in rats.
AB - The aim of this study was to investigate the effect of 17beta-estradiol (E2) on
hepatocyte apoptosis after reduced-size hepatic ischemia/reperfusion (I/R) injury
and its mechanism. A rat model of reduced-size hepatic I/R injury was
established. Sprague-Dawley rats were randomly allocated into sham, I/R, and E2 +
I/R group. 17beta-Estradiol (4 mg/kg) or the vehicle was administered i.p. 1 h
before ischemia and immediately after operation. For each group, 10 rats were
used to investigate the survival during a week after reperfusion. Blood samples
and liver tissues were obtained in the remaining animals after 3, 6, 12, and 24 h
of reperfusion to assess serum aspartate aminotransferase and alanine
aminotransferase levels, liver tissue malondialdehyde concentration, superoxide
dismutase activity, and histopathologic changes. Apoptosis ratio; expression of
cytochrome c, Bcl-2, and Bax proteins; and enzymatic activities of caspase 9 and
caspase 3 were performed in the samples at 12 h after reperfusion. The serum
aspartate aminotransferase and alanine aminotransferase levels and tissue
malondialdehyde concentration were increased in the I/R group, whereas the
increase was significantly reduced by E2. The superoxide dismutase activity,
depressed by I/R injury, was elevated back to normal levels by treatment with E2.
Severe hepatic damage was observed by light microscopy in the I/R group, whereas
administration of E2 resulted in tissue and cellular preservation. Furthermore,
E2 inhibited hepatocellular apoptosis by upregulating the ratio of Bcl-2 and Bax
expression, reduced cytosolic cytochrome c level, and decreased caspase 9 and
caspase 3 activities. The 7-day survival rate was significantly higher in the E2
+ I/R group than in the I/R group. These results indicated that E2 protects liver
tissues from reduced-size hepatic I/R injury by suppressing mitochondrial
apoptotic pathways.
PMID- 22089191
TI - Inhaled hydrogen sulfide induces suspended animation, but does not alter the
inflammatory response after blunt chest trauma.
AB - The treatment of acute lung injury and septic complications after blunt chest
trauma remains a challenge. Inhaled hydrogen sulfide (H2S) may cause a
hibernation-like metabolic state, which refers to an attenuated systemic
inflammatory response. Therefore, we tested the hypothesis that inhaled H2S
induced suspended animation may attenuate the inflammation after pulmonary
contusion. Male Sprague-Dawley rats were subjected to blunt chest trauma (blast
wave) or sham procedure and subsequently exposed to a continuous flow of H2S (100
ppm) or control gas for 6 h. Body temperature and activity were measured by an
implanted transmitter. At 6, 24, or 48 h after trauma, animals were killed, and
the cellular contents of bronchoalveolar lavage (BAL) as well as cytokine
concentrations in BAL, plasma, and culture supernatants of blood mononuclear
cells, Kupffer cells, splenic macrophages, and splenocytes were determined.
Hydrogen sulfide inhalation caused a significant reduction in body temperature
and activity. The trauma-induced increase in alveolar macrophage counts was
abrogated 48 h after trauma when animals received H2S, whereas the trauma-induced
increase in neutrophil counts was unaltered. Furthermore, H2S inhalation
partially attenuated the mediator release in BAL and culture supernatants of
Kupffer cells as well as splenic cells; it altered plasma cytokine concentrations
but did not affect the trauma-induced changes in mononuclear cell culture
supernatants. These findings indicate that inhaled H2S induced a reduced
metabolic expenditure and partially attenuated inflammation after trauma.
Nevertheless, in contrast to hypoxic- or pathogen-induced lung injury, H2S
treatment appears to have no protective effect after blunt chest trauma.
PMID- 22089193
TI - Increased granzyme levels in cytotoxic T lymphocytes are associated with disease
severity in emergency department patients with severe sepsis.
AB - Exocytosis of granules containing the cytolytic effector (CE) molecules granzyme
A (GzmA), granzyme B (GzmB), and perforin is one major pathway of lymphocyte
mediated cytotoxicity. Studies in murine models and the finding of elevated
granzyme levels in the plasma of septic patients have implicated cytotoxic
lymphocytes in the pathogenesis of sepsis. We sought to evaluate the role of
cytotoxic cells and CE in sepsis and determine if intracellular levels of CE in
cytotoxic cells correlate with disease severity. We conducted a prospective
cohort study of 40 patients enrolled into one of three groups: controls (C),
acutely ill nonseptic illnesses, or patients with severe sepsis (SS) (lactate, >4
mmol/L; systolic blood pressure, <90 mmHg after 2 L normal saline). Peripheral
blood mononuclear cells were isolated and stained for extracellular markers for
defined subpopulations and for intracellular expression of GzmA and GzmB and
perforin. Levels of CE were quantified by geometric mean fluorescent intensity
(GMFI) via flow cytometry. Cytotoxic T lymphocyte (CTL) expression was higher in
SS (P = 0.04). The GMFI of GzmB was significantly higher in CTLs of SS patients
versus acutely ill nonseptic illnesses or C. The GMFI of each GzmA and GzmB in
CTLs were associated with the Acute Physiology and Chronic Health Evaluation II
score (P = 0.01). A significant increase in the number of granulocytes in the
peripheral blood mononuclear cells of SS patients consisted primarily of low
density neutrophils, which expressed increased levels of GzmA (P < 0.01). The
results suggest that CTLs are activated in SS and express significantly higher
intracellular levels of GzmB and that GzmA and B levels correlate with disease
severity.
PMID- 22089192
TI - Reduced peroxisome proliferator-activated receptor alpha expression is associated
with decreased survival and increased tissue bacterial load in sepsis.
AB - The peroxisome proliferator-activated receptor alpha (PPAR-alpha) is a member of
the nuclear receptor family with many important physiologic roles related to
metabolism and inflammation. Previous research in pediatric patients with septic
shock revealed that genes corresponding to the PPAR-alpha signaling pathway are
significantly downregulated in a subgroup of children with more severe disease.
In this study, PPAR-alpha expression analysis using whole-blood derived RNA
revealed that PPAR-alpha expression was decreased in patients with septic shock
and that the magnitude of that decrement correlated with the severity of disease.
In a mouse model of sepsis, induced by cecal ligation and puncture, knockout mice
lacking PPAR-alpha had decreased survival compared with wild-type animals. Plasma
cytokine analysis demonstrated decreased levels of interleukin 1beta (IL-1beta),
IL-6, IL-17, keratinocyte-derived cytokine, macrophage chemoattractant protein 1,
macrophage inflammatory protein 2, and tumor necrosis factor alpha at 24 h in
PPAR-alpha knockout animals. Cell surface markers of activation on splenic
dendritic cells, macrophages, and CD8 T cells were reduced in PPAR-alpha null
animals, and the bacterial load in lung and splenic tissues was increased. These
data indicate that reduced or absent PPAR-alpha expression confers a survival
disadvantage in sepsis and that PPAR-alpha plays a role in maintaining
appropriate immune functions during the sepsis response.
PMID- 22089194
TI - Paeonol attenuates microglia-mediated inflammation and oxidative stress-induced
neurotoxicity in rat primary microglia and cortical neurons.
AB - Inflammation and oxidative stress play important roles in the pathogenesis of
neurodegenerative disorders such as stroke, traumatic injury, Parkinson disease,
and Alzheimer disease. Paeonol, a natural compound extracted from Moutan cortex,
is a potent anti-inflammatory and antioxidative agent. The aim of this study was
to investigate the neuroprotective mechanisms of paeonol on lipopolysaccharide
(LPS)-induced inflammation in rat primary microglia and 6-hydroxydopamine-induced
oxidative damage in cortical neurons. In LPS-treated microglia, paeonol
attenuated the overexpression of inducible nitric oxide synthase and
cyclooxygenase 2, leading to the decrease in nitric oxide and prostaglandin E2
production, respectively. Paeonol also suppressed LPS-induced phosphorylation of
extracellular signal-regulated kinase and Jun N-terminal kinase. In addition, LPS
stimulated NADPH oxidase activation and reactive oxygen species production were
attenuated by paeonol. Paeonol-induced upregulation of heme oxygenase 1 was also
observed. Moreover, paeonol attenuated LPS-treated microglia culture medium
induced neuron cells death. Posttreatment with paeonol also reduced inflammatory
responses in LPS-activated microglia and increased cell viability in LPS-treated
microglia culture medium-treated neurons. Furthermore, in 6-hydroxydopamine
treated cortical neurons, paeonol not only decreased reactive oxygen species
production but also increased cell viability, superoxide dismutase activity, and
the antiapoptotic protein B-cell lymphoma 2 expression. Taken together, the
present results suggest that paeonol might be a potential neuroprotective agent
via inhibiting microglia-mediated inflammation and oxidative stress-induced
neuronal damage.
PMID- 22089195
TI - Acute effects of balanced versus unbalanced colloid resuscitation on renal
macrocirculatory and microcirculatory perfusion during endotoxemic shock.
AB - This study was designed to investigate the acute effects of balanced versus
unbalanced colloid resuscitation on renal macrocirculatory and microcirculatory
perfusions during lipopolysaccharide-induced endotoxemic shock in rats. We tested
the hypothesis that balanced colloid resuscitation would be better for the kidney
than unbalanced colloid resuscitation. Shock was induced by lipopolysaccharide
(10 mg/kg i.v. over 30 min). When mean arterial pressure (MAP) was decreased to
40 mmHg, fluid resuscitation was started with either hydroxyethyl starch
(HES130/0.42) dissolved in saline (HES-NaCl) as an unbalanced colloid solution or
HES130/0.42 dissolved in Ringer's acetate (HES-RA) as a balanced colloid
solution. Microvascular perfusion in the renal cortex was monitored using laser
speckle imaging, and in addition, systemic hemodynamics, renal artery blood flow
(RBF), and plasma ion levels were measured. Shock decreased MAP, led to anuria,
and worsened all other parameters. Hydroxyethyl starch-NaCl improved MAP (P >
0.05) but did not improve RBF (P > 0.05), metabolic acidosis (P > 0.05), and
plasma ion levels (P > 0.05). Hydroxyethyl starch-RA improved MAP (P < 0.05), RBF
(P < 0.05), and renal microvascular perfusion (P < 0.05), but did not improve
metabolic acidosis (P > 0.05) and plasma ion levels (P > 0.05). Both HES-NaCl and
HES-RA treatment could normalize creatinine clearance but not fractional sodium
excretion. In endotoxemic rats, balanced colloid (HES) resuscitation was shown to
be superior to unbalanced colloid resuscitation in terms of improvement of renal
macrovascular and microvascular perfusions. However, whether this results in
improved renal function in the long term warrants further study.
PMID- 22089196
TI - The decline of autophagy contributes to proximal tubular dysfunction during
sepsis.
AB - Severe sepsis associated with overproduction of tumor necrosis factor alpha and
reactive oxygen species leads to energy depletion and cellular damage. Both
reactive oxygen species and damaged organelles induce autophagy for recycling
nutrients to combat pathological stress. To study whether autophagy plays a
beneficial role in the pathogenesis of renal failure during sepsis, rats were
subjected to cecal ligation and puncture (CLP) or sham operation. Temporal
relationship of autophagy and renal dysfunction were examined in vivo. The
results showed that the level of lipidated microtubule-associated protein light
chain 3 (LC3-II), a marker of autophagy, elevated transiently at 3 h but declined
at 9 h until 18 h after CLP. Light chain 3 aggregation in renal tissue showed a
similar trend to the change of LC3-II protein. High levels of blood urea nitrogen
and creatinine as well as low tubular sodium reabsorption occurred at 18 h after
CLP. The distribution of autophagy located primarily in angiotensin-converting
enzyme-positive, which is concentrated in proximal tubule, but calbindin D28k
(calcium-binding protein D28K, a marker of distal tubule)-negative cells in renal
cortex. Therefore, NRK-52E (proximal tubule epithelial cell line) cells were used
to further examine cell viability and DNA fragmentation after silencing or
inducing autophagy. We found that knockdown of Atg7 (autophagy-related gene 7)
exaggerates, whereas preincubation of rapamycin (an autophagy inducer) diminishes
tumor necrosis factor alpha-induced cell death. These results suggest that the
decline of sepsis-induced autophagy contributes to the proximal tubular
dysfunction, and maintenance of sufficient autophagy prevents cell death. These
data open prospects for therapies that activate autophagy during sepsis.
PMID- 22089197
TI - beta-Catenin dynamics in the regulation of microvascular endothelial cell
hyperpermeability.
AB - beta-Catenin, a key regulator of barrier integrity, is an important component of
the adherens junctional complex. Although the roles of beta-catenin in
maintaining the adherens junctions and Wnt signaling are known, the dynamics of
beta-catenin following insult and its potential role in vascular recovery/repair
remain unclear. Our objective was to define beta-catenin's dynamics following
disruption of the adherens junctional complex and subsequent recovery. Rat lung
microvascular endothelial cells were treated with active caspase 3 enzyme, by
protein transference method, as an inducer of junctional damage and permeability.
The disruption and subsequent recovery of beta-catenin to the adherens junctions
were studied via immunofluorescence. Rat lung microvascular endothelial cell
monolayers were used to measure hyperpermeability. To understand the role of beta
catenin on nuclear translocation/transcriptional regulation in relationship to
the recovery of the adherens junctions, Tcf-mediated transcriptional activity was
determined. Active caspase 3 induced a loss of beta-catenin at the adherens
junctions at 1 and 2 h followed by its recovery at 3 h. Transference of Bak
peptide, an inducer of endogenous caspase 3 activation, induced hyperpermeability
at 1 h followed by a significant decrease at 2 h. Inhibition of GSK-3beta and the
transfection of beta-catenin vector increased Tcf-mediated transcription
significantly (P < 0.05). The dissociated adherens junctional protein beta
catenin translocates into the cytoplasm, resulting in microvascular
hyperpermeability followed by a time-dependent recovery and relocation to the
cell membrane. Our data suggest a recycling pathway for beta-catenin to the cell
junction.
PMID- 22089198
TI - Disruption of the mucosal barrier during gut ischemia allows entry of digestive
enzymes into the intestinal wall.
AB - Intestinal ischemia is associated with high morbidity and mortality, but the
underlying mechanisms are uncertain. We hypothesize that during ischemia the
intestinal mucosal barrier becomes disrupted, allowing digestive enzymes access
into the intestinal wall initiating autodigestion. We used a rat model of
splanchnic ischemia by occlusion of the superior mesenteric and celiac arteries
up to 30 min with and without luminal injection of tranexamic acid as a trypsin
inhibitor. We determined the location and activity of digestive proteases on
intestinal sections with in situ zymography, and we examined the disruption of
two components of the mucosal barrier: mucin isoforms and the extracellular and
intracellular domains of E cadherin with immunohistochemistry and Western blot
techniques. The results indicate that nonischemic intestine has low levels of
protease activity in its wall. After 15-min ischemia, protease activity was
visible at the tip of the villi, and after 30 min, enhanced activity was seen
across the full thickness of the intestinal wall. This activity was accompanied
by disruption of the mucin layer and loss of both intracellular and extracellular
domains of E cadherin. Digestive protease inhibition in the intestinal lumen with
tranexamic acid reduced morphological damage and entry of digestive enzymes into
the intestinal wall. This study demonstrates that disruption of the mucosal
epithelial barrier within minutes of intestinal ischemia allows entry of fully
activated pancreatic digestive proteases across the intestinal barrier triggering
autodigestion.
PMID- 22089199
TI - Protective effects of exogenous interleukin 18-binding protein in a rat model of
acute renal ischemia-reperfusion injury.
AB - Ischemia-reperfusion (I/R) renal injury is considered the most common cause of
acute kidney injury (AKI). The pathophysiology of I/R AKI involves a complex
interplay among tubular epithelial cell injury, microcirculation dysfunction, and
inflammation. Interleukin 18-binding protein (IL-18BP) is a natural inhibitor of
IL-18 a cytokine that plays an important role in the pathogenesis of AKI.
Therefore, we hypothesized that exogenous IL-18BP could protect against renal
injuries after kidney I/R. Male Sprague-Dawley rats were divided into three
groups: a sham operation group, I/R with vehicle injection, and I/R with IL-18BP
injection. Rats underwent bilateral renal pedicle clamping, and IL-18BP or
vehicle was administered just before reperfusion. Rats were killed 6, 24, and 72
h after reperfusion. After IL-18BP treatment, renal tubule epithelium showed
reduced apoptosis and enhanced proliferation. For peritubular capillary (PTC)
endothelium, apoptosis was inhibited, and there was an increase in PTC
endothelium density. Macrophage infiltration was inhibited, and inflammatory
cytokines were downregulated. Increased expression of vascular endothelial growth
factor and decreased expression of thrombospondin 1 were also observed. Exogenous
IL-18BP attenuated renal injury caused by I/R via inhibiting inflammation in the
renal tissue and protecting tubular epithelium and PTC endothelium.
PMID- 22089200
TI - Combined recombinant human activated protein C and ceftazidime prevent the onset
of acute respiratory distress syndrome in severe sepsis.
AB - This experimental animal study investigates the effects of combined recombinant
human activated protein C (rhAPC) and ceftazidime on cardiopulmonary function in
acute lung injury and severe sepsis. Twenty-one sheep (37 +/- 2 kg) were
operatively prepared and randomly allocated to either the sham, control, or
treatment group (n = 7 each). Single treatments of rhAPC or ceftazidime were
published previously; therefore, control groups were dispensed in the present
study, what may be considered a study limitation. Acute lung injury and sepsis
were induced according to an established protocol. The sham group received only
the vehicle. The sheep were studied in awake state for 24 h and mechanically
ventilated. Recombinant human APC (continuous infusion 24 MUg/kg per hour) and
ceftazidime (3-g bolus at 1 and 13 h) were intravenously administered. The
animals were fluid resuscitated with Ringer's lactate to maintain hematocrit at
baseline. Compared with injured controls, the treatment group had a significantly
higher PaO2/FIO2 ratio, and the onset of acute respiratory distress syndrome was
prevented. The increase in pulmonary microvascular shunt fraction and airway
obstruction in bronchi and bronchiole, as well as lung 3-nitrotyrosine, lung
myeloperoxidase, cardiac 3-nitrotyrosine, and cardiac malondialdehyde levels, was
significantly reduced as compared with controls (P < 0.05 each). Treated sheep
had significantly improved hemodynamics as reflected by mean arterial pressure,
heart rate, cardiac index, and systemic vascular resistance index (P < 0.05
each). In addition, plasma oncotic pressure and urine output were significantly
improved (P < 0.05 each). Combined rhAPC and ceftazidime significantly improved
cardiopulmonary function, reduced pulmonary and cardiac tissue injury, and
prevented the onset of acute respiratory distress syndrome in ovine severe sepsis
without obvious adverse effects.
PMID- 22089201
TI - Proinflammatory chemokines in the intestinal lumen contribute to intestinal
dysfunction during endotoxemia.
AB - Intestinal failure is common in patients with septic shock, with dysfunction of
the gut often manifesting as both a cause and consequence of their critical
illness. Most studies investigating the pathogenesis of intestinal failure focus
on the systemic aspect, although few data examine the inflammatory signaling in
the intestinal lumen. Having previously demonstrated apical/luminal chemokine
secretion in an in vitro model of intestinal inflammation, we hypothesized that
endotoxemia would induce secretion of proinflammatory chemokines into the
intestinal lumen. In addition, we examined the contribution of these mediators to
intestinal dysmotility. C57/BL6 male mice were injected intraperitoneally with
LPS. Serum, intestinal tissue, and intestinal luminal contents were harvested for
cytokine analysis. For intestinal motility studies, a transit assay was performed
after oral gavage of chemokines. Caco-2 cells grown on Transwell culture inserts
were used to examine the role of the intestinal epithelium in chemokine
secretion. Monocyte chemoattractant protein 1 (MCP-1/CCL2) and macrophage-derived
chemokine (MDC/CCL22) were secreted into the lumen of multiple segments of the
gut during endotoxemia in mice. In vitro work showed that the intestinal
epithelium participates in monocyte chemoattractant protein 1 and MDC secretion
and expresses the CCR2 and CCR4 receptors for these chemokines. Intestinal
transit studies show that oral gavage of MDC results in impaired gut motility.
This study demonstrates that the intestinal lumen is an active compartment in the
gut's inflammatory response. Proinflammatory chemokines are secreted into the
intestinal lumen during endotoxemia. These intraluminal chemokines contribute to
intestinal dysmotility, complicating intestinal failure.
PMID- 22089202
TI - The transcutaneous oxygen challenge test: a noninvasive method for detecting low
cardiac output in septic patients.
AB - The transcutaneous partial pressure of oxygen (PtcO2) index has been used to
detect low-flow state in circulatory failure, but the value of the transcutaneous
oxygen challenge test (OCT) to estimate low cardiac output has not been
thoroughly evaluated. The prospective observational study examined 62 septic
patients requiring PiCCO-Plus for cardiac output monitoring. Simultaneous basal
blood gases from the arterial, central venous catheters were obtained. Cardiac
indices were measured by the transpulmonary thermodilution technique at the same
time, then the 10-min inspired 1.0 fractional inspired oxygen concentration
(FIO2) defined as the OCT was performed. Transcutaneous partial pressure of
oxygen was measured continuously by using a noninvasive transcutaneous monitor
throughout the test. The values for arterial pressure of oxygen (PaO2) were
examined on inspired of 1.0 FIO2. We calculated the PtcO2 index = (baseline
PtcO2/baseline PaO2), 10-min OCT (10 OCT) = (PtcO2 after 10 min on inspired 1.0
O2) - (baseline PtcO2), and the oxygen challenge index = (10 OCT) / (PaO2 on
inspired 1.0 O2 - baseline PaO2). Patients were divided into two groups: a normal
cardiac index (CI) group with CI of greater than 3 L/min per m (n = 41) and a low
CI group with CI of 3 L/min per m or less (n = 21). The 10 OCT and the oxygen
challenge index predicted a low CI (<= 3 L/min per m) with an accuracy that was
similar to central venous oxygen saturation, which was significantly better than
the PtcO2 index. For a 10 OCT value of 53 mmHg, sensitivity was 0.83;
specificity, 0.86; a positive predictive value, 0.92; and a negative predictive
value, 0.72 for detecting CI of 3 L/min per m or less. We propose that the OCT
substituted for the PtcO2 index as an accurate alternative method of PtcO2 for
revealing low CI in septic patients.
PMID- 22089203
TI - Differential sensitivity to LPS-induced myocardial dysfunction in the isolated
brown Norway and Dahl S rat hearts: roles of mitochondrial function, NF-kappaB
activation, and TNF-alpha production.
AB - Recently, we reported that Brown Norway (BN) rats were more resistant to
lipopolysaccharide (LPS)-induced myocardial dysfunction than Dahl S (SS) rats.
This differential sensitivity was exemplified by reduced production of
proinflammatory cytokines and diminished nuclear factor-kappaB pathway
activation. To further clarify the mechanisms of different susceptibility of
these two strains to endotoxin, this study was designed to examine the
alterations of cardiac and mitochondrial bioenergetics, proinflammatory
cytokines, and signaling pathways after hearts were isolated and exposed to LPS
ex vivo. Isolated BN and SS hearts were perfused with LPS (4 MUg/mL) for 30 min
in the Langendorff preparation. Lipopolysaccharide depressed cardiac function as
evident by reduced left ventricular developed pressure and decreased peak rate of
contraction and relaxation in SS hearts but not in BN hearts. These findings are
consistent with our previous in-vivo data. Under complex I substrates, a higher
oxygen consumption and hydrogen peroxide (H2O2) production were observed in
mitochondria from SS hearts than those from BN hearts. Lipopolysaccharide
significantly increased H2O2 levels in both SS and BN heart mitochondria;
however, the increase in oxygen consumption and H2O2 production in BN heart
mitochondria was much lower than that in SS heart mitochondria. In addition, LPS
significantly decreased complex I activity in SS hearts but not in BN hearts.
Furthermore, LPS induced higher levels of tumor necrosis factor-alpha and
increased phosphorylation of IkappakappaB and p65 more in SS hearts than in BN
hearts. Our results clearly demonstrate that less mitochondrial dysfunction
combined with a reduced production of tumor necrosis factor-alpha and diminished
activation of nuclear factor-kappaB are involved in the mechanisms by which
isolated BN hearts were more resistant to LPS-induced myocardial dysfunction.
PMID- 22089204
TI - Homology in systemic neutrophil response induced by human experimental
endotoxemia and by trauma.
AB - The investigation of the trauma-induced innate immune responses is hampered by
the wide variability in patients, type of trauma, and environmental factors. To
circumvent this heterogeneity, we examined whether the systemic innate immune
response toward human experimental endotoxemia is similar to the response during
systemic inflammatory response syndrome after trauma. We tested the hypothesis
that the innate immune response to pathogen-associated molecular pattern (e.g.,
lipopolysaccharides [LPSs]) and danger-associated molecular pattern (as induced
by injury) leads to a comparable in vivo activation of human neutrophils.
Escherichia coli LPS (2 ng/kg) was injected intravenously in nine healthy
volunteers to induce a controlled systemic inflammatory response. Indices of
systemic inflammation in this human inflammation model were compared with those
of 12 trauma patients with a mean injury severity score of 19. Blood samples were
withdrawn at 3 and 24 h after LPS-challenge or injury. Blood samples of nine
healthy volunteers were used as control. Receptor expression was measured as
readout for neutrophil activation by flow cytometry. Endotoxemia and injury
resulted in a comparable activation phenotype of circulating neutrophils. This
phenotype was characterized by downregulation of chemokine receptors CXCR1 and
CXCR2 and of Fcgamma receptors II and III. A significant difference between both
conditions was seen in CD66b expression and for endotoxin resulted in an
increased CD66b expression, whereas injury did not. Neutrophil activation was
present 3 h after onset of inflammation, both during experimental endotoxemia as
well as in trauma patients. Endotoxin and trauma appear to induce a similar
neutrophil activation phenotype.
PMID- 22089205
TI - Hypertonic fluid administration in patients with septic shock: a prospective
randomized controlled pilot study.
AB - We assessed the short-term effects of hypertonic fluid versus isotonic fluid
administration in patients with septic shock. This was a double-blind,
prospective randomized controlled trial in a 15-bed intensive care unit. Twenty
four patients with septic shock were randomized to receive 250 mL 7.2% NaCl/6%
hydroxyethyl starch (HT group) or 500 mL 6% hydroxyethyl starch (IT group).
Hemodynamic measurements included mean arterial blood pressure (MAP), central
venous pressure, stroke volume index, stroke volume variation, intrathoracic
blood volume index, gastric tonometry, and sublingual microcirculatory flow as
assessed by sidestream dark field imaging. Systolic tissue Doppler imaging
velocities of the medial mitral annulus were measured using echocardiography to
assess left ventricular contractility. Log transformation of the ratio MAP
divided by the norepinephrine infusion rate (log MAP/NE) quantified the combined
effect on both parameters. Compared with the IT group, hypertonic solution
treatment resulted in an improvement in log MAP/NE (P = 0.008), as well as an
increase in systolic tissue Doppler imaging velocities (P = 0.03) and stroke
volume index (P = 0.017). No differences between the groups were found for
preload parameters (central venous pressure, stroke volume variation,
intrathoracic blood volume index) or for afterload parameters (systemic vascular
resistance index, MAP). Hypertonic solution treatment decreased the need for
ongoing fluid resuscitation (P = 0.046). No differences between groups were
observed regarding tonometry or the sublingual microvascular variables. In
patients with septic shock, hypertonic fluid administration did not promote
gastrointestinal mucosal perfusion or sublingual microcirculatory blood flow in
comparison to isotonic fluid. Independent of changes in preload or afterload,
hypertonic fluid administration improved the cardiac contractility and vascular
tone compared with isotonic fluid. The need for ongoing fluid resuscitation was
also reduced.
PMID- 22089206
TI - Panax quinquefolium saponins reduce myocardial hypoxia-reoxygenation injury by
inhibiting excessive endoplasmic reticulum stress.
AB - Excessive endoplasmic reticulum stress (ERS) disrupts protein translation,
protein folding, and calcium homeostasis and may contribute to ischemia
reperfusion injury. Saponins extracted from the stems and leaves of Panax
quinquefolium (PQS) protect rat myocardium against ischemia-reperfusion injury,
but it is not known if suppression of ERS contributes to cardioprotection.
Neonatal rat cardiomyocytes were subjected to hypoxia-reoxygenation (H-R) in the
presence of PQS or vehicle. Cell injury and apoptosis were assayed by trypan blue
exclusion, lactate dehydrogenase activity, and flow cytometry. In addition,
reverse transcriptase-polymerase chain reaction and Western blotting were used to
examine mRNA and protein expression of the ERS-related proteins glucose-regulated
protein 78, calreticulin, CCAAT/enhancer-binding protein homologous protein, and
caspase-12, as well as the apoptosis-associated proteins Bax and Bcl-2. We
confirmed that PQS protects cardiomyocytes from H-R-induced injury and apoptotic
cell death. Furthermore, PQS suppressed H-R-induced excessive ERS, as evidenced
by reduced caspase 12 activation and decreased glucose-regulated protein 78,
calreticulin, and CCAAT/enhancer-binding protein homologous protein
overexpression. These results indicated that PQS could alleviate H-R injury of
cardiomyocytes, which would be probably related to inhibiting excessive ERS
induced by H-R.
PMID- 22089207
TI - Immunology in the limelight.
PMID- 22089209
TI - Provocative exhibits at the Seventeen Gallery.
PMID- 22089210
TI - It takes two to tango: a new couple in the family of ubiquitin-editing complexes.
PMID- 22089211
TI - Beta-testing NKT cell self-reactivity.
PMID- 22089212
TI - A rescue gone wrong.
PMID- 22089213
TI - Turning transcription on or off with STAT5: when more is less.
PMID- 22089220
TI - Programmed necrosis: backup to and competitor with apoptosis in the immune
system.
AB - Programmed cell death is essential for the development and maintenance of the
immune system and its responses to exogenous and endogenous stimuli. Studies have
demonstrated that in addition to caspase-dependent apoptosis, necrosis dependent
on the kinases RIP1 and RIP3 (also called necroptosis) is a major programmed cell
death pathway in development and immunity. These two programmed cell-death
pathways may suppress each other, and necroptosis also serves as an alternative
when caspase-dependent apoptosis is inhibited or absent. Here we summarize recent
advancements that have identified the molecular mechanisms that underlie
necroptosis and explore the mechanisms that regulate the interplay between
apoptosis and necroptosis.
PMID- 22089221
TI - Validation of questionnaires to estimate adherence to the Mediterranean diet and
life habits in older individuals in Southern Spain.
AB - OBJECTIVE: The aim of the present study was to determine the nutritional
behaviour of an elderly urban population in Southern Spain, estimating their
degree of adherence to the Mediterranean. DIET DESIGN: A population-based cross
sectional nutritional survey, recruiting a representative sample of elderly
inhabitants. The study sample comprised 260 people. The mean age was 73.60 yrs
for the men and 72.25 yrs for the women. Around 70% lived with their family.
RESULTS: The questionnaires used were first validated by using the Bland-Altman
plot and the Wilcoxon test for paired samples. The degree of adherence to the
Mediterranean Diet was around 50%, similar to findings in other Mediterranean
populations. We highlight the mean consumption of milk and milk products (300-317
g/day) and of fruit/vegetables (250 g/day), which are slightly below
recommendations. Our study subjects were all autonomous in their movements and
were physically independent: 80% reported that they performed some type of
physical activity. CONCLUSION: In this study, both adherence to the Mediterranean
Diet and physical activity were considered as components of a healthy life. In
summary, a majority of this elderly population was slightly overweight,
considered themselves to be in good health.
PMID- 22089222
TI - The oldest old: red blood cell and plasma folate in African American and white
octogenarians and centenarians in Georgia.
AB - OBJECTIVE: To determine the overall folate status of a population-based multi
ethnic sample of octogenarians and centenarians and the specific dietary,
demographic and physiological factors associated with observed abnormalities.
DESIGN: Population-based multiethnic sample of adults aged 80 to 89 and 98 and
above. SETTING: Northern Georgia, USA. PARTICIPANTS: Men and women aged 80 to 89
(octogenarians, n = 77) and 98 and older (centenarians, n = 199). ANALYSES:
Wilcoxon rank sum tests, and Chi square and logistic regression analyses were
used to examine associations of low and high folate status with hematological
indicators and other variables of interest. RESULTS: The prevalence of low red
blood cell (RBC) folate was low overall, but tended to be higher in centenarians
than in octogenarians (6.5% vs. 1.3%, p = 0.058; defined as RBC folate < 317
nmol/L). The risk of having lower RBC folate (< 25th vs. > 25th percentile for
RBC folate for 60yr+ in NHANES 1999-2000) was greater in association with vitamin
B12 deficiency (OR = 5.36; 95%CI: 2.87-10.01), African American race (OR = 4.29;
95%CI: 2.08-8.83), and residence in a skilled nursing facility (OR = 3.25; 95%CI:
1.56-6.78) but was not influenced by age, gender, B-vitamin supplement use,
high/low food score or presence of atrophic gastritis. Combined high plasma
folate and low vitamin B12 status was present in some individuals (n=11), but was
not associated with increased prevalence of anemia or cognitive impairment in
this study. CONCLUSIONS: Low RBC folate status (< 317 nmol/L) was rare in this
post folic acid fortification sample of octogenarians and centenarians. RBC
folate status (< 25th percentile) was strongly associated with 1) vitamin B12
deficiency, which has strong implications for vitamin treatment, and 2) with
being African American, suggesting racial disparities exist even in the oldest
old.
PMID- 22089223
TI - Older people with diabetes have higher risk of depression, cognitive and
functional impairments: implications for diabetes services.
AB - OBJECTIVES: To examine the relationship between diabetes and impairments in
functional and cognitive status as well as depression in older people. DESIGN:
Cross-sectional study. SETTING: Elderly Health Centres (EHC) in Hong Kong.
PARTICIPANTS: 66,813 older people receiving baseline assessment at EHC in 1998 to
2001. MEASUREMENTS: Diabetes status was defined by self-report and blood glucose
tests. Functional status was assessed by 5 items of instrumental activities of
daily living (IADL) and 7 items of activities of daily living (ADL). Cognitive
status was screened by the Abbreviated Mental Test-Hong Kong version (AMT).
Depressive symptoms were screened by the Geriatric Depression Scale-Chinese
version (GDS). RESULTS: Among the subjects, 10.4% reported having regular
treatment for diabetes, 3.4% had diabetes but were not receiving regular
treatment, and 86.2% did not have diabetes. After controlling for age, sex and
education level, those having regular treatment for diabetes were 1.7 times more
likely (OR=1.65, 95% CI: 1.51-1.80) to have functional impairment, 1.3 times more
likely (OR=1.28, 95% CI: 1.11-1.48) to have cognitive impairment and 1.3 times
more likely (OR=1.35, 95% CI: 1.25-1.46) to have depression, than older people
without diabetes. CONCLUSION: Older people with diabetes may be less capable of
managing the disease than the younger ones as a result of increased risk of both
physical and cognitive impairment. This study provided further evidence for the
need of an international consensus statement regarding care of diabetes in older
people.
PMID- 22089224
TI - Oxidative stress, frailty and cognitive decline.
AB - The causes of frailty are complex and must be accepted as multidimensional based
on the interplay of genetic, biological, physical, psychological, social and
environmental factors, although inflammation and oxidative stress are two factors
that play an important role in the development of symptoms with those fragile
states. OBJECTIVE: to establish the relationship between oxidative stress,
frailty and decline cognitive. METHODS: A review of the literature and data
abstraction from papers are showing the relationship between a) oxidative stress
and frailty, b) oxidative stress and decline cognitive. RESULTS: The papers
reviewed showed that we can establish a relationship between the progress of
neurodegenerative disorders and increased oxidative stress. Also found in
frailty, that oxidative stress plays an important role as one of the starting
points for the appearance of permanent inflammatory states. CONCLUSIONS: Although
the literature indicates the relationship between oxidative stress, frailty and
decline cognitive, more studies are needed in this regard, especially
interventions that asses whether increased intake of antioxidants in older
frailty may improve the progress of disease and slow cognitive decline.
PMID- 22089225
TI - The effects of diet education plus light resistance training on coronary heart
disease risk factors in community-dwelling older adults.
AB - OBJECTIVES: To examine the effects of diet education (DE) plus light resistance
training (RT) on coronary heart disease risk (CHD) factors, specifically dietary
quality, blood lipid and C-Reactive protein (CRP) concentrations in overweight
and obese older adults in a community setting. DESIGN: Community outreach
intervention with a quasi-experimental design. PARTICIPANTS AND SETTING: A total
of 96 subjects, 16 males and 80 females, aged 69.2 +/- 6.2 years, community
dwelling, and from one of four senior centers in Rhode Island. INTERVENTION:
Subjects participated in 30 minutes of DE (once per week) and ~80 minutes of RT
(two separate sessions per week) for eight weeks. The DE sessions were led by a
registered dietitian. MEASUREMENTS: Anthropometrics (height, weight, waist
circumference, hip circumference, and body composition), clinical (blood
pressure), biochemical (lipid profile, glucose, and CRP concentrations), and diet
quality measured by the Dietary Screening Tool (DST). RESULTS: A significant
change was seen in DST risk categories from baseline to post-intervention, chi2
(2)=20.43, p < 0.01. Significant differences were seen in triacylglycerol
(p=0.028) as well as in systolic and diastolic blood pressures, weight, waist
circumference, hip circumference, percent body fat, fat mass, and body mass index
(all p<0.05). CONCLUSION: This intervention effectively decreased CHD risk in
overweight and obese older adults. Future research is needed to examine the
effects of longer DE plus RT interventions with greater weight loss on the lipid
profile and CRP concentrations in overweight and obese older adults at risk for
CHD.
PMID- 22089226
TI - Nutrition risk factors among home delivered and congregate meal participants:
need for enhancement of nutrition education and counseling among home delivered
meal participants.
AB - OBJECTIVE: The short-term impact of nutrition education and counseling
intervention on nutrition risk factors among home delivered (HDM) and congregate
(CGM) meal participants using Nutrition Survey Risk Screening was studied.
DESIGN: A two-year intervention was conducted with 355 participants (n=259 CGM,
n=96 HDM). Various nutrition behaviors that affect the nutrition risk score were
compared. SETTING: Congregate and home delivered meal locations in a northern
county of New Jersey. PARTICIPANTS: CGM and HDM participants in a northern county
of New Jersey age 60 and older. INTERVENTION: CGM participants received regular
topical nutrition education and counseling in a classroom format with cooking
demo, discussion, and handouts. The HDM participants only received the printed
material (same handouts) and counseling by telephone. MEASUREMENTS: Demographics,
medical condition, risk factors data were collected. All participants completed
the 12 items checklist Nutrition Survey Risk Screening. Nutritional behaviors
assessed include number of meals eaten per day, servings of fruits and vegetables
and nutrition risk score. A score of 6 or more points was defined as persons at
high risk nutritionally. The impact of the intervention was evaluated using
ANOVA/chi-square on Nutrition Survey Risk Screening. RESULTS: Nutrition education
and counseling intervention improved nutrition risk scores; 5.76 to 5.32 (p=0.14)
in CGM, 8.1 to 6.1 (p<0.01) in HDM. A slight improvement in nutrition behaviors
was noted: eating >= 2 meals in HDM (76 to 81.6 %, p= .310), eating >= 5 servings
of fruits and vegetables in CGM (38 to 41.4 %, p=. 398). CONCLUSION: Appropriate
nutrition intervention for both HDM and CGM participants can improve health
condition and delay chronic diseases. HDM participants need to be a primary focus
for more effective nutrition education and counseling.
PMID- 22089227
TI - Senile anorexia in different geriatric settings in Italy.
AB - OBJECTIVES: Anorexia is the most frequent modification of eating habits in old
age, which may lead to malnutrition and consequent morbidity and mortality in
older adults. We aimed to estimate the prevalence and factors associated to
anorexia in a sample of Italian older persons living in different settings. Our
secondary aim was to evaluate the impact of senile anorexia on nutritional status
and on eating habits, as well as on functional status. DESIGN AND SETTING:
Observational study in nursing homes, in rehabilitation and acute geriatric
wards, and in the community in four Italian regions (Lazio, Sicily, Emilia
Romagna, and Veneto). PARTICIPANTS: 526 over 65 years old participants were
recruited; 218 free-living subjects, 213 from nursing homes, and 96 patients from
rehabilitation and acute geriatric wards in the context of a National Research
Project (PRIN) from the Italian Ministry of Instruction, University and Research
(2005-067913 "Cause e Prevalenza dell'Anoressia senile"). MEASUREMENTS:
Anthropometric and nutritional evaluation, olfactory, chewing, and swallowing
capacity, food preferences, cognitive function, functional status, depression,
quality of life, social aspects, prescribed drugs, and evaluation of
gastrointestinal symptoms and pain. Laboratory parameters included prealbumin,
albumin, transferrin, C-reactive protein, mucoprotein, lymphocyte count, as well
as neurotransmitters leptin, and ghrelin. Anorexia was considered as >=50%
reduction in food intake vs. a standard meal (using 3-day "Club Francophone de
Geriatrie et Nutrition" form), in absence of oral disorders preventing
mastication. RESULTS: The overall prevalence of anorexia was 21.2% with higher
values among hospitalized patients (34.1% women and 27.2% men in long-term
facilities; 33.3% women and 26.7% men in rehabilitation and geriatric wards; 3.3%
women and 11.3% men living in the community) and in the oldest persons. Anorexic
subjects were significantly less self-sufficient and presented more often a
compromised nutritional and cognitive status. Diet composition analyses of
anorexic older adults revealed a lower intake of all food groups and a general
tendency to a monotonous diet. CONCLUSION: Anorexia is a frequent condition in
older Italians, particularly those hospitalized, with important consequences in
the nutritional and functional status. The analysis of dietary components and its
quality along with the frequency of intake of single food groups may be useful to
plan intervention strategies aiming to improve the nutritional and health status
of older adults with anorexia. An early detection of anorexia followed by an
adequate intervention in older hospitalized patients to avoid further worsening
of clinical and functional status is warranted.
PMID- 22089228
TI - Definition of frailty in older men according to questionnaire data (RAND-36/SF
36): The Helsinki Businessmen Study.
AB - OBJECTIVE: To explore the association of frailty according to questionnaire data
(modified Fried criteria) with important endpoints in older men. DESIGN AND
SETTING: Prospective cohort study (the Helsinki Businessmen Study) in Finland.
PARTICIPANTS AND MEASUREMENTS: In 1974, clinically healthy men (born 1919-1934,
n=1815) of similar socioeconomic status were identified. After a 26-year follow
up in 2000 (mean age 73 years), disease prevalence, mobility-disability, and
frailty status (80.9% of survivors, n=1125) were appraised using a postal
questionnaire including RAND-36. Four criteria were used for definition: 1) >5%
weight loss from midlife, or body mass index (BMI) <21 kg/m2; 2) reported
physical inactivity; 3) low vitality (RAND-36); 4) physical weakness (RAND-36).
Responders with 3-4, 1-2, and zero criteria were classified as frail (n=108),
prefrail (n=567), and nonfrail (n=450), respectively. Eight-year mortality was
assessed from registers, and in 2007, survivors were re-assessed with
questionnaires. RESULTS: Nonfrail as referent and adjusted for age, BMI and
smoking, both prefrail (HR 2.26; 95% CI, 1.57-3.26), and frail status (4.09; 95%
CI, 2.60-6.44) were significant predictors of mortality. Nonfrailty predicted
better survival independently of the frailty components, diseases, and
disability, and also predicted faster walking speed and less disability 7 years
later. CONCLUSIONS: Frailty, and also prefrailty, as defined using questionnaire
data (RAND-36) independently predicted important endpoints in older men.
PMID- 22089229
TI - Prevalence of at-risk drinking among older adults and associated sociodemographic
and health-related factors.
AB - OBJECTIVES: Recognition of alcohol-related health problems in the elderly is
challenging. Alcohol use also tends to be a hidden issue. The aim of this study
was to examine the prevalence and at-risk drinking patterns in community-dwelling
older adults and their associations with socio-demographic and health-related
factors. DESIGN: The data were collected with a postal questionnaire from a
random sample of 2100 elderly people (>= 65 years) living in the medium-sized
city of Espoo, Finland. The response rate was 71.6% from the community-dwelling
sample. We defined the amount of at-risk drinking as 1) consuming >7 drinks per
week or 2) >5 drinks on a typical drinking day or 3) using >3 drinks several
times per week. RESULTS: Of the respondents, 8.2% (N=114) were at-risk drinkers.
At-risk drinking was associated with younger age and male sex, higher level of
education, good income, living with a spouse, and current smoking. In addition,
good functioning was associated with at-risk drinking. Although frequency and
quantity of alcohol consumption declined with age, of our respondents nearly one
fifth of men aged 71-80 years and one-tenth of men aged 81-90 years could be
classified as at-risk drinkers. At-risk drinkers had comorbidities and multiple
medications as often as non-risk group. A significantly larger proportion of the
at-risk drinking group relative to the non-risk group admitted falling or
injuring themselves (5.3% vs. 0.7%) or forgotten to take their medications
because of the use of alcohol. CONCLUSIONS: At-risk drinking is prevalent among
older adults, particularly among males, despite prevalent comorbidities and
multiple medications. At-risk drinking is associated with adverse events such as
a tendency for injuries.
PMID- 22089230
TI - Frequency of dairy consumption and functional disability in older persons.
AB - OBJECTIVES: To examine the association between dairy products consumption
frequency and functional disability in older persons. DESIGN: Data were from the
2005 Korea National Health and Nutrition Examination Survey (KNHANES), consisting
of 747 (324 men, 423 women) aged 65 years and older living in the community.
Frequencies of milk and milk products consumption were obtained using a food
frequency questionnaire and functional disability was assessed using the
instrumental activities of daily living (IADL) and ADL scales. Logistic
regression analyses were conducted to examine the association of dairy
consumption frequency with IADL and ADL disability. RESULTS: In men, dietary
intake of dairy products was associated with a significantly reduced risk of IADL
disability after controlling for known functional disability risk factors and
other dietary factors (p for trend, 0.038). Compared with men who consumed dairy
products < 1 time/week, those who consumed >= 1 time/day had a reduced risk of
IADL disability (odds ratio [OR], 0.35; 95% confidence interval [CI], 0.13-0.91).
Meanwhile, milk and milk products consumption was not significantly associated
with ADL disability. In women, dairy products consumption was not significantly
associated with physical disability. CONCLUSION: Frequent milk and milk products
consumption is inversely associated with IADL disability in older men. Further
research is needed to ascertain the protective effect of dairy products
consumption on functional disability in older persons.
PMID- 22089231
TI - Are exploited fish populations stable?
PMID- 22089234
TI - What is the appropriate description level for synaptic plasticity?
PMID- 22089233
TI - Microbial methane production in oxygenated water column of an oligotrophic lake.
AB - The prevailing paradigm in aquatic science is that microbial methanogenesis
happens primarily in anoxic environments. Here, we used multiple complementary
approaches to show that microbial methane production could and did occur in the
well-oxygenated water column of an oligotrophic lake (Lake Stechlin, Germany).
Oversaturation of methane was repeatedly recorded in the well-oxygenated upper 10
m of the water column, and the methane maxima coincided with oxygen
oversaturation at 6 m. Laboratory incubations of unamended epilimnetic lake water
and inoculations of photoautotrophs with a lake-enrichment culture both led to
methane production even in the presence of oxygen, and the production was not
affected by the addition of inorganic phosphate or methylated compounds. Methane
production was also detected by in-lake incubations of lake water, and the
highest production rate was 1.8-2.4 nM?h(-1) at 6 m, which could explain 33-44%
of the observed ambient methane accumulation in the same month. Temporal and
spatial uncoupling between methanogenesis and methanotrophy was supported by
field and laboratory measurements, which also helped explain the oversaturation
of methane in the upper water column. Potentially methanogenic Archaea were
detected in situ in the oxygenated, methane-rich epilimnion, and their attachment
to photoautotrophs might allow for anaerobic growth and direct transfer of
substrates for methane production. Specific PCR on mRNA of the methyl coenzyme M
reductase A gene revealed active methanogenesis. Microbial methane production in
oxygenated water represents a hitherto overlooked source of methane and can be
important for carbon cycling in the aquatic environments and water to air methane
flux.
PMID- 22089235
TI - Brassica juncea plant cadmium resistance 1 protein (BjPCR1) facilitates the
radial transport of calcium in the root.
AB - Calcium (Ca) is an important structural component of plant cell walls and an
intracellular messenger in plants and animals. Therefore, plants tightly control
the balance of Ca by regulating Ca uptake and its transfer from cell to cell and
organ to organ. Here, we propose that Brassica juncea PCR1 (PCR1), a member of
the plant cadmium resistance (PCR) protein family in Indian mustard, is a Ca(2+)
efflux transporter that is required for the efficient radial transfer of Ca(2+)
in the root and is implicated in the translocation of Ca to the shoot. Knock-down
lines of BjPCR1 were greatly stunted and translocated less Ca to the shoot than
did the corresponding WT. The localization of BjPCR1 to the plasma membrane and
the preferential expression of BjPCR1 in the root epidermal cells of WT plants
suggest that BjPCR1 antisense plants could not efficiently transfer Ca(2+) from
the root epidermis to the cells located inside the root. Protoplasts isolated
from BjPCR1 antisense lines had lower Ca(2+) efflux activity than did those of
the WT, and membrane vesicles isolated from BjPCR1-expressing yeast exhibited
increased Ca(2+) transport activity. Inhibitor studies, together with theoretical
considerations, indicate that BjPCR1 exports one Ca(2+) in exchange for three
protons. Root hair-specific expression of BjPCR1 in Arabidopsis results in plants
that exhibit increased Ca(2+) resistance and translocation. In conclusion, our
data support the hypothesis that BjPCR1 is an exporter required for the
translocation of Ca(2+) from the root epidermis to the inner cells, and
ultimately to the shoot.
PMID- 22089232
TI - A biophysically-based neuromorphic model of spike rate- and timing-dependent
plasticity.
AB - Current advances in neuromorphic engineering have made it possible to emulate
complex neuronal ion channel and intracellular ionic dynamics in real time using
highly compact and power-efficient complementary metal-oxide-semiconductor (CMOS)
analog very-large-scale-integrated circuit technology. Recently, there has been
growing interest in the neuromorphic emulation of the spike-timing-dependent
plasticity (STDP) Hebbian learning rule by phenomenological modeling using CMOS,
memristor or other analog devices. Here, we propose a CMOS circuit implementation
of a biophysically grounded neuromorphic (iono-neuromorphic) model of synaptic
plasticity that is capable of capturing both the spike rate-dependent plasticity
(SRDP, of the Bienenstock-Cooper-Munro or BCM type) and STDP rules. The iono
neuromorphic model reproduces bidirectional synaptic changes with NMDA receptor
dependent and intracellular calcium-mediated long-term potentiation or long-term
depression assuming retrograde endocannabinoid signaling as a second coincidence
detector. Changes in excitatory or inhibitory synaptic weights are registered and
stored in a nonvolatile and compact digital format analogous to the discrete
insertion and removal of AMPA or GABA receptor channels. The versatile Hebbian
synapse device is applicable to a variety of neuroprosthesis, brain-machine
interface, neurorobotics, neuromimetic computation, machine learning, and neural
inspired adaptive control problems.
PMID- 22089236
TI - Cooking clue to human dietary diversity.
PMID- 22089237
TI - Constitutive coupling of a naturally occurring human alpha1a-adrenergic receptor
genetic variant to EGFR transactivation pathway.
AB - We previously identified a naturally occurring human SNP, G247R, in the third
intracellular loop of the alpha(1a)-adrenergic receptor (alpha(1a)-247R) and
demonstrated that constitutive expression of alpha(1a)-247R results in twofold
increased cell proliferation compared with WT. In the present study we elucidate
molecular mechanisms and signal transduction pathways responsible for increased
cell proliferation unique to alpha(1a)-247R, but not alpha(1a)-WT, alpha(1b), or
alpha(1d)AR subtypes. We show that elevated levels of matrix metalloproteinase-7
(MMP7) and a disintegrin and metalloproteinase-12 (ADAM12) in alpha(1a)-247R
expressing cells are responsible for EGF receptor (EGFR) transactivation,
downstream ERK activation, and increased cell proliferation; this pathway is
confirmed using MMP, EGFR, and ERK inhibitors. We demonstrate that EGFR
transactivation and downstream ERK activation depends on increased shedding of
heparin-binding EGF. Finally, we demonstrate that knockdown of MMP7 or beta
arrestin1 by shRNAs results in attenuation of proliferation of cells expressing
alpha(1a)-247R. Importantly, accelerated cell proliferation triggered by the
alpha(1a)-247R is serum- and agonist-independent, providing unique evidence for
constitutive active coupling to the beta-arrestin1/MMP/EGFR transactivation
pathway by any G protein-coupled receptor. These findings raise the possibility
of a previously unexplored mechanism for sympathetically mediated human
hypertension triggered by a naturally occurring human genetic variant.
PMID- 22089238
TI - Profile of V. Craig Jordan.
PMID- 22089239
TI - Agonist-induced PKC phosphorylation regulates GluK2 SUMOylation and kainate
receptor endocytosis.
AB - The surface expression and regulated endocytosis of kainate (KA) receptors (KARs)
plays a critical role in neuronal function. PKC can modulate KAR trafficking, but
the sites of action and molecular consequences have not been fully characterized.
Small ubiquitin-like modifier (SUMO) modification of the KAR subunit GluK2
mediates agonist-evoked internalization, but how KAR activation leads to GluK2
SUMOylation is unclear. Here we show that KA stimulation causes rapid
phosphorylation of GluK2 by PKC, and that PKC activation increases GluK2
SUMOylation both in vitro and in neurons. The intracellular C-terminal domain of
GluK2 contains two predicted PKC phosphorylation sites, S846 and S868, both of
which are phosphorylated in response to KA. Phosphomimetic mutagenesis of S868
increased GluK2 SUMOylation, and mutation of S868 to a nonphosphorylatable
alanine prevented KA-induced SUMOylation and endocytosis in neurons. Infusion of
SUMO-1 dramatically reduced KAR-mediated currents in HEK293 cells expressing WT
GluK2 or nonphosphorylatable S846A mutant, but had no effect on currents mediated
by the S868A mutant. These data demonstrate that agonist activation of GluK2
promotes PKC-dependent phosphorylation of S846 and S868, but that only S868
phosphorylation is required to enhance GluK2 SUMOylation and promote endocytosis.
Thus, direct phosphorylation by PKC and GluK2 SUMOylation are intimately linked
in regulating the surface expression and function of GluK2-containing KARs.
PMID- 22089240
TI - Delayed cord clamping and improved infant outcomes.
PMID- 22089241
TI - Is it unethical for doctors to encourage healthy adults to donate a kidney to a
stranger? No.
PMID- 22089243
TI - Is it unethical for doctors to encourage healthy adults to donate a kidney to a
stranger? Yes.
PMID- 22089242
TI - Effect of delayed versus early umbilical cord clamping on neonatal outcomes and
iron status at 4 months: a randomised controlled trial.
AB - OBJECTIVE: To investigate the effects of delayed umbilical cord clamping,
compared with early clamping, on infant iron status at 4 months of age in a
European setting. DESIGN: Randomised controlled trial. SETTING: Swedish county
hospital. PARTICIPANTS: 400 full term infants born after a low risk pregnancy.
INTERVENTION: Infants were randomised to delayed umbilical cord clamping (>= 180
seconds after delivery) or early clamping (<= 10 seconds after delivery). MAIN
OUTCOME MEASURES: Haemoglobin and iron status at 4 months of age with the power
estimate based on serum ferritin levels. Secondary outcomes included neonatal
anaemia, early respiratory symptoms, polycythaemia, and need for phototherapy.
RESULTS: At 4 months of age, infants showed no significant differences in
haemoglobin concentration between the groups, but infants subjected to delayed
cord clamping had 45% (95% confidence interval 23% to 71%) higher mean ferritin
concentration (117 MUg/L v 81 MUg/L, P < 0.001) and a lower prevalence of iron
deficiency (1 (0.6%) v 10 (5.7%), P = 0.01, relative risk reduction 0.90; number
needed to treat = 20 (17 to 67)). As for secondary outcomes, the delayed cord
clamping group had lower prevalence of neonatal anaemia at 2 days of age (2
(1.2%) v 10 (6.3%), P = 0.02, relative risk reduction 0.80, number needed to
treat 20 (15 to 111)). There were no significant differences between groups in
postnatal respiratory symptoms, polycythaemia, or hyperbilirubinaemia requiring
phototherapy. CONCLUSIONS: Delayed cord clamping, compared with early clamping,
resulted in improved iron status and reduced prevalence of iron deficiency at 4
months of age, and reduced prevalence of neonatal anaemia, without demonstrable
adverse effects. As iron deficiency in infants even without anaemia has been
associated with impaired development, delayed cord clamping seems to benefit full
term infants even in regions with a relatively low prevalence of iron deficiency
anaemia. Trial registration Clinical Trials NCT01245296.
PMID- 22089244
TI - Acquired Omenn-like syndrome, a novel posttransplant autoaggression syndrome
reversed by rapamycin.
AB - Graft-versus-host disease is uncommon in autologous hematopoietic cell
transplantation (HCT) and is typically brief and mild. We report unusual,
protracted, and severe Omenn syndrome-like autoaggression following autologous
HCT. We identified a profound FOXP3(+) regulatory T cell defect that coincided
with hyperinflammatory T cell responses which were reversible with rapamycin in
vitro.
PMID- 22089245
TI - Anthrax vaccine antigen-adjuvant formulations completely protect New Zealand
white rabbits against challenge with Bacillus anthracis Ames strain spores.
AB - In an effort to develop an improved anthrax vaccine that shows high potency, five
different anthrax protective antigen (PA)-adjuvant vaccine formulations that were
previously found to be efficacious in a nonhuman primate model were evaluated for
their efficacy in a rabbit pulmonary challenge model using Bacillus anthracis
Ames strain spores. The vaccine formulations include PA adsorbed to Alhydrogel,
PA encapsulated in liposomes containing monophosphoryl lipid A, stable liposomal
PA oil-in-water emulsion, PA displayed on bacteriophage T4 by the intramuscular
route, and PA mixed with Escherichia coli heat-labile enterotoxin administered by
the needle-free transcutaneous route. Three of the vaccine formulations
administered by the intramuscular or the transcutaneous route as a three-dose
regimen induced 100% protection in the rabbit model. One of the formulations,
liposomal PA, also induced significantly higher lethal toxin neutralizing
antibodies than PA-Alhydrogel. Even 5 months after the second immunization of a
two-dose regimen, rabbits vaccinated with liposomal PA were 100% protected from
lethal challenge with Ames strain spores. In summary, the needle-free skin
delivery and liposomal formulation that were found to be effective in two
different animal model systems appear to be promising candidates for next
generation anthrax vaccine development.
PMID- 22089246
TI - Optimized adenovirus-antibody complexes stimulate strong cellular and humoral
immune responses against an encoded antigen in naive mice and those with
preexisting immunity.
AB - The immune response to recombinant adenoviruses is the most significant
impediment to their clinical use for immunization. We test the hypothesis that
specific virus-antibody combinations dictate the type of immune response
generated against the adenovirus and its transgene cassette under certain
physiological conditions while minimizing vector-induced toxicity. In vitro and
in vivo assays were used to characterize the transduction efficiency, the T and B
cell responses to the encoded transgene, and the toxicity of 1 * 10(11)
adenovirus particles mixed with different concentrations of neutralizing
antibodies. Complexes formed at concentrations of 500 to 0.05 times the 50%
neutralizing dose (ND(50)) elicited strong virus- and transgene-specific T cell
responses. The 0.05-ND(50) formulation elicited measurable anti-transgene
antibodies that were similar to those of virus alone (P = 0.07). This preparation
also elicited very strong transgene-specific memory T cell responses (28.6 +/-
5.2% proliferation versus 7.7 +/- 1.4% for virus alone). Preexisting immunity
significantly reduced all responses elicited by these formulations. Although
lower concentrations (0.005 and 0.0005 ND(50)) of antibody did not improve
cellular and humoral responses in naive animals, they did promote strong cellular
(0.005 ND(50)) and humoral (0.0005 ND(50)) responses in mice with preexisting
immunity. Some virus-antibody complexes may improve the potency of adenovirus
based vaccines in naive individuals, while others can sway the immune response in
those with preexisting immunity. Additional studies with these and other virus
antibody ratios may be useful to predict and model the type of immune responses
generated against a transgene in those with different levels of exposure to
adenovirus.
PMID- 22089247
TI - Prophylactic and therapeutic vaccination using dendritic cells primed with
peptide 10 derived from the 43-kilodalton glycoprotein of Paracoccidioides
brasiliensis.
AB - Vaccination with peptide 10 (P10), derived from the Paracoccidioides brasiliensis
glycoprotein 43 (gp43), induces a Th1 response that protects mice in an
intratracheal P. brasiliensis infection model. Combining P10 with complete
Freund's adjuvant (CFA) or other adjuvants further increases the peptide's
antifungal effect. Since dendritic cells (DCs) are up to 1,000-fold more
efficient at activating T cells than CFA, we examined the impact of P10-primed
bone-marrow-derived DC vaccination in mice. Splenocytes from mice immunized with
P10 were stimulated in vitro with P10 or P10-primed DCs. T cell proliferation was
significantly increased in the presence of P10-primed DCs compared to the
peptide. The protective efficacy of P10-primed DCs was studied in an
intratracheal P. brasiliensis model in BALB/c mice. Administration of P10-primed
DCs prior to (via subcutaneous vaccination) or weeks after (via either
subcutaneous or intravenous injection) P. brasiliensis infection decreased
pulmonary damage and significantly reduced fungal burdens. The protective
response mediated by the injection of primed DCs was characterized mainly by an
increased production of gamma interferon (IFN-gamma) and interleukin 12 (IL-12)
and a reduction in IL-10 and IL-4 compared to those of infected mice that
received saline or unprimed DCs. Hence, our data demonstrate the potential of P10
primed DCs as a vaccine capable of both the rapid protection against the
development of serious paracoccidioidomycosis or the treatment of established P.
brasiliensis disease.
PMID- 22089248
TI - Poly-gamma-glutamic acid nanoparticles and aluminum adjuvant used as an adjuvant
with a single dose of Japanese encephalitis virus-like particles provide
effective protection from Japanese encephalitis virus.
AB - To maintain immunity against Japanese encephalitis virus (JEV), a formalin
inactivated Japanese encephalitis (JE) vaccine should be administered several
times. The repeated vaccination is not helpful in the case of a sudden outbreak
of JEV or when urgent travel to a high-JEV-risk region is required; however,
there are few single-injection JE vaccine options. In the present study, we
investigated the efficacy of a single dose of a new effective JE virus-like
particle preparation containing the JE envelope protein (JE-VLP). Although single
administration with JE-VLP protected less than 50% of mice against lethal JEV
infection, adding poly(gamma-glutamic acid) nanoparticles (gamma-PGA-NPs) or
aluminum adjuvant (alum) to JE-VLP significantly protected more than 90% of the
mice. A single injection of JE-VLP with either gamma-PGA-NPs or alum induced a
significantly greater anti-JEV neutralizing antibody titer than JE-VLP alone. The
enhanced titers were maintained for more than 6 months, resulting in long-lasting
protection of 90% of the immunized mice. Although the vaccine design needs
further modification to reach 100% protection, a single dose of JE-VLP with gamma
PGA-NPs may be a useful step in developing a next-generation vaccine to stop a JE
outbreak or to immunize travelers or military personnel.
PMID- 22089249
TI - Influence of dietary sodium modulation on electrocardiographic voltage criteria
for left ventricular hypertrophy in normotensive individuals.
AB - OBJECTIVE: Dietary sodium intake and left ventricular hypertrophy (LVH) on
electrocardiogram (ECG) are both independent determinants of cardiovascular risk.
Prior studies demonstrated that acute dietary sodium modulation significantly
altered LVH-specific ECG voltage in hypertensive individuals, thus modifying
cardiovascular risk prediction; but whether this phenomenon exists in
normotensive individuals is not known. We evaluated the influence of dietary
sodium intake on ECG voltage and ECG criteria for LVH in normotensive
individuals. METHODS: Retrospective evaluation of ECGs of healthy normotensive
individuals (n = 39) who were prospectively randomized to a dietary study
protocol of 1 week of high-sodium diet (>200 mmol of sodium per day) and 1 week
of low-sodium diet (<10 mmol/d) was conducted. Electrocardiogram voltage
amplitudes and biochemical assessments were performed at the end of each dietary
intervention. RESULTS: As expected, blood pressure declined and measures of
circulating renin-angiotensin-aldosterone system activity rose significantly with
low-sodium diet. No significant changes in specific LVH voltage criteria or
overall precordial or limb lead ECG voltage amplitudes were detected between
diets. CONCLUSION: Although immediate dietary sodium modulation has been shown to
significantly alter LVH-specific ECG voltage and the detection of LVH in
hypertensive individuals, dietary sodium intake did not influence ECG voltage in
normotensive individuals. Healthy normotensive individuals may exhibit adaptive
measures that dampen ECG voltage fluctuations in response to dietary sodium
modulation. More specific cardiac imaging studies may provide additional insight
into this observation and the influence of dietary sodium in cardiac health.
PMID- 22089250
TI - Properties and structure of interfacial layers formed by hydrophilic silica
dispersions and palmitic acid.
AB - The properties and structure of different types of interfacial layers obtained
from aqueous dispersions of nanometric silica and palmitic acid (PA) have been
studied and characterized by different diagnostics and measurements. The
investigations concern PA monolayers spread on the silica dispersions,
dispersions in contact with PA solutions in oil and silica dispersions containing
PA, aiming at elucidating the role of the PA interaction with the particles and
investigating the surface-activity of the originated silica-PA complexes. Drop
shape tensiometry was utilized to measure the dynamic surface and interfacial
tension while a Langmuir trough apparatus was used to obtain compression
isotherms of the spread PA layers and to measure the dilational viscoelasticity
according to the oscillating barrier method. Brewster angle microscopy and
ellipsometry were utilized to investigate the lateral and vertical structure of
the interfacial layers. From this multifold approach emerges a complex picture of
the features of these interfacial layers that can be rationalized on the basis of
the adsorption of PA on the particle surface. The results evidence a threshold in
PA adsorption above which particles change from hydrophilic to partially
hydrophobic, promoting their incorporation into the interfacial layer.
PMID- 22089252
TI - Presence of minimal change esophagitis closely correlates with pathological
conditions in the stomach.
AB - INTRODUCTION: It is suggested that minimal change (grade M) esophagitis is a
spectrum of gastric acid reflux disease. We evaluated the clinical significance
of grade M esophagitis, including its subtypes (reddish change: MR and whitish
change: MW), especially with attempt to pathological conditions in the stomach
that relates to gastric acid secretion. MATERIALS AND METHODS: Using 241 subjects
undergoing esophagogastroduodenoscopy for various indications, we investigated
the association between grade M esophagitis with histological and serological
severity of gastritis and endoscopic degree of atrophy. We also examined its
association with ulcer diseases and various symptoms. RESULTS: When grade M cases
were divided into MR and MW, all MR cases had MW in considerable degrees.
Dyspeptic symptoms were more likely to be associated with H. pylori negative
grade M cases, while presence of duodenal ulcer and its scar were associated with
Helicobacter pylori-positive grade M cases. In all subjects, histological
parameters, especially in the corpus, were lower in grade M cases compared to
normal appearance. In grade M cases, degree of acute and chronic inflammation,
and atrophy in corpus were lowest in cases that have grade MR. Grade M cases were
also associated with higher pepsinogen I/II ratio and lower endoscopic atrophy.
CONCLUSIONS: Pathological conditions of the stomach relate to higher gastric acid
secretion correlates with grade M esophagitis. In grade M cases, appearance of MR
may reflect higher gastric acid secretion or severe acid reflux than cases that
have grade MW only.
PMID- 22089251
TI - Understanding biomolecular motion, recognition, and allostery by use of
conformational ensembles.
AB - We review the role conformational ensembles can play in the analysis of
biomolecular dynamics, molecular recognition, and allostery. We introduce
currently available methods for generating ensembles of biomolecules and
illustrate their application with relevant examples from the literature. We show
how, for binding, conformational ensembles provide a way of distinguishing the
competing models of induced fit and conformational selection. For allostery we
review the classic models and show how conformational ensembles can play a role
in unravelling the intricate pathways of communication that enable allostery to
occur. Finally, we discuss the limitations of conformational ensembles and
highlight some potential applications for the future.
PMID- 22089253
TI - Abdominal mass, anemia, diabetes mellitus, and necrolytic migratory erythema.
PMID- 22089254
TI - Durability of infliximab dose intensification in Crohn's disease.
AB - BACKGROUND: Dose intensification is a common approach to treat Crohn's disease
(CD) patients who lose response to infliximab maintenance therapy. Few studies
have reported upon its long-term efficacy or predictors of response. AIM: The
goal of this study is to investigate durability and predictors of response to
dose intensification-including method of dose intensification, combination
immunomodulator therapy, and premedication with intravenous hydrocortisone.
METHODS: We performed a retrospective study of dose-intensified CD patients at
our institution. Dose intensification was defined as an increase in dose from 5
to 10 mg/kg, an increase in frequency of infusions from every 8 weeks to every 6
weeks or less, or both an increase in dose and frequency. RESULTS: Thirty CD
patients (mean age, 39.9 years) met study criteria and underwent dose
intensification. Ten (33.3%) patients remained on dose intensification at the end
of our study or returned to their former infliximab dose or schedule (median
follow-up, 41 months). Fourteen patients (46.7%) eventually lost response to dose
intensification, but dose intensification extended infliximab therapy by a median
duration of 9 months. Six patients (20%) didn't respond to dose intensification.
Neither method of dose intensification, combination immunomodulator therapy, nor
premedication with intravenous hydrocortisone predicted initial or durable
response to dose intensification. However, analysis of predictors was limited by
the small sample size of our study. CONCLUSIONS: The majority of CD patients
respond to dose intensification, and a substantial portion will experience
durable response such that infliximab therapy is successfully extended by one or
more years.
PMID- 22089255
TI - Thalidomide treatment in cirrhotic patients with severe anemia secondary to
vascular malformations.
PMID- 22089256
TI - Angiotensin-(1-7) inhibits the migration and invasion of A549 human lung
adenocarcinoma cells through inactivation of the PI3K/Akt and MAPK signaling
pathways.
AB - The local renin-angiotensin system (RAS) is one of the crucial components in the
tumor microenvironment. Recent evidence suggests that the local RAS plays an
important role in tumor metabolism, survival, angiogenesis and invasion
processes. Angiotensin-(1-7) [Ang-(1-7)] is an endogenous peptide of the RAS with
vasodilator and anti-proliferative properties. Previous studies have demonstrated
that Ang-(1-7) inhibits both the growth of human lung cancer cells in vitro and
tumor angiogenesis in vivo through activation of the MAS receptor. This study
investigated the anti-metastatic effect of Ang-(1-7) in A549 human lung
adenocarcinoma cells in vitro. We found that Ang-(1-7) reduced the cell migratory
and invasive abilities by reducing the expression and activity of MMP-2 and MMP
9. Furthermore, we demonstrated that the anti-migration and anti-invasion effect
of Ang-(1-7) was mediated through inactivation of the PI3K/Akt, P38 and JNK
signal pathways. Our results suggest that Ang-(1-7) may have therapeutic
potential against advanced lung carcinoma as a new agent.
PMID- 22089257
TI - [The medical management of high risk individuals. Experiences with persons
exposed to chronic internal irradiation].
AB - The medical management and counseling of persons at high risk due to exposure to
chemicals or radiation or due to personal disposition, present an additional
challenge for physicians and especially radiologists involved. This article is
based on own experiences with patients who had been exposed to Thorotrast. They
had been injected with the contrast medium Thorotrast, which was in use world
wide until around 1950. Thorotrast caused a chronic alpha irradiation mainly of
the liver (up to 0.4 Gy/a), spleen (1.2 Gy/a) and bone marrow (0.1 Gy/a). For the
Thorotrast patients and their physicians the most worrying problem was the risk
of primary malignant liver tumors which occurred in more than 20% of the exposed
persons, i.e. 100 times more frequently than in a non-exposed control group. The
medical and especially radiological experiences with the management of these
patients summarize a general aspect of the problem and can be referred to when
managing other high risk groups.
PMID- 22089258
TI - Short- and long-term outcomes following percutaneous cholecystostomy for acute
cholecystitis in high-risk patients.
AB - BACKGROUND: Percutaneous cholecystostomy is a less invasive method to treat acute
cholecystitis in patients who are critically ill or have serious medical
comorbidities precluding the use of general anesthesia. It remains controversial
whether interval cholecystectomy is warranted. The objectives of the study were
to determine the success rate and complications of percutaneous cholecystostomy
and the proportion of patients without recurrent attacks in whom interval
cholecystectomy was not needed. METHODS: This was a retrospective review to
determine the outcomes after percutaneous cholecystostomy for acute calculous
cholecystitis between 1995 and 2007. Administrative data were used to better
capture recurrent symptoms requiring treatment. RESULTS: Sixty-eight patients
with a mean age of 74 years were identified. Sixty-seven (98.5%) underwent
successful insertion of the cholecystostomy tubes. Eleven patients suffered tube
related complications, including tube dislodgment (9), tube blockage (1), and
bleeding that was controlled with conservative management (1). The initial
episode of cholecystitis was treated successfully in 58 patients (85%). The
overall in-hospital and 30-day mortality were both 15% (10 patients). A total of
7 patients (10%) underwent cholecystectomy while still in hospital. There were 39
patients at risk for recurrent disease who survived the initial episode and did
not receive an interval cholecystectomy. Of these 39 patients, 16 (41%) suffered
recurrent gallbladder-related disease. CONCLUSIONS: Percutaneous cholecystostomy
is an alternative to cholecystectomy in patients with acute calculous
cholecystitis who are at high risk for surgical mortality and morbidity. It
appears to have a low complication rate and good clinical success. Because a
significant number of patients suffer recurrent attacks, elective cholecystectomy
should be considered routinely. Unfortunately, firm criteria for selecting
percutaneous cholecystostomy over cholecystectomy are lacking, and the surgeon's
clinical judgment is critically important.
PMID- 22089259
TI - The prognostic impact of positive lymph nodes in stages T1 to T3 incidental
gallbladder carcinoma: results of the German Registry.
AB - BACKGROUND: In the literature, the 5 year survival rates for incidental
gallbladder carcinoma (IGBC) show large variations in the different T-stages
because the lymph node status often is not addressed. Most early-stage carcinomas
are identified by laparoscopy as IGBC, and radical re-resection is needed.
Staging is impossible without lymph node dissection, so comparison between
various survival rates is impossible. This study aimed to determine the influence
of lymph node status on the survival of patients with stages T1 to T3 IGBC.
METHODS: For data analysis, the German Registry was used. RESULTS: In this study,
709 patients with IGBC were analyzed. The re-resected nodal-negative patients had
a significant survival advantage over the re-resected nodal-positive patients.
The 5 year survival rate for the patients with nodal-negative re-resected T1
carcinomas was 75%. The re-resected T2 and T3 nodal-negative patients had
significantly better survival than the corresponding nodal-positive patients. The
influence that the radicalness of the different liver resection techniques had on
these results was excluded. 53 patients without radical resection had a known
nodal-positive status. Nodal-positive patients with radical re-resection always
show a better survival rate than nodal-positive patients without radical re
resection, stage for stage. CONCLUSIONS: Nodal-positive status is a significant
negative prognostic factor in T1 to T3 IGBC. Patients with radical re-resection
show a better survival rate than those without it. Lymph node dissection is to be
highly recommended up to stage T1b. In the case of T2 carcinomas, lymph node
dissection of the hepatoduodenal ligament seems to be the minimum volume of lymph
node dissection required, but more radical procedures could be beneficial for
tumors infiltrating the serosa or beyond.
PMID- 22089260
TI - A 20 mSv dose limit for the eye: sense or no sense?
PMID- 22089261
TI - Male infertility in Northeast China: a cytogenetic study of 135 patients with non
obstructive azoospermia and severe oligozoospermia.
AB - PURPOSES: To detect the frequency and types of chromosomal anomalies with non
obstructive azoospermia and severe oligozoospermia in Northeast China, and to
compare the frequencies with other regions of China and the world. To investigate
the general characteristics of this population. METHODS: Eighty-one men with non
obstructive azoospermia and 54 men with severe oligozoospermia were recruited.
Karyotype analyses were performed on peripheral blood lymphocytes using standard
G-banding. Measurements of follicle-stimulating hormone, testosterone, prolactin,
and inhibin B were obtained. RESULTS: The frequency of chromosomal anomalies for
patients with non-obstructive azoospermia (17.28%) was comparable with studies
from Europe, Africa, Asia, and other regions of China. However, the frequency for
patients with severe oligozoospermia (9.26%) was slightly higher than reported
from other Asian countries. The infertile men were more likely than the fertile
to smoke and consume alcohol, and to have significantly lower levels of inhibin
B. CONCLUSIONS: For infertile men in Northeast China, chromosome analysis is a
necessary part of routine genetic testing, and the contributing effects of high
smoking and alcohol consumption rates of this population should be discussed
during genetic counseling.
PMID- 22089262
TI - Aqueous extract of Azadirachta indica (neem) leaf induces generation of reactive
oxygen species and mitochondria-mediated apoptosis in rat oocytes.
AB - OBJECTIVE: Present study was aimed to determine whether aqueous neem leaf extract
(NLE) induces generation of reactive oxygen species (ROS) and apoptosis through
mitochondria-mediated pathway in rat oocytes. DESIGN: A controlled prospective
study. SETTING: Laboratory research setting at Department of Zoology of Banaras
Hindu University. ANIMAL(S): Forty eight sexually immature female rats that were
20-30 days of age. INTERVENTION(S): Sexually immature female rats were fed
palatable dose of NLE (10 mg/g dry feed palate) for 10 days and then subjected to
superovulation induction protocol. Thereafter, rats were euthanized, ovulated
cumulus oocyte complexes were collected from oviduct and oocytes were denuded.
MAIN OUTCOME MEASURE(S): Rate of morphological apoptotic changes, measurement of
hydrogen peroxide, nitric oxide and cytochrome c concentrations, caspase-9,
caspases-3 activities and DNA fragmentation in oocytes. RESULTS: In vivo NLE
treatment induced morphological apoptotic changes were associated with increased
hydrogen peroxide, nitric oxide and cytochrome c concentrations, caspase-9,
caspase-3 activities and DNA fragmentation in oocyte. CONCLUSION: NLE induces
generation of ROS that leads to oocytes apoptosis through mitochondria-mediated
pathway.
PMID- 22089263
TI - Aromatase (CYP19) gene variants influence ovarian response to standard
gonadotrophin stimulation.
AB - PURPOSE: The association of cytochrome P450 aromatase gene CYP19(TTTA) ( n )
polymorphism with ovarian response to FSH stimulation was explored. METHODS:
Three hundred women undergoing medically assisted reproduction and 300 women with
at least one spontaneous pregnancy participated in the study. CYP19(TTTA) ( n )
polymorphism was genotyped, while serum hormones were determined. During oocyte
retrieval, the follicular size, the follicle and oocyte numbers were recorded.
RESULTS: Six CYP19(TTTA) ( n ) alleles with 7 to 12 repeats were revealed. Women
homozygous for long CYP19(TTTA) ( n ) alleles presented with lower serum FSH
levels at the third day of the menstrual cycle (p < 0.001) and higher large
follicle numbers (p < 0.01), compared to women homozygous for short CYP19(TTTA) (
n ) alleles. The CYP19(TTTA) ( 7 ) allele was associated with higher serum FSH
levels (p < 0.003), with lower total follicle (p < 0.02) and large follicle
numbers (p < 0.03), while CYP19(TTTA) ( 7 ) allele-carriers presented more
frequently with small follicles than CYP19(TTTA) ( 7 ) allele-non carriers (p <
0.01). CONCLUSIONS: CYP19 genetic variants were associated with ovarian reserve
and response to standard gonadotrophin stimulation of women undergoing in vitro
fertilization.
PMID- 22089264
TI - Maturation outcomes are improved following Cryoleaf vitrification of immature
human oocytes when compared to choline-based slow-freezing.
AB - PURPOSE: The cryopreservation of immature oocytes permits oocyte banking for
patients at risk of losing their fertility. However, the optimum protocol for
such fertility preservation remains uncertain. METHODS: The present study
investigated the survival, maturation, cytoskeletal and chromosome organization
of sibling immature oocytes leftover from controlled ovarian stimulation cycles,
that were either slow-frozen (with choline-substitution) or vitrified. A
comparison group included oocytes that were never cryopreserved. RESULTS: Among
the three groups, comparable rates were observed for both survival (67-70%) and
polar body extrusion (59-79%). Significantly more oocytes underwent spontaneous
activation after IVM following slow-freezing compared with either vitrification
or no cryopreservation. Likewise, the incidence of spindle abnormalities was
greatest in the slow-frozen group, with no differences in spindle morphometrics
or chromosome organization. CONCLUSIONS: While the overall incidence of mature
oocytes with normal bipolar spindles from warmed immature oocytes was low, the
yield using Cryoleaf vitrification was slightly superior to choline-based slow
freezing.
PMID- 22089265
TI - Severe ovarian hyperstimulation syndrome after letrozole-gonadotropin
stimulation: a case report.
PMID- 22089266
TI - A novel metric to assess the clinical utility of a drug in the presence of
efficacy and dropout information.
AB - The fact that there are high dropout rates in clinical trials of antipsychotic
medications raises critical questions regarding the most appropriate method of
designing new trials, analyzing efficacy data, and evaluating the clinical
utility (CU) of novel treatments. In this article, we consider the use of a model
based approach to define an integrated CU criterion for better characterizing the
clinical response to a treatment, for optimizing proof-of-concept trials, and for
providing differentiating criteria for novel medications when complete
information is not available.
PMID- 22089267
TI - High-dose ibuprofen for patent ductus arteriosus in extremely preterm infants: a
randomized controlled study.
AB - Our aim was to assess the hypothesis that a high-dose regimen of ibuprofen is
more effective than the standard-dose regimen in closing patent ductus arteriosus
(PDA) without increasing adverse effects. Infants of gestational age <29 weeks,
with respiratory distress syndrome (RDS) and echocardiographic evidence of
significant PDA at 12-24 h of life, were randomized to receive a standard (10-5-5
mg/kg/day) or high-dose (20-10-10 mg/kg/day) course of ibuprofen. We studied 70
infants, 35 of whom received the standard dose of ibuprofen and the other 35 the
high dose. Of the infants treated with the standard-dose regimen, 37% had
persistent PDA as compared with 14% of those treated with the high-dose regimen
(P = 0.03). No differences in the occurrence of adverse effects were observed
between the two groups. The high-dose ibuprofen regimen is more effective than
the standard-dose regimen in closing PDA in preterm infants <29 weeks of
gestation without increasing the adverse effect rate.
PMID- 22089268
TI - Stem cell senescence and regenerative paradigms.
AB - The term "cellular senescence" denotes a cellular response to several stressors
that results in irreversible growth arrest, alterations of the gene expression
profile, epigenetic modifications, and an altered secretome, all of which
eventually impair the reparative properties of primitive cells, adding a layer of
complexity to the field of regenerative medicine. The purpose of this review is
to illustrate how cellular senescence could affect tissue repair and to propose
interventions that aim at interfering with it.
PMID- 22089269
TI - Magnetic field driving gradient effects on the microstructure in amorphous
nanocrystalline cobalt alloy ribbons.
AB - Field effects on the early crystallization of Co-rich amorphous ribbons
(Co(68.15)Fe(4.35)Si(12.5)B(15), atomic%) performed at 450 degrees C for 30
minutes in an applied 10 Oe longitudinal or transverse external magnetic field
are investigated by comparing with the as-spun and annealed samples in zero
applied magnetic field. Results indicate that the crystallization on the surface
skin of the ribbon differs from that of the middle section due to the combined
effects from the field direction and the defects or stress characteristics across
the ribbons. In particular, the ribbons annealed under a transverse field exhibit
an extremely distinct graded microstructure across the ribbon, which features
amorphous-phased skin layers (top and bottom) of about 4-5 MUm thickness and a
middle amorphous-nanocrystalline composite layer about 10-12 MUm thick, with the
nanograins of 434 +/- 99 nm dispersing in the amorphous matrix.
PMID- 22089270
TI - A critical pathway for the frail elderly cardiac patient.
AB - BACKGROUND: The medical community needs to better respond to the predictable
complexities associated with admission of frail and elderly cardiac patients who
may need specific attention and care programs. The nurse practitioner can play an
important role to continue and coordinate nursing and medical care. We propose a
new critical pathway designed to improve cardiac and nursing care for frail
elderly cardiac patients admitted with heart failure or atrial fibrillation.
METHOD: The critical pathway is developed by the nurse practitioner who will act
as a pathway coordinator and take care of the medical care of these patients in a
teaching hospital setting. This critical pathway is applied to all patients aged
>75 years who are admitted for heart failure or atrial fibrillation. RESULTS: The
pathway implementation identified 5 important socio-medical parameters that may
account for a delayed length of stay, even in patients without a complicated
medical situation: delirium and fall prevention, nutritional awareness, fluid
restriction efforts, and information optimization of patients and spouses.
CONCLUSIONS: We developed a critical care pathway for the frail elderly patients
who are admitted for heart failure or atrial fibrillation. In doing so, we have
been able to change the medical and social management of these patients at a
general cardiology ward in a teaching hospital.
PMID- 22089271
TI - Take heart: a one-on-one peer-based strategy to improve acute coronary syndrome
patient care.
AB - Acute coronary syndromes (ACS) result in more than 1 million hospitalizations
each year in the United States and are a leading cause of morbidity and
mortality. Despite evidence-based treatment guidelines and advances in
therapeutic strategies, the need for well-educated practitioners to provide
quality patient care is still evident. As such, continuing medical education
(CME) and consultation with recognized experts are valuable tools that can
enhance clinical knowledge and lead to improvements in best practices. In a CME
platform, collaborative dialogue with nationally recognized opinion leaders
within the field of ACS enabled 111 clinician participants to develop strategies
for personal practice improvement. Faculty experts addressed specific challenging
clinical questions posed by participants regarding 1 of 4 preselected topics
related to the care of patients with ACS. After a 3-month period, 94% of
participants reported that their strategies for practice improvement had affected
patient care. Participants also rated the utility of national guidelines in their
practices higher following participation in the activity and demonstrated
improved clinical knowledge. As a result of this activity, participants were able
to solve self-identified issues in clinical practice as well as improve their
understanding of current clinical practice guidelines. Adherence to guideline
recommended care was associated with improvements in patient outcomes, and
participant feedback suggests that this was an effective type of CME platform
that resulted in positive changes in patient care. Furthermore, considerable
interest exists for the application of this model in other therapeutic areas.
PMID- 22089272
TI - Pathways in heart failure disease management across socioeconomic spectra.
AB - Caring for heart failure patients with a low socioeconomic status presents a
unique set of challenges for health care providers. Heart failure disease
management programs can integrate the use of teaching DVDs to overcome
deficiencies in health literacy and take advantage of the Wal-Mart/Target $4
dollar medication program to provide life-saving medical therapy. In addition,
open discussions with the patient and family regarding the costs of medications
and the reality of what they can afford to pay monthly on a long term basis can
guide the physician to prescribing medications by prioritizing use with a focus
on evidence-based data for the medications with the highest mortality reduction.
Finally, connecting inpatient visits to outpatient visits through the use of
electronic medical records systems can facilitate avoidance of unnecessary repeat
lab and diagnostic testing.
PMID- 22089273
TI - Carotid intima-media thickness determined vascular age and the Framingham Risk
Score.
AB - We examined carotid intima-media thickness (CIMT)-determined vascular age on the
Framingham Risk Score (FRS) and the Framingham Heart Age in patients of diverse
ethnic origin without a history of diabetes or established cardiovascular
disease. In this cross-sectional study, 2291 men and women had CIMT obtained by
high resolution B-mode ultrasound in a routine examination between August 1, 2000
and October 1, 2001. We randomly split the population into a training subset (n =
1114) and an analysis subset (n = 1177) using the training subset to regress the
average CIMT for each individual on chronologic age. We compared the FRS using
CIMT-determined vascular age versus chronologic age in the analysis subset. On
average, CIMT-determined vascular age was less than chronologic age, which was
less than FRS-heart age in all gender and ethnic groups. For estimated 10-year
cardiovascular-disease risk among non-Hispanic whites, only 45.5% of male and
55.6% of female patients were concordant for both measures, and simple Kappa
values were low (0.28 for males, 0.32 for females). Among non-Hispanic whites,
40.7% of males and 32.1% of females had greater risk using chronologic age rather
than when using CIMT-determined vascular age. Conversely, 13.8% of males and
12.3% of females had a greater risk using CIMT-determined vascular age rather
than when using chronologic age. A similar pattern was noted in the other ethnic
groups. Our results suggest that CIMT may be very useful in improving risk
discrimination in the FRS, and that substituting CIMT-determined vascular age may
improve individual cardiovascular risk prediction.
PMID- 22089274
TI - Role of N-terminal pro-brain natriuretic peptide in ST-segment elevation
myocardial infarction: experience from a tertiary centre in India.
AB - BACKGROUND: Role of biomarkers in ST-segment elevation myocardial infarction
(STEMI) is paramount, as they aid in diagnosis and gauge prognosis of the
disease. In this project, we sought to study the short-term outcome and clinical
associates of N-terminal pro-brain natriuretic peptide (NT-proBNP) in the setting
of STEMI at a tertiary center in India. METHODS: In all, 173 STEMI patients (mean
age: 57 +/- 12 years, 38 women) had their NT-proBNP assayed in addition to
troponins and high-sensitive C-reactive protein. Subjects were divided according
to NT-proBNP levels into 2 groups: group 1 (NT-proBNP <=100 pg/mL) and group 2
(NT-proBNP >100 pg/mL). RESULTS: NT-proBNP values (pg/mL) were elevated in group
2 (group 1: 61.7 +/- 6.2; group 2: 1006.5 +/- 990.6, P < 0.0001). Significantly
greater number of females had elevated NT-proBNP (P < 0.05) that could be
predicted by the duration of chest pain related to STEMI (area under the curve:
0.72), and age at presentation (area under the curve: 0.66). Multiple regression
analysis showed a strong inverse association between NT-proBNP and left
ventricular ejection fraction and a strong positive association between the
peptide and high-sensitive C-reactive protein. A significant positive association
was also noted between NT-proBNP and troponin I (all P < 0.05, Global R = 0.47).
Diabetes mellitus and/or hypertension, and infarction localization showed no
effect on NT-proBNP levels along with death, primary coronary intervention
related bleeding, and arrhythmias, (chi, P = ns). CONCLUSIONS: The data suggest
that women are more likely to have increased NT-proBNP while presenting with
STEMI. Duration of chest pain and age at presentation are the best predictors of
elevated NT-proBNP, though without much bearing on short-term morbidity and
mortality.
PMID- 22089275
TI - Influence of bedside blood insulin measurement on acute coronary syndrome
pathways.
AB - BACKGROUND: The aim of the study was to evaluate the influence of blood insulin
measurements on acute coronary syndrome (ACS) pathways. METHODS: All patients
admitted to the emergency department within 12 months for acute, retrosternal,
constrictive chest pain lasting for more than 30 minutes; cardiogenic pulmonary
edema; electrocardiogram ST changes; and echographic alterations were included.
The study parameters were clinical (age, sex, blood pressure, presence of
pulmonary rales and gallop), including classic laboratory tests associated with
troponin T, blood insulin levels, and hemoglobin A1C, and echographic values.
These were taken on admission and throughout hospital stay. All patients
underwent a coronary angiography for ACS diagnosis confirmation as well as
treatment intention. RESULTS: Sixty patients were included in the study. Abnormal
blood insulin levels were present on admission in 47% of the population. Blood
insulin level was significantly correlated to thrombolysis in myocardial
infarction coronary perfusion score (Spearman Rank, 0.55, P < 0.0001). Abnormal
insulinemia was normalized with reperfusion. Insulin was administered essentially
to the 16 patients with hypoinsulinemia. Patients with hypoinsulinemia seem to
have the most severe coronary lesions and highest Killip score. CONCLUSIONS: In
ACS, insulin levels are altered in half of the patients. After the investigators
noted its tight correlation with the thrombolysis in myocardial infarction
coronary flow score, its determination could be important in ACS for triggering
emergency coronary angiography for percutaneous coronary intervention. This could
modify the critical pathways of ACS patients in the emergency department.
PMID- 22089276
TI - Use of endothelial progenitor capture cell stent during percutaneous treatment of
coronary bifurcations: a prospective angiographic registry.
AB - BACKGROUND: The treatment of bifurcation lesions remains a challenge with poor
immediate results and higher restenosis rate than in nonbifurcated lesions. Drug
eluting stents improve the outcome after coronary stenting, but are associated
with a small but statistically significant increase in late and very late stent
thrombosis. Thus, aim of the present study was to evaluate the angiographic and
clinical results of a new type of stent (coated with murine monoclonal antihuman
CD34 antibodies designed to attract circulating endothelial progenitor cells to
rapidly establish a functional endothelial layer and promote healing stent
implantation) in a cohort of consecutive patients with coronary bifurcation
lesions. METHODS AND RESULTS: Between December 2007 and July 2008, a total of 43
consecutive patients were enrolled and 47 consecutive bifurcation lesions were
treated with endothelial progenitor capture cell stents. The angiographic end
points binary restenosis rate inside the stent (within 5 mm of the stent edges or
in the segments treated with balloon angioplasty) was 5% (2.1% in the main branch
and 10.5% in the side branch). No stent thrombosis was observed. Clinical follow
up was completed in all patients at mean time of 34.5 days after percutaneous
coronary interventions by clinical evaluation and 12.3 months with a telephone
contact. Angina at rest was present in 3 patients (7.9%). No in-hospital, 30
days, or 12-months major adverse cardiac events (death, myocardial infarction,
and repeat revascularization coronary artery bypass graft or percutaneous
coronary angioplasty) were reported. CONCLUSIONS: The use of endothelial
progenitor capture cell in the setting of coronary bifurcation appears to be
feasible and safe with no incidence of late stent thrombosis and a very low rate
of repeated revascularization.
PMID- 22089277
TI - Society of Chest Pain Centers heart attack care in the United States: a
commentary by Raymond D. Bahr, MD, FACC.
PMID- 22089278
TI - Society of Chest Pain Centers offers system discount for hospitals seeking Cycle
IV Chest Pain Center accreditation.
PMID- 22089279
TI - Society of Chest Pain Centers meeting the needs of critical access hospitals.
PMID- 22089280
TI - Pulmonary rehabilitation in the management of COPD: more than one way to skin a
cat.
PMID- 22089281
TI - Tendinopathy of the tendon of the long head of the biceps.
AB - Pathologies of tendon of the long head of the biceps (LHB) are an important cause
of shoulder pain. They include tendinopathy, rupture, superior labrum anterior
and posterior lesions, pulley tears, and tendon instability. Conservative
management of symptomatic LHB tendinopathy is commonly accepted as the first-line
treatment. It consists of rest, nonsteroidal anti-inflammatory drugs,
corticosteroid injections, and physical therapy. Biceps tenotomy and tenodesis
are the most common surgical procedures to manage both isolated LHB pathology and
biceps-glenoid complex tears combined with rotator cuff tears. However,
controversy persists about the superiority of one of them because there is no
evidence of significant differences in functional scores or patient satisfaction
between the 2 techniques. This article provides an overview on biomechanical
function of the LHB and current strategies for treatment of LHB disorders.
PMID- 22089282
TI - Arthroscopic management of subscapularis tears.
AB - Tears of the subscapularis were previously believed to be rare, but are now
recognized to be very common. As maintenance of the subscapularis footprint is
integral to normal biomechanical function of the shoulder it is important for the
shoulder surgeon to be adept at recognizing and treating these tears. A
combination of physical examination tests can be used to determine both the
presence and size of a subscapularis tear. Compared with posterosuperior rotator
cuff tears, magnetic resonance imaging detection of subscapularis is less
reliable and therefore requires a high index of suspicion. Arthroscopic repair of
the subscapularis presents unique challenges but can be safely and successfully
performed with careful attention to detail. The results of arthroscopic
subscapularis repair are encouraging at intermediate follow-up and comparable
with or better than that reported with open repair.
PMID- 22089283
TI - Double row repair: is it worth the hassle?
AB - In the operative management of rotator cuff disease, comparable functional
results have been reported after open or mini-open repair and arthroscopic
fixation. Surgical repair aims to re-establish an anatomical configuration of the
tendon-bone construct for restoring its mechanical performance. Single row repair
is the most commonly used technique, but recently some authors have proposed to
re-establish the rotator cuff footprint with 2 rows of suture anchors ("double
row" repair). In regard to imaging assessment, at time zero double row repair
results being more anatomic and allows for structurally sound restoration of the
rotator cuff footprint. However, this does not seem to translate into superior
clinical outcomes for the double row repair when evaluating all different sizes
of rotator cuff tears as a whole. The scientific basis for recommending single or
double row repair as preferred treatment for patients with rotator cuff tear is
questionable, as minimal differences have been measured on clinical and
functional rating scales.
PMID- 22089284
TI - Conservative management of rotator cuff tear.
AB - Ranking among the most prevalent of shoulder disorders, lesions involving
shoulder rotator cuff strike million of patients in the United States at
different points in their lives. Despite the fact that rotator cuff disease is a
very common cause of pain and disability of the upper arm, a high proportion of
patients is asymptomatic and unaware of performing daily living activities
despite of a shoulder lesion. Given these drawbacks, surgeons are trying
exploring whether conservative treatment is a viable option for the management of
these injuries. This study presents a rationale to consider nonoperative
treatment an effective option when dealing with patients with rotator cuff tears.
PMID- 22089285
TI - Neoinnervation in rotator cuff tendinopathy.
AB - The aim of this study was to determine whether there are more nerves in
tendinopathic human tendon, and if so, where are they located. Tendon biopsies
were collected from normal, tendinopathic, and torn human rotator cuff tendons
and then analyzed using immunohistochemistry and antibodies against a general
nerve marker (protein gene product 9.5, PGP9.5), a nerve regeneration marker
(growth-associated protein 43, GAP43), and an endothelial cell marker (CD34).
Nerve fibers exhibiting PGP9.5 or GAP43 immunoreactivity were often observed
intimately in association with tiny blood vessels in the endotendineum of
tendinopathic tendons. The expression of PGP9.5 and GAP43 were significantly
higher in tendinopathic tendon compared with control tendon and torn tendon.
These data support the hypothesis that early tendinopathy is associated with
increases of newly grown nerve fibers and blood vessels inside and around
tendinopathic tendon, and these may be the source of pain in tendinopathy.
PMID- 22089286
TI - Synthetic augmentation for massive rotator cuff tears.
AB - The management of massive, irreparable rotator cuff tears is challenging. They
are associated with persistent defects, weakness, and poor outcomes, and can
cause an uncoupling of forces across the glenohumeral joint, with unstable
shoulder kinematics. There has been much interest in the development of scaffolds
to bridge massive rotator cuff tears. As allograft materials may produce
inflammatory responses in the host, there is notable interest in developing
synthetic grafts for surgical use. Benefits and limitations of the available
synthetic scaffolds for augmentation of rotator cuff tears are reported in the
present review.
PMID- 22089287
TI - A systematic review of the reverse shoulder replacement in rotator cuff
arthropathy, rotator cuff tears, and rheumatoid arthritis.
AB - The reverse shoulder arthroplasty prosthesis was originally designed for rotator
cuff arthropathy, and provided good results. Over time, the indications have
expanded to include, among others, irreparable rotator cuff tears and rheumatoid
arthritis, and the results have become more variable. There are also fundamental
differences in the designs of the original Delta III prostheses and the later
developed reverse shoulder prosthesis, and many studies that provide the results
in reverse shoulder arthroplasties do not consider these 2 prostheses separately.
In this systematic review, we analyze the clinical outcomes of the reverse
shoulder arthroplasty in rotator cuff arthropathy, rotator cuff tears without
arthropathy, and rheumatoid arthritis. We also analyze the results of the 2
prostheses separately to provide a more accurate comparison.
PMID- 22089288
TI - Biological resurfacing for early osteoarthritis of the shoulder.
AB - Young patients with degenerative shoulder disease are a therapeutic challenge. To
try to delay a shoulder arthroplasty, biological interpositional arthroplasty has
been proposed to provide a biologically active bearing surface that could
eventually results in the formation of fibrocartilage, fibrous tissue, or hyaline
cartilage. Anterior capsule, autogenous fascia lata, Achilles tendon allograft,
lateral meniscus allograft, human dermis, and porcine small intestine submucosa
have been used as interposition material, either alone or in combination with a
hemiarthroplasty or humeral resurfacing procedure. Some investigators have
reported favorable long-term results, although others have found this procedure
unreliable. Several variables are unknown at present, such as the best biological
resurfacing device, healing potential, possible antigenic responses, optimal
fixation technique or position, aftercare restrictions. Further prospective
studies with long follow-up are necessary to provide data that will help to
define the role of biological glenoid resurfacing in young patients with
glenohumeral arthritis.
PMID- 22089289
TI - Glenohumeral instability and rotator cuff tear.
AB - The prevalence of rotator cuff tears after traumatic dislocation increases with
advancing age, a likely consequence of the age-associated deterioration of the
structure and mechanical properties of the tendons of the rotator cuff. These are
the effective stabilizers of the glenohumeral joint, compressing the humeral head
in the 3-dimensional concavity of the glenohumeral joint. It is impossible to
establish whether a lesion of the capsular-labrum complex or of the rotator cuff
causes or follows a dislocation, regardless of whether it is anterior or
posterior. A peripheral nerve or a brachial plexus injury can be associated with
tendon lesion and instability, developing the "terrible triad" of the shoulder.
Both conservative and surgical management are possible, and surgeons must choose
the most appropriate management modality according to the biologic age,
functional demands, and type of lesion.
PMID- 22089290
TI - Repair of partial tears of the rotator cuff.
AB - Partial-thickness rotator cuff tears present partial disruption of tendon fibers
with no communication between the subacromial bursa and the glenohumeral joint.
The clinical presentation is surprisingly variable, ranging from mild discomfort
to decreased throwing speed, chronic pain, and shoulder inability. The first
approach to partial-thickness rotator cuff tears is usually conservative, but the
hypovascularity of the critical zone and mechanical factors often result in poor
spontaneous tendon healing. Surgical options include arthroscopic cuff
"debridement" or "repair," performed arthroscopically or by open surgery, and
subacromial decompression or debridement if necessary. No agreement has been
reached on the best surgical management. However, repair is usually indicated if
bursal-sided and articular tears involve more than 50% of tendon thickness;
debridement is generally undertaken if <50% of the rotator cuff is torn. There is
a need for randomized clinical trails formulating and testing guidelines of
management and for further studies on imaging or intraoperative measures and
methods to assess the thickness of the rotator cuff to inform management.
PMID- 22089291
TI - Full thickness tears: retaining the cuff.
AB - Repair of rotator cuff tears is technically challenging. Full thickness rotator
cuff tears have no potential for spontaneous healing, no reliable tendons
substitutes are available, and their management is only partially understood.
Many factors seem to contribute to the final outcome, and considerable variations
in the decision-making process exist. For these reasons, decisions are often
taken on the basis of surgeon's clinical experience. Accurate and prompt
diagnosis is fundamental to guide correct management, and the tear pattern should
be carefully evaluated to planning the most appropriate repair.
PMID- 22089292
TI - Management of postoperative shoulder stiffness.
AB - Arthroscopic surgery has become the most popular treatment to repair rotator cuff
tears. Although the exact prevalence of postoperative stiffness is unknown, many
studies report an incidence rate of 4% to 15%. Management of postoperative
shoulder stiffness depends on the cause of the stiffness. Nonoperative and
operative management modalities are available, but postoperative shoulder
stiffness is often resistant to nonsurgical management. When conservative
treatment fails, surgical release of the scar tissue and adhesions can be
performed both by arthroscopic or open surgery. Arthroscopic capsular release is
the preferred technique for capsule contraction and adhesion formation, as it
allows precise and selective debridement of the scar tissue and division of the
shortened and thickened capsule by partial or extensive capsulectomy.
PMID- 22089293
TI - Latissimus dorsi tendon transfer for massive irreparable rotator cuff tears: a
systematic review.
AB - Tendon transfers have been proposed as a possible solution to restore pain-free
functions, strength, and range of motion in patients with massive and irreparable
cuff tears. The aim of this review is to establish the outcomes of (1) latissimus
dorsi tendon transfer (LDT-T) surgery performed as a single procedure or in
combination with other muscle-tendon transfer procedures, replacement, or both;
(2) LDT-T in primary and revision surgery for massive irreparable rotator cuff
tears; (3) the LDT-T procedure in relation to subscapularis and teres minor
integrity; (4) the LDT-T procedure in relation to the reattachment position on
the humeral head of the transferred tendon; (5) the LDT-T procedure performed as
a single or a double incision; (6) arthroscopic, open, or combined approach: and
(7) the LDT-T procedure in patients with preoperative osteoarthritis and a
nonosteoarthritic condition with the evaluation of osteoarthritis progression. A
systematic review was conducted following the Preferred Reporting Items for
Systematic Reviews and Meta-analyses guidelines. Studies of levels of evidence I
IV were included. The LDT-T surgical procedure, outcomes, and complications were
evaluated. Twenty-two studies describing 493 shoulders in 487 patients were
included in our study. There were no prospective randomized, controlled studies.
LDT-T is a promising strategy for the management of massive and irreparable
rotator cuff tears, even though no agreement was found on several aspects and
options of LDT-T. Randomized prospective control studies are still awaited on
this subject.
PMID- 22089296
TI - Stepwise syntheses of tri- and tetraphosphaporphyrinogens.
AB - A double ring-expansion methodology allows the stepwise synthesis of tri- and
tetra-phosphaporhyrinogens. Structural and calculational methods reveal extended
delocalisation about their phospholide centres.
PMID- 22089298
TI - The management of small sealed sources.
PMID- 22089297
TI - Plasma protein binding of sorafenib, a multi kinase inhibitor: in vitro and in
cancer patients.
AB - Sorafenib is an orally administered multikinase inhibitor that exhibits
antiangiogenic and antitumor activity. Few investigators have been able to
correlate cumulative sorafenib dose or total exposure to pharmacodynamic effects.
This discrepancy may be in part due to poorly understood protein binding
characteristics. Since unbound drug concentrations are believed to be more
relevant to pharmacological and toxicological responses than total drug, an
equilibrium dialysis method using 96-well microdialysis plates was optimized and
validated for determining the fraction unbound (F(u)) sorafenib in human plasma
and in isolated protein solutions. Unbound sorafenib concentrations were
determined in cancer patients receiving the drug orally at a dose of 400 mg and
600 mg twice daily. Sorafenib was extensively bound with mean F(u) value of 0.3%
in both non-cancer and cancer patient's plasma. The binding in plasma was
concentration independent, indicating a low-affinity, possibly nonspecific and
nonsaturable process. In isolated protein solutions, 99.8% and 79.3% of sorafenib
was bound to human serum albumin (HSA) (4 g/dL) and alpha(1)-acid glycoprotein
(AAG) (0.1 g/dL) with binding constants of 1.24 * 10(6) M(-1) and 1.40 * 10(5) M(
1), respectively. In cancer patients receiving sorafenib, unbound sorafenib was
not correlated with patient characteristics or laboratory values. In conclusion,
sorafenib is highly protein bound in human plasma with a higher affinity towards
albumin and limited free drug may be partly responsible for its borderline
clinical activity.
PMID- 22089299
TI - Concussion (mild traumatic brain injury) and the team physician: a consensus
statement--2011 update.
PMID- 22089302
TI - Effects of dynamic exercise on plasma arachidonic acid epoxides and diols in
human volunteers.
AB - Metabolites of the cytochrome P450 (CYP) pathway may contribute to vasodilation
of the vasculature. However, it is not known whether exercise affects their
circulating concentrations. The authors determined effects of exercise intensity
and duration on plasma concentrations of epoxy and dihydroxy metabolites of
arachidonic acid. Their goal was to delineate the threshold workload, optimal
workload, and duration required to produce increases in plasma concentrations of
these vasoactive substances. Healthy volunteers (N = 14) performed maximal
exercise testing on a bicycle ergometer during Visit 1. On separate days,
subjects cycled for 20 min at 30%, 60%, and 80% of their maximal exercise
intensity. The last day consisted of 40 min of exercise at 60% of maximal
exercise intensity. Venous blood was obtained before, during, and after exercise
for analysis. Compared with rest, increases were observed during the 80% workload
at 20 min postexercise -14,15-DHET (0.77 +/- 0.21 vs. 0.93 +/- 0.27 nM) - and at
2 min postexercise: 11,12-DHET (0.64 +/- 0.22 vs. 0.71 +/- 0.24 nM; p < .05).
Also compared with rest, 40-min values during the 60% workload were 14,15-DHET
0.79 +/- 0.22 vs. 0.91 +/- 0.31 nM and at 2 min post 14,15 EET 0.12 +/- 0.06 vs.
0.21 +/- 0.16 nM (p < .05). Results suggest the CYP metabolites (i.e., DHETs) are
released during short-term high-intensity and long-term moderate-intensity
exercise.
PMID- 22089303
TI - Unilateral fluid absorption and effects on peak power after ingestion of
commercially available hypotonic, isotonic, and hypertonic sports drinks.
AB - Isotonic sports drinks are often consumed to offset the effects of dehydration
and improve endurance performance, but hypotonic drinks may be more advantageous.
The purpose of the study was to compare absorption and effects on performance of
a commercially available hypotonic sports drink (Mizone Rapid: 3.9% carbohydrate
[CHO], 218 mOsmol/kg) with those of an isotonic drink (PowerAde: 7.6% CHO, 281
mOsmol/ kg), a hypertonic drink (Gatorade: 6% CHO, 327 mOsmol/kg), and a
noncaloric placebo (8 mOsmol/kg). In a crossover, 11 cyclists consumed each drink
on separate days at 250 ml/15 min during a 2-hr preload ride at 55% peak power
followed by an incremental test to exhaustion. Small to moderate increases in
deuterium oxide enrichment in the preload were observed with Mizone Rapid
relative to PowerAde, Gatorade, and placebo (differences of 88, 45, and 42 parts
per million, respectively; 90% confidence limits +/-28). Serum osmolality was
moderately lower with Mizone Rapid than with PowerAde and Gatorade (-1.9, -2.4;
mOsmol/L; +/-1.2 mOsmol/L) but not clearly different vs. placebo. Plasma volume
reduction was small to moderate with Mizone Rapid, PowerAde, and Gatorade
relative to placebo (-1.9%, -2.5%, -2.9%; +/- 2.5%). Gut comfort was highest with
Mizone Rapid but clearly different (8.4% +/- 4.8%) only vs PowerAde. Peak power
was highest with Mizone Rapid (380 W) vs. placebo and other drinks (1.2-3.0%; 99%
confidence limits +/-4.7%), but differences were inconclusive with reference to
the smallest important effect (~1.2%). The outcomes are consistent with fastest
fluid absorption with the hypotonic sports drink. Further research should
determine whether the effect has a meaningful impact on performance.
PMID- 22089304
TI - Case study: nutrition challenges of a marathon runner with a gastric bypass.
AB - A new type of athlete is appearing in the offices of sports dietitians: formerly
obese people who have undergone gastric bypass surgery and now aspire to be
marathoners, triathletes, and other types of endurance athletes. The standard
nutrition advice offered to bypass patients is contrary to the standard sports
advice given to athletes. Bypass athletes need to limit carbohydrates, fluids,
and energy intake and consume a protein-based diet. This case study describes the
sport nutrition concerns of a woman who, after having gastric bypass surgery,
trained to run a marathon (42 km). Because of her limited ability to consume food
and fluids, she experienced difficulty preventing fatigue and dehydration during
her long training runs and the marathon itself. She learned through trial and
error how to survive the nutritional challenges and complete the marathon. Health
professionals need to be aware of the potential medical risks associated with
endurance exercise in gastric bypass patients. Research is needed to determine
the best sports nutrition practices for bypass patients. Only then can sport
dietitians better educate this small but growing contingent of endurance athletes
so the athletes can meet their training and performance goals and reduce their
risk of experiencing serious health consequences.
PMID- 22089305
TI - N-Acetylcysteine's attenuation of fatigue after repeated bouts of intermittent
exercise: practical implications for tournament situations.
AB - Production of reactive oxygen species (ROS) during muscle contractions is
associated with muscle fatigue and damage in the short term and adaptive
responses in the long term. When adaptation is inconsequential acute antioxidant
supplementation may be able to attenuate muscle fatigue and damage to enhance
performance. This study aimed to determine the effects of acute oral N
acetylcysteine (NAC) supplementation on Yo-Yo Intermittent Recovery Test Level 1
(YIRT-L1) performance after repeated bouts of damaging intermittent exercise. In
a pair-matched design, 12 recreationally trained men engaged in 6 d of either NAC
(n = 6) or placebo (n = 6) supplementation. After a treatment-loading day,
participants completed 3 testing sessions, on alternating days, consisting of a
preexercise isokinetic dynamometry (IKD) test, a damaging intermittent-exercise
protocol, YIRT-L1, and a postexercise IKD test. Another IKD test was completed on
the 2 intervening d. NAC treatment resulted in a significant preservation of YIRT
L1 performance (p <= .0005). IKD performance significantly deteriorated over time
at all contraction speeds, and this deterioration was not influenced by treatment
group. Plasma creatine kinase values increased significantly over time (p = .002)
and were significantly greater in the NAC group than in the placebo group (p =
.029). NAC induced mild gastrointestinal side effects. NAC supplementation may be
a useful strategy to enhance performance during short-term competitive situations
when adaption is inconsequential. Titration studies to elucidate a treatment dose
that enhances performance without inducing side effects are now required.
PMID- 22089306
TI - Muscle-fiber type and blood oxidative stress after eccentric exercise.
AB - Acute strength exercise elicits a transient oxidative stress, but the factors
underlying the magnitude of this response remain unknown. The purpose of this
investigation was to determine whether muscle-fiber type relates to the magnitude
of blood oxidative stress after eccentric muscle activity. Eleven college-age men
performed 3 sets of 50 eccentric knee-extensions. Blood samples taken pre-, post
, and 24, 48, 72, and 96 hr postexercise were assayed for comparison of muscle
damage and oxidative-stress biomarkers including protein carbonyls (PCs). Vastus
lateralis muscle biopsies were assayed for relative percentage of slow- and fast
twitch muscle fibers. There was a mixed fiber composition (Type I = 39.6% +/-
4.5%, Type IIa = 35.7% +/- 3.5%, Type IIx = 24.8% +/- 3.8%; p = .366). PCs were
elevated 24, 48, and 72 hr (p = .032) postexercise, with a peak response of 126%
(p = .012) above baseline, whereas other oxidative-stress biomarkers were
unchanged. There are correlations between Type II muscle-fiber type and
postexercise PC. Further study is needed to understand the mechanisms responsible
for the observed fast-twitch muscle-fiber oxidative-stress relationship.
PMID- 22089307
TI - Fluid balance and sodium losses during indoor tennis match play.
AB - This study assessed fluid balance, sodium losses, and effort intensity during
indoor tennis match play (17 +/- 2 degrees C, 42% +/- 9% relative humidity) over
a mean match duration of 68.1 +/- 12.8 min in 16 male tennis players. Ad libitum
fluid intake was recorded throughout the match. Sweat loss from change in nude
body mass; sweat electrolyte content from patches applied to the forearm, calf,
and thigh, and back of each player; and electrolyte balance derived from sweat,
urine, and daily food-intake analysis were measured. Effort intensity was
assessed from on-court heart rate compared with data obtained during a maximal
treadmill test. Sweat rate (M +/- SD) was 1.1 +/- 0.4 L/hr, and fluid-ingestion
rate was 1.0 +/- 0.6 L/hr (replacing 93% +/- 47% of fluid lost), resulting in
only a small mean loss in body mass of 0.15% +/- 0.74%. Large interindividual
variabilities in sweat rate (range 0.3-2.0 L/hr) and fluid intake (range 0.31
2.52 L/hr) were noted. Whole-body sweat sodium concentration was 38 +/- 12
mmol/L, and total sodium losses during match play were 1.1 +/- 0.4 g (range 0.5
1.8 g). Daily sodium intake was 2.8 +/- 1.1 g. Indoor match play largely
consisted of low-intensity exercise below ventilatory threshold (mean match heart
rate was 138 +/- 24 beats/min). This study shows that in moderate indoor
temperature conditions players ingest sufficient fluid to replace sweat losses.
However, the wide range in data obtained highlights the need for individualized
fluid-replacement guidance.
PMID- 22089308
TI - Impact of iron depletion without anemia on performance in trained endurance
athletes at the beginning of a training season: a study of female collegiate
rowers.
AB - The objective of this study was to determine the impact of iron depletion without
anemia on performance in a sample of female collegiate rowers at the beginning of
a training season (August 2008, January 2009, and September 2009). One hundred
sixty-five female collegiate rowers from 5 colleges and universities in central
New York State participated in a screening of iron status. Blood hemoglobin
(Hgb), serum ferritin (sFer), and soluble transferrin receptor were measured to
determine prevalence of iron depletion and anemia. Rowers' habitual moderate and
vigorous physical activity, as well as their best time to complete a 2-km
simulated race during the previous 3 months, were self-reported. Sixteen rowers
(10%) were identified as anemic (Hgb <12.0 g/dl). Using a sFer cutoff of <20.0
MUg/L, 30% (n = 44) of the nonanemic rowers were identified as iron depleted
without anemia and reported 2-km times ~21 s slower (p < .004) than rowers with
normal iron status. Given the high prevalence of iron depletion reported in this
and other studies, screening for low iron stores at the start of a training
program in female athletes involved in an endurance sport may be clinically
useful. In this study, iron-depleted rowers (sFer <20-25 MUg/L) reported a
decrease in performance time compared with those with normal iron stores.
PMID- 22089309
TI - Nutrition status of junior elite Canadian female soccer athletes.
AB - CONTEXT: Adolescent female team-sport athletes are faced with the challenge of
meeting nutrition requirements for growth and development, as well as sport
performance. There is a paucity of evidence describing the dietary adequacy of
this population in respect to these physiological demands. Therefore, the aim of
this study was to comprehensively evaluate the nutrition status of junior elite
female soccer athletes. METHOD: A total of 33 athletes (15.7 +/- 0.7 yr)
completed anthropometric assessment, 4-day food records analyzed for macro- and
micronutrient intake, and hematological analysis. Energy expenditure was
estimated using predictive equations. RESULTS: Mean sum of 7 skinfolds was 103.1
+/- 35.2 mm, and body-mass index was 22.7 +/- 2.7. Mean energy intake was 2,079
+/- 460 kcal/day, and estimated energy expenditure was 2,546 +/- 190 kcal/day. Of
the athletes, 51.5% consumed <5g/kg carbohydrate, 27.3% consumed <1.2g/kg
protein, and 21.2% consumed <25% of energy intake from fat. A large proportion of
athletes did not meet Dietary Reference Intakes for pantothenic acid (54.5%),
vitamin D (100%), folate (69.7%), vitamin E (100%), and calcium (66.7%). Compared
with recommendations for athletes, 89.3% and 50.0% of participants had depleted
iron and 25-hydroxyvitamin D, respectively. CONCLUSION: A high proportion of
players were not in energy balance, failed to meet carbohydrate and micronutrient
recommendations, and presented with depleted iron and vitamin D status.
Suboptimal nutrition status may affect soccer performance and physiological
growth and development. More research is needed to understand the unique
nutrition needs of this population and inform sport nutrition practice and
research.
PMID- 22089310
TI - Case study: simulated and real-life energy expenditure during a 3-week
expedition.
AB - During prolonged periods of high energy expenditure (EE), restricted food intake
can lead to a loss of body mass. This case study describes the preexpedition
support for an unsupported 3-wk crossing of the Atacama Desert in Chile. The
goals were to simulate the energy requirements of walking under varying
conditions and to predict energy intake and EE to evaluate whether the expected
weight loss was in acceptable limits. The expeditionist (male, 35 yr, 197 cm,
basal weight 80 +/- 0.5 kg) was a well-trained endurance athlete with experience
of multiple expeditions. During the simulation, he walked on a treadmill at
speeds of 2-7 km/hr under varying conditions of inclination (0%, 7.5%), backpack
weight (0 kg, 30 kg), and altitude (sea level, simulated altitude of 3,500 m).
Under all conditions, the lowest EE was observed at 5 km/ hr. Based on the
simulation data, we predicted an average EE of 4,944 kcal/day for the expedition.
Because energy intake was restricted to 2,249 kcal/day, we expected the
expeditionist to lose considerable weight and consequently advised him to gain 5
kg of body-fat reserves. During the actual desert crossing, he covered a distance
of 26 +/- 7 km/day at an average speed of 3.8 +/- 0.4 km/hr. Daily EE (4,817 +/-
794 kcal/day) exceeded energy intake (1,771 +/- 685 kcal/day), and the negative
energy balance was in agreement with the actual weight loss of 10.5 kg, which was
most notable in the lower trunk.
PMID- 22089311
TI - Proceedings of the XX Congress of the Italian Society for Pure and Applied
Biophysics (SIBPA) Arcidosso (Gr), Italy, September 2010.
PMID- 22089313
TI - Isolation and characterization of main group and late transition metal complexes
using orthometallated imine ligands.
AB - Several late transition metal and main group orthometallated imine complexes were
synthesized by utilizing ortholithiated imine precursors. Magnesium, aluminum,
zinc, copper(I), and tin(IV) complexes were isolated and characterized.
Subsequent reactions with electrophiles such as Ph(2)PCl, MeI and I(2) yielded
several functionalized products, including a new iminophosphine ligand and its
corresponding copper(I) complex. The coordination modes of the orthometallated
imine ligands, as well as the structures of the metal complexes, were studied in
the solid state using small molecule X-ray diffraction when possible.
PMID- 22089314
TI - Gene-centric analysis of serum cotinine levels in African and European American
populations.
AB - To date, most genetic association studies of tobacco use have been conducted in
European American subjects using the phenotype of smoking quantity (cigarettes
per day). However, smoking quantity is a very imprecise measure of exposure to
tobacco smoke constituents. Analyses of alternate phenotypes and populations may
improve our understanding of tobacco addiction genetics. Cotinine is the major
metabolite of nicotine, and measuring serum cotinine levels in smokers provides a
more objective measure of nicotine dose than smoking quantity. Previous genetic
association studies of serum cotinine have focused on individual genes. We
conducted a genetic association study of the biomarker in African American
(N=365) and European American (N=315) subjects from the Coronary Artery Risk
Development in Young Adults study using a chip containing densely-spaced tag SNPs
in ~2100 genes. We found that rs11187065, located in the non-coding region
(intron 1) of insulin-degrading enzyme (IDE), was the most strongly associated
SNP (p=8.91 * 10(-6)) in the African American cohort, whereas rs11763963, located
on chromosome 7 outside of a gene transcript, was the most strongly associated
SNP in European Americans (p=1.53 * 10(-6)). We then evaluated how the top
variant association in each population performed in the other group. We found
that the association of rs11187065 in IDE was also associated with the phenotype
in European Americans (p=0.044). Our top SNP association in European Americans,
rs11763963 was non-polymorphic in our African American sample. It has been
previously shown that psychostimulant self-administration is reduced in animals
with lower insulin because of interference with dopamine transmission in the
brain reward centers. Our finding provides a platform for further investigation
of this, or additional mechanisms, involving the relationship between insulin and
self-administered nicotine dose.
PMID- 22089315
TI - R7BP modulates opiate analgesia and tolerance but not withdrawal.
AB - The adaptor protein R7 family binding protein (R7BP) modulates G protein coupled
receptor (GPCR) signaling and desensitization by controlling the function of
regulator of G protein signaling (RGS) proteins. R7BP is expressed throughout the
brain and appears to modulate the membrane localization and stability of three
proteins that belong to R7 RGS family: RGS6, RGS7, and RGS9-2. RGS9-2 is a potent
negative modulator of opiate and psychostimulant addiction and promotes the
development of analgesic tolerance to morphine, whereas the role of RGS6 and RGS7
in addiction remains unknown. Recent studies revealed that functional deletion of
R7BP reduces R7 protein activity by preventing their anchoring to the cell
membrane and enhances GPCR responsiveness in the basal ganglia. Here, we take
advantage of R7BP knockout mice in order to examine the way interventions in R7
proteins function throughout the brain affect opiate actions. Our results suggest
that R7BP is a negative modulator of the analgesic and locomotor activating
actions of morphine. We also report that R7BP contributes to the development of
morphine tolerance. Finally, our data suggest that although prevention of R7BP
actions enhances the analgesic responses to morphine, it does not affect the
severity of somatic withdrawal signs. Our data suggest that interventions in R7BP
actions enhance the analgesic effect of morphine and prevent tolerance, without
affecting withdrawal, pointing to R7BP complexes as potential new targets for
analgesic drugs.
PMID- 22089316
TI - Noradrenergic vs serotonergic antidepressant with or without naltrexone for
veterans with PTSD and comorbid alcohol dependence.
AB - The wars in Iraq and Afghanistan are associated with high rates of post-traumatic
stress disorder (PTSD) and comorbid alcohol use disorders. The pharmacotherapy of
these comorbid conditions has received relatively little study. The current study
compared the serotonin uptake inhibitor, paroxetine, to the norepinephrine uptake
inhibitor, desipramine. It also evaluated the adjunctive efficacy of the Food and
Drug Administration (FDA)-approved alcoholism pharmacotherapy, naltrexone,
relative to placebo. Four groups of predominately male veterans (n=88) meeting
current diagnostic criteria for both alcohol dependence (AD) and PTSD were
randomly assigned under double-blind conditions to one of four groups:
paroxetine+naltrexone; paroxetine+placebo; desipramine+naltrexone;
desipramine+placebo. Main outcome measures included standardized scales that
assessed symptoms of PTSD and alcohol consumption. Paroxetine did not show
statistical superiority to desipramine for the treatment of PTSD symptoms.
However, desipramine was superior to paroxetine with respect to study retention
and alcohol use outcomes. Naltrexone reduced alcohol craving relative to placebo,
but it conferred no advantage on drinking use outcomes. Although the serotonin
uptake inhibitors are the only FDA-approved medications for the treatment of
PTSD, the current study suggests that norepinephrine uptake inhibitors may
present clinical advantages when treating male veterans with PTSD and AD.
However, naltrexone did not show evidence of efficacy in this population. This
study was registered with ClinicalTrials.gov, registration number NCT00338962 and
URL:
http://clinicaltrials.gov/ct2/show/NCT00338962?term=desipramine+AND+alcohol+depen
ence+AND+depression&recr=Closed&rank=1.
PMID- 22089317
TI - Is cognitive functioning impaired in methamphetamine users? A critical review.
AB - The prevailing view is that recreational methamphetamine use causes a broad range
of severe cognitive deficits, despite the fact that concerns have been raised
about interpretations drawn from the published literature. This article addresses
an important gap in our knowledge by providing a critical review of findings from
recent research investigating the impact of recreational methamphetamine use on
human cognition. Included in the discussion are findings from studies that have
assessed the acute and long-term effects of methamphetamine on several domains of
cognition, including visuospatial perception, attention, inhibition, working
memory, long-term memory, and learning. In addition, relevant neuroimaging data
are reviewed in an effort to better understand neural mechanisms underlying
methamphetamine-related effects on cognitive functioning. In general, the data on
acute effects show that methamphetamine improves cognitive performance in
selected domains, that is, visuospatial perception, attention, and inhibition.
Regarding long-term effects on cognitive performance and brain-imaging measures,
statistically significant differences between methamphetamine users and control
participants have been observed on a minority of measures. More importantly,
however, the clinical significance of these findings may be limited because
cognitive functioning overwhelmingly falls within the normal range when compared
against normative data. In spite of these observations, there seems to be a
propensity to interpret any cognitive and/or brain difference(s) as a clinically
significant abnormality. The implications of this situation are multiple, with
consequences for scientific research, substance-abuse treatment, and public
policy.
PMID- 22089318
TI - Csnk1e is a genetic regulator of sensitivity to psychostimulants and opioids.
AB - Csnk1e, the gene encoding casein kinase 1-epsilon, has been implicated in
sensitivity to amphetamines. Additionally, a polymorphism in CSNK1E was
associated with heroin addiction, suggesting that this gene may also affect
opioid sensitivity. In this study, we first conducted genome-wide quantitative
trait locus (QTL) mapping of methamphetamine (MA)-induced locomotor activity in
C57BL/6J (B6) * DBA/2J (D2)-F(2) mice and a more highly recombinant F(8) advanced
intercross line. We identified a QTL on chromosome 15 that contained Csnk1e (63
86 Mb; Csnk1e=79.25 Mb). We replicated this result and further narrowed the locus
using B6.D2(Csnk1e) and D2.B6(Csnk1e) reciprocal congenic lines (78-86.8 and 78.7
81.6 Mb, respectively). This locus also affected sensitivity to the MU-opioid
receptor agonist fentanyl. Next, we directly tested the hypothesis that Csnk1e is
a genetic regulator of sensitivity to psychostimulants and opioids. Mice
harboring a null allele of Csnk1e showed an increase in locomotor activity
following MA administration. Consistent with this result, coadministration of a
selective pharmacological inhibitor of Csnk1e (PF-4800567) increased the
locomotor stimulant response to both MA and fentanyl. These results show that a
narrow genetic locus that contains Csnk1e is associated with differences in
sensitivity to MA and fentanyl. Furthermore, gene knockout and selective
pharmacological inhibition of Csnk1e define its role as a negative regulator of
sensitivity to psychostimulants and opioids.
PMID- 22089319
TI - Pharmacological activation of group-II metabotropic glutamate receptors corrects
a schizophrenia-like phenotype induced by prenatal stress in mice.
AB - Prenatal exposure to restraint stress causes long-lasting changes in
neuroplasticity that likely reflect pathological modifications triggered by early
life stress. We found that the offspring of dams exposed to repeated episodes of
restraint stress during pregnancy (here named 'prenatal restraint stress mice' or
'PRS mice') developed a schizophrenia-like phenotype, characterized by a
decreased expression of brain-derived neurotrophic factor and glutamic acid
decarboxylase 67, an increased expression of type-1 DNA methyl transferase
(DNMT1) in the frontal cortex, and a deficit in social interaction, locomotor
activity, and prepulse inhibition. PRS mice also showed a marked decrease in
metabotropic glutamate 2 (mGlu2) and mGlu3 receptor mRNA and protein levels in
the frontal cortex, which was manifested at birth and persisted in adult life.
This decrease was associated with an increased binding of DNMT1 to CpG-rich
regions of mGlu2 and mGlu3 receptor promoters and an increased binding of MeCP2
to the mGlu2 receptor promoter. Systemic treatment with the selective mGlu2/3
receptor agonist LY379268 (0.5 mg/kg, i.p., twice daily for 5 days), corrected
all the biochemical and behavioral abnormalities shown in PRS mice. Our data show
for the first time that PRS induces a schizophrenia-like phenotype in mice, and
suggest that epigenetic changes in mGlu2 and mGlu3 receptors lie at the core of
the pathological programming induced by early-life stress.
PMID- 22089320
TI - Compound stimulus presentation and the norepinephrine reuptake inhibitor
atomoxetine enhance long-term extinction of cocaine-seeking behavior.
AB - Drug abstinence is frequently compromised when addicted individuals are re
exposed to environmental stimuli previously associated with drug use. Research
with human addicts and in animal models has demonstrated that extinction learning
(non-reinforced cue-exposure) can reduce the capacity of such stimuli to induce
relapse, yet extinction therapies have limited long-term success under real-world
conditions (Bouton, 2002; O'Brien, 2008). We hypothesized that enhancing
extinction would reduce the later ability of drug-predictive cues to precipitate
drug-seeking behavior. We, therefore, tested whether compound stimulus
presentation and pharmacological treatments that augment noradrenergic activity
(atomoxetine; norepinephrine reuptake inhibitor) during extinction training would
facilitate the extinction of drug-seeking behaviors, thus reducing relapse. Rats
were trained that the presentation of a discrete cue signaled that a lever press
response would result in cocaine reinforcement. Rats were subsequently
extinguished and spontaneous recovery of drug-seeking behavior following
presentation of previously drug-predictive cues was tested 4 weeks later. We find
that compound stimulus presentations or pharmacologically increasing
noradrenergic activity during extinction training results in less future recovery
of responding, whereas propranolol treatment reduced the benefit seen with
compound stimulus presentation. These data may have important implications for
understanding the biological basis of extinction learning, as well as for
improving the outcome of extinction-based therapies.
PMID- 22089321
TI - The effect of dopamine agonists on adaptive and aberrant salience in Parkinson's
disease.
AB - Clinical evidence suggests that after initiation of dopaminergic medications some
patients with Parkinson's disease (PD) develop psychotic symptoms, such as
hallucinations and delusions. Here, we tested the hypothesis that the
neurocognitive basis of this phenomenon can be defined as the formation of
arbitrary and illusory associations between conditioned stimuli and reward
signals, called aberrant salience. Young, never-medicated PD patients and matched
controls were assessed on a speeded reaction time task in which the probe
stimulus was preceded by conditioned stimuli that could signal monetary reward by
color or shape. The patients and controls were re-evaluated after 12 weeks during
which the patients received a dopamine agonist (pramipexole or ropinirole).
Results indicated that dopamine agonists increased both adaptive and aberrant
salience in PD patients, that is, formation of real and illusory associations
between conditioned stimuli and reward, respectively. This effect was present
when associations were assessed by means of faster responding after conditioned
stimuli signaling reward (implicit salience) and overt rating of stimulus-reward
links (explicit salience). However, unusual feelings and experiences, which are
subclinical manifestations of psychotic-like symptoms, were specifically related
to irrelevant and illusory stimulus-reward associations (aberrant salience) in PD
patients receiving dopamine agonists. The learning of relevant and real stimulus
reward associations (adaptive salience) was not related to unusual experiences.
These results suggest that dopamine agonists may increase psychotic-like
experiences in young patients with PD, possibly by facilitating dopaminergic
transmission in the ventral striatum, which results in aberrant associations
between conditioned stimuli and reward.
PMID- 22089323
TI - Generation of normative pediatric skull models for use in cranial vault
remodeling procedures.
AB - PURPOSE: While the goal of craniofacial reconstruction surgery is to restore the
cranial head shape as much towards normal as possible, for the individual
patient, there is, in fact, no normal three-dimensional (3D) model to act as a
guide. In this project, we generated a library of normative pediatric skulls from
which a guiding template could be fabricated for a more standardized, objective
and precise correction of craniosynostosis. METHODS: Computed tomography data
from 103 normal subjects aged 8-12 months were compiled and a 3D computational
model of the skull was generated for each subject. The models were mathematically
registered to a baseline model for each month of age within this range and then
averaged, resulting in a single 3D point cloud. An external cranial surface was
subsequently passed through the point cloud and its shape and size customized to
fit the head circumference of individual patients. RESULTS: The resultant
fabricated skull models provide a novel and applicable tool for a detailed,
quantitative comparison between the normative and patient skulls for preoperative
planning and practice for a variety of craniofacial procedures including vault
remodeling. Additionally, it was possible to extract the suprafrontal orbit
anatomy from the normative model and fabricate a bandeau template to guide
intraoperative reshaping. CONCLUSIONS: Normative head shapes for pediatric
patients have wide application for craniofacial surgery including planning,
practice, standarized operative repair, and standardized measurement and
reporting of outcomes.
PMID- 22089322
TI - Quantitative tract-specific measures of uncinate and cingulum in major depression
using diffusion tensor imaging.
AB - Previous findings suggested the role of the prefrontal cortex, hippocampus, and
cingulate gyrus in major depressive disorders (MDD), but the white matter
microstructural abnormalities of the fibers connecting these brain structures are
not known. The purpose of this study was to test the hypothesis that white matter
abnormalities are present in association fibers of the uncinate fasciculus (UF)
and cingulum bundle (CB) among MDD subjects. A total of 21 MDD subjects aged
between 30 and 65 years and 21 age-matched healthy controls (HC) were recruited.
All subjects were right-handed and without history of diabetes or other cardiac
diseases. We extracted quantitative tract-specific measures based on diffusion
tensor imaging tractography to examine both diffusivity and geometric properties
of the UF and CB. Significantly decreased fractional anisotropy (FA) and
increased radial diffusivity of the right UF were observed in MDD patients
compared with HC (p<0.05), while their geometric characteristics remained
relatively unchanged. Among MDD subjects, depression severity had a significant
negative correlation with normalized number of fibers (NNF) in the right UF (r=
0.53, p=0.02). We also found significant age effect (oldR) in both groups in the FA measure of the CB. Our study
demonstrates novel findings of white matter microstructural abnormalities of the
right UF in MDD. In the MDD group, the severity of depression is associated with
reduced NNF in the right UF. These findings have implications for both clinical
manifestations of depression as well as its pathophysiology.
PMID- 22089324
TI - Success of pure neuroendoscopic technique in the treatment of Sylvian arachnoid
cysts in children.
AB - INTRODUCTION: Neuroendoscopic approaches to Sylvian arachnoid cysts (SACs)
constitute an alternative treatment option to craniotomy for fenestration and
shunting procedures. In this study, the authors discuss their experience on pure
neuroendoscopic technique in the treatment of SACs in children. RESULTS: The
results of treatment of 20 children (range of age, between 7 months and 17 years)
with Galassi type II (n, 5) or III (n, 15) SACs who were subjected to pure
neuroendoscopic fenestration procedure were presented. It was possible to perform
the cystocisternostomy endoscopically in all children with several stomies. The
site of the opening was between the optic nerve and the carotid artery in 19,
between the carotid artery and the oculomotor nerve in 17, and below the
oculomotor nerve in 7. The stomies were enlarged in all cases using the double
balloon. Three of the cases required repetition of the operation and two cases
required "cystoperitoneal shunt" implantation. There was one minor complication
in a patient who had an asymptomatic postoperative subdural effusion, which
resolved spontaneously. Of the 18 cases, in which the neuroendoscopic procedures
succeeded, 10 showed a reduction in cyst size. The mean follow-up period was 53
months. DISCUSSION: Our results suggest that "pure neuroendoscopic" approach can
be used safely in the management of SACs in children. We recommend at least two
fenestration sites for an effective marsupialization of the cyst within the basal
cisterns. In pediatric cases, the use of a small diameter rigid endoscope allows
to reach safely the planned target areas.
PMID- 22089325
TI - Do women pretend orgasm to retain a mate?
AB - The current study tested the hypothesis that women pretend orgasm as part of a
broader strategy of mate retention. We obtained self-report data from 453
heterosexual women (M age, 21.8 years) in a long-term relationship (M length,
32.8 months) drawn from universities and surrounding communities in the
southeastern United States. The results indicated that (1) women who perceived
higher risk of partner infidelity were more likely to report pretending orgasm,
(2) women who reported greater likelihood of pretending orgasm also reported
performing more mate retention behaviors, and (3) women's perceptions of partner
infidelity risk mediated the relationship between pretending orgasm and the
performance of cost-inflicting mate retention behaviors, such as Intersexual
Negative Inducements ("Flirted with someone in front of my partner") and
Intrasexual Negative Inducements ("Yelled at a woman who looked at my partner").
Thus, pretending orgasm may be part of a broader strategy of mate retention
performed by women who perceive higher risk of partner infidelity.
PMID- 22089326
TI - Comparison between intraoperative fentanyl and tramadol to improve quality of
emergence.
AB - BACKGROUND: Cough causes poor quality of emergence from anesthesia and risks of
several complications. We compared fentanyl and an antitussive action of tramadol
on the quality of emergence and postoperative outcome. METHODS: A total of 110
adults (18 to 83 y) of American Society of Anesthesiologists physical status I
III undergoing elective lumbar microdiscectomy with intubated total intravenous
anesthesia were randomly divided into 2 groups of 55 each. The patients assigned
to the fentanyl group received a dose of 1 MUg/kg of fentanyl, whereas those
assigned to the tramadol group received 1 mg/kg of tramadol, at the beginning of
skin closure. We recorded the incidence of cough, quality of extubation at fixed
times, maximal heart rates, maximal blood pressure during emergence,
postoperative pain scores, and consumption of fentanyl. In addition,
postoperative sore throat (POST), hoarseness, postoperative nausea and vomiting,
and other anesthetic and surgical-related complications were recorded. RESULTS:
Tramadol reduced cough incidence, improved extubation quality, and provided more
stable hemodynamics during emergence. There was no significant difference in
postoperative pain, fentanyl consumption, incidence and severity of POST,
hoarseness, and postoperative nausea and vomiting between groups. Moreover, we
found that the incidence of POST did not correlate with cough incidence.
CONCLUSIONS: A dose of 1 mg/kg of tramadol administered intravenously 30 minutes
before the expected extubation, compared with 1 MUg/kg of fentanyl, decreased
cough incidence, improved emergence quality, and provided stable hemodynamics.
However, there was no significant difference between tramadol and fentanyl in
pain scores and fentanyl consumption postoperatively.
PMID- 22089327
TI - Transient infrared spectroscopy: a new approach to investigate valence
tautomerism.
AB - In this work we present, to our knowledge for the first time, the results of a
transient infrared spectroscopic study of the photoinduced valence tautomerism
process in cobalt-dioxolene complexes with sub-picosecond time resolution. The
molecular systems investigated were [Co(tpa)(diox)]PF(6) (1) and
[Co(Me(3)tpa)(diox)]PF(6) (2), where diox = 3,5-di-tert-butyl-1,2-dioxolene; tpa
= tris(2-pyridylmethyl)amine and Me(3)tpa its 6-methylated analogue. Complex (1)
is present in solution as ls-Co(III)(catecholate) (1-CAT), while (2) as hs
Co(II)(semiquinonate) (2-SQ). DFT calculation of the harmonic frequencies for (1)
and (2) allowed us to identify the vibrational markers of catecholate and
semiquinonate redox isomers. Irradiation with 405 and 810 nm pulses (~35 fs) of
(1-CAT) induces the formation of an intermediate excited species from which the
ground state population is recovered with a time constant of 1.5 +/- 0.3 ns.
Comparing the 1 ns transient infrared spectrum with the experimental difference
spectrum FTIR(2-SQ)-FTIR(1-CAT) and with the calculated difference spectrum
IR(c)(1-SQ)-IR(c)(1-CAT) we are able to unequivocally identify the long lived
species as the semiquinonate redox isomer of (1). On the other hand, no evidence
of photoconversion is observed upon irradiation of (2) with 405 nm. Temporal
evolution of transient spectra was analyzed with the combined approach consisting
of singular values decomposition and global fitting (global analysis). After 405
and 810 nm excitation of (1-CAT), the semiquinonate excited species is formed on
an ultrafast time scale (<200 fs) and cools down within the first 50 ps.
Excitation of (2-SQ) with 405 nm wavelength produces a short lived excited state
in which the semiquinonate nature of dioxolene is preserved and the ground state
recovery is completed within 30 ps.
PMID- 22089328
TI - Rasburicase for hyperuricemia in hemolytic uremic syndrome.
AB - BACKGROUND: Acute kidney injury (AKI) with elevated serum uric acid (UA) levels
has been reported in patients with hemolytic uremic syndrome (HUS). AKI is
thought to result from tubular obstruction by UA crystals. Inducing a diuresis
may ameliorate the oligoanuria in such patients. We describe a child with HUS in
whom reducing UA with fluids and rasburicase appeared to accelerate the recovery
of renal function. CASE-DIAGNOSIS/TREATMENT: A 9-month-old Caucasian male infant
presented with 6 days of diarrhea, 3 days of vomiting, and 24 h of oliguria. On
admission, hemoglobin was 8.3 g/dL, platelet count 36,000/L, blood urea nitrogen
73 mg/dL, and serum creatinine (SCr) 2.7 mg/dL. Diarrhea-associated HUS was
diagnosed. The day after admission, SCr was 2.9 mg/dL and UA 12.3 mg/dL. On
hospital day 2, he received a dose of intravenous rasburicase 0.18 mg/kg, and
less than 12 h later, the UA had fallen to 0.3 mg/dL. The SCr level also started
to fall, and urine output progressively increased without the use of diuretics.
Renal function continued to improve, and the UA level remained normal despite
ongoing hemolysis requiring a second red blood cell transfusion on hospital day
5. The patient was discharged on hospital day 7 in good physical condition. Two
months later, he was in good health, with a SCr level of 0.2 mg/dL and UA of 4.2
mg/dL. CONCLUSIONS: We postulate that aggressive management of the high serum UA
level with rasburicase and fluid hydration accelerated the recovery of our
patient. Further studies are needed to determine the role of rasburicase in the
treatment of hyperuricemia in patients with HUS.
PMID- 22089329
TI - Rapid identification of neuraminidase inhibitor resistance mutations in seasonal
influenza virus A(H1N1), A(H1N1)2009, and A(H3N2) subtypes by melting point
analysis.
AB - The high mutation rate of influenza virus, combined with the increasing worldwide
use of influenza virus-specific drugs, allows the selection of viruses that are
resistant to the currently available antiviral medications. Therefore, reliable
tests for the rapid detection of drug-resistant influenza virus strains are
required. We evaluated the use of a procedure involving real-time polymerase
chain reaction (PCR) followed by melting point analysis (MPA) of hybrids formed
between the PCR product and a specific oligonucleotide probe for the
identification of point mutations in the influenza A virus neuraminidase gene
(NA) that are associated with oseltamivir resistance [resulting in the amino acid
change H275Y for seasonal and pandemic influenza A(H1N1) viruses and E119V for
A(H3N2) viruses]. Therefore, 54 seasonal A(H1N1) (12 oseltamivir-resistant and 42
sensitive strains), 222 A(H1N1)2009 (5 resistant, 217 sensitive), and 51 A(H3N2)
viruses (2 resistant, 49 sensitive) were tested by MPA, and the results were
compared to those obtained by sequencing the NA gene. The results clearly
indicate that the identification of drug resistance mutations by MPA is as
accurate as sequencing, irrespective of whether MPA is performed using clinical
material or the corresponding isolate. MPA enables a clear identification of
mutations associated with antiviral resistance.
PMID- 22089330
TI - QTL mapping for seedling traits in wheat grown under varying concentrations of N,
P and K nutrients.
AB - Nutrient use efficiency (NuUE), comprising nutrient uptake and utilization
efficiency, is regarded as one of the most important factors for wheat yield. In
the present study, six morphological, nine nutrient content and nine nutrient
utilization efficiency traits were investigated at the seedling stage using a set
of recombinant inbred lines (RILs), under hydroponic culture of 12 treatments
including single nutrient levels and two- and three-nutrient combinations
treatments of N, P and K. For the 12 designed treatments, a total of 380
quantitative trait loci (QTLs) on 20 chromosomes for the 24 traits were detected.
Of these, 87, 149 and 144 QTLs for morphological, nutrient content and nutrient
utilization efficiency traits were found, respectively. Using the data of the
average value (AV) across 12 treatments, 70 QTLs were detected for 23 traits.
Most QTLs were located in new marker regions. Twenty-six important QTL clusters
were mapped on 13 chromosomes, 1A, 1B, 1D, 2B, 3A, 3B, 4A, 4B, 5D, 6A, 6B, 7A and
7B. Of these, ten clusters involved 147 QTLs (38.7%) for investigated traits,
indicating that these 10 loci were more important for the NuUE of N, P and K. We
found evidence for cooperative uptake and utilization (CUU) of N, P and K in the
early growth period at both the phenotype and QTL level. The correlation
coefficients (r) between nutrient content and nutrient utilization efficiency
traits for N, P and K were almost all significantly positive correlations. A
total of 32 cooperative CUU loci (L1-L32) were found, which included 190 out of
the 293 QTLs (64.8%) for the nutrient uptake and utilization efficiency traits,
indicating that the CUU-QTLs were common for N, P and K. The CUU-QTLs in L3, L7,
L16 and L28 were relatively stable. The CUU-QTLs may explain the CUU phenotype at
the QTL level.
PMID- 22089331
TI - Human miR-31 targets radixin and inhibits migration and invasion of glioma cells.
AB - MicroRNAs (miRNAs) are a novel group of short RNAs, about 20-22 nucleotide in
length, that regulate gene expression in a post-transcriptional manner by
affecting the stability or translation of mRNAs and play important roles in many
biological processes. Many microRNAs have been implicated in glioblastoma. miR-31
is dysregulated in several types of cancer including colon, breast, prostate,
gastric and lung cancers. However, the expression and role of miR-31 in
glioblastoma are still unclear. In this study, we performed real-time reverse
transcriptase polymerase chain reaction (RT-PCR) assays on 10 glioblastoma and 7
normal brain tissues. We found that miR-31 is down-regulated in glioblastoma
compared with normal brain tissues. Ectopic expression of miR-31 inhibited
migration and invasion ability of U251 glioma cells. Expression profiling
analysis revealed that miR-31 affected the cell migration and motility process by
regulating migration and invasion related genes. Finally, we demonstrated that
miR-31 targeted radixin predominantly via inhibition of protein translation
instead of degradation of mRNA.
PMID- 22089332
TI - Gazing into the crystal ball; the future of computer-aided drug design.
PMID- 22089333
TI - Investigation of charge-transfer complexes formation between photoluminescent
graphene oxide and organic molecules.
AB - Charge-transfer complexes have formed between photoluminescent graphene oxide and
organic electron-donating molecules. With the increase of electron-donating power
of molecules, the colour of solutions containing complexes became darker; UV
absorption was red-shifted to longer wavelength and a new charge-transfer complex
emission was also enhanced.
PMID- 22089335
TI - Comparative-effectiveness research as it affects clinical pharmacology.
PMID- 22089338
TI - Comparative efficacy and effectiveness: an opportunity for clinical pharmacology.
AB - Over the past 10 or more years, the drug development paradigm has shifted
radically as a consequence of the availability of generic formulations for many
important drugs and the growing influence of major payers in controlling
reimbursement of new medicines. The demand for health care in an aging and
increasingly information-seeking population is steadily outstripping society's
ability to pay for all possible treatments. Regulatory approval of new drugs is
necessary but no longer sufficient for market access in many countries, including
the United States.
PMID- 22089339
TI - When should we believe nonrandomized studies of comparative effectiveness?
AB - The demand for data from randomized comparative-effectiveness trials will always
outstrip supply. Given their susceptibility to bias, several factors should be
considered when examining nonrandomized comparative-effectiveness studies. These
include comparability of treatments, magnitude of difference observed, sufficient
attention to the underlying biology, examination of relationships supporting the
main findings, whether the study includes only new users of the study treatments,
whether the study end point is validly recorded, and replication of results.
PMID- 22089340
TI - Model-based meta-analysis for comparative efficacy and safety: application in
drug development and beyond.
AB - High development cost, low development success, cost-disciplined health-care
policies, and intense competition demand an efficient drug development process.
New compounds need to bring value to patients by being safe, efficacious, and
cost-effective as compared with existing treatment options. Model-based meta
analysis (MBMA) facilitates integration and utilization of summary-level efficacy
and safety data, providing a quantitative framework for comparative efficacy and
safety assessment. This Commentary discusses the application and limitations of
MBMA in drug development.
PMID- 22089341
TI - Clearing the smoke around medical marijuana.
AB - The hazy world of "medical marijuana" continues to cry out for clear data on
which to base medical decision making and rational policy design. In this issue
of Clinical Pharmacology & Therapeutics, Abrams and colleagues report that
vaporized cannabis does not meaningfully affect opioid plasma levels and may even
augment the efficacy of oxycodone and morphine in patients with chronic non
cancer pain. This Commentary considers the implications of this work for clinical
practice and further research initiatives.
PMID- 22089342
TI - PON1 Q192R and clopidogrel: a case of the winner's curse or inadequate
replication?
AB - The antiplatelet drug clopidogrel is one of the most commonly prescribed drugs in
the world, but there is wide interpatient variability in its antiplatelet
effects. The majority of this variation is due to genetic effects, but there is
controversy over which genetic variants are important and their relative
contribution. This controversy may stem from the genetic association research
paradigm, which casts the "winner's curse."
PMID- 22089343
TI - Unveiling the mysteries of clopidogrel metabolism and efficacy.
AB - Clopidogrel is an important antiplatelet agent, but a considerable variability in
the biological effect of the drug has been observed. Additionally, patients with
insufficient platelet reactivity inhibition following a loading dose (LD) of
clopidogrel have a poor outcome. The mechanisms of variability are dependent on
genetic polymorphisms of enzymes involved in clopidogrel metabolism. Paraoxonase
1 has been identified as the main determinant of the biological and clinical
efficacy of clopidogrel. This finding could enable the use of pharmacogenomics to
tailor antiplatelet agents.
PMID- 22089345
TI - KRAS detection in colonic tumors by DNA extraction from FTA paper: the molecular
touch-prep.
AB - DNA isolated from formalin-fixed paraffin-embedded (FFPE) tissue is usually more
degraded and contains more polymerase chain reaction (PCR) inhibitors than DNA
isolated from nonfixed tissue. In addition, the tumor size and cellular
heterogeneity found in tissue sections can often impact testing for molecular
biomarkers. As a potential remedy to this situation, we evaluated the use of
Whatman FTA paper cards for collection of colorectal tumor samples before tissue
fixation and for isolation of DNA for use in a real-time PCR-based KRAS mutation
assay. Eleven colon tumor samples were collected by making a cut into the fresh
tumor and applying the Whatman FTA paper to the cut surface. Matched FFPE tissue
blocks from these tumors were also collected for comparison. KRAS mutation
analysis was carried out using the Applied Biosystems 7500 Fast Real-time PCR
System using 7 independent custom TaqMan PCR assays. Of the 11 colon tumors
sampled, 6 were positive for KRAS mutations in both the Whatman FTA paper
preparations and corresponding FFPE samples. Whatman FTA paper cards for
collection of colorectal tumor samples before tissue fixation and for isolation
of DNA have many advantages including ease of use, intrinsic antimicrobial
properties, long storage potential (stability of DNA over time), and a faster
turnaround time for results. Extracted DNA should be suitable for most molecular
diagnostic assays that use PCR techniques. This novel means of DNA preservation
from surgical specimens would benefit from additional study and validation as a
dependable and practical technique to preserve specimens for molecular testing.
PMID- 22089346
TI - Analysis of hematopoietic stem cell transplant engraftment: use of loss or gain
of microsatellite alleles to identify residual hematopoietic malignancy.
AB - Polymorphic short tandem repeat (STR), or microsatellite, loci have been widely
used to analyze chimerism status after allogeneic hematopoietic stem cell
transplantation. The presence of a patient's DNA, as identified by STR analysis,
may indicate residual or recurrent malignant disease or may represent normal
hematopoiesis of patient origin. The ratio of patient-derived to donor-derived
alleles is used to calculate the relative amount of patient cells (both benign
and malignant) to donor cells. STRs on chromosomes known to be gained or lost in
a patient's tumor are generally ignored because it is difficult to perform
meaningful calculations of mixed chimerism. However, in this study, we present
evidence that STR loci on gained or lost chromosomes are useful in distinguishing
the benign or malignant nature of chimeric DNA. In the peripheral blood or bone
marrow of 4 hematopoietic stem cell transplantation patients with leukemia or
lymphoma, we identified tumor DNA on the basis of STR loci showing copy number
alteration. We propose that a targeted evaluation of STR loci showing altered
copy number in posttransplant chimerism analysis can provide evidence of residual
cancer cells.
PMID- 22089347
TI - Expression analysis on archival material: comparison of 5 commercially available
RNA isolation kits for FFPE material.
AB - BACKGROUND: Formalin-fixed paraffin-embedded (FFPE) tissue is the most common
tissue specimen widely available. Moreover, long clinical follow-up is on hand.
Therefore, FFPE material is a precious source of material for identifying
predictive and/or prognostic biomarkers in cancer research on the basis of gene
expression. However, the main drawback of FFPE tissue is the significant
reduction in quantity and quality of the extracted RNA. The aim of this study is
the comparison of different commercially available kits for the RNA isolation in
FFPE tissue material. METHODS: Five commercially available RNA isolation kits
were tested and the concentration, purity, integrity, and raw cycle threshold
values were determined. RESULTS: The mean total RNA concentrations were as
follows: Qiagen 25957+/-19417 ng, Ambion 8249+/-2898 ng, SA Biosciences 8070+/
3700 ng, and Macherey-Nagel 622+/-394 ng. The mean A260/A280 ratios were as
follows: Qiagen: 1.81, SA Biosciences: 0.66, Ambion: 1.03, and Macherey-Nagel:
1.04. The mean A260/A230 ratios were as follows: Qiagen: 1.88, SA Biosciences:
1.61, Ambion: 1.54, and Macherey-Nagel: 1.88. The RNA extractions from Epicentre
could not be measured by the Nanodrop and, therefore, were excluded from further
analysis. The mean RNA integrity number (range, 2.09 to 2.47) and the mean raw
cycle threshold values (range, 33.43 to 35.37) were more or less the same for all
the tested RNA isolation kits. CONCLUSIONS: Altogether, on the basis of the
number of adequate isolations, the kit from Qiagen seems to be the most
appropriate kit to be used in our further studies that require RNA isolation from
FFPE material.
PMID- 22089348
TI - Reference genes for gene expression analysis by real-time reverse transcription
polymerase chain reaction of renal cell carcinoma.
AB - BACKGROUND: Differentiation between malignant renal cell carcinoma and benign
oncocytoma is of great importance to choose the optimal treatment. Accurate
preoperative diagnosis of renal tumor is therefore crucial; however, existing
imaging techniques and histologic examinations are incapable of providing an
optimal differentiation profile. Analysis of gene expression of molecular markers
is a new possibility but relies on appropriate standardization to compare
different samples. The aim of this study was to identify stably expressed
reference genes suitable for the normalization of results extracted from gene
expression analysis of renal tumors. METHODS: Expression levels of 8 potential
reference genes (ATP5J, HMBS, HPRT1, PPIA, TBP, 18S, GAPDH, and POLR2A) were
examined by real-time reverse transcription polymerase chain reaction in tumor
and normal tissue from removed kidneys from 13 patients with renal cell carcinoma
and 5 patients with oncocytoma. RESULTS: The expression levels of genes were
compared by gene stability value M, average gene stability M, pairwise variation
V, and coefficient of variation CV. More candidates were not suitable for the
purpose, but a combination of HMBS, PPIA, ATP5J, and TBP was found to be the best
combination with an average gene stability value M of 0.9 and a CV of 0.4 in the
18 tumors and normal tissues. CONCLUSIONS: A combination of 4 genes, HMBS, PPIA,
ATP5J, and TBP, is a possible reference in renal tumor gene expression analysis
by reverse transcription polymerase chain reaction. A combination of four genes,
HMBS, PPIA, ATP5J and TBP, being stably expressed in tissues from RCC is possible
reference genes for gene expression analysis.
PMID- 22089349
TI - DDIT3 gene break-apart as a molecular marker for diagnosis of myxoid liposarcoma-
assay validation and clinical experience.
AB - Myxoid liposarcoma with or without a round cell component is the most common
subtype of liposarcoma. The diagnosis of myxoid liposarcoma could be challenging
with histology, as a variety of soft tissue tumors with myxoid change might mimic
myxoid liposarcoma, especially on small biopsy tissues. Chromosomal
translocations of t(12,16) (q13;p11) and t(12;22) (q13;q12), rendering gene
fusions of DDIT3 (previously CHOP) with FUS and EWSR1, have been found to be
characteristic of myxoid liposarcoma, and were identifiable in more than 95%
cases. These genetic alterations, therefore, are ideal as molecular markers to
facilitate the diagnosis of this type of tumor. DDIT3 (12q13) dual-color break
apart rearrangement probe for fluorescence in situ hybridization has been
commercially available. However, its consistency with DDIT3-associated gene
fusion and its clinical use, including sensitivity and specificity, have not been
adequately evaluated. In this study, we assessed the locus specificity of the
probe on metaphase, and then tested it on 8 cases of myxoid liposarcoma, 12 cases
of other sarcomas, and 18 cases of tumors with myxoid differentiation. All 8
myxoid liposarcomas showed DDIT3 gene break-apart, whereas all 12 other sarcomas
were negative. All the cases with DDIT3 break-apart also showed FUS-DDIT3 fusion
by reverse transcription-polymerase chain reaction, with 100% consistency. In
addition, the FISH assay has been clinically applied on 18 myxoid tumors with
promising outcome. In conclusion, FISH with DDIT3 break-apart probe is a highly
sensitive and specific assay for detection of DDIT3-associated gene fusions, and
therefore is a valuable adjunct in diagnosis or differential diagnosis of myxoid
liposarcoma.
PMID- 22089350
TI - Characterization of molecular genetic alterations in GBMs highlights a
distinctive molecular profile in young adults.
AB - To evaluate age-related differences in histopathologic and molecular profile of
glioblastomas (GBMs) at various age groups, with special reference to TP53
mutation, epidermal growth factor receptor (EGFR) amplification, EGFR vIII
mutant, PTEN deletion, and IDH1 mutation. Agewise GBM incidence was calculated
over a period of 5 years (2005 to 2009). Seventy-five GBMs were selected for
molecular analysis. Majority of cases were in the age group of 41 to 60 years,
and mean age was 43.6 years. Histology of all 75 cases selected for molecular
profiling was identical. Primary adult GBMs showed EGFR amplification and PTEN
deletion in majority (37.3% and 54.9%, respectively). TP53 and IDH1 mutations
were rare (11.8% cases each). In secondary GBMs, TP53 (66.7%) and IDH1 mutations
(44.4%) were most frequent. PTEN deletion was seen in 33.3% and none had EGFR
amplification. Pediatric GBMs (<18 y) harbored frequent TP53 mutations (46.7%)
and PTEN deletion in 40%. IDH1 mutations and EGFR amplification were absent. The
molecular profile of primary GBMs in young adults (19 to 40 y) was distinctly
different from that of adults older than 40 years. TP53 mutation was present in
20% cases. The frequency of EGFR amplification (13.3%) and PTEN deletion (33.3%)
was significantly low (P=0.028 and 0.046, respectively). IDH1 mutation, which is
rare in primary adult GBMs, was present in 40% of cases. Molecular heterogeneity
exists within GBMs of different age cohorts. The molecular profile of GBMs in
young adults is distinctly different. Thus, there is a strong need for further
studies in various age groups to provide guidelines for therapeutic targeting.
PMID- 22089351
TI - Galectin-3 and CD44v6 as markers for preoperative diagnosis of thyroid cancer by
RT-PCR.
AB - The aim of the study was to determine the diagnostic value of reverse
transcriptase polymerase chain reaction (RT-PCR) analysis of galectin-3 and
CD44v6 as markers for preoperative diagnosis of malignancy in lesions of the
thyroid. RT-PCR analysis of galectin-3 and CD44v6 expression was performed on RNA
isolated from fine-needle aspirates of thyroid lesions from 428 patients. The
results were evaluated against the postoperative histopathological diagnosis or
definitive cytological diagnosis in cases of nodular goiter and Hashimoto
thyroiditis. A total of 57 (13%) samples were inadequate for RT-PCR. Galectin-3
and CD44v6 were positive in 167 (45%) and 158 (43%) out of 371 adequate samples,
respectively. Galectin-3 and CD44v6 were positive in 56 (86%) and 54 (83%) out of
65 papillary carcinomas, in 16 (29%) and 18 (32%) out of 56 Hashimoto's
thyroiditis, in 61 (34%) and 52 (29%) out of 181 nodular goiters, in 23 (43%) and
23 (43%) out of 53 follicular adenomas, in 3 (100%) and 3 (100%) out of 3
follicular carcinomas, and in 8 (62%) and 8 (62%) out of 13 Hurthle cell
adenomas, respectively. Specificity, sensitivity, and positive and negative
predictive values in discriminating between malignant and benign thyroid nodules
were 64, 87, and 35 and 96% for galectin-3; 67, 84, and 36 and 95% for CD44v6;
and 79, 82, and 47 and 95% for the analysis of both markers (considered positive
only if both galectin-3 and CD44v6 were positive), respectively. Owing to
relatively low specificity, the clinical value of galectin-3 and CD44v6 analysis
by RT-PCR as a marker for preoperative diagnosis of malignancy in thyroid lesions
is limited.
PMID- 22089352
TI - Establishment of a novel target-based real-time quantitative PCR method for
Acinetobacter baumannii detection.
AB - Biofilm formation is a well-known pathogenic mechanism in infections caused by
Acinetobacter baumannii. Recently, a biofilm synthesis-associated gene has been
found in A. baumannii ATCC19606. Bioinformatic analysis showed 2 transmembrane
structures and an hmsS superfamily domain, which was related to biofilm
formation. What is more, high homology sequences of the bfs gene were only
present in A. baumannii spp., and the similarities of nucleotide sequences of the
bfs gene from A. baumannii strains ATCC17978, ACICU, S1, AB307-0294, and AB0057
compared with the reported sequence of bfs (GenBank accession No.: NZ_GG704572)
were all above 95%. The distribution and conservation of the bfs gene from
clinically derived A. baumannii strains were verified through conventional
polymerase chain reaction (PCR). After this, we established a bfs gene-based real
time quantitative PCR assay to detect A. baumannii. Species specificity and
sensitivity assays were designed and validated. By using this method, all the A.
baumannii strains separated from clinical samples were identified and showed good
accordance with the results from biochemical identification. This study is the
first report of developing a bfs gene-based quantitative polymerase chain
reaction for rapid, stable, and specific detection of A. baumannii. This method
can be applied to clinical laboratory diagnosis, and detection of A. baumannii
present on medical instruments.
PMID- 22089353
TI - Timely diagnosis and disclosure of Alzheimer disease gives patients opportunities
to make choices.
PMID- 22089354
TI - Dermatologists' awareness of and screening practices for hepatitis B virus
infection before initiating tumor necrosis factor-alpha inhibitor therapy.
AB - OBJECTIVE: The aim of the study was to assess dermatologists' awareness of
available guidelines and drug package insert information on the screening for and
management of hepatitis B (HBV) infection in patients receiving tumor necrosis
factor-alpha inhibitor (TNF-alphaI) drug therapies for dermatological disorders.
MATERIALS AND METHODS: An electronic descriptive cross-sectional questionnaire
was administered to a random, nationwide sample of physician members of the
American Academy of Dermatology. Each participating physician answered 8
questions regarding his or her awareness of the risk of HBV reactivation.
RESULTS: More than half of the dermatologists surveyed (52%) were aware of
guidelines regarding TNF-alphaI use in dermatological disorders. Dermatologists
who were aware of the guidelines performed universal screening 81% of the time
versus 3% of those who were unaware. Approximately 30% of the dermatologists were
aware of drug manufacturers' package insert warnings for risk of HBV reactivation
with TNF-alphaIs. Screening in their high-risk patients was highly variable
because >90% performed screening in patients with a history of hepatitis or with
elevated liver-associated enzymes. Most (73%) screened appropriately with HB
surface antigen. One case of HBV reactivation was observed with infliximab use
for psoriasis treatment. CONCLUSIONS: Based on this survey, improving education
among dermatologists regarding the risks of HBV reactivation and its prevention
for patients receiving TNF-alphaI seems warranted. More specific consensus
guidelines are recommended to achieve universal screening as the standard of care
in these patients.
PMID- 22089355
TI - Risk factors of cellulitis treatment failure with once-daily intravenous
cefazolin plus oral probenecid.
AB - OBJECTIVES: Once-daily intravenous cefazolin with probenecid is used commonly to
treat cellulitis. The primary objective of this study was to determine the risk
factors of treatment failure with this regimen. METHODS: This was a retrospective
cohort study of adult outpatients with cellulitis who were initially treated with
once-daily intravenous cefazolin plus probenecid. Treatment failure is defined as
inadequate improvement that necessitates either hospital admission or a change in
antibiotic therapy to a different intravenous regimen. A stepwise logistic
regression analysis was performed to determine the risk factors for regimen
failure. RESULTS: From January 2003 to December 2008, 159 patients with
cellulitis were initially treated with once daily intravenous cefazolin plus
probenecid. Thirty-five (22%) patients had treatment failure. The treatment for
53% (9/17) of the patients with a history of chronic venous disease (CVD) failed,
whereas the treatment for 18% (26/142) of patients without CVD failed (P =
0.001). Multivariate analysis identified the presence of CVD as the only risk
factor associated with treatment failure (odds ratio 4.4, 95% confidence interval
1.5-13; P = .007). CONCLUSIONS: Patients with cellulitis and CVD who are being
treated with once-daily intravenous cefazolin plus probenecid should be monitored
closely for treatment failure.
PMID- 22089356
TI - Differences in national antiretroviral prescribing patterns between black and
white patients with HIV/AIDS, 1996-2006.
AB - OBJECTIVES: The benefit of improved health outcomes for blacks receiving highly
active antiretroviral therapy (HAART) lags behind that of whites. This project
therefore sought to determine whether the reason for this discrepancy in health
outcomes could be attributed to disparities in use of antiretroviral therapy
between black and white patients with HIV. MATERIALS AND METHODS: The 1996-2006
National Hospital Ambulatory Medical Care Surveys were used to identify hospital
outpatient visits that documented antiretrovirals. Patients younger than 18
years, of nonblack or nonwhite race, and lacking documentation of antiretrovirals
were excluded. A multivariable logistic regression model was constructed with
race as the independent variable and use of HAART as the dependent variable.
RESULTS: Approximately 3 million HIV/AIDS patient visits were evaluated. Blacks
were less likely than whites to use HAART and protease inhibitors (odds ratio,
95% CI 0.81 [0.81-0.82] and 0.67 [0.67-0.68], respectively). More blacks than
whites used non-nucleoside reverse transcriptase inhibitors (odds ratio, 95% CI
1.18 [1.17-1.18]). In 1996, the crude rates of HAART were relatively low for both
black and white cohorts (5% vs 6%). The rise in HAART for blacks appeared to lag
behind that of whites for several years, until 2002, when the proportion of
blacks receiving HAART slightly exceeded the proportion of whites receiving
HAART. In later years, the rates of HAART were similar for blacks and whites (81%
vs 82% in 2006). Blacks appeared less likely than whites to use protease
inhibitors and more likely than whites to use non-nucleoside reverse
transcriptase inhibitors from 2000 to 2004. CONCLUSIONS: Blacks experienced a lag
in the use of antiretrovirals at the beginning of the study; this discrepancy
dissipated in more recent years.
PMID- 22089357
TI - HIV-related disparities: it is not all about HAART accessibility.
PMID- 22089358
TI - Prevalence of atherosclerotic plaque in young and middle-aged asymptomatic
individuals: the Bogalusa heart study.
AB - OBJECTIVES: To determine the prevalence of carotid and femoral artery
atherosclerotic plaque in a community-based population of asymptomatic African
American and white men and women, with an age range of 29 to 51 years, and the
potential relations with cardiovascular risk factors. METHODS: Between 2007 and
2010, 914 subjects, 58% women and 69% white, who were part of the Bogalusa Heart
Study, an ongoing study of a southern biracial community in Bogalusa, Louisiana,
were followed up from childhood through adulthood and assessed for plaque
formation using ultrasound. Of the total number of subjects, those with a history
of cardiovascular/cerebrovascular events were excluded. RESULTS: Plaque
prevalence ranged from 8% to 14%, with greater frequency in white men. Plaque
formation was also associated with smoking, hypertension, diabetes mellitus, age,
and white race, in descending order. CONCLUSIONS: In this population, studied
sequentially since 1973, the presence of plaque correlated with widely recognized
cardiovascular risk factors, although we did not detect significant contributions
from either obesity or elevated lipids, including low-density lipoprotein
cholesterol. It is possible that interventions, such as diet alteration and
statin therapy, may have a positive impact on these potential contributors to
plaque formation, and hypertension, diabetes mellitus and smoking remain of great
importance.
PMID- 22089359
TI - Atherosclerosis--challenging the assumptions.
PMID- 22089360
TI - Prevalence of colorectal cancer screening among a multimorbid rural Appalachian
population.
AB - OBJECTIVES: The purpose of this study was to determine the relation among
multiple morbidities and the prevalence of colorectal cancer (CRC) screening
among older adult Appalachian residents of Kentucky. This is the first known
study to address multiple morbidities exclusively with a health-disparities
population. METHODS: This was a cross-sectional study of 1153 subjects, aged 50
to 76 years, from Appalachian Kentucky. RESULTS: White race, post-high school
education, and perception of having more than enough income on which to survive
were associated with higher rates of any guideline concordant CRC screening.
Statistically significant trends in the outcome of adjusted odds ratios for
colonoscopy with greater number of morbidities (P < 0.05) were noted; the higher
number of morbidities, the higher rates of screening. CONCLUSIONS: Contrary to
much existing research, within a health-disparities population, we found a dose
response relation between comorbidities and greater likelihood of CRC screening.
Future research in this area should focus on explanations for this seldom
described finding. In addition, this finding has meaningful clinical and
behavioral implications, including ensuring provider screening recommendation
during routine office visits and outreach, perhaps through community clinics and
public health departments, to extremely vulnerable populations lacking access to
preventive care.
PMID- 22089361
TI - Factors associated with recently transmitted Mycobacterium tuberculosis strain
MS0006 in Hinds County, Mississippi.
AB - OBJECTIVE: The objective of this study was to investigate risk factors associated
with tuberculosis (TB) transmission that was caused by Mycobacterium tuberculosis
strain MS0006 from 2004 to 2009 in Hinds County, Mississippi. METHODS: DNA
fingerprinting using spoligotyping, mycobacterial interspersed repetitive unit,
and IS6110-based restriction fragment length polymorphism of culture-confirmed
cases of TB was performed. Clinical and demographic factors associated with
strain MS0006 were analyzed by univariate and multivariate analysis. RESULTS: Of
the 144 cases of TB diagnosed during the study period, 117 were culture positive
with fingerprints available. There were 48 different strains, of which 6
clustered strains were distributed among 74 patients. The MS0006 strain accounted
for 46.2% of all culture-confirmed cases. Risk factors for having the MS0006
strain in a univariate analysis included homelessness, HIV co-infection, sputum
smear negativity, tuberculin skin test negativity, and noninjectable drug use.
Multivariate analysis identified homelessness (odds ratio 7.88, 95% confidence
interval 2.90-21.35) and African American race (odds ratio 5.80, 95% confidence
interval 1.37-24.55) as independent predictors of having TB caused by the MS0006
strain of M. tuberculosis. CONCLUSIONS: Our findings suggest that a majority of
recently transmitted TB in the studied county was caused by the MS0006 strain.
African American race and homelessness were significant risk factors for
inclusion in the cluster. Molecular epidemiology techniques continue to provide
in-depth analysis of disease transmission and play a vital role in effective
contact tracing and interruption of ongoing transmission.
PMID- 22089362
TI - Neonates at risk for congenital syphilis: radiographic and cerebrospinal fluid
evaluations.
AB - OBJECTIVE: To review the infants at risk for congenital syphilis (CS) and
determine the optimal use of evaluations such as cerebrospinal fluid (CSF), the
venereal disease research laboratory (VDRL) test, and long bone radiography
studies. METHODS: A retrospective chart review of all of the infants at risk for
CS from January 1997 to December 2002 at the Regional Medical Center at Memphis
was conducted. Subjects were identified from a database of prenatal maternal
records. Infant charts showing a diagnosis of presumptive CS were reviewed and
data were collected. RESULTS: Of the 24,245 deliveries, maternal serology (rapid
plasma reagin and microhemagglutination for treponemal antibody) was reactive in
250 women during pregnancy. Of 92 infants with a presumptive diagnosis of
syphilis, only 2 (2.1%) were symptomatic. CSF examination for VDRL was feasible
in 74 (80%) of the 92 infants. Only 1 (1.35%) of the 74 infants had a positive
CSF-VDRL. Three infants had radiographic changes that were consistent with CS.
CONCLUSIONS: The burden of syphilis in pregnancy remains high. Proper evaluation
of neonates is important in preventing long-term consequences. The frequency of
positive CSF and long bone radiography studies remains low. These evaluations
should be made based on the symptoms and plan of treatment for individual
neonates.
PMID- 22089363
TI - Physical activity benefits and risks on the gastrointestinal system.
AB - This review evaluates the current understanding of the benefits and risks of
physical activity and exercise on the gastrointestinal system. A significant
portion of endurance athletes are affected by gastrointestinal symptoms, but most
symptoms are transient and do not have long-term consequences. Conversely,
physical activity may have a protective effect on the gastrointestinal system.
There is convincing evidence that physical activity reduces the risk of colon
cancer. The evidence is less convincing for gastric and pancreatic cancers,
gastroesophageal reflux disease, peptic ulcer disease, nonalcoholic fatty liver
disease, cholelithiasis, diverticular disease, irritable bowel syndrome, and
constipation. Physical activity may reduce the risk of gastrointestinal bleeding
and inflammatory bowel disease, although this has not been proven unequivocally.
This article provides a critical review of the evidence-based literature
concerning exercise and physical activity effects on the gastrointestinal system
and provides physicians with a better understanding of the evidence behind
exercise prescriptions for patients with gastrointestinal disorders. Well
designed prospective randomized trials evaluating the risks and benefits of
exercise and physical activity on gastrointestinal disorders are recommended for
future research.
PMID- 22089364
TI - Benefits and risks of exercise on the gastrointestinal system.
PMID- 22089365
TI - Follow-up study of a pregnant woman 16 years after exposure in the Xinzhou
radiation accident.
AB - To investigate the late effects of radiation on child-bearing women, a follow-up
study was performed on a 39-year-old survivor 16 years after a (60)Co radiation
accident. The woman, Fang, was 19 weeks pregnant at the time of exposure.
Physical examinations, a full range of clinical laboratory and imaging tests, as
well as cytogenetic analyses were conducted to evaluate Fang's current health
conditions. Fang shows the appearance of premature ageing and has a decreased
menstrual period. Laboratory studies and imaging tests suggest nodular goitre
disease and osteoporosis. Otherwise, no apparent abnormalities were found in the
major organs. No malignant tumours were detected by either tumour markers or
imaging tests. However, the existence of chromosome aberrations warrants long
term follow-up for tumour incidence in the future. Fang became pregnant 8 years
after the accident, but suffered a miscarriage due to the death of the foetus at
6 months into the pregnancy. In conclusion, our findings suggest that the
intrauterine death of the foetus might be associated with the previous exposure.
There is no evidence of malignant tumours as of the date of the follow-up study.
Non-cancerous diseases, i.e. thyroid disease and osteoporosis, which may be
related to radiation exposure, are the major manifestations of the long-term
effects of the accident.
PMID- 22089366
TI - RETRACTED ARTICLE: Acute and chronic toxicity of the pharmaceutical
levonorgestrel to the freshwater crustacean Daphnia magna.
PMID- 22089367
TI - Analysis of the predicting variables for daily and weekly fluctuations of two
airborne fungal spores: Alternaria and Cladosporium.
AB - Alternaria and Cladosporium are two fungal taxa whose spores (conidia) are
included frequently in aerobiological studies of outdoor environments. Both spore
types are present in the atmosphere of Malaga (Spain) throughout almost the
entire year, although they reach their highest concentrations during spring and
autumn. To establish predicting variables for daily and weekly fluctuations,
Spearman's correlations and stepwise multiple regressions between spore
concentrations (measured using a volumetric 7-day recorder) and meteorological
variables were made with results obtained for both spore types in 1996 and 1997.
Correlations and regressions were also made between the different taxa and their
concentrations in different years. Significant and positive correlation
coefficients were always obtained between spore concentrations of both taxa,
followed by temperature, their concentrations in different years, sunshine hours
and relative humidity (this last in a negative sense). For the two spore types we
obtained higher correlation and regression coefficients using weekly data. We
showed different regression models using weekly values. From the results and a
practical point of view, it was concluded that weekly values of the atmospheric
concentration of Alternaria spores can be predicted from the maximum temperature
expected and its concentrations in the years sampled. As regards the atmospheric
concentration of Cladoposrium spores, the weekly values can be predicted based on
the concentration of Alternaria spores, thus saving the time and effort that
would otherwise be employed in counting them by optical microscopy.
PMID- 22089368
TI - Surgical intervention strategies for pediatric ovarian tumors: experience with 60
cases at one institution.
AB - PURPOSE: The aim of this study was to assess the surgical intervention strategies
for pediatric ovarian tumors. METHODS: The clinical features and treatment were
analyzed for 60 children with ovarian tumors treated at our institution between
2000 and 2010. RESULTS: Twenty-one of the 60 patients were prenatally diagnosed
neonatal cases with cystic lesions. Of the 21 neonates, surgery included
ultrasound-guided aspiration in 14 cases, salpingo-oophorectomy by umbilical
crease incision in 6 cases with torsions, and cystectomy with ovarian
preservation in one case with torsion. The mean age of the other 39 patients was
9.3 years. For 31 of these patients with benign lesions, surgery included tumor
resection with ovarian preservation after aspiration of the cystic lesion through
a modified Rocky Davis incision in 21 cases containing 3 torsion cases, and
salpingo-oophorectomy in 10 cases, including 8 torsion cases. A salpingo
oophorectomy was performed for all eight of the patients with malignant tumors,
including borderline lesions of mucinous or serous cyst adenoma, and
postoperative chemotherapy was administered for two yolk sac tumors and one
dysgerminoma. Only one case demonstrating a yolk sac tumor with lung metastasis
at initial diagnosis died of disease after recurrence. CONCLUSIONS: The majority
of pediatric ovarian tumors were benign disease, and the patients with malignant
lesions had a good prognosis. In neonatal cases, an umbilical crease incision
approach is feasible and provides excellent cosmesis. We recommend tumor
resection with ovarian preservation through a minimally invasive approach
(modified Rocky Davis incision) as the first line treatment for older pediatric
patients with ovarian tumors other than those preoperatively diagnosed as
malignant.
PMID- 22089369
TI - Preparation of organic-inorganic hybrid Fe-MoO(x)/polyaniline nanorods as
efficient catalysts for alkene epoxidation.
AB - Novel Fe-MoO(x)/polyaniline nanorods were fabricated via in situ polymerization
of Mo(3)O(10)(C(6)H(5)NH(3))(2).2H(2)O nanowires, in which interface reactions
remarkably influenced the morphology of products; and the nanorods showed high
performance in cyclooctene epoxidation due to the organic-inorganic hybrid
structure and Fe(3+) additive.
PMID- 22089370
TI - Nanodiamond for hydrogen storage: temperature-dependent hydrogenation and charge
induced dehydrogenation.
AB - Carbon-based hydrogen storage materials are one of hottest research topics in
materials science. Although the majority of studies focus on highly porous
loosely bound systems, these systems have various limitations including use at
elevated temperature. Here we propose, based on computer simulations, that
diamond nanoparticles may provide a new promising high temperature candidate with
a moderate storage capacity, but good potential for recyclability. The
hydrogenation of nanodiamonds is found to be easily achieved, in agreement with
experiments, though we find the stability of hydrogenation is dependent on the
morphology of nanodiamonds and surrounding environment. Hydrogenation is
thermodynamically favourable even at high temperature in pure hydrogen, ammonia,
and methane gas reservoirs, whereas water vapour can help to reduce the energy
barrier for desorption. The greatest challenge in using this material is the
breaking of the strong covalent C-H bonds, and we have identified that the
spontaneous release of atomic hydrogen may be achieved through charging of
hydrogenated nanodiamonds. If the degree of induced charge is properly
controlled, the integrity of the host nanodiamond is maintained, which indicates
that an efficient and recyclable approach for hydrogen release may be possible.
PMID- 22089371
TI - Accuracy and inter-observer reliability of visual estimation compared to clinical
goniometry of the elbow.
AB - PURPOSE: To test the hypothesis that visual estimation by a trained observer is
as accurate and reliable as clinical goniometry for measuring elbow range of
motion. METHODS: Instrument validity and inter-observer reliability of visual
estimation was evaluated on a consecutive series of 50 elbow contractures. Four
observers with different levels of elbow experience first estimated extension and
flexion of the contracted elbows and then measured them with a blinded
goniometer. RESULTS: Instrument validity for visually-based goniometry was
extremely high. ICC scores were 0.97 for both extension and flexion estimations.
Systematic error was negligible (1 degrees ) with upper limits of agreement being
9 degrees (95% CI: 7 degrees -11 degrees ) and 8 degrees (95% CI: 6 degrees -10
degrees ), respectively, for extension and flexion. For the expert surgeon, 92%
of the visual estimates were within 5 degrees of the value obtained by clinical
goniometry. Between experienced observers (elbow surgeon and physician
assistant), the ICC's were very high-0.96 for extension and 0.93 for flexion. The
systematic errors were low, from -1 degrees to 1 degrees with upper limit of
agreement being 11 degrees (95% CI: 8 degrees -14 degrees ). However, agreement
was poor between an inexperienced study coordinator and the others (ICC's: 0.51
0.38, systematic errors: 8 degrees -18 degrees , upper limit of agreement: 32
degrees -40 degrees ). The accuracy of the visual estimations made by the
experienced elbow surgeon was as good as the measurements taken with a goniometer
by the physician assistant or the clinical fellow and better than those taken by
an inexperienced study coordinator. CONCLUSIONS: The trained human eye is highly
capable of accurately estimating the range of motion of the elbow, compared to
conventional clinical goniometry, depending on the experience of the observer.
LEVEL OF EVIDENCE: Diagnostic study, Level II.
PMID- 22089372
TI - Increased BMP expression in arthrofibrosis after TKA.
AB - PURPOSE: Because of the multiple possible aetiologies of painful total knee
arthroplasty (TKA), the diagnosis and treatment of such patients are challenging.
In a considerable number of patients, an intraarticular pathology is present,
although not verifiable with clinical and diagnostic imaging techniques as in
cases of primary arthrofibrosis. In these patients, the differentiation between
intra- and extraarticular causes of pain remains difficult. Until now, little
attention has been paid to changes of the synovial fluid and tissue in these
knees. The objective of this study was to analyse the changes of the synovial
environment in patients suffering from arthrofibrosis after TKA in comparison
with knees with referred pain suffering from hip arthritis. The changes of the
synovial environment probably provide additional diagnostic information to verify
an intraarticular pathology. METHODS: The synovial fluid of 10 consecutive knees
in 10 patients presenting with a primary arthrofibrosis after TKA without signs
of infection, instability, malalignment, or loosening was analysed and compared
to the synovial fluid of 10 knees with referred pain serving as controls. The BMP
2 concentration was measured in the synovial fluid, and the presence of cytokines
leading to an overexpression of BMP-2 was detected by measuring the change of BMP
2 expression in a synoviocyte cell line following exposing to the synovial fluid
of the patients. RESULTS: The concentration of BMP-2 in the synovial fluid was
significantly higher in arthrofibrotic TKA knees (24.3 +/- 6.9 pg/mL), compared
with the control group 5.9 +/- 4.8 pg/mL (P < 0.001). Corresponding to this
finding, BMP-2 expression in synoviocytes was upregulated 11.5-fold (P < 0.05) by
synovial fluid of patients suffering from arthrofibrosis after TKA, compared with
the control group with referred pain. CONCLUSION: BMP-2 is overexpressed and its
concentrations are consequently higher in patients suffering from arthrofibrosis
after TKA. The synovial BMP-2 concentration may be a potential marker for
differentiating between intra- and extraarticular causes of pain. LEVEL OF
EVIDENCE: II.
PMID- 22089373
TI - The safety and short-term efficacy of a novel polyurethane meniscal scaffold for
the treatment of segmental medial meniscus deficiency.
AB - PURPOSE: Meniscal loss is associated with an increased risk of developing
osteoarthritis. Tissue engineering solutions, which include the development of
novel material scaffolds, are being utilised to aid the regeneration of meniscal
tissue. The purpose of this study was to monitor the safety profile and the short
term efficacy of a novel polyurethane meniscal scaffold in the treatment of
patients with painful knees following partial medial meniscectomy. METHODS: Ten
consecutive patients with segmental tissue loss from the medial meniscus were
treated with the arthroscopic implantation of an Actifit((r)) (Orteq Sports
Medicine) polyurethane meniscal scaffold. Patients were followed up at 2 and 6
weeks, then again at 6 and 12 months. Primary outcome measures included reporting
of complications and patient-reported outcome scores (KOOS, KSS, UCLA Activity
scale, VAS pain). Secondary outcome was MRI assessment at 6 and 12 months looking
at scaffold morphology, integration and associated joint injury/inflammation.
RESULTS: Eight male and 2 female patients were included in the study with a mean
age of 29 (range 18-45). No complications were reported. All patients were happy
with their surgery. At 6 months, a statistically significant improvement (P <
0.05) in all PROMS except the UCLA activity scale and VAS pain scale were noted.
The improvement remained at 12 months. MRI analysis revealed the presence of
scaffolds at 6 months, with evidence of some tissue integration in many
improvements in scaffold morphology and ICRS classification of cartilage in the
medial compartment were noted at 12 months. No synovitis was noted in the joint
or adverse reactions in the other compartments. CONCLUSION: The new Actifit((r))
polyurethane scaffold has been shown to be a safe, effective implant, for the
treatment of patients with pain as a result of segmental medial meniscus loss at
1 year. LEVEL OF EVIDENCE: IV.
PMID- 22089374
TI - Projected life expectancy of people with HIV according to timing of diagnosis.
AB - BACKGROUND AND OBJECTIVES: Effective antiretroviral therapy (ART) has contributed
greatly toward survival for people with HIV, yet many remain undiagnosed until
very late. Our aims were to estimate the life expectancy of an HIV-infected MSM
living in a developed country with extensive access to ART and healthcare, and to
assess the effect of late diagnosis on life expectancy. METHODS: A stochastic
computer simulation model of HIV infection and the effect of ART was used to
estimate life expectancy and determine the distribution of potential lifetime
outcomes of an MSM, aged 30 years, who becomes HIV positive in 2010. The effect
of altering the diagnosis rate was investigated. RESULTS: Assuming a high rate of
HIV diagnosis (median CD4 cell count at diagnosis, 432 cells/MUl), projected
median age at death (life expectancy) was 75.0 years. This implies 7.0 years of
life were lost on average due to HIV. Cumulative risks of death by 5 and 10 years
after infection were 2.3 and 5.2%, respectively. The 95% uncertainty bound for
life expectancy was (68.0,77.3) years. When a low diagnosis rate was assumed
(diagnosis only when symptomatic, median CD4 cell count 140 cells/MUl), life
expectancy was 71.5 years, implying an average 10.5 years of life lost due to
HIV. CONCLUSION: If low rates of virologic failure observed in treated patients
continue, predicted life expectancy is relatively high in people with HIV who can
access a wide range of antiretrovirals. The greatest risk of excess mortality is
due to delays in HIV diagnosis.
PMID- 22089375
TI - Mental health disorders and the risk of AIDS-defining illness and death in HIV
infected veterans.
AB - OBJECTIVE: Mental health comorbidities are common in HIV-infected veterans and
can impact clinical outcomes for HIV. We examined the impact of mental health
diagnoses on progression to AIDS-defining illness (ADI) and death in a large
cohort of HIV-infected veterans who accessed care between 2001 and 2006. DESIGN:
Retrospective cohort study using the national Veterans Health Administration
(VHA) HIV Clinical Case Registry. METHODS: We identified HIV-infected veterans
initiating combination antiretroviral therapy (cART) within the VHA between 2000
and 2006. The prevalences of the following mental health diagnoses were examined:
schizophrenia, bipolar disorder, depression, anxiety, and substance use disorder.
Cox proportional hazards models were constructed to examine the relationship
between mental health conditions and two outcomes, all-cause mortality and ADI.
Models were computed before and after adjusting for confounding factors including
age, race, baseline CD4 cell count, comorbidities and cART adherence. RESULTS:
Among 9003 veterans receiving cART, 31% had no mental health diagnosis. Age,
race, baseline comorbidity score, CD4, and cART adherence were associated with
shorter time to ADI or death. All-cause mortality was more likely among veterans
with schizophrenia, bipolar disorder and substance use, and ADI was more likely
to occur among veterans with substance use disorder. CONCLUSIONS: Our results
demonstrate the high prevalence of mental health diagnoses among HIV-infected
veterans. In the era of highly active antiretroviral therapy, presence of
psychiatric diagnoses impacted survival and development of ADI. More aggressive
measures addressing substance abuse and severe mental illness in HIV-infected
veterans are necessary.
PMID- 22089376
TI - The causal effect of switching to second-line ART in programmes without access to
routine viral load monitoring.
AB - OBJECTIVES: We examined the effect of switching to second-line antiretroviral
therapy (ART) on mortality in patients who experienced immunological failure in
ART programmes without access to routine viral load monitoring in sub-Saharan
Africa. DESIGN AND SETTING: Collaborative analysis of two ART programmes in
Lusaka, Zambia and Lilongwe, Malawi. METHODS: We included all adult patients
experiencing immunological failure based on WHO criteria. We used Cox
proportional hazards models weighted by the inverse probability of switching to
compare mortality between patients who switched and patients who did not; and
between patients who switched immediately and patients who switched later.
Results are expressed as hazard ratios with 95% credible intervals (95% CI).
RESULTS: Among 2411 patients with immunological failure 324 patients (13.4%)
switched to second-line ART during 3932 person-years of follow-up. The median CD4
cell count at start of ART and failure was lower in patients who switched
compared to patients who did not: 80 versus 155 cells/MUl (P < 0.001) and 77
versus 146 cells/MUl (P < 0.001), respectively. Adjusting for baseline and time
dependent confounders, mortality was lower among patients who switched compared
to patients remaining on failing first-line ART: hazard ratio 0.25 (95% CI 0.09
0.72). Mortality was also lower among patients who remained on failing first-line
ART for shorter periods: hazard ratio 0.70 (95% CI 0.44-1.09) per 6 months
shorter exposure. CONCLUSION: In ART programmes switching patients to second-line
regimens based on WHO immunological failure criteria appears to reduce mortality,
with the greatest benefit in patients switching immediately after immunological
failure is diagnosed.
PMID- 22089378
TI - Cost-effectiveness of combination therapy with etravirine in treatment
experienced adults with HIV-1 infection.
AB - OBJECTIVE: To assess the cost-effectiveness of etravirine (INTELENCE), a novel
nonnucleoside reverse transcriptase inhibitor, used in combination with a
background regimen that included darunavir/ritonavir, from a Canadian Provincial
Ministry of Health perspective. DESIGN: A Markov model with a 3-month cycle time
and six health states based on CD4 cell count ranges was developed to follow a
hypothetical cohort of treatment-experienced adults with HIV-1 infection through
initial and subsequent treatment regimens. METHODS: Costs (in 2009 Canadian
dollars), utilities, and HIV-related mortality data for each health state as well
as non-HIV-related mortality data were estimated from Canadian sources and
published literature. Transition probabilities between health states and first
year hospitalization and mortality rates were derived from clinical trial data.
Incremental 1-year costs per additional adult with viral load less than 50
copies/ml at 48 weeks and incremental lifetime costs per quality-adjusted life
year (QALY) gained were estimated using a 5% discount rate. Sensitivity and
variability analyses and model validation were performed. RESULTS: Etravirine was
associated with an increased probability of achieving less than 50 copies/ml at
48 weeks of 0.205 and an estimated gain of 0.66 discounted (1.48 undiscounted)
QALYs over a lifetime. The incremental 1-year cost per additional person with
viral load less than 50 copies/ml was $23,862. The lifetime incremental cost per
QALY gained was $49,120. For the uncertainty ranges and variability scenarios
tested for the lifetime horizon, the cost-effectiveness ratio was between $28,859
and 66,249. CONCLUSION: When compared with optimized standard of care including
darunavir/ritonavir, adding etravirine represents a cost-effective option for
treatment-experienced adults in Canada.
PMID- 22089377
TI - Ten-year diabetes incidence in 1046 HIV-infected patients started on a
combination antiretroviral treatment.
AB - OBJECTIVE: To evaluate the incidence and determinants of diabetes in a cohort of
HIV-infected adults initiated with combination antiretroviral treatment (cART) in
1997-1999 and followed up to 2009. DESIGN: Prospective study of 1046 patients at
47 French clinical sites. METHODS: Potential determinants of diabetes occurrence,
defined by confirmed increased glycemia and/or initiation of antidiabetic
treatment, were assessed by a proportional hazards model, including time-updated
metabolic parameters and ART exposure. RESULTS: Among the cohort, representing
7846 person-years of follow-up (PYFU), 54% received indinavir, 75% stavudine and
52% didanosine. Overall, 111 patients developed diabetes, with an incidence of
14.1/1000 PYFU (14.6 in men, 12.6 in women). Incidence peaked in 1999-2000
(23.2/1000 PYFU) and decreased thereafter. The incidence of diabetes was
associated [adjusted hazard ratio (aHR), all P<0.02] with older age (hazard ratio
= 2.13 when 40-49 years, hazard ratio = 3.63 when >=50 years), overweight (hazard
ratio = 1.91 for a BMI 25-29 kg/m(2), hazard ratio = 2.85 >30 kg/m(2)), waist-to
hip ratio (hazard ratio = 3.87 for >=0.97 male/0.92 female), time-updated
lipoatrophy (hazard ratio = 2.14) and short-term exposure to indinavir (0-1 year:
hazard ratio = 2.53), stavudine (0-1 year: hazard ratio = 2.56, 1-2 years: hazard
ratio = 2.65) or didanosine (2-3 years: hazard ratio = 3.16). Occurrence of
diabetes was not associated with HIV-related markers, hepatitis C, hypertension
or family history of diabetes. Insulin resistance was predictive for incident
diabetes. CONCLUSIONS: In this nationwide cohort, followed for 10 years after
cART initiation, diabetes incidence peaked in 1990-2000, was markedly higher than
that reported for European uninfected or other HIV-infected populations (4-6/1000
PYFU) and linked with age and adiposity. Adiposity and glycemic markers should be
monitored in aging HIV-infected patients.
PMID- 22089379
TI - Effect of raltegravir intensification on HIV proviral DNA in the blood and gut
mucosa of men on long-term therapy: a randomized controlled trial.
AB - BACKGROUND: Highly active antiretroviral therapy (HAART) dramatically reduces
plasma HIV-1 viremia. However, despite completely suppressive HAART, it has been
suggested that low-levels of viral replication may persist in the gut mucosa and
elsewhere in individuals on long-term HAART. OBJECTIVE: We conducted a double
blind randomized, placebo-controlled trial evaluating whether intensification of
HAART in long-term virologically suppressed individuals with raltegravir is
associated with a reduction in the level of proviral HIV-1 DNA in CD4(+) T cells
in blood and the sigmoid colon (gut). METHODS: Long-term (>4 years) virologically
suppressed HIV-infected individuals on standard HAART were randomized 1 : 1 in a
double-blind fashion to receive raltegravir (400 mg twice/day) or placebo for 48
weeks. After week 48, all participants were treated with raltegravir to week 96.
Blood and sigmoid biopsies were sampled and the frequency of CD4(+) T cells
carrying HIV-1 proviral DNA was determined. RESULTS: Twenty-four study patients
were recruited. At 48 weeks, no difference was apparent between participants
receiving raltegravir or placebo in blood HIV-1 proviral levels (P = 0.62),
CD4(+) T-cell counts (P = 0.25) and gut proviral loads (P = 0.74). Similarly,
prolonged raltegravir intensification up to week 96 had no further effect on both
blood and gut HIV-1 proviral loads and blood CD4(+) T-cell counts. CONCLUSION: In
long-term virologically suppressed patients on standard HAART, intensification
with raltegravir did not result in further decay of CD4(+) T cells carrying HIV-1
proviral DNA in either the blood or gut after 48 or 96 weeks of therapy, or in
any increase in CD4(+) T-cell counts.
PMID- 22089380
TI - Prevalence of seroconversion symptoms and relationship to set-point viral load:
findings from a subtype C epidemic, 1995-2009.
AB - OBJECTIVE: To describe symptoms, physical examination findings, and set-point
viral load associated with acute HIV seroconversion in a heterosexual cohort of
HIV-discordant couples in Zambia. DESIGN: We followed HIV serodiscordant couples
in Lusaka, Zambia from 1995 to 2009 with HIV testing of negative partners and
symptom inventories 3 monthly, and physical examinations annually. METHODS: We
compared prevalence of self-reported or treated symptoms (malaria syndrome,
chronic diarrhea, asthenia, night sweats, and oral candidiasis) and annual
physical examination findings (unilateral or bilateral neck, axillary, or
inguinal adenopathy; and dermatosis) in seroconverting vs. HIV-negative or HIV
positive intervals, controlling for repeated observations, age, and sex. A
composite score comprised of significant symptoms and physical examination
findings predictive of seroconversion vs. HIV-negative intervals was constructed.
We modeled the relationship between number of symptoms and physical examination
findings at seroconversion and log set-point viral load using linear regression.
RESULTS: Two thousand, three hundred and eighty-eight HIV-negative partners were
followed for a median of 18 months; 429 seroconversions occurred. Neither
symptoms nor physical examination findings were reported for most seroconverters.
Seroconversion was significantly associated with malaria syndrome among
nondiarrheic patients [adjusted odds ratio (aOR) = 4.0], night sweats (aOR =
1.4), and bilateral axillary (aOR = 1.6), inguinal (aOR = 2.2), and neck (aOR =
2.2) adenopathy relative to HIV-negative intervals. Median number of symptoms and
findings was positively associated with set-point viral load (P < 0.001).
CONCLUSION: Although most acute and early infections were asymptomatic, malaria
syndrome was more common and more severe during seroconversion. When present,
symptoms and physical examination findings were nonspecific and associated with
higher set-point viremia.
PMID- 22089382
TI - Suppression of murine melanoma growth by a vaccine of attenuated Salmonella
carrying heat shock protein 70 and Herpes simplex virus-thymidine kinase genes.
AB - Attenuated Salmonella can invade tumor cells and acts as a eukaryotic expression
vector for gene propagation. We constructed a bi-gene, eukaryotic co-expression
DNA vaccine of Mycobacterium tuberculosis heat shock protein 70 (mtHSP70) and
Herpes simplex virus-thymidine kinase (HSV-tk) and used attenuated Salmonella as
a vector to treat murine melanoma. In vitro, recombinant Salmonella can carry
plasmid stably and can invade into the cytoplasm of B16 tumor cells expressing
the protein of the mtHSP70/HSV-tk gene by Western blot assay. In vivo, after the
recombinant Salmonella was injected into tumors, the HSV-tk precursor drug
ganciclovir (GCV) was administered to start the HSV-tk killing of tumor cells. We
found that the mtHSP70/HSV-tk recombinant bacteria can raise CD8+ T lymphocytes
in peripheral blood by flow cytometry and in tumor tissues by immunofluorescence
detection, increase IFN-gamma contents in tumor tissue by ELISA and significantly
suppress tumor growth.
PMID- 22089381
TI - Is the virulence of HIV changing? A meta-analysis of trends in prognostic markers
of HIV disease progression and transmission.
AB - OBJECTIVE: The potential for changing HIV-1 virulence has significant
implications for the AIDS epidemic, including changing HIV transmission rates,
rapidity of disease progression, and timing of ART. Published data to date have
provided conflicting results. DESIGN: We conducted a meta-analysis of changes in
baseline CD4(+) T-cell counts and set point plasma viral RNA load over time in
order to establish whether summary trends are consistent with changing HIV-1
virulence. METHODS: We searched PubMed for studies of trends in HIV-1 prognostic
markers of disease progression and supplemented findings with publications
referenced in epidemiological or virulence studies. We identified 12 studies of
trends in baseline CD4(+) T-cell counts (21, 052 total individuals), and eight
studies of trends in set point viral loads (10 ,785 total individuals), spanning
the years 1984-2010. Using random-effects meta-analysis, we estimated summary
effect sizes for trends in HIV-1 plasma viral loads and CD4(+) T-cell counts.
RESULTS: Baseline CD4(+) T-cell counts showed a summary trend of decreasing cell
counts [effect = -4.93 cells/MUl per year, 95% confidence interval (CI) -6.53 to
-3.3]. Set point viral loads showed a summary trend of increasing plasma viral
RNA loads (effect = 0.013 log(10) copies/ml per year, 95% CI -0.001 to 0.03).
The trend rates decelerated in recent years for both prognostic markers.
CONCLUSION: Our results are consistent with increased virulence of HIV-1 over the
course of the epidemic. Extrapolating over the 30 years since the first
description of AIDS, this represents a CD4(+) T cells loss of approximately 148
cells/MUl and a gain of 0.39 log(10) copies/ml of viral RNA measured during
early infection. These effect sizes would predict increasing rates of disease
progression, and need for ART as well as increasing transmission risk.
PMID- 22089383
TI - Novel mechanically competent polysaccharide scaffolds for bone tissue
engineering.
AB - The success of the scaffold-based bone regeneration approach critically depends
on the biomaterial's mechanical and biological properties. Cellulose and its
derivatives are inherently associated with exceptional strength and
biocompatibility due to their beta-glycosidic linkage and extensive hydrogen
bonding. This polymer class has a long medical history as a dialysis membrane,
wound care system and pharmaceutical excipient. Recently cellulose-based
scaffolds have been developed and evaluated for a variety of tissue engineering
applications. In general porous polysaccharide scaffolds in spite of many merits
lack the necessary mechanical competence needed for load-bearing applications.
The present study reports the fabrication and characterization of three
dimensional (3D) porous sintered microsphere scaffolds based on cellulose
derivatives using a solvent/non-solvent sintering approach for load-bearing
applications. These 3D scaffolds exhibited a compressive modulus and strength in
the mid-range of human trabecular bone and underwent degradation resulting in a
weight loss of 10-15% after 24 weeks. A typical stress-strain curve for these
scaffolds showed an initial elastic region and a less-stiff post-yield region
similar to that of native bone. Human osteoblasts cultured on these scaffolds
showed progressive growth with time and maintained expression of osteoblast
phenotype markers. Further, the elevated expression of alkaline phosphatase and
mineralization at early time points as compared to heat-sintered poly(lactic acid
glycolic acid) control scaffolds with identical pore properties affirmed the
advantages of polysaccharides and their potential for scaffold-based bone
regeneration.
PMID- 22089384
TI - Nitric oxide elicitation for secondary metabolite production in cultured plant
cells.
AB - Nitric oxide (NO) is an important signal molecule in stress responses.
Accumulation of secondary metabolites often occurs in plants subjected to
stresses including various elicitors or signal molecules. NO has been reported to
play important roles in elicitor-induced secondary metabolite production in
tissue and cell cultures of medicinal plants. Better understanding of NO role in
the biosynthesis of such metabolites is very important for optimizing the
commercial production of those pharmaceutically significant secondary
metabolites. This paper summarizes progress made on several aspects of NO signal
leading to the production of plant secondary metabolites, including various
abiotic and biotic elicitors that induce NO production, elicitor-triggered NO
generation cascades, the impact of NO on growth development and programmed cell
death in medicinal plants, and NO-mediated regulation of the biosynthetic
pathways of such metabolites. Cross-talks among NO signaling and reactive oxygen
species, salicylic acid, and jasmonic acid are discussed. Some perspectives on
the application of NO donors for induction of the secondary metabolite
accumulation in plant cultures are also presented.
PMID- 22089385
TI - Algal fucoidan: structural and size-dependent bioactivities and their
perspectives.
AB - Fucoidan is a complex-sulfated polysaccharide distributed in various marine
organisms, and the brown algae are reported as the major producer. The fucoidan
is important for their high bioactive properties, like antibacterial,
anticoagulant, antiviral, anti-tumor, etc., and many more to be explored. There
is a strong archival support for the bioactivity and promising properties of this
molecule, which creates a hope for this molecule as future drug against
thrombosis and some kind of cancers. Reports other than the above bioactive
properties have also been a matter of interest for the design of signal or enzyme
arrested new class of drugs. In the past three decades, the research on
isolation, molecular characterization, and screening of biological applications
has significantly increased. One major issue associated with this molecule is the
higher size and seasonal variation in their chemical composition; to resolve the
issue and maintain its bioactivity, a prioritized and literal hydrolysis process
is required to be developed. Here, in this mini-review, we have tried to
summarize the algal fucoidan research and the bioactivities influenced by their
molecular size.
PMID- 22089386
TI - Plasmid DNA fermentation strategies: influence on plasmid stability and cell
physiology.
AB - In order to provide sufficient pharmaceutical-grade plasmid DNA material, it is
essential to gain a comprehensive knowledge of the bioprocesses involved; so, the
development of protocols and techniques that allow a fast monitoring of process
performance is a valuable tool for bioprocess design. Regarding plasmid DNA
production, the metabolic stress of the host strain as well as plasmid stability
have been identified as two of the key parameters that greatly influence plasmid
DNA yields. The present work describes the impact of batch and fed-batch
fermentations using different C/N ratios and different feeding profiles on cell
physiology and plasmid stability, investigating the potential of these two
monitoring techniques as valuable tools for bioprocess development and design.
The results obtained in batch fermentations showed that plasmid copy number
values suffered a pronounced increase at the end of almost all fermentation
conditions tested. Regarding fed-batch fermentations, the strategies with
exponential feeding profiles, in contrast with those with constant feeding,
showed higher biomass and plasmid yields, the maximum values obtained for these
two parameters being 95.64 OD(600) and 344.3 mg plasmid DNA (pDNA)/L,
respectively, when using an exponential feed rate of 0.2 h(-1). Despite the
results obtained, cell physiology and plasmid stability monitoring revealed that,
although higher pDNA overall yields were obtained, this fermentation exhibited
lower plasmid stability and percentage of viable cells. In conclusion, this study
allowed clarifying the bioprocess performance based on cell physiology and
plasmid stability assessment, allowing improvement of the overall process and not
only plasmid DNA yield and cell growth.
PMID- 22089387
TI - L-proline dehydrogenases in hyperthermophilic archaea: distribution, function,
structure, and application.
AB - Dye-linked L-proline dehydrogenase (ProDH) catalyzes the oxidation of L-proline
to ?(1)-pyrroline-5-carboxylate (P5C) in the presence of artificial electron
acceptors. The enzyme is known to be widely distributed in bacteria and eukarya,
together with nicotinamide adenine dinucleotide (phosphate)-dependent P5C
dehydrogenase, and to function in the metabolism of L-proline to L-glutamate. In
addition, over the course of the last decade, three other types of ProDH with
molecular compositions completely different from previously known ones have been
identified in hyperthermophilic archaea. The first is a heterotetrameric
alphabetagammadelta-type ProDH, which exhibits both ProDH and reduced
nicotinamide adenine dinucleotide dehydrogenase activity and includes two
electron transfer proteins. The second is a heterooctameric alpha(4)beta(4)-type
ProDH, which uses flavin adenine dinucleotide, flavin mononucleotide, adenosine
triphosphate, and Fe as cofactors and creates a new electron transfer pathway.
The third is a recently identified homodimeric ProDH, which exhibits the greatest
thermostability among these archaeal ProDHs. This minireview focuses on the
functional and structural properties of these three types of archaeal ProDH and
their distribution in archaea. In addition, we will describe the specific
application of hyperthermostable ProDH for use in a biosensor and for DNA
sensing.
PMID- 22089388
TI - Decolorization of textile dyes by Alishewanella sp. KMK6.
AB - Alishewanella sp. strain KMK6 was isolated from textile dye-contaminated soil.
The strain was able to decolorize and degrade different azo dyes and displayed
high dye degradation ability and tolerance. The bacterium could completely
degrade 2.5 g l(-1) dye, Reactive Blue 59 within 6 h. The induction in the level
of cytochrome P-450 and activities of azoreductase and NADH
dichlorophenolindophenol reductase were observed in the cells after dye
decolorization indicating the role of these enzymes. The intermediates of
Reactive Blue 59 degradation were identified by high-performance liquid
chromatography, gas chromatography and mass spectroscopy, and Fourier transform
infrared spectroscopy. The ecotoxicity has been evaluated for dye and its
metabolites by 3-(4, 5-dimethylthiazol-2-yl)-2,5-diphenyltetrazolium bromide (a
yellow tetrazole) and comet assay, and it revealed that the dye degradation
products were nontoxic.
PMID- 22089389
TI - Agarose-gel-immobilized recombinant bacterial biosensors for simple and
disposable on-site detection of phenolic compounds.
AB - In this study, recombinant bacterial biosensors were immobilized in an agarose
matrix and used for the simple and disposable field monitoring of phenolic
compounds. In brief, Escherichia coli cells harboring the pLZCapR plasmid, which
was previously designed to express the beta-galactosidase reporter gene in the
presence of phenolic compounds, were immobilized in agarose gel with or without a
substrate [chlorophenol red beta-galactopyranoside (CPRG)] and dispensed to the
wells of a 96-well plate. Analytes were added to the wells, and color development
was monitored either directly from wells containing intact cells co-immobilized
with CPRG (SYS I), or using cells that were lysed prior to the addition of CPRG
(SYS L). SYS L showed relatively higher intensities and faster color development
than SYS I. However, both systems developed a red color (representing hydrolysis
of CPRG) in the presence of 10 MUM to 10~100 mM phenol, with maximum responses
seen at 1~5 and 50 mM phenol for SYS I and SYS L, respectively. Other phenolic
compounds (2-chlorophenol, 2-methylphenol, 3-methylphenol, 4-chlorophenol, 2
nitrophenol, resorcinol, catechol, and 2,5-dimethylphenol) were also detected by
the systems, with varied detection ranges and responses. The agarose-immobilized
biosensors were stable for 28 days, retaining 39~69% of their activities when
stored at 4 degrees C without nutrients or additives. The immobilized biosensors
described herein do not require the on-site addition of a substrate (in the case
of SYS I), the pretreatment of samples, or the use of unwieldy instruments for
the on-site monitoring of phenolic compounds from environmental samples.
PMID- 22089390
TI - Alteration in cell surface properties of Burkholderia spp. during surfactant
aided biodegradation of petroleum hydrocarbons.
AB - Chemical surfactants may impact microbial cell surface properties, i.e., cell
surface hydrophobicity (CSH) and cell surface charge, and may thus affect the
uptake of components from non-aqueous phase liquids (NAPLs). This work explored
the impact of Triton X-100, Igepal CA 630, and Tween 80 (at twice the critical
micelle concentration, CMC) on the cell surface characteristics of Burkholderia
cultures, Burkholderia cepacia (ES1, aliphatic degrader) and Burkholderia
multivorans (NG1, aromatic degrader), when grown on a six-component model NAPL.
In the presence of Triton X-100, NAPL biodegradation was enhanced from 21% to 60%
in B. cepacia and from 18% to 53% in B. multivorans. CSH based on water contact
angle (50-52 degrees ) was in the same range for both strains while zeta
potential at neutral pH was -38 and -31 mV for B. cepacia and B. multivorans,
respectively. In the presence of Triton X-100, their CSH increased to greater
than 75 degrees and the zeta potential decreased. This induced a change in the
mode of uptake and initiated aliphatic hydrocarbon degradation by B. multivorans
and increased the rate of aliphatic hydrocarbon degradation in B. cepacia. Igepal
CA 630 and Tween 80 also altered the cell surface properties. For B. cepacia
grown in the presence of Triton X-100 at two and five times its CMC, CSH
increased significantly in the log growth phase. Growth in the presence of the
chemical surfactants also affected the abundance of chemical functional groups on
the cell surface. Cell surface changes had maximum impact on NAPL degradation in
the presence of emulsifying surfactants, Triton X-100 and Igepal CA630.
PMID- 22089391
TI - Heritability and longitudinal stability of impulsivity in adolescence.
AB - Impulsivity is a multifaceted personality construct that plays an important role
throughout the lifespan in psychopathological disorders involving self-regulated
behaviors. Its genetic and environmental etiology, however, is not clearly
understood during the important developmental period of adolescence. This study
investigated the relative influence of genes and environment on self-reported
impulsive traits in adolescent twins measured on two separate occasions (waves)
between the ages of 11 and 16. An adolescent version of the Barratt Impulsiveness
Scale (BIS) developed for this study was factored into subscales reflecting
inattention, motor impulsivity, and non-planning. Genetic analyses of these BIS
subscales showed moderate heritability, ranging from 33-56% at the early wave
(age 11-13 years) and 19-44% at the later wave (age 14-16 years). Moreover,
genetic influences explained half or more of the variance of a single latent
factor common to these subscales within each wave. Genetic effects specific to
each subscale also emerged as significant, with the exception of motor
impulsivity. Shared twin environment was not significant for either the latent or
specific impulsivity factors at either wave. Phenotypic correlations between
waves ranged from r = 0.25 to 0.42 for subscales. The stability correlation
between the two latent impulsivity factors was r = 0.43, of which 76% was
attributable to shared genetic effects, suggesting strong genetic continuity from
mid to late adolescence. These results contribute to our understanding of the
nature of impulsivity by demonstrating both multidimensionality and genetic
specificity to different facets of this complex construct, as well as
highlighting the importance of stable genetic influences across adolescence.
PMID- 22089392
TI - High serum matrix metalloproteinase 3 is characteristic of patients with
paraneoplastic remitting seronegative symmetrical synovitis with pitting edema
syndrome.
AB - Recently, it was reported that remitting seronegative symmetrical synovitis with
pitting edema (RS3PE) syndrome could be complicated with solid tumors. In a
retrospective, multicenter study between October, 2003 and September, 2010, we
investigated the characteristics of patients with paraneoplastic RS3PE syndrome
who fulfilled following criteria: (1) bilateral pitting edema of hands or feet or
both, (2) sudden onset of polyarthritis, and (3) age >50 years, (4)
seronegativity for rheumatoid factor (RF). A total of 33 cases fulfilled the
above criteria. Eight patients (seven men and one woman) developed cancer within
2 years of RS3PE syndrome onset. There was no significant difference between the
neoplastic and nonneoplastic groups in the proportions of patients with fever,
symmetrical polyarthritis, pitting edema, and good response to corticosteroids.
Serum matrix metalloproteinase 3 (MMP-3) level (median 437.3 ng/ml) in the
paraneoplastic RS3PE patients was significantly higher than that in patients
without neoplasia (median 114.7 ng/ml) (p < 0.05). We found that high serum MMP-3
is characteristic of patients with paraneoplastic RS3PE syndrome.
PMID- 22089393
TI - The biomechanical effect of pedicle screws' insertion angle and position on the
superior adjacent segment in 1 segment lumbar fusion.
AB - STUDY DESIGN: A finite element analysis. OBJECTIVE: To investigate the
association between the position of an inserted pedicle screw and the
corresponding facet contact force or intradiscal pressure. SUMMARY OF BACKGROUND
DATA: Although superior facet joint violation by pedicle screws is not an
uncommon occurrence in instrumented lumbar fusion surgery, its actual
biomechanical significance is not well understood. Furthermore, the association
between the position of the pedicle screw and the stress on the corresponding
disc/facet joint has yet to be investigated. METHODS: According to the positions
of pedicle screws in L4 of the L4-L5 lumbar fusion, 4 L4-L5 fusion models were
simulated. These models included the violation of both L3-L4 superior facet
joints by pedicle screws (facet joint violation [FV] model), the nonencroachment
of both L3-L4 superior facet joints by pedicle screws (facet joint preservation
[FP] model), and the removal state of pedicle screws in the FV model (removal of
violated pedicle screws [rFV] model). The facet joint contact [FC] model
represented the scenario in which the pedicle screws did not encroach upon either
facet joint but were inserted close to the L3-L4 facet joint surface. Moreover,
the uninstrumented fusion [UF] model represented the uninstrumented L4-L5 fusion.
In each scenario, the intradiscal pressures and facet contact forces at the L2-L3
and L3-L4 segments were analyzed under extension and torsion moments. RESULTS:
The FV model yielded the greatest increases in facet contact force and
intradiscal pressure at the L3-L4 segment under extension and torsion moments.
Following the FV model, the increases in intradiscal pressure and facet contact
force were the second highest in the FC model followed by the FP model.
Furthermore, the rFV model represented prominent reductions of previously
increased facet contact force and intradiscal pressure at the L3-L4 segment.
CONCLUSION: In models of 1-segment lumbar fusion surgery, the positions of
pedicle screws were closely linked with corresponding disc stresses and facet
contact forces. However, even in cases of facet violation by pedicle screws,
removal of the pedicle screw after fusion completion can reduce facet contract
forces and disc stresses under both extension and torsional moments.
PMID- 22089394
TI - WITHDRAWN:Comparison of Tracheal Intubation Using The Different Devices in a
Cadaver Model with Cervical Instability.
PMID- 22089395
TI - Atlas injury mechanisms during head-first impact.
AB - STUDY DESIGN: An in vitro biomechanical study. OBJECTIVE: To investigate atlas
injury mechanisms due to horizontally aligned head-first impacts of a cadaveric
neck model and to document atlas fracture patterns and associated injuries.
SUMMARY OF BACKGROUND DATA: Experimental atlas injuries have been created by
applying compression or radial forces to isolated C1 vertebrae, dropping weight
or applying sagittal moments to the upper cervical spine segments, or vertical
drop testing of head-neck specimens or whole cadavers. Atlas injuries that
commonly occur due to horizontally aligned head-first impacts have not been
previously investigated. METHODS: Horizontally aligned head-first impacts into a
padded barrier were simulated at 4.1 m/s, using a human cadaver neck model
mounted horizontally to a torso-equivalent mass on a sled and carrying a
surrogate head. Atlantal radial force was computed using head and neck load cell
data. Postimpact dissection documented atlas and associated injuries. Average
atlantal radial force peaks and their occurrence times were statistically
compared (P < 0.05) among the first local and global peaks using paired t tests.
RESULTS: The first average local peak in radial atlantal force was significantly
smaller (1240 vs. 2747 N) and occurred significantly earlier (24 ms vs. 46 ms)
than the global force peak. Atlas injuries consisted of either 3- or 4-part burst
fractures or incomplete lateral mass fracture unilaterally. Associated injuries
included bony avulsion of the transverse ligament unilaterally and fractures of
the occipital condyles, superior facets of the axis, or odontoid. CONCLUSION: The
results indicated that the varied atlas fracture patterns were due primarily to
radial forces causing outward lateral expansion of its lateral masses. Anterior
and posterior arch fracture locations are dependent, in part, upon the cross
sectional arch dimensions. Transverse ligament rupture or bony avulsion is likely
associated with real-life atlantal burst fractures.
PMID- 22089396
TI - Delayed formation of a devastating granulomatous process after metal-on-metal
lumbar disc arthroplasty.
AB - STUDY DESIGN: A case report. OBJECTIVE: We report the case of a female patient
with a delayed and devastating complication after lumbar total disc arthroplasty
(TDA). SUMMARY OF BACKGROUND DATA: The formation of granulomatous tissue
surrounding arthroplasty devices has been described after hip replacements, but
has been reported only in a few cases after spinal surgery. METHODS:
Retrospective case study of a female patient with a delayed complication after
lumbar TDA with a metal-on-metal device for degenerative disc disease at level L4
L5 and with follow-up examination 8 months after surgery. RESULTS: About 11
months after lumbar arthroplasty surgery, the patient developed back pain and
progressive weakness of both legs. A computed tomographic scan revealed soft
tissue surrounding the TDA device and infiltrating the spinal canal. The revision
surgery (posterior fixation and decompression) did not improve the clinical
situation. The progressive growth of soft tissue led to a high-grade paraparesis
and occlusion of the left ureter as well as of both common iliac veins and the
infrarenal part of the vena cava inferior. The TDA device was removed. Another
posterior surgery with extensive instrumentation was necessary to treat the
destruction of vertebral bodies L4 and L5 2 months after the last surgery. The
histopathological analysis revealed a granulomatous necrotizing inflammation.
After the last revision surgery, the patient's back pain decreased. At her last
follow-up, no further growth of the soft tissue mass could be found. CONCLUSION:
Metal-on-Metal TDA devices can induce a tumor-like growth with devastating
consequences. The reduction of device motion by posterior stabilizing surgery
does not seem to stop the growth of the granulomatous mass. The device has to be
removed.
PMID- 22089397
TI - The association of patient characteristics and spinal curve parameters with Lenke
classification types.
AB - STUDY DESIGN: Retrospective review. OBJECTIVE: To determine the association of
patient characteristics and spinal curve parameters with Lenke curve types.
SUMMARY OF BACKGROUND DATA: The Lenke curve classification may be used for
surgical planning and clinical research. METHODS: We retrospectively reviewed the
records of 1912 patients with adolescent idiopathic scoliosis who underwent
initial surgery at 21 years of age or younger; collected data on patient's age,
patient's sex, primary curve magnitude (<50 degrees , 50 degrees -75 degrees ,
and .75 degrees ), and Scoliosis Research Society (SRS) outcomes questionnaire
(SRS-22) score; and compared that data by Lenke curve type. Analysis of variance
and chi tests were used as appropriate (significance level, P <= 0.005).
RESULTS.: Lenke types vary by sex: male patients had more major thoracic (types 1
4) than major thoracolumbar/lumbar (types 5 and 6) curves, fewer lumbar C
modifiers (32% vs. 44%), and less apical lumbar translation (1.1 vs. 1.7 cm).
Lenke types vary by frequency: the most common type was 1 (50%); the least
common, 4 (4%). Lenke types vary by magnitude: type 4 had the greatest percentage
of large curves (52% of curves .75 degrees ), most smaller curves were types 1
and 5, and type 4 had the largest mean magnitude (78 degrees +/- 17 degrees ).
Lenke types vary by patient age: type 5 curves occurred in the oldest patients
(average age at surgery: 15.4 +/- 2.2 vs. 14.3 +/- 14.6 years for all others)
despite having the lowest mean magnitude (P = 0.001); curve size was negatively
correlated with age at surgery (r = -0.16, P = 0.001). Lenke types vary by
patient self-image: patients with type 4 curves had lower preoperative SRS
outcome scores for self-image than did patients with type 1 curves (P = 0.005).
CONCLUSION: Lenke types vary by sex, frequency magnitude, patient age, and
patient self-image, which should be considered in designing studies.
PMID- 22089398
TI - Is surgical case order associated with increased infection rate after spine
surgery?
AB - STUDY DESIGN: Retrospective database review. OBJECTIVE: To determine whether
surgical site infections are associated with case order in spinal surgery.
SUMMARY OF BACKGROUND DATA: Postoperative wound infection is the most common
complication after spinal surgery, with incidence varying from 0.5% to 20%. The
addition of instrumentation, use of preoperative prophylactic antibiotics, length
of procedure, and intraoperative blood loss have all been found to influence
infection rate. No previous study has attempted to correlate case order with
infection risk after surgery. METHODS: A total of 6666 spine surgery cases
occurring between January 2005 and December 2009 were studied. Subjects were
classified into 2 categories: fusion and decompression. Case order was
determined, with each procedure labeled 1 to 5 depending on the number of
previous cases in the room. Variables such as the American Society of
Anesthesiologists score, number of operative levels, wound class, age, sex, and
length of surgery were also tracked. A step-down binary regression was used to
analyze each variable as a potential risk factor for infection. RESULTS:
Decompression cases had a 2.4% incidence of infection. Longer surgical time and
higher case order were found to be significant risk factors for lumbar
decompressions. Fusion cases had a 3.5% incidence of infection. Posterior
approach and revision cases were significant risk factors for infection in
cervical cases. For lumbar fusion cases, longer surgical time, higher American
Society of Anesthesiologists score, and older age were all significant risk
factors for infection. CONCLUSION: Decompressive procedures performed later in
the day carry a higher risk for postoperative infection. No similar trend was
shown for fusion procedures. Our results identify potential modifiable risk
factors contributing to infection rates in spinal procedures. Specific risk
factors, although not defined in this study, might be related to contamination of
the operating room, cross-contamination between health care providers during the
course of the day, use of flash sterilization, and mid-day shift changes.
PMID- 22089400
TI - Primary spinal natural killer/T-cell lymphoma successfully treated with
concurrent chemoradiotherapy and autologous stem cell transplantation.
AB - STUDY DESIGN: This case report shows primary natural killer (NK)/T-cell lymphoma
originating in the spine as the first case of successful treatment with
autologous stem cell transplantation, along with case presentation and literature
review. OBJECTIVE: For the proper treatment of this spinal tumor, the pathologic
confirmation includes immunophenotypic profiling, the understanding of tumor
characteristics, and the consideration of spinal stability are necessary. SUMMARY
OF BACKGROUND DATA: NK/T-cell lymphomas are rare, aggressive neoplastic disorders
with a distinctive clinical pathologic profile and an unfavorable clinical
prognosis. The extranodal nasal-type NK/T-cell lymphomas, which are characterized
by an extranodal presentation and an aggressive clinical course, are prevalent in
Asia and Central and South America. METHODS: A 49-year-old woman presented with
thoracic back pain that developed 3 months before she was admitted to Chung-Ang
University. The radiological image showed a 6 * 4 * 5-cm mass lesion within the
T6-T7 vertebral body. The histopathology was consistent with the finding of NK/T
cell lymphoma. Treatment with chemotherapy (cyclophosphamide, doxorubicin,
vincristine, and prednisone) in combination with radiotherapy (total dose, 30 Gy)
was done, and salvage therapy with autologous stem cell transplantation was
performed. RESULTS: Two-year follow-up magnetic resonance image showed shrinking
remnant mass without spinal deformation and/or instability. Rebiopsy for remnant
mass, nasal area study, and positron emission tomographic scan were performed to
determine the tumor recurrence. There was no evidence of recurrence at the 2-year
follow-up evaluations. CONCLUSION: Some primary NK/T-cell lymphomas that
originate at sites other than the nasal cavity/nasopharynx do not present with
typical clinical features and symptoms. A primary NK/T-cell lymphoma of the spine
should be considered in the differential diagnosis of primary bone tumors. We
report the first case of successful treatment with chemoradiotherapy and
autologous stem cell transplantation in a patient with a primary spinal NK/T-cell
lymphoma.
PMID- 22089401
TI - Development of the Simplified Chinese Version of the Spinal Appearance
Questionnaire: cross-cultural adaptation and psychometric properties evaluation.
AB - STUDY DESIGN: Cross-cultural adaptation and psychometric testing of the Spinal
Appearance Questionnaire (SAQ). OBJECTIVE: To evaluate the reliability and
validity of simplified Chinese version of the SAQ (SC-SAQ). SUMMARY OF BACKGROUND
DATA: The SAQ is widely used to assess the perception of spinal appearance in
patients with adolescent idiopathic scoliosis (AIS). However, there is no
culturally adapted, reliable, and validated SAQ for mainland China. METHOD: The
cross-cultural adaptation of the original SAQ was performed following
international guidelines. The SC-SAQ was administered concurrently with the
simplified Chinese version of the Scoliosis Research Society-22 (SC-SRS-22)
questionnaire to 223 patients with AIS. A total of 92 patients were randomly
selected to complete the questionnaires again 4 to 7 days after the first
completion. Psychometric testing included reliability by internal consistency and
test-test reliability, convergent validity by comparing the SC-SAQ with the SC
SRS-22 appearance domain, and discriminant validity by analyzing the relationship
between SC-SAQ scores and patients' characteristics. RESULT: Internal consistency
for the SC-SAQ was satisfactory, with intradomain correlations ranging from r =
0.526 to r = 0.808 (P, 0.0001). The test-retest reliability for the SC-SAQ was
excellent with intraclass correlation coefficient of 0.933 (95% confidence
interval = 0.903-0.956) and good Bland-Altman agreement. (No systematic bias was
found in the Bland-Altman plot.) Convergent validity test demonstrated a moderate
correlation between the overall SC-SAQ and SC-SRS-22 appearance domain, with rho
= -0.401 (P, 0.0001). Correlation between the overall SC-SAQ and the major curve
magnitude was significant, with r = 0.827 (P, 0.0001). Discriminant validity was
confirmed by significant differences of overall SC-SAQ and individual domain
scores among the 6 subgroups categorized by the major curve magnitude (P, 0.0001)
and among patients requiring exercise, bracing, or surgery (P, 0.0001).
CONCLUSION: The SC-SAQ showed satisfactory reliability and validity in the
evaluation of spinal deformity appearance for patients with AIS in mainland
China.
PMID- 22089402
TI - Unknown case: part 2. Spontaneous regression of herniated disc.
PMID- 22089403
TI - Silanetriols in the gas phase: single molecules vs. hydrogen-bonded dimers.
AB - The first gas phase structure of a silanetriol, tert-butylsilane-triol
[(t)BuSi(OH)(3)], determined by gas electron diffraction (GED), is reported.
Quantum chemical calculations have been performed to elucidate potential
intermolecular interactions between silanetriol molecules in the gas phase. The
results are set into contrast to solid state structures of (t)BuSi(OH)(3) and
related compounds.
PMID- 22089404
TI - Reimagining nursing quality in the accountable care landscape.
PMID- 22089405
TI - Effect of early nutritional support on intensive care unit length of stay and
neurological status at discharge in children with severe traumatic brain injury.
AB - Pediatric severe traumatic brain injury treatment guidelines for nutrition
indicate that "there are insufficient data to support a treatment guideline for
this topic" (P. D. Adelson et al., 2003). Based on adult studies, the guideline
provided an option for practitioners to start nutritional support within 72 hours
of admission and full replacement by day 7. This retrospective, descriptive
correlation study examined the timing of nutritional supplement initiation and
the timing of achieving full caloric intake in relation to length of stay (LOS)
in the intensive care unit (ICU) and patient disposition status at discharge from
hospital in children 8-18 years old. Median time to initiation of nutrition was
1.5 days (0.02-11.9 days), and full caloric goals were achieved in 3.4 days (0.5
19.6 days). Median ICU LOS was 2.1 days (0.01-97.9 days). Overall, 48% of
patients were discharged home; 28% experienced mild, moderate, or severe
disability; and 24% either died or survived in a vegetative state. Early
initiation and achieving full caloric intake were both positively correlated with
shorter LOS in the ICU (p < .01, Spearman's rho correlational matrix) and better
disposition status at discharge from the hospital (p < .05, Kruskal-Wallis test).
PMID- 22089406
TI - The effects of exercise-based rehabilitation on balance and gait for stroke
patients: a systematic review.
AB - This review evaluated the effects of balance and/or gait exercise interventions
for stroke survivors and summarized the available evidence on these exercise
interventions. A search for studies published between January 2001 and January
2010 was performed using the keywords stroke, walking or balance, and physical
activity or exercise. Seventeen randomized clinical trials were identified. The
findings suggest that initiating early rehabilitation during acute to subacute
stroke recovery can improve balance and walking capacity. The findings also
demonstrate that at least 1 hour, three to five times per week, of balance
training and 30 minutes, three to five times per week, of gait-oriented exercise
are effective to improve balance and walking. This review confirms that balance
and walking capacity are improved with specific exercise modalities. A
combination of balance, gait, and aerobic exercises would be ideal.
PMID- 22089407
TI - "Tap and twist": preventing deep vein thrombosis in neuroscience patients through
foot and ankle range-of-motion exercises.
AB - Neurosurgical patients tend to have the highest rate of deep vein thrombosis
(DVT) rate among other postsurgical patients. The methods and timing of DVT
prevention and treatment continue to be debated among neurointensivists. The
greatest opportunity to intervene is early during the stay in the intensive care
unit. There are many factors, however, that can make this the most neglected time
for aggressive prevention measures. For large university teaching hospitals, the
target of the University Health System Consortium is to achieve an average DVT
rate at or below half that of previous reported rates. The current
recommendations are effective only if there is compliance with these measures
during the majority of the patients' hospitalization. Our hypothesis states that
without changing any of the current measures to prevent DVT, a structured program
of foot and ankle range-of-motion (ROM) exercises will decrease the incidence of
DVT in the neuroscience intensive care patient population. This quasi
experimental study was a quality improvement project examining 315 individuals
over the age of 18 years, who were admitted to the neurospine intensive care unit
and who received a new program of foot exercises as a method of DVT prevention.
Data for the outcome measures were derived from bedside measurement of lower
extremity doppler, the percentage of time the exercises were performed, patient
history, and standard DVT prevention measures. Overall, there was no difference
in DVT rates for those receiving the foot ROM intervention during the study
period in 2008-2009 compared with the usual nursing practice for the previous
year. However, during the study period, those who developed DVT had a
significantly lower compliance rate with the ROM exercises (38.7%) than did those
who did not develop DVT (58.4%; p < .001). Therefore, foot and ankle ROM
exercises may have a promising role in reducing the incidence of DVT in
neuroscience intensive care patients when there is diligent performance of the
exercises.
PMID- 22089409
TI - Content validity and acceptability of the daily enhancement of meaningful
activity program: intervention for mild cognitive impairment patient-spouse
dyads.
AB - Persons with mild cognitive impairment (PwMCI) are at greater risk for developing
Alzheimer disease and experience various difficulties that decrease their quality
of life. Very few interventions focus on helping PwMCI improve or maintain
functional performance and enhance quality of life through meaningful activity
engagement. The purpose of the study was to explore PwMCI and their spouses'
perspectives on the content validity, usefulness, and acceptability of the Daily
Enhancement of Meaningful Activity (DEMA) program, which included 6 biweekly face
to-face sessions between session assignments and a self-management tool kit of
written educational handouts. Nine PwMCI-care partner dyads participated in 3
focus groups (PwMCI alone, spouses alone, and couples) to capture their
perspectives on DEMA. The transcribed focus group data were analyzed through
content analysis. The three groups provided support for content validity and
acceptability of the program, and they suggested additional content areas
important to couples experiencing MCI. They also attested to the usefulness of
the tool kit and gave suggestions for its further improvement. The findings
provide evidence of the content validity and acceptability of the DEMA program. A
pilot study to assess feasibility and preliminary efficacy of the DEMA on health
related outcomes is the recommended next research step for this program.
PMID- 22089410
TI - A qualitative assessment of practices associated with shorter door-to-needle time
for thrombolytic therapy in acute ischemic stroke.
AB - Early treatment with intravenous (IV) recombinant tissue plasminogen
activator/alteplase (tPA) is associated with improved outcomes for patients with
an acute ischemic stroke. Thus, rapid triage and treatment of stroke patients are
essential, with a goal of door-to-needle time of no more than 60 minutes. We
sought to identify best practices associated with faster treatment among
hospitals participating in Get With the Guidelines--Stroke. Qualitative telephone
interviews were conducted to elicit strategies being used by these centers to
assess, treat, and monitor stroke patients treated with IV tPA. We sequentially
carried out these interviews until we no longer identified novel factors.
Interviews were conducted with 13 personnel at 7 top-performing U.S. hospitals.
With the use of a hermeneutic-phenomenological framework, 5 distinct domains
associated with rapid IV tPA delivery were identified. These included (a)
communication and teamwork, (b) process, (c) organizational culture, (d)
performance monitoring and feedback, and (e) overcoming barriers.
PMID- 22089411
TI - Support for traumatic brain injury patients' family members in neurosurgical
nursing: a systematic review.
AB - This systematic literature review describes how adult traumatic brain injury
(TBI) patients' family members received support. The research question was "What
in healthcare constitutes support for a TBI patient's family members?" The data
for this review were based on 22 empirical studies published in scientific
journals in 2004-2010, which were found in the Cinahl, PsychINFO, and ISI Web of
Knowledge databases. The review includes the study design, sample, method, and
main results. The data were analyzed using content analysis. Social support for
brain injury patients' family members was divided into 3 main categories:
informational, emotional, and practical support. The subcategories of
informational support were information about the patient's symptoms, information
about care, quality of information, and information about the prognosis. The
subcategories of emotional support were taking emotions into account, caring,
listening, and respecting. The subcategories of practical support were support in
decision making, promoting the welfare of the family, encouraging family members
to participate in care, cooperation with the family members, and counseling
services. The results are available for nurses in practical work. The review
offers nurses a structure for supporting TBI patients' families, and according to
this review, supporting TBI patients' families appears to have many dimensions.
The results suggest that nurses should be informed that it is important for
family members to know the facts about TBI to understand the condition and to
receive practical advice on how to help their significant other with TBI with
daily activities. In addition, the results provide a basis for further research
and development of interventions that support brain injury patients and their
family members.
PMID- 22089412
TI - Use of simulation in stroke unit education.
PMID- 22089413
TI - Clinical practice guideline series update.
PMID- 22089414
TI - HPV vaccine update: new indications and controversies.
AB - Human papillomavirus (HPV) has a predilection for infecting epidermal and mucosal
surfaces such as those of the anogenital region. HPV causes substantial pre
malignant, malignant, and benign disease in both women and men, ranging from
cervical, vulvar, penile, and anal cancers to condyloma acuminata (genital
warts). Although HPV vaccination is becoming more common, infection rates remain
high in both genders. Perception of HPV vaccine has largely centered on its
ability to prevent cervical cancer in women, though indication for its use in men
is expanding. The benefits to men include prevention of genital warts and, more
recently, regulatory approval was expanded in the US for prevention of anal
cancer. Herein, we review HPV vaccine with a focus on its new indication in men
and existing controversies.
PMID- 22089415
TI - Botulinum toxin type A: new information about an old medicine.
AB - Now that several formulations of botulinum toxin type-A (BoNT-A) are on the
market in North America and throughout the world, it is of great practical
importance for those who administer these medications to be familiar with the
differences between the formulations. These differences pertain to the stability
of the medications, in particular during the period of time between
reconstitution and administration, which may affect the degree of diffusion
through various tissues after injection. A variety of relatively new uses of BoNT
A for dermatological conditions will be discussed.
PMID- 22089416
TI - QM/MM simulation of liquid water with an adaptive quantum region.
AB - The simulation of complex chemical systems often requires a multi-level
description, in which a region of special interest is treated using a
computationally expensive quantum mechanical (QM) model while its environment is
described by a faster, simpler molecular mechanical (MM) model. Furthermore,
studying dynamic effects in solvated systems or bio-molecules requires a variable
definition of the two regions, so that atoms or molecules can be dynamically re
assigned between the QM and MM descriptions during the course of the simulation.
Such reassignments pose a problem for traditional QM/MM schemes by exacerbating
the errors that stem from switching the model at the boundary. Here we show that
stable, long adaptive simulations can be carried out using density functional
theory with the BLYP exchange-correlation functional for the QM model and a
flexible TIP3P force field for the MM model without requiring adjustments of
either. Using a primary benchmark system of pure water, we investigate the
convergence of the liquid structure with the size of the QM region, and
demonstrate that by using a sufficiently large QM region (with radius 6 A) it is
possible to obtain radial and angular distributions that, in the QM region, match
the results of fully quantum mechanical calculations with periodic boundary
conditions, and, after a smooth transition, also agree with fully MM calculations
in the MM region. The key ingredient is the accurate evaluation of forces in the
QM subsystem which we achieve by including an extended buffer region in the QM
calculations. We also show that our buffered-force QM/MM scheme is transferable
by simulating the solvated Cl(-) ion.
PMID- 22089417
TI - Cancer stem cells. VEGF promotes stemness.
PMID- 22089418
TI - Lymphoma. Suppressive EPH-ect.
PMID- 22089419
TI - Genetics. BRCA inequality.
PMID- 22089422
TI - Clinical observation of a 16-year-old female exposed to radiation in utero:
follow-up after the Shanxi Xinzhou radiation accident.
AB - A follow-up study of the late effects of intrauterine exposure to irradiation has
been made on a 16-year-old girl whose mother was exposed to external (60)Co
irradiation during the Xinzhou radiation accident 16 years previously. The
outcomes of the general medical examinations, conventional chromosome aberration
analyses and fluorescence in situ hybridisation (FISH) are presented and the
China-revised Wechsler Intelligence Scale for Children (C_WISC) was used to
identify her IQ level, which was well below normal for her age. The biological
dose of the radiation to which she was exposed when she was in her mother's
uterus was inferred to be 1.85 Gy. Although there is no evidence of any other
developmental changes or tumour induction at this stage in her life, the child's
total intelligence level does appear to have been affected.
PMID- 22089420
TI - Choline metabolism in malignant transformation.
AB - Abnormal choline metabolism is emerging as a metabolic hallmark that is
associated with oncogenesis and tumour progression. Following transformation, the
modulation of enzymes that control anabolic and catabolic pathways causes
increased levels of choline-containing precursors and breakdown products of
membrane phospholipids. These increased levels are associated with proliferation,
and recent studies emphasize the complex reciprocal interactions between
oncogenic signalling and choline metabolism. Because choline-containing compounds
are detected by non-invasive magnetic resonance spectroscopy (MRS), increased
levels of these compounds provide a non-invasive biomarker of transformation,
staging and response to therapy. Furthermore, enzymes of choline metabolism, such
as choline kinase, present novel targets for image-guided cancer therapy.
PMID- 22089421
TI - Gastrointestinal stromal tumours: origin and molecular oncology.
AB - Gastrointestinal stromal tumours (GISTs) are a paradigm for the development of
personalized treatment for cancer patients. The nearly simultaneous discovery of
a biomarker that is reflective of their origin and the presence of gain-of
function kinase mutations in these tumours set the stage for more accurate
diagnosis and the development of kinase inhibitor therapy. Subsequent studies of
genotype and phenotype have led to a molecular classification of GIST and to
treatment optimization on the basis of molecular subtype. The study of drug
resistant tumours has advanced our understanding of kinase biology, enabling the
development of novel kinase inhibitors. Further improvements in GIST treatment
may require targeting GIST stem cell populations and/or additional genomic
events.
PMID- 22089423
TI - Validity of a short questionnaire to assess physical activity in 10 European
countries.
AB - To accurately examine associations of physical activity (PA) with disease
outcomes, a valid method of assessing free-living activity is required. We
examined the validity of a brief PA questionnaire (PAQ) used in the European
Prospective Investigation into Cancer and Nutrition (EPIC). PA energy expenditure
(PAEE) and time spent in moderate and vigorous physical activity (MVPA) was
measured in 1,941 healthy individuals from 10 European countries using
individually-calibrated combined heart-rate and movement sensing. Participants
also completed the short EPIC-PAQ, which refers to past year's activity. Pearson
(r) and Spearman (sigma) correlation coefficients were calculated for each
country, and random effects meta-analysis was used to calculate the combined
correlation across countries to estimate the validity of two previously- and one
newly-derived ordered, categorical PA indices ("Cambridge index", "total PA
index", and "recreational index") that categorized individuals as inactive,
moderately inactive, moderately active, or active. The strongest associations
with PAEE and MVPA were observed for the Cambridge index (r = 0.33 and r = 0.25,
respectively). No significant heterogeneity by country was observed for this
index (I(2) = 36.3%, P = 0.12; I(2) = 0.0%, P = 0.85), whereas heterogeneity was
suggested for other indices (I(2) > 48%, P < 0.05, I(2) > 47%, P < 0.05). PAEE
increased linearly across self-reported PA categories (P for trend <0.001), with
an average difference of approximately 460 kJ/d for men and 365 kJ/d for women,
between categories of the Cambridge index. The EPIC-PAQ is suitable for
categorizing European men and women into four distinct categories of overall
physical activity. The difference in PAEE between categories may be useful when
estimating effect sizes from observational research.
PMID- 22089424
TI - The influence of non-response in a population-based cohort study on type 2
diabetes evaluated by the Swedish Prescribed Drug Register.
AB - Bias due to non-response in observational studies may lead to false risk
estimates. We evaluated potential selective non-response in Stockholm Diabetes
Prevention Program (SDPP) using a population-based drug register. A cohort of
12,952 men and 19,416 women, aged 35-56 years, was screened for diabetes and
family history of diabetes (FHD). Response rate: 79% in men and 85% in women. Of
the 4,209 men and 6,916 women that were invited, 70 and 68% participated in a
baseline health examination including oral glucose tolerance test. A follow-up
was performed in 79% of male and 70% of female baseline participants. We used
data from the prescription register to estimate absolute risks and ORs for drug
treated diabetes in the non-response/non-participation groups. At both screening
and baseline steps absolute risks of drug-treated diabetes were equal in non
participants and participants. Adjusted ORs were 0.9-1.0. At follow-up, absolute
risks were higher among non-participants than participants, men 6.2/4.4% and
women 2.6/1.6%, adjusted ORs 1.4 (0.9-2.3) and 1.5 (0.9-2.4), suggesting
selective non-participation. Further analyses of FHD, smoking, physical activity,
socioeconomic position and psychological distress demonstrated no previous false
risk estimates for type 2 diabetes. However, for body mass index, there were
indications of overestimation in women. We conclude that bias from non-response
was not present at screening or baseline steps, suggesting that diabetes
prevalence and risks may be estimated from a population-based cohort study with
high attendance rate such as the SDPP. However, follow-up data should be treated
with some caution, since the sample may have become biased.
PMID- 22089426
TI - Changes in NMDA-receptor function in the first week following laser-induced
lesions in rat visual cortex.
AB - Focal brain injuries are accompanied by processes of functional reorganization
that partially compensate the functional loss. In a previous study, extracellular
recordings at the border of a laser-induced lesion in the visual cortex of rats
showed an enhanced synaptic plasticity, which was mediated by the activity of
NR2B-contaning NMDA-receptors (NMDARs) shedding light on the potential cellular
mechanisms underlying this reorganization. Given the potentially important
contribution of NMDARs in processes of functional reorganization, in the present
study, we used the same lesion model to further investigate lesion-induced
changes in function and localization of NMDARs in the vicinity of the lesion. The
most important finding was a lesion-mediated functional reexpression of
nonpostsynaptic, but according to our data, presynaptic or peri-/extrasynaptic
NMDARs (preNMDARs), which were undetectable in age-matched (>P21) sham-operated
controls. Notably, preNMDARs were able to boost both spontaneous and evoked
synaptic glutamatergic transmission. At the postsynaptic site, we also disclosed
an increase in the decay time constant of NMDARs mediated currents, which was
accompanied by a decreased NR2A/NR2B ratio, as revealed by Western blot analysis.
All together these findings provide new insights into the role of NMDARs activity
during processes of functional reorganization following a focal lesion in the
cerebral cortex.
PMID- 22089425
TI - Cell type-specific three-dimensional structure of thalamocortical circuits in a
column of rat vibrissal cortex.
AB - Soma location, dendrite morphology, and synaptic innervation may represent key
determinants of functional responses of individual neurons, such as sensory
evoked spiking. Here, we reconstruct the 3D circuits formed by thalamocortical
afferents from the lemniscal pathway and excitatory neurons of an anatomically
defined cortical column in rat vibrissal cortex. We objectively classify 9
cortical cell types and estimate the number and distribution of their somata,
dendrites, and thalamocortical synapses. Somata and dendrites of most cell types
intermingle, while thalamocortical connectivity depends strongly upon the cell
type and the 3D soma location of the postsynaptic neuron. Correlating dendrite
morphology and thalamocortical connectivity to functional responses revealed that
the lemniscal afferents can account for some of the cell type- and location
specific subthreshold and spiking responses after passive whisker touch (e.g., in
layer 4, but not for other cell types, e.g., in layer 5). Our data provides a
quantitative 3D prediction of the cell type-specific lemniscal synaptic wiring
diagram and elucidates structure-function relationships of this physiologically
relevant pathway at single-cell resolution.
PMID- 22089427
TI - C-H and C-O oxidative addition in reactions of aryl carboxylates with a PNP
pincer-ligated Rh(I) fragment.
AB - Reactions of a series of phenyl esters with a (PNP)Rh fragment have been studied.
PhO(2)CPh only underwent C-H oxidative addition (OA). PhO(2)CCF(3) chiefly
underwent acyl-oxygen OA. PhO(2)CBu(t) and PhO(2)CNEt(2) initially underwent OA
of an ortho-C-H bond of the phenyl group but continued thermolysis led to the
phenyl-oxygen OA products.
PMID- 22089428
TI - Feasibility of stopping paclitaxel premedication after two doses in patients not
experiencing a previous infusion hypersensitivity reaction.
AB - PURPOSE: Paclitaxel-based chemotherapy continues to be an integral component in
the treatment of many solid tumors. Prolonged use of paclitaxel may result in
repeated doses of premedications and potential unwanted side effects. Infusion
hypersensitivity reactions occurring beyond the second dose are infrequent and
not well characterized. We hypothesized that patients whose paclitaxel
premedications were discontinued after two doses were unlikely to experience
infusion hypersensitivity reactions with subsequent paclitaxel doses. METHODS:
Patients receiving paclitaxel-based chemotherapy who did not experience an
infusion hypersensitivity reaction with their first or second dose had their
paclitaxel premedications discontinued. The primary endpoint was to estimate the
incidence of rescue medication for the treatment of paclitaxel infusion
hypersensitivity during doses 3 to 6 for patients whose paclitaxel premedications
had been discontinued. RESULTS: After receiving the first two doses of paclitaxel
based chemotherapy without experiencing an infusion hypersensitivity reaction
(any grade), 55 breast cancer patients had their premedications discontinued for
all remaining paclitaxel doses. None of these patients required rescue medication
to treat an infusion hypersensitivity reaction with subsequent doses.
CONCLUSIONS: In patients who have not experienced an infusion hypersensitivity
reaction with the first two doses of paclitaxel, discontinuation of paclitaxel
premedications may be considered an option without an increased risk of infusion
hypersensitivity requiring rescue medication.
PMID- 22089429
TI - Aprepitant, dexamethasone, and palonosetron in the prevention of
doxorubicin/cyclophosphamide-induced nausea and vomiting.
AB - PURPOSE: This study evaluated the efficacy and tolerability of aprepitant,
dexamethasone, and palonosetron in the prevention of nausea and vomiting in
breast cancer patients receiving their initial cycle of doxorubicin and
cyclophosphamide (AC). METHODS: Patients with breast cancer, >= age 18, with a
performance status of <= 2, receiving doxorubicin (>= 60 mg/m(2)) and
cyclophosphamide (>= 500 mg/m(2)) for the first time were eligible. Prior to
chemotherapy patients received aprepitant 125 mg orally (PO), dexamethasone 8-10
mg PO/intravenously (IV), and palonosetron 0.25 mg IV. On days 2-3, dexamethasone
4 mg PO and aprepitant 80 mg PO were given. Outcomes were recorded in patient
diaries for the 120-h study period following chemotherapy. Primary endpoint was
the proportion of patients achieving complete response (no emesis or rescue) for
the 120-h study period. RESULTS: Thirty-six patients were enrolled and all are
evaluable. The median age was 53 (33-75) and 36 are females. Eighteen patients
(50%) achieved a complete response during the 120-h study period. Acute (<= 24 h)
and delayed (24-120 h) complete response rates were 81% (27/36) and 61% (22/36),
respectively. No emesis rates for the acute, delayed, and overall study periods
were 97% (35/36), 94% (34/36), and 92% (33/36), respectively. Treatment was well
tolerated. CONCLUSIONS: The combination of aprepitant, dexamethasone, and
palonosetron prevented emesis in more than 90% of breast cancer patients
receiving their initial cycle of AC chemotherapy. Nausea was less well
controlled. Overall complete response was achieved in one half of the study
patients. Further improvement in the prevention of AC-induced chemotherapy
induced nausea and vomiting will require more effective antinausea treatments.
PMID- 22089430
TI - The unfulfilled promise: a systematic review of interventions to reduce the unmet
supportive care needs of cancer patients.
AB - PURPOSE: This review aimed to examine (a) trends in the number of publications on
unmet needs over time and (b) the effectiveness of interventions designed to
reduce unmet needs among cancer patients. METHODS: An electronic literature
search of Medline to explore trends in the number of publications on patients'
unmet needs and an additional literature search of Medline, CINAHL, PsychINFO,
and Web of Science databases to identify methodologically rigorous research
trials that evaluated interventions to reduce unmet needs were conducted.
RESULTS: Publications per year on unmet needs have increased over time, with most
being on descriptive research. Nine relevant trials were identified. Six trials
reported no intervention effect. Three trials reported that intervention
participants had a lower number of unmet needs or lower unmet needs score,
compared to control participants. Of these, one study found that the intervention
group had fewer supportive care needs and lower mean depression scores; one study
found that intervention participants with high problem-solving skills had fewer
unmet needs at follow-up; and one study found an effect in favor of the
intervention group on psychological need subscale scores. CONCLUSIONS: Reasons
for varying results across trials and the limited effectiveness of unmet needs
interventions are more broadly discussed. These include inadequacies in
psychometric rigor, problems with scoring methods, the use of ineffective
interventions, and lack of adherence to intervention protocols.
PMID- 22089431
TI - [Abstracts of the 35th Autumn Conference of the German Society of Cardiology and
the 22nd Annual Conference of the Working Group for Cardiac Pacemakers and
Arrhythmia. October 7-9, 2011 Nuremberg, Germany].
PMID- 22089433
TI - Chronic noncommunicable diseases and HIV-AIDS on a collision course: relevance
for health care delivery, particularly in low-resource settings--insights from
South Africa.
AB - Sub-Saharan Africa is experiencing a multiple disease burden. Noncommunicable
diseases (NCDs) are emerging, and their risk factors are becoming more common as
lifestyles change and rates of urbanization increase. Simultaneously, epidemics
of infectious diseases persist, and HIV/AIDS has taken hold in the region,
although recent data indicate a decrease in new HIV infection rates. With the use
of diabetes as a marker for NCDs, it was estimated that the number of people with
diabetes would rise between 2000 and 2010 despite the HIV/AIDS epidemic, largely
because of the aging of the population and the increase in risk factors for
diabetes in South Africa. These numbers are likely to increase further, given the
declining HIV/AIDS mortality rates and longer life expectancy due to the up
scaling of antiretroviral therapy (ART), with its concomitant metabolic
complications. Given that treated HIV/AIDS has become a chronic disease, and the
health care needs of people on ART resemble those of people with NCDs, and given
that vertical programs are difficult to sustain when health systems are
underresourced and strained, there is a powerful argument to integrate the
primary level care for people with chronic diseases, whether they be NCDs or
infectious diseases. Pilot studies are required to test the feasibility of an
integrated service that extends from health facilities into the community in a
reciprocal manner based on the WHO Innovative Care for Chronic Conditions model
of care. These will begin to provide the evidence that policy makers need to
change the mode of health care delivery.
PMID- 22089435
TI - Sex-specific differences in essential fatty acid metabolism.
AB - Sex hormones may influence the enzymatic synthesis of long-chain polyunsaturated
fatty acids (LC-PUFAs), which may lead to sex-specific differences in LC-PUFA
status. Isotope studies with U-(13)C alpha-linolenic acid (ALA) have shown a
considerably higher conversion rate of ALA to n-3 (omega-3) LC-PUFAs in women
than in men. A review of the literature generally suggested that there was a
higher contribution of arachidonic acid (AA) and docosahexaenoic acid (DHA) in
blood lipids in women than in men; however, sex-specific differences were not
seen in every study. The fatty acid composition of plasma phospholipids was
recently reported separately for a large group of women and men (n > 3000) living
in 15 regions of Europe. The contributions of saturated and monounsaturated fatty
acids were higher, whereas those of AA and DHA were lower in men than in women;
however, sex explained only ~ 2% of the variability of plasma phospholipid DHA
values. Results reported from a limited number of randomized controlled trials of
perinatal LC-PUFA supplementation have, on occasion, shown sex-specific
differences in some outcomes; however, the heterogeneity both in the
interventions and outcomes measured made it difficult to draw conclusions on the
direction or the extent of the effects. Data summarized in the current review
highlight the importance of planning a subgroup analysis by sex in perinatal LC
PUFA supplementation trials.
PMID- 22089434
TI - Conceptual framework for understanding the bidirectional links between food
insecurity and HIV/AIDS.
AB - Food insecurity, which affects >1 billion people worldwide, is inextricably
linked to the HIV epidemic. We present a conceptual framework of the multiple
pathways through which food insecurity and HIV/AIDS may be linked at the
community, household, and individual levels. Whereas the mechanisms through which
HIV/AIDS can cause food insecurity have been fairly well elucidated, the ways in
which food insecurity can lead to HIV are less well understood. We argue that
there are nutritional, mental health, and behavioral pathways through which food
insecurity leads to HIV acquisition and disease progression. Specifically, food
insecurity can lead to macronutrient and micronutrient deficiencies, which can
affect both vertical and horizontal transmission of HIV, and can also contribute
to immunologic decline and increased morbidity and mortality among those already
infected. Food insecurity can have mental health consequences, such as depression
and increased drug abuse, which, in turn, contribute to HIV transmission risk and
incomplete HIV viral load suppression, increased probability of AIDS-defining
illness, and AIDS-related mortality among HIV-infected individuals. As a result
of the inability to procure food in socially or personally acceptable ways, food
insecurity also contributes to risky sexual practices and enhanced HIV
transmission, as well as to antiretroviral therapy nonadherence, treatment
interruptions, and missed clinic visits, which are strong determinants of worse
HIV health outcomes. More research on the relative importance of each of these
pathways is warranted because effective interventions to reduce food insecurity
and HIV depend on a rigorous understanding of these multifaceted relationships.
PMID- 22089437
TI - Severe malnutrition and metabolic complications of HIV-infected children in the
antiretroviral era: clinical care and management in resource-limited settings.
AB - More than 2 million children globally are living with HIV infection and >90% of
these reside in sub-Saharan Africa. Severe acute malnutrition (SAM) remains a
major problem for HIV-infected children who live in resource-limited settings
(RLS), and SAM is an important risk factor for mortality. SAM in HIV-infected
children is associated with complications including electrolyte disorders,
micronutrient deficiencies, and severe infections, which contribute to the high
mortality. Access to antiretroviral therapy (ART) has significantly improved the
survival of HIV-infected children, although the response to ART of children with
SAM remains undocumented in the literature. Immune and virologic responses to ART
in RLS are similar to those of infected children in resource-rich settings, but
delays in initiation of therapy have led to a high early mortality.
Antiretroviral drug toxicities have been described in children who receive
therapy and may affect their quality of life and long-term survival. Metabolic
complications of ART include lipodystrophy, dyslipidemia, lactic acidosis,
insulin resistance, and osteopenia. These complications have been well described
in adults and children from developed countries, but data from RLS are limited,
and these complications may be compounded by SAM. In this article we review the
epidemiology, clinical presentation, and complications of SAM in HIV-infected
children and the metabolic complications of HIV-infected children in the era of
ART, and discuss future research priorities for RLS.
PMID- 22089436
TI - Developmental exposure to endocrine-disrupting chemicals programs for
reproductive tract alterations and obesity later in life.
AB - Many chemicals in the environment, especially those with estrogenic activity, are
able to disrupt the programming of endocrine signaling pathways established
during development; these chemicals are referred to as endocrine-disrupting
chemicals. Altered programming can result in numerous adverse consequences in
estrogen-target tissues, some of which may not be apparent until later in life.
For example, a wide variety of structural, functional, and cellular effects have
been identified in reproductive tract tissues. In addition to well-documented
reproductive changes, obesity and diabetes have joined the list of adverse
effects that have been associated with developmental exposure to environmental
estrogens and other endocrine-disrupting chemicals. Obesity is a significant
public health problem reaching epidemic proportions worldwide. Experimental
animal studies document an association of developmental exposure to environmental
estrogens and obesity. For example, a murine model of perinatal exposure to
diethylstilbestrol has proven useful in studying mechanisms involved in abnormal
programming of differentiating estrogen-target tissues, including reproductive
tract tissues and adipocytes. Other environmental estrogens, including the
environmental contaminant bisphenol A, have also been linked to reproductive
problems and obesity later in life. Epidemiology studies support similar findings
in humans, as do studies of cells in culture. Together, these findings suggest
new targets for abnormal programming by estrogenic chemicals and provide evidence
supporting the scientific concept termed the developmental origins of adult
disease. Furthermore, the association of environmental estrogens with obesity and
diabetes expands the focus on these diseases from intervention or treatment to
include prevention or avoidance of chemical modifiers, especially during critical
windows of development.
PMID- 22089438
TI - Executive summary--nutritional care of HIV-infected adolescents and adults,
including pregnant and lactating women: what do we know, what can we do, and
where do we go from here?
AB - The HIV pandemic continues to place an unbearable burden on the international
community, with disease prevalence remaining highest in resource-limited settings
in Africa, Asia, and the Americas. HIV is most often imposed on conditions of
food insecurity and consequent malnutrition, poor sanitation, and chronic
exposure to a myriad of infectious (eg, malaria, tuberculosis, and diarrheal) and
noncommunicable (eg, obesity, diabetes, cancer, and cardiovascular) diseases.
Women and children continue to bear the greatest burden. Two essential tenets
underpin our approach to HIV: 1) antiretroviral drugs (ARVs) are essential to
prolong lives and to halt the spread of HIV and AIDS and 2) food and sound
nutrition are essential to human health. The challenge is to apply sound
principles of clinical care and nutrition science to the safe and efficacious
implementation of ARVs and for long-term care for people living with HIV and
AIDS. The WHO has played a leading role in developing guidelines to support this
goal with the generation of general recommendations regarding nutritional needs
of people living with HIV and AIDS and specific guidelines for the nutritional
care of HIV-infected infants and children (<14 y of age). These proceedings
represent a summary of the work accomplished at a workshop sponsored by the NIH
to review the existing evidence to support changes in the recommendations
regarding nutrient requirements for people living with HIV and AIDS; to support
development of new WHO guidelines for adolescents and adults, including for
pregnant and lactating women; and to identify a research agenda to address
outstanding knowledge gaps.
PMID- 22089439
TI - Nutrition and disease progression pre-highly active antiretroviral therapy
(HAART) and post-HAART: can good nutrition delay time to HAART and affect
response to HAART?
AB - Several studies have investigated a variety of nutritional supplementation
interventions in adults with HIV. In this narrative review, we summarize the
evidence from 31 clinical trials that explore clinical benefits of macronutrient
and micronutrient supplementation in this population while attempting to answer
the question of whether good nutrition can delay the time to highly active
antiretroviral therapy (HAART) initiation and response. We focused on trials
published in English between 1990 and 2010 that reported on CD4 count, viral
load, and disease progression or survival. Among 9 macronutrient and 22
micronutrient trials, we found that evidence for improved CD4 count and HIV viral
load with nutritional supplementation was limited; only 11.1% and 36.8% of
macronutrient and micronutrient supplementation trials, respectively, reported
improved CD4 count; and 33.3% and 12.5% of macronutrient and micronutrient
trials, respectively, reported decreased viral load. Given their utility as
surrogate markers of HIV disease progression, this suggests limited evidence for
nutritional interventions having an impact on delaying HAART initiation or on
improving HAART response. However, there are challenges in evaluating the effects
of nutritional supplementation on clinical disease in that comparisons are
difficult due to heterogeneity in study design, patient population, nutrient
doses and combinations, baseline levels of deficiency, and study endpoints,
including lack of clarity in defining and reporting HAART status. Future studies
need to adopt a more rigorous standard design with adequate power and follow-up
and require a consensus on composition and dose of nutrient interventions to be
tested to more specifically answer the question on the impact of nutritional
interventions on HIV disease progression and HAART response.
PMID- 22089440
TI - Micronutrients in HIV/AIDS: is there evidence to change the WHO 2003
recommendations?
AB - To establish whether there is new evidence to inform changes to WHO 2003
recommendations for micronutrient intake in persons with HIV/AIDS, we conducted a
narrative review of the literature published from 2003 to 2010. Although the
review focused on new randomized controlled trials of multiple micronutrients in
HIV-infected adults, including pregnant and lactating women, we also considered
randomized trials of single micronutrients. The review found that there are few
published randomized controlled trials of micronutrients in HIV-infected persons
and that most trials used high-dose multiple micronutrient supplementation. The
trials were heterogeneous with respect to the composition and dose of
micronutrients used and the target population studied. Despite this
heterogeneity, 5 of 6 trials that used high-dose multiple micronutrients showed
benefits in terms of either improved CD4 cell counts or survival. However, many
of these trials were small and of short duration, and therefore the long-term
risks and benefits of high-dose multiple micronutrients are not established. The
current WHO recommendation for an intake of micronutrients at Recommended Dietary
Allowance amounts continues to be a reasonable target for persons with clinically
stable HIV infection. In light of new data that show adverse effects of high-dose
vitamin A, the current recommendation for a single high dose of vitamin A in HIV
infected women within 6 wk of delivery should be reviewed.
PMID- 22089441
TI - Determinants of children's eating behavior.
AB - Parents have a high degree of control over the environments and experiences of
their children. Food preferences are shaped by a combination of genetic and
environmental factors. This article is a review of current data on effective
determinants of children's eating habits. The development of children's food
preferences involves a complex interplay of genetic, familial, and environmental
factors. There is evidence of a strong genetic influence on appetite traits in
children, but environment plays an important role in modeling children's eating
behaviors. Parents use a variety of strategies to influence children's eating
habits, some of which are counterproductive. Overcontrol, restriction, pressure
to eat, and a promise of rewards have negative effects on children's food
acceptance. Parents' food preferences and eating behaviors provide an opportunity
to model good eating habits. Satiety is closely related to diet composition, and
foods with low energy density contribute to prevent overeating. Parents should be
informed about the consequences of an unhealthy diet and lifestyle and motivated
to change their nutritional habits. Parents should be the target of prevention
programs because children model themselves on their parents' eating behaviors,
lifestyles, eating-related attitudes, and dissatisfaction regarding body image.
Pediatricians can have an important role in the prevention of diet-related
diseases. Informed and motivated parents can become a model for children by
offering a healthy, high-satiety, low-energy-dense diet and promoting self
regulation from the first years of life.
PMID- 22089442
TI - Nutritional and metabolic correlates of cardiovascular and bone disease in HIV
infected patients.
AB - The treatment of HIV infection has dramatically reduced the incidence of AIDS
related illnesses. At the same time, non-AIDS-related illnesses such as
cardiovascular and bone disease are becoming more prevalent in this population.
The mechanisms of these illnesses are complex and are related in part to the HIV
virus, antiretroviral medications prescribed for HIV infection, traditional risk
factors exacerbated by HIV, and lifestyle and nutritional factors. Further
prospective research is needed to clarify the mechanisms by which HIV,
antiretroviral medications, and nutritional abnormalities contribute to bone and
cardiovascular disease in the HIV population. Increasingly, it is being
recognized that optimizing the treatment of HIV infection to improve immune
function and reduce viral load may also benefit the development of non-AIDS
related illnesses such as cardiovascular and bone disease.
PMID- 22089443
TI - Energy expenditure in HIV infection.
AB - Energy intake recommendations for adults should be based preferably on direct
measurements of total daily energy expenditure (TDEE) in corresponding
populations who are maintaining healthy body weight and satisfactory physical
activity levels. During adolescence, pregnancy, and lactation, energy
requirements should be based on TDEE plus the additional energy required to
advance these physiologic states. With illness, energy expenditure and energy
intake change, but nutritional intervention is not necessarily beneficial. This
article reviews data on energy expenditure in HIV infection with a focus on
adults, adolescents aged >=14 y, and pregnant and lactating women. Resting energy
expenditure (REE) in adults with untreated asymptomatic HIV is ~ 10% higher than
in healthy control subjects. In asymptomatic adults receiving antiretroviral
therapy, REE may be similarly increased. HIV wasting and secondary infections are
also associated with increased REE. In contrast, TDEE is typically normal in
asymptomatic HIV and decreased in HIV wasting and secondary infection. No direct
measurements of REE or TDEE are available in adolescents or in pregnant or
lactating women with HIV. On the basis of current data, energy intake may need to
increase by ~ 10% in adults with asymptomatic HIV to maintain body weight. In
adolescents and in pregnant and lactating women with asymptomatic HIV, energy
requirements should approximate recommendations for their uninfected counterparts
until further data are available. In the resource-rich world, the energy
expenditure changes associated with HIV are unlikely to contribute to significant
weight loss. More data are needed on energy expenditure in HIV-infected
populations from developing nations, where concurrent malnutrition and
coinfections are common.
PMID- 22089444
TI - Programming research: where are we and where do we go from here?
AB - Convincing evidence has accumulated to show that both pre- and postnatal
nutrition preprogram long-term health, well-being, and performance until
adulthood and old age. There is a very large potential in the application of this
knowledge to promote public health. One of the prerequisites for translational
application is to strengthen the scientific evidence. More extensive knowledge is
needed (eg, on effect sizes of early life programming in contemporary
populations, on specific nutritional exposures, on sensitive time periods in
early life, on precise underlying mechanisms, and on potential effect differences
in subgroups characterized by, eg, genetic predisposition or sex). Future
programming research should aim at filling the existing gaps in scientific
knowledge, consider the entire lifespan, address socioeconomic issues, and foster
innovation. Research should aim at results suitable for translational application
(eg, by leading to health-promoting policies and evidence-based dietary
recommendations in the perinatal period). International collaboration and a close
research partnership of academia, industry, and small and medium enterprises may
strengthen research and innovative potential enhancing the likelihood of
translational application. The scientific know-how and methodology available
today allow us to take major steps forward in the near future; hence, research on
nutritional programming deserves high priority.
PMID- 22089445
TI - Nutrition and pharmacology: general principles and implications for HIV.
AB - Food and nutrition play an intimate and inextricable role in all aspects of drug
metabolism, safety, and effectiveness. Antiretroviral therapies (ART) have
assumed a preeminent position in the prevention, care, and treatment of HIV and
its comorbidities. The interaction between food, nutrition, and ART has become an
expanding area of interest both in terms of clinical standards of care and as a
target for research. Since the original review of this topic by the WHO in 2005,
much has been learned (8). This article contains a review of what is known about
the general relationships between nutrition and pharmacology, as well as issues
specific to ART, with particular attention to their use in low- and middle
resource settings. The importance of food and nutrition on the bioavailability of
drugs and vice versa has been an area of historical interest. However, much has
been learned about the importance of nutritional status on drug metabolism,
distribution, and effectiveness. The impact of traditional therapies
(herbal/botanical) is highlighted as an area of clinical concern and one in need
of further research. Additional attention is focused on the impact of individual
micronutrients on drug pharmacokinetics and pharmacodynamics. Finally, attention
is given to the nutritional implications of the metabolic consequences of ART,
which include the potential impact of "colliding epidemics" of infection (eg,
HIV, tuberculosis) and noncommunicable diseases. Much has been learned, but much
remains to be accomplished to ensure the effective integration of nutritional
considerations into the effective and safe use of ART.
PMID- 22089446
TI - Sex differences in the endocrine system in response to protein intake early in
life.
AB - BACKGROUND: Nutritional factors during a sensitive period can influence child
development in a sex-related manner. OBJECTIVE: Our aim was to investigate
whether sex modulates the responses of relevant biochemical parameters and growth
to different protein intakes early in life. DESIGN: In a randomized controlled
trial, formula-fed infants were assigned to receive formula with higher protein
(HP) or lower protein (LP) content. The main outcome measures were insulin-like
growth factor (IGF)-1 axis parameters, weight, length, BMI, leptin, and C
peptide/creatinine ratio at 6 mo of age. Dietary intake during the first 6 mo of
life was also assessed. RESULTS: The IGF-1 axis response to HP feeding was
modulated by sex. Total and free IGF-1 and IGF binding protein 3 concentrations
were higher in girls than in boys. Compared with the LP diet, the HP diet was
associated with higher IGF-1 and lower IGF binding protein 2 secretion. The
response to this HP content formula tended to be stronger in girls than in boys.
The HP diet was associated with a higher C-peptide/creatinine ratio. The leptin
concentration was higher in girls than in boys and was correlated to the IGF-1
axis parameters. No interaction between sex and nutritional intervention was
shown on growth. CONCLUSIONS: Our findings show that the endocrine response to a
high protein diet early in life may be modulated by sex. The IGF-1 axis of female
infants shows a stronger response to the nutritional intervention than does that
of male infants, but there is no enhanced effect on growth. This trial was
registered at clinicaltrials.gov as NCT00338689.
PMID- 22089447
TI - Food insecurity: special considerations for women.
AB - Food security exists when all people, at all times, have physical, social, and
economic access to sufficient, safe, and nutritious food that meets their dietary
needs and food preferences for an active and healthy life. Food insecurity is the
converse state, is often associated with poverty and low income, and has
important implications for the health and nutrition of individuals. Given their
contribution to food production and preparation, their role in society as child
bearers and caregivers, the increasing number of female-headed households
worldwide, and their disproportionately poor economic status, women need special
consideration in discussions of food insecurity and its effect on health,
nutrition, and behavior. This article reviews the scientific literature on issues
related to women and food insecurity. Food insecurity is associated with obesity,
anxiety, and depressive symptoms; risky sexual behavior; poor coping strategies;
and negative pregnancy outcomes in women, although evidence about the direction
and causality of associations is unclear. There is a lack of evidence and
understanding of the effects of food insecurity in resource-poor settings,
including its effect on weight, nutritional outcomes, and pregnancy outcomes, as
well as its effect on progression of diseases such as HIV infection. More
research is needed to guide efficient interventions that address food insecurity
among women. However, practical experience suggests that both short-term
assistance and longer-term strategies that improve livelihoods, address
behavioral and coping strategies, acknowledge the mental health components of
food insecurity, and attempt to ensure that women have the same economic
opportunities, access to land, and economic power as men are important.
PMID- 22089448
TI - Metabolism and nutrition before and during pregnancy and after birth exert
lasting effects on physiology, function, health, and performance well into
adulthood and old age. Preface.
PMID- 22089449
TI - Biomarker-based early cancer detection: is it achievable?
AB - A new mathematical model evaluates the power of blood-based biomarkers for early
cancer detection.
PMID- 22089450
TI - Targeting chaperone-mediated autophagy in cancer.
AB - A study in this issue of Science Translational Medicine provides fresh insight
into the role of autophagy in cancer. Kon et al. show that a specific type of
autophagy, called chaperone-mediated autophagy (CMA), is consistently elevated in
tumors and is required for optimal tumor growth and metastasis. Selective
inhibition of CMA slows tumor growth, boosts tumor cell death, and induces the
regression of existing tumors. This work provides a rationale for further
exploring manipulation of the CMA pathway as a strategy for treating cancer.
PMID- 22089451
TI - Treatment and prevention of urinary tract infection with orally active FimH
inhibitors.
AB - Chronic and recurrent urinary tract infections pose a serious medical problem
because there are few effective treatment options. Patients with chronic urinary
tract infections are commonly treated with long-term prophylactic antibiotics
that promote the development of antibiotic-resistant forms of uropathogenic
Escherichia coli (UPEC), further complicating treatment. We developed small
molecular weight compounds termed mannosides that specifically inhibit the FimH
type 1 pilus lectin of UPEC, which mediates bacterial colonization, invasion, and
formation of recalcitrant intracellular bacterial communities in the bladder
epithelium. Here, we optimized these compounds for oral bioavailability and
demonstrated their fast-acting efficacy in treating chronic urinary tract
infections in a preclinical murine model. These compounds also prevented
infection in vivo when given prophylactically and strongly potentiated the
activity of the current standard of care therapy, trimethoprim-sulfamethoxazole,
against clinically resistant PBC-1 UPEC bacteria. These compounds have
therapeutic efficacy after oral administration for the treatment of established
urinary tract infections in vivo. Their unique mechanism of action-targeting the
pilus tip adhesin FimH-circumvents the conventional requirement for drug
penetration of the outer membrane, minimizing the potential for the development
of resistance. The small-molecular weight compounds described herein promise to
provide substantial benefit to women suffering from chronic and recurrent urinary
tract infections.
PMID- 22089452
TI - Mathematical model identifies blood biomarker-based early cancer detection
strategies and limitations.
AB - Most clinical blood biomarkers lack the necessary sensitivity and specificity to
reliably detect cancer at an early stage, when it is best treatable. It is not
yet clear how early a clinical blood assay can be used to detect cancer or how
biomarker-based strategies can be improved to enable earlier detection of smaller
tumors. To address these issues, we developed a mathematical model describing
dynamic plasma biomarker kinetics in relation to the growth of a tumor, beginning
with a single cancer cell. To exemplify a realistic scenario in which biomarker
is shed by both cancerous and noncancerous cells, we primed the model on ovarian
tumor growth and CA125 shedding data, for which tumor growth parameters and
shedding rates are readily available in published literature. We found that a
tumor could grow unnoticed for more than 10.1 years and reach a volume of about
pi/6(25.36 mm)(3), corresponding to a spherical diameter of about 25.36 mm,
before becoming detectable by current clinical blood assays. Model parameters
were perturbed over log orders of magnitude to quantify ideal shedding rates and
identify other blood-based strategies required for early submillimeter tumor
detectability. The detection times we estimated are consistent with recently
published tumor progression time lines based on clinical genomic sequencing data
for several cancers. Here, we rigorously showed that shedding rates of current
clinical blood biomarkers are likely 10(4)-fold too low to enable detection of a
developing tumor within the first decade of tumor growth. The model presented
here can be extended to virtually any solid cancer and associated biomarkers.
PMID- 22089453
TI - Chaperone-mediated autophagy is required for tumor growth.
AB - The cellular process of autophagy (literally "self-eating") is important for
maintaining the homeostasis and bioenergetics of mammalian cells. Two of the best
studied mechanisms of autophagy are macroautophagy and chaperone-mediated
autophagy (CMA). Changes in macroautophagy activity have been described in cancer
cells and in solid tumors, and inhibition of macroautophagy promotes
tumorigenesis. Because normal cells respond to inhibition of macroautophagy by up
regulation of the CMA pathway, we aimed to characterize the CMA status in
different cancer cells and to determine the contribution of changes in CMA to
tumorigenesis. Here, we show consistent up-regulation of CMA in different types
of cancer cells regardless of the status of macroautophagy. We also demonstrate
an increase in CMA components in human cancers of different types and origins.
CMA is required for cancer cell proliferation in vitro because it contributes to
the maintenance of the metabolic alterations characteristic of malignant cells.
Using human lung cancer xenografts in mice, we confirmed the CMA dependence of
cancer cells in vivo. Inhibition of CMA delays xenograft tumor growth, reduces
the number of cancer metastases, and induces regression of existing human lung
cancer xenografts in mice. The fact that similar manipulations of CMA also reduce
tumor growth of two different melanoma cell lines suggests that targeting this
autophagic pathway may have broad antitumorigenic potential.
PMID- 22089454
TI - ANKH and susceptibility to and severity of ankylosing spondylitis.
AB - OBJECTIVE: Unconfirmed reports describe association of ankylosing spondylitis
(AS) with several candidate genes including ANKH. Cellular export of inorganic
pyrophosphate is regulated by the ANK protein, and mutant mice (ank/ank), which
have a premature stop codon in the 3' end of the ank gene, develop severe
ankylosis. We tested the association between single-nucleotide polymorphisms
(SNP) in these genes and susceptibility to AS in a population of patients with
AS. We investigated the role of these genes in terms of functional (BASFI) and
metrological (BASMI) measures, and the association with radiological severity
(mSASSS). METHODS: Our study was conducted on 355 patients with AS and 95
ethnically matched healthy controls. AS was defined according to the modified New
York criteria. Four SNP in ANKH (rs27356, rs26307, rs25957, and rs28006) were
genotyped. Association analysis was performed using Cochrane-Armitage and linear
regression tests for dichotomous and quantitative variables. Analyses of Bath
Ankylosing Spondylitis Disease Activity Index (BASDAI), BASFI, and mSASSS were
controlled for sex and disease duration. RESULTS: None of the 4 markers showed
significant single-locus disease associations (p > 0.05), suggesting that ANKH
was not a major determinant of AS susceptibility in our population. No
association was observed between these SNP and age at symptom onset, BASDAI,
BASFI, BASMI, or mSASSS. CONCLUSION: These results confirm data in white
Europeans that ANKH is probably not a major determinant of susceptibility to AS.
ANKH polymorphisms do not markedly influence AS disease severity, as measured by
BASMI and mSASSS.
PMID- 22089455
TI - Frequency of class III and IV nephritis in systemic lupus erythematosus without
clinical renal involvement: an analysis of predictive measures.
AB - OBJECTIVE: To determine the frequency of International Society of
Nephrology/Renal Pathology Society (ISN/RPS) class III or IV lupus nephritis in
patients with systemic lupus erythematosus (SLE) without clinical renal
involvement. METHODS: We investigated the renal pathology of 195 patients with
SLE, including 86 patients without clinical renal involvement. RESULTS: Lupus
nephritis other than class I was found in 58% of the patients without clinical
renal involvement, and class III and IV nephritis was found in 15% of these
patients. To reveal the predictive measures involved in class III or IV lupus
nephritis, we explored the clinical measures in patients with SLE who did not
have clinical renal involvement. Anti-dsDNA antibody titers were significantly
higher (p = 0.0266) and C3 values were significantly lower (p = 0.0073) in
patients with class III or IV lupus nephritis than in patients without class III
or IV lupus nephritis. The sensitivity and specificity values were 77% and 73%,
respectively, for cutoff levels of both 40 IU/ml for anti-dsDNA antibodies and 55
mg/dl for C3 (OR 8.8, p = 0.0011). CONCLUSION: The frequency of nephritis,
including ISN/RPS class III and IV, was unexpectedly high in SLE patients without
clinical renal involvement. ISN/RPS class III or IV lupus nephritis could be
hidden in patients with SLE who present both a high titer of anti-dsDNA antibody
and a low concentration of C3, even when they have clinically normal urinary
findings and renal function.
PMID- 22089456
TI - Glucosamine sulfate reduces prostaglandin E(2) production in osteoarthritic
chondrocytes through inhibition of microsomal PGE synthase-1.
AB - OBJECTIVE: Glucosamine sulfate (GS) has been inferred to have a potential
antiinflammatory effect on osteoarthritis (OA). We investigated its effect on
prostaglandin E(2) (PGE(2)) in human OA chondrocytes, and the level in the PGE(2)
pathway at which its effect takes place. METHODS: We investigated the effect of
GS treatment (0.05, 0.2, 1.0, and 2.0 mM) in OA chondrocytes in the absence or
presence of interleukin 1beta (IL-1beta; 100 pg/ml). We determined the expression
levels and protein production/activity of PGE(2), cyclooxygenase-1 (COX-1), COX
2, microsomal PGE synthase-1 (mPGES-1), glutathione, and peroxisome proliferator
activated receptor-gamma (PPARgamma), using specific primers, antibodies, and
assays. RESULTS: GS treatment at 1 and 2 mM significantly inhibited (p <= 0.03)
production of endogenous and IL-1beta-induced PGE(2). GS in both the absence and
presence of IL-1beta did not significantly modulate COX-1 protein production, but
GS at 1 and 2 mM demonstrated a decrease in COX-2 glycosylation in that it
reduced the molecular mass of COX-2 synthesis. Under IL-1beta stimulation, GS
significantly inhibited mPGES-1 messenger RNA expression and synthesis at 1 and 2
mM (p <= 0.02) as well as the activity of glutathione (p <= 0.05) at 2 mM.
Finally, in both the absence and presence of IL-1beta, PPARgamma was
significantly induced by GS at 1 and 2 mM (p <= 0.03). CONCLUSION: Our data
document the potential mode of action of GS in reducing the catabolism of OA
cartilage. GS inhibits PGE(2) synthesis through reduction in the activity of COX
2 and the production and activity of mPGES-1. These findings may, in part,
explain the mechanisms by which this drug exerts its positive effect on OA
pathophysiology.
PMID- 22089457
TI - Persistent fetal sinus bradycardia associated with maternal anti-SSA/Ro and anti
SSB/La antibodies.
AB - OBJECTIVE: To study the clinical course and outcome of fetal sinus bradycardia
(SB) due to maternal antibody-induced sinus node dysfunction. METHODS: We
reviewed the maternal, prenatal, and postnatal findings of fetuses with SB
associated with elevated maternal anti-SSA/Ro and anti-SSB/La antibodies.
RESULTS: Of the 6 cases diagnosed prenatally, 3 had isolated SB persisting after
birth and had a good prognosis. Three fetuses with SB and severe myocardial
involvement (congenital complete heart block and/or endocardial fibroelastosis)
succumbed in utero in spite of treatment. Postmortem histopathology in 1 fetus
showed inflammatory destruction of the sinus and atrioventricular nodes. SB was
detected incidentally in a 7-year-old girl. She had intermittent heart block with
progressive sinus arrest requiring permanent pacemaker. CONCLUSION: Fetal SB
associated with maternal autoantibodies may persist in childhood, with a good
prognosis in the absence of widespread cardiac involvement.
PMID- 22089458
TI - Sex differences in response to anti-tumor necrosis factor therapy in early and
established rheumatoid arthritis -- results from the DANBIO registry.
AB - OBJECTIVE: To investigate sex differences in response to anti-tumor necrosis
factor-alpha (TNF-alpha) therapy over time in early versus established rheumatoid
arthritis (RA). METHODS: Patients with RA who initiated anti-TNF therapy between
January 2003 and June 2008 in Denmark were selected from the DANBIO Registry. Sex
differences in baseline disease features were examined using chi-square, Mann
Whitney U tests, and t tests. Using a generalized estimating equations (GEE)
model for repeated measures, we examined European League Against Rheumatism
(EULAR) responses in men and women over 48 months of followup, adjusting for
baseline values of age, 28-joint Disease Activity Score (DAS28), disease
duration, and anti-TNF, methotrexate, and prednisolone use. RESULTS: At
initiation of anti-TNF therapy (baseline), 328 women and 148 men had early RA (<=
2 yrs), and 1245 women and 408 men had established RA (> 2 yrs). In both early
and established RA, men and women had active disease with similar DAS28 scores
(mean +/- SD 5.2 +/- 1.1), physician global scores, swollen joint counts, and
radiographic changes. In early RA, men were significantly more likely to achieve
a EULAR good/moderate response over 48 months compared to women (GEE; p = 0.003),
and a significant interaction between sex and followup time (GEE; p < 0.0005)
suggested that men achieved this response sooner than women. CONCLUSION: Better
responses to anti-TNF therapy among men compared to women in early but not
established RA suggest that disease duration at initiation of therapy may be an
important factor to consider when investigating sex differences in treatment
responses.
PMID- 22089459
TI - CAG repeat polymorphism in the androgen receptor gene in women with rheumatoid
arthritis.
AB - OBJECTIVE: Rheumatoid arthritis (RA) is the most common chronic, autoimmune,
inflammatory disease, with a genetic and hormonal background. The prevalence of
women among patients with RA suggests the important role of sex hormones in the
pathogenesis of RA. We examined the association between CAG repeat polymorphism
in the androgen receptor (AR) gene and susceptibility to RA and its clinical
features in white women. METHODS: The study groups consisted of 325 female
patients with RA and 238 female controls. CAG repeat polymorphism was determined
using polymerase chain reaction and subsequent fragment analysis by capillary
electrophoresis. RESULTS: The number of CAG repeats in patients did not differ
from that of controls (22.1 +/- 2.9 vs 21.9 +/- 2.9, respectively; p = 0.26), but
the presence of articular erosions was associated with a lower number of repeats
in the shorter allele of patients with RA (20.4 +/- 2.2 vs 21.2 +/- 2.4; p =
0.031). When alleles with < 22 CAG were classified as short (S) and those with >=
22 CAG as long (L), the age at diagnosis of RA was lower in women with S-S
genotype in comparison to combined S-L + L-L genotypes (43.0 +/- 14.6 yrs vs 47.6
+/- 12.5 yrs; p = 0.021). In patients with the L-L genotype, the frequency of
erosive disease (OR 0.45, 95% CI 0.25-0.80, p = 0.0085) and extraarticular
manifestations (OR 0.50, 95% CI 0.26-0.98, p = 0.047) was lower in comparison to
carriers of the S allele. In multivariate analysis, the L-L genotype was an
independent factor associated with a lower risk of erosions (OR 0.44, 95% CI 0.22
0.90, p = 0.024). CONCLUSION: The results suggest the association of short AR
(CAG)(n) alleles with earlier onset and a more aggressive course of RA.
PMID- 22089460
TI - Usefulness of cellular text messaging for improving adherence among adolescents
and young adults with systemic lupus erythematosus.
AB - OBJECTIVE: In a cohort of 70 patients with childhood-onset systemic lupus
erythematosus (cSLE): to determine the baseline adherence to medications and
visits; to investigate the effects of cellular text messaging reminders (CTMR) on
adherence to clinic visits; and to study the influence of CTMR on adherence to
use of hydroxychloroquine (HCQ). METHODS: CTMR were sent to 70 patients prior to
clinic visits for 14 months. A subgroup of patients were evaluated for medication
adherence to HCQ: 19 patients receiving CTMR prior to each scheduled HCQ dose
were compared to 22 patients randomized to standard of care education about HCQ.
Visit adherence was measured using administrative databases. Pharmacy refill
information, self-report of adherence, and HCQ blood levels were utilized to
monitor medication adherence to HCQ. Sufficient adherence to visits or HCQ was
defined as estimates > 80%. Disease activity was primarily monitored with the
Systemic Lupus Erythematosus Disease Activity Index. RESULTS: At baseline, 32% of
patients were sufficiently adherent to HCQ, and 81% to clinic visits. Visit
adherence improved significantly by > 80% among those who were nonadherent to
clinic visits at the baseline CTMR (p = 0.01). CTMR did not influence adherence
to HCQ over time. CONCLUSION: Patients with cSLE were only modestly adherent to
HCQ and clinic visits. CTMR may be effective for improving visit adherence among
adolescents and young adults with cSLE, but it does not improve adherence to HCQ.
PMID- 22089461
TI - Civamide cream 0.075% in patients with osteoarthritis of the knee: a 12-week
randomized controlled clinical trial with a longterm extension.
AB - OBJECTIVE: To evaluate the safety and efficacy of civamide cream 0.075% for the
treatment of osteoarthritis (OA) of the knee. METHODS: We conducted a 12-week,
multicenter, randomized, double-blind study with a 52-week open-label extension.
Patients with OA of the knee received either civamide cream 0.075% or a lower
dose of civamide cream, 0.01%, as the control. The 3 co-primary endpoints in the
double-blind study were the time-weighted average (TWA) of change from baseline
to Day 84 in the Western Ontario and McMaster Universities Osteoarthritis Index
(WOMAC) pain subscale, the WOMAC physical function subscale, and the Subject
Global Evaluation (SGE). In the 52-week open-label extension study, the
Osteoarthritis Pain Score and SGE were assessed. RESULTS: A total of 695 patients
were randomized to receive civamide cream 0.075% (n = 351) or civamide cream
0.01% (control; n = 344) in the double-blind study. Significance in favor of
civamide cream 0.075% was achieved for the TWA for all 3 co-primary efficacy
variables: WOMAC pain (p = 0.009), WOMAC physical function (p < 0.001), and SGE
(p = 0.008); and at Day 84 for these 3 variables (p = 0.013, p < 0.001, and p =
0.049, respectively). These analyses accounted for significant baseline-by
treatment interactions. In the 52-week open-label extension, efficacy was
maintained. Civamide cream 0.075% was well tolerated throughout the studies.
CONCLUSION: These studies demonstrate the efficacy of civamide cream for up to 1
year of continuous use. Civamide cream, with its lack of systemic absorption,
does not have the potential for serious systemic toxicity, in contrast to several
other OA treatments.
PMID- 22089462
TI - Glucocorticoid: major factor for reduced immunogenicity of 2009 influenza A
(H1N1) vaccine in patients with juvenile autoimmune rheumatic disease.
AB - OBJECTIVE: To assess the immunogenicity and safety of non-adjuvanted influenza A
H1N1/2009 vaccine in patients with juvenile autoimmune rheumatic disease (ARD)
and healthy controls, because data are limited to the adult rheumatologic
population. METHODS: A total of 237 patients with juvenile ARD [juvenile systemic
lupus erythematosus (JSLE), juvenile idiopathic arthritis (JIA), juvenile
dermatomyositis (JDM), juvenile scleroderma, and vasculitis] and 91 healthy
controls were vaccinated. Serology for anti-H1N1 was performed by
hemagglutination inhibition assay. Seroprotection rate, seroconversion rate, and
factor-increase in geometric mean titer (GMT) were calculated. Adverse events
were evaluated. RESULTS: Age was comparable in patients and controls (14.8 +/-
3.0 vs 14.6 +/- 3.7 years, respectively; p = 0.47). Three weeks after
immunization, seroprotection rate (81.4% vs 95.6%; p = 0.0007), seroconversion
rate (74.3 vs 95.6%; p < 0.0001), and the factor-increase in GMT (12.9 vs 20.3; p
= 0.012) were significantly lower in patients with juvenile ARD versus controls.
Subgroup analysis revealed reduced seroconversion rates in JSLE (p < 0.0001), JIA
(p = 0.008), JDM (p = 0.025), and vasculitis (p = 0.017). Seroprotection (p <
0.0001) and GMT (p < 0.0001) were decreased only in JSLE. Glucocorticoid use and
lymphopenia were associated with lower seroconversion rates (60.4 vs 82.9%; p =
0.0001; and 55.6 vs 77.2%; p = 0.012). Multivariate logistic regression including
diseases, lymphopenia, glucocorticoid, and immunosuppressants demonstrated that
only glucocorticoid use (p = 0.012) remained significant. CONCLUSION: This is the
largest study to demonstrate a reduced but adequate immune response to H1N1
vaccine in patients with juvenile ARD. It identified current glucocorticoid use
as the major factor for decreased antibody production. The short-term safety
results support its routine recommendation for patients with juvenile ARD.
ClinicalTrials.gov; NCT01151644.
PMID- 22089463
TI - Maintenance of efficacy and safety with subcutaneous golimumab among patients
with active rheumatoid arthritis who previously received intravenous golimumab.
AB - OBJECTIVE: To evaluate the efficacy/safety of subcutaneous (SC) golimumab in
patients with rheumatoid arthritis (RA) who previously received intravenous (IV)
golimumab with or without methotrexate (MTX). METHODS: Adult patients with RA (n
= 643) with persistent disease despite MTX (>= 15 mg/wk for >= 3 months) were
randomized to IV placebo + MTX (n = 129) or IV golimumab 2-4 mg/kg (+/- MTX)
every 12 weeks (n = 514). Patients who completed the study through Week 48 could
participate in the longterm extension (LTE), comprising open-label golimumab 50
mg SC every 4 weeks (+/- MTX) for 24 weeks (LTE-0 to LTE-24) followed by 16 weeks
of safety followup (LTE-24 to LTE-40; MTX could be adjusted). RESULTS: At Week
48, 28% (nominal p < 0.001 vs placebo), 11%, and 8% of patients who received IV
golimumab + MTX, golimumab alone, and placebo + MTX, respectively, achieved >=
50% improvement in the American College of Rheumatology response criteria
(ACR50). Among the 505 patients who entered the LTE and were still participating,
the proportion of patients treated with golimumab 50 mg SC (+/- MTX) achieving an
ACR50 response increased to 44% at both LTE-14 and LTE-24. ACR20, ACR70, and 28
joint Disease Activity Score using C-reactive protein exhibited similar response
patterns as ACR50. Infections were the most commonly reported adverse events
through the end of IV golimumab dosing (37% placebo + MTX, 45% golimumab, 51%
golimumab + MTX) and with SC golimumab from LTE-0 through LTE-40 (35% golimumab,
36% golimumab + MTX). Concomitant MTX use yielded lower incidences of antibodies
to SC golimumab and injection-related reactions. CONCLUSION: Clinical
improvements observed in golimumab-treated patients were sustained or improved in
patients switched from IV (2-4 mg/kg +/- MTX) to open-label SC (50 mg +/- MTX)
golimumab. Both IV and SC golimumab demonstrated acceptable safety profiles
(Clinicaltrials.gov NCT00361335).
PMID- 22089464
TI - Pain thresholds in rheumatoid arthritis: the effect of tender point counts and
disease duration.
AB - OBJECTIVE: We evaluated the influence of demographic and clinical factors on pain
thresholds in patients with rheumatoid arthritis (RA). METHODS: A cross-sectional
observational study (105 patients with RA) assessed pain thresholds using an
algometer. Regression analysis examined the influence of demographic and clinical
assessments. RESULTS: Pain thresholds (median 289, interquartile range 89-434)
correlated with assessments of disease activity (tender joint counts), disability
(Health Assessment Questionnaire), fatigue, depression, and anxiety. Ordinal
logistic regression showed tender point counts and disease duration were the
dominant contributors. CONCLUSION: These findings suggest that low pain
thresholds reflect "fibromyalgic" RA (many tender points) and central pain
sensitization with prolonged disease duration.
PMID- 22089465
TI - Rituximab maintenance therapy for granulomatosis with polyangiitis and
microscopic polyangiitis.
AB - OBJECTIVE: To evaluate the efficacy compared to the relapse risk and tolerance of
systematic rituximab (RTX) infusions as maintenance therapy for patients with
granulomatosis with polyangiitis (GPA) or microscopic polyangiitis (MPA), who
entered remission taking conventional immunosuppressants or RTX. METHODS: A
retrospective study of the main clinical characteristics, outcomes, and RTX
tolerance of patients who had received >= 2 RTX maintenance infusions in our
center, regardless of induction regimen, between 2003 and 2010. RESULTS: We
identified 28 patients [4 MPA and 24 GPA; median age 55.5 yrs (range 18-78); 17
(60%) males] who received a median of 4 (range 2-10) RTX maintenance infusions,
with median followup of 38 months (range 21-97) since diagnosis or last flare.
None experienced a RTX infusion-related adverse event; 15 patients (among the 21
with available data) had hypogammaglobulinemia (predominantly IgM) prior to their
last RTX maintenance infusion; 3 had infectious events (1 cutaneous abscess, 1
otitis, 1 fatal H1N1 flu). Two patients suffered pulmonary relapses shortly
before a planned RTX maintenance infusion (both had increased antineutrophil
cytoplasmic antibody levels and 1 had CD19+ lymphocyte reconstitution).
CONCLUSION: Rituximab maintenance therapy was well tolerated but did not
completely prevent relapses and persistent "grumbling" disease. These preliminary
results remain to be confirmed by a randomized controlled trial currently in
progress.
PMID- 22089466
TI - Mortality risk by functional status and health-related quality of life in
patients with rheumatoid arthritis.
AB - OBJECTIVE: Patients with rheumatoid arthritis (RA) are at increased risk of
death. Modern RA therapy has been shown to improve health status, but the
relationship of such improvements to mortality risk is unknown. We assessed the
relationship between health status and all-cause mortality in patients with RA,
using the Health Assessment Questionnaire (HAQ) and the Medical Outcomes Study
Short Form-36 questionnaire (SF-36) physical and mental component summary scores
(PCS, MCS). METHODS: Subjects (n = 10,319) were selected from the National Data
Bank for Rheumatic Diseases, a prospective longitudinal observational US study
with semiannual assessments of HAQ, PCS, and MCS. Risk of death up to 7 years
through 2006 was obtained from the US National Death Index. Relationship of HAQ,
PCS, and MCS to mortality was assessed using Cox regression models; prediction
accuracy was compared using Harrell's concordance coefficient (C). RESULTS: Over
64,888 patient-years of followup, there were 1317 deaths. Poorer baseline health
status was associated with greater mortality risk. Adjusting for age, sex, and
baseline PCS and MCS, declines in PCS and HAQ were associated with higher risk of
death. HAQ improvement was associated with reduced mortality risk from 6 months
through 3 years; a similar relationship was not observed for PCS or MCS
improvement. Controlling for baseline values, change in PCS or HAQ did not
improve prediction accuracy. CONCLUSION: The HAQ and the SF-36 PCS are similarly
and strongly associated with mortality risk in patients with RA. Change in these
measures over time does not appear to add to predictive accuracy over baseline
levels.
PMID- 22089467
TI - Proposed severity and response criteria for Routine Assessment of Patient Index
Data (RAPID3): results for categories of disease activity and response criteria
in abatacept clinical trials.
AB - BACKGROUND: An index is needed to assess the status of patients with rheumatoid
arthritis (RA), as none of the existing measures are applicable to all individual
patients. The 28-joint Disease Activity Score (DAS28) is the most specific and
widely used index. Routine Assessment of Patient Index Data (RAPID3) is an index
containing only the 3 patient self-report core dataset measures, without a
laboratory test or formal joint count, and with simple scoring. RAPID3 is
correlated significantly with DAS28, but calculated in 5-10 seconds on a
Multidimensional Health Assessment Questionnaire (MDHAQ), compared to 114 seconds
for DAS28. METHODS: DAS28 (0-10 scale) categories for high, moderate, and low
activity, and remission (<= 2.6, 2.6-3.2, 3.21-5.1, and > 5.1, respectively) and
proposed RAPID3 (0-30 scale) categories for severity (0 <= 3, 3.1-6, 6.1-12, and
> 12) were compared in patients taking abatacept and control-treated patients at
the endpoint of the Abatacept in Inadequate Response to Methotrexate (AIM) and
the Abatacept Trial in Treatment of Anti-TNF INadequate Responders (ATTAIN)
clinical trials, using cross-tabulations and kappa statistics. RESULTS: Overall,
92%-99% of patients classified as having high DAS28 activity had high or moderate
RAPID3 severity, while 64%-83% in DAS28 remission had RAPID3 low severity or
remission; 50%-82% of patients with good or poor EULAR responses had good or poor
RAPID3 responses. Kappa values ranged from 0.25 to 0.48, and weighted kappas from
0.32 to 0.52, indicating fair to moderate agreement for the 2 indices.
CONCLUSION: Proposed RAPID3 severity and response categories yield comparable
results to DAS28 and EULAR criteria in AIM and ATTAIN. DAS28 is more specific for
clinical trials. RAPID3 does not preclude also scoring DAS28, and may be
informative in the infrastructure of routine care.
PMID- 22089468
TI - Clinical significance of Cryofibrinogenemia: possible pathophysiological link
with Raynaud's phenomenon.
AB - OBJECTIVE: To describe the clinical findings and prevalence of patients with
cryofibrinogenemia (CF) and to determine whether CF is associated with primary
Raynaud's phenomenon. METHODS: Between June 2006 and December 2009, 227 patients
were tested for CF in a single university hospital. Forty-five patients with
primary Raynaud's phenomenon were tested for CF. RESULTS: A total of 117 patients
with CF without cryoglobulinemia were included. The main clinical manifestations
included skin manifestations (50%) and arthralgia (35%). There were 67 patients
with primary CF and 50 patients with secondary CF. There was no significant
difference in the mean concentration of the cryoprecipitate in primary CF as
compared to the secondary form (172 +/- 18.6 vs 192 +/- 20.9 mg/dl, respectively;
p = 0.41). Highest concentrations of cryoprecipitate were observed in those
containing fibrinogen only as compared to cryoprecipitates containing fibrinogen
and fibronectin (301 +/- 43.5 vs 125 +/- 10.6 mg/dl; p < 0.001). Patients having
skin necrosis (n = 3) had significantly higher values of cryofibrinogen compared
to those without necrosis (638 +/- 105 vs 160 +/- 10.2 mg/dl; p = 0.0046). Among
the 45 patients with primary Raynaud's phenomenon, 36 had associated CF. There
was no significant difference in the mean concentration of the cryoprecipitate in
these patients compared to those with primary CF. CONCLUSION: There seems to be a
significant correlation between cryofibrinogen concentration and the severity of
the clinical signs, particularly when cryoprecipitate is composed of fibrinogen
alone. CF might have a possible pathophysiological role in primary Raynaud's
phenomenon.
PMID- 22089469
TI - The ClASsification for Psoriatic ARthritis (CASPAR) criteria--a retrospective
feasibility, sensitivity, and specificity study.
AB - OBJECTIVE: To evaluate the sensitivity, specificity, and feasibility of the
ClASsification criteria for Psoriatic ARthritis (CASPAR) to retrospectively
classify an existing research cohort. METHODS: In total, 480 patient records were
reviewed from the Royal National Hospital for Rheumatic Diseases Psoriatic
Arthritis (PsA) cohort and for 100 consecutive controls with inflammatory
arthritis from a general rheumatology clinic. The CASPAR score was modified for
retrospective use; both "inflammation" and "current psoriasis" were recorded as
present if they had ever been confirmed in the rheumatology clinic. Sensitivity
and specificity of the CASPAR criteria were compared with expert clinical
diagnosis. RESULTS: A total of 480 database records were identified. Nine sets of
records had been lost or destroyed. The diagnoses had changed in 15 cases, which
were transferred to the control arm, leaving 456 patients with an expert
diagnosis of PsA. Of 115 controls, 96 had rheumatoid arthritis, 5 osteoarthritis,
3 reactive arthritis, 3 seronegative arthritis, 3 undifferentiated arthralgia, 2
ankylosing spondylitis, 1 spondyloarthritis, and 2 systemic sclerosis.
Sensitivity (99.7%) and specificity (99.1%) were both high and equivalent to
previous reports. Sensitivity remained high even after inclusion of 7 PsA
patients with insufficient data to complete the CASPAR assessment (sensitivity
98.2%, specificity 99.1%). The criteria were found to be easy and practical to
apply to case records. CONCLUSION: Our study demonstrates that the feasibility,
specificity, and sensitivity of the CASPAR are maintained when adapted for
retrospective use to classify an established research cohort.
PMID- 22089470
TI - Attainment of inactive disease status following initiation of TNF-alpha inhibitor
therapy for juvenile idiopathic arthritis: enthesitis-related arthritis predicts
persistent active disease.
AB - OBJECTIVE: To analyze the attainment of inactive disease following initiation of
tumor necrosis factor-alpha (TNF-alpha) inhibitors in a heterogeneous cohort of
children with juvenile idiopathic arthritis (JIA). METHODS: We performed
retrospective chart review of all children with JIA at 1 academic center who had
started TNF-alpha inhibitor therapy. We retrospectively determined inactive
disease status according to the 2004 criteria of Wallace, et al. We evaluated
inactive disease status at 1 year after initiation of TNF-alpha inhibitor and
attainment of inactive disease at any point during the study period. Predictors
of inactive disease were determined using univariate analyses and multivariable
logistic regression models. RESULTS: A total of 125 patients started TNF-alpha
inhibitors, and 88 patients had data available for the 1-year followup visit.
Many patients (49%) started TNF-alpha inhibitors within 6 months of the diagnosis
of JIA. Diverse JIA phenotypes were represented: at baseline, 29% of all patients
had active enthesitis and only 23% had active polyarthritis. At the 1-year
followup, 36 of 88 (41%) patients had inactive disease. Overall, 67 of 125 (54%)
patients ever attained inactive disease status during the study period. In
multivariable models, enthesitis-related arthritis (ERA) and higher Childhood
Health Assessment Questionnaire (CHAQ) scores at baseline were independently
associated with failure to later attain inactive disease status. CONCLUSION:
Treatment with TNF-alpha inhibitors appears to be less effective for attaining
inactive disease status in patients with ERA or higher baseline CHAQ scores.
Further studies are needed regarding the clinical effectiveness of TNF-alpha
inhibitor therapy and the optimal treatment of ERA.
PMID- 22089471
TI - Real-time DNA detection using Pt nanoparticle-decorated reduced graphene oxide
field-effect transistors.
AB - A large-area, continuous, few-layer reduced graphene oxide (rGO) thin film has
been fabricated on a Si/SiO(2) wafer using the Langmuir-Blodgett (LB) method
followed by thermal reduction. After photochemical reduction of Pt nanoparticles
(PtNPs) on rGO, the obtained PtNPs/rGO composite is employed as the conductive
channel in a solution-gated field effect transistor (FET), which is then used for
real-time detection of hybridization of single-stranded DNA (ssDNA) with high
sensitivity (2.4 nM). Such a simple, but effective method for fabrication of rGO
based transistors shows great potential for mass-production of graphene-based
electronic biosensors.
PMID- 22089472
TI - Polymorphisms in the human ALOX12 and ALOX15 genes are associated with peak bone
mineral density in Chinese nuclear families.
AB - SUMMARY: Association between ten single-nucleotide polymorphisms (SNPs) in the
human ALOX12 and ALOX15 genes and variations in peak bone mineral density (BMD)
in a large sample of Chinese nuclear families with female offspring using the
quantitative transmission disequilibrium test (QTDT). Our results suggest that
the genetic polymorphisms in both human ALOX12 and ALOX15 may contribute to
variations in the peak BMD of Chinese women. INTRODUCTION: The aim of this study
was to investigate whether polymorphisms in the human ALOX12 and ALOX15 genes are
associated with variations in peak BMD in Chinese nuclear families with female
offspring. METHODS: Each five SNPs in the ALOX12 and ALOX15 genes were genotyped
in a total of 1,260 individuals from 401 Chinese nuclear families. The BMD of the
lumbar spine, femoral neck and total hip was measured by dual-energy X-ray
absorptiometry. We tested whether a single SNP or a haplotype was associated with
peak BMD variations using the QTDT. RESULTS: Using QTDT to measure within-family
associations in ALOX15, we observed a significant association between rs916055
and BMD in the lumbar spine (p = 0.027 in the permutation 1,000 test). However,
in ALOX12, rs312470 was significantly associated with BMD in the femoral neck (p
= 0.029 and p = 0.036 in the permutation 1,000 test). The results of a haplotype
analysis supported the findings of the single locus test for ALOX15. CONCLUSIONS:
Our results suggest that the genetic polymorphisms in both human ALOX12 and
ALOX15 may contribute to variations in the peak BMD of Chinese women.
PMID- 22089473
TI - Inferring synaptic inputs given a noisy voltage trace via sequential Monte Carlo
methods.
AB - We discuss methods for optimally inferring the synaptic inputs to an
electrotonically compact neuron, given intracellular voltage-clamp or current
clamp recordings from the postsynaptic cell. These methods are based on
sequential Monte Carlo techniques ("particle filtering"). We demonstrate, on
model data, that these methods can recover the time course of excitatory and
inhibitory synaptic inputs accurately on a single trial. Depending on the
observation noise level, no averaging over multiple trials may be required.
However, excitatory inputs are consistently inferred more accurately than
inhibitory inputs at physiological resting potentials, due to the stronger
driving force associated with excitatory conductances. Once these synaptic input
time courses are recovered, it becomes possible to fit (via tractable convex
optimization techniques) models describing the relationship between the sensory
stimulus and the observed synaptic input. We develop both parametric and
nonparametric expectation-maximization (EM) algorithms that consist of
alternating iterations between these synaptic recovery and model estimation
steps. We employ a fast, robust convex optimization-based method to effectively
initialize the filter; these fast methods may be of independent interest. The
proposed methods could be applied to better understand the balance between
excitation and inhibition in sensory processing in vivo.
PMID- 22089474
TI - Attenuation of hypertension-mediated glomerulosclerosis in conjunction with
increased angiotensin (1-7).
AB - BACKGROUND: Controversy exists as to whether angiotensin (1-7) (Ang (1-7)) acts
as a protective hormone against renal injury. METHODS: We compared the degree of
improvement of hypertensive nephropathy following 8 weeks' treatment with either
the angiotensin II receptor type 1 antagonist olmesartan medoxomil or the
cardioselective beta blocker atenolol in 8-week-old spontaneously hypertensive
rats (SHRs). RESULTS: Both treatment regimens reduced mean blood pressure in a
similar fashion, while bradycardia was present only in atenolol-treated SHRs. The
heart weight:body weight ratio fell more in SHRs medicated with olmesartan versus
those receiving atenolol. These changes were associated with increases in plasma
Ang II in SHRs given the angiotensin II receptor blocker. At the end of
treatment, plasma Ang (1-7) was higher in the olmesartan than atenolol or vehicle
groups. The glomerular sclerosis (GS) index was lowered by olmesartan and
atenolol compared with the vehicle group. While both olmesartan and atenolol
attenuated renal perivascular collagen deposition (PVCD), the greatest effect was
observed in SHRs receiving olmesartan. Elevations in plasma Ang (1-7) correlated
negatively with reductions in GS or PVCD index, respectively. CONCLUSIONS: While
control of blood pressure remains a critical factor in the prevention of
hypertensive nephropathy, Ang (1-7) may play a substantial role in preventing the
structural changes in glomerulus through its effect on regulations of blood
pressure and renal function.
PMID- 22089475
TI - Decorin in atherosclerosis.
AB - Atherosclerotic cardiovascular disease is a major cause of morbidity and
mortality in the Western world. Despite tremendous strides in understandings its
pathogenesis, it still remains a challenge because of gaps in our understanding
of its initiation, progression and complications leading to the clinical
syndromes of angina, acute coronary syndrome, cerebrovascular disease and
peripheral vascular disease. Recent studies have provided impetus on the shift
from models of atherosclerosis based on cellular interactions to models where the
important role of extracellular matrix is recognized. Proteoglycans, especially
those belonging to the small leucine-rich proteoglycan family of which decorin is
a representative example, have come under close scrutiny for their role in
atherogenesis. There is evidence from in vitro and in vivo animal models as well
as humans to suggest an important role of decorin in attenuating progression of
atherosclerosis. Decorin distribution in different blood vessels has been shown
to inversely correlate with the tendency to develop atherosclerosis. Decorin
seems to interact closely with different cellular components of the plaque
milieu, thereby suggesting its role in influencing atherogenesis at different
steps. Here we review the current understanding of the role of decorin in the
pathogenesis of atherosclerosis.
PMID- 22089476
TI - Inspiratory loading intensity does not influence lactate clearance during
recovery.
AB - PURPOSE: This study examined the effects of different pressure threshold
inspiratory loads on lactate clearance and plasma acid-base balance during
recovery from maximal exercise. METHODS: Eight moderately trained males
(VO(2peak) = 4.29 +/- 0.46 L.min-1) performed, on different days, four maximal
incremental cycling tests (power started at 0 W and increased by 20 W.min-1) of
identical duration (exercise time during the first trial was 16.32 +/- 1.12 min).
During 20-min recovery, subjects either rested passively or breathed through a
constant pressure threshold inspiratory load of 10 (ITL10), 15 (ITL15), or 20
(ITL20) cm H2O. Plasma lactate concentration ([La-]) was measured, and acid-base
balance was quantified using the physicochemical approach, which describes the
dependency of [H+] on the three independent variables: strong ion difference
([Na+] + [K+] - [Cl-] + [La-]), the total concentration of weak acids, and the
partial pressure of carbon dioxide. RESULTS: Peak exercise responses were not
significantly different between trials. During recovery, the area under the
plasma [La] curve was not different between trials (pooled mean = 261 +/- 60 mEq)
and the [La] measured at the end of the 20-min recovery was also similar (passive
recovery = 9.2 +/- 3.1 mEq.L-1, ITL10 = 9.3 +/- 3.1 mEq.L-1, ITL15 = 8.7 +/- 2.8
mEq.L-1, ITL20 = 8.7 +/- 3.2 mEq.L-1). Similarly, changes in other strong ions
contributing to strong ion difference and total concentration of weak acids,
partial pressure of carbon dioxide, and, therefore, [H+] were not different
between trials. CONCLUSIONS: These data suggest that, in individuals of moderate
endurance training status, inspiratory loading at the intensities used in the
present study does not accelerate lactate clearance or modify plasma acid-base
balance during recovery from maximal exercise.
PMID- 22089477
TI - Is high-impact sports participation associated with bowlegs in adolescent boys?
AB - PURPOSE: The purpose of this study was to investigate whether the participation
in load bearing sports is associated with significant knee alignment adaptations
in adolescent boys, which might cause a higher risk for the development of knee
osteoarthritis in later life. METHODS: Five hundred twenty-one healthy boys (from
7 to 18 yr), selected from local primary and secondary schools, participated in
the study. Two hundred sixty-five of them practiced competitive sports (track and
field, field hockey, basketball, volleyball, tennis, badminton, and squash) for
at least 3 h.wk(-1). The other remaining 256 boys did not practice any kind of
sports. Genu varum/valgum was determined by measuring the intercondylar (IC) and
intermalleolar (IM) distance with the subjects in a relaxed erect standing
position. The IC and/or IM distance was measured using a caliper. Both
measurements were combined to one parameter: the IC-IM distance. A one-way ANOVA
was performed to analyze differences between the different age groups within the
sporting and nonsporting boys separately. For each age group, the IC-IM distances
of the sporting and nonsporting boys were compared by ANOVA with post hoc
Bonferroni corrections. RESULTS: A comparison between the sporting and
nonsporting boys showed that the sporting boys had a significantly higher degree
of genu varum from 13 to 15 yr or older (P = 0.01). CONCLUSIONS: From the results
of this study, it can be concluded that practicing load bearing sports in general
is associated with the same knee varus alignment in adolescent boys as previously
has been indicated in intense soccer-playing adolescents.
PMID- 22089478
TI - Disparities in youth physical activity in the United States: 2003-2006.
AB - PURPOSE: This study aimed to examine changes in physical activity among children
and adolescents, by race/ethnicity, in the United States from 2003-2004 to 2005
2006. METHODS: Secondary analysis of the objectively measured accelerometer data
among children and adolescents 6-19 yr: 2003-2004 (n = 1665) and 2005-2006 (n =
1716) from the nationally representative National Health and Nutrition
Examination Survey 2003-2004 and 2005-2006. We estimated regression coefficients
for change between the two periods by age group, accounting for sampling design
and adjusting for age, sex, race/ethnicity, and number of hours monitored. We
tested for differences in mean accelerometer counts per minute and minutes per
day of moderate and vigorous physical activity trends by race/ethnicity and
gender. RESULTS: Physical activity decreased with age, boys were more active than
girls, and non-Hispanic black children were more active than non-Hispanic whites
(all P < 0.01). Overall mean accelerometer counts increased from 2003-2004 to
2005-2006 for children ages 6-11 yr (+31.6 counts per minute; 95% confidence
interval = 0.51-62.6) but not among adolescents ages 12-19 yr. There was an
increase over time in mean accelerometer counts among 6- to 11-yr-old non
Hispanic white children (+52.4 counts per minute, P = 0.007; 95% confidence
interval = 15.7-89) but a decrease among non-Hispanic black and Mexican American
children. No changes over the period in moderate and vigorous physical activity
were found in either age group. CONCLUSIONS: The lack of improvement in physical
activity among all children and adolescents and a potentially emerging race
ethnic disparity indicate a need for further research on potential mechanisms
underlying these differences. Effective interventions to improve physical
activity opportunities and attenuate the decline in activity levels as children
enter adolescence are needed.
PMID- 22089479
TI - Effect of fatigue on landing biomechanics after anterior cruciate ligament
reconstruction surgery.
AB - PURPOSE: The purpose of this study was to determine the effects of fatigue on
lower limb biomechanics during landing in patients who had undergone anterior
cruciate ligament (ACL) reconstruction surgery. METHODS: Fifteen male
participants who had undergone primary ACL reconstruction 15-19 months previously
and 11 uninjured male control subjects had three-dimensional lower limb
kinematics and kinetics quantified during single-limb landings, both before and
during progression to fatigue. The single-limb landings were a vertical drop from
a 30-cm platform, and subjects performed repetitive bilateral squats to induce
fatigue. RESULTS: Fatigue led to reduced flexion in the lower limb, increased hip
and knee abduction, increased knee rotation, and reduced knee joint moments. The
response to fatigue was similar between the ACL-reconstructed limb and the
control group as well as the reconstructed limb and the contralateral limb. The
only kinematic variable to show a between-group or between-limb difference was
hip flexion at initial contact, whereby flexion was greater for the reconstructed
side. Although knee flexion and adduction moments were smaller for the
reconstructed knee compared with the contralateral knee, they were not different
from the control group. CONCLUSIONS: The results show that having an ACL
reconstruction does not necessarily mean greater vulnerability to the effect of
fatigue during single-limb landing. Nonetheless, some of the landing positions
that were observed with fatigue potentially compromise the knee and integrity of
the ACL graft.
PMID- 22089480
TI - Are under- and overweight female elite athletes thin and fat? A controlled study.
AB - PURPOSE: The study's purpose was to relate body mass index (BMI) to body fat
percentage as measured by dual-energy x-ray absorptiometry in female elite
athletes from different sports and nonathletic controls and to investigate what
characterizes the athletes with unhealthy low and high body fat values. METHODS:
This study was conducted in three phases: 1) screening with a detailed
questionnaire, 2) body composition measurement (dual-energy x-ray
absorptiometry), and 3) clinical interview. All female elite athletes
representing national teams at the junior or senior level age 13-39 yr (n = 938)
and an age group-matched randomly selected population-based control group (n =
900) were invited to participate. A stratified random sample was invited to
participate in parts 2 and 3. A total of 186 athletes (62%) and 145 controls
(48%) participated in all three phases. RESULTS: Of those athletes with normal
BMI values (18.5-24.9 kg.m-2) (n = 150), 2.0% were classified with low body fat
levels (<12%), and 6.7% were classified with obese body fat levels (>= 33%). The
median value for the entire group was 24.3% body fat. For the controls with
normal BMI values (n = 96), none was classified with low body fat levels, and 50%
were classified with obese body fat levels (median = 33.1%). The correlation
between BMI and body fat percentage was 0.671 (P < 0.01) (SEE = 5.3%) for the
athletes and 0.813 (P < 0.01) (SEE = 4.1%) for the controls. Both under- and
overfat athletes self-reported menstrual dysfunction, stress fractures, history
of weight fluctuation, and use of pathogenic weight control methods and were
diagnosed with clinical eating disorders and/or low bone density. CONCLUSIONS:
Our data show that BMI is not a valid measure for assessing or monitoring body
composition in female elite athletes, and it should be used carefully in female
nonathletes.
PMID- 22089481
TI - Ground contact time as an indicator of metabolic cost in elite distance runners.
AB - Differences in running economy at common speeds have been demonstrated between
male and female distance runners, as well as between middle-distance (MD) and
long-distance (LD) specialists. Whether measures of foot ground contact time
(tc), known to be proportional to the mass-specific cost of locomotion, follows
the same running economy relationships in these groups is unknown. PURPOSE: This
study examined if differences in tc and selected gait kinematic variables exist
between elite male and female distance runners, as well as between elite MD and
LD specialists, as running speed increases. METHODS: Twelve male and six female
elite distance runners completed multiple 30-s trials on a treadmill at common
competitive racing velocities. Wireless triaxial 10-g accelerometers, sampling at
1024 Hz, were securely attached to the laces of each shoe. Values of tc, swing
time, stride length, and stride frequency were determined from accelerometric
output corresponding to foot strike and toe-off events obtained from a minimum of
20 consecutive steps of each foot. A proportional estimate of metabolic cost was
obtained by using 1/tc. RESULTS: Women displayed shorter tc, swing time, and
stride length with greater stride frequency compared with men at common speeds;
however, these differences were largely negated by normalizing to standing
height. At common speeds, women demonstrated smaller measures of tc compared with
men, suggesting an increased metabolic cost, paralleling published oxygen uptake
data. MD specialists displayed smaller increases in 1/tc as speed increased,
compared with LD specialists. CONCLUSIONS: Elite distance runners demonstrate
ground contact measures that suggest that known differences in running economy
between sexes and event specialties may be a result of differences in running
gait.
PMID- 22089482
TI - Repeated sprints alter signaling related to mitochondrial biogenesis in humans.
AB - PURPOSE: We investigated the effects of acute and chronic repeated-sprint
exercise (RSE) on the skeletal muscle messenger RNA (mRNA) expression and protein
abundance/phosphorylation associated with mitochondrial biogenesis. METHODS: Ten
healthy young adults (seven males, three females) performed the RSE trial,
comprising three sets of 5 * 4-s maximal sprints on a nonmotorized treadmill,
with a 20-s recovery between sprints and 4.5 min between sets. After 4 wk of
repeated-sprint training, three times per week, participants repeated the RSE. A
vastus lateralis muscle biopsy was obtained at rest, immediately after, and 1 and
4 h after RSE, before and after training. Venous blood lactate and glucose were
measured at rest and during recovery. Real-time reverse transcriptase polymerase
chain reaction and Western blot techniques were used to measure mRNA expression
and protein abundance, respectively. RESULTS: Acute RSE increased the
phosphorylation of acetyl-CoA carboxylase (86%, effect size (ES) = 1.4 +/- 0.8, P
< 0.001) and Ca calmodulin-dependent protein kinase II (69%, ES = 0.7 +/- 0.6).
Peroxisome proliferator-activated receptor gamma coactivator 1alpha (PGC-1alpha;
208%, ES = 1.5 +/- 0.7, P < 0.001) and nuclear respiratory factor 1 (92%, ES =
0.7 +/- 0.8) mRNA expression was increased after RSE. Four weeks of training
increased the abundance of PGC-1alpha protein at rest (33%, ES = 0.9 +/- 0.7).
CONCLUSIONS: Both acute and chronic RSE, despite only 60 s and 12 min of
exercise, respectively, altered the molecular signaling associated with
mitochondrial adaptations and PGC-1alpha mRNA expression in skeletal muscle.
However, the small-to-moderate changes in resting PGC-1alpha protein abundance
after training, together with the absence of changes in aerobic fitness, require
further research to understand the functional significance of PGC-1alpha in
response to RSE.
PMID- 22089483
TI - Muscle coordination patterns for efficient cycling.
AB - INTRODUCTION/PURPOSE: Cycling is a repetitive activity using coordinated muscle
recruitment patterns to apply force to the pedals. With more muscles available
for activation than required, some patterns produce high power, whereas some are
more efficient. The purpose of this study was to identify relationships between
muscle coordination and factors affecting muscle coordination to explain changes
in overall mechanical efficiency (etaO). METHODS: Surface EMG, kinematics, and
pedal forces were measured at 25%, 40%, 55%, 60%, 75%, and 90% VO(2max).
Principal component analysis was used to establish muscle coordination,
kinematic, and pedal force patterns associated with high and low etaO. RESULTS:
At 55%-60% VO(2max), etaO was maximized and was highly related to the muscle
coordination patterns. At high etaO, there was more medial and lateral
gastrocnemii and soleus; less gluteus maximus, rectus femoris, and tibialis
anterior; later medial and lateral vastii and biceps femoris; and earlier
semitendinosus muscle activity resulting in an even distribution and
synchronization of peak activity. Also, the ankle was more plantar flexed through
the top and downstroke of the pedal cycle and more dorsiflexed during the
upstroke for high etaO. The etaO was independent of the pedal force application.
CONCLUSIONS: The results indicate that increased etaO is achieved through the
coordination of muscles crossing the same joint, sequential peak activation from
knee to hip to ankle, and reliance on multiple muscles for large joint torques.
Also, muscle activity variability across the top and bottom of the cycle
indicates that left and right leg muscle coordination may play a significant role
in efficient cycling. These findings imply that cycling at 55%-60% VO(2max) will
maximize the rider's exposure to high efficient muscle coordination and
kinematics.
PMID- 22089484
TI - Muscle coordination during an outdoor cycling time trial.
AB - INTRODUCTION/PURPOSE: Muscle activity in cycling has primarily been studied in
the laboratory; however, conclusions are limited by the ability to recreate
realistic environmental conditions. The purpose of this study was to determine
muscle coordination patterns in an outdoor time trial and investigate their
relationships to power output (PO), total muscle activity (Itot), overall
mechanical efficiency (etaO), cadence, and gradient. METHODS: Surface EMG,
gradient, and cycling parameters were measured while cycling 18.8 km outdoors. A
principal component analysis was used to establish coordination patterns that
were compared with Itot, etaO, PO, cadence, and gradient. RESULTS: PO was
positively correlated with Itot, and high PO was associated with elevated rectus
femoris and vastus lateralis activity and synchronization of muscles crossing the
same joint. PO and cadence demonstrated positive and negative relationships,
respectively, with gradient. Relationships between muscle coordination, PO, etaO,
Itot, and gradient showed that muscle coordination, PO, and etaO fluctuate during
an outdoor time trial as a result of pacing and gradient. A trade-off existed
between etaO and PO, and etaO was dependent on muscle activation around the top
and bottom of the pedal cycle and activity in more than the knee extensor
muscles. Fluctuations in muscle activity due to the changing PO, from pacing and
terrain, seemed to mitigate fatigue indices seen in indoor cycling studies.
CONCLUSIONS: This study provides evidence that muscle activity is dependent on
the terrain aspects of the cycle course as muscle coordination changes with the
altered locomotor demands. The coordination patterns significantly covaried with
PO, Itot, etaO, cadence, and gradient, which highlights the importance of
recording these parameters under field conditions and/or careful reproduction of
outdoor environments in indoor studies.
PMID- 22089485
TI - Course introduction and selection of immunohistochemical staining panels:
principles and importance of incorporating clinical information. The 5th annual
retreat for applied immunohistochemistry and molecular pathology january 30th
february 2, 2011, coral gables, Florida.
AB - Among the core principles in the practice of immunohistochemistry is the use of
carefully chosen marker panels. Choosing an appropriate panel of antibodies is
predicated on a sound differential diagnosis that is based on detailed
examination of hematoxylin and eosin-stained slides. The panel should contain
antibodies designed to be immunoreactive in the most likely disease(s) in the
differential as well as selected negative markers. In addition, the importance of
detailed historical and clinical information in constructing the differential
diagnosis and panel selection cannot be understated. Two cases from the Case
Presentation sessions of the 5th Annual Retreat for Applied Immunohistochemistry
and Molecular Pathology are summarized to illustrate these points. The first case
is that of metastatic well-differentiated neuroendocrine tumor (carcinoid) tumor
presenting as a breast mass. The second is that of a squamous cell carcinoma of
the lung mimicking a tumor with admixed glandular differentiation by entrapment
and disruption of bronchial glands. Application of a select immunohistochemistry
panel in light of the differential diagnosis and importance of making a specific
diagnosis are discussed.
PMID- 22089486
TI - From microscopy to whole slide digital images: a century and a half of image
analysis.
AB - In the year 1850, microscopes had evolved in quality to the point that the "first
pathologists emerged from the treacherous swamps of medieval practice onto the
relatively firm ground that histopathology seemed to offer." These early
pathologists began to practice the art of image analysis, and diagnostic surgical
pathology was born. Today the traditional microscope, in the hands of an
experienced pathologist, is established as the gold standard for diagnosis of
cancer and other diseases. Nonetheless, it is a tool and a technology that is
more than 150 years old. Rapid advances in the capabilities of digital imaging
hardware and software now offer the real possibility of moving to a new level of
practice, using whole slide digital images for diagnosis, education, and research
in morphologic pathology. Potential efficiencies in work flow and diagnostic
integration, coupled with the use of powerful new analytic methods, promise
radically to change the future shape of surgical pathology.
PMID- 22089487
TI - Multiplexed measurement of proteins in tissue in a clinical environment.
AB - There is an emerging need for more effective approaches to accurately quantitate
protein expression in tissue samples. In many clinical studies and particularly
in pharmaceutical clinical trials, access to adequate tissue samples is a major
bottleneck, and thus techniques to measure protein expression in these valuable
tissue specimens is important. This study will review current approaches in
multiplexing of protein expression in tissue, and discusses new approaches using
a novel image registration technique across multiple tissue sections.
PMID- 22089488
TI - ASCO-CAP guidelines for breast predictive factor testing: an update.
AB - This brief report compares and contrasts the American Society of Clinical
Oncology/College of American Pathologists guidelines for breast cancer predictive
factor testing including the American Society of Clinical Oncology/College of
American Pathologists human epidermal growth factor receptor, type 2, erbB2
Guideline published in 2007 and the recently published Guideline for Estrogen
Receptor and Progesterone Receptor Testing by Immunohistochemistry, published in
2011.
PMID- 22089489
TI - Immunohistochemistry in the diagnostic evaluation of breast lesions.
AB - Most diagnoses in breast pathology can be rendered after careful evaluation of a
high quality hematoxylin and eosin-stained tissue section. However, a significant
number of both benign and malignant lesions can show variable morphologic
patterns and significant histologic overlap, leading to diagnostic uncertainty.
These challenges and complexities have opened the door to an expanding role for
immunohistochemistry (IHC) as an important diagnostic adjunct for solving
frequently encountered problems in breast pathology. Although IHC has proven to
be a powerful tool in helping to resolve difficult cases, the results of such
studies should always be carefully interpreted within the morphologic context for
each lesion. This article will briefly review some of the more common
circumstances in which IHC has been shown to be useful in diagnostic breast
pathology.
PMID- 22089490
TI - HER2 testing in gastric and gastroesophageal junction cancers: a new therapeutic
target and diagnostic challenge.
AB - Adenocarcinomas of the stomach and gastroesophageal junction represent a major
cause of cancer morbidity and mortality world wide. Complete surgical resection
is the mainstay of treatment for nonmetastatic disease; however, many patients
are not diagnosed until their disease is either locally advanced or metastatic
and therefore unresectable. Clearly, there is an unmet clinical need for new
therapeutic strategies, treatment options, and novel therapeutic targets. In a
recent trial (Trastuzumab for GAstric cancer), patients assigned to the
trastuzumab treatment protocol showed an improved overall survival over those not
receiving treatment. Trastuzumab has recently been approved for treatment of
advanced gastric and gastroesophageal junction cancers. Pathologists and
diagnostic laboratories must be prepared for this new category of specimens
requiring human epidermal growth factor receptor 2 testing, and have an awareness
of the interpretive differences between breast and gastric cancers.
PMID- 22089491
TI - Electron theory of fast and ultrafast dissipative magnetization dynamics.
AB - For metallic magnets we review the experimental and electron-theoretical
investigations of fast magnetization dynamics (on a timescale of ns to 100 ps)
and of laser-pulse-induced ultrafast dynamics (few hundred fs). It is argued that
for both situations the dominant contributions to the dissipative part of the
dynamics arise from the excitation of electron-hole pairs and from the subsequent
relaxation of these pairs by spin-dependent scattering processes, which transfer
angular momentum to the lattice. By effective field theories (generalized
breathing and bubbling Fermi-surface models) it is shown that the Gilbert
equation of motion, which is often used to describe the fast dissipative
magnetization dynamics, must be extended in several aspects. The basic
assumptions of the Elliott-Yafet theory, which is often used to describe the
ultrafast spin relaxation after laser-pulse irradiation, are discussed very
critically. However, it is shown that for Ni this theory probably yields a value
for the spin-relaxation time T(1) in good agreement with the experimental value.
A relation between the quantity alpha characterizing the damping of the fast
dynamics in simple situations and the time T(1) is derived.
PMID- 22089492
TI - Suppression of collagen-induced arthritis by intra-articular lentiviral vector
mediated delivery of Toll-like receptor 7 short hairpin RNA gene.
AB - Knockdown of Toll-like receptors (TLRs) is a novel therapeutic strategy in
treating patients with rheumatoid arthritis (RA). We examined the effects of
lentiviral vector-mediated delivery of TLR7 short hairpin RNA gene (Lt.shTLR7) on
collagen-induced arthritis (CIA). After being immunized on days 0 and 7, Sprague
Dawley rats received intra-articular (i.a.) injection of Lt.shTLR7 or scramble
control vector on days 7 and 10. The therapeutic effects were evaluated by
measuring ankle circumferences, articular index, and radiographic and
histological scores on killing on day 16. Microvessel densities, vascular
endothelial growth factor (VEGF) levels, pro-inflammatory cytokine concentrations
and T-cell numbers within the synovial tissues were measured. Moreover, VEGF and
pro-inflammatory cytokine concentrations in culture supernatants from TLR7
transfected synovial fibroblasts (SFs) stimulated with imiquimod or endogenous
ligands were examined. There were significant reduction in ankle circumferences,
articular indexes, and radiographic and histological scores. Microvessel
densities, VEGF concentrations, interleukin (IL)-1beta and IL-6 levels and T-cell
densities within synovial tissues were significantly lower. Induction of VEGF, IL
1beta and IL-6 production from stimulated SFs was significantly suppressed. Taken
together, these data demonstrate the effects of i.a. lentiviral vector-mediated
delivery of shTLR7 RNA gene on inhibition of CIA, and implicate the manipulation
of TLR7 as a potential therapeutic strategy in RA patients.
PMID- 22089493
TI - Gene-based therapies for dominantly inherited retinopathies.
AB - In light of the elucidation of the molecular pathogenesis of some dominantly
inherited retinal degenerations over the past two decades, it is timely to
explore possible means of therapeutic intervention for such diseases. However,
the presence of significant levels of intergenic and intragenic genetic
heterogeneity in this group of dominant conditions represents a barrier to the
development of therapies focused on correcting the primary genetic defect. More
than 60 genes have been implicated in dominant retinopathies and indeed over 150
different mutations in the rhodopsin gene alone have been identified in patients
with autosomal dominant retinitis pigmentosa. Employing next-generation
sequencing to characterise populations of retinal degeneration patients
genetically over the coming years will beyond doubt serve to highlight further
the immense genetic heterogeneity inherent in this group of disorders. Such
diversity in genetic aetiologies has promoted the search for therapeutic
solutions for dominantly inherited retinopathies that are independent of disease
causing mutations. The various approaches being considered to provide mutation
independent therapies for these dominant conditions will be discussed in the
review, as will the preclinical data supporting the further development of such
strategies.
PMID- 22089495
TI - dsAAV8-mediated gene transfer and beta-cell expression of IL-4 and beta-cell
growth factors are capable of reversing early-onset diabetes in NOD mice.
AB - Type-I diabetes is a chronic disease mediated by autoimmune destruction of
insulin-producing beta-cells. Although progress has been made towards improving
diabetes-associated pathologies and the quality of life for those living with
diabetes, no therapy has been effective at eliminating disease manifestations or
reversing disease progression. Here, we examined whether double-stranded adeno
associated virus serotype 8 (dsAAV8)-mediated gene delivery to endogenous beta
cells of interleukin (IL)-4 in combination with beta-cell growth factors can
reverse early-onset diabetes in NOD mice. Our results demonstrate that a single
treatment with dsAAV8 vectors expressing IL-4 in combination with glucagon-like
peptide-1 or hepatocyte growth factor/NK1 under the regulation of the insulin
promoter enhanced beta-cell proliferation and survival in vivo, significantly
delaying diabetes progression in NOD mice, and reversing disease in ~10% of
treated NOD mice. These results demonstrate the ability to reverse hyperglycemia
in NOD mice with established diabetes by in vivo gene transfer to beta-cells of
immunomodulatory factors and beta-cell growth factors.
PMID- 22089494
TI - Prolonged regulatable expression of EPO from an HSV vector using the LAP2
promoter element.
AB - We previously reported regulated expression of erythropoietin (EPO) over 4 weeks
in the peripheral nerve in vivo, using a herpes simplex virus (HSV)-based vector
containing a Tet-on regulatable gene expression cassette. To create a vector that
would be appropriate for the treatment of chronic neuropathy, we constructed a
HSV vector with expression of EPO under the control of the Tet-on system in which
the HSV latency-associated promoter 2 element was used to drive the expression of
the Tet-on transactivator. EPO expression from the vector was tightly controlled
by administration of doxycycline (DOX) in vitro. One month after inoculation of
the vector to transduce dorsal root ganglion (DRG) in vivo, administration of DOX
containing chow-induced expression of EPO. Mice with streptozotocin-induced
diabetes, inoculated with the vector, were protected against the development of
neuropathy by continuous administration of DOX-containing chow over the course of
3 months. Identical results were achieved when DOX was administered every other
week over 3 months of diabetes, but administration of DOX, 1 week out of 3,
provided only partial protection against the development of neuropathy. Taken
together, these results suggest such a vector is well suited for clinical trial
for the treatment of chronic or subacutely developing neuropathy.
PMID- 22089496
TI - Shutdown of immunological priming and presentation after in vivo administration
of adenovirus.
AB - Adenoviral (Adv) vectors are widely used in both experimental and clinical trials
for vaccination and gene therapy. Recombinant Adv can evoke potent innate immune
responses and adaptive immune responses to encoded antigens. However, how Adv
infection affects the response to subsequently encountered antigens is poorly
understood. We show that intravenously administered replication defective (E1 and
E3 deleted) Adv educes functional changes in dendritic cells (DC) resulting in
impaired priming of cytotoxic T lymphocytes (CTL) more than 7 days after Adv
treatment. Generalized DC activation was indicated by transient upregulation of
CD86 and reduced endocytosis of fluorescent beads. It is known that CD8+ DC are
predominantly responsible for uptake and presentation (cross-presentation) of
exogenous antigens to CD8+ CTL. Hence, impaired endocytosis in CD8+, but not CD8
, DC at 7 days after Adv administration provided an explanation for the impaired
CTL response to antigen at this time. Shutdown of cross-presentation was
confirmed using cytochrome c (cytc), an agent that selectively depletes cross
presenting DC. Adv-infection rendered CD8+ DC resistant to depletion by cytc. As
the cross-presentation pathway underlies CD8 T-cell responses to many cancers and
to vaccines or viruses that do not directly infect DC, systemic Adv
administration may impair these responses.
PMID- 22089497
TI - Stochastic rolling of a rigid sphere in weak adhesive contact with a soft
substrate.
AB - We study the rolling motion of a small solid sphere on a fibrillated rubber
substrate in an external field in the presence of a Gaussian noise. From the
nature of the drift and the evolution of the displacement fluctuation of the
ball, it is evident that the rolling is controlled by a complex non-linear
friction at a low velocity and a low noise strength (K), but by a linear
kinematic friction at a high velocity and a high noise strength. This transition
from a non-linear to a linear friction control of motion can be discerned from
another experiment in which the ball is subjected to a periodic asymmetric
vibration in conjunction with a random noise. Here, as opposed to that of a fixed
external force, the rolling velocity decreases with the strength of the noise
suggesting a progressive fluidization of the interface. A state (K) and rate (V)
dependent friction model is able to explain both the evolution of the
displacement fluctuation as well as the sigmoidal variation of the drift velocity
with K. This research sets the stage for studying friction in a new way, in which
it is submitted to a noise and then its dynamic response is studied using the
tools of statistical mechanics. Although more works would be needed for a fuller
realization of the above-stated goal, this approach has the potential to
complement direct measurements of friction over several decades of velocities and
other state variables. It is striking that the non-Gaussian displacement
statistics as observed with the stochastic rolling is similar to that of a
colloidal particle undergoing Brownian motion in contact with a soft microtubule.
PMID- 22089498
TI - Uncommon presentations of uncommon conditions.
PMID- 22089499
TI - Role of the macular optical coherence tomography scan in neuro-ophthalmology.
AB - BACKGROUND: Recent improvements in optical coherence tomographic (OCT) resolution
and automated segmentation software have provided a means of relating visual
pathway damage to structural changes in the retinal nerve fiber layer (RNFL) and
corresponding soma of the ganglion cells in the inner layers of the macula and
also in the outer photoreceptor layer in the macula. EVIDENCE ACQUISITION:
Studies correlating retinal structure with function are reviewed in the context
of OCT in optic nerve and retinal disorders. RESULTS: Recently published work
provides evidence showing a strong relationship not only between the RNFL and
visual threshold in optic nerve disorders but also between visual sensitivity and
the inner layers of the retina in the macula, where the cell bodies of ganglion
cells reside. Acquired and genetic disorders affecting the outer retina show
correlation between visual sensitivity and the thickness of the outer
photoreceptors. These relationships help localize unknown causes of visual field
loss through segmentation of the retinal layers using spectral domain OCT.
CONCLUSIONS: Advances in relating the structure of the ganglion cell layer in the
macula to the corresponding axons in the RNFL and to visual function further our
ability to differentiate and localize ambiguous causes of vision loss and visual
field defects in neuro-ophthalmology. Ganglion cell layer analysis in volume OCT
data may provide yet another piece of the puzzle to understanding structure
function relationships and its application to diagnosis and monitoring of optic
nerve diseases, while similar structure-function relationships are also being
elucidated in the outer retina for photoreceptor diseases.
PMID- 22089501
TI - Stenting of the transverse sinuses in idiopathic intracranial hypertension.
PMID- 22089502
TI - Neuro-ophthalmology and pregnancy: what does a neuro-ophthalmologist need to
know?
AB - Management of the pregnant woman with a neuro-ophthalmic disorder may be
challenging. Physiologic changes in pregnancy make vascular conditions more
frequent, including retinal artery occlusion, spontaneous orbital hemorrhage, and
pituitary apoplexy. Papilledema may signal cerebral venous sinus thrombosis or
idiopathic intracranial hypertension. Manifestations of severe preeclampsia and
eclampsia include choroidal infarction, serous retinal detachment, and disorders
of higher cortical function, such as alexia, simultanagnosia, and cerebral
blindness. Cranial neuropathies have also been reported. Transient Horner
syndrome, intracranial hypotension with comitant esotropia may occur in the
postpartum period. Treatment of the neuro-ophthalmic complications of pregnancy
requires an understanding of the risks of medications. Taking optimal care of the
mother will usually result in the best care for her baby.
PMID- 22089500
TI - Vision in multiple sclerosis: the story, structure-function correlations, and
models for neuroprotection.
AB - Visual dysfunction is one of the most common clinical manifestations of multiple
sclerosis (MS). Just over a decade ago, MS clinical trials did not include visual
outcomes, but experts recognized the need for more sensitive measures of visual
function. Low-contrast letter acuity emerged as the leading candidate to measure
visual disability in MS, and subsequent studies found low-contrast acuity testing
to correlate well with brain MRI lesion burden, visual-evoked potentials, quality
of life (QOL), and retinal nerve fiber layer (RNFL) loss, as measured by optical
coherence tomography (OCT). OCT in MS has allowed for assessment of structure
function correlations that make the anterior visual pathway and acute optic
neuritis (ON) ideal models for testing novel agents for neuroprotection and
repair. New therapies that reduce axonal loss by neuroprotective or myelin repair
mechanisms can now be assessed noninvasively by OCT and coupled with visual
function data. Based on OCT studies in MS, RNFL thickness is reduced
significantly among patients (92 MUm) vs controls (105 MUm) and is particularly
reduced in MS eyes with a history of ON (85 MUm). Worsening of visual function by
a clinically significant >= 7 letters or approximately 1.5 lines for low-contrast
acuity is associated with approximately 4.5 MUm reductions in RNFL thickness in
MS eyes. Longitudinal studies of OCT have also shown RNFL axonal loss over time
that occurs even in the absence of acute ON and that correlates with clinically
meaningful worsening of vision and QOL, even in patients with benign MS. The
latest OCT investigations involve high-resolution spectral-domain (SD) OCT with
segmentation and measurement of specific retinal layers using computerized
algorithms. These methods allow quantitation of ganglion cell (neuronal) layer
loss and axonal degeneration in MS in vivo. In this review, we examine the data
from these studies and ongoing trials that highlight the entity of ON as a model
to investigate neuroprotection and neurorepair. In doing so, we also present
representative group data from studies that have examined visual function, OCT
measures, and QOL scales in patients with MS and ON and disease-free controls.
These data, and those from recent meta-analyses, may be used to provide reference
values for the development of clinical trial protocols.
PMID- 22089504
TI - Psoriasis and the pregnant woman: what are the key considerations?
AB - Pregnancy is characterized by multiple physiologic changes. During the entire
gestational period, both mother and infant are vulnerable to a variety of
external and internal factors. Maternal disease, use of certain medications,
drugs, alcohol, smoking, and radiation exposure can have devastating effects on
the fetus. Pregnancy-related complications in women with psoriasis can be caused
by both the disease and the treatment. The response of the maternal placenta to
psoriasis-induced inflammation and comorbid conditions, such as obesity,
hypertension, and depression, may also influence the pregnancy. Herein, we review
the relationship between psoriasis and undesirable pregnancy outcomes.
PMID- 22089505
TI - Newer approaches in topical combination therapy for acne.
AB - Acne vulgaris is a common chronic inflammatory cutaneous disease involving the
pilosebaceous unit. Its pathophysiology is multifactorial and complex, including
obstruction of the pilosebaceous unit due to increased sebum production, abnormal
keratinization, proliferation of Propionibacterium acnes (P. acnes), and
inflammation. Topical agents are the most commonly used therapy for acne. First
generation topicals mainly consist of single agent retinoids, benzoyl peroxide
(BPO) and antibacterials that target comedones, P. acnes, and inflammation. Novel
topical therapies include combination products with advanced vehicle formulations
that target multiple acne pathophysiologies and offer simplified treatment
regimes. For example, the combination of clindamycin and tretinoin in a unique
vehicle formulation allows for progressive follicle penetration and decreased
irritation, resulting in increased efficacy. Furthermore, adapalene or
clindamycin with BPO combinations target comedones, inflammation, and P. acnes
synergistically. These newer combination products have the potential to increase
both efficacy and patient adherence when compared with single agent treatment.
PMID- 22089506
TI - Specific recognition between intrinsically disordered LEF and DNA.
AB - Lymphoid enhancer-binding factor-1 (LEF-1) is a sequence-specific and cell type
specific transcription factor in regulation of the human T cell receptor alpha
enhancer. It has been shown the minor groove of DNA can bind the intrinsic
disordered LEF. To get an insight into the mechanism of how the intrinsic
disordered LEF specifically recognizes DNA, we have performed explicit-solvent
multiple molecular dynamics (MD) simulations to study the specific recognition
between DNA and LEF. Room-temperature MD simulations suggest that the disordered
C-tails of LEF have nonspecific and specific interactions with the minor groove
of DNA. Kinetic analysis of high-temperature MD simulations shows that bound and
apo-states unfold via a two-state process. The specific binding of the disordered
C-tails of LEF can accelerate the formation of a complex. Gly38Ala and Met11Gly
mutant simulations show that electrostatic interactions between DNA and LEF
significantly decrease. Kolmogorov-Smirnov (KS) P test analysis illustrates that
the specific recognition between DNA and LEF might follow an induced-fit
mechanism. Furthermore, these methods can be used for the research of specific
recognition between DNA and other intrinsic disordered proteins.
PMID- 22089507
TI - A comparison between robust z and 0.3-Logit Difference procedures in assessing
stability of linking items for the Rasch model.
AB - There are at least two procedures to assess item difficulty stability in the
Rasch model: robust z procedure and ".3 Logit Difference" procedure. The robust z
procedure is a variation of the z statistic that reduces dependency on outliers.
The ".3 Logit Difference" procedure is based on experiences in Rasch linking for
tests developed by Harcourt. Both methods were applied to archival data from two
large-scale South Carolina assessment programs: HSEE 1986/1987 and PACT
2004/2005.The results of the analysis showed the ".3 Logit Difference" procedure
identifies slightly more stable items (2.6%) for all items under study. In
addition, approximately 93% of all items under consideration were identically
classified as stable or unstable for both procedures. This very high level of
agreement between the two methods indicates that either procedure can be safely
used to identify stable items for use in a common-item linking design. The
advantage of the robust z procedure lies in its foundation of robust statistical
inference. The procedure takes into account well-accepted models for identifying
outliers and permits critical values set at a specified Type I error.
PMID- 22089508
TI - Assessment of English language development: a validity study of a district
initiative.
AB - The San Francisco Unified School District (SFUSD) uses the Language and Literacy
Assessment Rubric (LALAR) as the secondary measurement required by the No Child
Left Behind (NCLB) Act to measure English proficiency of English language
learners (ELLs). In this analysis, the Rasch model is used to identify whether
the LALAR is a valid measurement instrument and scale to measure the "English
proficiency" of ELLs. This analysis investigates the relationship between student
ability () and the probability that the student will respond correctly to an item
on the LALAR. Controlling for this relationship, the item characteristics of each
item, ability of each student, and measurement error associated with each score
were mathematically derived. This will allow for validity and reliability tests
to be conducted, which will help determine if the LALAR is a useful
accountability measure for ELLs.
PMID- 22089509
TI - Equating of multi-facet tests across administrations.
AB - The purpose of this study is to explore criteria for common element test equating
for performance examinations. Using the multi-facet Rasch model, each element of
each facet is calibrated or placed in a relative position on a Benchmark or
reference scale. Common elements from each facet, included on the examinations
being equated, are used to anchor the facet elements to the Benchmark Scale. This
places all examinations on the same scale so that the same criterion standard can
be used. Performance examinations typically have three to four facets including
examinees, raters, items and tasks. Raters rate examinees on tasks related to the
items included in the test. The initial anchoring of a current test
administration to the Benchmark Scale is evaluated for invariance and fit. If
there is too much variance or lack of fit for particular facet elements, it may
be necessary to unanchor those elements, which means they are not used in the
equating. The equating process was applied to an exam with four facets and
another with five facets. Results found few common facet elements that could not
be used in the test equating process and that differences in the difficulty of
the equated exams were identified so that the criterion standard on the Benchmark
Scale could be used. It was necessary to use careful quality control for
anchoring the common elements in each facet. The common elements should be
unaltered from their original use. Strict criteria for displacement and fit must
be established and used consistently. Unanchoring inconsistent and/or misfitting
facet elements improves the quality of the test equating.
PMID- 22089510
TI - Examining student rating of teaching effectiveness using FACETS.
AB - Students' evaluations of teaching staff can be considered high-stakes, as they
are often used to determine promotion, reappointment, and merit pay to academics.
Using Facets, the reliability and validity of one student rating questionnaire is
analyzed. A total of 13,940 respondents of the Human Science Division of
International Islamic University Malaysia were involved in the study. The
analysis shows that the student rating questionnaire used was valid and reliable,
and it allows identification of staff for the institution's prestigious teaching
excellence awards, and those needing in-service training. It was found that there
was no significant difference in terms of rank, age and gender of the staff. The
study also shows that the majority of staff have problems keeping the class
interested and getting students to participate in class activities. Faculty also
hardly discussed common errors in assignments and tests.
PMID- 22089511
TI - Exploring differential item functioning (DIF) with the Rasch model: a comparison
of gender differences on eighth grade science items in the United States and
Spain.
AB - Traditionally, women and minorities have not been fully represented in science
and engineering. Numerous studies have attributed these differences to gaps in
science achievement as measured by various standardized tests. Rather than
describe mean group differences in science achievement across multiple cultures,
this study focused on an in-depth item-level analysis across two countries: Spain
and the United States. This study investigated eighth-grade gender differences on
science items across the two countries. A secondary purpose of the study was to
explore the nature of gender differences using the many-faceted Rasch Model as a
way to estimate gender DIF. A secondary analysis of data from the Third
International Mathematics and Science Study (TIMSS) was used to address three
questions: 1) Does gender DIF in science achievement exist? 2) Is there a
relationship between gender DIF and characteristics of the science items? 3) Do
the relationships between item characteristics and gender DIF in science items
replicate across countries. Participants included 7,087 eight grade students from
the United States and 3,855 students from Spain who participated in TIMSS. The
Facets program (Linacre and Wright, 1992) was used to estimate gender DIF. The
results of the analysis indicate that the content of the item seemed to be
related to gender DIF. The analysis also suggests that there is a relationship
between gender DIF and item format. No pattern of gender DIF related to cognitive
demand was found. The general pattern of gender DIF was similar across the two
countries used in the analysis. The strength of item-level analysis as opposed to
group mean difference analysis is that gender differences can be detected at the
item level, even when no mean differences can be detected at the group level.
PMID- 22089512
TI - A Mapmark method of standard setting as implemented for the National Assessment
Governing Board.
AB - This article describes a Mapmark standard setting procedure, developed under
contract with the National Assessment Governing Board (NAGB). The procedure
enhances the bookmark method with spatially representative item maps, holistic
feedback, and an emphasis on independent judgment. A rationale for these
enhancements, and the bookmark method, is presented, followed by a detailed
description of the materials and procedures used in a meeting to set standards
for the 2005 National Assessment of Educational Progress (NAEP) in Grade 12
mathematics. The use of difficulty-ordered content domains to provide holistic
feedback is a particularly novel feature of the method. Process evaluation
results comparing Mapmark to Anghoff-based methods previously used for NAEP
standard setting are also presented.
PMID- 22089513
TI - Tunable, light-assisted co-generation of CO and H2 from CO2 and H2O by Re(bipy
tbu)(CO)3Cl and p-Si in non-aqueous medium.
AB - The light-assisted co-generation of carbon monoxide and hydrogen from carbon
dioxide and water is reported. The combination of a homogeneous CO-evolving
electrocatalyst and a heterogeneous H(2)-evolving photoelectrode surface provides
for tunability of the H(2)/CO ratio. A total Faradaic efficiency of 102 +/- 5%
and a H(2)/CO ratio of 2:1 were achieved at a low homogeneous catalyst
concentration (0.5 mM) in acetonitrile/water mixtures.
PMID- 22089514
TI - Fall incidence and risk factors in patients after total knee arthroplasty.
AB - PURPOSE: To prospectively investigate the relationship between physical function
and falls among elderly patients who underwent total knee arthroplasty (TKA) and
to determine the incidence of falls as well as their risk factors. METHODS: A
total of 108 patients (17 male, 91 female) over 60 years of age who underwent TKA
were enrolled and who were living independently in community. 75 patients
fulfilled our inclusion criteria and 74 (8 male, 66 female) of them agreed to
participate. Baseline assessment (physical examination, physical performance
tests, and self-administered questionnaire) were conducted between 6 and 12
months after the last arthroplasty and the follow-up assessment was performed 6
months after the baseline assessment. Monthly pre-stamped postcards were sent to
assess the incidence of falls. RESULTS: Of the 74 patients enrolled, 70 (94.6%)
completed a 6-month prospective observation. 23 of 70 patients (32.9%) fell
during the observational period. Postoperative range of knee flexion, ranges of
knee flexion and extension and ankle plantar flexion were significantly lower in
fallers than in non-fallers (P = 0.016, P = 0.037, P = 0.014, respectively). In
the multivariate analysis, postoperative range of knee flexion (OR 0.277, 95%CI
0.088-0.869, P = 0.028) and ankle plantar flexion (OR 0.594, 95%CI 0.374-0.945, P
= 0.028) were determined to be significant risk factors. CONCLUSION: Elderly
people who underwent TKA are considered more likely to fall compared with healthy
elderly people. For patients with limited knee flexion and ankle plantar flexion,
improvement of ROM by exercise therapy and patient education regarding the
prevention of falls and fractures are considered necessary.
PMID- 22089515
TI - Procedural sedation and analgesia and the propofol affair: a unique opportunity
for anaesthesiology.
PMID- 22089516
TI - 3,4-Methylenedioxymethamphetamine (Ecstasy) increases the sensitivity of the
contractile apparatus to calcium ions in both malignant hyperthermia-susceptible
and normal skeletal muscle fibres.
AB - CONTEXT AND OBJECTIVES: The present study was designed to investigate whether 3,4
methylenedioxymethamphetamine (MDMA, 'Ecstasy') increases the sensitivity of the
contractile apparatus to calcium in muscle fibres from malignant hyperthermia
susceptible and malignant hyperthermia-negative pigs, whether it causes calcium
ion release from the sarcoplasmic reticulum and whether it inhibits calcium
reuptake into the sarcoplasmic reticulum. DESIGN: Experimental study, using a
model of porcine saponin-skinned fibres. RESULTS: Administration of MDMA in
concentrations of 1, 2 and 4 mmol l(-1)l did not result in relevant force
transients in skinned muscle fibres of malignant hyperthermia-susceptible or
malignant hyperthermia-negative pigs. Furthermore, MDMA in these concentrations
did not alter calcium ion loading of the sarcoplasmic reticulum in either group.
With regard to changes in the calcium ion sensitivity of the contractile
proteins, however, MDMA dose-dependently increased (pCa50) values (negative
decadic logarithm of [Ca2+] at which isometric force is half-maximal) in both
groups. CONCLUSION: In the present study, we were able to demonstrate that MDMA
dose-dependently increases the sensitivity of the contractile apparatus to
calcium in both malignant hyperthermia-susceptible and malignant hyperthermia
negative fibres. Consequently, the malignant hyperthermia status should not
affect the calcium sensitivity of the contractile apparatus. However, the
increased calcium sensitivity is an important finding that must be appreciated,
particularly in relation to the agonistic effect of MDMA at the nicotinic
acetylcholine receptor, which increases intracellular calcium ion concentrations.
PMID- 22089517
TI - Quantifying prognosis with risk predictions.
AB - Prognosis is a forecast, based on present observations in a patient, of their
probable outcome from disease, surgery and so on. Research methods for the
development of risk probabilities may not be familiar to some anaesthesiologists.
We briefly describe methods for identifying risk factors and risk scores. A
probability prediction rule assigns a risk probability to a patient for the
occurrence of a specific event. Probability reflects the continuum between
absolute certainty (Pi = 1) and certified impossibility (Pi = 0). Biomarkers and
clinical covariates that modify risk are known as risk factors. The Pi as
modified by risk factors can be estimated by identifying the risk factors and
their weighting; these are usually obtained by stepwise logistic regression. The
accuracy of probabilistic predictors can be separated into the concepts of
'overall performance', 'discrimination' and 'calibration'. Overall performance is
the mathematical distance between predictions and outcomes. Discrimination is the
ability of the predictor to rank order observations with different outcomes.
Calibration is the correctness of prediction probabilities on an absolute scale.
Statistical methods include the Brier score, coefficient of determination
(Nagelkerke R2), C-statistic and regression calibration. External validation is
the comparison of the actual outcomes to the predicted outcomes in a new and
independent patient sample. External validation uses the statistical methods of
overall performance, discrimination and calibration and is uniformly recommended
before acceptance of the prediction model. Evidence from randomised controlled
clinical trials should be obtained to show the effectiveness of risk scores for
altering patient management and patient outcomes.
PMID- 22089519
TI - Don't shoot the messnger: memory for misspellings in context.
AB - Misspellings in sentences are usually easy to understand by readers due to top
down influences. Although top-down processing allows for fluent reading of
misspelled items, the nature of their representations in memory is not known. If
representations of misspellings are distinct from representations of correctly
spelled words, their influence should be seen in later recognition decisions. In
this set of experiments, participants read words and misspellings embedded in
sentences and were later given a recognition test. The sentences contained
semantically biased or neutral contexts. In Experiment 1, misspellings were
created by removing a single letter (e.g., drveway). In Experiment 2, the
recognition items probes were presented in uppercase letters (e.g., DRVEWAY) to
reduce the visual similarity between study and test items. In Experiment 3, the
misspellings were created by substituting visually similar letters (e.g.,
driweway). In contrast to the previous experiments, in Experiment 4, participants
were explicitly told about the memory test to see how response strategies affect
performance. Overall, the results indicate that people retain surface feature
information about misspellings which seem to inform their memory judgments, and
that the processing of this information cannot be strategically controlled.
PMID- 22089520
TI - Evidence for a non-lexical influence on children's auditory repetition of
familiar words.
AB - This paper examines evidence for a nonlexical influence on children's repetition
of real words. We investigate the extent to which two computational models of
auditory repetition can simulate the performance of 68 children aged between 5
and 11 years-old when they are attempting to repeat familiar words. Both
computational accounts were derived from Foygel and Dell's (J Mem Lang 43:182
216, 2000) semantic-phonological model of picture-naming. Results showed that a
dual-route model in which a lexical and a nonlexical route work together to
repeat familiar words (Hanley et al. in Cogn Neuropsychol 21:147-158, 2004)
provided an accurate simulation of children's repetition, whereas Foygel and Dell
(J Mem Lang 43:182-216, 2000) single lexical-route model under-predicted
performance. The only exception was the repetition performance of 5 year-old
children, which was over-predicted by the dual-route model. It is argued that at
5 years of age, some children have available both a lexical and a nonlexical
repetition route but the output of the two routes does not summate when real
words are being repeated. Some young children may lack the attentional skills
that would enable them to co-ordinate the activity of the lexical and nonlexical
repetition routes.
PMID- 22089521
TI - Perceptual processing of Mandarin nasals by L1 and L2 Mandarin speakers.
AB - Nasals are cross-linguistically susceptible to change, especially in the syllable
final position. Acoustic reports on Mandarin nasal production have recently shown
that the syllable-final distinction is frequently dropped. Few studies, however,
have addressed the issue of perceptual processing in Mandarin nasals for L1 and
L2 speakers of Mandarin Chinese. The current paper addressed to what extent and
in what directions L1 and L2 speakers of Mandarin differed in perceiving Mandarin
nasals. Possible variables, including the linguistic backgrounds (i.e. L1 vs. L2
speakers of Mandarin Chinese), the vocalic contexts (i.e. [i, e, a, y, ua, ue,
ia]) and the phonetic settings (i.e. syllable-initial vs. syllable-final), were
discussed. Asymmetrical findings in the current investigation indicated
limitations of speech learning theories developed from European languages in the
context of Mandarin nasals. A tri-dimensional model was thus suggested for
interpreting the cognitive mechanism in Mandarin nasal perception.
PMID- 22089522
TI - Effects of orthography on speech production in Chinese.
AB - The potential role of orthographic representations on spoken word production was
investigated with speakers of Chinese, a non-alphabetic and orthographically non
transparent language. Using the response generation procedure, we obtained the
well-known facilitation from word-initial phonological overlap, but this effect
was unaffected by whether or not responses shared the initial character. In a
study which manipulated the visual similarity of the word-initial character, a
significant inhibitory effect of orthography was found. However, this effect
disappeared when prompt stimuli were presented auditorily, suggesting that the
orthographic effect might be attributable to the memorization stage of the
response generation task, rather than reflecting processes genuine to speaking.
By contrast, a reliable orthographic effect was found in an oral reading task,
suggesting that orthography plays a role only when it is relevant to the word
production task. Furthermore, the present findings show that the orthographic
effect is tied to the correspondence between orthography and phonology of a
language when orthography is relevant to the task used.
PMID- 22089523
TI - Intrathecal drug delivery system (IDDS) for cancer pain management: a review and
updates.
AB - Cancer pain remains undertreated and a significant number of patients with cancer
pain die from severe untreated pain. With increasing survival rate in cancer, the
prevalence of cancer pain is also increasing in number. Though majority of
patients with cancer pain can be effectively treated with conventional medical
management, still a significant portion of patients required some form of
interventional pain management techniques. Among the interventional techniques,
intrathecal drug delivery is increasingly used in cancer pain management. Our
objective of this article is to review literatures and clinical studies on
intrathecal drug delivery system (IDDS) in cancer pain management and to provide
updates on its use, precautions, contraindications, side effects and its
management, socioeconomic consideration, and management of IDDS in difficult or
uncommon situations.
PMID- 22089524
TI - Polyvalent DNA-graphene nanosheets "click" conjugates.
AB - Graphene is an increasingly important nanomaterial exhibiting great promise in
the area of nanotechnology. In this study, the azide-functionalized graphene
derivative was synthesized as the 'click' reagent for preparation of polyvalent
DNA-graphene conjugates, which provide an effective and stable platform to
construct new functional nano-architectures. Assembled with Au nanoparticles, the
prepared Au-DNA-graphene nanocomplex exhibits excellent stability that could
prevent the nanocomplex from being destroyed by surfactants. Assembled with DNA
tetrahedron-structured probes (TSPs), the nanocomplex displays outstanding
sensitive electrochemiluminescence properties, which might be used as a biosensor
for DNA detection. Therefore, this DNA-graphene conjugates could be explored as
the assembly unit for advanced DNA nano-architectures in the field of DNA
nanotechnology.
PMID- 22089525
TI - Screening of chemicals for human bioaccumulative potential with a physiologically
based toxicokinetic model.
AB - Human bioaccumulative potential is an important element in the risk assessment of
chemicals. Due to the high number of synthetic chemicals, there exists the need
to develop prioritisation strategies. The purpose of this study was to develop a
predictive tool for human bioaccumulation risk assessment that incorporates not
only the chemical properties of the compounds, but also the processes that tend
to decrease the concentration of the compound such as metabolisation. We used a
generic physiologically based toxicokinetic model that based on in vitro human
liver metabolism data, minimal renal excretion and a constant exposure was able
to assess the bioaccumulative potential of a chemical. The approach has been
analysed using literature data on well-known bioaccumulative compounds and liver
metabolism data from the ECVAM database and a subset of the ToxCast phase I
chemical library-in total 94 compounds covering pharmaceuticals, plant protection
products and industrial chemicals. Our results provide further evidence that
partitioning properties do not allow for a reliable screening criteria for human
chemical hazard. Our model, based on a 100% intestinal absorption assumption,
suggests that metabolic clearance, plasma protein-binding properties and renal
excretion are the main factors in determining whether bioaccumulation will occur
and its amount. It is essential that in vitro metabolic clearance tests with
metabolic competent cell lines as well as plasma protein-binding assays be
performed for suspected bioaccumulative compounds.
PMID- 22089527
TI - Fallopian tube correlates of ovarian serous borderline tumors.
AB - Ovarian serous borderline tumors (SBTs) are presumed to originate in the ovarian
cortex or peritoneal surface. The pathogenetic role of the fallopian tube (FT) is
unclear; however, recently, secretory cell outgrowths (SCOUTs) lacking PAX2
expression were described in benign FTs. This study addressed (1) the
differentiation characteristics of SBTs and (2) the frequency of SCOUTs lacking
PAX2 expression in the FTs of patients with SBTs and compared (3) SCOUT
morphology and (4) PAX2 expression with SBTs. SBTs and FT epithelium shared both
ciliated (p73) and secretory (HMFG2) differentiation. PAX2-null SCOUT frequency
in FT cross-sections from patients with SBTs was 0.28 (110 of 398) versus 0.112
in benign hysterectomies and nearly 0 in pediatric and postpartum sterilization
specimens (P = < 0.001). When adjusted for age, the differences narrowed but
remained significant (P = 0.010). SCOUTs were heterogeneous, some displaying
ciliated differentiation and papillary architecture. Two cases of discrete
multifocal papillary SCOUTs in the FTs were associated with SBTs. All SBTs had
heterogeneous PAX2 staining with areas of PAX2 loss. This study shows for the
first time that PAX2-null SCOUTs are more common in the oviducts of women with
SBTs and that loss of PAX2 expression occurs in most SBTs. These discoveries link
both morphologic and functional gene (PAX2) alterations in the oviduct to SBTs,
similar to that reported in high-grade serous carcinoma. Further study is
warranted to clarify the relationship of the oviduct to serous neoplasia.
PMID- 22089526
TI - Asymmetry of 13C labeled 3-pyruvate affords improved site specific labeling of
RNA for NMR spectroscopy.
AB - Selective isotopic labeling provides an unparalleled window within which to study
the structure and dynamics of RNAs by high resolution NMR spectroscopy. Unlike
commonly used carbon sources, the asymmetry of (13)C-labeled pyruvate provides
selective labeling in both the ribose and base moieties of nucleotides using
Escherichia coli variants, that until now were not feasible. Here we show that an
E. coli mutant strain that lacks succinate and malate dehydrogenases (DL323) and
grown on [3-(13)C]-pyruvate affords ribonucleotides with site specific labeling
at C5' (~95%) and C1' (~42%) and minimal enrichment elsewhere in the ribose ring.
Enrichment is also achieved at purine C2 and C8 (~95%) and pyrimidine C5 (~100%)
positions with minimal labeling at pyrimidine C6 and purine C5 positions. These
labeling patterns contrast with those obtained with DL323 E. coli grown on [1, 3
(13)C]-glycerol for which the ribose ring is labeled in all but the C4' carbon
position, leading to multiplet splitting of the C1', C2' and C3' carbon atoms.
The usefulness of these labeling patterns is demonstrated with a 27-nt RNA
fragment derived from the 30S ribosomal subunit. Removal of the strong magnetic
coupling within the ribose and base leads to increased sensitivity, substantial
simplification of NMR spectra, and more precise and accurate dynamic parameters
derived from NMR relaxation measurements. Thus these new labels offer valuable
probes for characterizing the structure and dynamics of RNA that were previously
limited by the constraint of uniformly labeled nucleotides.
PMID- 22089528
TI - Pravastatin inhibits C-reactive protein generation induced by fibrinogen, fibrin
and FDP in isolated rat vascular smooth muscle cells.
AB - OBJECTIVE: The available evidence indicates that C-reactive protein (CRP)
participates directly in atherosclerosis formation as an inflammatory molecule.
Our previous investigation suggested that fibrinogen, fibrin and fibrinogen
degradation products (FDP) produce a pro-inflammatory effect on vascular smooth
muscle cells (VSMCs) through inducing CRP generation. In the present study, we
observed the effect of pravastatin on CRP generation induced by fibrinogen,
fibrin and FDP in rat VSMCs. METHODS: VSMCs from Sprague-Dawley rats were
cultured. Fibrinogen, fibrin and FDP were used as stimulants for CRP generation.
VSMCs were preincubated with pravastatin at 10, 30, 100 MUM for 30 min prior to
stimulation. CRP mRNA expression was studied by reverse transcription polymerase
chain reaction (RT-PCR). CRP levels in the supernatant of VSMCs were measured by
enzyme-linked immunosorbent assay (ELISA). CRP expression in VSMCs was examined
with immunocytochemical staining. RESULTS: ELISA analysis showed that the
pravastatin concentration-dependently reduced fibrinogen-, fibrin- and FDP
stimulated generation of CRP in VSMCs, with maximal inhibition of 56.6, 55.7 and
62.3%, respectively. Immunocytochemical staining and RT-PCR revealed that
pravastatin inhibited protein and mRNA expression of CRP in VSMCs significantly.
CONCLUSIONS: Pravastatin at the concentrations used in the present experiment has
ability to relieve vascular inflammation and to restrain atherosclerotic
processes via inhibiting the CRP production induced by fibrinogen, fibrin and FDP
in VSMCs, which helps explain the beneficial effects of pravastatin on
atherosclerosis.
PMID- 22089530
TI - Dephasing effect on transport of a graphene p-n junction in a quantum Hall
regime.
AB - The influence of the dephasing effect on the conductance distribution of
disordered graphene p-n junctions is studied. Without the dephasing, the
conductance distribution has a very wide range and the conductance fluctuation is
large. In this case, the conductance plateaus cannot be obtained in a single
sample with the fixed disorder configuration. However, by introducing the
dephasing, we find that the distribution becomes narrow dramatically and the
fluctuation is suppressed strongly, so that the conductance plateaus are obtained
clearly for one single sample, which is consistent with experimental
measurements. Furthermore, we also investigate the scaling feature of the
conductance distribution and find that it has good scaling behavior in the strong
dephasing case.
PMID- 22089529
TI - Associations between interleukin-23R polymorphisms and ankylosing spondylitis
susceptibility: a meta-analysis.
AB - OBJECTIVE: The aim of this study was to determine whether interleukin-23R (IL
23R) polymorphisms confer susceptibility to ankylosing spondylitis (AS). METHODS:
The authors conducted meta-analyses on associations between IL-23R polymorphisms
and AS susceptibility, using fixed and random effects models. RESULTS: A total of
10 studies (14 separate comparisons) were included in this meta-analysis, which
included European and Asian populations. Meta-analysis revealed a significant
association between AS and the two alleles of rs11209032 polymorphism in all
study subjects (OR = 1.182, 95% CI 1.120-1.249, P = 0.000). Stratification by
ethnicity identified a significant association between this polymorphism and AS
in the European (OR = 1.234, 95% CI 1.159-1.313, P = 0.000), but not in the Asian
populations (OR = 1.030, 95% CI 0.921-1.152, P = 0.608). Meta-analyses of
rs1004819, rs10489629, rs1343151, rs1495965, and rs2201841 polymorphisms showed
the same pattern as that shown by rs11209032 meta-analysis. Meta-analysis also
revealed a significant association between the two alleles of the rs11209026 and
the rs11465804 polymorphisms and the risk of developing AS in Europeans.
Interestingly, the rs7517847 polymorphism was found to be significantly
associated with AS susceptibility in both Europeans and Asians. CONCLUSIONS: This
meta-analysis shows that the IL-23R polymorphisms are associated with the
development of AS in Europeans.
PMID- 22089531
TI - Influence of the uterine environment on the development of in vitro-produced
equine embryos.
AB - The necessity for early interaction between the embryo and the oviductal and/or
uterine environment in the horse is reflected by several striking differences
between equine embryos that develop in vivo and those produced in vitro. Better
understanding of the salient interactions may help to improve the efficiency of
in vitro equine embryo production. In an initial experiment, cleavage-stage in
vitro-produced (IVP) equine embryos were transferred into the uterus of recipient
mares that had ovulated recently to determine whether premature placement in this
in vivo environment would improve subsequent development. In a second experiment,
an important element of the uterine environment was mimicked by adding
uterocalin, a major component of the endometrial secretions during early
pregnancy, to the culture medium. Intrauterine transfer of cleavage-stage IVP
equine embryos yielded neither ultrasonographically detectable pregnancies nor
day 7 blastocysts, indicating that the uterus is not a suitable environment for
pre-compact morula stage horse embryos. By contrast, exposure to uterocalin
during IVP improved capsule formation, although it did not measurably affect the
development or expression of a panel of genes known to differ between in vivo and
in vitro embryos. Further studies are required to evaluate whether uterocalin
serves purely as a carrier protein or more directly promotes improved capsule
development.
PMID- 22089532
TI - Novel mechanism of angiotensin II-induced cardiac injury in hypertensive rats:
the critical role of ASK1 and VEGF.
AB - This study was undertaken to elucidate a novel mechanism underlying angiotensin
II-induced cardiac injury, focusing on the role of oxidative stress and
myocardial capillary density. Salt-loaded Dahl salt-sensitive hypertensive rats
(DS rats), a useful model for hypertensive cardiac remodeling or heart failure,
were orally given irbesartan (an AT1 receptor blocker), tempol (a superoxide
dismutase mimetic) or hydralazine (a vasodilator). Irbesartan significantly
ameliorated left ventricular ischemia and prevented the development of cardiac
hypertrophy and fibrosis in DS rats. The benefits were associated with the
attenuation of oxidative stress, normalization of myocardial capillary density
and inhibition of capillary endothelial apoptosis. Moreover, DS rats with
significant cardiac hypertrophy and fibrosis displayed decreased myocardial
vascular endothelial growth factor (VEGF) expression and increased cardiac
apoptosis signal-regulating kinase 1 (ASK1) activation. Treatment with irbesartan
significantly reversed these phenotypes. Tempol treatment of DS rats mimicked all
the above-mentioned effects of irbesartan, indicating the critical role of
oxidative stress in cardiac injury. We also investigated the role of VEGF and
ASK1 in oxidative stress-induced endothelial apoptosis by using cultured
endothelial cells from wild-type and ASK1-deficient mice. Oxidative stress
induced ASK1 activation led to endothelial apoptosis, and VEGF treatment
prevented oxidative stress-induced endothelial apoptosis by inhibiting ASK1
activation. We obtained the first evidence that oxidative stress-induced cardiac
VEGF repression and ASK1 activation caused the enhancement of endothelial
apoptosis and contributed to a decrease in myocardial capillary density. These
effects resulted in angiotensin II-induced progression of cardiac injury.
PMID- 22089533
TI - Individual and neighborhood social factors of hypertension management in a low
socioeconomic status population: a community-based case-control study in
Singapore.
AB - The aim of this study was to determine hypertension awareness, treatment and
control, as well as to carry out regular blood pressure (BP) screening and
monitoring, in a multi-ethnic urban low-socioeconomic status (SES) Asian
community; and to compare these estimates with those of a higher-SES community in
the same geographic location. We studied a neighborhood of three blocks of rented
public flats (lower-SES community) and three adjacent blocks of owner-occupied
public flats (higher-SES community) in Taman Jurong, Singapore. BP was measured,
and demographic details and reasons for irregular BP screening, monitoring and
treatment were collected from 2009 to 2010. Logistic regression was used to
determine predictors of hypertension management. Participation was 90.0%
(359/400) for the rental flat community and 70.2% (351/500) for the owner
occupied flats. Prevalence, awareness, treatment and control in the low-SES
community (rental flats) were 63.9% (228/357), 61.8% (141/228), 69.5% (98/141)
and 43.9% (43/98), respectively, whereas in the neighboring community these were
65.0% (228/351), 83.3% (190/228), 85.3% (162/190) and 66.0% (107/162),
respectively. Adjusting for other sociodemographic variables, awareness,
treatment and control were poorer in the low-SES community. In the low-SES
community, awareness was higher among diabetics, dyslipidemics, those >=60 years
and those with regular access to doctors. Treatment was more likely among those
>=60 years, but less likely among those needing financial aid. Control was less
likely in the employed. High cost of screening and treatment, if diagnosed, was
the most frequently cited barrier among the low-SES group. Hypertension
management in those of lower SES is poorer than in those of higher SES. For the
lower-SES population, financial barriers need to be addressed.
PMID- 22089535
TI - Aliskiren reduced renal fibrosis in mice with chronic ischemic kidney injury-
beyond the direct renin inhibition.
AB - Chronic renal ischemia leads to renal fibrosis and atrophy. Activation of the
renin-angiotensin-aldosterone system is one of the main mechanisms driving
chronic renal ischemic injury. The aim of the present study was to define the
effect of aliskiren in chronic ischemia of the kidney. Two-kidney, one-clip mice
were used to study chronic renal ischemia. Aliskiren significantly lowered the
blood pressure in mice with renal artery constriction (92.1+/-1.1 vs. 81.0+/-1.8
mm Hg, P<0.05). Renin expression was significantly increased in ischemic kidneys
when treated with aliskiren. In addition, (Pro)renin receptor expression was
decreased by aliskiren in ischemic kidneys. Aliskiren treatment significantly
increased klotho expression and reduced the expression of fibrogenic cystokines,
caspase-3 and Bax in ischemic kidneys. Histological examination revealed that
aliskiren significantly reduced the nephrosclerosis score (4.5+/-1.9 vs. 7.3+/
0.4, P<0.05). Immunofluorescence staining also showed that aliskiren decreased
the deposition of interstitial collagen I in ischemic kidneys. In conclusion,
direct renin inhibition significantly reduced renal fibrosis and apoptosis
following chronic renal ischemia.
PMID- 22089534
TI - Optimal Combination of Effective ANtihypertensives (OCEAN) study: a prospective,
randomized, open-label, blinded endpoint trial--rationale, design and results of
a pilot study in Japan.
AB - There are limited clinical trials examining the efficacy of antihypertensive drug
combinations aimed at preventing cardiovascular events. Therefore, we designed a
randomized controlled trial using amlodipine as the base drug of a multi-drug
regimen, the Optimal Combination of Effective ANtihypertensives (OCEAN) Study, to
determine the drug combination that is most efficacious in the prevention of
cardiovascular events, such as stroke. The OCEAN Study is a collaborative study
between Japan and China, enrolling 20 000 patients and following them for 3 to 4
years. A pilot study was conducted before the full-scale study to confirm the
feasibility of the protocol and that the study groups and infrastructures could
function properly. A total of 279 Japanese patients were enrolled from 57
participating medical institutions between June and December 2004. Two hundred
and sixty-six patients (mean age: 65.9 years) were treated with amlodipine alone.
One hundred and fifty-four of these patients (57.9%) did not reach the treatment
targets (<140/90 mm Hg for the elderly and patients with cerebrovascular disease,
<130/80 mm Hg for those with diabetes mellitus, chronic kidney disease or prior
myocardial infarction) and a second agent was added. They were randomly allocated
into three different treatment groups using a diuretic, a beta-blocker or an
angiotensin-converting enzyme inhibitor/angiotensin II receptor antagonist. The
pilot study showed that the protocol was appropriate, and the inclusion of
patients with slightly higher blood pressures was necessary to increase the
randomization rate. It also confirmed that we organized properly functioning
study groups and infrastructures.
PMID- 22089536
TI - Sex-related differences in pharmacokinetics and pharmacodynamics of anti
hypertensive drugs.
AB - Sex-specific differences in pharmacokinetics and pharmacodynamics have been
reported to have important clinical consequences. In this review, some
representative sex-specific differences in absorption and transporters (that is,
P-glycoprotein (P-gp)), metabolic processes (that is, those that involve
cytochrome P450 (CYP)), clearance (Cl) processes (for example, renal excretion or
other pharmacokinetic parameters) and involvement of sex hormones (that is,
estrogen and testosterone) in the regulation of some metabolic enzymes are
introduced for each of the following categories of anti-hypertensive drugs:
calcium-channel blockers, angiotensin-receptor blockers and angiotensin
converting enzyme inhibitors, diuretic agents, and beta-adrenergic-receptor
blockers (beta-blockers). In many cases, female sex is a risk factor for adverse
effects or attenuated clinical responses because of lower Cl, smaller
distribution volumes, higher activity of some metabolic enzymes (especially
hepatic CYP3A4), or presence of sex hormones. Additionally, some of these factors
often co-contribute to the sex-specific differences. Furthermore, pharmacodynamic
variability among individuals is often larger than pharmacokinetic variability;
in other words, it could become a predominant determinant of interindividual
differences in therapeutic responses. Thus, studies of sex-specific differences
in pharmacokinetics and pharmacodynamics should be conducted. However, sex
related disparities in pharmacokinetics may not necessarily correspond to
clinically significant differences in therapeutic response. There are still large
gaps in our knowledge of sex-specific differences in clinical pharmacology and
much more research is needed.
PMID- 22089537
TI - Use of home sphygmomanometers in Turkey: a nation-wide survey.
AB - The purposes of this study were to detect the prevalence of ownership of a home
sphygmomanometer among hypertensive subjects through a nation-wide survey, to
investigate parameters affecting ownership of a sphygmomanometer, to compare how
home blood pressure monitoring (HBPM) was actually used in daily practice with
some aspects of the current guidelines, and to discuss what we implemented to
increase the reliability of HBPM in a developing country. A total of 2747
hypertensive patients from 34 cities, representative of the Turkish population,
were enrolled in the study. A multiple-choice questionnaire was administered to
each participant using the computer-assisted telephone interviewing method. Among
2747 hypertensive patients, 1281 of them (46.6%) had a home sphygmomanometer.
Most of the patients were using wrist devices. The factors associated with
ownership of a sphygmomanometer were female gender, older age, obesity, higher
educational status, higher income level, living in urban areas, awareness of
hypertension and anti-hypertensive drug usage. Only 16% of the devices were used
on the advice of a physician. The patients learned usage of their device mainly
from the sellers and their relatives. The ownership of a home sphygmomanometer is
common among hypertensive patients in Turkey, but regular monitoring of blood
pressure before physician visits is rare despite common ownership of these
devices. Daily practice of HBPM in Turkey was far from the recommendations of the
current guidelines. More effort is needed to improve the reliability of HBPM.
PMID- 22089538
TI - A metabonomic study of biochemical changes characteristic of genetically
hypertensive rats based on (1)H NMR spectroscopic urinalysis.
AB - Spontaneously hypertensive rats (SHR) provide a simple model for studying
essential hypertension. Their genetic and metabolic features are of great
interest because they may provide insights into the pathophysiological processes
underlying essential hypertension. We have thus investigated the metabolic
characteristics of SHR at various ages, covering the prehypertensive stage and
the developmental phase of hypertension, using a (1)H nuclear magnetic resonance
(NMR)-based metabonomic approach. Twenty-four-hour urine samples from the SHR and
their age-matched normotensive control, Wistar-Kyoto rats, were analyzed using
(1)H NMR spectroscopy, and the spectral data were subjected to principal
components analysis (PCA) to find metabolic differences between the two strains.
Consequently, it was possible to separate the urine samples between the two
strains at any age ranging from 4 to 20 weeks in the principal component scores
plots. The major spectral regions and signals (metabolites) contributing to the
separation were picked up based on the loadings. Subsequently, the urinary
excreted levels of metabolites highlighted by the PCA were compared based on the
signal intensities corrected by urine volume and body weight. These
investigations revealed the major metabolic changes characteristic of the SHR,
which included differences in citrate, alpha-ketoglutarate, succinate, hippurate,
phenylacetylglycine, p-cresol glucuronide, creatine, taurine, medium-chain
dicarboxylates (tentative), unknown (delta 3.11), and the regions at 3.60, 3.64,
3.68 and 3.88 p.p.m. The results supported the occurrence of metabolic acidosis
in the SHR in the period of prehypertension as well as rapidly rising blood
pressure. In addition, the intestinal microfloral populations in the SHR were
suggested to be altered in the developmental phase of hypertension.
PMID- 22089539
TI - Beta-blocker migraine prophylaxis affects the excitability of the visual cortex
as revealed by transcranial magnetic stimulation.
AB - The objective of this study is to assess effects of beta-blocker migraine
prophylaxis on cortical excitability determined by transcranial magnetic
stimulation (TMS). Phosphene and motor thresholds (PT, MT) were investigated in
29 patients with migraine, in 15 of them prior to and following preventive
medication with metoprolol and in 14 patients without prophylaxis. Following
prophylaxis headache frequency significantly decreased (p = 0.005) and mean PT
were significantly increased (51.5 +/- 7.5 vs. 63.6 +/- 8.4%) compared to
patients without preventive treatment (53.7 +/- 5.3 vs. 52.3 +/- 6.3%; p =
0.040). Mean MT did not significantly differ either between groups or due to
treatment. In the group of all patients, a significant inverse correlation
between headache frequency and the level of PT was found (R = -0.629; p < 0.01).
There was, however, no significant correlation in the subgroups of patients. We
conclude that (a) clinical efficacy of beta-blocker treatment in migraine could
be (at least partly) linked to its ability to modulate the excitability of the
visual cortex and (b) the PT determined by TMS appears suitable to assess the
effects of prophylaxis on cortical excitability in the individual patient. This
may be useful in clinical trials investigating migraine preventive drugs.
PMID- 22089540
TI - Colloidal mercury (Hg) distribution in soil samples by sedimentation field-flow
fractionation coupled to mercury cold vapour generation atomic absorption
spectroscopy.
AB - Diverse analytical techniques are available to determine the particle size
distribution of potentially toxic elements in matrices of environmental interest
such as soil, sediments, freshwater and groundwater. However, a single technique
is often not exhaustive enough to determine both particle size distribution and
element concentration. In the present work, the investigation of mercury in soil
samples collected from a polluted industrial site was performed by using a new
analytical approach which makes use of sedimentation field-flow fractionation
(SdFFF) coupled to cold vapour generation electrothermal atomic absorption
spectroscopy (CV-ETAAS). The Hg concentration in the SdFFF fractions revealed a
broad distribution from about 0.1 to 1 MUm, roughly following the particle size
distributions, presenting a maximum at about 400-700 nm in diameter. A
correlation between the concentration of Hg in the colloidal fraction and organic
matter (O.M.) content in the soil samples was also found. However, this
correlation is less likely to be related to Hg sorption to soil O.M. but rather
to the presence of colloidal mercuric sulfide particles whose size is probably
controlled by the occurrence of dissolved O.M. The presence of O.M. could have
prevented the aggregation of smaller particles, leading to an accumulation of
mercuric sulfides in the colloidal fraction. In this respect, particle size
distribution of soil samples can help to understand the role played by colloidal
particles in mobilising mercury (also as insoluble compounds) and provide a
significant contribution in determining the environmental impact of this toxic
element.
PMID- 22089541
TI - Balint syndrome and visual allochiria in a patient with reversible cerebral
vasoconstriction syndrome.
AB - Balint syndrome (simultagnosia, optic ataxia, and ocular apraxia) is typically
caused by pathology affecting the parietal-occipital regions bilaterally. Visual
allochiria is an uncommonly reported symptom associated with parietal lobe
pathology in which visual stimuli presented to one hemispace are transposed to
the opposite side. We describe a patient with Balint syndrome and visual
allochiria whose initial brain MRI demonstrated acute infarction of the right
parietal-occipital region. Repeat imaging 9 days later revealed bilateral
parietal-occipital infarctions consistent with the observed clinical syndrome.
Reversible cerebral vasoconstriction syndrome is introduced as a novel
cerebrovascular etiology of Balint syndrome.
PMID- 22089542
TI - Effect of CpG island methylation on microRNA expression in the k-562 cell line.
AB - To test the hypothesis that methylation of a CpG island is associated with
regulation of microRNA expression, we investigated CpG islands in the upstream
sequences of microRNA precursors (pre-miRNAs) through bioinformatic analysis and
determined whether the CpG islands were methylated by methylation-specific PCR in
the k-562 cell line. We used 5-azacytidine for DNA demethylation, and changes in
microRNA expression were detected by microarray assay, RT-PCR, and real-time PCR
after 5-azacytidine induction. We showed that the CpG islands in the upstream
regions of 18 pre-miRNAs were methylated, including miR-663, miR-369, miR-615,
and miR-410, and promoter activity was detected in the upstream region of pre-miR
663. We found that a decrease in methylation of a CpG island could up-regulate
the expression of miR-663, suggesting that miR-663 could be regulated by DNA
methylation. Expression levels of miR-369, miR-615, and miR-410 were not
regulated by DNA methylation in this cell line.
PMID- 22089543
TI - Genome characterization of a breeding line derived from a cross between Oryza
sativa and Oryza rufipogon.
AB - A preliminary screening was conducted on BC3F1 and BC4F1 backcross families
developed from crossing Oryza sativa (MR219) and O. rufipogon (IRGC105491).
Despite earlier results showing that O. rufipogon alleles (wild introgression)
contributed to both number of panicles (qPPL-2) and tillers (qTPL-2) at loci
RM250, RM208, and RM48 in line A20 of the BC2F2 population, we observed that wild
introgression was lost at loci RM250 and RM208 but retained at locus RM48 in
BC3F1 and BC4F1. Progeny tests conducted utilizing genotype and phenotype data on
both BC4F1 and a reference population, BC2F7 (A20 line), did not show significant
differences between groups having the MR219 allele and wild introgression at
locus RM48. This suggests that there is no additive and transgressive effect of
wild introgression in the BC3F1 and BC4F1 generated. The presence of wild
introgression was largely due to gene contamination by cross-pollination during
field breeding practices.
PMID- 22089545
TI - Parthenogenetic embryonic stem cells with H19 siRNA-mediated knockdown as a
potential resource for cell therapy.
AB - Embryonic stem (ES) cells are used in cell therapy and tissue engineering due to
their ability to produce different cells types. However, studies of ES cells that
are derived from fertilized embryos have raised concerns about the limitations
imposed by ethical and political considerations. Therefore, many studies of stem
cells use the stem cells that are derived from unfertilized oocytes and adult
tissue. Although parthenogenetic embryonic stem (ESP) cells also avoid ethical
and political dilemmas and can be used in cell-based therapy, the ESP cells
exhibit growth retardation problems. Therefore, to investigate the potential for
muscle growth from genetically modified ESP cells, we established four ES cell
types, including normal embryonic stem (ESN) cells, ESP cells, ESP cells that
overexpress the insulin-like growth factor 2 (Igf2) gene (ESI) and ESP cells with
down-regulated H19 gene expression (ESH). Using these cells, we examined the
expression profiles of genes that were related to imprinting and muscle using
microarrays. The gene expression patterns of ESI and ESH cells were similar and
were more closely related to the ESN pattern than that of the ESP cells.
Differentiated ESH cells exhibited increased expression of bone morphologic
protein 4 (BMP4), which is a mesoderm marker, compared with the differentiated
ESI cells. We showed that Igf2 expression was induced by H19 silencing in the ESP
cells via hypermethylation of the H19 imprinting control region 1 (ICR1).
Moreover, the proportion of ESH-derived chimera was slightly higher than those
produced from the ESP cells. In addition, we detected increased cell
proliferation in the MEF cells following H19 knock-down. These results indicate
that the ESH cells may be a source of cell-based therapy for conditions such as
muscular atrophy.
PMID- 22089546
TI - Tin oxide-surface modified anatase titanium(IV) dioxide with enhanced UV-light
photocatalytic activity.
AB - [Sn(acac)(2)]Cl(2) is chemisorbed on the surfaces of anatase TiO(2)via ion
exchange between the complex ions and H(+) released from the surface Ti-OH groups
without liberation of the acetylacetonate ligand (Sn(acac)(2)/TiO(2)). The post
heating at 873 K in air forms tin oxide species on the TiO(2) surface in a highly
dispersed state on a molecular scale ((SnO(2))(m)/TiO(2)). A low level of this p
block metal oxide surface modification (~0.007 Sn ions nm(-2)) accelerates the UV
light-activities for the liquid- and gas-phase reactions, whereas in contrast to
the surface modification with d block metal oxides such as FeO(x) and NiO, no
visible-light response is induced. Electrochemical measurements and first
principles density functional theory (DFT) calculations for (SnO(2))(m)/TiO(2)
model clusters (m = 1, 2) indicate that the bulk (TiO(2))-to-surface interfacial
electron transfer (BS-IET) enhances charge separation and the following electron
transfer to O(2) to increase the photocatalytic activity.
PMID- 22089547
TI - Plasmonic black-hole: broadband omnidirectional absorber of gap surface plasmons.
AB - Using the effective-index approximation we show that touching spherical metal
surfaces form a broadband omnidirectional absorber of gap surface plasmons (GSP),
concentrating all GSP waves travelling within a certain radius at the point of
contact (at which the field intensity tends to infinity even in the presence of
metal absorption) and representing thereby a two-dimensional analogue of an
optical black-hole realized without use of metamaterials. The developed wave
analysis is supplemented with the geometrical optics (adiabatic) description
providing explicit expressions for the critical radius (radius of the event
horizon) and buildup of field enhancement along ray trajectories.
PMID- 22089548
TI - Higher-order cross-correlation-based Doppler optical coherence tomography.
AB - A method based on higher-order cross-correlation is proposed to fetch the Doppler
information on flow velocity within areas under low signal-to-noise ratio (SNR)
by spectral domain optical coherence tomography. The proposed method is
theoretically developed and validated by measurement of a moving mirror with
known velocities. Standard deviations of flow velocities of the mirror under
different SNRs are determined by the proposed method and compared with those by
the modified phase-resolved method. Measurement of flowing particles within a
glass capillary is also conducted, and Doppler flow velocity maps of the glass
capillary are reconstructed by both methods. All experimental results demonstrate
that the proposed method can significantly suppress noise, thus rendering it
suitable for flow measurement under low SNR cases.
PMID- 22089549
TI - Fast Fourier domain localization algorithm of a single molecule with nanometer
precision.
AB - We present an algorithm to determine the location of a fluorescent molecule with
nanometer-scale accuracy. A Fourier domain localization scheme based on zero
padded fast Fourier transform and phase gradient operators is used to obtain a
powerful mathematical model for localizing the molecule without numerical
fitting. Compared with conventional algorithms, our position estimator does not
require prior background information or initial parameter estimation. Numerical
simulations indicate that the proposed method exhibits high localization
precision and small bias while executing almost as fast as the fluoroBancroft
algorithm.
PMID- 22089550
TI - DFB fiber laser hydrophone with band-pass response.
AB - A distributed-feedback fiber laser hydrophone with band-pass response is
presented. The design of the hydrophone aims to equalize static pressure and
eliminate signal aliasing of high-frequency acoustic components. Theoretical
analysis is presented based on electro-acoustic theory. The experimental results
agree well with the theory. The measured underwater responses show that the
hydrophone has a pressure sensitivity of -170 dB re:pm/MUPa over a bandwidth
between 100 Hz and 500 Hz. A sensitivity reduction exceeding -35 dB is observed
at 2500 Hz. The tested static pressure sensitivity of the hydrophone is -226 dB.
The proposed fiber laser hydrophone of this kind is expected to have important
application in deep water fiber-optic sonar systems with anti-aliasing, and the
understanding gained through this work can be extended to a guide of hydrophone
design for required filtering bandwidth.
PMID- 22089551
TI - Stability of solitons in parity-time-symmetric couplers.
AB - Families of analytical solutions are found for symmetric and antisymmetric
solitons in a dual-core system with Kerr nonlinearity and parity-time (PT)
balanced gain and loss. The crucial issue is stability of the solitons. A
stability region is obtained in an analytical form, and verified by simulations,
for the PT-symmetric solitons. For the antisymmetric ones, the stability border
is found in a numerical form. Moving solitons of both types collide elastically.
The two soliton species merge into one in the "supersymmetric" case, with equal
coefficients of gain, loss, and intercore coupling. These solitons feature a
subexponential instability, which may be suppressed by periodic switching
("management").
PMID- 22089552
TI - 40 Gb/s, secure optical communication based upon fast reconfigurable time domain
spectral phase en/decoding with 40 Gchip/s optical code and symbol overlapping.
AB - We propose and experimentally demonstrate a 40 Gb/s secure optical communication
system with on-off-keying (OOK) modulation format by using a time domain spectral
phase en/decoding scheme, which employs a highly dispersive element and high
speed phase modulator for introducing significant symbol overlapping for both the
encoded and incorrectly decoded noiselike signals to enhance the information
security against eavesdropping using a power detector. The influence of
dispersion and chip modulation rate on the symbol overlapping of the incorrectly
decoded signal has been analytically investigated and experimentally verified.
Security enhancement for 40 Gb/s OOK data using fast reconfigurable 40 Gchip/s
optical codes with code lengths of up to 1024 has been demonstrated and compared
with a 10 Gb/s system.
PMID- 22089553
TI - Revisiting the normalized Born approximation: effects of scattering.
AB - The normalized Born approximation has been suggested as a ratiometric method in
fluorescence molecular tomography (FMT) applications, to account for
heterogeneity variations. The method enabled practical inversions, as it offered
fluorescence reconstruction accuracy over a wide range of absorption
heterogeneity, while also accounting for unknown experimental factors, such as
the various system gains and losses. Yet it was noted that scattering variations
affect the robustness and accuracy. Herein we decompose the effects of absorption
and scattering and capitalize on the recent development of hybrid FMT/x-ray
computed tomography imaging methods to proposed amendments to the method, which
improve the overall accuracy of the approach.
PMID- 22089554
TI - Role of the phase in the identification of delay time in semiconductor lasers
with optical feedback.
AB - We consider a semiconductor laser with external optical feedback operating at a
regime for which the delay time signature is extremely difficult to identify from
the analysis of the intensity time series, using standard techniques. We show
that such a delay signature can be successfully retrieved by computing the same
quantifiers from the phase, the real or the imaginary part of the field, even in
the presence of noise. Therefore, the choice of the observable is the determinant
for parameter identification.
PMID- 22089555
TI - Superoscillatory diffraction-free beams.
AB - It is theoretically shown that by superimposing diffraction-free solutions of the
Helmholtz equation, one can construct localized diffraction-free beams that pass
through predetermined points on subwavelength distances. These beams are based on
the phenomenon of superoscillations and thus do not contain any evanescent waves.
The effect of an aperture and noise is examined in specific examples where
truncated beams with lambda/3 subwavelength features can propagate into the far
field.
PMID- 22089556
TI - Signal restoration in intensity-modulated optical OFDM access systems.
AB - It is well known that deliberate signal clipping in an intensity-modulated (IM)
laser transmitter helps to overcome the optical orthogonal frequency division
multiplexing (OFDM) system performance limitation that is related to the signal
high peak-to-average power ratio. The amplitude of a clipped OFDM signal has to
be optimized in order to minimize the optical power that is required to achieve a
specified system performance. However, the signal clipping introduces nonlinear
distortion (so-called clipping noise) and leads to a system performance penalty.
In this Letter, the performance of the IM optical OFDM system with digital
baseband clipping distortion in the transmitter and clipping noise compensation
by means of signal restoration in the digital signal processing unit of the
system receiver is analytically evaluated. It is demonstrated that the system bit
error ratio can be reduced by more than an order of magnitude, from 10(-3) to
3.5*10(-5), by applying only the first iteration of the signal restoration
algorithm proposed in this Letter. The results of the analytical analysis are
verified with brute-force numerical simulations based on direct error counting.
PMID- 22089557
TI - Emergence of Anderson localization in plasmonic waveguides.
AB - The propagation of surface plasmon polaritons in dielectric loaded waveguides
with randomly placed scatterers is studied using both numerical simulations and a
simplified transfer matrix framework. Despite the importance of losses in this
system, we find fingerprints of the localized behavior of one-dimensional
disordered systems. Furthermore, losses amplify the impact of the necklace states
on the transport properties for systems not much larger than the localization
length. The system presented here also offers the possibility to use localization
effects for engineering purposes by means of deliberately introduced disorder.
PMID- 22089558
TI - Simple design of an edge-emitting diode laser for preventing spatial multimoding
via thermal lensing.
AB - The parameters of a diode-laser structure composed of a pair of built-in high
index regions for providing stable, single-spatial-mode operation to high cw
powers are numerically found. A three-dimensional numerical code has been
implemented that takes into account carrier diffusion in the quantum well and
thermal lensing. The laser characteristics are calculated as functions of the
above-threshold drive level. Within the simulation, higher-order optical modes on
a "frozen background" are computed via the Arnoldi algorithm. Then, for a 6-MUm
wide low-index core and 2-3-mm-long devices, stable single-mode operation up to
multiwatt-level (2-3 W) cw output power is predicted.
PMID- 22089559
TI - Intense photoluminescence at 2.7 MUm in transparent Er 3+:CaF2-fluorophosphate
glass microcomposite.
AB - Er3+ doped CaF2-fluorophosphate (FP) glass microcomposites were produced by heat
treating the mixture of Er3+:CaF2 precipitate and FP glass powder above the
melting temperature of the FP glass. The appearance of CaF2 crystallites in the
resulting composites was confirmed by x ray diffraction. Despite the fact that
the average diameter of the crystallites was around 10 MUm as revealed by the
micromorphology study, a transparent composite was obtained by matching the
refractive index of FP glass to that of CaF2. Intense IR fluorescence at around
2.7 MUm was observed in the composite, implying the composite would be a
promising candidate for IR lasers and amplifiers.
PMID- 22089560
TI - Coherent backscattering by polydisperse discrete random media: exact T-matrix
results.
AB - The numerically exact superposition T-matrix method is used to compute, for the
first time to our knowledge, electromagnetic scattering by finite spherical
volumes composed of polydisperse mixtures of spherical particles with different
size parameters or different refractive indices. The backscattering patterns
calculated in the far-field zone of the polydisperse multiparticle volumes reveal
unequivocally the classical manifestations of the effect of weak localization of
electromagnetic waves in discrete random media, thereby corroborating the
universal interference nature of coherent backscattering. The polarization
opposition effect is shown to be the least robust manifestation of weak
localization fading away with increasing particle size parameter.
PMID- 22089561
TI - Ultraviolet and infrared photon-excited synergistic effect in Er3+-doped YbF3
phosphors.
AB - A synergistic effect between UV down-conversion and IR up-conversion is witnessed
in YbF3:Er3+ phosphors by employing the dual wavelength (369 nm and 980 nm)
excitation source. The synergistic effect originates from an abnormal energy
transfer between Er3+ ions, in which the Er3+ ions in metastable states excited
by 369 nm photons are excited again by the 980 nm IR photons. The dual wavelength
excited red emission intensity is 1.1 times the total red emission intensities
from 369 nm and 980 nm single excitation. The synergistic effect refers us to a
way to convert both high-energy and low-energy photons into one middle-energy
photon with high quantum yield.
PMID- 22089562
TI - Phase-to-phase and phase-to-amplitude transfer characteristics of a nondegenerate
idler phase-sensitive amplifier.
AB - For the first time to our knowledge, the phase-to-phase and phase-to-amplitude
transfer functions of a nondegenerate-idler phase-sensitive fiber optic
parametric amplifier are experimentally measured. Additionally, analytically and
numerically obtained transfer curves show excellent agreement with the
experimental curves. The experimental results were obtained by imposing a linear
phase modulation onto the signal and idler wave simultaneously, and detecting the
input and output signal using a self-homodyne coherent receiver.
PMID- 22089563
TI - Unidirectional optical Bloch oscillations in asymmetric waveguide arrays.
AB - We present an analytical proof of the existence of unidirectional optical Bloch
oscillations in a waveguide array system. It is shown that the presence of
nonreciprocity in the system allows for a complete normal-mode dephasing in one
of the propagation directions, resulting in a unidirectional breakdown in Bloch
oscillations. A model system consisting of an array of transversely magnetized
asymmetric Si/SiO2 waveguides with a magneto-optic cover layer is presented.
Large index contrasts between film and cover are critical for practical
realizations.
PMID- 22089564
TI - High-resolution quantitative phase microscopic imaging in deep UV with phase
retrieval.
AB - High-resolution three-dimensional (3D) microscopic imaging requires the use of
short wavelengths. Quantitative 3D imaging techniques, such as digital
holographic microscopy, require interference between the object beam and a known
reference background for the extraction of phase information. At shorter
wavelengths, due to short coherence lengths, it may be difficult to implement a
two-beam off-axis setup. Thus, a single-beam technique, which provides complete
phase information, may be better suited for short wavelengths. This Letter
describes the development of a quantitative microscopy technique at 193 nm using
multiple intensity samplings and phase retrieval.
PMID- 22089565
TI - Optimal alignment sensing of a readout mode cleaner cavity.
AB - Critically coupled resonant optical cavities are often used as mode cleaners in
optical systems to improve the signal-to-noise ratio (SNR) of a signal that is
encoded as an amplitude modulation of a laser beam. Achieving the best SNR
requires maintaining the alignment of the mode cleaner relative to the laser beam
on which the signal is encoded. An automatic alignment system that is primarily
sensitive to the carrier field component of the beam will not, in general,
provide optimal SNR. We present an approach that modifies traditional dither
alignment sensing by applying a large amplitude modulation on the signal field,
thereby producing error signals that are sensitive to the signal sideband field
alignment. When used in conjunction with alignment actuators, this approach can
improve the detected SNR; we demonstrate a factor of 3 improvement in the SNR of
a kilometer-scale detector of the Laser Interferometer Gravitational-Wave
Observatory. This approach can be generalized to other types of alignment
sensors.
PMID- 22089566
TI - A 2*2 spatial optical switch based on PT-symmetry.
AB - We show a PT-symmetric coupler having an arm with a tunable gain and a no-loss
arm when appropriately designed to possess reciprocal behavior, unlike its rivals
having a fixed gain/loss arm, can perform as a tunable 2*2 spatial optical
switch. The degree of the tunability equals the ratio of the coupler's length to
the total coupling length.
PMID- 22089567
TI - Domain-shape-based modulation of Cerenkov second-harmonic generation in
multidomain strontium barium niobate.
AB - We study experimentally and numerically the second-harmonic Cerenkov emission
with two different characteristic azimuthal intensity distributions in strontium
barium niobate with a random structure of chi2 nonlinearity. We monitor in situ
the Cerenkov emission during domain switching and show that a change of domain
size and shape results in a fourfold azimuthal modulation of the Cerenkov cone.
PMID- 22089568
TI - Pump-enhanced optical parametric oscillator generating continuous wave tunable
terahertz radiation.
AB - We demonstrate a tunable cw terahertz (THz) parametric oscillator based on
periodically poled MgO-doped lithium niobate, directly converting the 1030 nm
pump wave into the THz regime. The tunability ranges from 1.2 to 2.9 THz at
output power levels between 0.3 and 3.9 MUW. To overcome the high pump threshold
caused by THz absorption in the nonlinear crystal, we employ an enhancement
cavity with a finesse of 500 at the pump wavelength. The intracavity pump
threshold at 1.4 THz is measured to be 350 W for a crystal length of 2.5 cm.
PMID- 22089569
TI - Subpicosecond pulse generation from a 1.56 MUm mode-locked VECSEL.
AB - Near-transform-limited subpicosecond pulses at 1.56 MUm were generated from an
optically pumped InP-based vertical-external-cavity surface-emitting laser
(VECSEL) passively mode-locked at 2 GHz repetition rate with a fast
InGaAsNSb/GaAs semiconductor saturable absorber mirror (SESAM). The SESAM
microcavity resonance was adjusted via a selective etching of phase layers
specifically designed to control the magnitude of both the modulation depth and
the intracavity group delay dispersion of the SESAM. Using the same VECSEL chip,
we observed that the mode-locked pulse duration could be reduced from several
picoseconds to less than 1 ps with a detuned resonant SESAM.
PMID- 22089570
TI - Compact optical fiber curvature sensor based on concatenating two tapers.
AB - A low-loss, compact, and highly sensitive optical fiber curvature sensor is
presented. The device consists of two identical low-loss fused fiber tapers in
tandem separated by a distance L. When the optical fiber is kept straight and
fixed, no interference pattern appears in the transmitted spectrum. However, when
the device is bent, the symmetry of the straight taper is lost and the first
taper couples light into the cladding modes. In the second taper, a fraction of
the total light guided by the cladding modes will be coupled back to the
fundamental mode, producing an interference pattern in the transmitted spectrum.
As the fiber device is bent, visibility of the interference fringes grows,
reaching values close to 1. The dynamic range of the device can be tailored by
the proper selection of taper diameter and separation between tapers. The effects
of temperature and refractive index of the external medium on the response of the
curvature sensor is also discussed.
PMID- 22089571
TI - Utility transforms of optical fields employing deformable mirror.
AB - Diffraction optical devices of modest degrees of freedom (DOF), such as
deformable mirrors, have not been exploited as general-purpose transformers of
optical fields. Described in this Letter is a method that guides deformable
mirrors to optimal surfaces allowed by the DOF for various desirable outcomes.
The method is based on a modal optimization procedure with the help of Walsh
functions in controlling the variables of the mirrors, i.e. the actuators. It is
shown that a deformable mirror of modest DOF can provide field transformations
for arbitrary beam-splitting, formation of ring-shaped beams, and coherent beam
combining.
PMID- 22089572
TI - Shape of Fano resonance line spectra calculated for silver nanorods.
AB - Using theoretical tools, we numerically demonstrated Fano line shapes in the
scattering spectra of silver rods resulting from different mechanisms. One of the
Fano line shapes is due to the coupling of an in-plane quadrupole and a dipole
mode in a single rod. Two nodes were observed at the resonance wavelength, each
of which is located at a quarter of the rod length from the two ends. The Fano
resonance is strengthened when the silver rod is cut at the two nodal positions.
The second mechanism that gives rise to a new Fano resonance peak occurs when the
symmetry of the rod is broken and is a result of the asymmetric coupling between
the two excited dipoles.
PMID- 22089573
TI - Gradient enhanced third harmonic generation in a femtosecond filament.
AB - The third harmonic generated during femtosecond filamentation in air is studied.
By establishing a gradient from atmospheric pressure to vacuum conditions, we
truncate the filament abruptly at defined positions. The introduction of the
pressure gradient leads to an enhancement of the generated third harmonic
radiation by 3 orders of magnitude. This effect is attributed to an improved on
axis phase-matching condition. We investigate the spectral shape and the
conversion efficiency of the third harmonic during the propagation in the
filament.
PMID- 22089574
TI - Stationary-fiber rotary probe with unobstructed 360 degrees view for optical
coherence tomography.
AB - A side-scanning fiber probe is a critical component for optical coherence
tomography in medical imaging and diagnosis. We propose and fabricate an on-axis
rotating probe that performs in situ, circumferential scanning that is shadow
free (not susceptible to shadow effects caused by the motor's wires). A miniature
motor that incorporates a bored-out shaft for the optical fiber is located at the
distal end of the probe, which results in a more stable and uniform
circumferential scan, free from wire-shadow interference effects. More
importantly, this design, novel to our knowledge, compared to other probes avoids
the insertion losses introduced by optical coupling components and the multitude
of optical interfaces, which is very important for sensing weak signals
backscattered from structures deep in the tissue.
PMID- 22089575
TI - Application of dynamic line narrowing in resonant optical sensing.
AB - We propose a dynamic operational mode and the resulting dynamic line narrowing as
a method for enhancing the resolution and the detection limit of high-quality
(high-Q) resonant optical sensors. Using a silica microtoroid as an experimental
platform, we demonstrate that dynamic line narrowing through the thermo-optic
effect can significantly improve the detection limit in both resonant shift and
resonance splitting operating modes.
PMID- 22089576
TI - Revealing the order of a vortex through its intensity record.
AB - We show that the intensity distribution of an optical vortex contains information
of its order. Specifically, the number of dark rings in the Fourier transform of
the intensity is found to be equal to the order of the vortex. Based on this
property and the orthogonality of Laguerre polynomials, we demonstrate the
feasibility of an experimental technique for determining the order of optical
vortices. It shows the beauty of going to complementary spaces, which has been
employed earlier also to find the information not available in other domains.
PMID- 22089577
TI - 50 dB parametric on-chip gain in silicon photonic wires.
AB - A pulsed mid-infrared pump at lambda=2173 nm is used to demonstrate wideband
optical parametric gain in a low-loss 2 cm long silicon photonic wire. Using
dispersion engineering to obtain negative second-order (beta2) and positive
fourth-order (beta4) dispersion, we generate broadband modulation instability and
parametric fluorescence extending from 1911 nm-2486 nm. Using a cw probe signal
to interrogate the modulation instability spectrum, we demonstrate parametric
amplification >40 dB with an on-chip gain bandwidth wider than 580 nm, as well as
narrowband Raman-assisted peak gain >50 dB.
PMID- 22089578
TI - Diffraction grating with suppressed zero order fabricated using dielectric
forces.
AB - An electric-field-assisted method to produce diffractive optical devices is
demonstrated. A uniform film of liquid UV curable resin was produced as a drying
ring from an organic solvent. Dielectrophoresis forces maintained the stability
of the thin film and also imprinted a periodic corrugation deformation of pitch
20 MUm on the film surface. Continuous in situ voltage-controlled adjustment of
the optical diffraction pattern was carried out simultaneously with UV curing. A
fully cured solid phase grating was produced with the particular voltage-selected
tailored optical property that the zero transmitted order was suppressed for
laser light at 633 nm.
PMID- 22089579
TI - Anticolliding design for monolithic passively mode-locked semiconductor lasers.
AB - The performance of two-section, passively mode-locked semiconductor lasers is
theoretically analyzed for different cavity designs. Placing the saturable
absorber section close to an antireflection-coated facet leads to a substantial
increase in output power and a reduction in amplitude and timing jitter.
Moreover, it broadens the bias current region of stable passive mode-locking
operation.
PMID- 22089580
TI - Convection-induced stabilization of optical dissipative solitons.
AB - In spatially extended convective systems, the reflection symmetry breaking
induced by drift effects leads to a striking nonlinear effect that drastically
affects the formation and stability of dissipative solitons in optical parametric
oscillators. The phenomenon of nonlinear-induced convection dynamics is revealed
using a model of the complex quintic Ginzburg-Landau equation with nonlinear
gradient terms in it. Mechanisms leading to stabilization of dissipative solitons
by convection are singled out. The predictions are in very good agreement with
numerical solutions found from the governing equations of the optical parametric
oscillators.
PMID- 22089581
TI - Optimizing diffraction catastrophe evaluation.
AB - A theoretical analysis is proposed, aimed at investigating the character of those
power series expansions recently considered for the evaluation of several types
of diffraction catastrophes. A hyperlinear convergence is found to be the
signature for such expansions, so that the results of the numerical experiments
recently carried out find a meaningful interpretation in terms of the
accelerating action operated by the Weniger transformation. As an important by
product of our analysis, simple criteria, aimed at numerically optimizing the
diffraction catastrophe evaluations, are provided through analytical expressions.
PMID- 22089582
TI - Integrated optical temporal Fourier transformer based on a chirped Bragg grating
waveguide.
AB - We experimentally demonstrate the first integrated temporal Fourier transformer
based on a linearly chirped Bragg grating waveguide written in silica glass with
a femtosecond laser. The operation is based on mapping the energy spectrum of the
input optical signal to the output temporal waveform by making use of first-order
chromatic dispersion. The device operates in reflection, has a bandwidth of 10
nm, and can be used for incident temporal waveforms as long as 20 ps.
Experimental results, obtained through both temporal oscilloscope traces and
Fourier transform spectral interferometry, display a successful Fourier
transformation of in-phase and out-of-phase pairs of input optical pulses, and
demonstrate the correct functionality of the device for both amplitude and phase
of the temporal output.
PMID- 22089583
TI - High-numerical-aperture microlens shape measurement with digital holographic
microscopy.
AB - In this Letter, we introduce an algorithm that overcomes limitations in shape
measurement by holographic microscopic methods in cases of micro-optical elements
with high NA, such as microlenses. The presented algorithm provides a simple
method for shape reconstruction from interferometrically measured phase. The
algorithm is based on the analysis of local ray transition through the measured
object. We develop algorithms for holographic configurations working in
transmission and reflection. The accuracy of the developed algorithms is proved
by experiments and extensive simulations. We present an experiment in a
holographic Mach-Zehnder configuration where we have measured and successfully
reconstructed the height distribution of spherical and cylindrical microlenses
with NA up to 0.3.
PMID- 22089584
TI - Optimal pulse compression in long hollow fibers.
AB - The spectral broadening performance of 1 m and 3 m long hollow fibers are
compared. The 3 m capillary clearly outperforms the 1 m one in terms of both
transmission and achievable spectral broadening. Starting from 1.1 mJ 71 fs
pulses at 780 nm, a spectral broadening ratio of 26 was achieved using a single 3
m long argon-filled hollow fiber. After compression the measured pulse duration
was 4.5 fs corresponding to a compression ratio of 16 at an energy of 0.42 mJ.
Both the pulse duration and the pulse energy were limited by the applied chirped
mirrors.
PMID- 22089585
TI - Diode-pumped mode-locked Yb:YCOB laser generating 35 fs pulses.
AB - Direct sub-50-fs pulse generation is demonstrated with a mode-locked
Yb:YCa4O(BO3)3 laser. With external compression, pulses as short as 35 fs are
generated at 1055 nm. The oscillator operating at a repetition rate of 95 MHz is
pumped by a two-section distributed Bragg reflector tapered diode laser and mode
locked by a semiconductor saturable absorber mirror. The onset of self-Raman
conversion for pulse spectral bandwidths exceeding 40 nm (FWHM) is observed.
PMID- 22089586
TI - Detecting shadows from a single image.
AB - We present a novel (to our best knowledge) optical recognition technique for
detecting shadows from a single image. Most prior approaches definitely depend on
explicit physical computational models, but physics-based approaches have the
critical problem that they may fail severely even with slight perturbations.
Unlike traditional approaches, our method does not rely on any explicit physical
models. This breakthrough originates from a discovery of a new modeling
mechanism, derived from a biological vision principle, the so-called retinex
theory, which is well suited for single-image shadow detection. Experimental
results demonstrate that the proposed method outperforms the previous optical
recognition techniques and gives robust results even in real-world complex
scenes.
PMID- 22089587
TI - Superior optical limiting, stabilization, and spatio-temporal reshaping of
ultrashort laser pulses in an opto-stable intrinsic polymer film.
AB - A chemically modified poly(fluorene-alt-benzothiadiazole) (PFBT) polymer film is
reported to exhibit high two-photon absorbing capability and chemical/physical
stability upon the action of high-power laser pulses of ~780 nm wavelength and
~160 fs duration. A nonlinear transmission measurement is conducted by varying
the input intensity from ~20 to ~600 GW/cm2, the corresponding nonlinear
transmission of a ~70 MUm thick film is reduced from ~0.8 to 0.18, indicating a
superior optical limiting behavior. In the meantime, intensity fluctuation of
laser pulses can be significantly reduced after passing through the same film
sample. Based on the intensity-dependent nonlinear attenuation mechanism, a
straightforward optical reshaping effect on spatio-temporal profiles of the laser
pulses has also been demonstrated.
PMID- 22089588
TI - Electromagnetically induced transparency-like transmission in periodically poled
lithium niobate with a defect.
AB - Electromagnetically induced transparency (EIT)-like transmission is observed in
an electro-optic (EO) tunable periodically poled lithium niobate (PPLN) with a
central defect. When an electric field is applied, light satisfying the phase
matching condition keeps its original polarization, although it experiences
polarization rotation then turns back. Therefore the corresponding light always
may pass though freely but with an EO tunable phase and dispersion. On the other
hand, light with a neighboring wavelength is blocked. An EIT-like spectrum is
thus obtained with tunable group delay. A low-voltage bulk phase shifter with
over two orders of magnitude larger index change is obtained.
PMID- 22089589
TI - Optical Doppler shift with structured light.
AB - When a light beam with a transverse spatially varying phase is considered for
optical remote sensing, in addition to the usual longitudinal Doppler frequency
shift of the returned signal induced by the motion of the scatter along the beam
axis, a new transversal Doppler shift appears associated to the motion of the
scatterer in the plane perpendicular to the beam axis. We discuss here how this
new effect can be used to enhance the current capabilities of optical measurement
systems, adding the capacity to detect more complex movements of scatters.
PMID- 22089590
TI - Microwave photonic quadrature filter based on an all-optical programmable Hilbert
transformer.
AB - A microwave photonic quadrature filter, new to our knowledge, based on an all
optical Hilbert transformer is presented. It is based on mapping of a Hilbert
transform transfer function between the optical and electrical domains, using a
programmable Fourier-domain optical processor and high-speed photodiodes. The
technique enables the realization of an extremely wide operating bandwidth,
tunable programmable bandwidth, and a highly precise amplitude and phase
response. Experimental results demonstrate a microwave quadrature filter from 10
to 20 GHz, which achieves an amplitude imbalance of less than +/-0.23 dB and a
phase imbalance of less than +/-0.5 degrees .
PMID- 22089591
TI - Sub-100-as timing jitter optical pulse trains from mode-locked Er-fiber lasers.
AB - We demonstrate sub-100-as timing jitter optical pulse trains generated from free
running, 77.6 MHz repetition-rate, mode-locked Er-fiber lasers. At -0.002(+/
0.001) ps2 net cavity dispersion, the rms timing jitter is 70 as (224 as)
integrated from 10 kHz (1 kHz) to 38.8 MHz offset frequency, when measured by a
24 as resolution balanced optical cross correlator. To our knowledge, this result
corresponds to the lowest rms timing jitter measured from any mode-locked fiber
lasers so far. The measured result also agrees fairly well with the Namiki-Haus
analytic model of quantum-limited timing jitter in stretched-pulse fiber lasers.
PMID- 22089592
TI - Negative Goos-Hanchen shift in periodic media.
AB - We show that, under certain conditions, a negative Goos-Hanchen shift-a
longitudinal displacement of a totally internally reflected wave packet-occurs in
periodic media such as waveguide arrays.
PMID- 22089593
TI - Extreme events in the Ti:sapphire laser.
AB - We report experimental and theoretical evidence of the existence of extreme value
events in the form of scarce and randomly emerging giant pulses in the
femtosecond (self-pulsing or Kerr-lens mode-locked) Ti:sapphire laser. This laser
displays complex dynamical behavior, including deterministic chaos, in two
different regimes. The extreme value pulses are observed in the chaotic state of
only one of these two regimes. The observations agree with the predictions of a
well-tested theoretical model that does not include noise or self-Q-switching
into its framework. This implies that, in this laser, the extreme effects have a
nontrivial dynamical origin. The Ti:sapphire laser is hence revealed as a new and
convenient system for the study of these effects.
PMID- 22089594
TI - Paraxial and nonparaxial polynomial beams and the analytic approach to
propagation.
AB - We construct solutions of the paraxial and Helmholtz equations that are
polynomials in their spatial variables. These are derived explicitly by using the
angular spectrum method and generating functions. Paraxial polynomials have the
form of homogeneous Hermite and Laguerre polynomials in Cartesian and cylindrical
coordinates, respectively, analogous to heat polynomials for the diffusion
equation. Nonparaxial polynomials are found by substituting monomials in the
propagation variable z with reverse Bessel polynomials. These explicit analytic
forms give insight into the mathematical structure of paraxially and
nonparaxially propagating beams, especially in regard to the divergence of
nonparaxial analogs to familiar paraxial beams.
PMID- 22089595
TI - Experimental demonstration of coherent beam combining over a 7 km propagation
path.
AB - We demonstrate coherent combining (phase locking) of seven laser beams emerging
from an adaptive fiber-collimator array over a 7 km atmospheric propagation path
using a target-in-the-loop (TIL) setting. Adaptive control of the piston and the
tip and tilt wavefront phase at each fiber-collimator subaperture resulted in
automatic focusing of the combined beam onto an unresolved retroreflector target
(corner cube) with precompensation of quasi-static and atmospheric turbulence
induced phase aberrations. Both phase locking (piston) and tip-tilt control were
performed by maximizing the target-return optical power using iterative
stochastic parallel gradient descent (SPGD) techniques. The performance of TIL
coherent beam combining and atmospheric mitigation was significantly increased by
using an SPGD control variation that accounts for the round-trip propagation
delay (delayed SPGD).
PMID- 22089596
TI - Ultrashort pulse characterization with a terahertz streak camera.
AB - A phase-locked terahertz transient is exploited as an ultrafast phase gate for
femtosecond optical pulses. We directly map out the group delay dispersion of a
low-power near-infrared pulse by measuring the electro-optically induced
polarization rotation as a function of wavelength. Our experiment covers the
spectral window from 1.0 to 1.4 MUm and reaches a temporal precision better than
1 fs. A quantitative analysis of the detector response confirms that this
streaking technique requires no reconstruction algorithm and is also well suited
for the characterization of pulses spanning more than one optical octave.
PMID- 22089597
TI - Zonal slope prediction for open-loop adaptive optics.
AB - We present what we believe to be the first results that obtained with the
recursive least square zonal slope predictor working on an open-loop liquid
crystal adaptive optics system operating on astronomical implementation at
visible and near infrared wavelength on a 1.23 m telescope. The system produces
substantially better results than a direct open-loop correction based on previous
measurement. A 27% relative gain in full-width at half-maximum and 30% relative
gain in Strehl ratio are obtained.
PMID- 22089598
TI - Derivatives of light scattering properties of a nonspherical particle computed
with the T-matrix method.
AB - Based on the T-matrix formalism, we analytically calculate derivatives of light
scattering quantities by a nonspherical particle with respect to its
microphysical parameters. Illustrative computations are performed for a spheroid,
and the results agree with those obtained by finite differencing. The proposed
formalism also predicts correctly derivatives for a sphere obtained by linearized
Lorenz-Mie theory.
PMID- 22089599
TI - The great push for mental health: why it matters for India.
PMID- 22089600
TI - Vitamin B12 deficiency & cognitive impairment in elderly population.
PMID- 22089601
TI - Endpoints in advanced breast cancer: methodological aspects & clinical
implications.
AB - Breast cancer is an increasingly important public health problem in developing
countries, with disproportionately high mortality. The increasing availability of
active agents against advanced breast cancer makes the development of novel
treatments and their choice in clinical practice progressively more complex.
Furthermore, there is often a tension between the adequacy of endpoints used in
clinical trials and the clinician's aim of improving survival and quality of
life, the two most important therapeutic goals in advanced breast cancer.
However, overall survival (OS) is no longer a suitable indicator of treatment
efficacy within clinical trials in settings for which effective subsequent-line
therapy exists. Conversely, progression-free survival (PFS) currently represents
the most sensitive parameter to assess the efficacy of a new drug or combination
in such settings. When coupled with a favourable toxicity profile and cost, the
demonstration of an improved PFS may be enough evidence for the superiority of a
treatment. Despite arguments favouring the use of PFS as a primary endpoint in
clinical trials, clinicians who need to make sense of the available literature
may be reluctant to use PFS as an indicator of clinical benefit when deciding
among different therapeutic strategies for their patients. This choice is further
complicated if one fails to distinguish between the use of an efficacy parameter
as an indicator of therapeutic objective for individual patients and as a
clinical trial endpoint. This brief review aims at helping clinicians in their
daily need to interpret the literature and make informed treatment choices for
patients with advanced breast cancer.
PMID- 22089602
TI - An insight into the drug resistance profile & mechanism of drug resistance in
Neisseria gonorrhoeae.
AB - Among the aetiological agents of treatable sexually transmitted diseases (STDs),
Neissseria gonorrhoeae is considered to be most important because of emerging
antibiotic resistant strains that compromise the effectiveness of treatment of
the disease - gonorrhoea. In most of the developing countries, treatment of
gonorrhoea relies mainly on syndromic management rather than the aetiological
based therapy. Gonococcal infections are usually treated with single-dose therapy
with an agent found to cure > 95 per cent of cases. Unfortunately during the last
few decades, N. gonorrhoeae has developed resistance not only to less expensive
antimicrobials such as sulphonamides, penicillin and tetracyclines but also to
fluoroquinolones. The resistance trend of N. gonorrhoeae towards these
antimicrobials can be categorised into pre-quinolone, quinolone and post
quinolone era. Among the antimicrobials available so far, only the third
generation cephalosporins could be safely recommended as first-line therapy for
gonorrhoea globally. However, resistance to oral third-generation cephalosporins
has also started emerging in some countries. Therefore, it has become imperative
to initiate sustained national and international efforts to reduce infection and
misuse of antibiotics so as to prevent further emergence and spread of
antimicrobial resistance. It is necessary not only to monitor drug resistance and
optimise treatment regimens, but also to gain insight into how gonococcus
develops drug resistance. Knowledge of mechanism of resistance would help us to
devise methods to prevent the occurrence of drug resistance against existing and
new drugs. Such studies could also help in finding out new drug targets in N.
gonorrhoeae and also a possibility of identification of new drugs for treating
gonorrhoea.
PMID- 22089603
TI - Vitamin B12 deficiency & levels of metabolites in an apparently normal urban
south Indian elderly population.
AB - BACKGROUND & OBJECTIVES: There is no published literature on the extent of
vitamin B12 deficiency in elderly Indians as determined by plasma vitamin B12
levels and methylmalonic acid (MMA) levels. Vitamin B12 deficiency is expected to
be higher in elderly Indians due to vegetarianism, varied socio-economic strata
and high prevalence of Helicobacter pylori infection. We therefore, studied the
dietary habits of south Indian urban elderly population and measured vitamin B12,
MMA red cell folate and homocysteine (Hcy) levels. METHODS: Healthy elderly urban
subjects (175, >60 yr) were recruited. Detailed history, physical examination and
neurological assessment were carried out. Food Frequency Questionnaire (FFQ) for
dietary analysis for daily intake of calories, vitamin B12, folate and detailed
psychological assessment for cognitive functions was carried out. Blood samples
were analyzed for routine haematology and biochemistry, vitamin B12, red cell
folate, MMA and Hcy. RESULTS: The mean age of the study population was 66.3 yr.
Median values for daily dietary intake of vitamin B12 and folate were 2.4 and
349.2 MUg/day respectively. Sixty two (35%) participants consumed multivitamin
supplements. Plasma vitamin B12 level and the dietary intake of vitamin B12 was
significantly correlated (P=0.157). Plasma vitamin B12 and Hcy were inversely
correlated (P= -0.509). Red cell folate was inversely correlated with Hcy (P=
0.550). Significant negative correlation was observed between plasma vitamin B12
and MMA in the entire study population (P= -0.220). Subjects consuming vitamin
supplements (n=62) had significantly higher plasma vitamin B12 levels, lower MMA
levels and lower Hcy levels. There was no significant correlation between plasma
vitamin B12, MMA, Hcy and red cell folate and any of the 10 cognitive tests
including Hindi Mental Status Examination (HMSE). INTERPRETATION & CONCLUSIONS:
Our study is indicative of higher vitamin B12 (2.4 MUg/day) intakes in urban
south Indian population. Thirty five per cent of the study population consumed
multivitamin supplements and therefore, low plasma vitamin B12 levels were seen
only in 16 per cent of the study subjects. However, MMA was elevated in 55 per
cent and Hcy in 13 per cent of the subjects.
PMID- 22089604
TI - Prevalence & correlates of primary infertility among young women in Mysore,
India.
AB - BACKGROUND & OBJECTIVES: There are sparse data on the prevalence of primary
infertility in India and almost none from Southern India. This study describes
the correlates and prevalence of primary infertility among young women in Mysore,
India. METHODS: The baseline data were collected between November 2005 through
March 2006, among 897 sexually active women, aged 15-30 yr, for a study
investigating the relationship of bacterial vaginosis and acquisition of herpes
simplex virus type-2 (HSV-2) infection. A secondary data analysis of the baseline
data was undertaken. Primary infertility was defined as having been married for
longer than two years, not using contraception and without a child. Logistic
regression was used to examine factors associated with primary infertility.
RESULTS: The mean age of the women was 25.9 yr (range: 16-30 yr) and the
prevalence of primary infertility was 12.6 per cent [95% Confidence Interval
(CI): 10.5-15.0%]. The main factor associated with primary infertility was HSV-2
seropositivity (adjusted odds ratio: 3.41; CI: 1.86, 6.26). INTERPRETATION &
CONCLUSIONS: The estimated prevalence of primary infertility among women in the
study was within the range reported by the WHO and similar to other estimates
from India. Further research is needed to examine the role of HSV-2 in primary
infertility.
PMID- 22089605
TI - Identifying potential pitfalls in interpreting mitochondrial DNA mutations of
male infertility cases.
AB - BACKGROUND & OBJECTIVES: Recently, a significantly higher ratio of nucleotide
changes in the mtDNA genes: COII, ATPase 6, ATPase 8, ND2, ND3, ND4, and ND5 was
reported in spermatozoa from populations of infertile Indian men, compared
suggesting that screening for mtDNA mutations could provide insight into the
aetiology of male infertility. In this study, we examined the published data and
found serious errors in the original acquisition and analysis of the data.
METHODS: The mtDNA data associated with male infertility in Indian populations
were retrieved from the published sources. The mtDNA substitution values of
infertile and control groups were evaluated using phylogenetic methods and
previously published mtDNA phylogenies. RESULTS: Most of the mtDNA polymorphisms
reported as significantly correlated with infertility were more commonly found in
general populations. Further, our analysis showed that some of the mtDNA
substitutions were erroneously overestimated in the infertile groups and
underestimated in the control groups, and vice-versa. INTERPRETATION &
CONCLUSIONS: Contrary to earlier claims, our analysis demonstrated no significant
association between the mtDNA polymorphisms and male infertility in these
studies. Further, these errors in the published data impune the usefulness of
mitochondrial molecular analyses in male infertility diagnosis.
PMID- 22089606
TI - Cytogenetic profile of Indian patients with de novo myelodysplastic syndromes.
AB - BACKGROUND & OBJECTIVES: Myelodysplastic syndrome (MDS) is a clonal
haematopoietic stem cell disorder characterized by ineffective haematopoiesis and
leukaemia progression. Cytogenetic analysis has proven to be a mandatory part of
the diagnosis of MDS as well as a major indicator for predicting clinical course
and outcome. Studies on cytogenetics of MDS are reported mostly from the West and
only a few are available from Asian countries. We report herein cytogenetic
studies on 40 Indian patients with primary MDS to find out the occurrence and
type of chromosome abnormalities and recurring defects. METHODS: Cytogenetic
analysis was done using GTG banding and karyotyped according to the International
System for Human Cytogenetic Nomenclature (ISCN). RESULTS: Of the 40 patients, 19
patients (47.5%) showed clonal karyotypic abnormalities with distribution as
follows: 3 of 15 (20%) of refractory anaemia (RA), 4 of 7 (57%) of refractory
anaemia with excess blasts-1 (RAEB-1), 4 of 6 (67%) of refractory anaemia with
excess blasts 2 (RAEB-2), 2 of 3 (67%) of refractory anaemia with ring
sideroblasts (RARS), 2 of 4 (50%) of refractory cytopenia with multilineage
dysplasia (RCMD), none (0%) RCMD-ringed sideroblasts (RCMD-RS) and 4 patients
with 5q syndrome. The frequent abnormalities observed in our study were -7, 5q
and trisomy 8. INTERPRETATION & CONCLUSIONS: Two rare chromosomal abnormalities
(6q-, 3q-) were found with unknown prognostic significance. Hence, cytogenetic
analysis may be incorporated in the routine diagnosis of MDS since there are
racial differences in clinical pictures and the molecular events.
PMID- 22089607
TI - A pilot study on the use of serum glyoxalase as a supplemental biomarker to
predict malignant cases of the prostate in the PSA range of 4-20 ng/ml.
AB - BACKGROUND & OBJECTIVES: Serum prostate specific antigen (PSA) though most
commonly used for diagnosis of prostate cancer lacks specificity. This study was
aimed at exploring the use of serum glyoxalase as a supplemental biomarker to
differentiate between malignant vs non-malignant diseases of the prostate in
patients with PSA in the range of 4-20 ng/ml. METHODS: Serum glyoxalase and PSA
were measured in 92 men (30 control, 31 cases of benign prostate hyperplasia
(BPH) and 31 cases of adenocarcinoma of prostate). Of the latter group, 11 cases
of prostate cancer in the PSA range of 4-20 ng/ml were included for studying the
diagnostic utility of combination of both serum PSA and glyoxalase. RESULTS: In
prostate cancer cases with PSA in the range of 4-20 ng/ml, the glyoxalase was
found to be 233.3 +/- 98.6 MUmol/min while for the non-malignant group it was
103.1 +/- 19.7 MUmol/min. A cut-off of 19.2 ng/ml PSA showed sensitivity of 9 per
cent, specificity of 96.7 per cent, positive predictive value (PPV) of 50 per
cent and negative predictive value (NPV) of 75 per cent. A serum glyoxalase cut
off of 141 MUmol/min showed sensitivity of 81.8 per cent, specificity of 100 per
cent, PPV of 100 per cent and NPV of 93.9 per cent. Further, ROC analysis showed
a significant difference in the area under curve (AUC) for glyoxalase as compared
to serum PSA (0.92 vs 0.57; P<0.001). INTERPRETATION & CONCLUSIONS: Serum
glyoxalase appears to be predictive of prostate cancer in the PSA range of 4-20
ng/ml. Studies with larger number of participants would be required to confirm
this finding.
PMID- 22089608
TI - Cardiovascular autonomic functions & cerebral autoregulation in patients with
orthostatic hypotension.
AB - BACKGROUND & OBJECTIVES: Patients of orthostatic hypotension may or may not have
symptoms of the cerebral hypoperfusion despite fall in the blood pressure. The
present study was done to quantify autonomic functions and cerebral
autoregulation in patients of orthostatic hypotension with or without symptoms.
METHODS: The study was conducted in 15 patients of orthostatic hypotension and 15
age, sex matched control subjects. The sympathetic reactivity was measured by
diastolic blood pressure response to handgrip test (DeltaDBP in HGT) and cold
pressor test (DeltaDBP in CPT). The parasympathetic reactivity was measured by
E:I ratio during deep breathing test (DBT) and Valsalva ratio (VR) during
Valsalva maneuver. The cerebral autoregulation was computed from the changes in
the cerebral blood flow, cerebrovascular conductance and blood pressure measured
during different time points during head-up tilt (HUT). RESULTS: The sympathetic
reactivity was lower in patients as compared to controls [DeltaDBP in HGT: 10 (4
16) vs 18 (12 - 22) mmHg, P<0.01; DeltaDBP in CPT : 10 (4-12) vs 16 (10-20)
mmHg, P<0.01]. The parasympathetic reactivity was also lower in patients as
compared to controls. The sympathetic and parasympathetic reactivity was
comparable in the symptomatic and asymptomatic patients. The maximum fall in
blood pressure during HUT was comparable between symptomatic and asymptomatic
patients (29.14 +/- 10.94 vs 29.50 +/- 6.39 mmHg), however, the percentage fall
in the cerebral blood flow was significantly higher in the symptomatic (P<0.05)
compared to asymptomatics. INTERPRETATION & CONCLUSIONS: Patients with
orthostatic hypotension had deficits in sympathetic and parasympathetic control
of cardiovascular system. Cerebral autoregulation was present in asymptomatic
patients (increase in cerebrovascular conductance) during HUT while it was lost
in symptomatic patients.
PMID- 22089609
TI - Prevalence of & knowledge, attitude & practices towards HIV & sexually
transmitted infections (STIs) among female sex workers (FSWs) in Andhra Pradesh.
AB - BACKGROUND & OBJECTIVES: As part of the baseline survey carried out during 2005
06, biological and behavioural data were generated on about 3200 female sex
workers (FSWs), from eight districts of Andhra Pradesh (AP), India. This study
describes the relationship between socio-demographic and behavioural factors with
consistent condom use (CCU) and HIV among FSWs in AP. METHODS: A cross-sectional
community-based study was conducted among female sex workers (FSW) in eight
districts of Andhra Pradesh, India, using conventional cluster sampling and time
location cluster sampling. Key risk behaviours and STIs related to the spread of
HIV were assessed. Blood samples were collected to detect syphilis, Herpes
simplex virus type 2 (HSV-2) Chlamydia trachomatis (CT), Neiserria gonorrhoeae
(NG) and HIV serology. RESULTS: About 70 per cent of the FSWs were illiterates,
nearly 50 per cent were currently married and 41 per cent of the FSWs had sex
work as the sole source of income. More than 95 per cent of the FSWs heard of
HIV, but about 99 per cent believed that HIV/AIDS cannot be prevented. Logistic
regression analysis showed significantly lesser CCU with high client volume, not
carrying condom and could not use condom in past 1 month due to various reasons
such as non co-operation by the clients. Similarly, CCU was significantly
(P<0.001) lesser (only 8.9%) with regular non-commercial partners. Overall there
was 16.3 per cent prevalence of HIV amongst FSWs. C. trachomatis and N.
gonorrheae were prevalent in 3.4 and 2 per cent of the FSWs, respectively and
about 70 per cent of the FSWs were positive for HSV2 serology. HIV was
significantly associated with STIs. INTERPRETATION & CONCLUSIONS: Misconception
that HIV/AIDS cannot be prevented is very high. Most of the subjects in the
present study had first sexual debut at a very young age. HIV was associated with
STIs, emphasizing aggressive STI diagnosis and treatment. CCU must be emphasized
right from first sexual debut with all clients and non-commercial partners as
well.
PMID- 22089610
TI - Enhanced production of mosquitocidal cyclic lipopeptide from Bacillus subtilis
subsp. subtilis.
AB - BACKGROUND & OBJECTIVES: A cyclic lipopeptide, surfactin produced by a strain of
Bacillus subtilis subsp. subtilis (VCRC B471) was found to exhibit activity
against both the larval and pupal stages of mosquitoes. The present study was
aimed at increasing the production of the mosquitocidal metabolite by modifying
the conventional medium. METHODS: Enhancement of mosquitocidal metabolite
production was attempted by replacing the existing micronutrients of the
conventional NYSM and supplementing the medium with additional amounts of
glucose. The LC50 value of culture supernatant (CS) against the larval and pupal
stages of Anopheles stephensi was determined. Crude mosquitocidal metabolite
(CMM) was separated from the CS, identified by MALDI-TOF analysis and its LC50
dosage requirement for the pupal stage of the above mosquito species determined.
RESULTS: The medium containing a new composition of micronutrients and glucose up
to 1 per cent resulted in increased metabolite production. The LC50 value of the
CS obtained in the improved medium against larvae and pupae of An. stephensi was
5.57 and 0.71 MUl/ml, respectively. The yield of CMM was doubled in the improved
medium. MALDI-TOF analysis revealed that the CMM was surfactin. INTERPRETATION &
CONCLUSIONS: The new improved medium enhanced the production of mosquitocidal
metabolite as the dosage required for inciting 50 per cent mortality among the
pupal stages of mosquitoes was only half of that required when the metabolite was
produced in the conventional medium. The mosquitocidal metabolite was identified
as surfactin, a cyclic lipopeptide and biosurfactant.
PMID- 22089611
TI - ATR-X syndrome in two siblings with a novel mutation (c.6718C>T mutation in exon
31).
AB - ATR-X syndrome is an X-linked mental retardation syndrome characterized by mental
retardation, alpha thalassaemia and distinct facial features which include
microcephaly, frontal hair upsweep, epicanthic folds, small triangular nose,
midface hypoplasia and carp-shaped mouth. Here we report two brothers with
clinical features of ATR-X syndrome, in whom a novel missense (C>T) mutation was
identified in exon 31 of the ATRX gene.
PMID- 22089612
TI - CTX-M15 type ESBL producing Salmonella from a paediatric patient in Chennai,
India.
PMID- 22089613
TI - The inherited disorders of haemoglobin: an increasingly neglected global health
burden.
AB - An estimated 300,000 babies are born each year with a severe inherited disease of
haemoglobin and that over 80 per cent of these births occur in low- or middle
income countries. As these countries go through the epidemiological transition,
characterized by a reduction in childhood and infant mortality due to improved
public health measures, infants who had previously died of these conditions
before they were recognised are now surviving to present for diagnosis and
treatment. For a variety of reasons, even in the rich countries there are limited
data about the true frequency, natural history, and survival of patients with
these disorders, information that is absolutely critical towards providing
governments and international health agencies with accurate information about the
true global health burden of these conditions. The situation can only be improved
by major action on the part of the rich countries together with the formation of
partnerships between rich and poor countries and input from the major
international health agencies and funding organisations.
PMID- 22089614
TI - Haemoglobinopathies in southeast Asia.
AB - In Southeast Asia alpha-thalassaemia, beta-thalassaemia, haemoglobin (Hb) E and
Hb Constant Spring (CS) are prevalent. The abnormal genes in different
combinations lead to over 60 different thalassaemia syndromes, making Southeast
Asia the locality with the most complex thalassaemia genotypes. The four major
thalassaemic diseases are Hb Bart's hydrops fetalis (homozygous alpha
thalassaemia 1), homozygous beta-thalassaemia, beta-thalassaemia/Hb E and Hb H
diseases. alpha-Thalassaemia, most often, occurs from gene deletions whereas
point mutations and small deletions or insertions in the beta-globin gene
sequence are the major molecular defects responsible for most beta-thalassaemias.
Clinical manifestations of alpha-thalassaemia range from asymptomatic cases with
normal findings to the totally lethal Hb Bart's hydrops fetalis syndrome.
Homozygosity of beta-thalassaemia results in a severe thalassaemic disease while
the patients with compound heterozygosity, beta-thalassaemia/Hb E, present
variable severity of anaemia, and some can be as severe as homozygous beta
thalassaemia. Concomitant inheritance of alpha-thalassaemia and increased
production of Hb F are responsible for mild clinical phenotypes in some patients.
However, there are still some unknown factors that can modulate disease severity
in both alpha- and beta-thalassaemias. Therefore, it is possible to set a
strategy for prevention and control of thalassaemia, which includes population
screening for heterozygotes, genetic counselling and foetal diagnosis with
selective abortion of affected pregnancies.
PMID- 22089615
TI - Past, present & future scenario of thalassaemic care & control in India.
AB - The first case of thalassaemia, described in a non-Mediterranean person, was from
India. Subsequently, cases of thalassaemia were documented in all parts of India.
Centres for care of thalassaemics were started in the mid-1970s in Mumbai and
Delhi, and then in other cities. The parent's associations, with the help of
International Thalassemia Federation, greatly helped in improving the care of
thalassaemics. Obtaining blood for transfusion was difficult, but the Indian Red
Cross Society and the parent's associations played a crucial role in arranging
voluntary donations of blood. Chelation with deferoxamine was used sparingly due
to the high cost. The Indian physicians conducted trials with deferiprone, and
the drug was first approved and marketed in India. Deferasirox is also now being
administered. Studies of physical and pubertal growth documented significant
retardation, suggesting that generally patients receive inadequate chelation and
transfusions. Bone marrow transplantation is available at a number of centres,
and cord blood stem cell storage facilities have been established. Information
about mutations in different parts of India is available, and ThalInd, an Indian
database has been set up. There is a need to set up preimplantation genetic
diagnosis and non-invasive prenatal diagnosis. It is argued that too much
emphasis should not be placed on premarital screening. The focus should be on
screening pregnant women to yield immediate results in reducing the burden of
this disorder. Care of thalassaemia has been included in the 12 th 5-year Plan of
the Government of India. Many States now provide blood transfusions and chelation
free of cost. Although inadequacies in care of thalassaemia remain, but the
outlook is bright, and the stage is set for initiating a control programme in the
high risk States.
PMID- 22089616
TI - Hb E/beta-thalassaemia: a common & clinically diverse disorder.
AB - Haemoglobin E-beta thalassaemia (Hb E/beta-thalassaemia) is the genotype
responsible for approximately one-half of all severe beta-thalassaemia worldwide.
The disorder is characterized by marked clinical variability, ranging from a mild
and asymptomatic anaemia to a life-threatening disorder requiring transfusions
from infancy. The phenotypic variability of Hb E/beta-thalassaemia and the
paucity of long-term clinical data, present challenges in providing definitive
recommendations for the optimal management of patients. Genetic factors
influencing the severity of this disorder include the type of beta-thalassaemia
mutation, the co-inheritance of alpha-thalassaemia, and polymorphisms associated
with increased production of foetal haemoglobin. Other factors, including a
variable increase in serum erythropoietin in response to anaemia, previous or
ongoing infection with malaria, previous splenectomy and other environmental
influences, may be involved. The remarkable variation, and the instability, of
the clinical phenotype of Hb E beta-thalassaemia suggests that careful tailoring
of treatment is required for each patient, and that therapeutic approaches should
be re-assessed over-time.
PMID- 22089617
TI - Pathophysiological insights in sickle cell disease.
AB - The first coherent pathophysiological scheme for sickle cell disease (SCD)
emerged in the sixties-seventies based on an extremely detailed description of
the molecular mechanism by which HbS in its deoxy-form polymerises and forms long
fibres within the red blood cell that deform it and make it fragile. This scheme
explains the haemolytic anaemia, and the mechanistic aspects of the vaso
occlusive crises (VOCs), but, even though it constitutes the basic mechanism of
the disease, it does not account for the processes that actually trigger VOCs.
This paper reviews recent data which imply: red blood cell dehydration, its
abnormal adhesion properties to the endothelium, the participation of
inflammatory phenomenon and of a global activation of all the cells present in
the vessel, and finally, abnormalities of the vascular tone and of nitric oxide
metabolism. These data altogether have shed a new light on the pathophysiology of
the first molecular disease i.e. sickle cell disease.
PMID- 22089618
TI - Abnormal haemoglobins: detection & characterization.
AB - Haemoglobin (Hb) abnormalities though quite frequent, are generally detected in
populations during surveys and programmes run for prevention of Hb disorders.
Several methods are now available for detection of Hb abnormalities. In this
review, the following are discussed: (i) the methods used for characterization of
haemoglobin disorders; (ii) the problems linked to diagnosis of thalassaemic
trait; (iii) the strategy for detection of common Hb variants; and (iv) the
difficulties in identification of rare variants. The differences between
developing and industrialized countries for the strategies employed in the
diagnosis of abnormal haemoglobins are considered. We mention the limits and
pitfalls for each approach and the necessity to characterize the abnormalities
using at least two different methods. The recommended strategy is to use a
combination of cation-exchange high performance chromatography (CE-HPLC),
capillary electrophoresis (CE) and when possible isoelectric focusing (IEF).
Difficult cases may demand further investigations requiring specialized protein
and/or molecular biology techniques.
PMID- 22089619
TI - Ethical issues in genetic counselling with special reference to
haemoglobinopathies.
AB - Genetic counselling is provided in places where genetic tests are carried out.
The process involves pre-test counselling as well as post-test counselling to
enable the individuals to face the situation and take appropriate decisions with
the right frame of mind. Major ethical principles which govern the attitudes and
actions of counsellors include: respect for patient autonomy, non-maleficence,
beneficence, or taking action to help benefit others and prevent harm, both
physical and mental, and justice, which requires that services be distributed
fairly to those in need. Other moral issues include veracity, the duty to
disclose information or to be truthful, and respect for patient confidentiality.
Nondirective counselling, a hallmark of this profession, is in accordance with
the principle of individual autonomy. High prevalence of haemoglobinopathies with
availability of good and sensitive carrier detection tests and prenatal
diagnostic techniques makes these good candidates for population screening of
carriers along with genetic counselling for primary prevention of the disease.
Screening of the extended family members of the affected child, high risk
communities and general population screening including antenatal women are the
main target groups for planning a Haemoglobinopathy control programme. A critical
mass of trained genetic counsellors who have understanding of the ethical issues
and its appropriate handling with the required sensitivity is needed in India.
PMID- 22089620
TI - Invasive & non-invasive approaches for prenatal diagnosis of haemoglobinopathies:
experiences from India.
AB - The thalassaemias and sickle cell disease are the commonest monogenic disorders
in India. There are an estimated 7500 - 12,000 babies with beta-thalassaemia
major born every year in the country. While the overall prevalence of carriers in
different States varies from 1.5 to 4 per cent, recent work has shown
considerable variations in frequencies even within States. Thus, micromapping
would help to determine the true burden of the disease. Although screening in
antenatal clinics is being done at many centres, only 15-20 per cent of pregnant
women register in antenatal clinics in public hospitals in the first trimester of
pregnancy. There are only a handful of centres in major cities in this vast
country where prenatal diagnosis is done. There is considerable molecular
heterogeneity with 64 mutations identified, of which 6 to 7 common mutations
account for 80-90 per cent of mutant alleles. First trimester foetal diagnosis is
done by chorionic villus sampling (CVS) and DNA analysis using reverse dot blot
hybridization, amplification refractory mutation system (ARMS) and DNA
sequencing. Second trimester diagnosis is done by cordocentesis and foetal blood
analysis on HPLC at a few centres. Our experience on prenatal diagnosis of
haemoglobinopathies in 2221 pregnancies has shown that >90 per cent of couples
were referred for prenatal diagnosis of beta-thalassaemia after having one or
more affected children while about 35 per cent of couples were referred for
prenatal diagnosis of sickle cell disorders prospectively. There is a clear need
for more data from India on non-invasive approaches for prenatal diagnosis.
PMID- 22089621
TI - Genetic counselling in tribals in India.
AB - Genetic counselling in tribals unlike general population residing in cities and
near villages is a difficult task due of their lower literacy and poor socio
economic status. However, sustained effort is essential with a close interaction
in the local language, certain misbeliefs need to be removed gradually taking
into account their socio-cultural background. The present communication deals
with our experience in counselling for haemoglobinopathies during Neonatal
Screening Programme undertaken for sickle cell disease in Kalahandi district of
Orissa and Community Screening Programmes in primitive tribes of India in four
States viz. Orissa, Gujarat, Tamil Nadu and Maharashtra. Counselling during
neonatal screening programme was very well accepted demonstrating the benefit to
the small babies as regards the morbidity. Premarital marriage counselling was
also accepted by them. The success rate as followed up for 5 years is almost 50
per cent, the limitation being long follow up. Genetic counselling in these areas
has to be continuous to achieve success and therefore the need for setting up of
permanent centres in the tribal areas in India.
PMID- 22089622
TI - Haemoglobinopathies in Greece: prevention programme over the past 35 years.
AB - At present, prevention of thalassaemia and sickle cell disease is the only
realistic approach to control the birth of new patients in countries having high
numbers of carriers. This is fully justified because avoiding the birth of an
ever increasing number of patients may allow a more effective use of the
available resources in improving the management of the patients surviving today
and alleviate the already overloaded public health system from the inevitable
tremendous and ever increasing cost. Moreover, prenatal diagnosis may help
couples at risk to have non-thalassaemic children. Greece is one of the countries
where the mean frequency of carriers is approximately 7.5 per cent (population 11
million) and has set up a nationwide programme for carrier identification in the
early seventies; this is provided through a dozen of specific Units attached to
the major Blood Transfusion Services of the country, on a voluntary basis and
free of charge. Spread of information through mass media, the schools, and other
groups has greatly contributed in creating the necessary sensitization;
obstetricians and antenatal Clinics are also instrumental to this effect.
Prenatal diagnosis is offered centrally (Athens) and covers satisfactorily the
estimated needs (500-600 annually); the total number has already exceeded 35,000.
According to information obtained from the major paediatric hospitals all over
the country, the number of thalassaemia major or SCD admitted for treatment over
the last ten years has been around 15 yearly (instead of an estimate of 120-130).
PMID- 22089623
TI - Water quality of selected fluvial lakes in the context of the Elbe River
pollution and anthropogenic activities in the floodplain.
AB - The paper evaluates the status of selected fluvial lakes situated in the central
part of the Elbe River and the impact of anthropogenic activities including
comparison with the Elbe River water quality on them. To achieve the goals of the
project, eight researched lakes differed from each other in the intensity of
communication with the river, morphometric parameters and in the possibility of
anthropogenic contamination (industrial, agricultural and municipal) were chosen.
Besides observing the hydrological regime, the research was focused on physical
and chemical parameters of water. To sum up, the lakes communicating above ground
with the river showed similarities in several parameters, e.g. organic load or
conductivity was nearly at the same level, which was lower than in the separated
ones. Although the values of nitrates were higher in the river, their content did
not reach such concentrations even in the connected lakes because of their more
stagnant character. In general, although the Elbe River has a significant effect
on water quality of researched lakes, especially in the case of lakes with a
restricted communication with the river, their water quality is a result of local
conditions, e.g. possibility of contamination by sewage waters, land use in the
vicinity, etc.
PMID- 22089624
TI - Pollution levels of thiobencarb, propanil, and pretilachlor in rice fields of the
muda irrigation scheme, Kedah, Malaysia.
AB - The purpose of this study was to investigate the potential risk of pretilachlor,
thiobencarb, and propanil pollutants in the water system of the rice fields of
the Muda area. The study included two areas that used different irrigation
systems namely non-recycled (N-RCL) and recycled (RCL) water. Regular water
sampling was carried out at the drainage canals during the weeding period from
September to October 2006 in the main season of 2006/2007 and April-May 2007 in
off season of 2007. The herbicides were extracted by the solid-phase extraction
method and identified using a GC-ECD. Results showed that the procedure for
identification of the three herbicides was acceptable based on the recovery test
values, which ranged from 84.1% to 96.9%. A wide distribution pattern where more
than 79% of the water samples contained the herbicide pollutants was observed at
both the areas where N-RCL and RCL water was supplied for the two seasons. During
September to October 2006, high weedicide residue concentration was observed at
the N-RCL area and it ranged from 0.05 to 1.00 MUg/L for pretilachlor and
propanil and 10-25 MUg/L for thiobencarb. In the case of the area with RCL water,
the weedicide residue ranged from 1 to 5 MUg/L for pretilachlor and propanil and
10-25 MUg/L for thiobencarb. The highest residue level reached was 25-50, 50-100,
and 100-200 MUg/L for pretilachlor, propanil, and thiobencarb, respectively.
During April to May 2007, high residue concentration frequently occurred at the
area supplied with N-RCL irrigation water and it ranged from 0.05 to 1.00, 10 to
25, and 25 to 50 MUg/L for pretilachlor, propanil, and thiobencarb, respectively.
The highest residue level reached was 25-50 MUg/L for pretilachlor and 100-200
MUg/L for propanil and thiobencarb. There was an accelerated increase in the
concentration of the herbicide residues, with the maximum levels reached at the
early period of weedicide application, followed by a sharp decrease after the
rice fields were completely covered with the rice crop. During the main season of
2006/2007, the concentration of propanil residue gradually rose, although that of
the other herbicides declined.
PMID- 22089625
TI - Bioindicative comparison of the fern Athyrium distentifolium for trace pollution
in the Sudety and Tatra mountains of Poland.
AB - Concentrations of the elements Cd, Cr, Cu, Fe, Mn, Ni, Pb and Zn were measured in
the fronds of the fern Athyrium distentifolium from the Sudety and Tatra
mountains (Poland). The A. distentifolium sites in the Sudety mountains which
were influenced by long-range metal transport from the former Black Triangle were
distinguished by the principal component and classification analysis (PCCA).
These sites were situated on the west side slopes of one of the ranges in the
Sudety mountains (within a 150-km radius of the heart of the former Black
Triangle) at an altitude of 700 m asl, and exposed to prevailing winds. This most
affected area had significantly higher foliar concentrations of Cu, Cr and Ni
which are typical for long-range transported airborne elements occurring in coal
fly ash emitted by lignite combustion industry.
PMID- 22089626
TI - Statistical evaluation of data from multi-laboratory testing of a measurement
method intended to indicate the presence of dust resulting from the collapse of
the World Trade Center.
AB - In this paper we describe a statistical analysis of the inter-laboratory data
summarized in Rosati et al. (2008) to assess the performance of an analytical
method to detect the presence of dust from the collapse of the World Trade Center
(WTC) on September 11, 2001. The focus of the inter-lab study was the measurement
of the concentration of slag wool fibers in dust which was considered to be an
indicator of WTC dust. Eight labs were provided with two blinded samples each of
three batches of dust that varied in slag wool concentration. Analysis of the
data revealed that three of labs, which did not meet measurement quality
objectives set forth prior to the experimental work, were statistically
distinguishable from the five labs that did meet the quality objectives. The five
labs, as a group, demonstrated better measurement capability although their
ability to distinguish between the batches was somewhat mixed. This work provides
important insights for the planning and implementation of future studies
involving examination of dust samples for physical contaminants. This work
demonstrates (a) the importance of controlling the amount of dust analyzed, (b)
the need to take additional replicates to improve count estimates, and (c) the
need to address issues related to the execution of the analytical methodology to
ensure all labs meet the measurement quality objectives.
PMID- 22089627
TI - Field application of SPME as a novel tool for occupational exposure assessment
with inhalational anesthetics.
AB - Occupational exposure to inhalational anesthetics occurs routinely in operating
rooms. It could induce serious health hazards and diseases. This exposure
assessment is a crucial step in determining risks. In this study, a pen-shaped
holder for solid-phase microextraction (SPME) sampler was successfully applied as
a time-weighted average sampling tool for workshift exposure assessment of
operation room staff to halothane. It proved to be very convenient for use in
occupational environments such as operation rooms. Samples were analyzed by a gas
chromatography-mass spectrometry. The validity of the SPME method was checked in
real-world conditions with Occupational Safety and Health Administration (OSHA)
103 standard method for the determination of inhalational anesthetics. A good
agreement between OSHA 103 and SPME methods was obtained and results demonstrated
no statistically significant differences in anesthetic concentrations determined
by the two analytical methods (p >= 0.05). It is concluded that SPME in retracted
mode could successfully be applied in occupational exposure assessment purposes.
PMID- 22089628
TI - Nucleobase recognition at alkaline pH and apparent pKa of single DNA bases
immobilised within a biological nanopore.
AB - The four DNA bases are recognized in immobilized DNA strands at high alkaline pH
by nanopore current recordings. Ionic currents through the biological nanopores
are also employed to measure the apparent pK(a) values of single nucleobases
within the immobilised DNA strands.
PMID- 22089629
TI - Effects of oxidative stress on vascular function, and the role of anesthetics.
PMID- 22089630
TI - Successful treatment of severe asthma-associated plastic bronchitis with
extracorporeal membrane oxygenation.
AB - We describe a case of near-fatal asthma requiring extracorporeal membrane
oxygenation (ECMO). The patient presented with severe respiratory distress, which
was not responsive to conventional pharmacological therapy. The patient also
failed to respond to mechanical ventilation and thus was placed on venovenous
ECMO for temporary pulmonary support. A fiberoptic bronchoscopy revealed that
large amounts of thick bronchial secretions had occluded the main bronchus, which
suggested plastic bronchitis secondary to asthma. Aggressive airway hygiene with
frequent bronchoscopies and application of biphasic cuirass ventilation for
facilitation of secretion clearance were performed to improve the patient's
respiratory status. The patient achieved a full recovery and suffered no
neurological sequelae. This case illustrates that aggressive pulmonary hygiene
with ECMO is a useful therapy for patients with asthma-associated plastic
bronchitis.
PMID- 22089631
TI - Pandemic influenza H1N1: reconciling serosurvey data with estimates of the
reproduction number.
AB - BACKGROUND: During the 2009 pandemic of influenza A (H1N1), many studies reported
estimates of the reproduction number from outbreak data. Since then,
seroprevalence studies have been conducted in a number of countries to assess the
proportion of the population that was infected in the first wave of the pandemic.
METHODS: Here, we collate the reproduction number estimates, and use mathematical
models to reconcile these with serosurvey data. RESULTS: Most estimates of the
reproduction number from outbreaks are in the range of 1.0-2.0, whereas mean
estimates calculated from seroprevalence data range from 1.14 to 1.36. Age
specific analysis of these data suggests that the reproduction number for
children was approximately 1.6, whereas the reproduction numbers for adults >25
years of age was less than 1.0. CONCLUSION: The difference between age-groups may
help to explain high estimates of the reproduction number from outbreaks
involving a large proportion of child cases.
PMID- 22089632
TI - Identity statuses as developmental trajectories: a five-wave longitudinal study
in early-to-middle and middle-to-late adolescents.
AB - This study tested whether Marcia's original identity statuses of achievement,
moratorium, early closure (a new label for foreclosure), and diffusion, can be
considered identity status trajectories. That is, we examined whether these
statuses are distinct and relatively stable, over-time configurations of
commitment strength, levels of in-depth exploration of present commitments, and
consideration of alternative commitments. The study examined identity development
in a five-wave study of 923 early-to-middle (49.3% female) and 390 middle-to-late
adolescents (56.7% female), covering the ages of 12-20. Using Latent class growth
analysis (LCGA), the authors found that Marcia's (1966) statuses are indeed
identity status trajectories. Two kinds of moratorium were also found: the
classical moratorium and searching moratorium. Support was found for Waterman's
developmental hypothesis of the identity status model: the number of achievers
was significantly higher, and the number of diffusions lower, in middle-to-late
adolescence than in early-to-middle adolescence. Females were more often in the
advanced identity status trajectories, and stable differences were found between
the trajectories in psychosocial adjustment. Study findings highlight that
identity formation should be conceptualized as an over-time process.
PMID- 22089633
TI - Perceived ethnic stigma across the transition to college.
AB - Ethnicity-based negative treatment during the transition to college may affect
the long-term adjustment of ethnic-minority youth. We examined within-person
changes in youths' perceptions of overt discrimination and their sense of their
ethnic group being devalued by the larger society among 563 Latino, European,
Asian, and other ethnic minority emerging adults across the transition to college
(M (age) = 17.79, SD = .37, 54.7% female). Analyses tested for group and context
differences and associations between perceived discrimination and societal
devaluation of their group and adjustment. Results indicate that although
perceived discrimination decreases over time, perceived devaluation by society
increases. Social context did not affect changes in perceived discrimination and
societal devaluation. Whereas perceived discrimination was associated with
depressive and somatic symptoms for everyone, perceived societal devaluation was
only consequential for ethnic minorities. Future research should identify
possible moderators to help students cope with experiences of discrimination and
feelings of not being valued by society.
PMID- 22089634
TI - Metal-mediated DNA assembly using the ethynyl linked terpyridine ligand.
AB - The terpyridine ligand directly attached to the 5-position of a uridine allows
metal-mediated DNA assembly towards potentially electronically coupled DNA
conjugates.
PMID- 22089635
TI - The influence of depression on the progression of HIV: direct and indirect
effects.
AB - The authors suggest a theoretical model of pathways of HIV progression, with a
focus on the contributions of depression-as well as secondary, behavioral and
emotional variables. Literature was reviewed regarding (a) comorbid depression
and the direct physiological effects on HIV progression and (b) intermediary
factors between HIV and disease progression. Intermediary factors included (a)
substance use, (b) social support, (c) hopelessness, (d) medication nonadherence,
and (e) risky sexual behavior and the contraction of secondary infections. The
authors suggest direct physiological pathways from depression to HIV progression
and indirect pathways (e.g., behavioral, social, and psychological). In addition
to depression, substance use, poor social support, hopelessness, medication
nonadherence, and risky sexual behavior seem to be integral in HIV progression.
Based on the individual relationships of these variables to depression and HIV
progression, a comprehensive multipath model, incorporating all factors, serves
to explain how severe emotional distress may lead to accelerated progression to
AIDS.
PMID- 22089636
TI - Role of the amino-terminal transmembrane domain of sulfonylurea receptor SUR2B
for coupling to K(IR)6.2, ligand binding, and oligomerization.
AB - ATP-sensitive K(+) (K(ATP)) channels consist of two types of subunits, K(IR)6.x
that form the pore, and sulfonylurea receptors (SURs) that serve as regulatory
subunits. SURs are ATP-binding cassette (ABC) proteins and contain, in addition
to two nucleotide binding folds, the binding sites for channel openers such as
diazoxide and P1075 and channel inhibitors such as glibenclamide (GBC) and
repaglinide. Structurally, SURs differ from most eukaryotic ABC proteins by an
additional amino-terminal transmembrane domain (TMD0); in case of SUR1, the
subunit of the pancreatic K(ATP) channel, TMD0 serves as a major domain for
association with K(IR). In this study we sought to elucidate the roles of TMD0 in
SUR2B, the smooth muscle gating subunit, in the coupling between SUR2B and
K(IR)6.2, in the self-association of SUR2B and in channel modulator binding to
SUR2B. SUR2B has a weaker affinity for sulfonylureas thus SUR2B(Y1206S), with a
higher affinity for GBC, but an equivalent opener binding was used. Association
of SUR2B(YS)Delta, lacking TMD0, with K(IR)6.2 was shown by immunoprecipitation;
however, no evidence for formation of functional channels was obtained.
SUR2B(YS)Delta self-associates like SUR2B(YS) and binds GBC, repaglinide, and
P1075 with slightly reduced affinities. The binding profile of the
SUR2B(YS)Delta/K(IR)6.2 complex differs slightly but significantly from that of
SUR2B(YS)Delta alone showing impaired allosteric coupling of binding sites. We
conclude that TMD0 is not required for oligomerization of SUR2B, is of only minor
importance in ligand binding, but is essential for both functional and allosteric
coupling of SUR2B to K(IR)6.2.
PMID- 22089637
TI - Mitochondria in motor nerve terminals: function in health and in mutant
superoxide dismutase 1 mouse models of familial ALS.
AB - Mitochondria contribute to neuronal function not only via their ability to
generate ATP, but also via their ability to buffer large Ca(2+) loads. This
review summarizes evidence that mitochondrial Ca(2+) sequestration is especially
important for sustaining the function of vertebrate motor nerve terminals during
repetitive stimulation. Motor terminal mitochondria can sequester large amounts
of Ca(2+) because they have mechanisms for limiting both the mitochondrial
depolarization and the increase in matrix free [Ca(2+)] associated with Ca(2+)
influx. In mice expressing mutations of human superoxide dismutase -1 (SOD1) that
cause some cases of familial amyotrophic lateral sclerosis (fALS), motor
terminals degenerate well before the death of motor neuron cell bodies. This
review presents evidence for early and progressive mitochondrial dysfunction in
motor terminals of mutant SOD1 mice (G93A, G85R). This dysfunction would impair
mitochondrial ability to sequester stimulation-associated Ca(2+) loads, and thus
likely contributes to the early degeneration of motor terminals.
PMID- 22089638
TI - Cerebrospinal fluid cytokine dynamics differ between Alzheimer disease patients
and elderly controls.
AB - The time courses of levels of multiple plasma and cerebrospinal fluid (CSF)
cytokines in patients with Alzheimer disease (AD) and in age-matched control
subjects were compared. Interleukin (IL)-1beta, IL-2, IL-6, IL-8, IL-10, IL
12p70, granulocyte-macrophage colony-stimulating factor, interferon-gamma, and
tumor necrosis factor alpha levels were measured 7 times over a 24-hour period in
plasma and CSF using a lumbar catheter. Baseline plasma and CSF cytokine levels
were found to be similar in AD and control subjects. However, the CSF levels of
all measured cytokines, except IL-6 and IL-8, diverged over time between AD and
control subjects, such that CSF cytokine levels in AD subjects were higher than
in controls. This difference was greatest at 24 hours after the insertion of the
lumbar catheter. In contrast, no differences in cytokine trajectories were seen
in plasma. These data suggest that the neuroinflammatory response to lumbar
catheter placement differs between AD and control subjects.
PMID- 22089640
TI - neuroRAD--idea and realization of a new concept.
PMID- 22089639
TI - Historical changes in the severity of dementia and accompanying neuropsychiatric
symptoms in persons presenting for evaluation in a multiracial urban dementia
center.
AB - OBJECTIVE: We examine historical changes from 1992 to 2008 in the severity of
dementia and accompanying neuropsychiatric symptoms among persons presenting for
evaluation and treatment at a multiracial urban dementia center. METHODS: We
reviewed intakes of white (n=197) and black (n=568) patients seen at a dementia
center in New York City. Intakes were divided into 3 time categories: period 1
(1992 to 1996), period 2 (1997 to 2002), and period 3 (2003 to 2008). Diagnoses
included the following: Alzheimer disease (73%), vascular dementia (13%), mixed
dementia (9%), mild cognitive impairment (2%), and other dementias (4%). RESULTS:
Over the 3 assessment periods, persons increasingly presented at an earlier stage
of illness with significantly higher levels of cognition and functioning, and a
declining prevalence of psychotic symptoms and agitation. Blacks had a more
advanced stage of illness, lower cognitive scores, and a greater prevalence of
psychotic symptoms than whites in period 1, but not during the other time
periods. There were no racial differences in functioning, agitation, or
depression. CONCLUSION: Over the period 1992 to 2008, patients were increasingly
more likely to present with early stages of dementia and fewer symptoms of
psychoses and agitation, and racial differences in illness severity and
neuropsychiatric symptoms disappeared. If our sample reflects trends in the
United States, then it suggests that more persons will be in care for longer
periods of time, previous research findings derived from dementia centers must be
considered historically specific, and clinicians must be prepared to work with
persons with milder forms of neurocognitive disorders.
PMID- 22089641
TI - Unusual spectral renormalization in hexaborides.
AB - Employing high resolution photoemission spectroscopy, we studied the evolution of
the spectral features in rare earth hexaboride single crystals as a function of
temperature and 4f binding energy, where the variation of the 4f binding energy
is obtained by changing the rare earth element. High energy resolution helped to
reveal the distinct features corresponding to the various photoemission final
states. Experimental results of CeB(6), a dense Kondo system, exhibit the growth
of the features near the Fermi level with the decrease in temperature relative to
the uncompensated local moment contributions. The valence band spectra of the
antiferromagnetic compounds, PrB(6) and NdB(6), exhibit multiple features-the 4f
ionization peaks (poorly screened features) appear at higher binding energies and
the features in the vicinity of the Fermi level possessing significant 4f
character are due to the well-screened photoemission final states. These results
indicate finite hybridization between the 4f and B 2s2p conduction electronic
states. Interestingly, the well-screened features in PrB(6) and NdB(6) exhibit
unusual enhancement in intensity at low temperature.
PMID- 22089642
TI - Functional analysis of APOE locus genetic variation implicates regional enhancers
in the regulation of both TOMM40 and APOE.
AB - Genetic variation within the apolipoprotein E gene (APOE) locus is associated
with late-onset Alzheimer's disease risk and quantitative traits as well as apoE
expression in multiple tissues. The aim of this investigation was to explore the
influence of APOE locus cis-regulatory element enhancer region genetic variation
on regional gene promoter activity. Luciferase reporter constructs containing
haplotypes of APOE locus gene promoters; APOE, APOC1 and TOMM40, and regional
putative enhancers; TOMM40 intervening sequence (IVS)2-4, TOMM40 IVS6 poly-T, as
well as previously described enhancers; multienhancer 1 (ME1), or brain control
region (BCR), were evaluated for their effects on luciferase activity in three
human cell lines. Results of this investigation demonstrate that in SHSY5Y cells,
the APOE promoter is significantly influenced by the TOMM40 IVS2-4 and ME1, and
the TOMM40 promoter is significantly influenced by the TOMM40 IVS6 poly-T, ME1
and BCR. In HepG2 cells, theTOMM40 promoter is significantly influenced by all
four enhancers, whereas the APOE promoter is not influenced by any of the
enhancers. The main novel finding of this investigation was that multiple APOE
locus cis-elements influence both APOE and TOMM40 promoter activity according to
haplotype and cell type, suggesting that a complex transcriptional regulatory
structure modulates regional gene expression.
PMID- 22089643
TI - Tumor suppressive microRNA-133a regulates novel molecular networks in lung
squamous cell carcinoma.
AB - Analysis of the microRNA (miRNA) expression signature of lung squamous cell
carcinoma (lung-SCC) revealed that the expression levels of miR-133a were
significantly reduced in cancer tissues compared with normal tissues. In this
study, we focused on the functional significance of miR-133a in cancer cell lines
derived from lung-SCC and the identification of miR-133a-regulated novel cancer
networks in lung-SCC. Restoration of miR-133a expression in PC10 and H157 cell
lines resulted in significant inhibition of cell proliferation, suggesting that
miR-133a functions as a tumor suppressor. We used genome-wide gene expression
analysis to identify the molecular targets of miR-133a regulation. Gene
expression data and web-based searching revealed several candidate genes,
including transgelin 2 (TAGLN2), actin-related protein2/3 complex, subunit 5,
16kDa (ARPC5), LAG1 homolog, ceramide synthase 2 (LASS2) and glutathione S
transferase pi 1 (GSTP1). ARPC5 and GSTP1 likely represent bona fide targets as
their expression is elevated in lung-SCC clinical specimens. Furthermore,
transient transfection of miR-133a, repressed ARPC5 and GSTP1 mRNA and protein
levels. As cell proliferation was significantly inhibited in lung-SCC cells
following RNAi knock down of either gene, ARPC5 and GSTP1 may function as
oncogenes in the development of lung-SCC. The identification of a tumor
suppressive miRNA and the novel cancer pathways it regulates could provide new
insights into potential molecular mechanisms of lung-SCC carcinogenesis.
PMID- 22089644
TI - Molecular and biochemical characterization of Tunisian patients with glycogen
storage disease type III.
AB - Glycogen storage disease type III (GSD III) is an autosomal recessive inborn
error of metabolism caused by mutations in the glycogen debranching enzyme amylo
1,6-glucosidase gene, which is located on chromosome 1p21.2. GSD III is
characterized by the storage of structurally abnormal glycogen, termed limit
dextrin, in both skeletal and cardiac muscle and/or liver, with great variability
in resultant organ dysfunction. The spectrum of AGL gene mutations in GSD III
patients depends on ethnic group. The most prevalent mutations have been reported
in the North African Jewish population and in an isolate such as the Faroe
Islands. Here, we present the molecular and biochemical analyses of 22 Tunisian
GSD III patients. Molecular analysis revealed three novel mutations: nonsense
(Tyr1148X) and two deletions (3033_3036del AATT and 3216_3217del GA) and five
known mutations: three nonsense (R864X, W1327X and W255X), a missense (R524H) and
an acceptor splice-site mutation (IVS32-12A>G). Each mutation is associated to a
specific haplotype. This is the first report of screening for mutations of AGL
gene in the Tunisian population.
PMID- 22089645
TI - Single-level anterior cervical discectomy and interbody fusion using PEEK
anatomical cervical cage and allograft bone.
AB - BACKGROUND: In an effort to avoid the morbidity associated with autogenous bone
graft harvesting, cervical cages in combination with allograft bone are used to
achieve fusion. The goal of the current study was to assess the reliability and
efficacy of anterior cervical discectomy and interbody fusion (ACDF) using a PEEK
anatomical cervical cage in the treatment of patients affected by single-level
cervical degenerative disease. METHODS AND MATERIALS: Twenty-five patients
affected by single-level cervical degenerative pathology between C4 and C7 were
enrolled in this study. The clinical findings were assessed using the Neck
Disability Index and the Visual Analog Scale. Surgical outcomes were rated
according to Odom's criteria at last follow-up. Fusion was graded as poor,
average, good or excellent by assessing the radiographs. Cervical spine alignment
was evaluated by sagittal segmental alignment and sagittal alignment of the whole
cervical spine preoperatively, 6 months postoperatively and at the last follow
up. RESULTS: Twenty-five patients underwent ACDF using a PEEK anatomical cervical
cage. All patients had a minimum 2 years of follow-up. The operative levels were
C4-C5 in 5 patients, C5-C6 in 12 patients and C6-C7 in 8 patients.
Preoperatively, average NDI was 34, 13 at 6 months, and 10 at latest follow-up.
The mean preoperative VAS was 7; the mean postoperative VAS at latest follow-up
was 3. Good or excellent fusion was achieved in all patients within 10 months
(mean 5 months). Preoperatively, average sagittal segmental alignment (SSA) was
0.2 degrees and average sagittal alignment of the cervical spine (SACS) 15.8
degrees . Six months after surgery, average SSA was 1.8 degrees and average SACS
20.9 degrees , and at last follow-up, average SSA was 1.6 degrees and average
SACS 18.5 degrees . CONCLUSION: Anterior cervical discectomy and interbody fusion
using PEEK anatomical cervical cages can be considered a safe and effective
technique to cure cervical disc herniation with intractable pain or neural
deficit in cases where conservative treatment failed.
PMID- 22089646
TI - POCIS sampling in combination with ELISA: screening of sulfonamide residues in
surface and waste waters.
AB - Sulfonamide antibiotics coming from both human and veterinary medicine are among
the most common emerging pollutants in freshwater. The present paper shows the
successful application of passive sampling using POCIS in combination with an
immunochemical ELISA technique and HPLC/MS/MS analysis to study the distribution
of sulfonamides in streams around small towns in the Czech Republic, as well as
around a major agglomeration of the city of Brno, including its waste water
treatment plant (WWTP). Results indicated the presence of sulfonamides at most
studied sites with concentrations ranging from <20 up to 736 ng of
sulfamethoxazole equivalents per POCIS. Very high levels were detected in both
the influent and effluent of the Brno WWTP with maxima > 8000 ng SMX per POCIS.
All samplers collected down-stream of the studied towns and WWTPs clearly showed
an increase in sulfonamide drug residues. Higher concentrations were determined
in rivers at the city of Brno agglomeration. In agreement with other available
studies, these findings indicate low efficiency of conventional WWTPs to
eliminate polar pharmaceuticals such as sulfonamides. Good performance and
correlation with the LC/MS results, as well as ease of use, indicate good
potential for the immunochemical ELISA technique to become the screening tool for
sulfonamide determination in surface waters including passive samplers.
PMID- 22089647
TI - A putative neuronal network controlling the activity of the leg motoneurons of
the stick insect.
AB - It is widely accepted that the electrical activity of motoneurons that drive
locomotion in the stick insect are controlled by two separate mechanisms: (i) the
frequency of the activity through the central pattern generator, which provides
the rhythm of movement during locomotion and (ii) the 'magnitude' through
circuits distinct from the earlier one. In this study, we show a possible way of
how this control mechanism might be implemented in the nervous system of the
stick insect by means of a network model. To do this, we had to define the
'magnitude' of the neuronal activity more precisely as the average number of
spikes per unit time. The model was constructed on the basis of relevant
electrophysiological and morphological data. However, only their integration in
the model led to the novel properties that enable the network quickly to adapt
the motoneuronal activity to central commands or sensory signals by changing both
the firing pattern and intensity of the motoneuron discharges. The network would
thus act as the controlling network for each of the muscle pairs that move the
individual joints in each of the legs. Our model may contribute to a better
understanding of the mechanisms that underlie the fast adaptive control of
locomotion in this, and possibly in other types of locomotor systems.
PMID- 22089648
TI - Role of Broca's area in motor sequence programming: a cTBS study.
AB - Besides language, the contribution of Broca's area to motor cognition is now
widely accepted. In this study, we investigated the role of its posterior part
(left Brodmann area 44) in learning of a motor sequence by altering its
functioning with a continuous theta-burst transcranial magnetic stimulation
(cTBS) in 12 healthy participants before they learned the sequence by
observation. Twelve control individuals underwent the same experiment with cTBS
applied over the vertex. Although cTBS over Brodmann area 44 did not impair
sequence learning, it significantly increased the response latency as measured
during the retention test, performed 24 h later. This finding suggests that
Broca's area might be critically involved in organizing, and/or storing, the
individual components of a motor sequence before its execution.
PMID- 22089649
TI - Taiwanese fathers' experiences of having their child diagnosed with a
developmental disability.
AB - BACKGROUND: Receiving a diagnosis of a developmental disability in a child can be
a crisis event for parents. Gender differences in parental roles are worth
considering when exploring the impact of having a child with a disability.
However, most studies on this topic have focused on the mother's experience, and
little is known about what the father goes through as the parent of a child
diagnosed with a disability. Even less is known regarding this experience in the
context of the Chinese culture. PURPOSE: The goal of this study was to explore
fathers' experiences of having a child diagnosed with a developmental disability
in a Chinese cultural context. METHODS: This study used a hermeneutic
phenomenological approach informed by the philosophical world views of Heidegger.
The 16 fathers who participated in the study were purposively sampled from a
teaching hospital in central Taiwan. Data were collected using in-depth and
semistructured interviews and were analyzed using hermeneutic analysis. RESULTS:
Data analysis revealed four shared meanings: losing hope, feelings of failure,
being frustrated with family conflicts, and searching for positive coping
strategies. CONCLUSIONS/IMPLICATIONS FOR PRACTICE: Fathers feel shock and despair
as well as personally devalued when learning that their child has been diagnosed
with a developmental disability. Chinese cultural beliefs and values can elicit
different experiences for fathers while helping them make sense of their
experiences and accept their child in meaningful ways. Nurses can actively engage
fathers as well as mothers to understand their feelings and thoughts about their
child's disability to provide appropriate emotional and informational support.
Providing support or referral is necessary particularly when fathers encounter
issues with the child's grandparents. Nurses can assist fathers to find a way to
make sense of having a child with a disability within their cultural frame of
reference by adapting cultural beliefs and values to their situation and to make
meaning of their child's life.
PMID- 22089650
TI - Exploration of clinical nurses' perceptions of spirituality and spiritual care.
AB - BACKGROUND: Florence Nightingale emphasized the need for nurses to honor the
psychological and spiritual aspects of patients to promote patients' health.
Whereas study of a public hospital in Singapore presented similar findings, few
studies have explored clinical nurses' perceptions of spirituality. PURPOSE: The
purpose of this study was to investigate the role of specific nurse demographic
characteristics in predicting perception differences with regard to spirituality
and spiritual care. METHODS: The Chinese version of the Spirituality and
Spiritual Care Rating Scale was developed using a translate and back-translate
process, achieving a content validity index of .98. This study used a cross
sectional descriptive survey with 350 clinical nurses as the study sample. Three
hundred forty-nine valid questionnaires were returned (response rate, 99.71%).
The institutional review board of the hospital approved this study. RESULTS: Most
participants were women, ranging in age from 23 to 64 years. Participants'
clinical experience ranged from less than 1 year to 40 years, with a mean
experience value of 13.42 years. Participants were distributed among all clinical
specialties. Slightly less than half (41.83%) professed no religious belief, and
most were not involved in religious activities (55.01%, n = 192). A little over
half (53.58%, n = 187) had received spiritual care lessons during nurse training,
and more than half (58.74%, n = 205) had received spiritual care continuous
education after graduation. This survey found perception of spirituality
positively related to holding a master's degree, 11 to 19 years of clinical
experience, specialty in palliative nursing, and having received spiritual care
lessons during nursing training or continuing education. Clinical nurses who held
a master's degree or received spiritual care lessons during continuing education
had higher levels of spiritual care perception. CONCLUSIONS/IMPLICATIONS FOR
PRACTICE: This study found education to have a positive impact on participants'
perception of spirituality and spiritual care. A higher education level and more
spiritual care lessons or training courses were found to increase perception
level. Study findings provide preliminary insights into nurses' perceptions of
spirituality and spiritual care in Taiwan. Findings demonstrate an urgent need
for additional education programs or training in spiritual care to improve the
ability of nurses in catering to the spiritual needs of patients and guide
clinical nurses when conducting spiritual care.
PMID- 22089651
TI - Effectiveness of a self-care program in improving symptom distress and quality of
life in congestive heart failure patients: a preliminary study.
AB - BACKGROUND: Prevalence of heart failure is increasing among older adults. Most
heart failure patients experience distressing symptoms that lead to decreased
physical functioning, poor quality of life, and a high incidence of
rehospitalization. Health education about heart failure self-care (HFSC) is very
important during hospitalization for these patients. However, lack of ongoing
follow-up after discharge makes evaluation and disease management difficult. This
is a significant problem in Taiwan. PURPOSE: This study was undertaken to
determine if participants with heart failure who were managed under the HFSC
program had fewer distressing symptoms, better functional status, improved
quality of life, and reduced hospital and emergency readmission rates compared
with control group participants. METHODS: This study used a quasi-experimental
design with a control group that received usual care and an intervention group
that received usual care plus the HFSC program. Twenty-seven participants were
recruited from 2 cardiac general wards at 1 medical center in Taipei City,
Taiwan, and were randomized into intervention (n = 14) and control (n = 13)
groups. RESULTS: : After 3 months, there were significant differences in symptom
distress (p < .01), 6-minute walk test results (p < .01), and quality of life
(using Short Form 36, Taiwan version, p < .05) between the HFSC and control
groups but no significant differences in hospital readmission and emergency
department visits. CONCLUSIONS/IMPLICATIONS FOR PRACTICE: The HFSC program for
patients with heart failure improved their heart failure symptoms and resulted in
increased functional status and better quality of life. HFSC is a workable
program in the clinical environment. Advanced nurse practitioners can use HFSC
methods and principles to provide improved education and follow-up to heart
failure patients.
PMID- 22089652
TI - The effects of low-impact dance on knee torque and lower extremity mobility in
middle-aged and older women.
AB - BACKGROUND: Although low-impact dance is one of the most prevalent exercises in
older persons, little is known about its effects on knee torque and range of
motion (ROM) in lower extremity joints. PURPOSE: The aim of this study was to
examine the effects of low-impact dance on knee torque and lower extremity joint
ROM in older women. METHODS: Twenty-six low-impact dancers and 12 physically
inactive individuals comprised the dance group (DG) and the control group (CG),
respectively. The knee extension torque, knee and ankle ROMs, and bone mineral
density in calcanei were measured for all participants. RESULTS: Several ROMs of
the lower extremity joints in the dominant leg, ankle inversion, plantarflexion,
and dorsiflexion were significantly higher in the DG than in the CG (p < .05). In
addition, the ankle inversion of the nondominant leg in the DG was also
significantly higher than that in the CG. The knee extension torque of the
nondominant leg in the DG was significantly higher than that in the CG (p < .05).
CONCLUSION: Although this study did not focus on the efficacy of a particular
intervention type, the results showed that low-impact dance can help achieve
higher lower extremity ROM and knee torque values than attained by inactive
individuals. It is hoped that this exposition of positive benefits will encourage
further studies related to the effect of low-impact dance intervention on
reducing fall risk.
PMID- 22089653
TI - A systematic review of sleep patterns and factors that disturb sleep after heart
surgery.
AB - BACKGROUND: Sleep is a vital and restorative human function. However, it has been
reported that up to 50% of heart surgery patients experience sleep disturbance
during hospitalization and after discharge. PURPOSE: This study describes sleep
patterns in adults over the recovery course after heart surgery and works to
identify potential interventions. Researchers analyzed and synthesized studies of
sleep patterns and sleep-related factors in heart surgery patients. METHODS:
Observational studies describing sleep through the course of recovery from heart
surgery were searched from databases of PubMed, MEDLINE, Cumulative Index to
Nursing and Allied Health Literature, Current Contents, and Chinese Electronic
Periodicals Service from 1966 to 2011. Only studies that used polysomnography,
actigraphy, or self-report sleep questionnaires to measure sleep were recruited
in this review. Results of sleep patterns and sleep quality were pooled from
homogeneity studies. RESULTS: Eight studies that investigated sleep patterns in
heart surgery patient and nine studies that examined factors associated with
sleep disturbances in this patient group were analyzed and synthesized. Serious
problems including low sleep efficiency and difficulty in maintaining sleep often
happened during the first postoperative week. It took 2 months for sleep to
recover to preoperational levels. Although sleep quality improved over time,
sleep disturbances still persisted through 6 months of recovery. Physical
factors, including pain, dyspnea, nocturia, and cardiac function, and
environmental factors, including noise, light, and procedures on patients, were
associated with sleep disturbances during hospitalization. Psychological factors,
including anxiety and depression, affected sleep during the first -6 months after
discharge. Individual factors of age and gender affected sleep through the entire
recovery course. CONCLUSIONS/IMPLICATION FOR PRACTICE: Sleep disturbances persist
over the course of recovery in heart surgery patients, and sleep disturbance is
associated with individual, physiological, psychological, and environmental
factors. Findings suggest that management of major symptoms and control of the
patient's sleeping environment during hospitalization and at early recovery stage
as well as mental healthcare after discharge may improve sleep quality and
recovery in heart surgery patients.
PMID- 22089654
TI - Brushing teeth with purified water to reduce ventilator-associated pneumonia.
AB - BACKGROUND: Oral care may decrease the development of ventilator-associated
pneumonia (VAP) and improve oral hygiene. However, little evidence is available
to guide the development of oral care protocols. The practical effect of
toothbrushing on VAP development and oral health and hygiene improvement is
inconclusive. PURPOSE: This study evaluated the effects in postneurosurgical,
intensive care unit patients of brushing teeth twice daily with purified water on
VAP rates and oral health or hygiene. METHODS: This study conducted a randomized
controlled pilot trial. Patients consecutively admitted to the surgical intensive
care unit at a suburban hospital in 2007 were invited to participate if they met
two inclusion criteria: (a) under ventilator support for at least 48 to 72 hours
and (b) no current pneumonia. Upon obtaining informed consent, subjects were
randomized into experimental and control groups. Both groups received usual
hospital care, that is, daily oral care using cotton swabs. The experimental
group additionally received a twice-daily oral care protocol of toothbrushing
with purified water, elevating the head of the bed, and before-and-after
hypopharyngeal suctioning. The control group also received twice-daily mock oral
care (elevating the head of the bed, moisturizing the lips, and before-and-after
hypopharyngeal suctioning). VAP was defined by a clinical pulmonary infection
score of > 6. Oral hygiene and health was assessed after conclusion of the
intervention. RESULTS: Patients (N = 53) were predominantly male (64.2%), mean
age was 60.6 years old, and most had received emergency surgery (75.5%). After 7
days of toothbrushing with purified water, cumulative VAP rates were
significantly lower in the experimental (17%) than in the control (71%; p <.05)
group. The experimental group also had significantly better scores for oral
health (p <.05) and plaque index (p <.01). CONCLUSION/IMPLICATION FOR PRACTICE:
Findings suggest that, as an inexpensive alternative to existing protocols,
toothbrushing twice daily with purified water reduces VAP and improves oral
health and hygiene.
PMID- 22089655
TI - Relationship between elderly depression and health status in male veterans.
AB - BACKGROUND: Elderly depression affects the overall health and quality of life of
institutionalized men. PURPOSE: This study explored the relationship between
depression and health status in institutionalized male veterans in Taiwan.
METHODS: This survey study used a cross-sectional research design and recruited
152 elderly male residents of a veterans' home in northern Taiwan. A validated
questionnaire assessed depression, health status, and demographic
characteristics. RESULTS: More than one fifth of the subjects (21.7%) exhibited
depression. Those with poor general health status (odds ratio [OR] = 7.9, p <
.001), relatively high levels of dependence on others for daily physical
activities (OR = 4.6, p = .002), or self-perceived negative influences of chronic
diseases on daily living (OR = 11.7, p < .001) faced the highest prevalence of
depression. Subjects with hypertension (OR = 2.3, p = .034), cataracts (OR = 3.7,
p = .007), or liver disease (OR = 8.1, p = .006) had the highest prevalence of
depression. Identified risk factors of depression among the elderly male veterans
included self-perceived negative influence of chronic diseases on daily living
(adjusted OR = 10.2, p < .001) and cataracts (adjusted OR = 4.3, p = .023).
CONCLUSIONS/IMPLICATIONS FOR PRACTICE: Geriatrician nurses should develop
strategies to maintain general patient health and reduce the negative impact of
chronic diseases and cataracts on daily life to reduce depression in
institutionalized male veterans.
PMID- 22089656
TI - A computer literacy scale for newly enrolled nursing college students:
development and validation.
AB - BACKGROUND: Increasing application and use of information systems and mobile
technologies in the healthcare industry require increasing nurse competency in
computer use. Computer literacy is defined as basic computer skills, whereas
computer competency is defined as the computer skills necessary to accomplish job
tasks. Inadequate attention has been paid to computer literacy and computer
competency scale validity. PURPOSE: This study developed a computer literacy
scale with good reliability and validity and investigated the current computer
literacy of newly enrolled students to develop computer courses appropriate to
students' skill levels and needs. METHODS: This study referenced Hinkin's process
to develop a computer literacy scale. Participants were newly enrolled first-year
undergraduate students, with nursing or nursing-related backgrounds, currently
attending a course entitled Information Literacy and Internet Applications.
Researchers examined reliability and validity using confirmatory factor analysis.
RESULTS: The final version of the developed computer literacy scale included six
constructs (software, hardware, multimedia, networks, information ethics, and
information security) and 22 measurement items. Confirmatory factor analysis
showed that the scale possessed good content validity, reliability, convergent
validity, and discriminant validity. This study also found that participants
earned the highest scores for the network domain and the lowest score for the
hardware domain. CONCLUSIONS/IMPLICATIONS FOR PRACTICE: With increasing use of
information technology applications, courses related to hardware topic should be
increased to improve nurse problem-solving abilities. This study recommends that
emphases on word processing and network-related topics may be reduced in favor of
an increased emphasis on database, statistical software, hospital information
systems, and information ethics.
PMID- 22089657
TI - Modeling the final phase of landfill gas generation from long-term observations.
AB - For waste management, methane emissions from landfills and their effect on
climate change are of serious concern. Current models for biogas generation that
focus on the economic use of the landfill gas are usually based on first order
chemical reactions (exponential decay), underestimating the long-term emissions
of landfills. The presented study concentrated on the curve fitting and the
quantification of the gas generation during the final degradation phase under
optimal anaerobic conditions. For this purpose the long-term gas generation (240
1,830 days) of different mechanically biologically treated (MBT) waste materials
was measured. In this study the late gas generation was modeled by a log-normal
distribution curve to gather the maximum gas generation potential. According to
the log-normal model the observed gas sum curve leads to higher values than
commonly used exponential decay models. The prediction of the final phase of
landfill gas generation by a fitting model provides a basis for CO(2) balances in
waste management and some information to which extent landfills serve as carbon
sink.
PMID- 22089658
TI - Methamphetamine exposure and chronic illness in police officers: significant
improvement with sauna-based detoxification therapy.
AB - BACKGROUND: The medical literature reports health hazards for law enforcement
personnel from repeated exposure to methamphetamine and related chemical
compounds. Most effects appear transitory, but some Utah police officers with
employment-related methamphetamine exposures developed chronic symptoms, some
leading to disability. This report is of an uncontrolled retrospective medical
chart evaluation of symptomatic officers treated with a sauna detoxification
protocol designed to reduce the chronic symptoms and improve the quality of life.
METHODS: Sixty-nine officers consecutively entering the Utah Meth Cops Project
were assessed before and after a treatment program involving gradual exercise,
comprehensive nutritional support and physical sauna therapy. Evaluations
included pre- and post-treatment scores of the Research and Development
Corporation (RAND) 36-item Short Form Health Survey (SF-36) in comparison with
RAND population norms, pre- and post-treatment symptom score intensities,
neurotoxicity scores, Mini-Mental Status Examination, presenting symptom
frequencies and a structured evaluation of treatment program safety. RESULTS:
Statistically significant health improvements were seen in the SF-36 evaluations,
symptom scores and neurotoxicity scores. The detoxification protocol was well
tolerated, with a 92.8% completion rate. CONCLUSIONS: This investigation strongly
suggests that utilizing sauna and nutritional therapy may alleviate chronic
symptoms appearing after chemical exposures associated with methamphetamine
related law enforcement activities. This report also has relevance to addressing
the apparent ill effects of other complex chemical exposures. In view of the
positive clinical outcomes in this group, broader investigation of this sauna
based treatment regimen appears warranted.
PMID- 22089659
TI - Prevention of rat breast cancer by genistin and selenium.
AB - Breast cancer is the second leading cause of cancer death among women and the
third most common cancer. In this study, we investigated the chemoprevention
efficacy of each of soy genistin, selenium or a combination of them against
breast cancer. Seventy-five female rats were divided into five groups : control
group (I); 7,12-dimethylbenz(a)anthracene (DMBA) group (II); DMBA treated with
genistin group (III); DMBA treated with selenium group (IV); and DMBA treated
with genistin combined with selenium group (V). The treatments were daily
administered for 3 months. There were a significant decrease in body weight and
serum total antioxidant, while a significant elevation in serum total sialic
acid, carcinoembryonic antigen, prolactin, estradiol, nitric oxide, and
malondialdhyde of DMBA injected rats compared with control group. Administration
of genistin and selenium was associated with decreasing levels of tumorigenicity,
endocrine derangement, and oxidative stress. Formation of breast carcinoma in
DMBA-induced rats and abnormal changes were ameliorated in the rats treated with
genistin/selenium or genistin alone. Supplementation of genistin alone or with
selenium provided antioxidant defense with high-potential chemopreventive
activity against DMBA-induced mammary tumors more than selenium alone.
PMID- 22089660
TI - Proposal for the standardisation of multi-centre trials in nuclear medicine
imaging: prerequisites for a European 123I-FP-CIT SPECT database.
AB - PURPOSE: Multi-centre trials are an important part of proving the efficacy of
procedures, drugs and interventions. Imaging components in such trials are
becoming increasingly common; however, without sufficient control measures the
usefulness of these data can be compromised. This paper describes a framework for
performing high-quality multi-centre trials with single photon emission computed
tomography (SPECT), using a pan-European initiative to acquire a normal control
dopamine transporter brain scan database as an example. METHODS: A framework to
produce high-quality and consistent SPECT imaging data was based on three key
areas: quality assurance, the imaging protocol and system characterisation.
Quality assurance was important to ensure that the quality of the equipment and
local techniques was good and consistently high; system characterisation helped
understand and where possible match the performance of the systems involved,
whereas the imaging protocol was designed to allow a degree of flexibility to
best match the characteristics of each imaging device. RESULTS: A total of 24
cameras on 15 sites from 8 different manufacturers were evaluated for inclusion
in our multi-centre initiative. All results matched the required level of
specification and each had their performance characterised. Differences in
performance were found between different system types and cameras of the same
type. Imaging protocols for each site were modified to match their individual
characteristics to produce comparable high-quality SPECT images. CONCLUSION: A
framework has been designed to produce high-quality data for multi-centre SPECT
studies. This framework has been successfully applied to a pan-European
initiative to acquire a healthy control dopamine transporter image database.
PMID- 22089661
TI - Brain hypermetabolism in amyotrophic lateral sclerosis: a FDG PET study in ALS of
spinal and bulbar onset.
AB - PURPOSE: To identify the neurobiological traits of amyotrophic lateral sclerosis
(ALS) and to elucidate functional differences between ALS of spinal and bulbar
onset. We hypothesized that glucose metabolism distribution might vary between
groups. METHODS: The study groups comprised 32 patients with ALS of either bulbar
(n = 13) or spinal (n = 19) onset and 22 subjects as controls. They were
investigated by [(18)F]fluorodeoxyglucose (FDG) positron emission tomography (FDG
PET), comparing the patient groups with each other and with the controls by
statistical parametric mapping. RESULTS: Highly significant relative increases in
glucose metabolism distribution were found in the group comprising all 32 ALS
patients as compared with the controls in the bilateral amygdalae, midbrain, pons
and cerebellum. Relative hypermetabolism was also found in patients with spinal
onset as compared with the controls in the right midbrain. In patients with
bulbar onset compared with the controls and with patients with spinal onset,
large relatively hypometabolic areas were found in the bilateral frontal cortex,
right insula, anterior cingulate, precuneus and inferior parietal lobe. Patients
with spinal onset had significantly higher scores in a neuropsychological test
assessing verbal fluency compared with patients with bulbar onset. CONCLUSION:
This large FDG PET investigation provided unprecedented evidence of relatively
increased metabolism in the amygdalae, midbrain and pons in ALS patients as
compared with control subjects, possibly due to local activation of astrocytes
and microglia. Highly significant relative decreases in metabolism were found in
large frontal and parietal regions in the bulbar onset patients as compared with
the spinal onset patients and the controls, suggesting a differential metabolic
and neuropsychological state between the two conditions.
PMID- 22089662
TI - Standardisation and harmonisation boost the credibility of nuclear medicine
procedures.
PMID- 22089663
TI - VSL#3 probiotics regulate the intestinal epithelial barrier in vivo and in vitro
via the p38 and ERK signaling pathways.
AB - Probiotics can play a role in enhancing intestinal barrier function. However, the
underlying mechanisms are not fully understood. The aim of this study was to
examine the effects of VSL#3 probiotics on colonic epithelium permeability, tight
junction protein expression and MAPKs signaling pathways in vivo and in vitro. In
vivo, acute colitis was induced by administration of 3.5% dextran sodium sulfate
for 7 days. Rats in two groups were treated with either 15 mg VSL#3 or placebo
via a gastric tube once daily after induction of colitis. Tight junction protein
expression and the MAPKs signaling pathways were studied by immunohistochemistry
and immunoblotting. In vitro, HT-29 cells were exposed to TNF-alpha for up to 48
h with or without pre-treatment with a p38 MAPK inhibitor, an ERK inhibitor or a
JNK inhibitor. Then tight junction proteins and the phosphorylation of MAPKs were
examined in the presence or absence of VSL#3. In vivo, VSL#3 probiotics
significantly ameliorated the disease activity index from Day 4 onward. In acute
colitis rats, decreased expression of the tight junction proteins were observed,
whereas VSL#3 therapy prevented these changes and increased the expression of
phosphorylated p38 (P-p38), and of phosphorylated ERK (P-ERK). In vitro, tight
junction proteins, P-p38 and P-ERK in the VSL#3 group were significantly higher
than in the control and TNF-alpha groups. The p38 MAPK inhibitor and the ERK
inhibitor could effectively prevent this effect. VSL#3 probiotics protected the
epithelial barrier and increased the tight junction protein expression in vivo
and in vitro by activating the p38 and ERK signaling pathways.
PMID- 22089664
TI - C-X...pi halogen and C-H...pi hydrogen bonding: interactions of CF3X (X = Cl, Br,
I or H) with ethene and propene.
AB - Using FTIR and Raman spectroscopy, the formation of halogen bonded complexes of
the trifluorohalomethanes CF(3)Cl, CF(3)Br and CF(3)I with ethene and propene
dissolved in liquid argon has been investigated. For CF(3)Br and CF(3)I, evidence
was found for the formation of C-X...pi halogen bonded 1:1 complexes. At a higher
ratio of CF(3)I/propene, weak absorptions due to a 2:1 complex were also
observed. Using spectra recorded at different temperatures, the complexation
enthalpies for the complexes were determined to be -5.3(2) kJ mol(-1) for
CF(3)Br.ethene, -7.5(2) kJ mol(-1) for CF(3)I.ethene, -5.6(1) kJ mol(-1) for
CF(3)Br.propene, -8.8(1) kJ mol(-1) for CF(3)I.propene and -16.5(6) kJ mol(-1)
for (CF(3)I.)(2)propene. The complexation enthalpies of the hydrogen bonded
counterparts, with CF(3)H as the Lewis acid, were determined to be -4.6(4) kJ
mol(-1) for CF(3)H.ethene and -5.1(2) kJ mol(-1) for CF(3)H.propene. For both
hydrogen bonded complexes, a blue shift, by +4.8 and +4.0 cm(-1), respectively,
was observed for the C-H stretching mode. The results from the cryospectroscopic
study are compared with ab initio calculations at the MP2/aug-cc-pVDZ(-PP) level.
PMID- 22089665
TI - Role of the Fc region in CD70-specific antibody effects on cardiac transplant
survival.
AB - BACKGROUND: The role of the CD70-specific antibody and the mechanisms by which it
extends transplant survival are not known. METHODS: Fully major
histocompatibility complex-mismatched heterotopic heart transplantation (BALB/c
to C57BL/6) was performed. Treated mice received intraperitoneal injections of
wild-type (WT) CD70-specific antibody (FR70) or IgG1 or IgG2a chimeric antibodies
on days 0, 2, 4, and 6 posttransplantation. RESULTS: WT FR70 antibody
significantly extended heart transplant survival to 19 days compared with
untreated mice (median survival time [MST]=10 days). Graft survival using the
nondepleting IgG1 antibody was significantly shorter (MST=14 days), whereas the
survival using depleting IgG2a antibody (MST=18) was similar to that using WT
FR70. The FR70 and IgG2a antibodies demonstrated a greater efficiency of fixing
mouse complement over the IgG1 variant in vitro. CD4 and CD8 T-cell graft
infiltration was reduced with treatment; however, this was most pronounced with
WT FR70 and IgG2a antibody therapy compared with the IgG1 chimeric variant.
Circulating donor-specific IgG alloantibodies were initially reduced with WT FR70
treatment (day 8 posttransplantation) but increased at days 15 and 20
posttransplantation to the level detected in untreated controls. CONCLUSION: We
conclude that WT (FR70) and the IgG2a depleting variant of CD70-specific antibody
reduce graft infiltrating CD4 and CD8 T cells, transiently reduce serum
alloantibody levels, and extend graft survival. In contrast, the nondepleting
IgG1 variant of this antibody showed lower efficacy. These data suggest that a
depleting mechanism of action and not merely costimulation blockade plays a
substantial role in the therapeutic effects of CD70-specific antibody.
PMID- 22089667
TI - [An unusual outbreak of histoplasmosis in residents of the state of Mexico].
AB - OBJECTIVE: The aim of this study was to establish whether there was a
histoplasmosis outbreak among a group of residents of Naucalpan (State of Mexico,
a non-endemic area for histoplasmosis) and to ascertain the source through which
they were infected. MATERIAL AND METHODS: Anyone associated with the Index Case
in the same period with a flu-like infection was considered as a suspected case.
Diagnosis was confirmed by clinical examination positive, cultures and positive
immunological tests. Date and form of potential exposure were obtained through
interviews. Material potentially contaminated with bird or bat droppings was
sought and analyzed by PCR. RESULTS: The outbreak was associated with a trip to
El Tamarindo (Veracruz, near the Gulf of Mexico). Patients got sick after digging
a hole in the floor inside a house where a treasure had been supposedly buried by
a death relative. The pathogen was detected in soil samples at 10 cm below the
surface. CONCLUSIONS: The study showed that patients contracted histoplasmosis in
El Tamarindo, a community where there had been no prior cases of this disease.
PMID- 22089668
TI - [Impact of problem-based learning on the cognitive processes of medical
students].
AB - The problem based learning (PBL) method was conceived as a teaching strategy to
be applied in different areas or disciplines, thus several universities adopted
it, among which was the Universidad Nacional Autonoma de Mexico, best known as
UNAM. The Faculty of Medicine of UNAM implemented this innovative teaching method
of medicine for its learner-centered educational method tendency (patient
centered practice). This method develops also several abilities in the students,
such as active and significant learning, seeking and data selection and
autoanalysis and synthesis, knowledge integration of, mind's logic for the
detection and solution of problems, among others. The aim was to evaluate the PBL
impact on pedagogical and cognitive processes through the students' critical
thinking and the significance of the experience on students and teachers which
were working with PBL; in addition, study strategies and self-regulation in
students were considered variables for the study. Our findings indicated that PBL
was perceived differently among students and teachers, and it influenced in a
positive and significant way the students' perception to solve problems and their
motivation to achieve a good academic performance (p < 0.005). These results
suggest that PBL is a useful strategy in the teaching and learning process and it
is clear that the pedagogical process and other variables can potentially
influence the results obtained.
PMID- 22089666
TI - Insulin degradation by acinar cell proteases creates a dysfunctional environment
for human islets before/after transplantation: benefits of alpha-1 antitrypsin
treatment.
AB - BACKGROUND: Pancreatic acinar cells are commonly cotransplanted along with islets
during auto- and allotransplantations. The aims of this study were to identify
how acinar cell proteases cause human islet cell loss before and after
transplantation of impure islet preparations and to prevent islet loss and
improve function with supplementation of alpha-1 antitrypsin (A1AT). METHODS:
Acinar cell protease activity, insulin levels, and percent islet loss were
measured after culture of pure and impure clinical islet preparations. The effect
of proteases on ultrastructure of islets and beta-cell insulin granules were
examined by transmission electron microscopy. The number of insulin granules and
insulin-labeled immunogold particles were counted. The in vivo effect of
proteases on islet function was studied by transplanting acinar cells adjacent to
islet grafts in diabetic mice. The effects of A1AT culture supplementation on
protease activity, insulin levels, and islet function were assessed in pure and
impure islets. RESULTS: Islet loss after culture was significantly higher in
impure relative to pure preparations (30% vs. 14%, P<0.04). Lower islet purity
was associated with increased protease activity and decreased insulin levels in
culture supernatants. Reduced beta-cell insulin granules and insulin degradation
by proteases were confirmed by transmission electron microscopy. Transplantations
in mice showed delayed islet graft function when acinar cells were transplanted
adjacent to the islets under the kidney capsule. Supplementation of A1AT to
impure islet cultures maintained islet cell mass, restored insulin levels, and
preserved islet functional integrity. CONCLUSION: Culture of impure human islet
fractions in the presence of A1AT prevents insulin degradation and improves islet
recovery.
PMID- 22089669
TI - [Familial homozygous hypercholesterolemia due to the c2271delT mutation in the
LDL receptor gene, detected exclusively in Mexicans].
AB - We present the case of an 18-years old women with homozygous familial
hypercholesterolemia in which a LDL receptor mutation (c2271delT) was found. This
mutation has been informed only in Mexicans. The patient was born in Oaxaca,
Mexico. She has atypical location of tendinous and tuberous xanthomata, coronary
atherosclerosis and multiple valve involvement. The response to ezetimibe/high
dose statin therapy was poor. This case is an example of the occurrence of
homozygous forms of familial hypercholesterolemia in genetically isolated
populations of Mexico.
PMID- 22089670
TI - [Comprehensive model of care for highly specialized hospitals].
AB - In August 2007 the committee was installed to guide and direct medical management
to the satisfaction and needs of medical equipment as special ingredient in the
pursuit of quality health services that should be granted to the population.
PMID- 22089671
TI - [Conceptual role on team work].
AB - The main purpose of this presentation is to provide basic information on the
operational and structural design of future health institutions. The analysis
should take into account the double purpose of the medical practice: technology
and humanism.
PMID- 22089672
TI - [Teaching and research in high specialty hospitals].
AB - Education and research are strategic activities leading to development and
progress of a hospital, so planning on this matter is fundamental, both in terms
of structure and infrastructure. Investment on faculty development and on
researchers should be considered beyond the short term. Education should respond
to the necessities and research to health priorities through formal agreements
with universities and institutes.
PMID- 22089673
TI - [Hospital and organizational dynamics of the National Institutes of Health.
Relationship with high-specialty hospitals].
AB - In order to primarily encourage medical care, teaching and research activities in
high specialty regional hospitals (HSRH), a number of strategies are explored to
increase the number of patients cared for, improve the quality and timeliness of
care and successfully integrate the function of these hospitals within the care
and patient flow model expected by the Federal Government. These strategies
include the use of information technology systems as platforms for telemedicine,
including tele-imaging, tele-education and telepathology, thus fostering the
quality and timeliness of medical care and narrow the relationship between these
HSRH with the National Health Institutes. Other strategies such as extra-mural
surgery, specific theme workshops, resident rotations, the use of simulators and
"Science Weeks" are also explored so as to promote teaching and research.
Finally, the reference and counter-reference system and the introduction of
pension programs are evaluated as possible strategies supporting resource
management.
PMID- 22089674
TI - [Monitoring evaluation system for high-specialty hospitals].
AB - Hospital evaluation is a fundamental process to identify medical units' objective
compliance, to analyze efficiency of resource use and allocation, institutional
values and mission alignment, patient safety and quality standards, contributions
to research and medical education, and the degree of coordination among medical
units and the health system as a whole. We propose an evaluation system for
highly specialized regional hospitals through the monitoring of performance
indicators. The following are established as base thematic elements in the
construction of indicators: safe facilities and equipment, financial situation,
human resources management, policy management, organizational climate, clinical
activity, quality and patient safety, continuity of care, patients' and
providers' rights and obligations, teaching, research, social responsibility,
coordination mechanisms. Monitoring refers to the planned and systematic
evaluation of valid and reliable indicators, aimed at identifying problems and
opportunity areas. Moreover, evaluation is a powerful tool to strengthen decision
making and accountability in medical units.
PMID- 22089675
TI - [Performance and remuneration of medical staff].
AB - The creation of highly specialized hospitals projected by the Federal Ministry of
Health, under an innovative financing model, offers the ideal place to implement
a new project to fulfill medical management needs and characteristics. The goal
is to improve the quality of health care through physician satisfaction. The
committee's proposals are geared primarily to direct medical management to the
satisfaction, desires, and needs of the medical team as an essential ingredient
in the pursuit of quality and warmth in health services that should be granted to
the population.
PMID- 22089676
TI - [First case of HIV-1 subtype C infection in Mexico].
AB - We herein report the first case of HIV-1 subtype C described in Mexico, which was
detected in a South African patient who died in Mexico of an AIDS-related non
Hodgkin lymphoma. Although HIV-1 subtype B is the predominant virus circulating
in Mexico, the case reported highlights the importance of molecular monitoring of
the spreading of HIV-1 subtypes.
PMID- 22089677
TI - [Intrapancreatic lipoma: a rare mesenquimatous tumor with an uncertain
physiopathogenesis].
AB - Intrapancreatic lipoma is a mesenquimal tumor that currently is still considered
a strange entity. There are numerous hypotheses about its pathophysiology;
however, none has been clarified. Diagnosis is usually incidental, and computed
tomography has been performed for other indications, with high sensibility and
specificity, making histopathology confirmation unnecessary, hence suggesting
image-follow up solely.
PMID- 22089678
TI - [A rare case of colorectal micropapillary carcinoma].
AB - Micropapillary carcinoma is regarded as an aggressive variant of adenocarcinoma
in any location. It is histologically characterized by papillary cell clusters
surrounded by clear spaces. The reported proportion of micropapillary carcinoma
component in the entire tumor ranges from 5-80% and no pure cases have been
reported. To date, there are approximately 130 cases reported in the colorectum.
We recently examined a patient with a pure micropapillary carcinoma showing co
expression of CK7, CK20, and absence of CDX2, which had an aggressive tumor with
extensive perineural, vascular, and lymphatic invasion as well as extensive nodal
metastasis. The presence of a micropapillary carcinoma in the colorectum seemed
to be closely related with nodal metastasis, as observed in micropapillary
carcinomas from other organs. Thus, if a micropapillary component is identified
in a tumor, particularly in a biopsy specimen, extensive surgical resection
should be considered due to the high potential for nodal metastasis, even if the
preoperative diagnosis is a pedunculated early colorectal cancer.
PMID- 22089679
TI - [Agueda of Catania: the patron saint of patients with breast diseases].
AB - For those suffering from breast cancer, Agatha is their patron saint. She was a
beautiful Christian maiden, from Catania, Sicily, who dedicated her life to God.
As she rejected the love proposals of consul Quintiliano, she suffered cruel
tortures. One of the tortures she suffered was to have her breast cut off, with
iron shears, a detail that furnished to the Christian medieval iconography, the
peculiar characteristic of Agatha. Catania honors Agatha as her patron saint and
throughout the region around Mt. Etna. Saint Agatha's feast day is February 5.
All of those dedicated to the treatment and prevention of breast cancer are known
as "the soldiers of Saint Agatha".
PMID- 22089681
TI - Tobacco epidemic in South-East Asia region: challenges and progress in its
control.
PMID- 22089682
TI - Tobacco use: a major risk factor for non communicable diseases in South-East Asia
region.
AB - Tobacco use is a serious public health problem in the South East Asia Region
where use of both smoking and smokeless form of tobacco is widely prevalent. The
region has almost one quarter of the global population and about one quarter of
all smokers in the world. Smoking among men is high in the Region and women
usually take to chewing tobacco. The prevalence across countries varies
significantly with smoking among adult men ranges from 24.3% (India) to 63.1%
(Indonesia) and among adult women from 0.4% (Sri Lanka) to 15% (Myanmar and
Nepal). The prevalence of smokeless tobacco use among men varies from 1.3%
(Thailand) to 31.8% (Myanmar), while for women it is from 4.6% (Nepal) to 27.9%
(Bangladesh). About 55% of total deaths are due to Non communicable diseases
(NCDs) with 53.4% among females with highest in Maldives (79.4%) and low in Timor
Leste (34.4%). Premature mortality due to NCDs in young age is high in the region
with 60.7% deaths in Timor Leste and 60.6% deaths in Bangladesh occurring below
the age of 70 years. Age standardized death rate per 100,000 populations due to
NCDs ranges from 793 (Bhutan) and 612 (Maldives) among males and 654 (Bhutan) and
461 (Sri Lanka) among females respectively. Out of 5.1 millions tobacco
attributable deaths in the world, more than 1 million are in South East Asia
Region (SEAR) countries. Reducing tobacco use is one of the best buys along with
harmful use of alcohol, salt reduction and promotion of physical activity for
preventing NCDs. Integrating tobacco control with broader population services in
the health system framework is crucial to achieve control of NCDs and sustain
development in SEAR countries.
PMID- 22089683
TI - Social, economic and legal dimensions of tobacco and its control in South-East
Asia region.
AB - This paper examines the social, cultural, economic and legal dimensions of
tobacco control in the South-East Asia Region in a holistic view through the
review of findings from various studies on prevalence, tobacco economics, poverty
alleviation, women and tobacco and tobacco control laws and regulations. Methods
were Literature review of peer reviewed publications, country reports, WHO
publications, and reports of national and international meetings on tobacco and
findings from national level surveys and studies. Tobacco use has been a social
and cultural part of the people of South-East Asia Region. Survey findings show
that 30% to 60% of men and 1.8% to 15.6% of women in the Region use one or the
other forms of tobacco products. The complex nature of tobacco use with both
smoking and smokeless forms is a major challenge for implementing tobacco control
measures. Prevalence of tobacco use is high among the poor and the illiterate. It
is higher among males than females but studies show a rising trend among girls
and women due to intensive marketing of tobacco products by the tobacco industry.
Tobacco users spend a huge percent of their income on tobacco which deprives them
and their families of proper nutrition, good education and health care. Some
studies of the Region show that cost of treatment of diseases attributable to
tobacco use was more than double the revenue that governments received from
tobacco taxation. Another challenge the Region faces is the application of
uniform tax to all forms of tobacco, which will reduce not only the availability
of tobacco products in the market but also control people switching over to
cheaper tobacco products. Ten out of eleven countries are Parties to the WHO
Framework Convention on Tobacco Control and nine countries have tobacco control
legislation. Enforcement of control measures is weak, particularly in areas such
as smoke-free environments, advertisement at the point of sale and sale of
tobacco to minors. Socio-cultural acceptance of tobacco use is still a major
challenge in tobacco control efforts for the governments and stakeholders in the
South-East Asia Region. The myth that chewing tobacco is less harmful than
smoking tobacco needs to be addressed with public awareness campaigns. Advocacy
on the integration of tobacco control with poverty alleviation campaigns and
development programs is urgently required. Law enforcement is a critical area to
be strengthened and supported by WHO and the civil society organizations working
in the area of tobacco control.
PMID- 22089684
TI - Tobacco use among youth and adults in member countries of South-East Asia region:
review of findings from surveys under the Global Tobacco Surveillance System.
AB - BACKGROUND: This paper examines the prevalence of current tobacco use among youth
and adults in selected member countries of the South-East Asia Region using the
data from school and household-based surveys included in the Global Tobacco
Surveillance System. MATERIALS AND METHODS: Global Youth Tobacco Survey (GYTS)
data (years 2007-2009) were used to examine current tobacco use prevalence among
youth, whereas Global Adult Tobacco Survey (GATS) data (years 2009-2010) were
used to examine the prevalence among adults. GYTS is a school-based survey of
students aged 13-15, using a two-stage cluster sample design, and GATS is a
household survey of adults age 15 and above using a multi-stage stratified
cluster design. Both surveys used a standard protocol for the questionnaire, data
collection and analysis. RESULTS: Prevalence of current tobacco use among
students aged 13-15 varied from 5.9% in Bangladesh to 56.5% in Timor-Leste, and
the prevalence among adults aged 15 and above was highest in Bangladesh (43.3%),
followed by India (34.6%) and Thailand (27.2%). Reported prevalence was
significantly higher among males than females for adults and youth in all
countries except Bangladesh, Sri Lanka and Timor-Leste. Current use of tobacco
other than manufactured cigarettes was notably higher than current cigarette
smoking among youth aged 13-15 years in most countries of the Region, while the
same was observed among adults in Bangladesh, India and Thailand, with most women
in those countries, and 49% of men in India, using smokeless tobacco. CONCLUSION:
Tobacco use among youth and adults in member countries of the region is high and
the pattern of tobacco consumption is complex. Tobacco products other than
cigarettes are commonly used by youth and adults, as those products are
relatively cheaper than cigarettes and affordable for almost all segments of the
population. As a result, use of locally produced smoked and smokeless tobacco
products is high in the region. Generating reliable data on tobacco use and key
tobacco control measures at regular intervals is essential to better understand
and respond with effective tobacco control intervention.
PMID- 22089685
TI - Evidence building for policy: tobacco surveillance/surveys and research in India.
AB - India is at a crucial juncture relating to tobacco control and implementing the
recommendations of the WHO FCTC. Tobacco consumption in the country remains
alarmingly high in urban as well as rural areas. Smokeless tobacco consumption is
very popular among larger masses, including the youth. Cigarette smoking has
declined, but bidi use has increased concomitantly. Youth continue to be lured to
initiate tobacco consumption through efficient marketing strategies of tobacco
companies. The epidemiology of tobacco consumption is markedly varied across the
country, with high rates in 15 States. Progress has been made towards tobacco
control by the enactment of laws and regulations and the National Tobacco Control
Program. Strengthening their implementation and enforcement is the biggest
challenge and requires resource inputs. Evidence generation and its translation
and utilisation for policy interventions would be useful.
PMID- 22089686
TI - WHO framework convention on tobacco control and its implementation in South-East
Asia region.
AB - The birth of the WHO Framework Convention on Tobacco Control (WHO FCTC) took
place in response to the global tobacco epidemic and it became the most important
global tobacco control instrument. Duly recognizing tobacco use as an important
public health problem and in the wake of rising prevalence of and mortality
related to tobacco use, almost all Member States of the South-East Asia Region
signed and ratified the WHO FCTC. Following the ratification, Member countries
have enacted comprehensive national tobacco control laws and regulations. Most
countries have covered some important provisions, such as tax and price measures,
smoke-free places, health warnings, a ban on tobacco advertising and promotion,
and a ban on tobacco sales to minors. In spite of innumerable constraints and
challenges, particularly human, infrastructural and financial resources, Member
countries have been doing their best to enforce those legislations and
regulations as effectively as possible. In order to educate the general public on
the harmful effects of tobacco, mass health campaigns have been organized which
are being continued and sustained. However, some of the important areas that need
attention in due course of time are tax raises, illicit trade, tobacco industry
interference and alternate cropping systems. All Member States in the Region are
striving harder to achieving the goals and provisions of the Framework Convention
through actively engaging all relevant sectors and addressing the tobacco issue
holistically, and thus protecting the present and future generations from the
devastating health, social, economic and environmental consequences of tobacco
consumption and exposure to tobacco smoke.
PMID- 22089687
TI - Second-hand smoke: a neglected public health challenge.
AB - Exposure to secondhand smoke (SHS) causes an estimated 5% of the global burden of
disease, slightly higher than the burden from direct use of tobacco. This review
highlights the urgent need to address this ignored public health issue by
presenting the evidence and impact of SHS on those exposed using global studies
including those from the South-East Asia Region. The burden of morbidity from SHS
exposure is higher in low-income countries in Southeast Asia region compared to
the rest of the world. SHS exposure affects those most vulnerable, especially
women and children. While several countries in the region have enacted
legislation which offer protection to those exposed to SHS, most measures are
partial and inadequate. As a result, implementation and compliance at national
and sub-national level within the countries of the Southeast Asia region is
variable. Governments must ensure that legislation mandates comprehensive smoke
free environments in order to provide public health benefit which offers
universal protection to everyone and everywhere. Where comprehensive legislation
exists, stringent implementation and enforcement, along with awareness building,
education and monitoring through regular compliance studies must be done to
sustain smokefree status of public places within jurisdictions.
PMID- 22089688
TI - Smokeless tobacco: a major public health problem in the SEA region: a review.
AB - Smokeless tobacco use is on the upswing in some parts of the world, including
parts of SEAR. It is therefore important to monitor this problem and understand
the possible consequences on public health. Material for this review was obtained
from documents and data of the World Health Organization, co-authors, colleagues,
and searches on key words in PubMed and on Google. Smokeless tobacco use in SEAR,
as betel quid with tobacco, declined with increased marketing of cigarettes from
the early twentieth century. Smokeless tobacco use began to increase in the 1970s
in South Asia, with the marketing of new products made from areca nut and tobacco
and convenient packaging. As a consequence, oral precancerous conditions and
cancer incidence in young adults have increased significantly. Thailand's
successful policies in reducing betel quid use through school health education
from the 1920s and in preventing imports of smokeless tobacco products from 1992
are worth emulating by many SEAR countries. India, the largest manufacturing
country of smokeless tobacco in the Region, is considering ways to regulate its
production. Best practices require the simultaneous control of smokeless and
smoking forms of tobacco. Governments in SEAR would do well to adopt strong
measures now to control this problem.
PMID- 22089689
TI - Exposure to tobacco smoke among adults in Bangladesh.
AB - OBJECTIVE: To examine exposure to second-hand smoke (SHS) at home, in workplace,
and in various public places in Bangladesh. MATERIALS AND METHODS: Data from 2009
Global Adult Tobacco Survey (GATS) conducted in Bangladesh was analyzed. The data
consists of 9,629 respondents from a nationally representative multi-stage
probability sample of adults aged 15 years and above. Exposure to second-hand
smoke was defined as respondents who reported being exposed to tobacco smoke in
the following locations: Indoor workplaces, homes, government building or office,
health care facilities, public transportation, schools, universities,
restaurants, and cafes, coffee shops or tea houses. Exposure to tobacco smoke in
these places was examined by gender across various socioeconomic and demographic
sub-groups that include age, residence, education and wealth index using SPSS
17.0 for complex samples. RESULTS: The study shows high prevalence of SHS
exposure at home and in workplace and in public places. Exposure to SHS among
adults was reported high at home (54.9%) (male-58.2% and female-51.7%), in
workplace (63%) (male-67.8% and female-30.4%), and in any public place (57.8%)
(male-90.4% and female-25.1%) 30 days preceding the survey. Among the public
places examined exposure was low in the educational institutions (schools-4.3%)
and health care facilities (5.8%); however, exposure was high in public
transportation (26.3%), and restaurants (27.6%). SHS exposure levels at home, in
workplace and public places were varied widely across various socioeconomic and
demographic sub-groups. CONCLUSIONS: Exposure was reported high in settings
having partial ban as compared to settings having a complete ban. Following the
WHO FCTC and MPOWER measures, strengthening smoke-free legislation may further
the efforts in Bangladesh towards creating and enforcing 100% smoke-free areas
and educating the public about the dangers of SHS. Combining these efforts can
have a complementary effect on protecting the people from hazardous effect of SHS
as well as reducing the social acceptance of smoking both at home and in public
and workplaces. Ongoing surveillance in Bangladesh is necessary to measure
progress towards monitoring SHS exposure.
PMID- 22089690
TI - Tobacco control policies in India: implementation and challenges.
AB - Tobacco use is a major public health challenge in India with 275 million adults
consuming different tobacco products. Government of India has taken various
initiatives for tobacco control in the country. Besides enacting comprehensive
tobacco control legislation (COTPA, 2003), India was among the first few
countries to ratify WHO the Framework Convention on Tobacco Control (WHO FCTC) in
2004. The National Tobacco Control Programme was piloted during the 11 th Five
Year Plan which is under implementation in 42 districts of 21 states in the
country. The advocacy for tobacco control by the civil society and community led
initiatives has acted in synergy with tobacco control policies of the Government.
Although different levels of success have been achieved by the states, non
prioritization of tobacco control at the sub national level still exists and
effective implementation of tobacco control policies remains largely a challenge.
PMID- 22089691
TI - Tobacco control: lessons learnt in Thailand.
AB - This review of legislation, obstacles faced, and challenges to be met, outlines
present tobacco control lessons learnt in Thailand. A review of over twenty years
of tobacco control experience in Thailand is provided in seven areas including
policy formulation and the role of civil society, as well as in essential WHO
Framework Convention on Tobacco Control areas. A descriptive, historical review
shows how stakeholders, policies and resources were mobilized in Thailand, and
what lessons resource-challenged countries might use from the Thai experience.
PMID- 22089692
TI - Local governments and civil society lead breakthrough for tobacco control:
lessons from Chandigarh and Chennai.
AB - Smoke-free legislation is gaining popularity; however, it must accompany
effective implementation to protect people from secondhand smoke (SHS) which
causes 600,000 deaths annually. Increasing numbers of smoke-free cities in the
world indicate that municipalities have an important role in promoting smoke-free
environments. The objectives were to describe the local initiative to promote
smoke-free environments and identify the key factors that contributed to the
process. Observations were based on a case study on the municipal smoke-free
initiatives in Chandigarh and Chennai, India. India adopted the Cigarette and
Other Tobacco Products Act in 2003, the first national tobacco control law
including smoke-free provisions. In an effort to enforce the Act at the local
level, a civil society organization in Chandigarh initiated activities urging the
city to support the implementation of the provisions of the Act which led to the
initiation of city-wide law enforcement. After the smoke-free declaration of
Chandigarh in 2007, Chennai also initiated a smoke-free intervention led by civil
society in 2008, following the strategies used in Chandigarh. These experiences
resonate with other cases in Asian cities, such as Jakarta, Davao, and Kanagawa
as well as cities in other areas of the world including Mexico City, New York
City, Mecca and Medina. The cases of Chandigarh and Chennai demonstrate that
civil society can make a great contribution to the enforcement of smoke-free laws
in cities, and that cities can learn from their peers to protect people from SHS.
PMID- 22089694
TI - Two-stage optimization of a supramolecular catalyst for catalytic asymmetric
hydroboration.
AB - Systematic changes, first to the structure of the catalyst scaffold and then to
the ligating groups, are used to fine tune supramolecular catalysts to achieve
high regioselectivity (95-98%) and high enantioselectivity (94-97% ee) across a
series of meta-substituted styrenes varying in electronic character.
PMID- 22089693
TI - In vitro and in vivo hepatoprotective and antioxidant effects of Astragalus
polysaccharides against carbon tetrachloride-induced hepatocyte damage in common
carp (Cyprinus carpio).
AB - The present study is aiming at evaluating the hepatoprotective and antioxidant
effects of Astragalus polysaccharide (APS) on the carbon tetrachloride (CCl(4))
induced hepatocyte and liver injury in common carp in vitro and in vivo. In
vitro, APS (200, 400 and 800 MUg/ml) was added to the carp primary hepatocytes
before (pre-treatment), after (post-treatment) and both before and after (pre-
and post-treatment) the incubation of the hepatocytes with CCl(4) at 8 mM in the
culture medium. APS at concentrations of 200, 400 and 800 MUg/ml significantly
improved cell viability and inhibited the elevation of glutamate pyruvate
transaminase (GPT), glutamate oxalate transaminase (GOT), lactate dehydrogenase
(LDH) and malondialdehyde (MDA) and significantly increased the reduced level of
superoxide dismutase (SOD). In vivo administration of APS at the doses of 1.5 and
3 g/kg in the diet for 60 days prior to CCl(4) intoxication significantly reduced
the elevated activities of GPT, GOT and LDH and increased the reduced levels of
total protein and albumin in the serum; meanwhile, the reduced levels of SOD,
glutathione and total antioxidant capacity (T-AOC) were markedly increased and
the MDA formation was significantly inhibited in liver tissue. Overall results
proved the hepatoprotective action of APS, which is likely related to its
antioxidant activity. The results support the use of APS as a hepatoprotective
and antioxidant agent in fish.
PMID- 22089695
TI - Osteoplastic bone metastasis in esophageal squamous cell cancer: report of a
case.
AB - This report presents a case of esophageal squamous cell cancer with osteoplastic
bone metastasis. A 58-year-old male patient underwent multimodality treatment for
esophageal cancer. Sclerotic changes resembling bone metastasis from prostate
cancer were detected in the 4th thoracic and the 5th lumber vertebral body soon
after the adjuvant chemoradiotherapy. Systemic examinations revealed no primary
cancer as a cause of osteoplastic bone metastasis and no esophageal cancer
recurrence. A needle biopsy revealed metastases of esophageal squamous cell
cancer with osteoplastic changes. Multiple sclerotic changes were detected in the
systemic bones at that time, and new carcinomatous bilateral pleural effusion
developed. The drastic systemic progression of the cancer caused the rapid
deterioration of the patient's general condition.
PMID- 22089696
TI - Parenchyma-preserving hepatic resection for colorectal liver metastases.
AB - BACKGROUND: Hepatic resection of colorectal liver metastases is the only curative
treatment option. As clinical and experimental data indicate that the extent of
liver resection correlates with growth of residual metastases, the present study
analyzes the potential benefit of a parenchyma-preserving liver surgery approach.
METHODS: Data from a prospectively maintained database of patients undergoing
liver resection for colorectal metastases were reviewed. Evaluation of outcome
was performed using the Kaplan-Meier method. Correlations were calculated between
clinical-pathological variables. RESULTS: One hundred sixty-three patients
underwent 198 liver resections for colorectal metastases: 26 major hepatectomies,
65 minor anatomical resections, 78 non-anatomical resections, as well as 29
combinations of minor anatomical and non-anatomical procedures. Overall 1-, 3-,
and 5-year survival was 93%, 62%, and 40%, respectively. Patients with repeated
liver resections had a 5-year survival of 27%. Interestingly, large dissection
areas were associated with a significant reduction of the 5-year survival rate
(33%). Five-year survival after major hepatectomy was not significantly reduced.
CONCLUSION: For colorectal liver metastases, minor resections offer a prolonged
survival compared to major hepatectomies. As patients with stage IV colorectal
disease are candidates for repeat resections, preservation of hepatic parenchyma
is of increasing importance in the setting of multi-modal and repeated therapy
approaches.
PMID- 22089697
TI - How neurons make us jump: the neural control of stretch-shortening cycle
movements.
AB - How can the human central nervous system (CNS) control complex jumping movements
task- and context-specifically? This review highlights the complex interaction of
multiple hierarchical levels of the CNS, which work together to enable stretch
shortening cycle contractions composed of activity resulting from feedforward
(preprogrammed) and feedback (reflex) loops.
PMID- 22089699
TI - DABCO-catalyzed regioselective cyclization reactions of beta,gamma-unsaturated
alpha-ketophosphonates or beta,gamma-unsaturated alpha-ketoesters with allenic
esters.
AB - Highly efficient DABCO-catalyzed [4 + 2] cycloaddition of beta,gamma-unsaturated
alpha-ketophosphonates or beta,gamma-unsaturated alpha-ketoesters with allenic
esters gives the corresponding highly functionalized tetrahydropyran and
dihydropyran derivatives in good to excellent yields and moderate to good
regioselectivities under mild conditions.
PMID- 22089698
TI - cMyBP-C as a promiscuous substrate: phosphorylation by non-PKA kinases and its
potential significance.
AB - It is now generally accepted that phosphorylation of cMyBP-C is critically
important in maintaining normal cardiac function. Although much of the work to
date on phospho-regulation of cMyBP-C has focused on the role of protein kinase A
(PKA, also known as cAMP-dependent protein kinase), recent evidence suggests that
a number of non-PKA serine/threonine kinases, such as Ca(2+)/calmodulin-dependent
protein kinase II, protein kinase C, protein kinase D and the 90-kDa ribosomal S6
kinase are also capable of targeting this key regulatory sarcomeric protein. This
article reviews such evidence and proposes a hypothetical role for some of the
pertinent signalling pathways in phospho-regulation of cMyBP-C in the setting of
heart failure.
PMID- 22089700
TI - Measuring impulsivity in mice: the five-choice serial reaction time task.
AB - RATIONALE: Mice are useful tools for dissecting genetic and environmental factors
in relation to the study of attention and impulsivity. The five-choice serial
reaction time task (5CSRTT) paradigm has been well established in rats, but its
transferability to mice is less well documented. OBJECTIVES: This study aims to
summarise the main results of the 5CSRTT in mice, with special focus on
impulsivity. METHODS: The 5CSRTT can be used to explore aspects of both
attentional and inhibitory control mechanisms. RESULTS: Different manipulations
of the task parameters can lead to different results; adjusting the protocol as a
function of the main variable of interest or the standardisation of the protocol
to be applied to a large set of strains will be desirable. CONCLUSIONS: The
5CSRTT has proven to be a useful tool to investigate impulsivity in mice.
PMID- 22089701
TI - Utility of screening for chronic granulomatous disease in patients with
inflammatory bowel disease.
AB - Chronic granulomatous disease (CGD), a genetically heterogeneous primary X-linked
or autosomal recessive immunodeficiency, can manifest with gastrointestinal
symptoms, including colitis or Crohn's disease. The frequency of CGD carriers
among those with chronic colitis/inflammatory bowel disease is unknown. We
underwent a pilot study examining the value of prospectively screening patients
with chronic colitis/inflammatory bowel disease (IBD) for either CGD or the
carrier state of CGD. No carriers of CGD or patients with CGD were detected among
120 patients. Three patients had inconclusive results and the assay was normal on
repeat testing. We conclude that routine screening for CGD was not instructive in
this cohort of chronic colitis or IBD patients.
PMID- 22089702
TI - 'I didn't really like it, but it sounded exciting': admission to hospital for
surgery from the perspectives of children.
AB - It is a mark of respect for children that their views around their healthcare are
listened to and considered. To date, too little attention has been paid to
children's views and experiences of admission to hospital for surgery. This paper
presents findings from a constructivist grounded theory study of children's
experiences of admission to hospital for surgery (from their perspectives). Age
appropriate data collection techniques were used and included interviews with
children and their drawings and stories. Ten children, aged 6-12 years, who were
admitted to hospital for surgery participated in the research. The children were
able to clearly articulate aspects of their experience, including their fears and
anxieties, the things they enjoyed and the things that assisted them to cope with
their experience. The substantive theory developed was 'children's reframing of
their sense of selves to incorporate the experiences of hospitalization and
surgery'. Children require information and support to alleviate their anxieties
around the time of their surgery. With pressures on children's services,
increased day surgery rates and shorter admissions, nurses who care for children
around this time are challenged to find ways of knowing each child's story and
the fears that they have.
PMID- 22089703
TI - [The reamer-irrigator-aspirator (RIA) System].
AB - PROBLEM: Autologous bone transplantation is a treatment of choice in patients
with large bone defects. However, the iliac crest bone graft harvest is
associated with numerous limitations: low volume of graft, long operation times,
acute and chronic pain. SOLUTION: The reamer-irrigator-aspirator (RIA) system is
used to harvest large volumes of intramedullar bone graft for surgical procedures
that require bone graft, including non-unions, delayed union, and bone loss.
SURGICAL TECHNIQUE: The RIA device should be assembled prior to the surgical
procedure. The greater trochanter is used as entry point. Following the opening
of the trochanteric region, a guide wire should be centrally positioned within
the bone canal. Frequent fluoroscopic evaluation should be performed to assure
the central position of the guide wire. Apply the advance/withdraw/pause/advance
technique to maximize irrigation flow through the RIA. The guide wire could be
placed in newly desired position within the condyle of the femur if more bone
graft is required. While reaming, monitor the reaming head passage on both the
anteroposterior and lateral planes to avoid bone perforation or excessive
thinning. Remove the intramedullary bone graft from the graft filter.
POSTOPERATIVE MANAGEMENT: Cautious ambulation on the 2nd postoperative day. In
case of excessive bone thinning of the femur, partial weight bearing for 4-6
weeks is recommended. RESULTS: The RIA system allows large amounts (25-90 cm3) of
high quality bone graft to be harvested. This alternative technique is associated
with less donor site morbidity and lower rates of minor and major complications
when compared with conventional harvest methods (iliac crest).
PMID- 22089704
TI - A first-order magnetic phase transition near 15 K with novel magnetic-field
induced effects in Er5Si3.
AB - We present magnetic characterization of a binary rare-earth intermetallic
compound Er(5)Si(3), crystallizing in Mn(5)Si(3)-type hexagonal structure,
through magnetization, heat capacity, electrical resistivity and
magnetoresistance measurements. Our investigations confirm that the compound
exhibits two magnetic transitions with decreasing temperature, the first one at
35 K and the second one at 15 K. The present results reveal that the second
magnetic transition is a disorder-broadened first-order transition, as shown by
thermal hysteresis in the measured data. Another important finding is that, below
15 K, there is a magnetic-field-induced transition with a hysteretic effect with
the electrical resistance getting unusually enhanced at this transition and the
magnetoresistance is found to exhibit intriguing magnetic-field dependence,
indicating novel magnetic phase coexistence phenomenon. It thus appears that this
compound is characterized by interesting magnetic anomalies in the temperature
magnetic-field phase diagram.
PMID- 22089705
TI - The cover. Coronary artery occlusion.
PMID- 22089706
TI - A piece of my mind. Tall buildings.
PMID- 22089707
TI - Statin therapy in primary CVD prevention remains a hot-button topic for some.
PMID- 22089708
TI - Evidence fails to support ECG screening for those without heart disease symptoms.
PMID- 22089709
TI - Environmental pollutants tied to atherosclerosis.
PMID- 22089714
TI - Cardiovascular magnetic resonance imaging for diagnosis of stress cardiomyopathy.
PMID- 22089715
TI - Underuse of percutaneous coronary intervention.
PMID- 22089716
TI - Biologic therapies for chronic plaque psoriasis and cardiovascular events.
PMID- 22089717
TI - Cross-level bias and variations in care.
PMID- 22089718
TI - Effects of the CETP inhibitor evacetrapib administered as monotherapy or in
combination with statins on HDL and LDL cholesterol: a randomized controlled
trial.
AB - CONTEXT: Interest remains high in cholesteryl ester transfer protein (CETP)
inhibitors as cardioprotective agents. Few studies have documented the efficacy
and safety of CETP inhibitors in combination with commonly used statins.
OBJECTIVE: To examine the biochemical effects, safety, and tolerability of
evacetrapib, as monotherapy and in combination with statins, in patients with
dyslipidemia. DESIGN, SETTING, AND PARTICIPANTS: Randomized controlled trial
conducted among 398 patients with elevated low-density lipoprotein cholesterol
(LDL-C) or low high-density lipoprotein cholesterol (HDL-C) levels from April
2010 to January 2011 at community and academic centers in the United States and
Europe. INTERVENTIONS: Following dietary lead-in, patients were randomly assigned
to receive placebo (n = 38); evacetrapib monotherapy, 30 mg/d (n = 40), 100 mg/d
(n = 39), or 500 mg/d (n = 42); or statin therapy (n = 239) (simvastatin, 40
mg/d; atorvastatin, 20 mg/d; or rosuvastatin, 10 mg/d) with or without
evacetrapib, 100 mg/d, for 12 weeks. MAIN OUTCOME MEASURES: The co-primary end
points were percentage changes from baseline in HDL-C and LDL-C after 12 weeks of
treatment. RESULTS: The mean baseline HDL-C level was 55.1 (SD, 15.3) mg/dL and
the mean baseline LDL-C level was 144.3 (SD, 26.6) mg/dL. As monotherapy,
evacetrapib produced dose-dependent increases in HDL-C of 30.0 to 66.0 mg/dL
(53.6% to 128.8%) compared with a decrease with placebo of -0.7 mg/dL (-3.0%; P <
.001 for all compared with placebo) and decreases in LDL-C of -20.5 to -51.4
mg/dL (-13.6% to -35.9%) compared with an increase with placebo of 7.2 mg/dL
(3.9%; P < .001 for all compared with placebo). In combination with statin
therapy, evacetrapib, 100 mg/d, produced increases in HDL-C of 42.1 to 50.5 mg/dL
(78.5% to 88.5%; P < .001 for all compared with statin monotherapy) and decreases
in LDL-C of -67.1 to -75.8 mg/dL (-11.2% to -13.9%; P < .001 for all compared
with statin monotherapy). Compared with evacetrapib monotherapy, the combination
of statins and evacetrapib resulted in greater reductions in LDL-C (P <.001) but
no greater increase in HDL-C (P =.39). Although the study was underpowered, no
adverse effects were observed. CONCLUSIONS: Compared with placebo or statin
monotherapy, evacetrapib as monotherapy or in combination with statins increased
HDL-C levels and decreased LDL-C levels. The effects on cardiovascular outcomes
require further investigation. TRIAL REGISTRATION: clinicaltrials.gov Identifier:
NCT01105975.
PMID- 22089719
TI - Number of coronary heart disease risk factors and mortality in patients with
first myocardial infarction.
AB - CONTEXT: Few studies have examined the association between the number of coronary
heart disease risk factors and outcomes of acute myocardial infarction in
community practice. OBJECTIVE: To determine the association between the number of
coronary heart disease risk factors in patients with first myocardial infarction
and hospital mortality. DESIGN: Observational study from the National Registry of
Myocardial Infarction, 1994-2006. PATIENTS: We examined the presence and absence
of 5 major traditional coronary heart disease risk factors (hypertension,
smoking, dyslipidemia, diabetes, and family history of coronary heart disease)
and hospital mortality among 542,008 patients with first myocardial infarction
and without prior cardiovascular disease. MAIN OUTCOME MEASURE: All-cause in
hospital mortality. RESULTS: A majority (85.6%) of patients who presented with
initial myocardial infarction had at least 1 of the 5 coronary heart disease risk
factors, and 14.4% had none of the 5 risk factors. Age varied inversely with the
number of coronary heart disease risk factors, from a mean age of 71.5 years with
0 risk factors to 56.7 years with 5 risk factors (P for trend < .001). The total
number of in-hospital deaths for all causes was 50,788. Unadjusted in-hospital
mortality rates were 14.9%, 10.9%, 7.9%, 5.3%, 4.2%, and 3.6% for patients with
0, 1, 2, 3, 4, and 5 risk factors, respectively. After adjusting for age and
other clinical factors, there was an inverse association between the number of
coronary heart disease risk factors and hospital mortality adjusted odds ratio
(1.54; 95% CI, 1.23-1.94) among individuals with 0 vs 5 risk factors. This
association was consistent among several age strata and important patient
subgroups. CONCLUSION: Among patients with incident acute myocardial infarction
without prior cardiovascular disease, in-hospital mortality was inversely related
to the number of coronary heart disease risk factors.
PMID- 22089720
TI - Association of coronary CT angiography or stress testing with subsequent
utilization and spending among Medicare beneficiaries.
AB - CONTEXT: Coronary computed tomography angiography (CCTA) is a new noninvasive
diagnostic test for coronary artery disease (CAD), but its association with
subsequent clinical management has not been established. OBJECTIVE: To compare
utilization and spending associated with functional (stress testing) and
anatomical (CCTA) noninvasive cardiac testing in a Medicare population. DESIGN,
SETTING, AND PATIENTS: Retrospective, observational cohort study using claims
data from a 20% random sample of 2005-2008 Medicare fee-for-service beneficiaries
66 years or older with no claims for CAD in the preceding year, who received
nonemergent, noninvasive testing for CAD (n = 282,830). MAIN OUTCOME MEASURES:
Cardiac catheterization, coronary revascularization, acute myocardial infarction,
all-cause mortality, and total and CAD-related Medicare spending over 180 days of
follow-up. RESULTS: Compared with stress myocardial perfusion scintigraphy (MPS),
CCTA was associated with an increased likelihood of subsequent cardiac
catheterization (22.9% vs 12.1%; adjusted odds ratio [AOR], 2.19 [95% CI, 2.08 to
2.32]; P < .001), percutaneous coronary intervention (7.8% vs 3.4%; AOR, 2.49
[2.28 to 2.72]; P < .001), and coronary artery bypass graft surgery (3.7% vs
1.3%; AOR, 3.00 [2.63 to 3.41]; P < .001). CCTA was also associated with higher
total health care spending ($4200 [$3193 to $5267]; P < .001), which was almost
entirely attributable to payments for any claims for CAD ($4007 [$3256 to $4835];
P < .001). Compared with MPS, there was lower associated spending with stress
echocardiography (-$4981 [-$4991 to -$4969]; P < .001) and exercise
electrocardiography (-$7449 [-$7452 to -$7444]; P < .001). At 180 days, CCTA was
associated with a similar likelihood of all-cause mortality (1.05% vs 1.28%; AOR,
1.11 [0.88 to 1.38]; P = .32) and a slightly lower likelihood of hospitalization
for acute myocardial infarction (0.19% vs 0.43%; AOR, 0.60 [0.37 to 0.98]; P =
.04). CONCLUSION: Medicare beneficiaries who underwent CCTA in a nonacute setting
were more likely to undergo subsequent invasive cardiac procedures and have
higher CAD-related spending than patients who underwent stress testing.
PMID- 22089721
TI - Level of systolic blood pressure within the normal range and risk of recurrent
stroke.
AB - CONTEXT: Recurrent stroke prevention guidelines suggest that larger reductions in
systolic blood pressure (SBP) are positively associated with a greater reduction
in the risk of recurrent stroke and define an SBP level of less than 120 mm Hg as
normal. However, the association of SBP maintained at such levels with risk of
vascular events after a recent ischemic stroke is unclear. OBJECTIVE: To assess
the association of maintaining low-normal vs high-normal SBP levels with risk of
recurrent stroke. DESIGN, SETTING, AND PATIENTS: Post hoc observational analysis
of a multicenter trial involving 20,330 patients (age >=50 years) with recent non
cardioembolic ischemic stroke; patients were recruited from 695 centers in 35
countries from September 2003 through July 2006 and followed up for 2.5 years
(follow-up ended on February 8, 2008). Patients were categorized based on their
mean SBP level: very low-normal (<120 mm Hg), low-normal (120-<130 mm Hg), high
normal (130-<140 mm Hg), high (140-<150 mm Hg), and very high (>=150 mm Hg). MAIN
OUTCOME MEASURES: The primary outcome was first recurrence of stroke of any type
and the secondary outcome was a composite of stroke, myocardial infarction, or
death from vascular causes. RESULTS: The recurrent stroke rates were 8.0% (95%
CI, 6.8%-9.2%) for the very low-normal SBP level group, 7.2% (95% CI, 6.4%-8.0%)
for the low-normal SBP group, 6.8% (95% CI, 6.1%-7.4%) for the high-normal SBP
group, 8.7% (95% CI, 7.9%-9.5%) for the high SBP group, and 14.1% (95% CI, 13.0%
15.2%) for the very high SBP group. Compared with patients in the high-normal SBP
group, the risk of the primary outcome was higher for patients in the very low
normal SBP group (adjusted hazard ratio [AHR], 1.29; 95% CI, 1.07-1.56), in the
high SBP group (AHR, 1.23; 95% CI, 1.07-1.41), and in the very high SBP group
(AHR, 2.08; 95% CI, 1.83-2.37). Compared with patients in the high-normal SBP
group, the risk of secondary outcome was higher for patients in the very low
normal SBP group (AHR, 1.31; 95% CI, 1.13-1.52), in the low-normal SBP group
(AHR, 1.16; 95% CI, 1.03-1.31), in the high SBP group (AHR, 1.24; 95% CI, 1.11
1.39), and in the very high SBP group (AHR, 1.94; 95% CI, 1.74-2.16). CONCLUSION:
Among patients with recent non-cardioembolic ischemic stroke, SBP levels during
follow-up in the very low-normal (<120 mm Hg), high (140-<150 mm Hg), or very
high (>=150 mm Hg) range were associated with increased risk of recurrent stroke.
TRIAL REGISTRATION: clinicaltrials.gov Identifier: NCT00153062.
PMID- 22089722
TI - Cardiovascular science in the service of national strength.
PMID- 22089723
TI - Prevention of cardiovascular disease and stroke: meeting the challenge.
PMID- 22089724
TI - Rational dispersion for the introduction of transcatheter valve therapy.
PMID- 22089725
TI - The cardiovascular biomarker conundrum: challenges and solutions.
PMID- 22089726
TI - High-density lipoprotein cholesterol as the Holy Grail.
PMID- 22089727
TI - Cardiology in 2011--amazing opportunities, huge challenges.
PMID- 22089728
TI - JAMA patient page. Heart failure.
PMID- 22089729
TI - Factors associated with brachial-ankle pulse wave velocity in the general
population.
AB - The present study investigated factors that modify or affect arterial stiffness
as assessed by brachial-ankle pulse wave velocity (baPWV) in the general
population. Subjects had previously participated in a physical checkup program
(n=911), and baPWV and urinary albumin and sodium excretion were also measured.
Urine albumin was expressed as the ratio of urine albumin to urine creatinine.
Individual salt intake was assessed by estimating 24-h urinary salt excretion and
expressed as the ratio of estimated salt intake to body weight. The mean blood
pressure and baPWV were 127.1+/-15.2/77.0+/-9.5 mm Hg and 15.9+/-3.3 m s(-1),
respectively. Univariate analysis demonstrated that baPWV correlated with various
factors including age, blood pressure, electrocardiogram voltage (SV(1)+RV(5)),
urine albumin and salt intake. Multivariate regression analysis revealed that
electrocardiogram voltage (P<0.001), systolic blood pressure (P<0.0001), urine
albumin (P<0.001) and salt intake (P<0.001), independently correlated with baPWV
after adjustment for other possible factors. Similar results were obtained for
participants not taking any medication. These results suggest that the baPWV
value is independently associated with individual salt intake and cardiac and
renal damage, and could be a useful procedure for identifying individuals with
concealed risk of cardiovascular disease.
PMID- 22089730
TI - Effects of leaf hair points of a desert moss on water retention and dew
formation: implications for desiccation tolerance.
AB - Leaf hair points (LHPs) are important morphological structures in many
desiccation-tolerant mosses, but study of their functions has been limited. A
desert moss, Syntrichia caninervis, was chosen for examination of the ecological
effects of LHPs on water retention and dew formation at individual and population
(patch) levels. Although LHPs were only 4.77% of shoot weight, they were able to
increase absolute water content (AWC) by 24.87%. The AWC of samples with LHPs was
always greater than for those without LHPs during dehydration. The accumulative
evaporation ratio (AER) showed an opposite trend. AWC, evaporation ratio and AER
of shoots with LHPs took 20 min longer to reach a completely dehydrated state
than shoots without LHPs. At the population level, dew formation on moss crusts
with LHPs was faster than on crusts without LHPs, and the former had higher daily
and total dew amounts. LHPs were able to improve dew amounts on crusts by 10.26%.
Following three simulated rainfall events (1, 3 and 6 mm), AERs from crusts with
LHPs were always lower than from crusts without LHPs. LHPs can therefore
significantly delay and reduce evaporation. We confirm that LHPs are important
desiccation-tolerant features of S. caninervis at both individual and population
levels. LHPs greatly aid moss crusts in adapting to arid conditions.
PMID- 22089732
TI - NHS IT is at the mercy of the civil service and "gifted amateurism".
PMID- 22089731
TI - Gastroschisis: one year outcomes from national cohort study.
AB - OBJECTIVE: To describe one year outcomes for a national cohort of infants with
gastroschisis. DESIGN: Population based cohort study of all liveborn infants with
gastroschisis born in the United Kingdom and Ireland from October 2006 to March
2008. SETTING: All 28 paediatric surgical centres in the UK and Ireland.
PARTICIPANTS: 301 infants (77%) from an original cohort of 393. MAIN OUTCOME
MEASURES: Duration of parenteral nutrition and stay in hospital; time to
establish full enteral feeding; rates of intestinal failure, liver disease
associated with intestinal failure, unplanned reoperation; case fatality.
RESULTS: Compared with infants with simple gastroschisis (intact, uncompromised,
continuous bowel), those with complex gastroschisis (bowel perforation, necrosis,
or atresia) took longer to reach full enteral feeding (median difference 21 days,
95% confidence interval 9 to 39 days); required a longer duration of parenteral
nutrition (median difference 25 days, 9 to 46 days) and a longer stay in hospital
(median difference 57 days, 29 to 95 days); were more likely to develop
intestinal failure (81% (25 infants) v 41% (102); relative risk 1.96, 1.56 to
2.46) and liver disease associated with intestinal failure (23% (7) v 4% (11);
5.13, 2.15 to 12.3); and were more likely to require unplanned reoperation (42%
(13) v 10% (24); 4.39, 2.50 to 7.70). Compared with infants managed with primary
fascial closure, those managed with preformed silos took longer to reach full
enteral feeding (median difference 5 days, 1 to 9) and had an increased risk of
intestinal failure (52% (50) v 32% (38); 1.61, 1.17 to 2.24). Event rates for the
other outcomes were low, and there were no other significant differences between
these management groups. Twelve infants died (4%). CONCLUSIONS: This nationally
representative study provides a benchmark against which individual centres can
measure outcome and performance. Stratifying neonates with gastroschisis into
simple and complex groups reliably predicts outcome at one year. There is
sufficient clinical equipoise concerning the initial management strategy to
embark on a multicentre randomised controlled trial comparing primary fascial
closure with preformed silos in infants suitable at presentation for either
treatment to determine the optimal initial management strategy and define
algorithms of care.
PMID- 22089733
TI - Gastroschisis.
PMID- 22089734
TI - Press releases for government obesity campaign are hidden.
PMID- 22089735
TI - Try Google Translate to overcome language barriers.
PMID- 22089736
TI - Sudden death in epilepsy.
PMID- 22089737
TI - Are you serious about climate change, BMJ?
PMID- 22089738
TI - Effects of particulate matter from global burning of biomass.
PMID- 22089739
TI - Ensuring quality in primary care.
PMID- 22089740
TI - Get the interface right and EHRs can be implemented.
PMID- 22089741
TI - Circles--a community response for convicted sex offenders.
PMID- 22089742
TI - Armchair philosophising skirts what to do with child sex offenders.
PMID- 22089743
TI - Environmental impact of journal distribution is complex.
PMID- 22089744
TI - System for disclosing hospitality should be transparent.
PMID- 22089745
TI - Ways to communicate the threat of climate change to health.
PMID- 22089746
TI - Lessons from strategy game modelling climate change.
PMID- 22089747
TI - Cognitive gains of later life are important clinically.
PMID- 22089748
TI - What on earth we can do to tackle climate change.
PMID- 22089749
TI - Poor hospital hygiene is blamed for deaths of three babies in Bremen.
PMID- 22089750
TI - A quarter of diabetic patients miss out on annual foot checks, UK survey warns.
PMID- 22089751
TI - Health secretary is ordered to disclose government's assessment of risk posed by
NHS changes.
PMID- 22089752
TI - Occurrence and fate of androgens, estrogens, glucocorticoids and progestagens in
two different types of municipal wastewater treatment plants.
AB - The occurrence and fate of fourteen androgens, four estrogens, five
glucocorticoids and five progestagens were investigated in two different types of
wastewater treatment plants (Plant A: activated sludge with chlorination, and
Plant B: oxidation ditch with UV) of Guangdong province, China. 14, 14, and 10 of
28 target compounds were detected in the influent, effluent and dewatered sludge
samples with the concentrations ranging from below 1.2 +/- 0.0 ng L(-1)
(stanozolol) to 1368 +/- 283 ng L(-1) (epi-androsterone), below 1.0 +/- 0.0 ng L(
1) (progesterone) to 23.1 +/- 1.0 ng L(-1) (5alpha-dihydrotestosterone), 1.0 +/-
0.1 ng g(-1) (estrone) to 460 +/- 4.4 ng g(-1) (5alpha-dihydrotestosterone),
respectively. The concentrations of total androgens (1554-1778 ng L(-1) in
influent, 13.3-47.8 ng L(-1) in effluent, 377-923 ng g(-1) in dewatered sludge)
were much higher than those of total estrogens (41.5-60.2 ng L(-1) in influent,
5.6-13.5 ng L(-1) in effluent, 13.9-57.8 ng g(-1) in dewatered sludge),
glucocorticoids (171-192 ng L(-1) in influent, 2.2-6.3 ng L(-1) in effluent, N.D.
4.4 ng g(-1) in dewatered sludge), and progestagens (39.6-40.5 ng L(-1) in
influent, 6.9-12.1 ng L(-1) in effluent, N.D. in dewatered sludge) in these two
WWTPs. According to mass balance analysis, the removal rates of most target
steroids in Plant A had exceeded 90%, while those in Plant B for nearly half of
detected target steroids were lower than 80%. It is obvious that the treatment
capacity of the activated sludge system (Plant A) is superior to the oxidation
ditch (Plant B) in the degradation of steroids in sewage treatment systems.
Androgens, estrogens and progestagens were mainly removed by sorption and
degradation, while the reduction of glucocorticoids was primarily due to
degradation.
PMID- 22089753
TI - Effect of mannitol on cerebral blood volume in patients with head injury.
AB - BACKGROUND: Mannitol has traditionally been the mainstay of medical therapy for
intracranial hypertension in patients with head injury. We previously
demonstrated that mannitol reduces brain volume in patients with cerebral edema,
although whether this occurs because of a reduction in brain water, blood volume,
or both remains poorly understood. OBJECTIVE: To test the hypothesis that
mannitol acts by lowering blood viscosity leading to reflex vasoconstriction and
a fall in cerebral blood volume (CBV). METHODS: We used O positron emission
tomography to study 6 patients with traumatic brain injuries requiring treatment
for intracranial hypertension. Cerebral blood flow (CBF), CBV, and cerebral
metabolic rate for oxygen (CMRO2) were measured before and 1 hour after
administration of 1.0 g/kg 20% mannitol. RESULTS: CBV rose from 4.1 +/- 0.4 to
4.2 +/- 0.2 mL/100 g (P = .3), while intracranial pressure fell from 21.5 +/- 4.9
to 13.7 +/- 5.1 mm Hg (P < .003) after mannitol. Blood pressure, PaCO2, oxygen
content, CBF, and CMRO2 did not change. CONCLUSION: A single bolus of 1 g/kg of
20% mannitol does not acutely lower CBV. Another mechanism, such as a reduction
in brain water, may better explain mannitol's ability to lower intracranial
pressure and reduce mass effect.
PMID- 22089754
TI - Vein of Galen malformations in neonates: new management paradigms for improving
outcomes.
AB - BACKGROUND: Untreated patients with symptomatic neonatal presentation of vein of
Galen aneurismal malformations (VGAMs) carry almost 100% morbidity and mortality.
Medical management and endovascular techniques for neonatal treatment have
significantly evolved. OBJECTIVE: To evaluate the clinical and angiographic
outcomes of modern management of neonates with refractory heart failure from
VGAMs. METHODS: From 2005 to 2010, 16 neonatal patients with VGAM presented to
our institution. Medical care from the prenatal to perinatal stages was
undertaken according to specified institutional guidelines. Nine patients with
refractory heart failure required neonatal endovascular intervention. All
patients were treated by transarterial deposition of n-butyl cyanoacrylate into
fistula sites. Short- and long-term angiographic studies and clinical outcomes
were reviewed. RESULTS: Control of heart failure was achieved in 8 patients. One
premature baby died shortly after treatment. Long-term angiographic follow-up
shows total or near-total angiographic obliteration in all 8 patients. One
patient has a mild hemiparesis from treatment. Another has a mild developmental
delay. One patient developed a severe seizure disorder and developmental delay.
Overall, 66.7% patients have normal neurological development with near-total or
total obliteration of the malformation. CONCLUSION: Treatment of refractory heart
failure in neonatal VGAM with modern prenatal, neurointensive, neuroanesthetic,
and pediatric neuroendovascular care results in significantly improved outcomes
with presumed cure and normal neurological development in most.
PMID- 22089755
TI - Low pulsatility index on transcranial Doppler predicts symptomatic large-vessel
vasospasm after aneurysmal subarachnoid hemorrhage.
AB - BACKGROUND: Elevated mean cerebral blood flow velocity (mCBFV) on transcranial
Doppler predicts vasospasm of the large intracranial arteries after aneurysmal
subarachnoid hemorrhage (aSAH). The pulsatility index (PI) is a measure of distal
vascular resistance, which may be low when there is compensatory distal
vasodilatation following hypoperfusion caused by large-vessel vasospasm.
OBJECTIVE: To study the predictive value of low PI for symptomatic large-vessel
vasospasm (SLVVS) after aSAH. METHODS: Medical records of patients admitted with
aSAH between January 2007 and April 2009 were reviewed. Transcranial color-coded
duplex (TCCD) sonography was performed daily between days 2 and 14. Patients with
unexplained acute neurological decline underwent catheter- or computed tomography
angiography. The lowest recorded PI and the highest mCBFV on TCCD were correlated
to the occurrence of SLVVS, angiographic vasospasm, and delayed cerebral
infraction in multivariate analysis by use of logistic regression. Functional
outcome was assessed at first follow-up. RESULTS: Eighty-one patients met
inclusion criteria. Mean lowest PI was 0.71 + 0.19. Median highest mCBFV was 135
cm/s (interquartile range 99-194 cm/s). SLVVS was seen in 21 of 81 (26%)
patients, whereas 27 of 55 (49%) patients with repeat angiography had moderate or
severe angiographic vasospasm. Following multivariate analysis, only the lowest
PI was an independent predictor of SLVVS (P = .03, odds ratio 0.04, 95%
confidence interval 0.001-0.54), whereas only the highest mCBFV was an
independent predictor of angiographic vasospasm (P = .02, odds ratio 1.01, 95%
confidence interval 1.002-1.02). SLVVS was independently associated with
functional outcome at follow-up. CONCLUSION: Low PI on TCCD is an independent
predictor of SLVVS after aSAH, whereas mCBFV is a better predictor of
angiographic vasospasm.
PMID- 22089756
TI - Differential expression of folate receptor alpha in pituitary adenomas and its
relationship to tumor behavior.
AB - BACKGROUND: Folate receptor alpha (FRalpha) plays a pivotal role in the
tumorigenesis of some malignant tumors, but its role and clinical significance in
pituitary adenomas remain unclear. OBJECTIVE: To identify a possible biomarker
for the diagnosis of nonfunctional pituitary adenomas (NFAs) that could also be
used to assess tumor behavior. METHODS: Sporadic pituitary tumor specimens (n =
76) and normal pituitary glands (n = 7) were examined. FRalpha protein and mRNA
expression were quantified by immunohistochemistry and quantitative reverse
transcriptase polymerase chain reaction, respectively. We verified the
differential expression of FRalpha in pituitary adenomas and evaluated the
associations of FRalpha expression with Ki-67 labeling index (LI) and
clinicopathologic characteristics of NFAs. Statistical significance was
determined by using the Student t test or one-way analysis of variance. RESULTS:
FRalpha mRNA and protein was uniquely overexpressed in NF (immunohistochemically
positive) and NF (immunohistochemically negative) adenomas but not in functional
adenomas (adrenocorticotropic hormone, growth hormone, and prolactin) or normal
adenohypophysial tissues (P < .001). The expression of FRalpha was positively
correlated with tumor invasiveness, size and Ki-67 LI in NFAs. CONCLUSION:
FRalpha may play an important role in the development and progression of NFAs.
Therefore, FRalpha may be useful as a molecular biomarker for the diagnosis of
NFAs and assessment of tumor invasiveness.
PMID- 22089757
TI - Identifying the trigeminal nerve branches for transovale radiofrequency
thermolesion: "no pain, no stress".
AB - BACKGROUND: Radiofrequency thermorhizotomy of the trigeminal nerve is a known
treatment of trigeminal neuralgia. Analysis of verbal responses to electric
stimulation of the trigeminal rootlets has been the only method available to
localize the affected branch, but patient discomfort may lead to unreliable
verbal responses, resulting in increased morbidity or even therapeutic failure.
Orthodromically elicited evoked potentials of the trigeminal nerve have also been
used, but their application is tedious and results may vary. OBJECTIVE: To
develop an electrophysiological method for intraoperative localization of the
trigeminal nerve branches. METHODS: A series of 55 patients under general
anesthesia during radiofrequency thermorhizotomy were studied. The trigeminal
nerve root was stimulated through the foramen ovale with the RF electrode.
Antidromic responses were recorded from the 3 divisions of the trigeminal nerve
in the face. Effectiveness rate, pain relief, recurrence, complications, and
patient comfort after the procedure were analyzed. RESULTS: Reproducible and
easily obtained antidromic responses were clearly recorded in every subdivision
of the trigeminal nerve in all patients. Ninety-four percent of patients
experienced immediate pain relief after the procedure. The recurrence rate was
12.72%, and the surgical morbidity was 20%. CONCLUSION: This method proved to be
useful to determine the exact localization of individual subdivisions of the
trigeminal nerve in anesthetized patients, making this procedure safer and more
comfortable for them.
PMID- 22089758
TI - Optimal surgical approaches for Rathke cleft cyst with consideration of endocrine
function.
AB - BACKGROUND: Surgical indications for Rathke cleft cyst are not clear. OBJECTIVE:
To evaluate postoperative outcomes in terms of endocrine function. METHODS: The
study analyzed a total 73 patients who underwent transsphenoidal surgery. All
patients underwent a visual field test, combined pituitary function test, and
magnetic resonance imaging before and after surgery. A follow-up combined
pituitary function test was performed at 1.5-year intervals. RESULTS: The mean
age at the time of surgery was 35 +/- 14 years, and the male/female ratio was
1:1.25 (33/40). The mean follow-up duration after surgery was 59 +/- 39 months.
The most common symptoms were headache (84%), visual disturbance (48%), and
polyuria (38%). After transsphenoidal surgery, 75% of polyuria and 96% of visual
field defects were resolved, and pituitary function improved in 42% of patients.
The mean age of patients who exhibited worsened hypopituitarism was significantly
higher than that of patients who exhibited unchanged or improved hypopituitarism
(44 +/- 15.7 vs 33 +/- 13.5 years; P = .02). Twelve patients (16%) experienced
recollection of cyst, but none required reoperation. Five of the recollected
cysts presented with characteristics that were different from those of the
initial lesions, and 2 recollected cysts underwent spontaneous regression.
CONCLUSION: Minimal incision with radical removal of cyst content is reasonable
to prevent the development of endocrine disturbances and other complications.
Individualized risks and benefits must be assessed before a decision is reached
regarding surgery and surgical method. Patients with recurrent Rathke cleft cyst
require careful follow-up with special attention rather than a hasty operation.
PMID- 22089759
TI - The association of reamed intramedullary nailing and long-term cognitive
impairment.
AB - OBJECTIVES: To examine the association of reamed intramedullary nailing (IMN) and
long-term cognitive impairment in trauma intensive care unit survivors. DESIGN:
Prospective observational cohort. SETTING: Academic Level I trauma center.
PATIENTS: One hundred seventy-three patients with multiple trauma (Injury
Severity Score greater than 15) who presented to a Level I trauma intensive care
unit from July 2006 to July 2007 without evidence of intrancranial hemorrhage.
INTERVENTION: None. MAIN OUTCOME MEASURE: Twelve-month cognitive impairment
defined a priori as two neuropsychological test scores 1.5 standard deviation
below the mean or 1 neuropsychologic test score 2 standard deviations below the
mean. RESULTS: One hundred eight of 173 patients (62.4%) were evaluated 12 months
after injury with a comprehensive battery of neuropsychological tests. There were
18 patients who received a reamed IMN and 14 of 18 (78%) of these patients had
cognitive deficit at follow-up. Fracture treatment with a reamed IMN was
associated with long-term impairment (27.4% vs 8.2%, P = 0.03). Multivariable
logistic regression found that a reamed IMN (odds ratio, 3.2; 95% confidence
interval, 0.95-10.9; P = 0.06) was a moderate risk factor for the development of
cognitive impairment 12 months after injury after controlling for Injury Severity
Score, level of education, intraoperative hypotension, and duration of mechanical
ventilation. CONCLUSIONS: Fracture fixation with a reamed IMN is moderately
associated with cognitive impairment in this cohort of multiple trauma patients
without intrancranial hemorrhage at 1 year postinjury. Orthopaedic trauma
research should continue to investigate a potential association of acute fracture
management and long-term cognitive outcome.
PMID- 22089760
TI - Negative pressure therapy on primarily closed wounds improves wound healing
parameters at 3 days in a porcine model.
AB - OBJECTIVES: We investigated the role of negative pressure therapy (NPT) in
postoperative primary wound treatment and closure. To date, extensive evidence
exists demonstrating the benefit of negative pressure dressings in the treatment
of open wounds; our experiment tested the hypothesis that negative pressure
dressings improve healing of closed (sutured) wounds. METHODS: A porcine model
was used to collect data on the characteristics of closed wounds after 3 days of
treatment with NPTs as compared with control dressings. RESULTS: In six pigs with
a total of 56 wounds, load to failure (N/mm) in controls was 0.348 (standard
deviation [SD] 0.109) versus NPT at 0.470 (SD, 0.194) with a P value of 0.001;
energy to failure (mJ/mm) in controls was 0.85 (SD, 0.378) versus NPT at 1.128
(SD, 0.638) with a P value of 0.035. Blinded grading of clinical wound appearance
and cross-sectional hematoma size were also improved at 72 hours. CONCLUSIONS:
NPT dressings applied to surgically closed wounds enhance the healing
characteristics of porcine wounds at 3 days. CLINICAL RELEVANCE: We have observed
that primarily closed surgical wounds may benefit from treatment with NPT. The
benefit of using NPTs may be most pronounced in situations in which wounds are
closed under tension, involve considerable soft tissue trauma, or may be at risk
of subdermal hematoma formation.
PMID- 22089762
TI - Mangosteen leaf extract increases melanogenesis in B16F1 melanoma cells by
stimulating tyrosinase activity in vitro and by up-regulating tyrosinase gene
expression.
AB - Melanin synthesis is stimulated by various effectors, including alpha-melanocyte
stimulating hormone (alpha-MSH), cyclic AMP (cAMP)-elevating agents (forskolin,
isobutylmethylxantine, glycyrrhizin) and ultraviolet light. Our investigation
focused on the identification of the melanogenic efficacy of mangosteen (Garcinia
mangostana) leaf extract with regard to its effects on melanogenesis in B16F1
melanoma cells, since it has been known to possess strong anti-oxidant
activities. The mangosteen leaf extract was found to stimulate melanin synthesis
and tyrosinase activity in a dose-dependent manner without any significant
effects on cell proliferation. Cytotoxicity of the extract was measured using a 3
(4,5-dimethylthiazol-2-yl)-2,5-diphenyltetrazolium bromide (MTT) assay; the
highest concentration of the extract that did not affect cell viability was 32
ug/ml. Formation of melanin from cultured B16F1 melanoma induced by extract
treatment was estimated using spectrophotometry. In order to clarify the
subsequent mechanism of tyrosinase activation by the extract, the levels of
tyrosinase expression in B16F1 melanoma were examined using an intracellular
tyrosinase assay and tyrosinase zymography. Up-regulation of intracellular
tyrosinase expression seemed to correlate with an increase in microphtalmia
associated transcription factor (MITF) protein levels since MITF is the key
factor for genes involved in melanogenesis. Both of the results showed that
tyrosinase activity was markedly enhanced from extract-treated cells. The overall
results suggest that mangosteen leaf extract may be a promising candidate for the
treatment of hypopigmentation disorder and useful for self-tanning cosmetic
products.
PMID- 22089763
TI - Coverage-dependent adsorption geometry of octithiophene on Au(111).
AB - The adsorption behavior of alpha-octithiophene (8T) on the Au(111) surface as a
function of 8T coverage has been studied with low-temperature scanning tunneling
microscopy, high resolution electron energy loss spectroscopy as well as with
angle-resolved two-photon photoemission and ultraviolet photoemission
spectroscopy. In the sub-monolayer regime 8T adopts a flat-lying adsorption
geometry. Upon reaching the monolayer coverage the orientation of 8T molecules
changes towards a tilted configuration, with the long molecular axis parallel to
the surface plane, facilitating attractive intermolecular pi-pi-interactions. The
photoemission intensity from the highest occupied molecular orbitals (HOMO and
HOMO - 1) possesses a strong dependence on the adsorption geometry due to the
direction of the involved transition dipole moment for the respective
photoemission process. The change in molecular orientation as a function of
coverage in the first molecular layer mirrors the delicate balance between
intermolecular and molecule/substrate interactions. Fine tuning of these
interactions opens up the possibility to control the molecular structure and
accordingly the desirable functionality.
PMID- 22089764
TI - Life experiences of Brazilian men with urinary incontinence and erectile
dysfunction following radical prostatectomy.
AB - PURPOSE: The objective of this study was to explore the psychosocial meaning and
repercussions on lifestyle associated with erectile dysfunction and urinary
incontinence (UI) in men following radical prostatectomy. SUBJECTS AND SETTING:
Ten men from Southeastern Brazil who underwent radical prostatectomy for prostate
cancer were interviewed. All participants underwent treatment at a urology clinic
during the period of September 2007 to February 2009. METHODS: A clinical
qualitative study was conducted, using semi-structured interviews with open-ended
questions. All interviews were recorded and transcribed verbatim. Each man was
interviewed once. The average length of the interviews was 37 minutes (range: 16
to 81 minutes). Data from the interviews were subjected to content thematic
analysis and development of categories based on psychodynamic references.
RESULTS: Three themes were identified: (1) sexuality called into question; (2) a
body without governance; and (3) experiencing loss. These men reported
difficulties in dealing both with the physical and emotional impotence resulting
from the treatment. Urinary incontinence and erectile dysfunction affected their
body by accentuating conflicts related to masculinity, triggering subjective
feelings of powerlessness, and psychological distress. CONCLUSION: Study
respondents assigned multiple psychological meanings to issues related to
feelings of powerlessness in general, leading to a narcissistic wound. The men
experienced UI as a bodily deficiency, and erectile dysfunction was experienced
as a feeling of being devitalized. These results suggest that UI from prostate
cancer treatment affects sexuality and self-esteem.
PMID- 22089765
TI - Development and validation of an online interactive, multimedia wound care
algorithms program.
AB - PURPOSE: To provide education based on evidence-based and validated wound care
algorithms we designed and implemented an interactive, Web-based learning program
for teaching wound care. DESIGN: A mixed methods quantitative pilot study design
with qualitative components was used to test and ascertain the ease of use,
validity, and reliability of the online program. SUBJECTS AND SETTING: A
convenience sample of 56 RN wound experts (formally educated, certified in wound
care, or both) participated. METHODS: The interactive, online program consists of
a user introduction, interactive assessment of 15 acute and chronic wound photos,
user feedback about the percentage correct, partially correct, or incorrect
algorithm and dressing choices and a user survey. After giving consent,
participants accessed the online program, provided answers to the demographic
survey, and completed the assessment module and photographic test, along with a
posttest survey. RESULTS: The construct validity of the online interactive
program was strong. Eighty-five percent (85%) of algorithm and 87% of dressing
choices were fully correct even though some programming design issues were
identified. Online study results were consistently better than previously
conducted comparable paper-pencil study results. Using a 5-point Likert-type
scale, participants rated the program's value and ease of use as 3.88 (valuable
to very valuable) and 3.97 (easy to very easy), respectively. Similarly the
research process was described qualitatively as "enjoyable" and "exciting."
CONCLUSION: This digital program was well received indicating its "perceived
benefits" for nonexpert users, which may help reduce barriers to implementing
safe, evidence-based care. Ongoing research using larger sample sizes may help
refine the program or algorithms while identifying clinician educational needs.
Initial design imperfections and programming problems identified also underscored
the importance of testing all paper and Web-based programs designed to educate
health care professionals or guide patient care.
PMID- 22089766
TI - Factors affecting closure of a temporary stoma.
AB - PURPOSE: The purpose of the study was to examine time to reversal of a temporary
ostomy, reasons for delayed closure, and patient satisfaction with the scheduling
of their closure and related hospital care. DESIGN: Cross-sectional, descriptive
study. SUBJECTS AND SETTING: The target population comprised patients who
underwent creation of a temporary ostomy and reversal surgery within one National
Health System Hospital Trust in the United Kingdom. The population served by this
Trust are ethnically and socioeconomically diverse, predominantly living in urban
areas around Greater London. Sixty-one persons who met inclusion criteria were
identified. METHODS: A two-step analytical process was undertaken. First, a
literature review examining incidence and causes of delayed stoma closure was
undertaken. Second, a postal survey of all patients who had had their stoma
closed in 2009 was conducted. Respondents were allowed 2 weeks to complete and
return the questionnaire. INSTRUMENT: The survey instrument was developed locally
and subjected to content validation using ostomy patients, surgical and nursing
colleagues. It consisted of 9 questions querying time from original surgery to
closure, reasons for delaying closure surgery beyond 12 weeks, and satisfaction
with care. RESULTS: Twenty-seven patients returned their questionnaires,
indicating they consented to participate; a response rate of 44%. Half of the
respondents (n = 14 [52%]) underwent closure surgery within 6 months of stoma
formation; the remaining 48% waited more than 6 months (median: 6.5 months,
range: 1.5-26 months). Thirteen patients (48%) reported a delay in receiving
their stoma closure; the main reason cited was the need for a course of adjuvant
postoperative chemotherapy. Three quarters of respondents (22 [74%]) were
satisfied with the overall care they received. CONCLUSION: Findings from this
study suggest that stoma closure may be associated with fewest complications if
performed before 12 weeks.
PMID- 22089768
TI - Technical modifications of ureteroneocystostomy in renal transplantation: an 18
year experience.
AB - The treatment of choice for patients with end-stage renal disease is renal
transplantation. Urinary tract reconstruction is usually done by anti-reflux
ureteroneocystostomy, of which there are several techniques. In this study, a
comparison of previous studies related to complications and outcome of various
extra-vesical uereteroneocystostomy techniques that were used in our center was
made. From the year 1988, when renal transplantation was first performed in our
center, we utilized many ureteroneocystostomy techniques including Lich-Grigoir,
Barry, Tagochi, and finally Barry-Tagochi. With each conversion, we compared the
results of the earlier technique with the new one. In addition, we collected the
results of four previous studies conducted by our surgeons and analyzed the
complications seen with ureteroneocystostomy. A total of 717 renal transplant
recipients were included in our study; 214 of these patients were reimplanted by
the Barry-Tagochi technique, 155 cases by the Barry technique, 44 cases by the
Tagochi technique, and finally, 304 cases by the Lich-Grigor technique. There was
no significant difference in the overall complications, urinary leakage and
ureteral stenosis, and obstruction between the four groups. However, complicated
hematuria was significantly more frequently seen in the Tagochi group (P =
0.002). Also, the mean time taken for ureteroneocystostomy was longer in the Lich
Grigor group (P = 0.001). We found that the Barry-Tagochi technique had an
overall incidence of urological complications similar to that of the other
extravesical techniques and was less time consuming.
PMID- 22089767
TI - Association of Helicobacter pylori IgG antibody with various demographic and
biochemical parameters in kidney transplant recipients.
AB - Few reports are available regarding the promoting factors that affect
Helicobacter pylori (H. pylori) infection in renal transplant (RTx) patients. We
report a cross-sectional study that was conducted on a group of stable RTx
patients to investigate the relationship of various demographic and biochemical
parameters of these patients with serum H. pylori IgG antibody titer as a sign of
H. pylori infection. A total of 72 patients who were referred to the clinic for
continuing their treatment were enrolled in this study. These patients included
47 males and 25 females. The mean age of the study patients was 44 (+/-12) years.
The mean length of time after they received a transplanted kidney was 67.5 (+/
42) months (median: 62 months). The mean value of serum H. pylori-specific IgG
antibody titer among these patients was 3 (+/-4.6) U/mL (median: 1 U/mL), and
that of intact parathormone (iPTH) was 18.4 (+/-8.2) pg/mL (median: 16.5 pg/mL).
The mean serum magnesium (Mg) was 1.9 (+/-0.20) mg/dL (median: 1.9 mg/dL) and the
mean creatinine clearance was 53 (+/-11) mL/min (median: 56 mL/min). In this
study population, there was no significant difference in the H. pylori IgG
antibody titers, serum iPTH, Mg, calcium, alkaline phosphatase and albumin levels
as well as body mass index (BMI) between males and females or diabetics and non
diabetics. There was no significant relationship between serum H. pylori IgG
antibody titers and the age of the patients, BMI, serum Alb, phosphorus, Ca,
serum leptin and serum ALP. Significant negative correlation between serum H.
pylori IgG antibody titers and serum Mg (r = -0.30, P = 0.01) and serum iPTH (r =
-0.25, P = 0.03) was seen. A significant positive correlation was found between
serum H. pylori IgG antibody titer and creatinine clearance (r = 0.26, P = 0.02),
and a near-significant positive correlation was found with the duration of RTx (r
= 0.20, P = 0.08). Our study shows that the correlation of H. pylori IgG antibody
titer with some demographic and biochemical indices in RTx recipients may be
different from what has been reported in hemodialysis patients. Larger clinical
studies are needed to assess the clinical implications of our findings.
PMID- 22089769
TI - Safety and efficacy of angiotensin converting enzyme inhibitors and angiotensin
receptor blockers in chronic allograft injury.
AB - Angiotensin II plays a crucial role in the development of chronic allograft
injury (CAI). Clinical experience with angiotensin converting enzyme inhibitor
(ACEI) and angiotensin receptor blockade (ARBS) in CAI has unfortunately been
limited. We carried out a prospective one year single center case controlled
study to analyze the effect of ACEI /ARBS on the progression of CAI and in
decreasing proteinuria. One hundred patients with CAI were evaluated. Of the 100
patients, 50 were selected to receive ACEI/ ARBS (group 1) and 50 managed without
ACEI/ARBS (group 2). Their remaining management was similar in both the groups.
Patients with hyperkalemia, history of allergic reactions, ACEI/ARBS intake and
pregnancy were excluded. Average time for development of CAI was 19.6 +/- 12.7
months in group 1 vs. 20.8 +/- 12.8 in group 2. In group 1, mean
systolic/diastolic BP was 136/82 mmHg at the time of establishment of CAI and
124/76 mmHg at the end of one year, and in group 2, it was 138/86 mmHg vs. 126/80
mmHg, respectively. Mean glomerular filtration rate (GFR) was 48.78 +/- 13.4 in
the former vs. 44.23 +/- 8.14 in the latter. ACEI/ARBS administration was
associated with stabilization of serum creatinine. GFR was maintained up to one
year after CAI. Group 1 had a decrease in proteinuria by 1.41 g/day as compared
with group 2 with proteinuria of 0.83 g/day. ACEI/ARBS administration is
beneficial in CAI for BP control and significant decrease in proteinuria along
with the stabilization of graft function.
PMID- 22089770
TI - Association of adequate dialysis parameters with left ventricular hypertrophy in
hemodialysis patients.
PMID- 22089771
TI - Changes of serum calcium, phosphorus, and parathyroid hormone concentrations and
ocular findings among patients undergoing hemodialysis.
AB - There are various reports of ocular abnormalities in metabolic disorders. This
study was done with the aim to investigate the relationships between the amounts
of serum calcium, phosphorus, and parathyroid hormone concentrations and ocular
findings in patients undergoing hemodialysis. Fifty eight patients with end stage
renal failure undergoing hemodialysis were randomly selected and enrolled in this
prospective study. Demographic data, history of diabetes mellitus and
hypertension, and duration of hemodialysis were recorded. Serum calcium,
phosphorus, alkaline phosphatase (ALP), and parathyroid hormone (PTH)
concentrations were measured. Also, blood urea nitrogen (BUN) and weight of the
patient was measured just before and three minutes after the hemodialysis.
Patients also underwent a complete ocular examination including visual acuity,
intraocular pressure (IOP), biomicroscopic examination, and fundoscopy. In
univariate analysis, adverse relationships were found between the ocular
hypertension and ALP concentration (P = 0.017) and also between the visual acuity
and phosphorus concentration (P = 0.033). However, in multivariate regression
analysis and with regard to the patients' characteristics and medical history in
a multivariate model, no relationships were found between ocular findings and
serum calcium, phosphorus, ALP, and PTH concentrations. No relationships were
found between the serum concentrations of calcium, phosphorus, ALP, and PTH and
ocular findings in patients with end stage renal failure undergoing hemodialysis.
PMID- 22089772
TI - The deleterious effect of metabolic acidosis on nutritional status of
hemodialysis patients.
AB - One of the main causes of protein-energy malnutrition in patients on maintenance
hemodialysis (MHD) is metabolic acidosis. The aim of this study was to evaluate
the effect of metabolic acidosis on nutritional status in a group of MHD patients
with adequately delivered dialysis treatment. Of 165 eligible anuric MHD
outpatients with Kt/V >= 1 and no underlying inflammatory diseases, 47 subjects
were enrolled. In order to evaluate the effect of different parameters on serum
albumin, we measured the pre-dialysis serum albumin, blood pH, serum bicarbonate
(HCO 3? ), Kt/V, normalized protein catabolic rate (nPCR) and body mass index
(BMI) in these patients. The mean age of the study patients was 55 +/- 13.8
years; there were 22 females and six diabetics. The average Kt/V was 1.22 +/-
0.16, pH was 7.40 +/- 0.15, serum HCO 3? was 23.18 +/- 2.38 mEq/L, serum albumin
was 4.03 +/- 0.56 g/dL, nPCR was 1.00 +/- 0.16 g/kg/day, post-dialysis body
weight was 58.50 +/- 11.50 kg and BMI was 23.47 +/- 2.70 kg/m 2 . There was a
statistically significant direct correlation between serum albumin and BMI (r =
0.415, P = 0.004), and between serum albumin and serum HCO 3 (r = 0.341, P =
0.019). On multiple regression analysis, the predictors of serum albumin were
serum HCO3? and BMI (direct effect) and nPCR (inverse effect). In 17 patients on
MHD with serum HCO3? <22 mEq/L, there was a significant inverse correlation
between HCO 3 and nPCR (r = 0.492, P = 0.045), and these patients had
significantly lower serum albumin compared with patients with serum HCO3? >22
mEq/L (P = 0.046). These data demonstrate that patients on MHD with metabolic
acidosis had a lower serum albumin concentration despite adequate dialysis
treatment. The inverse effect of nPCR on serum albumin concentration in acidotic
MHD patients may be due to hypercatabolism in the setting of metabolic acidosis,
leading to deleterious effects on the nutritional status of patients on MHD.
PMID- 22089773
TI - The effect of L-carnitine supplementation on lipid parameters, inflammatory and
nutritional markers in maintenance hemodialysis patients.
AB - Protein energy malnutrition and inflammation are common and usually concurrent in
maintenance hemodialysis (MHD) patients. Carnitine, a small molecule involved in
fatty acid metabolism, is significantly decreased in long-term HD patients. L
Carnitine supplementation may have potential benefits in improving dialysis
related disorders. However, there are conflicting reports with regard to the
beneficial effects of L-Carnitine supplementation. Hence, the present study was
carried out to evaluate the effect of L-Carnitine supplementation on lipid
parameters, apoproteins and inflammatory and nutritional markers in HD patients.
A total of 35 patients with end-stage renal disease, on MHD for a period of 2 to
5 years were recruited into the study. The study group consisted of 20 patients
who received Carnitine supplementation intravenously three times a week after
each HD session, at 1 g/dose, while the control group consisted of 15 patients
without supplementation with L-Carnitine. Highly sensitive C-reactive protein
(hsCRP), total protein, albumin, lipid profile and apoprotein AI and B were
determined at baseline and at the end of the study. A significant decrease in the
hsCRP levels was observed in the Carnitine-supplemented group (P < 0.05).
However, no significant change was observed in the lipid parameters and
nutritional markers in the Carnitine-supplemented group. In conclusion, the
present study demonstrates the significant benefit of L-Carnitine supplementation
on inflammatory status in MHD patients as noted by marked decrease in hsCRP
levels in comparison with the control group.
PMID- 22089774
TI - Kidney imaging in management of delayed febrile urinary tract infection.
AB - We report a cross-sectional study performed to evaluate the imaging findings of
40 children, aged one month to five years (16.65 +/- 14.97 months), who presented
with protracted fever of more than 48 hours due to urinary tract infection (UTI).
About 85% of the patients had positive Tc99-Dimercaptosuccinic acid (DMSA) scan
and 58% had vesicoureteral reflux (VUR). Kidney sonography aided in the diagnosis
and treatment in 10% of the patients. Age, sex, presence or laterality of VUR did
not contribute to defective DMSA scan (pyelonephritis) (P > 0.05). Delayed
diagnosis and treatment of febrile UTI is associated with a high incidence of
positive findings of DMSA scan irrespective of age, sex or presence/absence of
VUR. In mild VUR, the DMSA scan may be normal while in patients with moderate and
severe VUR the DMSA scan is almost always abnormal. Thus, our study shows that a
normal DMSA scan can help in ruling out moderate to severe forms of VUR and that
cystography remains an excellent and standard tool for the diagnosis of VUR.
PMID- 22089775
TI - Renal sonographic parameters in human immunodeficiency virus - infected subjects
and relationship to CD4 cell count.
AB - Nephropathy in human immunodeficiency virus (HIV)-infected patient is common and
constitutes a major cause of endstage kidney disease. CD4 cell count is a useful
parameter in the assessment of the degree of immunosuppression among HIV-infected
patients. Manifestations of renal disease are thought to be more profound when
CD4 cell counts are low. Sonography is a safe and inexpensive method of
evaluating renal disease, including renal sizes and degree of echogenicity.
Ultrasound examination was carried out prospectively at the University of Benin
Teaching Hospital on 120 HIV-infected patients comprising 45 males (37.5%) and 75
females (63.5%). Renal sizes and degree of echogenicity were assessed.
Correlation with CD4 + cell counts of the patients was done. Mean CD4 cell count
mean was 18.34 +/- 142.18 cells/mm 3 with female patients having a significantly
higher cell count compared with males. Seventy-four patients (63.8%) had a cell
count of <200 cells/mm 3 . Renal sizes were normal in 85%, small in 7%, and large
in 8% of patients. Fifty patients (41.7%) had increased renal echogenicity and 8
(6.7%) had severe increased echo-texture. CD4 cell count did not correlate with
renal sizes and echotexture. Results of this study show that large kidneys and
marked increase in renal echotexture were not common even in a population of
patients where the majority had CD4 cell count < 200 cells/mm 3 . This study
shows that increased renal sizes and degree of echogenicity alone are not useful
predictors of renal involvement in HIV/AIDS.
PMID- 22089776
TI - The safety and efficacy of endoscopic incision of orthotopic ureterocele in
adult.
AB - Endoscopic incision is a good management option for orthotopic ureterocele. But
most of the literature has shown its efficacy only in children. We have done this
retrospective study to evaluate the safety and efficacy of endoscopic incision of
orthotopic ureterocele in adults. From March 2004 to January 2008, at our center,
26 adults underwent transurethral, transverse incision of an ureterocele. The
perioperative data of these patients were retrospectively analyzed. The
literature was reviewed to identify all the reported options for management of
this relatively rare condition in adults. Unilateral ureterocele was present in
24 patients and two patients had bilateral ureterocele. One patient had
associated upper tract stones. Three patients had associated stones in
ureterocele. Transurethral, transverse incision of ureterocele was given in all
patients. The mean postoperative hospital stay was 50.5 h. Twenty-three patients
were available for follow-up at three, six and 12 months. All patients were
symptom free. At three months ultrasound and intravenous urography revealed no
residual ureterocele but four patients showed residual hydronephrosis, but with a
decrease in the grade indicating decompression. Micturating cystourethrography
revealed vesico-ureteral reflux (VUR) in two patients and the reflux persisted in
one patient even at 6 months. We conclude that in adults, management with
endoscopic incision of orthotopic ureterocele is safe and effective. VUR may
occur in a few cases.
PMID- 22089777
TI - Kidney trauma with underlying renal pathology: is conservative management
sufficient?
AB - To evaluate the pre-existing renal lesions (PERL) found incidentally during
evaluation for blunt renal trauma, determine their importance, and suggest
guidelines for effective management, including conservative treatment, we
reviewed 180 patients who were hospitalized with blunt renal trauma between 1992
and 2008. Thirty of the 180 (16.6%) patients had PERL, which had been
undiagnosed. The mean follow-up was 5 years (range 1-9 years). There were 24 men
and 6 women with a mean age of 30 years (range 14-80 years). The most common
cause of blunt renal injuries was falls and sports. Renal stones were present in
14 patients, pelvi-ureteric junction obstruction in 12, ectopic kidney in two,
and megaureter and renal cyst in one case each. Ureteral stenting was used in
four cases, and early nephrectomy was required in the other four. Fourteen
patients underwent surgery for the PERL and not trauma, with a pyeloplasty in
eight cases, partial nephrectomy in three cases, percutaneous nephrololithotomy
in two cases, and ureteroneocystostomy in one case. In our study, the
conservative treatment was possible in 73% of cases. We believe the published
data support increasing conservative attempts in the hemodynamically stable
patient.
PMID- 22089778
TI - Simvastatin ameliorates gentamicin-induced renal injury in rats.
AB - Gentamicin nephrotoxicity is one of the most common causes of acute renal
failure. Simvastatin is one of the antioxidative drugs, which has anti
inflammatory and anabolic effects and modulates the immune system. The present
study was conducted to assess the effect of simvastatin on ameliorating the
gentamicin-induced renal injury in 87 Sprague-Dawley rats, which were allocated
randomly to 11 study groups: (A) and (B) groups with only gentamicin in 2
dosages; (C), (D), and (E) gentamicin 50 mg/kg/day and simvastatin with different
dosage; (F), (G), and (H) gentamicin 80 mg/kg/day and simvastatin with different
dosage; (I) only simvastatin; (J) Injected normal saline; (K) control (no
gentamicin and no simvastatin) group. Our study intervention period for injection
of drugs was 12 days. Serum creatinine level and clearance were measured in all
groups. At the end of the study, the rats were killed and both kidneys were
removed and processed for histopathologic examination using the standard methods.
The 50 mg/kg/day dose was utilized because it induces a mild form of renal
toxicity, whereas the 80 mg/kg/day dose cause a more severe degree of renal
injury. Morphologic examination of specimens from all rats was qualitatively
assessed with blindness to treatment groups and proximal tubular profiles that
were presented in each file were counted. The results demonstrated amelioration
of gentamicin-induced renal toxicity in rats by simvastatin due to its
antioxidant drug dose-related effect.
PMID- 22089779
TI - Acute renal failure: nephrosonographic findings in asphyxiated neonates.
AB - To determine the incidence of acute renal failure (ARF) and nephrosonographic
findings among asphyxiated neonates, and to correlate this with uric acid levels
and the severity of hypoxic encephalopathy, we studied 80 full-term appropriate
for-date singleton neonates with perinatal asphyxia, and 30 healthy full-term
neonates as controls from March 2006 to February 2007. A detailed history,
thorough clinical examination along with investigations, including urine
examination, 24-h urine collection, ultrasonography of abdomen and cranium, serum
electrolytes, blood urea nitrogen, serum creatinine, and serum uric acid were
obtained. ARF developed in 45% (36/80) of the asphyxiated neonates. Forty-eight
(60%) neonates showed significant elevation of blood urea and 41 (51.3%) neonates
had significant elevation of serum creatinine than the control group (P < 0.001).
Sixty-two (77.5%) neonates developed significant elevation of serum uric acid
levels, and nephrosonography revealed hyperechogenicity in all of them, while
only two among the healthy neonates showed the raised uric acid levels (P <
0.001). Nonoliguric renal failure was seen 28/36 (77.8%) of the neonates with
ARF, whereas eight (22.2%) neonates had oliguric renal failure. Eight (27.8%)
patients among ARF patients maintained abnormal biochemical parameters after 2
weeks, and of whom four patients died after variable lengths of time with a
mortality rate of 11.11%. Kidneys are the most common organs involved in
perinatal asphyxia, and uric acid might be a causative factor for failure in
addition to hypoxic insult. Routine use of kidney function test, along with
abdominal ultrasonography form an important screening tool to detect any
additional morbidity in these patients.
PMID- 22089780
TI - Obesity and metabolic syndrome in hemodialysis patients: single center
experience.
AB - Recent evidence highlights the relationship between metabolic syndrome (MS) and
increased risk of cardiovascular (CV) diseases. The overall prevalence of the MS
is increased in hemodialysis population. To evaluate the prevalence of the MS and
obesity in our hemodialysis (HD) patients, we studied 234 HD patients and 34
patients were excluded from the study due to incomplete data at the time of
analysis. For the remaining 200 patients, 92% were below the age of 70 years old,
162 (81%) were hypertensive, 90(45%) were diabetic, 54 (27%) had ischemic heart
diseases, and 116 (58%) had MS. The incidence of MS in the male and female
patients was 50% and 67%, respectively, with a mean abdominal girth more than 94
cm in males and only 14% of the patients revealed abdominal girth measurement
below 80 cm in females. We conclude that there is a high prevalence of obesity
and MS in our HD patients. Such patients may be at risk of developing morbidities
and may benefit from therapy such as lifestyle changes including weight reduction
and increased physical activity.
PMID- 22089781
TI - Comparison of the number of patients admitted with renal colic during various
stages of peri-Ramadan month.
AB - Ramadan fasting for Muslims means abstinence from eating, drinking, and smoking
from sunrise to sunset. There are concerns whether the occurrence of renal colic
increases during the month of Ramadan. In view of the importance of fasting among
Muslims, the occurrence of renal colic during Ramadan fasting has been compared
during the following periods: two weeks before commencement of Ramadan (stage-1),
during the first two weeks (stage-2), the last two weeks (stage-3), and, two
weeks after Ramadan (stage-4). This was a prospective observational study, which
was carried out in patients with symptoms of renal colic who were referred to the
emergency wards in two major hospitals in Iran. During the study period, 610
subjects were admitted with renal colic during the four periods of study; there
were 441 males (72.3%) and 169 females (27.7%). The number of patients with renal
colic was highest during the first two weeks of Ramadan in comparison with the
other periods (stage-1: 157, stage-2: 195, stage-3: 139, stage-4: 119, P < 0.05].
Results from this study show that the number of admissions due to renal colic was
high during the first two weeks of Ramadan. However, the number of admissions
decreased during the last two weeks of Ramadan and this trend continued after
Ramadan.
PMID- 22089783
TI - Liposarcoma of spermatic cord.
PMID- 22089782
TI - Nocardia infection in a renal transplant recipient.
AB - Opportunistic infection occurs in up to 20% renal transplant patients and is
associated with a high mortality. We report a 47-year-old diabetic female with 1
year-old deceased donor renal allograft on triple drug immunosuppression. She
developed cytomegalovirus retinitis at ten months post-transplant followed by
nocardiasis manifested by hemiparesis with comatose state due to lumbar epidural
and multiple brain abscesses, in spite of immediately curtailing
immunosuppression. She recovered with linezolid and cotrimoxazole and was
discharged two weeks later. She is maintaining stable graft function with serum
creatinine 1.4 mg/dL on cyclosporin 2.5 mg/kg/day and prednisone10 mg/day with
maintenance therapy for nocardiasis.
PMID- 22089784
TI - Isolated sarcoid renal granulomatous tubulointerstitial disease.
AB - A 37-year-old lady presented with hypercalcemia and acute renal impairment. She
had no previous medical problems apart from the use of non steroidal anti
inflammatory drugs for nonspecific body pains. Her abdominal ultrasound scan as
well as urine studies were nonspecific. Further workup for hypercalcemia
(skeletal survey, high resolution computed tomography (CT) of the chest and
abdomen, purified protein derivative (PPD) test, serum protein electrophoresis,
tumor markers, immunology screening, and Bence Jones proteinuria) was negative.
Serum angiotensin converting enzyme was high. Renal biopsy showed extensive
lymphocytes and multinucleated giant cells infiltration forming interstitial non
necrotizing granulomata. Immune staining as well as staining for acid fast
bacilli was negative. The possibility of sarcoid renal granulomata was raised and
the patient was started on oral prednisolone with subsequent normalization of
renal functions and serum calcium after one month of treatment.
PMID- 22089785
TI - Renal cell carcinoma associated with granulomatous reaction.
AB - Granulomatous inflammation is a distinctive pattern of chronic inflammatory
reaction characterized by accumulation of epithelioid histiocytes and
multinucleate giant cells. The cause of granulomas can be infectious or non
infectious. Granulomas have been described within the stroma of malignancies like
carcinomas of the breast and colon, seminoma and Hodgkin's lymphoma, where they
represent T-cell-mediated reaction of the tumor stroma to antigens expressed by
the tumor. Granulomatous reaction in association with renal cell carcinoma (RCC)
is uncommon, with only few published reports in the literature. We describe three
cases of conventional (clear cell) RCC associated with epithelioid granulomas
within the tumor parenchyma.
PMID- 22089786
TI - Renal abscess due to Escherichia coli in a child.
AB - Among the various intraabdominal abscesses, renal abscess is a rare entity,
especially in children and accounts for a number of cases of "missed diagnoses."
Drainage of pus and appropriate antibiotic therapy is the gold standard for
treatment. Here we report a case of left renal abscess in a 6-year-old female
child secondary to renal calculus. The patient presented with abdominal pain and
mild fever for three months and the diagnosis was made by X-ray in the kidney,
ureter and bladder (KUB) region, intravenous pyelography and ultrasonography of
the abdomen. Escherichia coli was isolated from pus obtained by percutaneous
drainage under sonographic guidance. The patient responded to intra-venous
ceftriaxone, amikacin, and percutaneous drainage.
PMID- 22089787
TI - Spontaneous remission of post-transplant recurrent focal and segmental
glomerulosclerosis.
AB - A 12-year-old girl with a history of steroid and cyclosporine (CsA) resistant
nephrotic syndrome owing to focal and segmental glomerulosclerosis (FSGS) has
progressed to end-stage renal disease (ESRD) for which she underwent hemodialysis
for 18 months before she successfully received a fully matched kidney transplant
from her sister at the age of nine years. The post transplantation (Tx) period
was marked by an early and massive proteinuria indicating recurrent FSGS for
which she received 12 sessions of plasmapheresis (PP); unfortunately, she did not
appear to have any response to the PP therapy; thereafter, a conservative
management comprising essentially enalapril and losartan has been initiated and
was also not successful during the first four months, however, a very gradual
response has been noticed to occur after five months of conservative therapy and
ultimately, the patient attained complete remission after 21 months of treatment.
Amazingly, 15 months after discontinuation of enalapril and losartan, she
remained in a complete and sustained remission with a good renal allograft
function. To the best of our knowledge, this is the first case ever reported in
the literature of a "spontaneous" remission of post transplant recurrent FSGS.
PMID- 22089788
TI - Rhabdomyolysis, acute kidney injury and transverse myelitis due to naive heroin
exposure.
AB - Heroin exposure can cause various complications like seizures, stroke, spongiform
encephalopathy, transverse myelopathy, plexopathy, compartment syndrome,
rhabdomyolysis and renal failure due to various mechanisms. We report here a
young male who smoked heroin for the first time and developed transverse
myelitis, rhabdomyolysis and acute kidney injury requiring dialysis. His renal
recovery was complete by four weeks, while neurological improvement occurred 8 to
12 weeks later. This case suggests a common pathogenic mechanism of heroin
intoxication involving multiple systems of the body.
PMID- 22089789
TI - Acute urine retention induced by ceftriaxone.
AB - Ceftriaxone is known to cause biliary pseudolithiasis and, rarely,
nephrolithiasis. When used in neonates receiving intravenous calcium, fatal lung
and kidney calcifications occur. There is no satisfactory explanation for the
pseudolithiasis, and the mechanism of stone formation remains unknown. Herein, we
report a child with acute urinary retention (AUR) secondary to ceftriaxone
therapy. The AUR developed on the second hospital day. The urinary excretion of
uric acid was elevated. In retrospect, there was a positive paternal family
history of gout and stones. A positive family history of gout or stones is a
pointer to the possibility of AUR or urolithiasis in patients on treatment with
ceftriaxone. If urinary symptoms develop, it is worth checking for crystalluria.
This will avoid many unnecessary investigations and procedures.
PMID- 22089790
TI - What makes an effective clinical trainer?
AB - This study aims at identifying characteristics and behavioral patterns associated
with the ideal tutor in different medical fields. This study is expected to
answer two questions, first is effective supervision and how can this be
determined? And secondly, what skills and qualities do effective supervisors
need? An English limited search was performed in many databases including
MEDLINE, BEI, and International ERIC for the last 20 years using many search
items to identify characteristics cited in the literature as being important for
effective clinical teaching. One hundred thirty two articles identified the
review excluded literature relating to research supervision. Findings revealed
that some of these investigations relied on the tutor's point of view, others on
a resident's or a student's opinion or both. Descriptors for effective clinical
teachers were categorized into three main classes: (a) teaching skills (i.e. well
prepared, practices evidence-based medicine and believes in its principles and
applications, effective, accessible, and provides and accepts both positive and
negative feedback), (b) personality (i.e. enthusiastic, respectable, sincere,
confident, humanitarian, and compassionate), and (c) attitude (i.e. health
advocate, good role-model, encouraging, non-judgmental, aware of learners'
growth, well-groomed, and appropriately dressed). Other factors such as the
trainer's health (i.e. professional, personal, and spiritual fulfillment) and
his/her scholarly activities were also identified.
PMID- 22089791
TI - Motor disability in end-stage renal failure: an epidemiological study on Italian
dialyzed patients.
PMID- 22089792
TI - Presentation of seven members of a family with the Alport's syndrome.
PMID- 22089793
TI - Copper sulphate poisoning and exchange transfusion.
PMID- 22089794
TI - Arterial embolization for spontaneous rupture of renal cell carcinoma.
PMID- 22089795
TI - Uremic bleeding with pericardial and subconjunctival hemorrhage.
PMID- 22089796
TI - A five-year etiology and antimicrobial susceptibility patterns of urinary
pathogens in children at Princess Rahmah Hospital, Jordan.
PMID- 22089797
TI - Hematuria following Karate (Kumite) competitions in females.
PMID- 22089798
TI - Urachal mucinous cystadenoma.
PMID- 22089799
TI - Groin urinoma: a delayed complication of bladder injury.
PMID- 22089800
TI - Antibody-mediated rejection: Importance of lactate dehydrogenase and neutrophilia
in early diagnosis.
PMID- 22089801
TI - The prevalence of tuberculosis in recipients of renal transplantation.
PMID- 22089802
TI - Anti-HCMV IgG positivity rate among renal transplant recipients in Baghdad.
AB - In developing countries, the majority of infection by human cytomegalovirus
(HCMV) occurs during childhood and continues as a latent infection. By adulthood,
almost all the population may show anti-HCMV IgG as positive. This study was
undertaken to determine the correlation between the prevalence of HCMV antibodies
and HCMV infection during post transplant period among renal transplant patients
in Baghdad. 43 renal transplant patients attending three renal transplantation
centers, and 40 healthy individuals who served as controls were enrolled in this
study. 18 (41.9%) were transplanted recently and they were under post-operative
follow-up and 25 (58.1%) were transplanted more than one year ago. Detection of
anti-HCMV IgG was carried out using enzyme-linked immunosorbant assay (ELISA).
The results revealed that anti-HCMV IgG was significantly higher among renal
transplant recipients compared to healthy controls (97.7% vs 85%, P = 0.04). The
anti-HCMV IgG positivity rate was not affected by patients' age, sex, and
duration after transplantation or immunosuppressive therapy. We conclude that the
high anti-HCMV IgG positivity rate among Iraqi renal transplant recipients make
them prone to considerable risk of reactivation of HCMV infection.
PMID- 22089803
TI - Achievements of kidney disease outcomes quality initiative goals in hemodialysis
patients at Jordan University Hospital.
AB - This study was conducted to assess the current practice patterns of care for
hemodialysis (HD) patients at the Jordan University Hospital Dialysis Center
using Dialysis Outcomes Quality Initiative Guidelines as the reference. In a
cross-sectional study, we assessed 61 patients on HD. The Kt/V was calculated,
and data on serum levels of hemoglobin, iron, ferritin, transferrin saturation,
calcium, phosphate, and intact parathormone (PTH) were collected. The values were
compared with the dialysis outcomes quality initiative (K/DOQI) recommended
target values. Forty-one patients (67.2%) had an arteriovenous fistula as the
primary access. The mean hemoglobin level was 10.8 +/- 1.4 g/dL, 9.8% of patients
had mean serum ferritin < 100 ng/dL and 14.7% had transferrin saturation < 20%.
The mean serum calcium level was 9.1 +/- 0.9 mg/dL and serum calcium level
between 8.5 and 10.5 mg/dL was found in 82% of HD patients. The mean serum
phosphorus was 3.9 +/- 1.1 mg/dL and 59% of patients had serum phosphorus between
3.5 and 5.5 mg/dL. The mean serum PTH was 364 +/- 315 and 14 patients (23%) had
serum PTH between 150 and 300 pg/mL. The achieved standard of HD among our study
patients was acceptable and, in many aspects, comparable with the NKF-KDOQI
guidelines. However, there is still need to improve the management of anemia and
control of hyperparathyroidism.
PMID- 22089804
TI - Chronic renal failure in Al-Anbar of Iraq.
AB - There is no precise study of the epidemiology and prevalence of chronic renal
failure in Al-Anbar, Iraq. Therefore, we studied 230 hemodialysis (HD) patients
at the HD unit of Al-Ramadi teaching hospital during the period from April 1,
2008 to April 1, 2009. There were 124 (53%) male patients with a mean age of 48
+/- 18.5 years, and 146 (63%) patients were older than 40 years. The estimated
prevalence of chronic renal failure was 141 patients per million population.
Diabetes mellitus (33%) and hypertension (22.6%) were the most common causes of
chronic renal failure, followed in order by obstructive uropathy in 17.3%,
undetermined causes in 14%, pyelonephritis in 4.7%, glomerulonephritis in 4.3%,
and polycystic kidney disease in 3.9%. This study suggests that large number of
patients with end-stage renal disease (ESRD) have diabetes and hypertension.
However, those patients with undetermined cause still form a significant portion
of etiology of ESRD, and this reflects late referral combined with diagnostic
limitations.
PMID- 22089805
TI - Preliminary audit on early identification and management of chronic kidney
disease in adults in an acute general medical unit in Sri Lanka.
AB - This was the first round of an audit to analyze how closely the National
Institute of Clinical Excellence (NICE) guidelines on early identification and
management of chronic kidney disease in adults is adhered to by a medical ward in
the National Hospital of Sri Lanka. One hundred consecutive patients who were not
diagnosed to have chronic kidney disease (CKD) but had risk factors for future
development of CKD were selected from the male and female wards of the University
Medical Unit, National Hospital, Colombo, Sri Lanka. Data were collected by
interviewing patients and from the case notes, and entered on a proforma designed
based on the recommendations outlined in the NICE guidelines on the prevention of
CKD. Target blood pressure was achieved in 66% (n=66). Urine ward test was
performed only in 58% of the patients, and this was positive for protein in 15
patients. Investigations to exclude urinary tract infection were performed in
12%. Measurement of serum creatinine was carried out in 40%, but estimated
glomerular filtration rate, albumin:creatinine ratio and protein:creatinine ratio
were not carried out in any of the patients. Forty percent of the patients were
educated by ward staff regarding CKD, 22% on risk factor modification, 23%
regarding renal replacement therapy, 34% regarding dietary modifications and 67%
regarding importance of exercise. Twenty-six percent of the patients were not
educated on any of the above components. ACEI, ARB and statins were prescribed
only in 47%, 9% and 64%, respectively. Although follow-up was indicated in all
these patients, it was arranged only in 17%. The concurrence with NICE guidelines
on CKD prevention was found to be poor. Strategies for improvement are discussed.
PMID- 22089806
TI - Epidemiology of chronic kidney disease in a Sri Lankan population: experience of
a tertiary care center.
AB - Chronic kidney disease (CKD) is a growing problem in Sri Lanka. Diabetes and
hypertension are the main contributors to the disease burden. A new form of CKD
of uncertain etiology (CKD-u) is the predominant form of CKD in certain parts of
Sri Lanka, threatening to reach epidemic proportions. A cross-sectional
descriptive study was carried out over a three-month period at the National
Hospital of Sri Lanka to identify the underlying etiologic factors for the
disease in a cohort of patients with CKD. A total of 200 patients were studied
with a mean age of 50.57 years. Of them, 108 (54%) were in CKD stage V. Majority
of the patients were from the western province (137, 68.5%) with only five (2.5%)
from provinces with high prevalence of CKD-u. The most common underlying causes
of CKD were diabetes (88, 44%) and hypertension (34, 17%). However, in patients
younger than 40 years of age the most common cause was glomerulonephritis (20,
42.6%). Diabetes was the most common cause of CKD among patients from the western
province (74, 54%). The prevalence of CKD-u was twice as high in patients from
areas outside the western province compared with patients from this province (P >
0.05). The low prevalence of CKD-u in the study population could be the result of
poor representation of patients from provinces with high prevalence of CKD-u.
PMID- 22089807
TI - Self-compatibility of 'Zaoguan' (Pyrus bretschneideri Rehd.) is associated with
style-part mutations.
AB - The pear cultivar 'Zaoguan' (S(4)S(34)) is the a self-compatible descendant of
'Yali' (S(21)S(34)) * 'Qingyun'(S(4)S(9)). Two self-incompatible cultivars
'Xinya' and 'Yaqing', also S-genotyped as S(4)S(34) for the S-RNase gene, were
used as controls. Field pollination data revealed that 'Zaoguan' displayed SC,
whereas 'Xinya' and 'Yaqing' showed self-incompatibility (SI) upon self
pollination. Reciprocal pollinations between the varieties showed that most of
the 'Zaoguan' flowers pollinated with 'Xinya' or 'Yaqing' pollen set fruits but
that few of the 'Xinya' or 'Yaqing' flowers set fruit when pollinated with
'Zaoguan' pollen. The pollen performance was monitored with fluorescence
microscopy, and we observed that 'Zaoguan' accepted self-pollen as well as
'Xinya' or 'Yaqing' pollen, whereas 'Xinya' or 'Yaqing' rejected self-pollen and
'Zaoguan' pollen. The S(34)-RNase but not the S(4)-RNase could be detected in all
selfed progeny of 'Zaoguan'. Comparisons of the 2D-PAGE profiles of the stylar
extracts from the three cultivars showed that the S(4)-RNase protein expressed
normally, but the S(34)-RNase of 'Zaoguan' was not found. Thus, we concluded that
the stylar S(34) products were defective in 'Zaoguan' and that the S (4)-allele
functioned normally. The nucleotide sequences of the S(4)- and S(34)-RNase of
'Zaoguan' showed no differences from those of 'Xinya' or 'Yaqing', and they
transcribed normally. These results indicate that SC in 'Zaoguan' was due to the
loss of the S(34)-RNase caused by unknown post-transcriptional factors.
PMID- 22089808
TI - Fe-catalysed oxidative C-H functionalization/C-S bond formation.
AB - Iron was used as the catalyst for the direct C-H functionalization/C-S bond
formation under mild conditions. Various substrates could afford benzothiazoles
in moderate to excellent yields. Preliminary mechanistic studies revealed that
pyridine played a crucial role for the high yields and selectivities.
PMID- 22089809
TI - Posterior auricular artery-middle cerebral artery bypass for additional surgery
of moyamoya disease.
PMID- 22089810
TI - Direct arteriovenous fistula at the inferolateral trunk mimicking carotid
cavernous fistula without involving the cavernous sinus: a case report.
AB - The authors present the case of a 66-year-old female who developed progressive
pulsating exophthalmos, a bruit, and conjunctival chemosis 7 months after a head
injury. These symptoms, though highly suspicious of carotid cavernous fistula,
were caused by an arteriovenous fistula (AVF) between the inferolateral trunk
(ILT) and the ophthalmic veins. A direct AVF at the branch of the ILT without
involvement of the cavernous sinus is extremely rare, but could occur in the case
of acquired AVF since the ILT has some branches around venous structures outside
the cavernous sinus. The clinical implications of this case are discussed in
terms of the anatomical aspects.
PMID- 22089811
TI - Does NAD(P)H oxidase-derived H2O2 participate in hypotonicity-induced insulin
release by activating VRAC in beta-cells?
AB - NAD(P)H oxidase (NOX)-derived H(2)O(2) was recently proposed to act, in several
cells, as the signal mediating the activation of volume-regulated anion channels
(VRAC) under a variety of physiological conditions. The present study aims at
investigating whether a similar situation prevails in insulin-secreting BRIN-BD11
and rat beta-cells. Exogenous H(2)O(2) (100 to 200 MUM) at basal glucose
concentration (1.1 to 2.8 mM) stimulated insulin secretion. The inhibitor of
VRAC, 5-nitro-2-(3-phenylpropylamino)-benzoate (NPPB) inhibited the secretory
response to exogenous H(2)O(2). In patch clamp experiments, exogenous H(2)O(2)
was observed to stimulate NPPB-sensitive anion channel activity, which induced
cell membrane depolarization. Exposure of the BRIN-BD11 cells to a hypotonic
medium caused a detectable increase in intracellular level of reactive oxygen
species (ROS) that was abolished by diphenyleneiodonium chloride (DPI), a
universal NOX inhibitor. NOX inhibitors such as DPI and plumbagin nearly totally
inhibited insulin release provoked by exposure of the BRIN-BD11 cells to a
hypotonic medium. Preincubation with two other drugs also abolished hypotonicity
induced insulin release and reduced basal insulin output: 1) N-acetyl-L-cysteine
(NAC), a glutathione precursor that serves as general antioxidant and 2)
betulinic acid a compound that almost totally abolished NOX4 expression. As NPPB,
each of these inhibitors (DPI, plumbagin, preincubation with NAC or betulinic
acid) strongly reduced the volume regulatory decrease observed following a
hypotonic shock, providing an independent proof that VRAC activation is mediated
by H(2)O(2). Taken together, these data suggest that NOX-derived H(2)O(2) plays a
key role in the insulin secretory response of BRIN-BD11 and native beta-cells to
extracellular hypotonicity.
PMID- 22089813
TI - Early migration of an Amplatzer muscular ventricular septal defect occluder
device causing severe tricuspid valve obstruction.
AB - Percutaneous closure of perimembranous and muscular ventricular septal defects is
becoming well established worldwide. We present the case of a 15-year-old girl
with postoperative tetrology of Fallot and a residual ventricular septal defect
that was closed with Amplatzer device complicated by early device migration and
severe tricuspid valve obstruction.
PMID- 22089814
TI - Blood cyst of the mitral valve.
AB - Blood cysts of the mitral valve are mostly benign diverticuli lined by
endothelium and filled with blood and can be safely monitored with
echocardiographic follow-up. We report a case of asymptomatic blood cyst of the
mitral valve in a 63-year-old woman referred for a systolic murmur. At 3-year
echo follow-up, the patient is free from notable clinical events.
PMID- 22089815
TI - The Stent for Life project in Italy.
AB - In Italy, as in other European countries, public service healthcare presents some
disparities that are related to geographical, economic, organizational and
structural issues. Although some Italian regions have excellent networks for the
treatment of ST-elevation myocardial infarction (STEMI), others still have to
develop a model that allows each STEMI patient to receive the best reperfusion
treatment. A recent nationwide registry from the Italian Society of
Interventional Cardiology (SICI-GISE) showed that efficient STEMI networks cover
approximately 50% of the Italian territory. For these reasons, Italy joined the
Stent for Life initiative in August 2010 with the primary goal of implementing
and defining tailored action programs in order to ensure that the majority of the
Italian STEMI population have access to life-saving primary percutaneous coronary
intervention.
PMID- 22089812
TI - Physiology of potassium channels in the inner membrane of mitochondria.
AB - The inner membrane of the ATP-producing organelles of endosymbiotic origin,
mitochondria, has long been considered to be poorly permeable to cations and
anions, since the strict control of inner mitochondrial membrane permeability is
crucial for efficient ATP synthesis. Over the past 30 years, however, it has
become clear that various ion channels--along with antiporters and uniporters-
are present in the mitochondrial inner membrane, although at rather low
abundance. These channels are important for energy supply, and some are a
decisive factor in determining whether a cell lives or dies. Their
electrophysiological and pharmacological characterisations have contributed
importantly to the ongoing elucidation of their pathophysiological roles. This
review gives an overview of recent advances in our understanding of the functions
of the mitochondrial potassium channels identified so far. Open issues concerning
the possible molecular entities giving rise to the observed activities and
channel protein targeting to mitochondria are also discussed.
PMID- 22089816
TI - Bronchogenic cyst of interatrial septum.
AB - Bronchogenic cyst, also known as inclusion cyst, is a type of congenital
endodermal heterotropia derived from an abnormal development of the ventral
diverticulum of the foregut or the tracheobronchial tree during embryogenesis.
Its interatrial localization is extremely rare and making a final diagnosis
without surgery challenges the clinician. Herein, we report a 58-year-old male
patient who had an interatrial bronchogenic cyst related to transient ischemic
attack.
PMID- 22089817
TI - An unusual mediastinal outline.
AB - Thymoma is the most common primary neoplasm of the anterior mediastinum. We
describe the case of a 40-year-old man with asymptomatic thymoma involving the
right atrium, and the diagnostic pathway.
PMID- 22089818
TI - Gold nanoparticle-based fluorescence immunoassay for malaria antigen detection.
AB - The development of rapid detection assays for malaria diagnostics is an area of
intensive research, as the traditional microscopic analysis of blood smears is
cumbersome and requires skilled personnel. Here, we describe a simple and
sensitive immunoassay that successfully detects malaria antigens in infected
blood cultures. This homogeneous assay is based on the fluorescence quenching of
cyanine 3B (Cy3B)-labeled recombinant Plasmodium falciparum heat shock protein 70
(PfHsp70) upon binding to gold nanoparticles (AuNPs) functionalized with an anti
Hsp70 monoclonal antibody. Upon competition with the free antigen, the Cy3B
labeled recombinant PfHsp70 is released to solution resulting in an increase of
fluorescence intensity. Two types of AuNP-antibody conjugates were used as
probes, one obtained by electrostatic adsorption of the antibody on AuNPs surface
and the other by covalent bonding using protein cross-linking agents. In
comparison with cross-linked antibodies, electrostatic adsorption of the
antibodies to the AuNPs surfaces generated conjugates with increased activity and
linearity of response, within a range of antigen concentration from 8.2 to 23.8
MUg.mL(-1). The estimated LOD for the assay is 2.4 MUg.mL(-1) and the LOQ is 7.3
MUg.mL(-1). The fluorescence immunoassay was successfully applied to the
detection of antigen in malaria-infected human blood cultures at a 3% parasitemia
level, and is assumed to detect parasite densities as low as 1,000 parasites.MUL(
1).
PMID- 22089819
TI - The herbalome--an attempt to globalize Chinese herbal medicine.
AB - The herbalome is a project with the objective of globalizing Chinese herbal
medicine (CHM) by clarification of its composition, structure, and function; by
establishing a standard resource library; and by interpreting the synergistic and
complementary mechanisms of multi-components on multi-targets. In phase I, it
focuses on the development of systematic separation methodology for resolving and
analyzing the complex components in CHM and establishment of a comprehensive
resource library. This review summarizes recent advances in the herbalome project
with regard to innovative separation techniques and demonstration of a resource
library.
PMID- 22089820
TI - EUROanalysis 16--hot Belgrade, summer in the city.
PMID- 22089821
TI - Asymmetric Michael addition reactions of 3-substituted benzofuran-2(3H)-ones to
nitroolefins catalyzed by a bifunctional tertiary-amine thiourea.
AB - The current work reports an organocatalytic strategy for the asymmetric catalysis
of chiral benzofuran-2(3H)-ones bearing 3-position all-carbon quaternary
stereocenters. Accordingly, highly enantioselective Michael addition reactions of
3-substituted benzofuran-2(3H)-ones to nitroolefins have been developed by
utilizing a bifunctional tertiary-amine thiourea catalyst. The reactions
accommodate a number of nitroolefins and 3-substituted benzofuran-2(3H)-ones to
give the desired chiral benzofuran-2(3H)-one products with moderate to excellent
yields (up to 98%) and moderate to very good selectivities (up to 19 : 1 dr and
up to 91% ee). Theoretical calculations using the DFT method on the origin of the
stereoselectivity were conducted. The effect of the nitroolefin substituent
position on the stereoselectivity of the Michael addition reaction was also
theoretically rationalized.
PMID- 22089822
TI - Olfactory experience modifies semiochemical responses in a bark beetle predator.
AB - A typical feature of forest insect pests is their tendency to undergo large
fluctuations in abundance, which can jeopardize the persistence of their
predaceous natural enemies. One strategy that these predators may adopt to cope
with these fluctuations would be to respond to sensory cues for multiple prey
species. Another possible adaptation to temporal variation in the prey community
could involve the learning of prey cues and switching behavior. We conducted
three experiments to investigate the ability of the generalist bark beetle
predator Thanasimus dubius (F.) (Coleoptera: Cleridae) to respond to different
prey signals and to investigate the effect of olfactory experience. We first
conducted a field choice test and a wind tunnel experiment to examine the
kairomonal response of individual predators toward prey pheromone components
(frontalin, ipsenol, ipsdienol, sulcatol) along with the pine monoterpene alpha
pinene, which is a volatile compound from the host of the prey. We also presented
semiochemically naive predators with two prey pheromone components, frontalin and
ipsenol, alone or associated with a reward. Our results showed that T. dubius
populations are composed of generalists that can respond to a broad range of
kairomonal signals. Naive T. dubius also were more attracted to ipsenol following
its association with a reward. This work constitutes the first evidence that the
behavior of a predatory insect involved in bark beetle population dynamics is
influenced by previous olfactory experience, and provides a potential explanation
for the pattern of prey switching observed in field studies.
PMID- 22089823
TI - Species-specific chemical signatures in scale insect honeydew.
AB - The quantity and chemical composition of honeydew produced by scale insects may
influence wider community structure, but little is known about the detailed
chemical composition of the honeydew found in forest ecosystems. We used gas
chromatography-mass spectrometry to examine the amino acid and carbohydrate
composition of honeydew from three New Zealand communities. Low molecular weight
carbohydrates (mono-, di-, and tri-saccharides) were derivatized using a modified
trimethylsilyl (TMS) method, and amino and non-amino organic acids were
derivatized using methylchloroformate (MCF). These recently developed
derivatization methods allowed us to detect atypical compounds such as sugar
alcohols, fatty acids, and non-amino organic acids, in addition to the more
routinely studied compounds such as sugars and amino acids. Some compounds could
not be identified and may be novel. Multivariate analysis showed that honeydew
from each scale insect species had a distinctive amino acid and carbohydrate
signature. We suggest these chemical signatures may influence the types of
consumers that are attracted to different honeydews and may explain the
characteristic communities associated with these honeydews.
PMID- 22089824
TI - Heart rate variability in complex regional pain syndrome during rest and mental
and orthostatic stress.
AB - BACKGROUND: Complex regional pain syndrome (CRPS) is a pain condition with
regional sensory and autonomic abnormalities in the affected limb. The authors
studied systemic autonomic and hemodynamic function in CRPS patients during rest,
and during orthostatic and mental arithmetic stress. METHODS: Twenty patients
with CRPS and 20 age-, sex-, and body mass index-matched control subjects
participated. Mean values of heart rate variability, baroreceptor sensitivity,
blood pressure, stroke volume, cardiac output, and total peripheral resistance
were estimated during supine rest and 60 degrees tilt-table testing. On a
separate day, heart rate variability was also measured during mental arithmetic
stress testing induced by a paced auditory serial addition task. RESULTS: Heart
rate was increased and heart rate variability reduced in patients with CRPS
patients compared with control subjects during rest and mental and orthostatic
stress, whereas baroreceptor sensitivity was unaffected. When tilted from supine
to upright position, patients with CRPS were not able to preserve cardiac output
in comparison with control subjects, and they exhibited an exaggerated increase
in the total peripheral resistance. The hemodynamic changes correlated to pain
duration but not to pain intensity. CONCLUSION: The increased heart rate and
decreased heart rate variability in CRPS suggest a general autonomic imbalance,
which is an independent predictor for increased mortality and sudden death. The
inability of the patients to protect their cardiac output during orthostatic
stress was aggravated with the chronicity of the disease.
PMID- 22089825
TI - Establishing oleaginous microalgae research models for consolidated bioprocessing
of solar energy.
AB - Algal feedstock is the foundation of the emerging algal biofuel industry.
However, few algae found in nature have demonstrated the combination of high
biomass accumulation rate, robust oil yield and tolerance to environmental
stresses, all complex traits that a large-scale, economically competitive
production scheme demands. Therefore, untangling the intricate sub-cellular
networks underlying these complex traits, in one or a series of carefully
selected algal research models, has become an urgent research mission, which can
take advantage of the emerging model oleaginous microalgae that have already
demonstrated small, simple and tackleable genomes and the potential for large
scale open-pond cultivation. The revolutions in whole-genome-based technologies,
coupled with systems biology, metabolic engineering and synthetic biology
approaches, would enable the rational design and engineering of algal feedstock
and help to fill the gaps between the technical and economical reality and the
enormous potential of algal biofuels.
PMID- 22089826
TI - Biohydrogen production from anaerobic fermentation.
AB - Significant progress has been achieved in China for biohydrogen production from
organic wastes, particularly wastewater and agricultural residues, which are
abundantly available in China. This progress is reviewed with a focus on hydrogen
producing bacteria, fermentation processes, and bioreactor configurations.
Although dark fermentation is more efficient for hydrogen production, by-products
generated during the fermentation not only compromise hydrogen production yield
but also inhibit the bacteria. Two strategies, combination of dark fermentation
and photofermentation and coupling of dark fermentation with a microbial
electrolysis cell, are expected to address this issue and improve hydrogen
production as well as substrate utilization, which are also discussed. Finally,
challenges and perspectives for biohydrogen production are highlighted.
PMID- 22089827
TI - Facilitators and barriers to implementation of an evidence-based parenting
intervention to prevent child maltreatment: the Triple P-Positive Parenting
Program.
AB - The prevention of child maltreatment via parenting interventions requires
implementation on a broad scale, which is facilitated by drawing on a
multidisciplinary array of service workers located in multiple settings. This
underscores the importance of understanding factors that impact worker
implementation of evidenced-based parenting and family support interventions.
This study involved structured interviews with 174 service providers from several
disciplines who had been trained previously in the delivery of the Triple P
Positive Parenting Program. These follow-up interviews, conducted an average of
about 2 years after professional in-service training, provided the basis for
examining predictors of sustained program use. Predictors examined included
facilitators and barriers to program use, as well as organizational and provider
level characteristics such as attitudes toward evidence-based interventions.
Highlighting the importance of a systems-contextual perspective on
implementation, several provider and organization-level characteristics
significantly predicted program use including provider self-confidence after
training, fit of program with ongoing duties, availability of posttraining
support, and perceived benefit of intervention for children and families.
Implications for prevention and implementation science are discussed in view of
the challenges inherent in the field of child maltreatment.
PMID- 22089828
TI - Mixed Ising ferrimagnets with next-nearest-neighbour couplings on square
lattices.
AB - We study Ising ferrimagnets on square lattices with antiferromagnetic exchange
couplings between spins of values S = 1/2 and 1 on neighbouring sites, couplings
between S = 1 spins at next-nearest-neighbour sites of the lattice and a single
site anisotropy term for the S = 1 spins. Using mainly ground state
considerations and extensive Monte Carlo simulations, we investigate various
aspects of the phase diagram, including compensation points, critical properties
and temperature-dependent anomalies. In contrast to previous belief, the next
nearest-neighbour couplings, when being of antiferromagnetic type, may lead to
compensation points.
PMID- 22089829
TI - Revertant mosaicism in Kindler syndrome.
PMID- 22089830
TI - Soluble peptide treatment reverses CD8 T-cell-induced disease in a mouse model of
spontaneous tissue-selective autoimmunity.
AB - Transgenic (Tg) mouse models of autoimmunity have been used to express model
antigens that can be recognized by T cells or by autoantibodies. To identify
mechanisms of CD8-mediated tissue-specific autoimmune reactions and to identify
potential treatments, we generated a double-transgenic (DTg) murine model of
autoimmunity by crossing keratin-14 (K14)-soluble chicken ovalbumin (sOVA) mice,
which express sOVA predominantly in external ear skin, with OT-I mice whose CD8 T
cells express Valpha2/Vbeta5 regions of the TCR and are specific for SIINFEKL
peptide (chicken ovalbumin (OVA) peptide 257-264) in association with class I
major histocompatibility complex. The K14-sOVA/OT-I DTg mice develop a
destructive process selectively targeting the external ear pinnae in the first 6
days of life. The ear bud area develops an intense inflammatory infiltrate of OT
I cells. Administration of the SIINFEKL peptide intravenously to pregnant F1
(filial 1, first filial generation of animal offspring from cross-mating two
parental types) mice and subsequently intraperitoneally to newborn pups resulted
in normal external ear development. Treatment with this self-peptide markedly
reduced OT-I cell numbers, as well as downregulated the CD8 co-receptor. This
model can be useful in studying localized, tissue-specific, immune-mediated skin
disease, and provide information about potential therapies for autoimmune
diseases in which specific molecular targets are known.
PMID- 22089831
TI - Substance P (SP) induces expression of functional corticotropin-releasing hormone
receptor-1 (CRHR-1) in human mast cells.
AB - Corticotropin-releasing hormone (CRH) is secreted under stress and regulates the
hypothalamic-pituitary-adrenal axis. However, CRH is also secreted outside the
brain where it exerts proinflammatory effects through activation of mast cells,
which are increasingly implicated in immunity and inflammation. Substance P (SP)
is also involved in inflammatory diseases. Human LAD2 leukemic mast cells express
only CRHR-1 mRNA weakly. Treatment of LAD2 cells with SP (0.5-2 MUM) for 6 hours
significantly increases corticotropin-releasing hormone receptor-1 (CRHR-1) mRNA
and protein expression. Addition of CRH (1 MUM) to LAD2 cells, which are "primed"
with SP for 48 hours and then washed, induces synthesis and release of IL-8,
tumor necrosis factor (TNF), and vascular endothelial growth factor (VEGF) 24
hours later. These effects are blocked by pretreatment with an NK-1 receptor
antagonist. Treatment of LAD2 cells with CRH (1 MUM) for 6 hours induces gene
expression of NK-1 as compared with controls. However, repeated stimulation of
mast cells with CRH (1 MUM) leads to downregulation of CRHR-1 and upregulation in
NK-1 gene expression. These results indicate that SP can stimulate mast cells and
also increase expression of functional CRHR-1, whereas CRH induces NK-1 gene
expression. These results may explain CRHR-1 and NK-1 expression in lesional skin
of psoriatic patients.
PMID- 22089832
TI - Identification of telogen markers underscores that telogen is far from a
quiescent hair cycle phase.
PMID- 22089833
TI - Clinical expression and new SPINK5 splicing defects in Netherton syndrome:
unmasking a frequent founder synonymous mutation and unconventional intronic
mutations.
AB - Netherton syndrome (NS) is a severe skin disease caused by loss-of-function
mutations in SPINK5 (serine protease inhibitor Kazal-type 5) encoding the serine
protease inhibitor LEKTI (lympho-epithelial Kazal type-related inhibitor). Here,
we disclose new SPINK5 defects in 12 patients, who presented a clinical triad
suggestive of NS with variations in inter- and intra-familial disease expression.
We identified a new and frequent synonymous mutation c.891C>T (p.Cys297Cys) in
exon 11 of the 12 NS patients. This mutation disrupts an exonic splicing enhancer
sequence and causes out-of-frame skipping of exon 11. Haplotype analysis
indicates that this mutation is a founder mutation in Greece. Two other new deep
intronic mutations, c.283-12T>A in intron 4 and c.1820+53G>A in intron 19,
induced partial intronic sequence retention. A new nonsense c.2557C>T (p.Arg853X)
mutation was also identified. All mutations led to a premature termination codon
resulting in no detectable LEKTI on skin sections. Two patients with deep
intronic mutations showed residual LEKTI fragments in cultured keratinocytes.
These fragments retained some functional activity, and could therefore, together
with other determinants, contribute to modulate the disease phenotype. This new
founder mutation, the most frequent mutation described in European populations so
far, and these unusual intronic mutations, widen the clinical and molecular
spectrum of NS and offer new diagnostic perspectives for NS patients.
PMID- 22089836
TI - Tree that provides paclitaxel is put on list of endangered species.
PMID- 22089834
TI - Automatic segmentation of ground-glass opacities in lung CT images by using
Markov random field-based algorithms.
AB - Chest radiologists rely on the segmentation and quantificational analysis of
ground-glass opacities (GGO) to perform imaging diagnoses that evaluate the
disease severity or recovery stages of diffuse parenchymal lung diseases.
However, it is computationally difficult to segment and analyze patterns of GGO
while compared with other lung diseases, since GGO usually do not have clear
boundaries. In this paper, we present a new approach which automatically segments
GGO in lung computed tomography (CT) images using algorithms derived from Markov
random field theory. Further, we systematically evaluate the performance of the
algorithms in segmenting GGO in lung CT images under different situations. CT
image studies from 41 patients with diffuse lung diseases were enrolled in this
research. The local distributions were modeled with both simple and adaptive
(AMAP) models of maximum a posteriori (MAP). For best segmentation, we used the
simulated annealing algorithm with a Gibbs sampler to solve the combinatorial
optimization problem of MAP estimators, and we applied a knowledge-guided
strategy to reduce false positive regions. We achieved AMAP-based GGO
segmentation results of 86.94%, 94.33%, and 94.06% in average sensitivity,
specificity, and accuracy, respectively, and we evaluated the performance using
radiologists' subjective evaluation and quantificational analysis and diagnosis.
We also compared the results of AMAP-based GGO segmentation with those of support
vector machine-based methods, and we discuss the reliability and other issues of
AMAP-based GGO segmentation. Our research results demonstrate the acceptability
and usefulness of AMAP-based GGO segmentation for assisting radiologists in
detecting GGO in high-resolution CT diagnostic procedures.
PMID- 22089837
TI - Breast cancer: overview & updates.
AB - Breast cancer is a complex disease and treatment recommendations are continually
changing. It is the leading cancer in women and the second leading cause of
cancer mortality. This overview of breast cancer will discuss pathologic
features, local and systemic treatment considerations, endocrine therapy,
metastatic treatment regimens, and follow-up for optimal breast health. Recent
approvals that advance the treatment of metastatic breast cancer are also
addressed.
PMID- 22089838
TI - Cellular regulation of the inflammatory response.
AB - In simple terms, inflammation can be defined as a beneficial, nonspecific
response of tissues to injury that generally leads to restoration of normal
structure and function. In this concept, resolution of the inflammatory response,
once it has achieved its protective and pro-immunogenic functions, becomes a
critical determinant of what might be considered the paradox of inflammation. On
one hand, inflammation is essential to resolve tissue injury and maintain
homeostasis. On the other, inflammation is a key participant in the great
majority of human diseases. Accordingly, to achieve complete resolution of
inflammation, it is necessary to both turn off inflammatory mediator production
and inflammatory cell accumulation and to remove inflammatory cells and debris
without initiating an autoimmune response. Much of this process involves key
activities of the mononuclear phagocyte series of cells, including resident and
recruited macrophages. Recognition of activated and dying acute inflammatory
cells by mononuclear phagocytes has been shown to (a) enhance macropinocytic
activity for removal of debris, (b) enhance uptake of the effete inflammatory
cells themselves, (c) induce inflammosuppressive and immunosuppressive mediators
such as TGFbeta and IL-10 that can down-regulate and limit proinflammatory
mediator production, and (d) induce production of growth factors for tissue cells
that may play key roles in tissue repair. Defects in these highly regulated
processes are associated with persistent inflammation and/or autoimmunity in
overaggressive resolution mechanisms such as nonresolving fibrosis or persistent
tissue destruction as in emphysema.
PMID- 22089839
TI - Proceedings of the 2011 National Toxicology Program Satellite Symposium.
AB - The 2011 annual National Toxicology Program (NTP) Satellite Symposium, entitled
"Pathology Potpourri," was held in Denver, Colorado in advance of the Society of
Toxicologic Pathology's 30th Annual Meeting. The goal of the NTP Symposium is to
present current diagnostic pathology or nomenclature issues to the toxicologic
pathology community. This article presents summaries of the speakers'
presentations, including diagnostic or nomenclature issues that were presented,
along with select images that were used for audience voting or discussion. Some
lesions and topics covered during the symposium include: proliferative lesions
from various fish species including ameloblastoma, gas gland hyperplasia, nodular
regenerative hepatocellular hyperplasia, and malignant granulosa cell tumor;
spontaneous cystic hyperplasia in the stomach of CD1 mice and histiocytic
aggregates in the duodenal villous tips of treated mice; an olfactory
neuroblastoma in a cynomolgus monkey; various rodent skin lesions, including
follicular parakeratotic hyperkeratosis, adnexal degeneration, and epithelial
intracytoplasmic accumulations; oligodendroglioma and microgliomas in rats; a
diagnostically challenging microcytic, hypochromic, responsive anemia in rats; a
review of microcytes and microcytosis; nasal lesions associated with green tea
extract and Ginkgo biloba in rats; corneal dystrophy in Dutch belted rabbits;
valvulopathy in rats; and lymphoproliferative disease in a cynomolgus monkey.
PMID- 22089840
TI - TCDD: an environmental immunotoxicant reveals a novel pathway of immunoregulation
-a 30-year odyssey.
AB - I was honored to be the keynote speaker at the 30th Annual Society of Toxicologic
Pathology Symposium "Toxicologic Pathology and the Immune System." I had the
opportunity to reminisce about events in the 1970s that set the stage for the
birth and subsequent growth of the field of immunotoxicology and to summarize my
research career that has spanned the past 40 years as well. An initial focus on
the immunotoxicity of pentachlorophenol led my laboratory into the aryl
hydrocarbon receptor (AHR) field and the study of its most potent ligand, 2,3,7,8
tetrachlorodibenzo-p-dioxin (TCDD). My research career has been devoted to trying
to elucidate the immunological basis of TCDD's profound immunosuppressive
activity that is mediated by activation of AHR. In recent years, my laboratory
has focused on the role of CD4(+ )T cells as targets of TCDD, and we were the
first to describe the induction of AHR-dependent regulatory T cells (Tregs). The
ability to induce Tregs using an exogenous AHR ligand to activate the AHR-Treg
pathway represents a novel approach to the prevention and/or treatment of
autoimmune disease. We are currently searching for such ligands.
PMID- 22089841
TI - Potentially increased sensitivity of pregnant and lactating female rats to
immunotoxic agents.
AB - Characteristic susceptibility to environmental and pharmaceutical exposure may
occur during periods in life of marked histophysiological changes of the immune
system. Perinatal development is such a period; pregnancy followed by lactation
is potentially another one. Here, we explored the influence of pregnancy and
lactation on the model immunotoxic compound di-n-octyltin dichloride (DOTC) in
rats using clinical and histopathological parameters. Female rats were exposed to
0, 3, 10, or 30 mg DOTC/kg feed during pregnancy and up to 20 (at weaning) or 56
days after delivery. Age-matched nonmated females were exposed during the same
time periods. DOTC at the level of 10 and 30 mg/kg decreased thymus weight and
affected thymus morphology in the lactating rats. In addition, DOTC decreased the
numbers of neutrophils in the lactating rats. These effects were no longer
apparent at day 56 despite continuous exposure to DOTC. This explorative study
indicates that the innate and adaptive immune system may be especially sensitive
to immunotoxicants during pregnancy and lactation.
PMID- 22089842
TI - Immune functioning in non lymphoid organs: the liver.
AB - The liver is the primary hematopoietic organ of the mammalian body during the
fetal stage. The postnatal liver retains immunologically important functions and
contains a substantial population of immunologically active cells, including T
and B lymphocytes, Kupffer cells, liver-adapted natural killer (NK) cells (pit
cells), natural killer cells expressing T cell receptor (NKT cells), stellate
cells, and dendritic cells. The liver is the major site of production of the
acute phase proteins that are associated with acute inflammatory reactions.
Kupffer cells have an important role in the nonspecific phagocytosis that
comprises a major component of the barrier to invasion of pathogenic organisms
from the intestine. Hepatic NK and NKT cells are important in the nonspecific
cell killing that is important in resistance to tumor cell invasion. The liver
has a major role in deletion of activated T cells and induction of tolerance to
ingested and self-antigens. Disposal of waste molecules generated through
inflammatory, immunologic, or general homeostatic processes is accomplished via
the action of specific endocytic receptors on sinusoidal endothelial cells of the
liver. Age-related changes in sinusoids (pseudocapillarization), autophagy, and
functions of various hepatic cell populations result in substantial alterations
in many of these immunologically important functions.
PMID- 22089843
TI - Enhanced histopathology of the immune system: a review and update.
AB - Enhanced histopathology (EH) of the immune system is a tool that the pathologist
can use to assist in the detection of lymphoid organ lesions when evaluating a
suspected immunomodulatory test article within a subchronic study or as a
component of a more comprehensive, tiered approach to immunotoxicity testing.
There are three primary points to consider when performing EH: (1) each lymphoid
organ has separate compartments that support specific immune functions; (2) these
compartments should be evaluated individually; and (3) semiquantitative
descriptive rather than interpretive terminology should be used to characterize
any changes. Enhanced histopathology is a screening tool that should be used in
conjunction with study data including clinical signs, gross changes, body weight,
spleen and thymus weights, other organ or tissue changes, and clinical pathology.
Points to consider include appropriate tissue collection, sectioning, and
staining; lesion grading; and diligent comparison with concurrent controls. The
value of EH of lymphoid organs is to aid in the identification of target cell
type, changes in cell production and cell death, changes in cellular trafficking
and recirculation, and determination of mechanism of action.
PMID- 22089844
TI - General session 3: acquired immunity.
AB - Session 3 of the Toxicologic Pathology and the Immune System Symposium, presented
as part of the 30th Annual Symposium of the Society of Toxicologic Pathology in
2011, focused on the biological advances in control of selected cellular and
secretory components of acquired immunity. Acquired immunity goes beyond innate
immunity to provide controlled recognition and memory for specific antigenic
challenges. Predominately involving activation of T and B lymphocytes, the
resulting cellular- and secretory-mediated activity provides immediate and long
term host defenses to antigenic challenge. This session highlighted the
biological advances in function and dysfunction of acquired immunity through
regulatory T cells, the pathophysiology of effector cells and secretory molecules
in immunosuppression, allergic inflammatory disease, and dysregulation that leads
to loss of tolerance and autoimmune disease. A brief overview of major concepts
in acquired immunity and summaries of the above themes are covered herein, and
discussions of these themes are covered in greater detail in this issue of
Toxicologic Pathology.
PMID- 22089845
TI - Building a successful trauma practice in a community setting.
AB - The development of a busy community-based trauma practice is a multifaceted
endeavor that requires good clinical judgment, business acumen, interpersonal
skills, and negotiation tactics. Private practice is a world in which perfect
outcomes are expected and efficiency is paramount. Successful operative outcomes
are dependent on solid clinical training, good preoperative planning, and
communication with mentors when necessary. Private practitioners must display
confidence, polite behavior, and promptness. Maintaining availability for
consultation from emergency room physicians, private practice physicians, and
local orthopaedic surgeons is a powerful marketing tool. Orthopaedic trauma
surgery has been shown to be a profitable field for hospitals and private
practitioners. However, physician success depends on a sound understanding of
hospital finance, marketing skills, and knowledge of billing and coding. As the
financial pressures of medical care increase, hospital negotiation will be
paramount, and private practitioners must combine clinical and business skills to
provide good patient care while maintaining independence and financial security.
PMID- 22089846
TI - Building a successful trauma practice in academics.
AB - Building a successful trauma practice in the academic medicine sector requires
planning, contemplation, and continuous reevaluation. Although there are no rigid
formulas, there are some common sense approaches that can help you start along
the path toward a successful academic trauma career. This article outlines a
blueprint that I have found helpful in establishing my academic practice. There
are 4 major areas of emphasis that we will discuss: (1) the importance of a
definable career path and goals; (2) developing a small understanding of the
business aspects of medicine and trauma; (3) the value of developing a niche
within the practice of trauma; and (4) The importance of developing complementary
orthopaedic skills outside the realm of conventional trauma. Occasionally, the
third and fourth areas may overlap.
PMID- 22089847
TI - Finding your first job in a community hospital.
AB - Community hospitals offer excellent opportunities for graduating orthopaedic
trauma fellows. To successfully compete for these jobs, applicants today must be
better prepared than their predecessors. Organizing your practice aspirations and
researching job opportunities before the interview are necessary for an effective
job search. Often, a collaborative effort with hospital administrators is
required to establish a viable practice that fulfills the unique needs of the
hospital and surrounding community while concurrently satisfying your practice
goals. Issues to consider in defining your desired practice, guidelines on how to
prepare for an interview with a community hospital, and suggestions on how to
justify the expense of establishing your practice are presented.
PMID- 22089848
TI - Finding your first job in academics: interviewing strategies.
AB - Successfully obtaining your first academic orthopaedic surgery position is a
daunting adventure, but one in which you can succeed with proper preparation.
First, you must identify specifically what you want to be doing on a daily basis
at work. Then, you find places where you can potentially do those things. The
interview will provide more definitive information and will allow you to assess
if you can happily work in that environment. Remember that you must have balance
in your life, so consider if the area is suitable to your and to your family's
needs. By identifying your personal goals and desires of this initial position
and thoroughly preparing for the entire interview process, you will be able to
locate the most desirable opportunity available.
PMID- 22089849
TI - Intramedullary nailing: evolutions of femoral intramedullary nailing: first to
fourth generations.
AB - Intramedullary femoral nailing is the gold standard for femoral shaft fixation
but only in the past 27 years. This rapid replacement of closed traction and cast
techniques in North America was a controversial and contentious evolution in
surgery. As we enter the fourth generation of implant design, capabilities, and
surgical technique, it is important to understand the driving forces for this
technology. These forces included changes in radiographic imaging capabilities,
biomaterial design and computer-assisted manufacturing, and the recognition of
the importance of mobilization of the trauma patient to avoid systemic
complications and optimize functional recovery.
PMID- 22089850
TI - Introduction: Young practitioners forum supplement.
PMID- 22089851
TI - My first year in academic practice: what I learned, what I wish I knew, what I
would do differently.
AB - Joining a practice for the first time after training, whether in academia or the
private sector, is fraught with challenges and a learning curve. Postgraduate
education has not sufficiently taught anyone how to manage the nuances of this
new arena or how to become successful. Success is not solely a function measured
by reportable taxable income. Respect by colleagues, patients, partners, and
staff is just as important, if not more so. The financial attributes will be
realized if the tangibles and intangibles discussed are appropriately executed in
the correct environment. This article will discuss what to be cognizant of during
the first years in academic practice and how to build a foundation for success.
PMID- 22089852
TI - Navigating the orthopaedic trauma fellowship match from a candidate's
perspective.
AB - The Orthopaedic Trauma Fellowship Match is now in its fourth year as the
principal match service for prospective orthopaedic traumatology fellowship
candidates. The match is facilitated by SF Match. There are now more than 50
participating programs in the United States and Canada, and the number of
applicants has expanded in recent years. For many applicants, the match process
can be very time consuming and expensive and there are a multitude of factors to
consider. Here, we share our experiences in the trauma match and offer our best
advice for success.
PMID- 22089853
TI - Research in a non-academic setting: it can be done.
AB - The need for quality research to promote evidence-based practice and optimize
patient care is well recognized. This is particularly relevant in orthopedic
trauma care, as trauma is a leading cause of morbidity and mortality worldwide.
Across the spectrum of academic, clinical, and health care administration
physician roles, research remains fundamental to bridging theory, practice, and
education. A gap exists, however, between research and practice, especially for
those physicians practicing outside traditional academic centers. Orthopedic
trauma surgeons are well situated to contribute to important research; however
the majority of those in practice at non-academic centers do not have a
significant research component structured into their physician role. In this
article, the authors outline the importance of orthopedic trauma surgeons outside
of academic centers being engaged in research and present some advice on how to
effectively participate in these endeavors. In this setting, orthopedic trauma
research involvement includes asking researchable questions, being a savvy
manager of research collaborators, staff, and funding, and finding one's own
level of research involvement.
PMID- 22089854
TI - Research: getting started in an academic setting.
AB - Surgeons who choose a position in an academic teaching center have chosen to
incorporate research and education into their clinical practice. These clinicians
scientists need passion, an inquiring mind, pertinent questions, time, money, and
a research team to pursue a lifetime of intellectual challenges and patient care.
The desire and passion for research is a critical requirement. An "inquiring
mind" has to have a relevant question that is feasible to be tested and attain an
answer. Adequate time carved into one's weekly schedule will greatly facilitate
research productivity. Pursuit of money will also assist in obtaining the support
personnel to help gather data, communicate with institutional review boards, and
write grants and manuscripts. All these components will be critical members of
the "research team." Established teams can also greatly facilitate orthopaedic
research by joining groups that are doing multicenter studies with established
studies. This can be a great learning experience and introduction into
multicenter research.
PMID- 22089855
TI - Things you never thought of that make a difference: personal goals, common sense,
and good behavior in practice.
AB - There are many aspects of your practice that you never think of when you first
get into your new practice environment. You have spent the better part of your
life training in a rigorous surgical residency and possibly fellowship. You have
worked hard to get to this station in life. Because of your training and the
obvious fact that you are a hard-driving individual, there are certain subtleties
to a successful practice that you might have overlooked or never thought about
during your training years. In many ways, it is the "little things" that you
never learned or never thought of that will affect your overall long-term
practice success, personal happiness, and relationships the most. This article
reviews aspects of practice that at first glance are merely good common sense.
PMID- 22089856
TI - When things don't work out: finding another job.
AB - It is extremely common for young orthopaedic surgeons find themselves in
unsatisfactory practice situations early in their careers. This article
highlights several points to bear in mind when considering a change of employment
in the first few years of practice. Many factors should be revisited from the
candidate's initial job search to fully analyze the situation. If the problems
are found to be irreconcilable, then the decision can be made to find a more
suitable setting, but the relocation process should be handled judiciously. There
are many resources available that can be helpful to make a successful transition.
PMID- 22089857
TI - Remodeling of the epitope repertoire of a candidate idiotype vaccine by targeting
to lysosomal degradation in dendritic cells.
AB - The generation of efficacious vaccines against self-antigens expressed in tumor
cells requires breakage of tolerance, and the refocusing of immune responses
toward epitopes for which tolerance may not be established. While the
presentation of tumor antigens by mature dendritic cells (mDC) may surpass
tolerance, broadening of the antigenic repertoire remains an issue. We report
that fusion of the candidate idiotype vaccine IGKV3-20 to the Gly-Ala repeat
(GAr) of the Epstein-Barr virus nuclear antigen (EBNA)-1 inhibits degradation by
the proteasome and redirects processing to the lysosome. mDCs transduced with a
recombinant lentivirus encoding the chimeric idiotype efficiently primed CD4+ and
CD8+ cytotoxic T-cell (CTL) responses that lysed autologous blasts expressing
IGKV3-20 or pulsed with IGKV3-20 synthetic peptides, and HLA-matched IGKV3-20
positive tumor cell lines. Comparison of the cytotoxic response of CD4+ and CD8+
T lymphocytes activated by mDCs expressing the wild-type or chimeric IGKV3-20
reveled largely non-overlapping epitope repertoires in both CD4+ and CD8+
effectors. Thus, fusion to the GAr may provide an effective means to broaden the
immune response to an endogenous protein by promoting the presentation of
antigenic epitopes that require a lysosome-dependent processing step.
PMID- 22089858
TI - Iron-induced remodeling in cultured rat pulmonary artery endothelial cells.
AB - Although iron is known to be a component of the pathogenesis and/or maintenance
of acute lung injury (ALI) in experimental animals and human subjects, the
majority of these studies have focused on disturbances in iron homeostasis in the
airways resulting from exposure to noxious gases and particles. Considerably less
is known about the effect of increased plasma levels of redox-reactive non
transferrin bound iron (NTBI) and its impact on pulmonary endothelium. Plasma
levels of NTBI can increase under various pathophysiological conditions,
including those associated with ALI, and multiple mechanisms are in place to
affect the [Fe(2+)]/[Fe(3+)] redox steady state. It is well accepted, however,
that intracellular transport of NTBI occurs after reduction of [Fe(3+)] to
[Fe(2+)] (and is mediated by divalent metal transporters). Accordingly, as an
experimental model to investigate mechanisms mediating vascular effects of redox
reactive iron, rat pulmonary artery endothelial cells (RPAECs) were subjected to
pulse treatment (10 min) with [Fe(2+)] nitriloacetate (30 MUM) in the presence of
pyrithione, an iron ionophore, to acutely increase intracellular labile pool of
iron. Cellular iron influx and cell shape profile were monitored with time-lapse
imaging techniques. Exposure of RPAECs to [Fe(2+)] resulted in: (i) an increase
in intracellular iron as detected by the iron sensitive fluorophore, PhenGreen;
(ii) depletion of cell glutathione; and (iii) nuclear translocation of stress
response transcriptional factors Nrf2 and NFkB (p65). The resulting iron-induced
cell alterations were characterized by cell polarization and formation of
membrane cuplike and microvilli-like projections abundant with ICAM-1, caveolin
1, and F-actin. The iron-induced re-arrangements in cytoskeleton, alterations in
focal cell-cell interactions, and cell buckling were accompanied by decrease in
electrical resistance of RPAEC monolayer. These effects were partially eliminated
in the presence of N,N'-bis (2-hydroxybenzyl) ethylenediamine-N,N'-diacetic acid,
an iron chelator, and Y27632, a Rho-kinase inhibitor. Thus acute increases in
labile iron in cultured pulmonary endothelium result in structural remodeling
(and a proinflammatory phenotype) that occurs via post-transcriptional mechanisms
regulated in a redox sensitive fashion.
PMID- 22089859
TI - The copper-inducible ComR (YcfQ) repressor regulates expression of ComC (YcfR),
which affects copper permeability of the outer membrane of Escherichia coli.
AB - The pathway of copper entry into Escherichia coli is still unknown. In an attempt
to shed light on this process, a lux-based biosensor was utilized to monitor
intracellular copper levels in situ. From a transposon-mutagenized library,
strains were selected in which copper entry into cells was reduced, apparent as
clones with reduced luminescence when grown in the presence of copper (low
glowers). One low-glower had a transposon insertion in the comR gene, which
encodes a TetR-like transcriptional regulator. The mutant strain could be
complemented by the comR gene on a plasmid, restoring luminescence to wild-type
levels. ComR did not regulate its own expression, but was required for copper
induction of the neighboring, divergently transcribed comC gene, as shown by real
time quantitative PCR and with a promoter-lux fusion. The purified ComR regulator
bound to the promoter region of the comC gene in vitro and was released by
copper. By membrane fractionation, ComC was shown to be localized in the outer
membrane. When grown in the presence of copper, ?comC cells had higher
periplasmic and cytoplasmic copper levels, compared to the wild-type, as assessed
by the activation of the periplasmic CusRS sensor and the cytoplasmic CueR
sensor, respectively. Thus, ComC is an outer membrane protein which lowers the
permeability of the outer membrane to copper. The expression of ComC is
controlled by ComR, a novel, TetR-like copper-responsive repressor.
PMID- 22089860
TI - Combination of branched-chain amino acid and angiotensin-converting enzyme
inhibitor improves liver fibrosis progression in patients with cirrhosis.
AB - An effective therapeutic strategy for suppressing liver fibrosis should improve
the overall prognosis of patients with chronic liver diseases. Although enormous
efforts are ongoing to develop anti-fibrotic agents, no drugs have yet been
approved as anti-fibrotic agents for humans. Insulin resistance (IR) is
reportedly involved in the progression of liver fibrosis. The aim of the present
study was to evaluate the effect of combination treatment with a clinically used
branched-chain amino acid (BCAA) and an angiotensin-converting enzyme inhibitor
(ACE-I) on several fibrotic indices in patients with liver cirrhosis under the
condition of IR. BCAA granules (Livact; 12 g/day) and/or ACE-I (perindopril; 4
mg/day) were administered, and several indices were analyzed. A 48-month follow
up revealed that the combination treatment with BCAA and ACE-I markedly improved
the progression of serum fibrosis markers, whereas single treatment with either
BCAA or ACE-I did not exert these inhibitory effects. The plasma level of
transforming growth factor-beta was significantly attenuated almost in parallel
with the suppression of serum fibrosis markers. Furthermore, the combined
treatment with BCAA and ACE-I improved the serum albumin level and IR, which was
evaluated using the homeostasis model assessment method for IR. Taken together,
since both BCAA and ACE-I are widely used with safety in clinical practice, these
results indicate that this combination therapy may represent a potential new
future strategy against liver fibrosis development in patients with liver
cirrhosis under the condition of IR.
PMID- 22089861
TI - Experimental and theoretical investigations of isomerization reactions of ionized
acetone and its dimer.
AB - Ionization dynamics of acetone and its dimer in supersonic jets is investigated
by a combination of experimental and theoretical techniques, both of which have
recently been developed. In experiments, the neutral and the cationic structures
are explored by infrared predissociation spectroscopy with the vacuum-ultraviolet
photoionization detection schemes. Reaction paths following the one-photon
ionization of the acetone monomer and its dimer have been studied by the joint
use of several theoretical methods including the ab initio molecular dynamics,
the global reaction route mapping, the intrinsic reaction coordinate, and the
artificial force induced reaction calculations. Upon one-photon ionization, the
dimer isomerizes to the H-bonded form, in which the enol cation of acetone is
bound to the neutral molecule, while this enolization is energetically forbidden
in the acetone monomer. The enolization of the dimer cation occurs through a two
step proton-transfer from the methyl group of the ionized moiety, and is
catalyzed by the neutral moiety within the dimer cation.
PMID- 22089862
TI - One-pot synthesis of novel 2,3-dihydro-1H-indazoles.
AB - A copper(I)-mediated one-pot synthesis of 2,3-dihydro-1H-indazole heterocycles
has been developed. This synthetic route provides the desired indazoles in
moderate to good yields (55%-72%) which are substantially better than those
achievable with an alternative two-step reaction sequence. The reaction is
tolerant of functionality on the aromatic ring.
PMID- 22089863
TI - Mn(III)-initiated facile oxygenation of heterocyclic 1,3-dicarbonyl compounds.
AB - The Mn(III)-initiated aerobic oxidation of heterocyclic 1,3-dicarbonyl compounds,
such as 4-alkyl-1,2-diphenylpyrazolidine-3,5-diones, 1,3-dialkylpyrrolidine-2,4
diones, 3-alkyl-1,5-dimethylbarbituric acids, and 3-butyl-4-hydroxy-2-quinolinone
gave excellent to good yields of the corresponding hydroperoxides, which were
gradually degraded by exposure to the metal initiator after the reaction to
afford the corresponding alcohols. The synthesis of 30 heterocyclic 1,3
dicarbonyl compounds, the corresponding hydroperoxides and the 10 alcohols, their
characterization, and the limitations of the procedure are described. In
addition, the mechanism of the hydroperoxidation and the redox decomposition of
the hydroperoxides are discussed.
PMID- 22089864
TI - The beneficial effect of hydrogen on CO oxidation over Au catalysts. A
computational study.
AB - Density functional theory calculations have been carried out to explore the
effect of hydrogen on the oxidation of CO in relation to the preferential
oxidation of CO in the presence of excess hydrogen (PROX). A range of gold
surfaces have been selected including the (100), stepped (310) surfaces and
diatomic rows on the (100) surface. These diatomic rows on Au(100) are very
efficient in H-H bond scission. O(2) hydrogenation strongly enhances the surface
oxygen interaction and assists in scission of the O-O bond. The activation energy
required to make the reaction intermediate hydroperoxy (OOH) from O(2) and H is
small. However, we postulate its presence on our Au models as the result of
diffusion from oxide supports to the gold surfaces. The OOH on Au in turn opens
many low energy cost channels to produce H(2)O and CO(2). CO is selectively
oxidized in a H(2) atmosphere due to the more favorable reaction barriers while
the formation of adsorbed hydroperoxy enhances the reaction rate.
PMID- 22089866
TI - Coexistence of predator and prey in intraguild predation systems with ontogenetic
niche shifts.
AB - In basic intraguild predation (IGP) systems, predators and prey also compete for
a shared resource. Theory predicts that persistence of these systems is possible
when intraguild prey is superior in competition and productivity is not too high.
IGP often results from ontogenetic niche shifts, in which the diet of intraguild
predators changes as a result of growth in body size (life-history omnivory). As
a juvenile, a life-history omnivore competes with the species that becomes its
prey later in life. Competition can hence limit growth of young predators, while
adult predators can suppress consumers and therewith neutralize negative effects
of competition. We formulate and analyze a stage-structured model that captures
both basic IGP and life-history omnivory. The model predicts increasing
coexistence of predators and consumers when resource use of stage-structured
predators becomes more stage specific. This coexistence depends on adult
predators requiring consumer biomass for reproduction and is less likely when
consumers outcompete juvenile predators, in contrast to basic IGP. Therefore,
coexistence occurs when predation structures the community and competition is
negligible. Consequently, equilibrium patterns over productivity resemble those
of three-species food chains. Life-history omnivory thus provides a mechanism
that allows intraguild predators and prey to coexist over a wide range of
resource productivity.
PMID- 22089865
TI - A unified model of autopolyploid establishment and evolution.
AB - The prevalence of polyploidy among flowering plants is surprising given the
hurdles impeding the establishment and persistence of novel polyploid lineages.
In the absence of strong assortative mating, reproductive assurance, or large
intrinsic fitness advantages, new polyploid lineages face almost certain
extinction through minority cytotype exclusion. Consequently, much work has
focused on a search for adaptive advantages associated with polyploidy such as
increased competitive ability, enhanced ecological tolerances, and increased
resistance to pathogens. Yet, no consistent adaptive advantages of polyploidy
have been identified. Here, to investigate the potential for autopolyploid
establishment and persistence in the absence of any intrinsic fitness advantages,
we develop a simulation model of a diploid population that sporadically gives
rise to novel autopolyploids. The autopolyploids have only very small levels of
initial assortative mating or niche differentiation, generated entirely by dosage
effects of genome duplication, and they have realistic levels of reproductive
assurance. Our results show that by allowing assortative mating and competitive
interactions to evolve, establishment of novel autopolyploid lineages becomes
common. Additional scenarios where adaptive optima change over time reveal that
rapid environmental change promotes the replacement of diploid lineages by their
autopolyploid descendants. These results help to explain recent empirical
findings that suggest that many contemporary polyploid lineages arose during the
Cretaceous-Tertiary extinction, without invoking adaptive advantages of
polyploidy.
PMID- 22089867
TI - The population and evolutionary dynamics of Vibrio cholerae and its
bacteriophage: conditions for maintaining phage-limited communities.
AB - Although bacteriophage have been reported to be the most abundant organisms on
earth, little is known about their contribution to the ecology of natural
communities of their host bacteria. Most importantly, what role do these viral
parasitoids play in regulating the densities of bacterial populations? To address
this question, we use experimental communities of Vibrio cholerae and its phage
in continuous culture, and we use mathematical models to explore the population
dynamic and evolutionary conditions under which phage, rather than resources,
will limit the densities of these bacteria. The results of our experiments
indicate that single species of bacterial viruses cannot maintain the density of
V. cholerae populations at levels much lower than that anticipated on the basis
of resources alone. On the other hand, as few as two species of phage can
maintain these bacteria at densities more than two orders of magnitude lower than
the densities of the corresponding phage-free controls for extensive periods.
Using mathematical models and short-term experiments, we explore the population
dynamic processes responsible for these results. We discuss the implications of
this experimental and theoretical study for the population and evolutionary
dynamics of natural populations of bacteria and phage.
PMID- 22089868
TI - Sexual selection and conflict as engines of ecological diversification.
AB - Ecological diversification presents an enduring puzzle: how do novel ecological
strategies evolve in organisms that are already adapted to their ecological
niche? Most attempts to answer this question posit a primary role for genetic
drift, which could carry populations through or around fitness "valleys"
representing maladaptive intermediate phenotypes between alternative niches.
Sexual selection and conflict are thought to play an ancillary role by initiating
reproductive isolation and thereby facilitating divergence in ecological traits
through genetic drift or local adaptation. Here, I synthesize theory and evidence
suggesting that sexual selection and conflict could play a more central role in
the evolution and diversification of ecological strategies through the co
optation of sexual traits for viability-related functions. This hypothesis rests
on three main premises, all of which are supported by theory and consistent with
the available evidence. First, sexual selection and conflict often act at cross
purposes to viability selection, thereby displacing populations from the local
viability optimum. Second, sexual traits can serve as preadaptations for novel
viability-related functions. Third, ancestrally sex-limited sexual traits can be
transferred between sexes. Consequently, by allowing populations to explore a
broad phenotypic space around the current viability optimum, sexual selection and
conflict could act as powerful drivers of ecological adaptation and
diversification.
PMID- 22089869
TI - A manipulative test of competing theories for metabolic scaling.
AB - The reasons why metabolic rate (B) scales allometrically with body mass (M)
remain hotly debated. The field is dominated by correlational analyses of the
relationship between B and M; these struggle to disentangle competing
explanations because both B and M are confounded with ontogeny, life history, and
ecology. Here, we overcome these problems by using an experimental approach to
test among competing metabolic theories. We examined the scaling of B in size
manipulated and intact colonies of a bryozoan and show that B scales with M(0.5).
To explain this, we apply a general model based on the dynamic energy budget
theory for metabolic organization that predicts B on the basis of energy
allocation to assimilation, maintenance, growth, and maturation. Uniquely, this
model predicts the absolute value of B, emphasizes that there is no single
scaling exponent of B, and demonstrates that a single model can explain the
variation in B seen in nature.
PMID- 22089870
TI - Using time series analysis to characterize evolutionary and plastic responses to
environmental change: a case study of a shift toward earlier migration date in
sockeye salmon.
AB - Environmental change can shift the phenotype of an organism through either
evolutionary or nongenetic processes. Despite abundant evidence of phenotypic
change in response to recent climate change, we typically lack sufficient genetic
data to identify the role of evolution. We present a method of using phenotypic
data to characterize the hypothesized role of natural selection and
environmentally driven phenotypic shifts (plasticity). We modeled historical
selection and environmental predictors of interannual variation in mean
population phenotype using a multivariate state-space model framework. Through
model comparisons, we assessed the extent to which an estimated selection
differential explained observed variation better than environmental factors
alone. We applied the method to a 60-year trend toward earlier migration in
Columbia River sockeye salmon Oncorhynchus nerka, producing estimates of annual
selection differentials, average realized heritability, and relative cumulative
effects of selection and plasticity. We found that an evolutionary response to
thermal selection was capable of explaining up to two-thirds of the phenotypic
trend. Adaptive plastic responses to June river flow explain most of the
remainder. This method is applicable to other populations with time series data
if selection differentials are available or can be reconstructed. This method
thus augments our toolbox for predicting responses to environmental change.
PMID- 22089871
TI - A comparison of dynamic-state-dependent models of the trade-off between growth,
damage, and reproduction.
AB - Fast growth can be costly, so trade-offs between growth and fitness are to be
predicted when organisms adjust their growth to compensate for earlier
environmental conditions. We developed four generic models of increasing
complexity with different processes to predict the indeterminate growth of
vertebrate ectotherms, which is sensitive to ambient temperature even when food
is not limiting. We contrast the predictions of the models with observed
experimental data on growth trajectories, feeding activity, and reproductive
investment of three-spined sticklebacks and inferred patterns of accumulation of
biomolecular damage arising from activity and growth. All models predicted
observed patterns of compensatory growth (both accelerating and decelerating) in
response to earlier temperature perturbations, but the more complex models
provided the best fit to experimental data. Growth trajectories influenced future
reproductive investment regardless of final body size at breeding. Our findings
suggest that while models with fewer parameters can predict basic patterns of
growth in stable conditions, they cannot capture the costly long-term effects of
deviations from steady growth trajectories. In contrast, models in which foraging
activity is assumed to carry costs are capable of predicting the complex patterns
of feeding, growth, and reproductive investment seen in animals, with the cost of
a heightened mortality risk (e.g., through predation) being more important than
the cost of increased physiological damage.
PMID- 22089872
TI - Communal defense of territories and the evolution of sociality.
AB - The evolution of group living has attracted considerable attention from
behavioral ecologists working on a wide range of study species. However,
theoretical research in this field has been largely focused on cooperative
breeders. We extend this line of work to species that lack alloparental care
(hereafter termed "noncooperative species") but that may benefit from grouping by
jointly defending a common territory. We adopt a demographically explicit
approach in which the rates of births and deaths as well as the dispersal
decisions of individuals in the population determine the turnover rates of
territories and the competition for breeding vacancies thus arising. Our results
reveal that some of the factors thought to affect the evolution of cooperative
breeding also affect the evolution of group living in noncooperative species.
Specifically, high fecundity and low mortality of resident individuals both
increase the degree of habitat saturation and make joining an established group
more profitable for nonresidents (floaters). Moreover, if floaters can forcefully
take over territories, the degree of habitat saturation also affects the chance
that residents become targets of takeovers. In this situation, communal defense
of territories becomes an important benefit that further promotes the evolution
of group living.
PMID- 22089873
TI - How does life adapt to a gravitational environment? The outline of the
terrestrial gastropod shell.
AB - How do several characteristics adapt to gravity while mutually influencing each
other? Our study addresses this issue by focusing on the terrestrial gastropod
shell. The geometric relationship between the spire index (shell height/diameter)
and outline (cylindricality) is theoretically estimated. When the shell grows
isometrically, a high-spired shell becomes conical in shape and a low-spired
shell becomes cylindrical in shape. A physical model shows that the lowest- and
highest-spired shells are the most balanced. In addition, a cone shape is the
most balanced for a low-spired shell, and a column shape is the most balanced for
a high-spired shell. Spire index and cylindricality measured for freshwater
gastropods follow the relationship estimated by the model, whereas those for
terrestrial gastropods deviate from this relationship. This translates to a high
shell being more cylindrical than a flat shell, except in the case of extremely
high or low shells. This suggests that the shape of the most balanced shells
(lowest and highest shell heights) is constrained by coiling geometry but that
relatively unbalanced shells (intermediate shell heights) do not follow a coiling
geometry, as a result of adaptation to enable the snail to carry its shell more
effectively.
PMID- 22089874
TI - The role of predators in maintaining the geographic organization of aposematic
signals.
AB - Selective predation of aposematic signals is expected to promote phenotypic
uniformity. But while these signals may be uniform within a population, numerous
species display impressive variations in warning signals among adjacent
populations. Predators from different localities who learn to avoid distinct
signals while performing intense selection on others are thus expected to
maintain such a geographic organization. We tested this assumption by placing
clay frog models, representing distinct color morphs of the Peruvian poison dart
frog Ranitomeya imitator and a nonconspicuous frog, reciprocally between adjacent
localities. In each locality, avian predators were able to discriminate between
warning signals; the adjacent exotic morph experienced up to four times more
attacks than the local one and two times more than the nonconspicuous phenotype.
Moreover, predation attempts on the exotic morph quickly decreased to almost nil,
suggesting rapid learning. This experiment offers direct evidence for the
existence of different predator communities performing localized homogenizing
selection on distinct aposematic signals.
PMID- 22089877
TI - Understanding shifts in wildfire regimes as emergent threshold phenomena.
AB - Ecosystems driven by wildfire regimes are characterized by fire size
distributions resembling power laws. Existing models produce power laws, but
their predicted exponents are too high and fail to capture the exponent's
variation with geographic region. Here we present a minimal model of fire
dynamics that describes fire spread as a stochastic birth-death process,
analogous to stochastic population growth or disease spread and incorporating
memory effects from previous fires. The model reproduces multiple regional
patterns in fire regimes and allows us to classify different regions in terms of
their proximity to a critical threshold. Transitions across this critical
threshold imply abrupt and pronounced increases in average fire size. The model
predicts that large regions in Canada are currently close to this transition and
might be driven beyond the threshold in the future. We illustrate this point by
analyzing the time series for large fires (>199 ha) from the Canadian Boreal
Plains, found to have shifted from a subcritical regime to a critical regime in
the recent past. By contrast to its predecessor, the model also suggests that a
critical transition, and not self-organized criticality, underlies forest fire
dynamics, with implications for other ecological systems exhibiting power-law
like patterns, in particular for their sensitivity to environmental change and
control efforts.
PMID- 22089878
TI - The evolution of bacteriocin production in bacterial biofilms.
AB - Bacteriocin production is a spiteful behavior of bacteria that is central to the
competitive dynamics of many human pathogens. Social evolution predicts that
bacteriocin production is favored when bacteriocin-producing cells are mixed at
intermediate frequency with their competitors and when competitive neighborhoods
are localized. Both predictions are supported by biofilm experiments. However,
the means by which physical and biological processes interact to produce
conditions that favor the evolution of bacteriocin production remain to be
investigated. Here we fill this gap using analytical and computational
approaches. We identify and collapse key parameters into a single number, the
critical bacteriocin range, that measures the threshold distance from a focal
bacteriocin-producing cell within which its fitness is higher than that of a
sensitive cell. We develop an agent-based model to test our predictions and
confirm that bacteriocin production is most favored when relatedness is
intermediate and competition is local. We then use invasion analysis to determine
evolutionarily stable strategies for bacteriocin production. Finally, we perform
long-term evolutionary simulations to analyze how the critical bacteriocin range
and genetic lineage segregation affect biodiversity in multistrain biofilms. We
find that biodiversity is maintained in highly segregated biofilms for a wide
array of critical bacteriocin ranges. However, under conditions of high nutrient
penetration leading to well-mixed biofilms, biodiversity rapidly decreases and
becomes sensitive to the critical bacteriocin range.
PMID- 22089881
TI - Advanced fabrication of metal-organic frameworks: template-directed formation of
polystyrene@ZIF-8 core-shell and hollow ZIF-8 microspheres.
AB - The conjunction of porous ZIF-8 with polystyrene spheres is demonstrated to
induce the formation of polystyrene@ZIF-8 core-shell structures. A subsequent
etching process on polystyrene@ZIF-8 core-shells to remove polystyrene cores
results in a unique hollow ZIF-8.
PMID- 22089879
TI - Causes of variation in malaria infection dynamics: insights from theory and data.
AB - Parasite strategies for exploiting host resources are key determinants of disease
severity (i.e., virulence) and infectiousness (i.e., transmission between hosts).
By iterating the development of theory and empirical tests, we investigated
whether variation in parasite traits across two genetically distinct clones of
the rodent malaria parasite, Plasmodium chabaudi, explains differences in within
host infection dynamics and virulence. First, we experimentally tested key
predictions of our earlier modeling work. As predicted, the more virulent
genotype produced more progeny parasites per infected cell (burst size), but in
contrast to predictions, invasion rates of red blood cells (RBCs) did not differ
between the genotypes studied. Second, we further developed theory by confronting
our earlier model with these new data, testing a new set of models that
incorporate more biological realism, and developing novel theoretical tools for
identifying differences between parasite genotypes. Overall, we found robust
evidence that differences in burst sizes contribute to variation in dynamics and
that differential interactions between parasites and host immune responses also
play a role. In contrast to previous work, our model predicts that RBC age
structure is not important for explaining dynamics. Integrating theory and
empirical tests is a potentially powerful way of progressing understanding of
disease biology.
PMID- 22089882
TI - Structure-based prediction of protein-protein binding affinity with consideration
of allosteric effect.
AB - The conformational change upon protein-protein binding is largely ignored for a
long time in the affinity prediction community. However, it is widely recognized
that allosteric effect does play an important role in biomolecular recognition
and association. In this article, we describe a new quantitative structure
activity relationship (QSAR)-based strategy to capture the structural and
nonbonding information relating to not only the direct noncovalent interactions
between protein binding partners, but also the indirect allosteric effect
associated with binding. This method is then employed to quantitatively model and
predict the protein-protein binding affinities compiled in a recently published
benchmark consisting of 144 functionally diverse protein complexes with their
structures available in both bound and unbound states (Kastritis et al. Protein
Sci 20:482-491, 2011). With incorporating genetic algorithm and partial least
squares regression (GA-PLS) into this method, a significant linear relationship
between structural information descriptors and experimentally measured affinities
is readily emerged and, on this basis, detailed discussions of physicochemical
properties and structural implications underlying protein binding process, as
well as the contribution of allosteric effect to the binding are addressed. We
also give an empirical estimation of the prediction limit r(pred)(2) = 0.80 for
structure-based method used to determine protein-protein binding affinity.
PMID- 22089883
TI - Expression of transglutaminase-2 isoforms in normal human tissues and cancer cell
lines: dysregulation of alternative splicing in cancer.
AB - The multiple enzymatic activities and functions of transglutaminase type 2 (TG2)
may be attributed to alternative TG2 molecules produced by differential splicing
of TG2 mRNA. Different RNA transcripts of the human TG2 gene (TGM2) have been
identified, but the expression of TG2 multiple transcripts has never been
systematically addressed. We have confirmed and rationalized the main TG2
variants and developed a screening assay for the detection of alternative
splicing of TG2, based on real-time reverse-transcription PCR. We have quantified
the multiple TG2 transcripts in a wide range of normal tissues and in cancer cell
lines from four different sites of origin. Our data show a significant
correlation in the expression of canonical and alternative TG2 isoforms in normal
human tissue, but differences in alternative splicing of TG2 in cancer cell
lines, suggesting that in cancer cells the alternative splicing of TG2 is a more
active process.
PMID- 22089884
TI - Expression of the bacterial heavy metal transporter MerC fused with a plant
SNARE, SYP121, in Arabidopsis thaliana increases cadmium accumulation and
tolerance.
AB - The bacterial merC gene from the Tn21-encoded mer operon is a potential molecular
tool for improving the efficiency of metal phytoremediation. Arabidopsis SNARE
molecules, including SYP111, SYP121, and AtVAM3 (SYP22), were attached to the C
terminus of MerC to target the protein to various organelles. The subcellular
localization of transiently expressed GFP-fused MerC-SYP111, MerC-SYP121, and
MerC-AtVAM3 was examined in Arabidopsis suspension-cultured cells. We found that
GFP-MerC-SYP111 and GFP-MerC-SYP121 localized to the plasma membrane, whereas GFP
AtVAM3 localized to the vacuolar membranes. These results demonstrate that
SYP111/SYP121 and AtVAM3 target foreign molecules to the plasma membrane and
vacuolar membrane, respectively. To enhance the efficiency and potential of
plants to sequester and accumulate cadmium from contaminated sites, transgenic
Arabidopsis plants expressing MerC, MerC-SYP111, MerC-SYP121, or MerC-AtVAM3 were
generated. The transgenic plants that expressed MerC, MerC-SYP121, or MerC-AtVAM3
appeared to be normal, whereas the transgenic that expressed MerC-SYP111
exhibited severe growth defects. The transgenic plants expressing merC-SYP121
were more resistant to cadmium than the wild type and accumulated significantly
more cadmium. Thus, the expression of MerC-SYP121 in the plant plasma membrane
may provide an ecologically compatible approach for the phytoremediation of
cadmium pollution.
PMID- 22089885
TI - Sequential cognitive skills in emphysema patients following lung volume reduction
surgery: a 2-year longitudinal study.
AB - PURPOSE: This study compared visuomotor speed and cognitive flexibility in
emphysema patients treated with either standard multidisciplinary medical therapy
(MT) or lung volume reduction surgery (LVRS), followed over a 2-year period.
METHODS: MT patients (n = 544) and 542 LVRS patients completed the Trail Making
Test (TMT) Parts A and B prior to randomization (baseline). Testing was repeated
at 1 and 2 years. RESULTS: There were no differences on scores for TMT Parts A
and B between the LVRS and MT groups at baseline or at years 1 and 2. No
significant difference between MT and LVRS was noted in terms of overall change
in TMT Parts A and B over 2 years. The MT group had a significant improvement on
TMT Part A at each followup time compared with baseline (P < .03) but the LVRS
group did not. Both the MT and LVRS groups had a significant decline in
performance (increase in time to completion) on TMT Part B when comparing year 1
with baseline (P < .0001). CONCLUSION: Emphysema patients who received LVRS or MT
as treatment performed similarly on measures of visuomotor speed and flexibility
at baseline and 1- and 2-year followup. Both groups showed improvement on
visuomotor speed during the first year yet overall cognitive flexibility
declined. By the second year neither group had any significant change from
baseline. These findings suggest that improvement on visuomotor speed and
flexibility, observed in a previous 6-month study of LVRS subjects, was not
sustained at 1- and 2-year followup.
PMID- 22089887
TI - Clinical characteristics of meningiomas assessed by 11C-methionine and 18F
fluorodeoxyglucose positron-emission tomography.
AB - The clinical course of meningioma varies from case to case, despite similar
characteristics on magnetic resonance (MR) imaging. Functional imaging including
(11)C-methionine and (18)F-fluorodeoxyglucose (FDG) positron-emission tomography
(PET) has been widely studied for noninvasive preoperative evaluation of brain
tumors. However, few reports have examined correlations between meningiomas and
findings on (11)C-methionine and FDG PET. The objective of this study was to
clarify the relationship between tumor characteristics and (11)C-methionine and
FDG uptake in meningiomas. For 68 meningiomas in 51 cases, (11)C-methionine
uptake was evaluated by measuring both mean and maximum tumor/normal (T/N) ratio
for the whole area of the tumors. FDG uptake in 44 of those meningiomas was also
analyzed. Tumor size was measured volumetrically, and tumor-doubling time was
estimated. Histopathological evaluation was performed in 19 surgical cases. Mean
and maximum T/N ratios of (11)C-methionine PET were significantly higher in skull
base lesions than in non-skull-base lesions. Correlations of mean and maximum T/N
ratio of (11)C-methionine PET with tumor-doubling time, MIB-1 labeling index,
microvessel density and World Health Organization grading were not significant.
Mean T/N ratio of (11)C-methionine PET correlated significantly with tumor volume
according to logarithm regression modeling (P < 0.0001, R = 0.544). However, mean
and maximum T/N ratio of FDG-PET correlated with none of the tumor
characteristics described above. These results suggest that (11)C-methionine
uptake correlates with tumor volume, but not with tumor aggressiveness.
PMID- 22089888
TI - Increased major bleeding risk in patients with kidney dysfunction receiving
enoxaparin: a meta-analysis.
AB - PURPOSE: The elimination half-life and consequently the accumulation of
enoxaparin increase as glomerular filtration rate (GFR) decreases. A dose
adjustment for patients with a GFR <30 ml/min is recommended and considered
relatively safe. Our intention was to identify whether the use of enoxaparin is
safe and to determine what impact an enoxaparin dose adjustment has on patients
with a GFR <60 ml/min. METHODS: A PubMed search and meta-analysis of literature
were performed. Studies were analyzed to compare enoxaparin versus other
heparins/heparinoids and investigate enoxaparin at different stages of kidney
dysfunction. Only controlled trials were considered, and the enoxaparin dose had
to be specified. The clinical endpoint was defined as apparent major bleeding.
RESULTS: Out of 1,027 publications, 20 studies met the criteria and were
analyzed. Our meta-analysis shows that enoxaparin major bleeding complications at
a GFR < 60 ml/min increase significantly, with a relative risk (RR) of 1.67 [95%
confidence interval (CI) 1.12-2.50) compared with other anticoagulants (p =
0.01). RR for patients on enoxaparin therapy increases exponentially with each
stage of chronic kidney disease (CKD stage 1-5) [RR = 0.585 x exp(0.524 x CKD)].
Despite dose adjustment, the major bleeding risk is still significantly increased
in patients with a GFR < 60 ml/min versus those with a GFR > 60 ml/min.
CONCLUSION: Only patients with a GFR > 60 ml/min can be safely treated with
enoxaparin.
PMID- 22089889
TI - A detailed study of the intramolecular hydroamination of N-(ortho-alkynyl)aryl-N'
substituted trifluoroacetamidines and bromodifluoroacetamidines.
AB - The intramolecular hydroamination of N-(ortho-alkynyl)aryl-N'-substituted
trifluoroacetamidines and bromodifluoroacetamidines is studied in detail. When
the substituents on the alkyne fragment are aryl and alkyl groups, 5-endo-dig
cyclization occurs utilizing NaAuCl(4).2H(2)O as a catalyst, while 6-exo-dig
cyclization proceeds in the presence of K(2)CO(3) as a base. Interestingly, the
indole derivatives are afforded with good regioselectivity via a 5-endo-dig
pathway catalyzed by Cu(OAc)(2) when ortho-ethynyl appears on the aryl
substituent of the amidine. The electrophilic cyclization of the amidines also
shows good regioselectivity under the I(2)/NaHCO(3) system. At the end, a facile
cascade synthesis of fluorinated quinazolones is described via
hydroamination/ozonolysis from the corresponding amidine.
PMID- 22089891
TI - [Treatment of chronic pain. Selected interventional methods].
PMID- 22089892
TI - Is it worth offering cardiovascular disease prevention to the elderly?
AB - The question whether prevention in the elderly or in the old is still worthwhile
arises frequently in clinical practice. The life expectancy (LE) of elderly
persons is often underestimated and ranges for a 65-year-old European person from
17 to 23 years and for an 80-year-old from 8 and 11 years. In the elderly
patients with cardiovascular disease, preventive measures are of great benefit.
Smoking cessation results in substantial gains in LE and is more effective than
most other interventions. Lipid lowering with statins is cost effective and the
intensity of low-density lipoprotein cholesterol lowering correlates with the
risk reduction of cardiac events and stroke without increasing the risk of
cancer. A quality-adjusted life year costs US $ 18,800, less than the costs of a
nursing home for 1 year. Exercise training decreases cardiovascular events and
improves quality of life. The benefits of the Mediterranean diet are based on a
small randomized trial, which is supplemented by a large observational database.
A reduction in all-cause, cardiovascular disease, and cancer mortality is highly
likely. Blood pressure lowering reduces stroke and all-cause mortality above the
age of 80; however, the target blood pressure should be around 150/80 mmHg or
slightly lower. Annual vaccination against influenza is one of the most cost
effective methods to prolong life and should not be forgotten in patients with
cardiovascular disease above the age of 65. Thus a number of options are
available to add quality-adjusted life years in the elderly by adhering to the
general guidelines for cardiovascular prevention.
PMID- 22089890
TI - [Emergency anesthesia, airway management and ventilation in major trauma.
Background and key messages of the interdisciplinary S3 guidelines for major
trauma patients].
AB - Patients with multiple trauma presenting with apnea or a gasping breathing
pattern (respiratory rate <6/min) require prehospital endotracheal intubation
(ETI) and ventilation. Additional indications are hypoxia (S(p)O(2)<90% despite
oxygen insufflation and after exclusion of tension pneumothorax), severe
traumatic brain injury [Glasgow Coma Scale (GCS)<9], trauma-associated
hemodynamic instability [systolic blood pressure (SBP)<90 mmHg] and severe chest
trauma with respiratory insufficiency (respiratory rate >29/min). The induction
of anesthesia after preoxygenation is conducted as rapid sequence induction
(analgesic, hypnotic drug, neuromuscular blocking agent). With the availability
of ketamine as a viable alternative, the use of etomidate is not encouraged due
to its side effects on adrenal function. An electrocardiogram (ECG), blood
pressure measurement and pulse oximetry are needed to monitor the emergency
anesthesia and the secured airway. Capnography is absolutely mandatory to confirm
correct placement of the endotracheal tube and to monitor tube dislocations as
well as ventilation and oxygenation in the prehospital and hospital setting.
Because airway management is often complicated in trauma patients, alternative
devices and a fiber-optic endoscope need to be available within the hospital. Use
of these alternative measures for airway management and ventilation should be
considered at the latest after a maximum of three unsuccessful intubation
attempts. Emergency medical service (EMS) physicians should to be trained in
emergency anesthesia, ETI and alternative methods of airway management on a
regular basis. Within hospitals ETI, emergency anesthesia and ventilation are to
be conducted by trained and experienced anesthesiologists. When a difficult
airway or induction of anesthesia is expected, endotracheal intubation should be
supervised or conducted by an anesthesiologist. Normoventilation should be the
goal of mechanical ventilation. After arrival in the resuscitation room the
ventilation will be controlled and guided with the help of arterial blood gas
analyses. After temporary removal of a cervical collar, the cervical spine needs
to be immobilized by means of manual in-line stabilization when securing the
airway.
PMID- 22089893
TI - Gun utopias? Firearm access and ownership in Israel and Switzerland.
AB - The 2011 attempted assassination of a US representative renewed the national gun
control debate. Gun advocates claim mass-casualty events are mitigated and
deterred with three policies: (a) permissive gun laws, (b) widespread gun
ownership, (c) and encouragement of armed civilians who can intercept shooters.
They cite Switzerland and Israel as exemplars. We evaluate these claims with
analysis of International Crime Victimization Survey (ICVS) data and translation
of laws and original source material. Swiss and Israeli laws limit firearm
ownership and require permit renewal one to four times annually. ICVS analysis
finds the United States has more firearms per capita and per household than
either country. Switzerland and Israel curtail off-duty soldiers' firearm access
to prevent firearm deaths. Suicide among soldiers decreased by 40 per cent after
the Israeli army's 2006 reforms. Compared with the United States, Switzerland and
Israel have lower gun ownership and stricter gun laws, and their policies
discourage personal gun ownership.
PMID- 22089894
TI - Application of Gafchromic film in the study of dosimetry methods in CT phantoms.
AB - Gafchromic film has been used for measurement of computed tomography (CT) dose
distributions within phantoms. The film was calibrated in the beam from a
superficial therapy unit and the accuracy confirmed by comparison with
measurements with a 20 mm long ionisation chamber. The results have been used to
investigate approaches to CT dosimetry. Dose profiles were recorded within
standard CT head and body phantoms and scatter tail data fitted to exponential
functions and extrapolated to predict dose levels in longer phantoms. The data
have been used to simulate both CT dose index (CTDI) measurements with ionisation
chambers of differing length and measurements of cumulative doses with a 20 mm
chamber for scans of varying length. The results show that the length of a pencil
ionisation chamber is the most significant factor affecting measurements of
weighted CTDI (CTDI(w)) and a 100 mm chamber would record 50-61% of the dose
measured with a 450 mm one. The cumulative dose measured at the centre of a 150
mm long body phantom records over 70% of the equilibrium dose from a helical scan
of a longer phantom. For routine CT dosimetry tests, the determination of
correction factors could allow measurements with a 100 mm chamber to be used to
derive the CTDI that would be recorded with a longer chamber, and cumulative
doses measured with a 20 mm chamber in shorter phantoms to be used to calculate
equilibrium doses for helical scans.
PMID- 22089895
TI - An intervention study in obese mice with astaxanthin, a marine carotenoid-
effects on insulin signaling and pro-inflammatory cytokines.
AB - Astaxanthin (ASX), a xanthophyll carotenoid from the marine algae Hematococcus
pluvialis, has anti-obesity and insulin-sensitivity effects. The specific
molecular mechanisms of its actions are not yet established. The present study
was designed to investigate the mechanisms underlying the insulin sensitivity
effects of ASX in a non-genetic insulin resistant animal model. A group of male
Swiss albino mice was divided into two and fed either a starch-based control diet
or a high fat-high fructose diet (HFFD). Fifteen days later, mice in each dietary
group were divided into two and were treated with either ASX (6 mg kg(-1) per
day) in olive oil or olive oil alone. At the end of 60 days, glucose, insulin and
pro-inflammatory cytokines in plasma, lipids and oxidative stress markers in
skeletal muscle and adipose tissue were assessed. Further, post-receptor insulin
signaling events in skeletal muscle were analyzed. Increased body weight,
hyperglycemia, hyperinsulinemia and increased plasma levels of tumor necrosis
factor-alpha and interleukin-6 observed in HFFD-fed mice were significantly
improved by ASX addition. ASX treatment also reduced lipid levels and oxidative
stress in skeletal muscle and adipose tissue. ASX improved insulin signaling by
enhancing the autophosphorylation of insulin receptor-beta (IR-beta), IRS-1
associated PI3-kinase step, phospho-Akt/Akt ratio and GLUT-4 translocation in
skeletal muscle. This study demonstrates for the first time that chronic ASX
administration improves insulin sensitivity by activating the post-receptor
insulin signaling and by reducing oxidative stress, lipid accumulation and
proinflammatory cytokines in obese mice.
PMID- 22089896
TI - 3D quantification of mandibular asymmetry using the SPHARM-PDM tool box.
AB - PURPOSE: Pretreatment diagnosis of mandibular asymmetry in orthognathic surgery
patients can be improved by quantitative shape modeling and analysis. The UNC
SPHARM-PDM (University of North Carolina Spherical Harmonics-Point Distribution
Model) toolbox was applied to a cohort of patients and the results were
evaluated. METHODS: Three-dimensional (3D) virtual surface models are constructed
from CBCT scans of each patient in the cohort by segmentation. Mirroring on a
sagittal arbitrary plane is used to flip the left and right sides of each image.
An automatic voxel-based registration on the cranial base is used to align the
volume and its mirror for comparison. SPHARM-PDM is used to compute correspondent
models for each hemimandible and the mirror of the contralateral side. Procrustes
analysis was used to evaluate discrepancies between each pair of models to assess
asymmetry. Mandibular asymmetry was also located and quantified by computing
corresponding surface distances between each hemimandible (left and right sides)
and the mirror of the contralateral side. RESULTS: There were no statistically
significant differences in surrogates for mandibular asymmetry assessment based
on right or the left side mirroring. Those surrogates are the rotational and
translational differences between each hemimandible and the mirror of the
contralateral side in 3 planes of space (the absolute values of Procrustes
registration output in 6 degrees of freedom). Absolute and signed distance maps
between each hemimandible and the mirror of the contralateral side located and
quantified areas of asymmetry diagnosis for each patient. Even though mandibular
condyle asymmetry was observed in 8% of the cases and mandibular asymmetry along
areas of the ramus and mandibular corpus was noted in 17.8% of the cases, the
remaining 74.2% showed generalized morphological and positional asymmetry at the
condyle, the ramus and mandibular corpus. CONCLUSION: Three-dimensional diagnosis
of mandibular asymmetry revealed the complex involvement of morphological
components of the mandible and the heterogeneous nature of this clinical
condition. SPHARM-PDM has a promising role in the individual diagnosis and
quantification of mandibular asymmetry.
PMID- 22089897
TI - Raising concerns about palliative sedation.
PMID- 22089901
TI - The unspoken: the stresses of a novice nurse.
PMID- 22089903
TI - Nurses on the move!
PMID- 22089904
TI - Huddling for optimal care outcomes.
PMID- 22089906
TI - Getting "hip" to hip hemiarthroplasty.
PMID- 22089907
TI - Too good for this world.
PMID- 22089909
TI - Nursing2011 survey results: Blood exposure risk during peripheral I.V. catheter
insertion and removal.
PMID- 22089910
TI - Beyond mainstream: making the case for fecal bacteriotherapy.
PMID- 22089911
TI - Patient education series. Heart attack.
PMID- 22089912
TI - 13 tips for surviving the 12-hour shift.
PMID- 22089913
TI - New criteria for assessing and treating neuropathic pain.
PMID- 22089914
TI - Vitamin D supplementation in chronic kidney disease.
PMID- 22089915
TI - Renal colic.
PMID- 22089916
TI - Outcome in patients with an infected nonunion of the long bones treated with a
reinforced antibiotic bone cement rod.
AB - OBJECTIVES: This study looks at the treatment of 16 cases of infection in long
bone fractures that had an adverse effect on healing. The goal was to find a
method that may be effective in getting these most difficult injuries to heal.
The use of reinforced antibiotic-impregnated bone cement rods was studied to see
if this could be an effective form of treatment. The use of such devices makes
sense because they provide stability that the fractures need for healing while
also providing a high concentration of antibiotics locally. The concept was to
reduce the amount of metal used for stability while still giving the fracture the
correct milieu for healing. DESIGN: This was a retrospective analysis of 16
patients with infected nonunions of long bones. A protocol for the use of
intravenous and per oral antibiotics was developed based on the type of bacteria
found from cultures of the infected sites. All cases included operative
debridement and stabilization with a reinforced antibiotic-impregnated bone
cement rod. PATIENTS: The patient population was selected from all those who
presented to the Department of Orthopaedic Surgery of Dayanand Medical College &
Hospital, Ludhiana, India. MAIN OUTCOME: Success was considered when the nonunion
healed and the limb became functional. RESULTS: The infected nonunions were
treated successfully in 14 of 16 cases. This represents an alternative to
external fixation alone as a means of stabilizing nonunions while providing a
high concentration of antibiotic locally for combating this most difficult
problem. CONCLUSIONS: The use of reinforced antibiotic-impregnated bone cement
rods with appropriate surgical debridement and antibiotics may be an effective
way of treating infected nonunions of long bones. LEVEL OF EVIDENCE: Therapeutic
Level IV. See page 128 for a complete description of levels of evidence.
PMID- 22089917
TI - Less invasive stabilization system (LISS) versus proximal femoral nail anti
rotation (PFNA) in treating proximal femoral fractures: a prospective randomized
study.
AB - OBJECTIVE: To evaluate the outcome and efficacy of LISS (Less Invasive
Stabilization System; Synthes USA, Paoli, PA) for the treatment of proximal
femoral fractures to find another appropriate minimally invasive surgery for
these fractures in which intramedullary nailing may be difficult. DESIGN: A
consecutive prospective randomized clinical study. SETTING: University teaching
hospital. PATIENTS: Between May 2006 and March 2008, 64 consecutive patients who
had a proximal femoral fracture were randomized to be treated with fixation with
either LISS or PFNA (Proximal Femoral Nail Anti-rotation; Synthes USA).
INTERVENTION: LISS or PFNA fixation of proximal femoral fractures. MAIN OUTCOME
MEASUREMENTS: Intraoperative time, intraoperative blood loss, length of
hospitalization, hip function (Harris score), general complications, fracture
complications. RESULTS: Fifty-nine patients were evaluated with a mean follow-up
time of 26.8 months (range, 21-36 months). No statistical differences in general
complications, intraoperative blood loss, length of hospitalization, or hip
function could be found between the two groups. The average operative time was
longer in the LISS group (98.25 minutes) compared with the PFNA group (65.36
minutes) (P < 0.05). One PFNA case had intrapelvic penetration of the helical
blade; two LISS cases had breakage of the screws. CONCLUSION: There were no major
differences in outcome or complications between the treatment groups. LISS can be
used effectively in treating proximal femoral fractures, especially for complex
fractures patterns in which intramedullary nailing may be difficult. LEVEL OF
EVIDENCE: Therapeutic Level II. See page 128 for a complete description of levels
of evidence.
PMID- 22089919
TI - Long-term outcome in patients with primary hyperparathyroidism who underwent
minimally invasive parathyroidectomy.
AB - BACKGROUND: Minimally invasive parathyroidectomy (MIP) has become a well-accepted
treatment for selected patients with primary hyperparathyroidism (PHPT). However,
few studies have evaluated long-term outcomes for this operative approach. We
therefore chose to examine both the long-term symptom resolution and biochemical
cure following MIP for PHPT. METHODS: A total of 460 PHPT patients who underwent
a MIP between 2004 and 2009 were successfully mailed a questionnaire that
assessed preoperative and postoperative Parathyroidectomy Assessment of Symptoms
(PAS) scores, most recent calcium and parathyroid hormone (PTH) levels, and
information about any reoperation for PHPT. Long-term evaluation of symptomatic
and biochemical cure was performed. RESULTS: A total of 200 patients (43.5%)
responded to our correspondence. The mean age of the patients was 58.7 +/- 11.9
years, 74.5% were female, and 78.5% were Caucasian. The mean follow-up was 37 +/-
19 months. The mean PAS scores fell by 117 +/- 14 at long-term follow-up after
MIP (P < 0.0001). All 13 symptoms comprising the PAS score diminished, of which
ten did so significantly (P < 0.01). There was a significant drop in the mean
serum calcium (preop. 11.1 mg/dl, postop. 9.6 mg/dl; P < 0.0001) and PTH (preop.
130.9 pg/ml, postop. 45.7 pg/ml; P < 0.0001) at long-term follow-up. Five
patients (2.5%) developed recurrent disease (calcium > 10.5 mg/dl), and one
(0.5%) underwent a reoperation for persistent disease and was subsequently cured.
CONCLUSIONS: This study demonstrates that MIP has long-term benefits in terms of
excellent symptom resolution and a high biochemical cure rate (97%) in selected
patients who have PHPT, preoperative localization with sestamibi scans, and
assessment of intraoperative PTH level.
PMID- 22089920
TI - Prognostic relevance of survivin in pancreatic endocrine tumors.
AB - BACKGROUND: Better prognostic markers are needed for pancreatic endocrine tumors.
Survivin is an apoptosis inhibitor that is suggested to have a negative
prognostic impact in several tumor types. Contradictory data exist, especially
regarding the significance of a nuclear versus cytoplasmic location of survivin.
The prognostic relevance of nuclear and cytoplasmic survivin expression in
pancreatic endocrine tumors-controlled for the tumor Ki-67 index, World Health
Organization classification, and TNM stage-was investigated. METHODS: A total of
111 patients treated at a tertiary referral center were retrospectively
evaluated. Clinical data were gathered from medical records. Immunohistochemistry
for survivin and Ki-67 was performed on paraffin-embedded tissue. Univariate and
multivariate Cox analyses were performed. RESULTS: Patients with tumors that had
<5% survivin-positive nuclei had a mean survival of 225 months [95% confidence
interval (CI) 168-281]. The corresponding figure for patients with 5 to 50%
survivin-positive tumor cell nuclei was 101 months [95% CI 61-140; hazard ratio
(HR) 2.4; P < 0.01) and with >50% survivin-positive nuclei 47 months (95% CI 24
71; HR 4.9; P < 0.001). Nuclear survivin expression in >50% of the tumor cells
was an independent marker of a poor prognosis (HR 5.7; P < 0.01). Cytoplasmic
survivin was not a significant prognostic factor in the multivariate analysis (HR
0.94; P = 0.90). CONCLUSIONS: High expression of nuclear survivin is a
significant marker of a poor prognosis in patients with a pancreatic endocrine
tumor.
PMID- 22089921
TI - Preoperative brain natriuretic peptide (BNP) is a better predictor of adverse
cardiac events compared to preoperative scoring system in patients who underwent
abdominal surgery.
AB - BACKGROUND: Cardiovascular disease is the leading cause of perioperative death in
surgical patients. A variety of clinical scoring systems have been developed to
predict adverse cardiovascular events. B-type natriuretic peptide (BNP) is a
sensitive and specific predictor of left ventricular systolic dysfunction and
predicts first cardiovascular event and death in the general population. We
present a prospective, single-center, observational cohort study of patients
undergoing major abdominal surgery and evaluate the role of BNP in predicting
adverse cardiac events. METHOD: A total of 205 patients were included in the
study. All patients were assessed by a cardiological clinical evaluation, a 12
lead ECG report, and a preoperative and postoperative blood sample for plasmatic
BNP assessment. The primary end point was the predictive power of preoperative
BNP levels for adverse cardiac events until 30 days after discharge. RESULTS:
Thirty-one of 205 (15%) patients had adverse cardiac events in the postoperative
period up to 30 days after discharge. Five patients (2.4%) of these died of
cardiac events. Preoperative BNP values were significantly increased in the 31
patients compared to the other patients in the postoperative period [mean =
112.93 pg/ml (range = 5-2,080) vs. 178.99 pg/ml (range = 5-3,980); median = 117
vs. 23 pg/ml; 95% CI = 49-181; p < 0.0001]. At logistic regression, a
preoperative BNP value of >36 pg/ml was the only effective predictor of adverse
cardiac events. CONCLUSION: We have demonstrated that elevated preoperative BNP
levels are independent predictors of adverse cardiac events in a cohort of
patients undergoing major abdominal surgery in a general surgery department, and
this is the first study about this specific cohort of patients.
PMID- 22089922
TI - A unique method for repairing intraoperative pulmonary air leakage with both
polyglycolic acid sheets and fibrin glue.
AB - BACKGROUND: In the present study we present a unique maneuver, using both fibrin
glue and polyglycolic acid (PGA) sheets, for repairing intraoperative pulmonary
air leakage, and report our clinical results. METHODS: Based on the results from
in vitro experiments, we retrospectively investigated the clinical effects of our
method for repairing intraoperative pulmonary air leakage in 377 consecutive
patients, who underwent a pulmonary resection for primary lung cancer or
metastatic lung tumors from 2004 to 2009. From April 2004 through September 2007,
repair of intraoperative pulmonary air leakage was performed in 204 patients
using only fibrin glue. From October 2007 through December 2009, the repair was
performed in 173 patients with a unique application of both fibrin glue and PGA
sheets, i.e., (1) rubbing fibrin glue A solution, (2) applying a PGA sheet cut to
an appropriate size, (3) rubbing fibrin glue B solution on the PGA sheet, and (4)
reapplying fibrin glue A solution and rubbing. RESULTS: The mean duration of
postoperative pleural drainage was significantly shorter in the latter time
period when both fibrin glue and PGA sheets were used than in the former period
when fibrin glue was used alone. The incidence of prolonged air leakage longer
than 1 week was also significantly lower in the latter era than in the former
era. CONCLUSION: Our unique application of both fibrin glue and PGA sheets for
the intraoperative repair of pulmonary air leakage effectively resulted in a
shortening of the duration of postoperative pleural drainage.
PMID- 22089923
TI - Bile acid-CoA ligase deficiency--a new inborn error of bile acid metabolism.
AB - Born at 27 weeks gestation, a child of consanguineous parents of Pakistani origin
required prolonged parenteral nutrition. She developed jaundice, with extensive
fibrosis and architectural distortion at liver biopsy; jaundice resolved with
supportive care. Serum gamma-glutamyl transpeptidase values were within normal
ranges. The bile acids in her plasma and urine were >85% unconjugated (non
amidated). Two genes encoding bile-acid amidation enzymes were sequenced. No
mutations were found in BAAT, encoding bile acid-CoA : aminoacid N-acyl
transferase. The patient was homozygous for the missense mutation c.1012C > T in
SLC27A5, predicted to alter a highly conserved amino-acid residue (p.H338Y) in
bile acid-CoA ligase (BACL). She also was homozygous for the missense mutation
c.1772A > G in ABCB11, predicted to alter a highly conserved amino-acid residue
(p.N591S) in bile salt export pump (BSEP). BACL is essential for reconjugation of
bile acids deconjugated by gut bacteria, and BSEP is essential for hepatocyte
canaliculus export of conjugated bile acids. A female sibling born at term had
the same bile-acid phenotype and SLC27A5 genotype, without clinical liver
disease. She was heterozygous for the c.1772A > G ABCB11 mutation. This is the
first report of a mutation in SLC27A5. The amidation defect may have contributed
to cholestatic liver disease in the setting of prematurity, parenteral nutrition,
and homozygosity for an ABCB11 mutation.
PMID- 22089924
TI - Structure and function of proteins in hydrated choline dihydrogen phosphate ionic
liquid.
AB - Ionic liquids are being intensely studied as promising media for the
stabilization of proteins and other biomolecules. Choline dihydrogen phosphate
(CDHP) has been identified as one of the most promising candidates for this
application. In this work we have probed in more detail the effects that CDHP may
have on the thermodynamics, structure, and stability of proteins, including one
of therapeutic interest. Microcalorimetry and circular dichroism
spectropolarimetry (CD) were used to assess the thermal stability of protein
solutions in CDHP/water mixtures at various concentrations. Increasing thermal
stability of lysozyme and interleukin-2 in proportion to CDHP concentration was
observed. Isothermal titration calorimetry (ITC) was used to quantify binding
interactions, and indicate that the mechanism for stability does not appear to be
dependent upon CDHP binding to protein. CD and small angle X-ray scattering
(SAXS) analyses were used to probe for structural changes due to the presence of
CDHP. SAXS indicates charge effects on the surface of the protein play a role in
protein stability in ionic liquids, and no significant alteration of the overall
tertiary conformation of lysozyme was observed at 25 degrees C. However, after
incubation at 37 degrees C or at higher concentrations of CDHP, small changes in
protein structure were seen. Effects on protein activity were monitored using
turbidity assays, and CDHP decreases protein activity but does not eliminate it.
Protein solubility was also monitored using a turbidity assay and was found to be
inversely proportional to the concentration of CDHP in solution.
PMID- 22089925
TI - Regulation of rat MOR-1 gene expression after chronic intracerebroventricular
administration of morphine.
AB - The u-opioid receptor is the primary site for the action of morphine. In the
present study, we investigated the regulation of the u-opioid receptor mRNA
levels in the locus ceruleus, ventral tegmental area, nucleus accumbens and
hypothalamus of the rat brain following intracerebroventricular administration of
morphine for 7 days. The isolated mRNA from these regions was subjected to real
time quantitative RT-PCR to determine the regulation of u-opioid receptor gene
expression. It was observed that 7 days of treatment with morphine significantly
down-regulated the u-opioid receptor mRNA levels in the hypothalamus of the brain
in comparison to the control group. However, the u-opioid receptor levels in the
locus ceruleus, ventral tegmental area and nucleus accumbens regions remained the
same as the control levels. Down-regulation of u-opioid receptor mRNA levels in
the hypothalamus region of the brain indicates the probable role of opioids to
influence neuroendocrine function. The results further indicate that cellular
adaptation for morphine tolerance is tissue-specific. These findings help us to
understand the mechanism of morphine tolerance in various regions of the brain.
PMID- 22089926
TI - In brief: nesiritide (Natrecor).
PMID- 22089928
TI - Integration of apoptosis and metabolism.
AB - Apoptotic resistance is a hallmark of human cancers. Recent advances have
contributed to our understanding of the molecular mechanisms that intimately
integrate cell metabolism and apoptosis. Coordinated activation of the
proapoptotic Bcl-2 family and the caspase family during apoptosis often leads to
permeabilization of the mitochondrial outer membrane and release of multiple
enzymes that normally function in regulating energy production and metabolism.
The roles of these metabolic enzymes in promoting caspase activation demonstrate
a primordial need to couple apoptotic cell death and metabolic catastrophe during
cellular destruction. The Bcl-2 family also directly interacts with the multiple
metabolic regulators to protect or promote mitochondrial damage during apoptosis.
However, the integration of metabolism and apoptosis is not simply limited to the
maintenance of mitochondrial integrity. A recent study demonstrates that the NatA
complex, a protein N-alpha-acetyltransferase complex, is required for DNA damage
mediated apoptosis and suggests that regulation of protein acetylation might
provide an important mechanism for regulating apoptotic sensitivity. Since acetyl
CoA (coenzyme A) is a key cofactor for the NatA complex, protein acetylation is
subject to the availability of acetyl-CoA and, thus, under metabolic regulation.
The revelation that protein N-alpha-acetylation is regulated by Bcl-xL, a major
antiapoptotic mitochondrial protein, demonstrates a mechanism by which metabolism
can regulate the activation of multiple key apoptotic factors simultaneously.
PMID- 22089929
TI - Electrophoretic motion of a charged porous sphere within micro- and nanochannels.
AB - Electrophoretic motion of a charged porous sphere within micro- and nanochannels
is investigated theoretically. The Brinkman model and the full non-linear Poisson
Boltzmann equation are adopted to model the system, with the charged porous
sphere resembling polyelectrolytes like proteins and DNA. General electrokinetic
equations are employed and solved with a pseudo-spectral method. Key parameters
of electrokinetic interest are examined for their respective effect as well as
overall impact on the particle motion. We found, among other things, that the
confinement effect of the channel can be so drastic that 75% reduction of
particle mobility is observed in some situations for a poorly permeable particle.
However, only 15% for the corresponding highly permeable particle due to the
allowance of fluid penetration which alleviates the retarding shear stress
significantly. In particular, an intriguing phenomenon is observed for the highly
permeable particle: the narrower the channel is, the faster the particle moves!
This was experimentally observed as well in the literature on DNA electrophoresis
within nanostructures. The reason behind it is thoroughly explained here.
Moreover, charged channels can exert electroosmosis flow so dominant that
sometimes it may even reverse the direction of the particle motion. Comparison
with experimental data available in the literature for some polyelectrolytes is
excellent, indicating the reliability of this analysis. The results of this study
provide fundamental knowledge necessary to interpret experimental data correctly
in various microfluidic and nanofluidic operations involving bio-macromolecules,
such as in biosensors and Lab-on-a-chip devices.
PMID- 22089927
TI - Cancer and altered metabolism: potential importance of hypoxia-inducible factor
and 2-oxoglutarate-dependent dioxygenases.
AB - Hypoxia-inducible factor (HIF) deregulation contributes to the Warburg effect.
HIF consists of an unstable alpha subunit and a stable beta subunit. In the
presence of oxygen, HIFalpha becomes prolyl hydroxylated by members of the EglN
(also called PHD) family, leading to its proteasomal degradation. Under hypoxic
conditions, EglN activity is diminished and HIF levels rise. EglN1 is the primary
HIF prolyl hydroxylase with EglN2 and EglN3 playing compensatory roles under
certain conditions. EglN2 and EglN3 also appear to play HIF-independent roles in
regulating cell proliferation and apoptosis, respectively. The EglNs belong to a
large family of 2-oxoglutarate-dependent dioxygenases that includes the TET DNA
hydroxymethylases and JmjC-containing histone demethylases. Members of this
superfamily can be inhibited by endogenous metabolites, including fumarate and
succinate, which accumulate in tumors that have fumarate hydratase (FH) or
succinate dehydrogenase (SDH) mutations, respectively, as well as by the 2
hydroxyglutarate detected in isocitrate dehydrogenase (IDH) mutant tumors. 2
Oxoglutarate-dependent dioxygenases therefore provide a link between altered
metabolism and cancer.
PMID- 22089930
TI - Enhanced ABL-inhibitor-induced MAPK-activation in T315I-BCR-ABL-expressing cells:
a potential mechanism of altered leukemogenicity.
AB - BACKGROUND: Targeted treatment of chronic myelogenous leukemia using imatinib has
dramatically improved patient outcome. However, residual disease can be detected
in the majority of patients treated with imatinib. Compensatory activation of MAP
kinases (MAPK1/2) in response to BCR-ABL-inhibitors has been reported as a
potential cytokine-dependent resistance mechanism leading to the rescue of
leukemic progenitor cells. METHODS: Differential MAPK-modulating activity of
clinically approved tyrosine kinase inhibitors was assessed in vitro using BCR
ABL-transformed cells. CD34+-enriched progenitors of newly diagnosed chronic
myelogenous leukemia patients were exposed to tyrosine kinase inhibitors. MAPK
signaling was studied by Western blot technique. Proliferation assays were used
to analyze response to antileukemic treatment. RESULTS: The ABL-inhibitors
imatinib and nilotinib activate MAPKs in CD34+ chronic myelogenous leukemia
progenitor cells, whereas treatment with the SRC/ABL-inhibitor dasatinib does not
affect MAPK-activation at clinically relevant concentrations. Similar results are
seen in BCR-ABL-transformed cells in the presence of interleukin-3 (IL-3).
Experiments using BCR-ABL-mutant T315I, a resistance mutation not amenable to
tyrosine kinase inhibitor binding, demonstrate that ABL-inhibitor-induced MAPK
activation does not depend on BCR-ABL-inhibition and cannot be prevented by
selective SRC-inhibition. However, BCR-ABL-T315I enhances MAPK-activation,
suggesting a T315I-dependent positive feedback of MAPK-activation. An autocrine
IL-3-loop as trigger for aberrant T315I-dependent MAPK-activation was excluded.
CONCLUSIONS: Aberrant MAPK-activation triggered by ABL-inhibitors and positively
regulated by BCR-ABL kinase mutation T315I might be an experimental explanation
for the clinical observation that patients carrying high-resistance mutations
show a highly aggressive course of their disease when tyrosine kinase inhibitor
treatment is not discontinued in time.
PMID- 22089931
TI - PAX3/7-FOXO1 fusion status in older rhabdomyosarcoma patient population by
fluorescent in situ hybridization.
AB - PURPOSE: In pediatric alveolar rhabdomyosarcoma, the PAX3-FOXO1 and PAX7-FOXO1
gene fusions are prognostic indicators, while little is known concerning this
disease in older patients. To determine whether PAX3/7-FOXO1 fusion gene status
correlates with outcome in adolescent, young adult, and adult rhabdomyosarcoma
patients, the histological, immunohistochemical, and clinical characteristics of
105 patients followed at The University of Texas MD Anderson Cancer Center from
1957 to 2001 were evaluated. METHODS: The samples were assembled into a tissue
microarray, and fusion gene status was determined by fluorescence in situ
hybridization using PAX3, PAX7, and FOXO1 loci-specific probes. The disease
characteristics and specific gene fusion were correlated with patient outcomes
using the log-rank test. RESULTS: Fifty-two percent of the samples exhibited a
PAX3-FOXO1 fusion, 15% the PAX7-FOXO1 fusion, and 33% were negative for a
rearrangement of these loci. The presence of PAX3/7-FOXO1 translocation was
significantly associated with a higher frequency of metastatic disease. Although
a statistically significant correlation between the PAX3/7-FOXO1 fusion gene
status and overall survival was not identified, there was a trend toward better
outcomes for patients with fusion-negative RMS. CONCLUSIONS: Therefore,
identification of a FOXO1 fusion appears to be an interesting tool for predicting
outcomes in older rhabdomyosarcoma patients and is worth further investigations
in this rare subgroup of RMS population.
PMID- 22089933
TI - Interactions of amyloid beta peptide 1-40 and cerebrosterol.
AB - Amyloid beta peptides appear to play a role in physiological processes; however,
they are also involved in the pathogenesis of Alzheimer disease. Their actions
under normal conditions are probably mediated by soluble monomeric L-isoforms at
low concentrations, perhaps via highly specific interactions. On the contrary,
toxic effects of aggregated natural L-isoforms/synthetic D-isoforms on membranes
are very similar, but synthetic reverse/random L: -isoforms without pronounced
aggregation properties are not toxic. Our previous work reported interactions of
non-aggregated/aggregated L-isoforms of amyloid beta peptides 1-40/1-42 with
racemic 24-hydroxycholesterol. In this study, stereospecificity in the
interactions of natural 24(S)hydroxycholesterol (cerebrosterol) or synthetic
24(R)hydroxycholesterol with soluble fragment 1-40 was evaluated by means of an
in vitro test based on increased vulnerability of the hemicholinium-3 sensitive
high-affinity choline uptake system in rat hippocampal cholesterol-depleted
synaptosomes to the actions of amyloid beta; computational simulations were also
performed. Our results suggest that: (1) 24(S)hydroxycholesterol interacts with L
peptide 1-40 but not with the reverse L-peptide 40-1, (2) 24(R)hydroxycholesterol
does not interact with L-peptide 1-40 or reverse 40-1, and (3) both enantiomers
can probably interact with D-peptide 1-40. Therefore, the binding of
24(S)hydroxycholesterol is not fully stereospecific and the interaction could not
reflect a physiological mechanism. Data from the computational simulation
indicate that the hydrophobic core of the amyloid beta molecule interacts with
the hydrophobic part of 24(S)hydroxycholesterol, but no hydrogen bonds with high
stability were found. Using this procedure, globular amyloid beta could retain
24(S)hydroxycholesterol and thus contribute to its pathological accumulation in
the brains of patients with Alzheimer disease.
PMID- 22089932
TI - Neuroprotective effect of sesame seed oil in 6-hydroxydopamine induced
neurotoxicity in mice model: cellular, biochemical and neurochemical evidence.
AB - Natural antioxidants have shown a remarkable reduction in oxidative stress due to
excess formation of reactive oxygen species by enhancing antioxidant mechanism in
the neurodegenerative disorders. Sesame seed oil (SO) is one of the most
important edible oil in India as well as in Asian countries and has potent
antioxidant properties thus the present study evaluated the neuroprotective
effect of SO by using 6-Hydroxydopamine (6-OHDA)-induced Parkinson's disease
model in mice. The mice were fed an SO mix diet for 15 days and then 6-OHDA was
injected into the right striatum of mice brain. Three weeks after 6-OHDA
infusion, mice were sacrificed and the striatum was removed. The neuroprotective
role of SO on the activities of antioxidant and non-antioxidant enzymes such as
glutathione reductase (GR), glutathione-S-transferase (GST), glutathione
peroxidase (GPx), catalase (CAT) and content of glutathione (GSH) and
thiobarbituric acid reactive substance (TBARS) were studied in the striatum. The
activities of all the above-mentioned enzymes decreased significantly in 6-OHDA
group (Lesioned) when compared with Sham. The pretreatment of SO on antioxidant
mechanism and dopamine level in the brain had shown some significant improvement
in Lesion+SO (L+SO) group when compared with Lesioned group. However, NADPH
oxidase subunit, Nox2 and inflammatory stimulator Cox2 expression was increased
as well as antioxidant MnSOD level was decreased in Lesioned group while SO
showed the inhibitory effect on the activation of Nox2 and Cox2 and restored
MnSOD expression in L+SO group. Increased tyrosine hydroxylase (TH) expression in
substantia nigra as well as dopamine and its metabolite DOPAC level in L+SO group
also support our findings that SO may inhibit activation of NADPH oxidase
dependent inflammatory mechanism due to 6-OHDA induced neurotoxicity in mice.
PMID- 22089935
TI - Organocatalytic asymmetric tandem condensation-intramolecular rearrangement
protonation: an approach to optically active alpha-amino thioester derivatives.
AB - An unprecedented and conceptually novel chiral Bronsted base/Bronsted acid
catalytic method for the enantioselective synthesis of alpha-amino thioesters
through a tandem condensation-intramolecular rearrangement-protonation has been
developed which provides a number of important synthetic building blocks in good
yield and with moderate to good enantioselectivities.
PMID- 22089934
TI - Striatal GABA receptor alterations in hypoxic neonatal rats: role of glucose,
oxygen and epinephrine treatment.
AB - Hypoxia in neonates disrupts the oxygen flow to the brain, essentially starving
the brain and preventing it from performing vital biochemical processes important
for central nervous system development. Hypoxia results in a permanent brain
damage by gene and receptor level alterations mediated through neurotransmitters.
The present study evaluated GABA, GABAA, GABAB receptor functions and gene
expression changes in glutamate decarboxylase in the corpus striatum of hypoxic
neonatal rats and the treatment groups with glucose, oxygen and epinephrine.
Since GABA is the principal neurotransmitter involved in hypoxic ventilatory
decline, the alterations in its level under hypoxic stress points to an important
aspect of respiratory control. Following hypoxic stress, a significant decrease
in total GABA, GABAA and GABAB receptors function and GAD expression was observed
in the striatum, which accounts for the ventilator decline. Hypoxic rats treated
with glucose alone and with oxygen showed a reversal of the receptor alterations
and changes in GAD to near control. Being a source of immediate energy, glucose
can reduce the ATP-depletion-induced changes in GABA and oxygenation helps in
overcoming reduction in oxygen supply. Treatment with oxygen alone and
epinephrine was not effective in reversing the altered receptor functions. Thus,
our study point to the functional role of GABA receptors in mediating ventilatory
response to hypoxia and the neuroprotective role of glucose treatment. This has
immense significance in the proper management of neonatal hypoxia for a better
intellect in the later stages of life.
PMID- 22089936
TI - Mothers' perspectives on their child's mental illness as compared to other
complex disorders in their family: insights to inform genetic counseling
practice.
AB - To facilitate the development of a therapeutic alliance in genetic counseling, it
is important that the counselor understands how families might perceive the
condition that constitutes the reason for the referral. Through training and
professional practice, genetic counselors develop a thorough understanding of
families' perceptions of the conditions that are common indications for genetic
counseling. But, for referral indications that are less frequent, like serious
mental illnesses, genetic counselors may feel less confident in their
understanding of the family's experience, or in their ability to provide
psychosocial support when serious mental illness is reported in a family history.
This may impede the establishment of a therapeutic alliance. As research shows
that most referrals for genetic counseling related to serious mental illness are
for female first-degree family members of affected individuals, we sought to
explore how this group perceives serious mental illness. To provide a frame of
reference with which genetic counselors may be more familiar, we explored how
women perceived serious mental illness compared to other common complex disorders
in their family. We conducted semi-structured interviews with women who had a
child with a serious mental illness (schizophrenia, schizoaffective disorder,
bipolar disorder) and a first-degree relative with another common complex
disorder (diabetes, heart disease, cancer). Interviews were transcribed and
subjected to thematic analysis. Saturation was reached when nine women had
participated. Serious mental illness was perceived as being more severe and as
having a greater impact on the family than diabetes, heart disease, or cancer.
Themes identified included guilt, stigma, and loss. Some of the most important
issues that contribute to mothers' perceptions that serious mental illness is
more severe than other common complex disorders could be effectively addressed in
genetic counseling. Developing a heightened awareness of how family members
experience a relative's mental illness may help genetic counselors to be better
able to provide psychosocial support to this group, whether serious mental
illness constitutes the primary reason for referral or appears in the family
history during counseling for a different referral reason.
PMID- 22089938
TI - Clinical course of postthrombotic syndrome in children with history of venous
thromboembolism.
AB - Postthrombotic syndrome (PTS) is a chronic morbidity of venous thromboembolism
(VTE) in children. Information about the evolution of PTS is lacking in children.
Present study was aimed to evaluate the time-course of extremity PTS in children
who were serially followed in a hematology clinic. This retrospective cohort
study included 69 consecutive children with documented VTEs that presented with
symptoms of extremity VTE: 67 extremity VTEs with or without extension to vena
cava, 2 inferior vena cava VTEs. Severity of PTS was assessed using modified
Villalta scale. Median age of the cohort was 12.6 years (interquartile range 1.6
15 years) while median follow-up was 28.7 months (interquartile range 13.3-33.4
months. PTS prevalence was 46.8% [95% confidence interval (CI) 37.9-57.7%]. Lower
extremity VTE was associated with development of PTS compared to upper extremity
VTE regardless of catheter use (P = 0.002). The time-course of PTS fluctuated in
11 of 33 children (33%; 95% CI 20-47%) at a median interval of 12 months from
diagnosis of VTE (range 4-14 months): three progressed from mild/moderate to
severe, one improved from moderate to mild, seven fluctuated between mild and
moderate. Recurrence and incomplete resolution of VTE were associated with
variability in PTS severity (P < 0.05). In summary, this study suggested that
almost 50% of study cohort developed PTS, and the time-course of PTS was not
static in one third of children. Future research should focus on identifying the
predictors contributing to the worsening of PTS and developing risk-stratified
treatment interventions so as to improve the outcome of children with VTE.
PMID- 22089939
TI - Structure and function of von Willebrand factor.
AB - von Willebrand factor (VWF) is a long plasma protein that contains many domains
and each domain has its own function. VWF exists in a multimeric form and
performs varieties of functions in the human body, including thrombus formation
and blood coagulation. The crystal structures of three subdomains are known, and,
interestingly, all three domains share identical three-dimensional fold with
alpha-beta-alpha sandwiched model. VWF is directly associated with different
types of von Willebrand disease. In this review, our aim is to gather recent
developments on structure and functions of VWF and its clinical relevance.
PMID- 22089940
TI - Impact of reduced levels of protein C, free protein S and antithrombin in normal
frozen plasma on the interpretation of patients' results.
AB - The objective of this study was to investigate the effect of freezing of normal
plasma samples on protein C, free protein S (FPS) and antithrombin levels in
order to determine its potential impact on the interpretation of the results of
similarly frozen patients' samples. Protein C, FPS and antithrombin levels were
measured by clotting-based test, by sandwich ELISA and by chromogenic assay,
respectively, in 50 normal plasma samples prior to freezing, and after 2 and 4
weeks in parallel aliquots frozen at -25 degrees C. The mean levels of the three
proteins dropped significantly after a fortnight's freezing, protein C: 130.7
122.8% (P < 0.0246); FPS: 105.9-94.1% (P < 0.0016); antithrombin: 103.2-95.8% (P
< 0.0001). The corresponding inter-assay coefficient of variances of the two sets
of results were 8.9, 6.6 and 9.3%. Thereafter, only FPS declined significantly
(84.3%) (P < 0.0001). In two of 48 and five of 48 cases at the end of 2 and 4
weeks, respectively, the levels of FPS values went below the lower limit of the
normal range established from the 50 plasma samples. Freezing of plasma at -25
degrees C for 24 h per se did not alter the levels of protein C and antithrombin
and caused only a negligible change in FPS levels. Since 6, 4 and 14% of normal
plasma samples would have been labeled as antithrombin, protein C and protein S
deficient, respectively, had the tests been performed after 4 weeks of freezing,
it is recommended that for correct interpretation of the results, laboratories
should establish their reference ranges on normal samples frozen for the same
period of time as the patients' samples.
PMID- 22089941
TI - Intravenous thrombolysis on early recurrent cardioembolic stroke: 'Dr Jekyll' or
'Mr Hyde'?
AB - Early recurrent cardioembolic stroke on the previously unaffected side has very
rarely been reported during or after intravenous recombinant tissue plasminogen
activator for acute ischemic stroke. For these cases, thrombolysis guidelines
lack any clear recommendation. We report two cases of thrombolysed stroke
patients, with paroxysmal atrial fibrillation but normal sinus rhythm on
admission, who respectively developed recurrent ischemic stroke within few hours
after complete improvement and during intravenous recombinant tissue plasminogen
activator infusion. Intravenous thrombolysis was successfully repeated after
echocardiographic evidence of left appendage thrombus in the first case and
discontinued before complete administration in the second.
PMID- 22089942
TI - Capsaicin-induced inhibition of platelet aggregation is not mediated by transient
receptor potential vanilloid type 1.
AB - Capsaicin is an agonist of transient receptor potential vanilloid type 1 (TRPV1),
in which it can act as a neuronal stimulant and result in nociception. Capsaicin
also affects a variety of nonneuronal tissues, in which its mechanisms of action
are less certain. The present study investigated whether the inhibitory effects
of capsaicin on platelet aggregation are mediated via TRPV1. Venous whole blood
obtained from beagle dogs (n = 6) was preincubated with capsaicin and/or the
potent and selective competitive TRPV1 antagonist, A-993610 and then exposed to
collagen (2 MUg/ml). An aggregometer was used to quantify the platelet response.
Capsaicin exposure inhibited collagen-induced platelet aggregation in a
concentration-dependent manner, with significant effects at 10 and 30 MUg
capsaicin per millilitre. A-993610 alone (0.1-1.0 MUg/ml) had no effects on
collagen-induced platelet aggregation, nor did it have any effects on capsaicin's
ability to inhibit platelet aggregation. The current results agree with previous
findings that capsaicin can inhibit platelet aggregation. In addition, the
present study demonstrates that capsaicin's inhibitory effect on collagen-induced
canine platelet aggregation is not mediated by TRPV1.
PMID- 22089943
TI - Effects of four commercially available factor Xa proteins on the fluorogenic anti
factor Xa assay when monitoring unfractionated heparin.
AB - Four commercially available factor Xa (FXa) reagents were evaluated in a
fluorogenic anti-FXa assay. The four reagents - of which three were of human
origin and the fourth was bovine - were compared in terms of the resulting assay
dynamic ranges, lag times, coefficient of variation and R2 values, as well as
their sensitivity to unfractionated heparin within the therapeutic range of 0-1.2
U/ml. Similar performance of reagents in the fluorogenic anti-FXa assay was
observed independent of the source of the reagent or its physical state, which
may assist in the standardization of coagulation assays in clinical settings.
PMID- 22089944
TI - A Markov decision model for determining optimal outpatient scheduling.
AB - Managing an efficient outpatient clinic can often be complicated by significant
no-show rates and escalating appointment lead times. One method that has been
proposed for avoiding the wasted capacity due to no-shows is called open or
advanced access. The essence of open access is "do today's demand today". We
develop a Markov Decision Process (MDP) model that demonstrates that a short
booking window does significantly better than open access. We analyze a number of
scenarios that explore the trade-off between patient-related measures (lead
times) and physician- or system-related measures (revenue, overtime and idle
time). Through simulation, we demonstrate that, over a wide variety of potential
scenarios and clinics, the MDP policy does as well or better than open access in
terms of minimizing costs (or maximizing profits) as well as providing more
consistent throughput.
PMID- 22089945
TI - Optimizing renal replacement therapy in older adults: a framework for making
individualized decisions.
AB - It is often difficult to synthesize information about the risks and benefits of
recommended management strategies in older patients with end-stage renal disease
since they may have more comorbidity and lower life expectancy than patients
described in clinical trials or practice guidelines. In this review, we outline a
framework for individualizing end-stage renal disease management decisions in
older patients. The framework considers three factors: life expectancy, the risks
and benefits of competing treatment strategies, and patient preferences. We
illustrate the use of this framework by applying it to three key end-stage renal
disease decisions in older patients with varying life expectancy: choice of
dialysis modality, choice of vascular access for hemodialysis, and referral for
kidney transplantation. In several instances, this approach might provide support
for treatment decisions that directly contradict available practice guidelines,
illustrating circumstances when strict application of guidelines may be
inappropriate for certain patients. By combining quantitative estimates of
benefits and harms with qualitative assessments of patient preferences,
clinicians may be better able to tailor treatment recommendations to individual
older patients, thereby improving the overall quality of end-stage renal disease
care.
PMID- 22089946
TI - Issues regarding 'immortal time' in the analysis of the treatment effects in
observational studies.
AB - In observational studies, treatment is often time dependent. Mishandling the time
from the beginning of follow-up to treatment initiation can result in bias known
as immortal time bias. Nephrology researchers who conduct observational research
must be aware of how immortal time bias can be introduced into analyses. We
review immortal time bias issues in time-to-event analyses in the biomedical
literature and give examples from the nephrology literature. We also use
simulations to quantify the bias in different methods of mishandling immortal
time; intuitively explain how bias is introduced when immortal time is
mishandled; raise issues regarding unadjusted treatment comparison, patient
characteristics comparison, and confounder adjustment; and, using data from
DaVita Inc., linked with the Centers for Medicare & Medicaid Services end-stage
renal disease database, show that the severity of bias and the issues described
can occur in actual data analyses of patients with end-stage renal disease. In
the simulation examples, mishandling immortal time led to an underestimated
hazard ratio (treatment vs. control), thus an overestimated treatment effect, by
as much as 96%, and an overestimated hazard ratio by as much as 138%, depending
on the distribution of 'survival' time and the method used. Results from the
DaVita data were consistent with the simulation. Careful consideration of
methodology is needed in observational analyses with time-dependent treatment.
PMID- 22089947
TI - Forty years and counting...
PMID- 22089948
TI - Illustrative assessment of human health issues arising from the potential release
of chemotoxic substances from a generic geological disposal facility for
radioactive waste.
AB - Many countries have a programme for developing an underground geological disposal
facility for radioactive waste. A case study is provided herein on the
illustrative assessment of human health issues arising from the potential release
of chemotoxic and radioactive substances from a generic geological disposal
facility (GDF) for radioactive waste. The illustrative assessment uses a source
pathway-receptor methodology and considers a number of human exposure pathways.
Estimated exposures are compared with authoritative toxicological assessment
criteria. The possibility of additive and synergistic effects resulting from
exposures to mixtures of chemical contaminants or a combination of radiotoxic and
chemotoxic substances is considered. The case study provides an illustration of
how to assess human health issues arising from chemotoxic species released from a
GDF for radioactive waste and highlights potential difficulties associated with a
lack of data being available with which to assess synergistic effects. It also
highlights how such difficulties can be addressed.
PMID- 22089949
TI - Growth of lung cancer cells in three-dimensional microenvironments reveals key
features of tumor malignancy.
AB - Cultured human lung cancer cell lines have been used extensively to dissect
signaling pathways underlying cancer malignancy, including proliferation and
resistance to chemotherapeutic agents. However, the ability of malignant cells to
grow and metastasize in vivo is dependent upon specific cell-cell and cell
extracellular matrix (ECM) interactions, many of which are absent when cells are
cultured on conventional tissue culture plastic. Previous studies have found that
breast cancer cell lines show differential growth morphologies in three
dimensional (3D) gels of laminin-rich (lr) ECM, and that gene expression patterns
associated with organized cell structure in 3D lrECM were associated with breast
cancer patient prognosis. We show here that established lung cancer cell lines
also can be classified by growth in lrECM into different morphological categories
and that transcriptional alterations distinguishing growth on conventional tissue
culture plastic from growth in 3D lrECM are reflective of tissue-specific
differentiation. We further show that gene expression differences that
distinguish lung cell lines that grow as smooth vs. branched structures in 3D
lrECM can be used to stratify adenocarcinoma patients into prognostic groups with
significantly different outcome, defining phenotypic response to 3D lrECM as a
potential surrogate of lung cancer malignancy.
PMID- 22089950
TI - Volume-outcome relationship in surgery for esophageal malignancy: systematic
review and meta-analysis 2000-2011.
AB - BACKGROUND: The aim of this study is to provide a contemporary quantitative
analysis of the existing literature examining the relationship between surgical
caseload and outcome following esophageal resection. METHODS: Medline, Embase,
trial registries, conference proceedings and reference lists were searched for
trials comparing clinical outcome following esophagectomy from high- and low
volume hospitals since 2000. Primary outcomes were in-hospital and 30-day
mortality. Secondary outcomes were length of hospital stay and post-operative
complications. RESULTS: Nine appropriate publications comprising 27,843
esophagectomy operations were included, 12,130 and 15,713 operations were
performed in low- and high-volume surgical units, respectively. Esophagectomy at
low-volume hospitals was associated with a significant increase in incidence of
in-hospital (8.48% vs. 2.82%; pooled odds ratio (POR) = 0.29; P < 0.0001) and 30
day mortality (2.09% vs. 0.73%; POR = 0.31; P < 0.0001). There was insufficient
data for conclusive statistical analysis of length of hospital stay or post
operative complications. CONCLUSIONS: This meta-analysis does suggest a benefit
in the centralization of esophageal cancer surgery to high-volume institutions
with respect to mortality. The outcomes of this study are of interest to
patients, healthcare providers and payers, particularly regarding service
reconfiguration and more specifically centralization of services. Future studies
that look at long-term survival will help improve understanding of any late
consequences such as survival and quality of life following esophageal surgery at
low- and high-volume hospitals.
PMID- 22089951
TI - Is there a role for surgery with adequate nodal evaluation alone in gastric
adenocarcinoma?
AB - INTRODUCTION: The extent of lymphadenectomy and protocol design in gastric cancer
trials limits interpretation of survival benefit of adjuvant therapy after
surgery with adequate lymphadenectomy. We examined the impact of surgery with
adequate nodal evaluation alone on gastric cancer survival. METHODS: Using 2001
2008 California Cancer Registry, we identified 2,229 patients who underwent
gastrectomy with adequate nodal evaluation (>=15 lymph nodes) for American Joint
Committee on Cancer stage I-IV M0 gastric adenocarcinoma. Cox proportional hazard
analyses were used to evaluate the impact of surgery alone on survival. RESULTS:
Nearly 70% of our cohort had T1/2 tumors and 29% had N0 disease. Forty-nine
percent of the cohort underwent surgery alone. These patients were more likely to
be older, Medicare-insured, with T1 and N0 disease. On unadjusted analyses,
persons who underwent surgery alone for stage I or N0 disease experienced 1- and
3-year overall and cancer-specific survival comparable to those who received
adjuvant therapy. On multivariate analyses for stage I or N0 disease, surgery
alone predicted superior survival outcomes than when combined with adjuvant
therapies. CONCLUSION: Surgery alone with adequate nodal evaluation may have a
role in low-risk gastric cancer. To corroborate these findings, surgery with
adequate lymphadenectomy alone (as treatment arm) deserves consideration in the
design of gastric cancer trials to provide effective yet resource-conserving,
rather than maximally tolerated, treatments.
PMID- 22089952
TI - Outcomes of primary surveillance for intraductal papillary mucinous neoplasm.
AB - BACKGROUND: Limited data are available regarding the natural history of patients
undergoing primary surveillance for intraductal papillary mucinous neoplasm
(IPMN). We hypothesize that symptoms, radiologic characteristics, and
cytopathology will predict cancer risk during surveillance. METHODS: Between
March 2002 and March 2010, 522 patients were diagnosed with IPMN at a single,
high-volume institution. Low versus high oncologic risk was stratified
prospectively. Patients with under 3 months of surveillance were excluded.
RESULTS: Two hundred ninety-two patients underwent primary surveillance for IPMN.
Two hundred forty-four (84%) were classified as low-risk IPMN. Mean surveillance
duration was 35 (4-99) months. Thirty (12%) patients initially stratified as low
risk developed a new indication for pancreatic resection. Only 28 underwent
resection, and pathologic tissue analysis revealed 27 (96%) low-grade IPMN and
one (4%) high-grade dysplastic IPMN. Overall, two (1%) patients initially
determined to be low-risk developed invasive cancer. Forty-eight (16%) patients
stratified as high-risk IPMN were initially managed nonoperatively. Of the 13
(27%) high-risk patients that died during follow-up, two (15%) died from
pancreatic cancer. CONCLUSIONS: Progression to pancreatic cancer during
surveillance for low-risk IPMN was rare. Current indications for resection did
not forecast malignancy. Poor operative candidates with high-risk IPMN progressed
to invasive cancer more commonly, though a substantial portion succumbed to non
IPMN-related death.
PMID- 22089953
TI - Reflux esophagitis and marginal ulcer after pancreaticoduodenectomy.
PMID- 22089955
TI - Protection against ischemic cochlear damage by intratympanic administration of AM
111.
AB - OBJECTIVE: AM-111, a cell-permeable peptide inhibitor of c-Jun N-terminal kinase,
was investigated for its protective effects against ischemic damage of the
cochlea in gerbils. METHODS: Transient cochlear ischemia was introduced in
animals by occluding the bilateral vertebral arteries for l5 minutes. Then, 10
MUl of AM-111 at a concentration of l, 10, or 100 MUM in hyaluronic acid gel
formulation was applied onto the round window 30 minutes after the insult. Gel
without active substance was used in a control group. Treatment effects were
evaluated by auditory brainstem response (ABR) and histology of the inner ear.
RESULTS: In controls, transient cochlear ischemia caused a 25.0 +/- 5.0 dB
increase in the ABR threshold at 8 kHz and a decrease of 13.3 +/- 2.3% in inner
hair cells at the basal turn on Day 7. Ischemic damage was mild at 2 and 4 kHz.
When the animals were treated with AM-111 at 100 MUM, cochlear damage was
significantly reduced: the increase in ABR threshold was 3.3 +/- 2.4 dB at 8 kHz,
and the inner hair cell loss was 3.1 +/- 0.6% at the basal turn on Day 7. The
effects of AM-111 were concentration dependent: 100 MUM was more effective than 1
or 10 MUM. CONCLUSION: Direct application of AM-111 in gel formulation on the
round window was effective in preventing acute hearing loss because of transient
cochlear ischemia.
PMID- 22089954
TI - Epidermal growth factor expression in esophageal adenocarcinoma: a clinically
relevant target?
AB - INTRODUCTION: There has been recent widespread enthusiasm in epidermal growth
factor (EGFR) as a molecularly active target in esophageal adenocarcinoma (EAC).
However, there is limited data on the extent of EGFR expression in EAC. Thus, the
aim of this study was to evaluated EGFR, pErk1/2, and total Erk1/2 expression in
malignant and benign specimens. METHODS: Baseline expression of EGFR in the human
normal squamous, Barrett's, and EAC cell lines were determined as well as after
bile acid treatment and curcumin pretreatment. In addition, EGFR expression was
also evaluated in 60 matched normal and malignant EAC resected specimens.
RESULTS: The in vitro studies in the Het-1a, BarT, and OE19 cell lines failed to
show any measurable expression of EGFR via Western blot technique. The marker
serving as the positive control for the study, MnSOD, showed expression in each
cell line for all three treatment regimens at approximately 24 kDa EGFR, showing
moderate staining in the malignant tumor specimens and low staining in the benign
tissue specimens. pErk1/2 showed low staining in the malignant tumor specimens
and no staining in the benign tissue specimens. Total Erk1/2 showed high staining
in both the malignant tumor specimens and benign tissue specimens. The
differences in the mean staining scores for the malignant versus benign tissue
specimens for pErk1/2 and total Erk1/2 are not statistically significant (p =
0.0726 and p = 0.7054, respectively). CONCLUSION: Thus, in conclusion, EGFR
expression has been confirmed to be limited to non-existent in EAC and thus its
use as a clinically active target is limited at best. Prior to the use of these
expensive anti-EGFR therapies, confirmation of overexpression should be verified.
PMID- 22089956
TI - Intratympanic dexamethasone is an effective method as a salvage treatment in
refractory sudden hearing loss.
AB - OBJECTIVES: This study aimed to investigate the therapeutic efficacy of
intratympanic dexamethasone (ITD) as a salvage treatment in sudden hearing loss
(SHL) patients who had no response to initial systemic combination steroid
therapy. PATIENTS AND METHODS: From May 2007 to June 2010, 415 SHL patients
visited 3 tertiary referral centers within 7 days of disease onset. They were all
treated with an identical protocol, a 10-day scheduled hospitalization and oral
steroid treatment. Of these, 151 patients were totally unresponsive 2 weeks after
treatment initiation according to Siegel's criteria. We divided these 151
refractory patients into 3 groups: those receiving no further treatment (control
group, n = 59), those receiving one more 10-day cycle of oral steroids (systemic
reapplication group, n = 26), and those receiving ITD therapy (ITD group, n =
66). Final assessment of hearing was conducted approximately 3 months after the
onset of SHL. Hearing improvement was defined as demonstrating "any" improvement
according to Siegel's criteria. RESULTS: The initial average hearing thresholds
of all groups were similar. Overall hearing improvement was observed in 10 of 59
patients in the control group, in 4 of 26 in the systemic reapplication group,
and in 32 of 66 in the ITD group. No serious complications were observed.
Analyzing by frequency, paradoxically, hearing of the low and mid frequencies was
more significantly improved than high frequencies in the ITD group. CONCLUSION:
Intratympanic dexamethasone administration after failure of an initial treatment
is effective, and this should be used as a salvage treatment in cases of
refractory SHL.
PMID- 22089957
TI - Hearing preservation via a cochleostomy approach and deep insertion of a standard
length cochlear implant electrode.
AB - OBJECTIVE: The suggestion that the depth of insertion of the electrode into the
cochlea is critical to hearing preservation has led to the development of a
generation of short electrodes designed to minimize intracochlear trauma and
avoid contact with the apical region of the cochlea. This study aims to describe
our experience of hearing preservation surgery using a deeply inserted standard
length electrode array covering the region of residual hearing. STUDY DESIGN: A
retrospective case note review was performed identifying cases of attempted
hearing preservation using standard length electrodes. SETTING: Study based at
Manchester Royal Infirmary, a tertiary referral center. PATIENTS: Fourteen
cochlear implants in 13 patients were identified for further analysis from the
Manchester Cochlear Implant Programme database. INTERVENTION(S): Each patient
received the same design of implant using a "soft" surgical technique. MAIN
OUTCOME MEASURE: Preoperative and postoperative air conduction thresholds were
compared to assess the degree of hearing preservation. RESULTS: Successful
hearing preservation was demonstrated in 12 of 14 cases, and the postoperative
residual hearing thresholds in 3 adolescents receiving a standard length
electrode array were found to have improved. Preservation of speech recognition
was not measured in this study, rather hearing was tested by pure tone audiogram.
Follow-up at the time of this study ranged from 1 week to 23 months. CONCLUSION:
This study demonstrates that deep insertion of the electrode into the cochlea
does not preclude successful hearing preservation. It also highlights that
residual hearing can be consistently preserved using a "cochleostomy" approach.
PMID- 22089958
TI - Efficacy of a vibrotactile neurofeedback training in stance and gait conditions
for the treatment of balance deficits: a double-blind, placebo-controlled
multicenter study.
AB - OBJECTIVE: Vestibular rehabilitation strategies mostly require a long-lasting
training in stance conditions, which is finally not always successful. The
individualized training in everyday-life conditions with an intuitive tactile
neurofeedback stimulus seems to be a more promising approach. Hence, the present
study was aimed at investigating the efficacy of a new vibrotactile neurofeedback
system for vestibular rehabilitation. STUDY DESIGN: Double-blinded trial.
PATIENTS: One hundred five patients who experience one of the following balance
disorders for more than 12 months were included in the study: canal paresis,
otolith disorder, removal of an acoustic neuroma, microvascular compression
syndrome, Parkinson's disease, and presbyvertigo. INTERVENTIONS: Vibrotactile
neurofeedback training was performed daily (15 min) over 2 weeks with the
Vertiguard system in those 6 tasks of the Standard Balance Deficit Test with the
most prominent deviations from the normative values. MAIN OUTCOME MEASURES: Trunk
and ankle sway, dizziness handicap inventory, and vestibular symptom score were
measured in the verum and placebo group before the training, on the last training
day and 3 months later. RESULTS: A significant reduction in trunk and ankle sway
as well as in the subjective symptom scores were observed in the verum group.
Such an effect could not be found in any of the outcome parameters of the placebo
group. CONCLUSION: The vibrotactile neurofeedback training applied in the present
study is a highly efficient method for the reduction of body sway in different
balance disorders. Because the rehabilitation program is easy to perform, not
exhausting, and time saving, elderly patients and those with serious, long
lasting balance problems also can participate successfully.
PMID- 22089959
TI - A pilot study on safety climate in Chinese hospital.
AB - OBJECTIVES: The present paper has 2 primary objectives as a pilot study on health
care safety climate in China: to develop its prototypical model well fit to the
country's current hospital situations and validated external reliability and to
elicit essential characteristics of safety climate for hypothetical general
features in Chinese health care. METHODS: A safety climate survey was carried out
in 2008 at a university hospital in Shanghai, using an Operating Room Management
Attitudes Questionnaire. We collected 1056 valid responses from doctors and
nurses with 81% of overall response rate. RESULTS: A 9-dimension model of safety
climate was developed by applying principal component analysis to the entire
sample with 44% of cumulative variance accounted for. Compared with the Japanese
sample, safety climate in the Chinese hospital was characterized as strong
awareness of own competence, positive attitudes to organization, but large power
distance and unrealistic staff recognition of human error. Criterion validity of
the construct was in part assured by significant correlations of 4 dimensions
with self-reported staff behavior of accident reporting. CONCLUSIONS: Safety
climate has been not yet mature in the hospital surveyed that might be partly
tied with blame culture. Considering health-care policies, procedures, and
management styles shared with many other health-care organizations as well as
Chinese culture, we would hypothesize that the immature nature is common in
Chinese health care as overall characteristics of safety climate. From these
results, we would suggest that a nonpunitive health-care culture should be
fostered to improve patient safety in China.
PMID- 22089960
TI - Targeting the host-pathogen interface for treatment of Staphylococcus aureus
infection.
AB - Recent emergence of methicillin-resistant Staphylococcus aureus both within and
outside healthcare settings has accelerated the use of once reserved last line
antibiotics such as vancomycin. With increased use of antibiotics, there has been
a rapid rise in the rate of resistance development to the anti-MRSA drugs. As the
antibiotic pipeline becomes strained, alternative strategies are being sought for
future treatment of S. aureus. Here, we review several novel anti-staphylococcal
strategies that, unlike conventional antibiotics, do not target essential gene
products elaborated by the pathogen. The approaches seek instead to weaken the S.
aureus defense by neutralizing its virulence factors or boosting host immunity.
Other strategies target commensal bacteria that naturally colonize the human host
to inhibit S. aureus colonization. Ultimately, the aim is to shift the balance
between host defense and pathogen virulence in favor of inhibition of S. aureus
pathogenic activities.
PMID- 22089961
TI - A pilot study of a crossover trial with randomized use of ankle-foot orthoses for
people with Charcot-Marie-tooth disease.
AB - OBJECTIVES: This was a pilot and feasibility study of a crossover trial with
randomized use of ankle-foot orthoses by people with Charcot-Marie-tooth (CMT)
disease, investigating the effects of these on gait parameters, practical aspects
of use and achievement of goals. DESIGN: A randomized crossover trial. SETTING:
The community and ambulatory care. PARTICIPANTS: Eight adults with CMT disease
type 1 or 2. INTERVENTIONS: LigaflexTM, custom-made polypropylene and silicone
ankle-foot orthoses worn in randomized order for three weeks each, with a washout
week in-between; the orthoses of each participant's choice were then worn until
28 weeks. MAIN OUTCOME MEASURES: The primary outcome measure was gait velocity;
other outcome measures included Goal Attainment Scaling; Likert scores,
concerning aspects of orthosis use and gait analysis parameters. RESULTS: Gait
velocity was greatest wearing polypropylene orthoses, median 0.96 (interquartile
range (IQR) 0.75-1.18) ms(-1), compared with silicone orthoses, median 0.88 (0.71
1.12) ms(-1), and no orthosis, median 0.79 (0.56-0.84) ms(-1), P=0.006. The
silicone orthoses met goals more successfully and scored more favourably for
comfort, 5.0 (5.0-6.0), P=0.003 and pain, 5.5 (4.0-7.0), P=0.015. Future
modifications to study methodology were identified, such as a longer period of
wear and measurement of walking in different situations. CONCLUSIONS: This study
confirmed the feasibility of a larger trial. It indicated differences in walking
velocity and parameters concerning wear of the orthoses that could be explored
further. A further crossover trial would require 27 participants in order to show
a clinically meaningful difference in velocity of 0.13 ms(-1) with 90% power and
alpha of 5%.
PMID- 22089962
TI - Immediate effects of electrical stimulation combined with passive locomotion-like
movement on gait velocity and spasticity in persons with hemiparetic stroke: a
randomized controlled study.
AB - OBJECTIVE: Research to examine the immediate effects of electrical stimulation
combined with passive locomotion-like movement on gait velocity and spasticity.
DESIGN: A single-masked, randomized controlled trial design. SUBJECTS: Twenty
seven stroke inpatients in subacute phase (ischemic n = 16, hemorrhagic n = 11).
INTERVENTIONS: A novel approach using electrical stimulation combined with
passive locomotion-like movement. MAIN MEASURES: We assessed the maximum gait
speed and modified Ashworth scale before and 20 minutes after the interventions.
RESULTS: The gait velocity of the electrical stimulation combined with passive
locomotion-like movement group showed the increase form 0.68 +/- 0.28 (mean +/-
SD, unit: m) to 0.76 +/- 0.32 after the intervention. Both the electrical
stimulation group and passive locomotion-like movement group also showed
increases after the interventions (from 0.76 +/- 0.37 to 0.79 +/- 0.40, from 0.74
+/- 0.35 to 0.77 +/- 0.36, respectively). The gait velocity of the electrical
stimulation combined with passive locomotion-like movement group differed
significantly from those of the other groups (electrical stimulation combined
with passive locomotion-like movement versus electrical stimulation: P = 0.049,
electrical stimulation combined with passive locomotion-like movement versus
passive locomotion-like movement: P = 0.025). Although there was no statistically
significant difference in the modified Ashworth scale among the three groups, six
of the nine subjects (66.6%) in the electrical stimulation combined with passive
locomotion-like movement group showed improvement in the modified Ashworth scale
score, while only three of the nine subjects (33.3%) in the electrical
stimulation group and two of the nine subjects (22.2%) improved in the passive
locomotion-like movement group. CONCLUSION: These findings suggest electrical
stimulation combined with passive locomotion-like movement could improve gait
velocity in stroke patients.
PMID- 22089963
TI - Effect of simvastatin on the pharmacokinetics of anastrozole.
AB - We have prospectively tested the effects of simvastatin on the pharmacokinetics
of anastrozole and on estrogen concentrations in postmenopausal women with
hormone receptor-positive breast cancer who were receiving adjuvant anastrozole.
Following 14 days of simvastatin, we did not observe a significant change in
plasma concentrations of anastrozole or hydroxyanastrozole in nine evaluable
women. Likewise, we did not observe any statistically significant change in serum
concentrations of either estradiol, which remained in the undetectable range, or
estrone sulfate. Simvastatin and anastrozole may be safely co-administered.
Pharmacokinetic results suggest that simvastatin is not likely to compromise the
activity of anastrozole.
PMID- 22089965
TI - Denbinobin, a phenanthrene from dendrobium nobile, inhibits invasion and induces
apoptosis in SNU-484 human gastric cancer cells.
AB - Dendrobium nobile is widely used as an analgesic, an antipyretic, and a tonic to
nourish the stomach in traditional medicine. Mounting evidence suggests an
antitumor activity of denbinobin, a major phenanthrene isolated from stems of
Dendrobium nobile. The present study aimed to investigate the inhibitory effect
of denbinobin on the invasive ability of human cancer cells. The cytotoxicity of
denbonobin was examined in several human cancer cell lines including SK-Hep-1
hepato-carcinoma cells, SNU-484 gastric cancer cells, and HeLa cervix cancer
cells. Because SNU-484 cells showed the lowest IC50 value, we examined the effect
of denbinobin on the invasive ability of SNU-484 cells. The present study
revealed, for the first time, that denbinobin inhibits the invasive phenotype of
SNU-484 human gastric cancer cells in a dose-dependent manner. Expressions of
matrix metalloproteinase (MMP)-2 and MMP-9 were significantly decreased by
denbinobin, suggesting that MMP-2/-9 may be responsible for the anti-invasive
activity of denbinobin. We also provide evidence that denbinobin induces
apoptosis through down-regulation of Bcl-2 and an up-regulation of Bax. Taken
together, this study demonstrates that denbinobin inhibits invasion and induces
apoptosis in highly invasive SNU-484 human gastric cancer cells. Given that
gastric cancer has been estimated to be one of the most common causes of cancer
related death among Asians and the major cause of death from gastric cancer is
the metastatic spread of the disease, our findings may provide useful information
regarding the application of denbinobin as a chemopreventive agent that could
prevent or alleviate metastatic gastric cancer.
PMID- 22089966
TI - Bacterial glyphosate resistance conferred by overexpression of an E. coli
membrane efflux transporter.
AB - Glyphosate herbicide-resistant crop plants, introduced commercially in 1994, now
represent approximately 85% of the land area devoted to transgenic crops.
Herbicide resistance in commercial glyphosate-resistant crops is due to
expression of a variant form of a bacterial 5-enolpyruvylshikimate-3-phosphate
synthase with a significantly decreased binding affinity for glyphosate at the
target site of the enzyme. As a result of widespread and recurrent glyphosate
use, often as the only herbicide used for weed management, increasing numbers of
weedy species have evolved resistance to glyphosate. Weed resistance is most
often due to changes in herbicide translocation patterns, presumed to be through
the activity of an as yet unidentified membrane transporter in plants. To provide
insight into glyphosate resistance mechanisms and identify a potential glyphosate
transporter, we screened Escherichia coli genomic DNA for alternate sources of
glyphosate resistance genes. Our search identified a single non-target gene that,
when overexpressed in E. coli and Pseudomonas, confers high-level glyphosate
resistance. The gene, yhhS, encodes a predicted membrane transporter of the major
facilitator superfamily involved in drug efflux. We report here that an
alternative mode of glyphosate resistance in E. coli is due to reduced
accumulation of glyphosate in cells that overexpress this membrane transporter
and discuss the implications for potential alternative resistance mechanisms in
other organisms such as plants.
PMID- 22089967
TI - Self-reported visual impairment among persons with diagnosed diabetes --- United
States, 1997--2010.
AB - Diabetes can lead to visual impairment (VI) and blindness (1). However, early
detection and treatment of many common eye diseases, such as diabetic retinopathy
and glaucoma, can reduce the risk for developing VI (1). Surveillance of VI among
persons with diabetes is important for evaluating the effectiveness of efforts to
reduce VI and other complications of diabetes. To examine trends in the
prevalence of self-reported VI among adults (persons aged >=18 years) with
diagnosed diabetes in the United States and to assess reported access to eye-care
providers, CDC analyzed 1997-2010 data from the National Health Interview Survey
(NHIS). This report describes the results of that analysis, which indicated that
although the number of adults with diagnosed diabetes reporting VI increased, the
age-adjusted percentage of adults with diagnosed diabetes who reported VI
declined significantly, from 23.7% in 1997 to 16.7% in 2010. During this 14-year
period, age-adjusted VI prevalence declined significantly among most categories
of adults with diabetes: men, women, whites, Hispanics, those with some college
or higher education, and those diagnosed with diabetes for >=3 years. Prevalence
also declined among those aged >=45 years. The percentage of adults with
diagnosed diabetes and self-reported VI who reported having consulted an eye-care
provider in the past year remained constant at approximately 63%. Continued
efforts are needed to sustain and improve the declining trends in self-reported
VI and to increase rates of recommended eye examinations in the population with
diabetes.
PMID- 22089968
TI - Paralytic shellfish poisoning --- southeast Alaska, May--June 2011.
AB - On June 6, 2011, the Section of Epidemiology (SOE) of the Alaska Division of
Public Health was notified of a case of paralytic shellfish poisoning (PSP) in
southeast Alaska. In collaboration with local partners, SOE investigated and
identified a total of eight confirmed and 13 probable PSP cases that occurred
during May--June 2011. Warnings to avoid noncommercially harvested shellfish were
broadcast on local radio and television and displayed at beaches and in post
offices, government offices, and businesses throughout the region. Commercially
harvested shellfish, which are tested for the presence of PSP-causing toxins,
were safe. Because the risk for PSP is unpredictable, persons who consume
noncommercially harvested Alaskan shellfish should know that they are at risk for
PSP, and suspected cases should be reported promptly to SOE to initiate control
measures in the affected area.
PMID- 22089969
TI - How ionic liquids can help to stabilize native proteins.
AB - The native state of a globular protein is essential for its biocatalytic
function, but is marginally stable against unfolding. While unfolding equilibria
are often reversible, folding intermediates and misfolds can promote irreversible
protein aggregation into amorphous precipitates or highly ordered amyloid states.
Addition of ionic liquids-low-melting organic salts-offers intriguing prospects
for stabilizing native proteins and their enzymatic function against these
deactivating reaction channels. The huge number of cations and anions that form
ionic liquids allows fine-tuning of their solvent properties, which offers robust
and efficient strategies for solvent optimization. Going beyond case-by-case
studies, this article aims at discussing principles for a rational design of
ionic liquid-based formulations in protein chemistry and biocatalysis.
PMID- 22089970
TI - Approval summary: letrozole (Femara(r) tablets) for adjuvant and extended
adjuvant postmenopausal breast cancer treatment: conversion of accelerated to
full approval.
AB - On April 30, 2010, the U.S. Food and Drug Administration converted letrozole
(Femara(r); Novartis Pharmaceuticals Corporation, East Hanover, NJ) from
accelerated to full approval for adjuvant and extended adjuvant (following 5
years of tamoxifen) treatment of postmenopausal women with hormone receptor
positive early breast cancer. The initial accelerated approvals of letrozole for
adjuvant and extended adjuvant treatment on December 28, 2005 and October 29,
2004, respectively, were based on an analysis of the disease-free survival (DFS)
outcome of patients followed for medians of 26 months and 28 months,
respectively. Both trials were double-blind, multicenter studies. Both trials
were unblinded early when an interim analysis showed a favorable letrozole effect
on DFS. In updated intention-to-treat analyses of both trials, the risk for a DFS
event was lower with letrozole than with tamoxifen (hazard ratio [HR], 0.87; 95%
confidence interval [CI], 0.77-0.99; p = .03) in the adjuvant trial and was lower
than with placebo (HR, 0.89; 95% CI, 0.76-1.03; p = .12) in the extended adjuvant
trial. The latter analysis ignores the interim switch of 60% of placebo-treated
patients to letrozole. Bone fractures and osteoporosis were reported more
frequently following treatment with letrozole whereas tamoxifen was associated
with a higher risk for endometrial proliferation and endometrial cancer.
Myocardial infarction was more frequently reported with letrozole than with
tamoxifen, but the incidence of thromboembolic events was higher with tamoxifen
than with letrozole. Lipid-lowering medications were required for 25% of patients
on letrozole and 16% of patients on tamoxifen.
PMID- 22089971
TI - Selenium concentration and glutathione peroxidase (GSH-Px) activity in serum of
cows at different stages of lactation.
AB - The aim of the study was to evaluate the activity of glutathione peroxidase (GSH
Px) and the concentration of selenium in Holstein-Friesian cows at different
stages of lactation. Selenium was determined spectrofluorimetrically and GSH-Px
activity using a Sigma CGP1 Glutathione Peroxidase Cellular Activity Assay kit.
Mean serum selenium concentration was highest in early-lactation multiparous cows
(0.18 MUg/ml) and the lowest in dry cows (0.111 MUg/ml). In early lactation,
serum selenium concentration was significantly (P <= 0.01) higher in multiparous
cows than in cows from the other groups. Mean GSH-Px activity in the serum of dry
cows was over twice lower than in late-lactation cows (P <= 0.01) and over four
times lower than in first-calving heifers and multiparous cows in early lactation
(P <= 0.01). The coefficients of Spearman's rank correlation between GSH-Px
activity and selenium concentration in the cows at different stages of lactation
were not significant. A significant (P <= 0.01) mean positive correlation (0.46)
was found between GSH-Px activity and serum selenium concentration for all the
cows analysed together. The highest Se concentration and GSH-Px activity found in
the serum of cows during the first stage of lactation may suggest that the
generation of reactive oxygen species and their derivatives was higher during
this period compared to the other stages, thus placing the cows at a greater risk
of oxidative stress. It is therefore essential to give particular attention
during this period to meeting the cows' requirement for selenium and other feed
components that increase, directly or indirectly, the capacity of the body's
antioxidant system.
PMID- 22089972
TI - Reasons for lower transformation efficiency in indica rice using Agrobacterium
tumefaciens-mediated transformation: lessons from transformation assays and
genome-wide expression profiling.
AB - Agrobacterium tumefaciens-mediated genetic transformation has been routinely used
in rice for more than a decade. However, the transformation efficiency of the
indica rice variety is still unsatisfactory and much lower than that of japonica
cultivars. Further improvement on the transformation efficiency lies in the
genetic manipulation of the plant itself, which requires a better understanding
of the underlying process accounting for the susceptibility of plant cells to
Agrobacterium infection as well as the identification of plant genes involved in
the transformation process. In this study, transient and stable transformation
assays using different japonica and indica cultivars showed that the lower
transformation efficiency in indica rice was mainly due to the low efficiency in
T-DNA integration into the plant genome. Analyses of the global gene expression
patterns across the transformation process in different varieties revealed major
differences in the expression of genes responding to Agrobacterium within the
first 6 h after infection and more differentially expressed genes were observed
in the indica cultivar Zhenshan 97 (ZS), with a number of genes repressed early
during infection. Microarray analysis revealed an important effect of plant
defense response on Agrobacterium-mediated transformation. It has been shown that
some genes which may be necessary for the transformation process were down
regulated in the indica cultivar ZS. This dataset provided a versatile resource
for plant genomic research to understand the regulatory network of transformation
process, and showed great promise for improving indica rice transformation using
genetic manipulation of the rice genome.
PMID- 22089974
TI - The first European journal on cardiac electrophysiology and pacing, the European
Journal of Cardiac Pacing and Electrophysiology.
PMID- 22089973
TI - A transcriptomic analysis reveals the nature of salinity tolerance of a wheat
introgression line.
AB - The bread wheat cultivar Shanrong No.3 (SR3) is a salinity tolerant derivative of
an asymmetric somatic hybrid between cultivar Jinan 177 (JN177) and tall
wheatgrass (Thinopyrum ponticum). To reveal some of the mechanisms underlying its
elevated abiotic stress tolerance, both SR3 and JN177 were exposed to iso-osmotic
NaCl and PEG stress, and the resulting gene expression was analysed using a
customized microarray. Some genes associated with stress response proved to be
more highly expressed in SR3 than in JN177 in non-stressed conditions. Its
unsaturated fatty acid and flavonoid synthesis ability was also enhanced, and its
pentose phosphate metabolism was more active than in JN177. These alterations in
part accounted for the observed shift in the homeostasis related to reactive
oxygen species (ROS). The specific down-regulation of certain ion transporters
after a 0.5 h exposure to 340 mM NaCl demonstrated that Na(+) uptake occurred
rapidly, so that the early phase of salinity stress imposes more than simply an
osmotic stress. We discussed the possible effect of the introgression of new
genetic materials in wheat genome on stress tolerance.
PMID- 22089975
TI - Corneal perforation as a primary manifestation of keratoconus in a patient with
underlying rheumatoid arthritis.
AB - PURPOSE: The purpose of this study is to report a case of corneal perforation in
a patient with undiagnosed keratoconus and underlying rheumatoid arthritis.
METHODS: This is a retrospective case study based on the patient's medical
records and followed by a brief review of the literature. RESULTS: A 53-year-old
patient was referred to our department for acute pain and sudden decrease of
visual acuity in his left eye. Corneal perforation was detected and attributed to
a previously undiagnosed and untreated keratoconus. Additional laboratory work-up
and clinical examination revealed a coexisting rheumatoid arthritis. Amniotic
membrane was originally transplanted in order to maintain the structural
integrity and promote healing of the perforated eye. Subsequently, the patient
underwent a penetrating keratoplasty, though with unfavorable results due to
postoperative endophthalmitis. CONCLUSIONS: Rheumatoid arthritis may be
associated with higher risk of corneal perforation in patients with ectatic
degenerative diseases such as keratoconus.
PMID- 22089976
TI - Intravitreal bevacizumab as an adjunct to vitrectomy in advanced Eales' disease.
AB - PURPOSE: This study aimed to report the use of intravitreal bevacizumab as an
adjunctive treatment in two cases of advanced Eales' disease with vitreous
haemorrhage and tractional retinal detachment, prior to vitreoretinal surgery.
METHOD: In two patients presenting with vitreous haemorrhage, retinal
neovascularisation and localised tractional retinal detachment, 1.25 mg of
intravitreal bevacizumab was injected prior to vitrectomy, membrane peeling and
endolaser photocoagulation of retina. RESULT: Regression of the retinal
neovascularisation with resolution of dye leakage on fluoroscein angiography was
observed in both cases. Membrane peeling could be performed with minimal bleeding
during vitreoretinal surgery in both cases. CONCLUSION: Bevacizumab may be a
possible adjunctive treatment to vitreoretinal surgery for the management of
Eales' disease with tractional retinal detachment.
PMID- 22089977
TI - Access to the ophthalmic artery by retrograde approach through the posterior
communicating artery for intra-arterial chemotherapy of retinoblastoma.
AB - Intra-arterial infusion of chemotherapy into the ophthalmic artery for treatment
of retinoblastoma has been realized after catheterization of the internal carotid
and temporary balloon occlusion beyond the orifice of the ophthalmic artery, or
more recently after superselective canulation of the ophthalmic artery by a
microcatheter. The superselective catheterization of the ophthalmic artery could
be cumbersome because of the implantation of the ostium on the carotid siphon or
because of the tortuosity of the carotid siphon. We report our experience of
using a retrograde approach through the posterior communicating artery that
allows a more direct angle of access to the origin of the ophthalmic artery.
PMID- 22089978
TI - Information channels associated with awareness of human papillomavirus infections
and vaccination among Latino immigrants from safety net clinics.
AB - We report on information channels associated with awareness about human
papillomavirus (HPV) among immigrant Central and South American Latinos. We
conducted a survey of 1,334 Latino >= 21 years attending safety-net clinics in
2007-2008. Logistic regression analyses evaluated associations with HPV
awareness. Forty-eight percent were aware of HPV infection and 40% were aware of
the vaccine. Spanish television (38%) and providers (23%) were the primary HPV
information sources. Infection awareness was associated with internet use (OR
1.47; 95% CI 1.10-1.96) and self-efficacy to find health information (OR 1.19;
95% CI 1.08-1.30). Vaccine awareness was associated with media use for health
information (OR 1.27; 95% CI 1.09-1.49) and internet use (OR 1.59; 95% CI 1.18
2.13). Although Spanish television has reached this low HPV awareness group,
there may be missed opportunities for education by providers. Television and the
internet may also be effective channels for future interventions.
PMID- 22089979
TI - Health risk behaviors among five Asian American subgroups in California:
identifying intervention priorities.
AB - This analysis assessed the prevalence of excess body weight, physical inactivity
and alcohol and tobacco use in Asian American subgroups. Using 2005 California
Health Interview Survey data, we estimated the prevalence of body mass index
(BMI) categories using both standard and World Health Organization-proposed Asian
specific categories, physical inactivity, and alcohol and tobacco use for Chinese
(n = 1,285), Japanese (n = 421), Korean (n = 620), Filipino (n = 659) and
Vietnamese (n = 480) Americans in California. About 80% of Japanese and Filipino
American men and 70% of Korean American men were "increased/high risk" by Asian
specific BMI categories. Most Asian American subgroups were more likely to walk
for transportation than non-Hispanic whites, but less likely to report other
physical activities. Highest smoking and binge drinking prevalences were among
Korean, Vietnamese and Filipino American men and Japanese and Korean American
women. These results suggest risk profiles for each Asian American subgroup to
consider when setting priorities for health promotion programs.
PMID- 22089980
TI - The association between self-reported symptoms of recent airway infection and CRP
values in a general population.
AB - C-reactive protein (CRP) is a much used biomarker for respiratory tract
infection; however, the influence of airway infection on the CRP level in the
general population has not been well described. The study aimed to evaluate the
impact of recent symptoms of airway infection on the CRP level and how the
predictive power of other known CRP predictors is influenced by taking
respiratory symptoms into account. A total of 6,325 participants, aged 38-87
years, in the Tromso Study, a repeated population-based survey, were examined
with questionnaires, measurements of height and weight, spirometry, and high
sensitivity CRP analyses. The mean CRP value was 2.86 mg/L, and the geometric
mean was 1.51 mg/L. Geometric means above 2.0 mg/L were found in the subgroups
with the following characteristics: self-reported COPD, diabetes, recent symptoms
of airway infection, forced expiratory volume in 1 s (FEV1) <80% predicted, body
mass index (BMI) >=30, and subjects treated with inhaled or oral corticosteroids.
Among the subjects who reported recent airway infection, 10.5% had a CRP value of
>=10 mg/L, compared to 3.3% among the remaining participants. By multivariate
analysis, BMI was the strongest independent predictor of the CRP level, followed
by recent airway infection, FEV1% predicted, age, and current smoking. The study
clearly demonstrates that a report of recent symptoms of airway infection
strongly predicts the CRP level in the population. Such symptoms were shared
rather equally between subgroups with increased CRP level, and the risk of being
an important confounder in epidemiological studies is probably low. In the
clinical setting, care should be taken when using the CRP level as a guide for
medical prevention of chronic diseases.
PMID- 22089981
TI - Sleep deprivation and postpartum mental health: case report.
PMID- 22089982
TI - Short Psychological Intervention as a Perioperative Pain Reduction Treatment in
Spinal Neurosurgery.
AB - STUDY AIMS: The aim of the present pilot study was to test the feasibility of an
innovative Short Psychological Intervention (SPI) for back pain patients as part
of an acute inpatient neurosurgical treatment. Fear and fear-avoidance beliefs
have been shown to influence the functional outcome in chronic back pain (CBP)
patients. Therefore, a reduction of fear and fear-avoidance beliefs should
improve the functional outcome and reduce pain in the acute neurosurgical
setting. PATIENTS AND METHODS: 39 patients were studied in a randomized
prospective longitudinal study. The patients had severe degenerative spinal
disease and had undergone posterior lumbar interbody fusion. RESULTS: All
patients enrolled in the study were investigated in the immediate preoperative
period and 6 weeks postoperatively using a package of standardized questionnaires
in which pain intensity, fear-avoidance beliefs, and physical fitness were
recorded. In 19 of the patients, the surgical procedure was supplemented by a SPI
based on methods to increase self-efficacy by reducing fear-avoidance beliefs.
While the intervention group reported a significantly greater reduction in the
highest pain intensity and a better physical fitness compared to the control
group, we did not find a significant decrease in fear-avoidance beliefs in the
intervention group at the second time of assessment, possibly due to the
relatively small sample size. CONCLUSIONS: The study confirmed that psychological
interventions can offer significant benefits when used in the acute inpatient
setting as the outcome of surgery can be positively influenced. Future studies
should focus on cost savings related to improved postoperative recovery and a
possible reduction of chronic postoperative pain.
PMID- 22089983
TI - Is ICRP guidance on the use of reference levels consistent?
AB - In ICRP 103, which has replaced ICRP 60, it is stated that no fundamental changes
have been introduced compared with ICRP 60. This is true except that the
application of reference levels in emergency and existing exposure situations
seems to be applied inconsistently, and also in the related publications ICRP 109
and ICRP 111. ICRP 103 emphasises that focus should be on the residual doses
after the implementation of protection strategies in emergency and existing
exposure situations. If possible, the result of an optimised protection strategy
should bring the residual dose below the reference level. Thus the reference
level represents the maximum acceptable residual dose after an optimised
protection strategy has been implemented. It is not an 'off-the-shelf item' that
can be set free of the prevailing situation. It should be determined as part of
the process of optimising the protection strategy. If not, protection would be
sub-optimised. However, in ICRP 103 some inconsistent concepts have been
introduced, e.g. in paragraph 279 which states: 'All exposures above or below the
reference level should be subject to optimisation of protection, and particular
attention should be given to exposures above the reference level'. If, in fact,
all exposures above and below reference levels are subject to the process of
optimisation, reference levels appear superfluous. It could be considered that if
optimisation of protection below a fixed reference level is necessary, then the
reference level has been set too high at the outset. Up until the last phase of
the preparation of ICRP 103 the concept of a dose constraint was recommended to
constrain the optimisation of protection in all types of exposure situations. In
the final phase, the term 'dose constraint' was changed to 'reference level' for
emergency and existing exposure situations. However, it seems as if in ICRP 103
it was not fully recognised that dose constraints and reference levels are
conceptually different. The use of reference levels in radiological protection is
reviewed. It is concluded that the recommendations in ICRP 103 and related ICRP
publications seem to be inconsistent regarding the use of reference levels in
existing and emergency exposure situations.
PMID- 22089984
TI - Photolithographic surface micromachining of polydimethylsiloxane (PDMS).
AB - A major technical hurdle in microfluidics is the difficulty in achieving high
fidelity lithographic patterning on polydimethylsiloxane (PDMS). Here, we report
a simple yet highly precise and repeatable PDMS surface micromachining method
using direct photolithography followed by reactive ion etching (RIE). Our method
to achieve surface patterning of PDMS applied an O(2) plasma treatment to PDMS to
activate its surface to overcome the challenge of poor photoresist adhesion on
PDMS for photolithography. Our photolithographic PDMS surface micromachining
technique is compatible with conventional soft lithography techniques and other
silicon-based surface and bulk micromachining methods. To illustrate the general
application of our method, we demonstrated fabrication of large microfiltration
membranes and free-standing beam structures in PDMS.
PMID- 22089985
TI - Behcet disease: clinical features and management in a Brazilian tertiary
hospital.
AB - BACKGROUND: Behcet disease (BD) is prevalent in Central and East Asia and in the
Eastern Mediterranean area where most studies have been performed. Few studies
have evaluated patients with BD in Brazil. OBJECTIVES: The objective of the study
was to describe clinical manifestations of BD and their therapy in a single
center in Brazil. METHODS: Sixty patients who met the International Study Group
Criteria for BD and were under follow-up at the Vasculitis Unit of the
Universidade Federal de Sao Paulo were evaluated in a retrospective observational
study. RESULTS: Mean age at study was 40.0 (SD, 10.7) years, and the female-male
ratio was 1.2:1.0. The frequency of disease manifestations was as follows: oral
ulcers, 100%; genital ulcers, 93.3%; ocular manifestations, 63.3%; arthritis,
46.7%; cutaneous lesions, 71.7%; positive pathergy test, 22.7%; neurologic
involvement, 28.3%; thrombosis, 13.3%; and gastrointestinal involvement, 3.3%.
Arthritis and erythema nodosum were more prevalent among women, whereas
papulopustular lesions were more common in men. The frequency of each treatment
modality was as follows: colchicine, 78.3%; thalidomide, 26.7%; colchicine and
penicillin, 21.7%; dapsone, 8.3%; and pentoxyphyline, 8.3%. These treatments were
mainly used for mucocutaneous manifestations. Immunosuppressive drugs were
prescribed for 70% of the patients, including azathioprine (35.0%),
cyclophosphamide (28.3%), cyclosporin A (21.7%), methotrexate (18.3%), and
chlorambucil (6.7%). Infliximab was used in 5.0% of refractory patients. No
differences were observed between sexes related to severe manifestations of BD.
CONCLUSIONS: Although reported elsewhere, Brazilian men with BD did not have a
worse prognosis. Women had a higher frequency of arthritis manifestations.
PMID- 22089986
TI - Immunoglobulin G4-related disease with lymphoplasmacytic aortitis mimicking
Takayasu arteritis.
PMID- 22089987
TI - Adult-onset Still disease in a patient with acute hepatitis A.
PMID- 22089988
TI - Antiphospholipid antibodies in malignancy: are these pathogenic or epiphenomena?
AB - Antiphospholipid syndrome (APS) is an autoimmune disorder characterized by
arterial and venous thrombotic events associated with antiphospholipid
antibodies. Antiphospholipid syndrome is commonly seen with collagen vascular
diseases; however, other entities that can cause APS include chronic viral
infections, certain medications, and malignancies. We present an interesting
patient with an atypical presentation and course of presumed APS, which lead us
to perform an exhaustive search for a secondary cause. The patient was ultimately
found to have splenic marginal zone lymphoma. Analysis of the current data in the
literature is presented for APS, antiphospholipid antibodies, and malignancy.
Based on the literature findings and our experience, we recommend a thorough and
repeated evaluation for an underlying malignancy in patients who have an atypical
presentation and features of APS.
PMID- 22089989
TI - Cutaneous necrosis as a presenting manifestation of antiphospholipid antibodies.
PMID- 22089990
TI - Psychological status in Moroccan patients with ankylosing spondylitis and its
relationships with disease parameters and quality of life.
AB - BACKGROUND: The evaluation of emotional status is an important parameter in
management of rheumatic diseases. There are few studies evaluating the
psychological status in ankylosing spondylitis (AS) and its relationships with
disease parameters and quality of life. OBJECTIVE: In this study, we evaluated
the psychological status in Moroccan patients with AS and its relationships with
the activity of the disease, the functional status, and the quality of life.
PATIENTS: One hundred ten patients were included in this cross-sectional study
according to the modified New York criteria for AS. Psychological status was
assessed by the Hospital Anxiety and Depression Scale (HADS) including the
depression and anxiety subscales. The quality of life was evaluated by the Short
Form 36. RESULTS: Depression was found in 55.5% and anxiety in 60% among our
patients. The HADS depression and anxiety subscales were significantly correlated
with clinical parameters and with worsening in all domains of the Short Form
36.Multivariate logistic regression analysis revealed that role limitations due
to emotional problems, vitality, and general health perception were independent
risk factors of anxiety. The Bath Ankylosing Spondylitis Functional Index,
vitality, and role limitations due to emotional problems were the independent
factors that influenced the risk of depression. CONCLUSION: This study suggests
that depression and anxiety are frequent in AS. Impaired quality of life and
functional disability seemed to be independent risk factors of psychological
disorders. Therefore, assessment and management of patients with AS should take
into account the evaluation and management of their psychological disorders and
improvement of their functional disability.
PMID- 22089991
TI - A randomized controlled trial evaluating the cost-effectiveness of sonographic
guidance for intra-articular injection of the osteoarthritic knee.
AB - OBJECTIVE: The present randomized controlled study investigated whether
sonographic needle guidance affected the outcomes of intra-articular injection
for osteoarthritis of the knee. METHODS: Ninety-four noneffusive knees with
osteoarthritis were randomized to injection by conventional palpation-guided
anatomic landmark injection or sonographic image-guided injection enhanced with a
1-handed mechanical (the reciprocating procedure device) syringe. After intra
articular placement and synovial space dilation were confirmed by sonography, a
syringe exchange was performed, and 80 mg of triamcinolone acetonide was injected
with the second syringe through the indwelling intra-articular needle. Baseline
pain, procedural pain, pain at outcome (2 weeks and 6 months), responders,
therapeutic duration, reinjection rates, total cost, and cost per responder were
determined. RESULTS: Relative to conventional palpation-guided anatomic landmark
methods, sonographic guidance for injection of the knee resulted in 48% reduction
in procedural pain (P < 0.001), a 42% reduction in pain scores at outcome (P <
0.03), 107% increase in the responder rate (P < 0.001), 52% reduction in the
nonresponder rate (P < 0.001), a 36% increase in therapeutic duration (P = 0.01),
a 13% reduction ($17) in cost per patient per year, and a 58% ($224) reduction in
cost per responder per year for a hospital outpatient (P < 0.001). CONCLUSIONS:
Sonographic needle guidance reduced procedural pain and improved the clinical
outcomes and cost-effectiveness of intra-articular injections of the
osteoarthritic knee.
PMID- 22089992
TI - Anti-cyclic citrullinated peptide antibodies in adult patients with juvenile
idiopathic arthritis.
AB - BACKGROUND: Antibodies to cyclic citrullinated peptide (anti-CCP) have been found
in different proportions in the juvenile idiopathic arthritis (JIA) population.
The majority of studies have been done in children or mixed population (children
plus adults). AIM: The objective of the study was to study the prevalence of anti
CCP in JIA adult patients. METHODS: Anti-CCP3 was searched for in 49 adult
patients with JIA and associated with clinical and demographics data. As
comparisons, 156 patients with adult rheumatoid arthritis (RA) and 100 healthy
volunteers were studied. RESULTS: Nine patients (18.3%) were positive for anti
CCP3. All of them had the polyarthritis form. This antibody was more common in
JIA than in control subjects (P = 0.0002) and less common in JIA than in adult RA
patients (P < 0.0001), but the rheumatoid factor polyarticular form of JIA had
the same prevalence as in adult RA patients (P = 0.33).In JIA patients, anti-CCP
had a positive association with the presence of rheumatoid factor (P < 0.0001),
worse functional status (P = 0.04), need for orthopedic surgery (P = 0.01), and
later disease onset (P = 0.0007). CONCLUSIONS: In adult patients with JIA, the
prevalence of anti-CCP3 is 18%, and its presence may define a sample of patients
with worse prognosis.
PMID- 22089993
TI - Spontaneous resolution of apparent radiation associated retroperitoneal fibrosis.
AB - A 70-year-old man was diagnosed with retroperitoneal fibrosis (RPF) complicated
by ureteral obstruction 4 months after finishing radiotherapy for prostate
cancer. He was treated conservatively with ureteral stent placement. After 3
months, computed tomography scan of the abdomen revealed resolution of RPF
without any medical or surgical interventions. Although an uncommon event, the
possibility of spontaneous resolution of RPF, as demonstrated by this and
previously reported cases, has led some to encourage conservative management.
PMID- 22089995
TI - Life-threatening hepatitis C virus-associated polyarteritis nodosa successfully
treated by rituximab.
AB - By contrast to cryoglobulinemic vasculitis, polyarteritis nodosa associated with
hepatitis C virus (HCV) infection is rare and still a controversial entity. The
best treatment for this condition is not established. Cases reported in the
literature have been treated with various combinations of corticosteroids,
antiviral therapy, and immunosuppressants. We report a case of severe life
threatening HCV-associated polyarteritis nodosa successfully treated with
rituximab and a short course of corticosteroids without antiviral therapy. This
case, along with recently published data, emphasizes the value of B-cell-targeted
therapy in this unusual form of HCV-associated vasculitis.
PMID- 22089994
TI - Leprosy initially misdiagnosed as sarcoidosis, adult-onset still disease, or
autoinflammatory disease.
AB - Leprosy is a chronic granulomatous disease caused by Mycobacterium leprae. We
describe the case of a 20-year-old man from India living in Italy since 2003, who
presented with erythematous papules and nodules distributed on his arms, legs,
and face in 2006. He also had episodes of high fever, polyarthritis, and
episcleritis. Sarcoidosis was suspected on the basis of elevated angiotensin
converting enzyme and bronchoalveolar lavage fluid, and the patient was treated
with corticosteroids for about a year. A flare of the disease occurred each time
corticosteroid was tapered or suspended. An autoinflammatory disease was then
suspected and treated with immunosuppressant. Only the third deep skin biopsy
revealed the presence of M. leprae. The lack of clinical suspicion and the
unfamiliarity with the histology of leprosy delayed diagnosis and treatment.
Leprosy should be considered in the differential diagnoses of patients presenting
with rheumatic and cutaneous manifestations especially when they come from
countries where the disease is endemic.
PMID- 22089996
TI - Parvovirus B19-associated arthritis: report on a community outbreak.
PMID- 22089997
TI - Granulomatosis with polyangiitis (Wegener's) presenting as a periaortic mass.
PMID- 22089998
TI - Establishment of a Chinook salmon cell line with an inducible gene expression
system.
AB - We have isolated a stable recombinant cell line CHSE-TOF5 derived from the
Chinook salmon (Oncorhynchus tshawytscha) embryo cells for use as an inducible
expression system. The cells were transfected with the pTet-Off plasmid from the
Tet On/Off Clontech system, carrying a G418 resistance gene. Several G418
resistant clones were subcultured and characterised by quantitative PCR (qPCR)
and by transient transfection. The level of expression of transcriptional
activator was measured by qPCR in a number of isolated clones, and transient
transfection with a pTRE2-hyg-LUC plasmid was used to evaluate the inducibility
of these clones. A clone was selected for its relative fast cell growth and good
level of inducibility. This genetically engineered cell line is a valuable tool
for the fish research community especially in research areas investigating the
biological function of proteins from fish or fish pathogens.
PMID- 22089999
TI - Microsurgical skills training with a new tympanoplasty model: learning curve and
motivational impact.
AB - OBJECTIVES: To evaluate the microsurgical skills training on a tympanoplasty
model with regard to the learning curve and the participants' motivation for a
surgical specialty. STUDY DESIGN: Randomized controlled prospective study.
SETTING: Department of Otolaryngology, Head and Neck Surgery, Medical Campus Carl
Gustav Carus at the Technische Universitat Dresden, Germany. SUBJECTS: Thirty
randomly chosen fifth-year medical students divided into 2 groups. INTERVENTIONS:
Group 2 (n = 16) had to perform an ossicular and tympanic membrane reconstruction
on a tympanoplasty model on Days 1, 7, 14, and 21 and Group 1 (n = 14) on Days 1
and 21, while observing the procedure at Days 7 and 14. Six otosurgeons served as
gold standard. Attempts and time of prosthesis placement and time for tympanic
membrane reconstruction were recorded. Tremor frequency and amplitude were
obtained at the same time points. An adjusted study interest questionnaire was
used to assess students' motivation. RESULTS: Students in Group 2 showed a
significant improvement in all reconstruction parameters over the study period
compared with both, baseline measurement on first day and Group 1. However, the
obtained learning curve did not reach the experts level. Tremor indices and
students' motivation showed no correlation with the reconstruction parameters,
whereas the training itself had a positive impact on students' interest in the
surgical specialty. CONCLUSION: Training with the tympanoplasty model is suitable
to acquire first microsurgical motor skills in otolaryngology and to arouse
students' interest in the surgical field and otorhinolaryngology.
PMID- 22090000
TI - A head shake sensory organization test to improve the sensitivity of the sensory
organization test in the elderly.
AB - OBJECTIVE: The head shake sensory organization test (HS-SOT) is an expansion of
the sensory organization test (SOT), which evaluates impairment of the patient's
ability to apply vestibular input while actively moving the head. HS-SOTs has
been proposed to increase the sensitivity of SOTs. The purpose of this study was
to investigate the value of HS-SOTs in a healthy population with respect to age
and compare the sensitivity of HS-SOTs with that of SOTs in the elderly
population. METHODS: One hundred two (n = 102) healthy subjects were divided into
3 age groups: the young adult group (between 20 and 39 yr), the adult group
(between 40 and 59 yr), and the elderly group (between 60 and 79 yr). The
subjects underwent SOTs and HS-SOTs. RESULTS: The equilibrium scores of HS-SOTs
underwent more significant change than those of SOTs in the elderly group. The
equilibrium score ratio SOT2/HS-SOT2 (HS-SOT during SOT condition 2) decreased by
4% more in the elderly group compared with that of the young adult group. The
ratio of SOT5/HS-SOT5 decreased by 54% more in the elderly group compared with
that of the young adult group. CONCLUSION: In the elderly, equilibrium scores of
HS-SOTs changed more than those of SOTs. In addition, SOT5/HS-SOT5 demonstrated
more sensitive changes in the elderly than SOT2/HS-SOT2 did.
PMID- 22090001
TI - Results with cochlear implantation in adults with speech recognition scores
exceeding current criteria.
AB - OBJECTIVES: The primary purpose of this study was to evaluate a group of
postlingually deafened adults, whose aided speech recognition exceeded commonly
accepted candidacy criteria for implantation. The study aimed to define
performance and qualitative outcomes of cochlear implants in these individuals
compared with their optimally fitted hearing aid(s). STUDY DESIGN: Retrospective
case series. SETTING: Tertiary referral center. PATIENTS: All postlingually
deafened subjects (N = 27), who were unsuccessful hearing aid users implanted
between 2000 and 2010 with a preimplantation Hearing in Noise Test (HINT) score
of 60% or more were included. INTERVENTION: We compared patients' preoperative
performance (HINT score) with hearing aids to postoperative performance with the
cochlear implant after 12 months of device use. In addition, the Hearing Handicap
Inventory questionnaire was used to quantify the hearing-related handicap change
perceived after the implantation. RESULTS: The study group demonstrated
significant postoperative improvement on all outcome measures; most notably, the
mean HINT score improved from 68.4% (standard deviation, 8.3) to 91.9% (standard
deviation, 9.7). Additionally, there was a significant improvement in hearing
related handicap perceived by all patients. CONCLUSION: The envelope of
implantation candidacy criteria continues to expand as shown by this study's
cohort. Patient satisfaction and speech recognition results are very encouraging
in support of treating those who currently perform at a level above the
conventional candidacy threshold but struggle with optimally fitted hearing aids.
PMID- 22090002
TI - Seborrheic keratosis of the external auditory canal.
PMID- 22090003
TI - Front squat data reproducibility collected with a triple-axis accelerometer.
AB - The purpose of our study was to assess data reproducibility from 2 consecutive
front squat workouts, spaced 1 week apart, performed by American college football
players (n = 18) as they prepared for their competitive season. For each workout,
our methods entailed the performance of 3-6 front squat repetitions per set at
55, 65, and 75% of subject's 1 repetition maximum (1RM) load. In addition, a
fourth set was done at a heavier load, with a resistance equal to 80 and 83% of
their 1RM values, for the first and second workouts, respectively. A triple-axis
accelerometer was affixed to a barbell to quantify exercise performance. Per
load, the accelerometer measures peak values for the following indices: force,
velocity, and power. To assess data reproducibility, inter-workout comparisons
were made for 12 performance indices with 4 statistical test-retest measures:
intraclass correlation coefficients, coefficients of variation (CVs), and the SEM
expressed in both absolute and relative terms. Current results show that the
majority of performance indices exceeded intraclass correlation (0.75-0.80) and
CV (10-15%) values previously deemed as acceptable levels of data
reproducibility. The 2 indices with the greatest variability were power and
velocity values obtained at 55% of the 1RM load; thus, it was concluded that
higher movement rates at the lightest load were the most difficult aspect of
front squat performance to repeat successfully over time. Our practical
applications imply lighter loads, with inherently higher rates of barbell
movement, yield lower data reproducibility values.
PMID- 22090004
TI - Anthropometry as a predictor of vertical jump heights derived from an
instrumented platform.
AB - The current study purpose examined the vertical height-anthropometry relationship
with jump data obtained from an instrumented platform. Our methods required
college-aged (n = 177) subjects to make 3 visits to our laboratory to measure the
following anthropometric variables: height, body mass, upper arm length (UAL),
lower arm length, upper leg length, and lower leg length. Per jump, maximum
height was measured in 3 ways: from the subjects' takeoff, hang times, and as
they landed on the platform. Standard multivariate regression assessed how well
anthropometry predicted the criterion variance per gender (men, women, pooled)
and jump height method (takeoff, hang time, landing) combination. Z-scores
indicated that small amounts of the total data were outliers. The results showed
that the majority of outliers were from jump heights calculated as women landed
on the platform. With the genders pooled, anthropometry predicted a significant
(p < 0.05) amount of variance from jump heights calculated from both takeoff and
hang time. The anthropometry-vertical jump relationship was not significant from
heights calculated as subjects landed on the platform, likely due to the female
outliers. Yet anthropometric data of men did predict a significant amount of
variance from heights calculated when they landed on the platform; univariate
correlations of men's data revealed that UAL was the best predictor. It was
concluded that the large sample of men's data led to greater data heterogeneity
and a higher univariate correlation. Because of our sample size and data
heterogeneity, practical applications suggest that coaches may find our results
best predict performance for a variety of college-aged athletes and vertical jump
enthusiasts.
PMID- 22090005
TI - Evaluating hand in systemic sclerosis.
AB - Articular symptoms are common in SSc and joint pain is a frequent presenting
feature of this disease. Hand involvement is often the first clinical
manifestation of SSc and could be resulted from fibrosis or synovitis or an
overlap syndrome with rheumatoid arthritis (RA); though, the latter is a
controversy in practice. To define the clues when identifying the nature of the
hand arthropathy in SSc. In order to determine the hand arthropathy, serological
tests, hand radiography, finger-to-palm (FTP) distance and other clinical
features, disease activity and functional scoring parameters were assessed.
Twenty-eight consecutive SSc patients and 43 controls (21 rheumatoid arthritis
and 22 healthy controls) were included. Radiographic findings in SSc patients
were: Erosions 25%, joint space narrowing 17.9%, arthritis 10.7%, radiological
demineralisation 42.9%, acro-osteolysis 25%, flexion contracture 28.6% and
calcinosis 17.9%. Anti-CCP antibody and RF positivity were as follows: In SSc
group: 3 (11%) and 7 patients (25%); In RA group: 13 (62%) and 19 patients
(90.5%); In healthy control group: 1 (4%) and 3 persons (13.6%), respectively.
Two patients (7.14%) were regarded as RA overlap, whom both had positive RF and
positive anti-CCP results and their radiographs revealed arthritis. Seventeen
patients (61%) were regarded as SSc arthropathy; all were negative for RF and
anti-CCP but revealed nonarthritic radiological findings. (Among them, only one
patient had positive anti-CCP result). The remainder (9 patients) had no
radiological or serological finding positive for arthropathy. Arthritis was found
to have correlation with heart involvement and FTP was correlated with lung
involvement. Hand involvement in SSc is a challenge in rheumatology practice;
Radiographic testing when evaluated with RF and anti-CCP will be a helpful tool
to discriminate SSc arthropathy from RA-SSc overlap. Hand arthropathy should
increase the interest in the serious internal organ involvements of SSc.
PMID- 22090006
TI - Successful treatment for conventional treatment-resistant dermatomyositis
associated interstitial lung disease with adalimumab.
AB - Dermatomyositis (DM) is a systemic autoimmune disorder characterized by the
inflammation of skeletal muscles and pathognomonic skin rashes, namely heliotrope
rash and Gottron's papules and involvement of other organs. Interstitial lung
disease (ILD) seems to be one of the most characteristic manifestations of the
lung and associated with increased morbidity and mortality in patients with DM.
Despite DM-associated ILD requires aggressive therapy with cytotoxic agents, the
efficacy is questionable in some cases, and more effective and less toxic
therapies are needed. Recently, although there have been several reports of
successful treatment of refractory case of PM and DM with the TNF-alpha
antagonists, including infliximab and etanercept, there was no enough evidence
for DM-associated ILD. We described herein a patient with DM-associated ILD who
had poor response to conventional therapies and successfully treated with
adalimumab.
PMID- 22090007
TI - The role of interleukin-23/interleukin-17 axis in the development of systemic
lupus erythematosus among patients with tuberculosis: comment on: Lin YC, Liang
SJ, Liu YH et al.: tuberculosis as a risk factor for systemic lupus
erythematosus: results of a nationwide study in Taiwan. (Rheumatol Int. 2011 Mar
18. [Epub ahead of print]).
PMID- 22090008
TI - Living with scleroderma: patients' perspectives, a phenomenological study.
AB - In this study, it is aimed to determine the daily life experiences of patients on
the basis their own way of statement. Sixteen patients with scleroderma were
enrolled to this qualitative study. Data were collected using both a demographic
data form and a semi-structured interview form. Study was made on individual
patient interview by face-to-face manner. Data were evaluated using Colaizzi's
phenomenological data analysis method. Data analysis revealed four categories and
nine topics. These categories were (1) physical impact of disease, (2) emotional
impact of disease, (3) social impact of disease and (4) patient behaviours for
the cope with the disease. As emotional impact, patients imply that they have
experienced disappointment, hope to get well and have fears about the future. In
the physical impact category, tight skin, limitations of hand skills, swelling of
the hands and feet, fatigue, swallowing difficulties and deformation of their
bodies were the prominent features. In patients with recognizable disease,
difficulty to join to social activities increases and eventually leads to
isolation. There was also some evidence that patients who have been supported by
their family and close relatives seem to be more optimistic about their disease.
Most patients are not willing to communicate with other patients, particularly in
an advanced stage. Scleroderma patients imply that they experience several
difficulties regarding emotional, physical and social aspects. Individual
abilities to cope with the disease were much more improved among patients who
have a sustained social support. For advanced patients with apparent
deformations, an effective social support system should be introduced.
PMID- 22090009
TI - Bucillamine-induced yellow nail in Japanese patients with rheumatoid arthritis:
two case reports and a review of 36 reported cases.
AB - Yellow nail syndrome is an idiopathic condition characterized by a triad
consisting of yellow nail, lymphedema, and pulmonary manifestations. Thiol
compounds such as D-penicillamine have been reported to be the major cause of
drug-induced yellow nail syndrome in patients with rheumatoid arthritis (RA). We
recently experienced two Japanese cases with RA who developed yellow nail under
treatment with bucillamine, a thiol-containing anti-rheumatic drug developed and
approved in Japan. We reviewed the literature for similar cases and identified 36
RA cases with bucillamine-induced yellow nail, mostly in Japanese medical
journals. Most of these cases (90.3%) showed improvement of yellow nail after
discontinuation of bucillamine, whereas lymphedema and pulmonary manifestations
improved only in 30.8 and 35.0% of the patients, respectively.
PMID- 22090010
TI - Paraneoplastic rheumatic syndromes: report of eight cases and review of
literature.
AB - Malignant neoplasms are associated with a wide variety of paraneoplastic
rheumatological syndromes. The paraneoplastic nature should be based on specific
criteria. We report a series of eight cases of paraneoplastic rheumatic syndromes
revealing an underlying neoplasia. Our series consists of six men and two women,
with a mean age of 46.1 (20-69 years). The first case is a hypertrophic
osteoarthropathy of Pierre Marie that occurred in a 20-year-old man 1 month after
treatment for his nasopharyngeal carcinoma; the paraclinical examinations showed
lung and bone metastasis. The second case is that of a bilateral shoulder-hand
syndrome revealing an invasive squamous cell carcinoma of the cervix in a 63-year
old woman. The third case involved a 69-year-old patient who had surgery 2 years
ago for prostate adenocarcinoma and presented with polymyalgia rheumatica
revealing bone metastasis. We also report two cases of leukemia in adults
revealed by polyarthritis. The sixth observation is that of a paraneoplastic
scleroderma that occurred concomitantly with prostate cancer. The seventh case of
an acute arthritis showed a B lymphoma. The eighth case is that of a 52-year-old
patient who presented with inflammatory arthralgias, and digital clubbing
revealing a squamous cell carcinoma of the skin. Paraneoplastic rheumatism
remains a rare event, but knowledge of it is essential for early diagnosis of
underlying cancer.
PMID- 22090011
TI - In vivo animal models of spinal metastasis.
AB - The vertebral column is the commonest site for skeletal metastases, with breast,
prostate and lung cancers being the most common primary sources. The spine has
structural and neural-protective properties thus involvement by metastatic cancer
often causes bony instability and fracture, intractable pain and neurological
deficit. In vivo animal models which resemble the human condition are essential
in order to improve understanding of the pathophysiology behind the spread of
metastatic cancer to the spine and its subsequent local growth and invasion, to
enable in-depth analysis of the interaction between host and tumour cells and the
molecular processes behind local cancer invasion and barriers to invasion as well
as to allow assessment of novel treatment modalities for spinal metastases. This
review summarizes the current status of the animal models specifically used for
the study of spinal metastasis, their relevance, advantages and limitations, and
important considerations for the development of future in vivo animal models.
PMID- 22090012
TI - [Evidence-based surgery. What is really verified?].
PMID- 22090013
TI - [Lymphadenectomy for malignancies of the upper gastrointestinal tract].
AB - Studies from specialized and high volume centers revealed an improved overall
survival for patients subjected to extended lymphadenectomy. The drawbacks of
radical lymph node dissection seem to be represented in higher rates of morbidity
and mortality and thus are correlated to the surgical expertise of the respective
institution. Especially patients in the early stages of metastatic lymph node
spread benefit from extended and more radical lymphadenectomy. In a retrospective
analysis of this institution's own patients, a pN0 category pT stage and the
amount of retrieved lymph nodes have been found to be independent prognostic
factors. In patients with up to six positive nodes (pN1) pT stage, the number of
retrieved nodes, the number of positive nodes and R stage are correlated to
survival prognosis. If more than six nodes are invaded only the amount of
metastatic nodes and R stage are relevant prognostic factors. It will be of
upmost interest to compare these data with analyses from regional and national
cancer registers for gastric and esophageal cancer. As so far no reliable
procedure for preoperative determination of lymphatic spread exists, the
recommendations by the respective research organizations will have to be adopted
until further notice, which is D2 lymphadenectomy for locally advanced gastric
cancer and 2-field lymphadenectomy for patients with advanced esophageal
cancer.Due to higher complication rates for patients subjected to radical
lymphadenectomy, it is recommended that these procedures be performed in
specialized high volume centers with corresponding surgical experience.
PMID- 22090014
TI - [Lymph node dissection for carcinomas of the lower gastrointestinal tract. What
is evidence-based?].
AB - Evidence-based analysis of the influence of lymph node dissection on the
prognosis of colorectal cancer patients is complicated by the now well
established multimodal treatment strategies. In addition surgical quality
criteria, such as exact preparation along the mesenterial fascia and avoiding
tears have a major influence on the prognosis.Nevertheless, the overall evidence
for the need of lymph node dissection in the treatment of colorectal carcinoma is
high. However, there are still some questions remaining concerning the extent of
pericolic lymph node dissection, inclusion of the lymph nodes along the greater
curvature of the stomach and the inferior aspect of the left pancreas in
carcinomas of the transverse colon and the flexures. In particular the definitive
central ligation of the supplying arteries in right-sided colon carcinoma is not
adequately implemented worldwide.
PMID- 22090015
TI - [Thrombosis prophylaxis in surgery. What is the evidence?].
AB - Prevention of venous thromboembolism has become routine in all surgical
disciplines and consists of physical and pharmacological measures. The
indications and choice of prophylaxis modality depend on the individual patient
risk profile which is determined by the combination of exposing and predisposing
risk factors. The exposing risk factors are characterized by the type and extent
of surgery or trauma, whereas the predisposing risk factors relate to patient
inherent risk factors. This is also taken into consideration for the compilation
of guidelines. This review summarizes the recommendations of the German S3
guidelines related to surgery and also discusses the perioperative management of
patients receiving long-term anticoagulation with new oral anticoagulants
(rivaroxaban, apixaban, dabigatran).
PMID- 22090016
TI - [Contribution of the Study Center of the German Surgical Society to evidence
based surgery].
AB - Since the Study Center of the German Surgical Society (SDGC) was established in
2003 it has been supporting surgeons to implement their ideas for multicenter
randomized studies. Assistance is provided for development (sample size
calculation, protocol, funding application) implementation (submission to ethics
committee, data management, monitoring) and analysis (statistical analysis,
publication) in close collaboration with biometricians and data managers.
Currently more than 2,500 patients have been included in 11 trials. The most
complex SDGC study (SYNCHRONOUS) so far with up to 80 participating centers has
been activated in September 2011. Furthermore, there is an increasing relevance
for systematic reviews and meta analyses with regard to the development of
studies and aggregation of results. For this reason a systematic review working
group was established within the SDGC. To date 13 publications have been
completed and 8 more are underway.
PMID- 22090017
TI - [Update gastric surgery. Are innovations really always better?].
PMID- 22090018
TI - [The new TNM classification of tumors of the esophagogastric junction. Surgical
consequences].
AB - The new International Union Against Cancer (UICC) classification in its seventh
version has been out since January 2010. It included some important changes for
the classification of esophageal and gastric carcinomas compared to the sixth
version. For esophageal carcinomas this means a more detailed subdivision of the
T and N stages which should, together with the newly introduced prognostic
grouping (separate for squamous cell carcinoma and adenocarcinoma) enable a more
precise and individualized prediction of prognosis. Another innovation is that
positive lymph nodes in the esophageal drainage area, including celiac axis nodes
and paraesophageal lymph nodes in the neck, are classified as regional lymph node
metastases rather than distant metastatic spread, irrespective of tumor location.
Hereby the lymphadenectomy specimen should include >= 6 lymph nodes (LN). The
most controversial improvement is that adenocarcinomas of the esophagogastric
junction (AEG) are all classified as esophageal carcinomas. This should
acknowledge the similar prognosis of AEGs and esophageal carcinomas, which is
worse compared to gastric carcinomas in other locations. Regarding the
classification of gastric carcinomas the T-stages were redefined and lymph node
staging (N-stage) was refined to allow for a better prediction of prognosis. The
lymphadenectomy specimen after gastrectomy should hereby include >= 16 LNs. As
the primary aim of the UICC classification is a preferably accurate prognosis
prediction, the impact on a surgeon's therapeutic decision is low. For decisions
regarding the type of resection the endoscopic AEG classification with the aim of
R0 resections is still the instrument of choice. The value of the UICC
classification is that it enables sophisticated comparisons between different
treatment regimens and strategies.
PMID- 22090019
TI - [Endoscopic therapy in early gastric cancer].
AB - Endoscopic treatment of early gastric cancer has been shown to be effective and
safe. It is a minimally invasive and organ-preserving treatment approach that can
safely be used as an alternative to surgical resection. A prerequisite of any
endoscopic treatment with a curative intent is a very low risk of lymph node
metastasis of the lesion intended to be endoscopically resected. As in high
volume surgical centers all endoscopic procedures with a curative intent should
also be carried out in centers with a high expertise in the different endoscopic
resection (ER) techniques available, the major techniques being suck-and-cut ER
and endoscopic submucosal dissection (ESD). Because of the risk of secondary
neoplasia after curative endoscopic therapy, a standardized follow-up protocol is
required. The majority of secondary neoplastic lesions can again be treated
endoscopically. In the present article an overview of initial staging procedures,
techniques, indications, as well as follow-up strategies after endoscopic therapy
for early gastric cancer is given.
PMID- 22090020
TI - [Minimally invasive gastric surgery].
AB - The interest in minimally invasive surgery (MIS) for the treatment of gastric
carcinoma has increased in recent years worldwide. In particular, for early
gastric carcinoma (EGC) many retrospective comparative trials and some
prospective randomized trials have confirmed that laparoscopy-assisted distal
gastrectomy shows a better short-term outcome in terms of lower morbidity, less
pain, faster recovery and shorter hospital stay in contrast to open surgery. In
this group of selected patients MIS is safe and feasible but at present not
widely accepted because of a limited evaluation in oncologic long-term follow-up.
In cases of EGC limited to the mucosal layer and under the condition that
endoscopic resection is not suitable, laparoscopic local wedge resection or
intragastric resection can be an alternative option with good results in long
term follow-up. The data for laparoscopic total gastrectomy and MIS for advanced
gastric cancer have confirmed that both are technically feasible and extended
lymph node dissection can also be laparoscopically performed. However,
laparoscopic total gastrectomy is much more complex and even in expert hands more
complications and a higher morbidity have been observed in contrast to
laparoscopic distal resections.
PMID- 22090021
TI - Mechanistic investigations on dimethyl carbonate formation by oxidative
carbonylation of methanol over a CuY zeolite: an operando SSITKA/DRIFTS/MS study.
AB - The simultaneous combination of steady state isotopic transient kinetic analysis
(SSITKA) with diffuse reflectance Fourier transform spectroscopy (DRIFTS) and
mass spectrometric (MS) analysis was applied to study the oxidative carbonylation
of methanol (MeOH) to dimethyl carbonate (DMC) on a CuY zeolite catalyst prepared
by incipient-wetness impregnation of commercial zeolite NH(4)-Y. The interaction
of the catalyst with different reactants and reactant mixtures (O(2), CO,
CO/O(2), MeOH/O(2), MeOH/CO, and MeOH/CO/O(2)) was studied in detail using
(16)O(2)/(18)O(2) as well as (12)CO/(13)CO containing gas mixtures. DMC is
produced via a monodentate monomethyl carbonate (MMC) species as intermediate
which is formed by the concerted action of adsorbed methoxide and CO with gas
phase MeOH. Adsorbed bidentate MMC species were found to be inactive. Lattice
oxygen supplied by CuO(x) species is involved in the formation of MMC. Gas phase
oxygen is needed to re-oxidize the catalyst but favours also the oxidation of CO
to CO(2) and unselective oxidation reactions of MeOH to methyl formate,
dimethoxymethane, and CO(2). The appropriate choice of reaction temperature and
of the oxygen content in the reactant gas mixture was found to be indispensable
for reaching high DMC selectivities.
PMID- 22090022
TI - Feasibility of surgery after systemic treatment with the humanized recombinant
antibody bevacizumab in heavily pretreated patients with advanced epithelial
ovarian cancer.
AB - BACKGROUND: The aim of this study was to assess operative feasibility and outcome
after bevacizumab treatment (BT) in ovarian cancer (OC) patients. PATIENTS AND
METHODS: We retrospectively identified all OC patients operated between April
2006 and September 2010 after BT. RESULTS: We identified 733 OC operations, 10 of
which (1.36%) were performed in a mean time of 134 days (range, 10-288) after BT.
Indication was secondary cytoreduction in 3 patients (mean days after BT, 181;
range, 82-256) and palliation in 7 due to bowel obstruction and/or intestinal
perforation or fistula (mean days after BT, 114; range, 10-288). All but 1
acutely operated patients developed a secondary wound healing, but none of the 3
patients after planned cytoreduction did. Of these 3 patients, 1 suddenly died on
the 36th postoperative day, presumably of thromboembolism. None of the patients
developed postoperatively a gastrointestinal morbidity; however, in 1 patient
operated 21 days after BT due to a vesicointestinal fistula the bladder
reconstruction could not heal and developed a permanent fistula. CONCLUSIONS:
Emergency surgery after BT due to bowel obstruction and/or fistulas seems to be
associated with an impaired wound healing in advanced heavily pretreated platinum
resistant OC patients, while this does not appear the case in planned
cytoreduction. Prospective evaluations are warranted to assess surgical safety
after BT in this special patients' collective.
PMID- 22090023
TI - Establishment of Epstein-Barr virus growth-transformed lymphoblastoid cell lines.
AB - Infection of B cells with Epstein-Barr virus (EBV) leads to proliferation and
subsequent immortalization, resulting in establishment of lymphoblastoid cell
lines (LCL) in vitro. Since LCL are latently infected with EBV, they provide a
model system to investigate EBV latency and virus-driven B cell proliferation and
tumorigenesis(1). LCL have been used to present antigens in a variety of
immunologic assays(2, 3). In addition, LCL can be used to generate human
monoclonal antibodies(4, 5) and provide a potentially unlimited source when
access to primary biologic materials is limited(6, 7). A variety of methods have
been described to generate LCL. Earlier methods have included the use of mitogens
such as phytohemagglutinin, lipopolysaccharide(8), and pokeweed mitogen(9) to
increase the efficiency of EBV-mediated immortalization. More recently, others
have used immunosuppressive agents such as cyclosporin A to inhibit T cell
mediated killing of infected B cells(7, 10-12). The considerable length of time
from EBV infection to establishment of cell lines drives the requirement for
quicker and more reliable methods for EBV-driven B cell growth transformation.
Using a combination of high titer EBV and an immunosuppressive agent, we are able
to consistently infect, transform, and generate LCL from B cells in peripheral
blood. This method uses a small amount of peripheral blood mononuclear cells that
are infected in vitroclusters of cells can be demonstrated. The presence of CD23
with EBV in the presence of FK506, a T cell immunosuppressant. Traditionally,
outgrowth of proliferating B cells is monitored by visualization of microscopic
clusters of cells about a week after infection with EBV. Clumps of LCL can be
seen by the naked eye after several weeks. We describe an assay to determine
early if EBV-mediated growth transformation is successful even before microscopic
clusters of cells can be demonstrated. The presence of CD23(hi)CD58(+) cells
observed as early as three days post-infection indicates a successful outcome.
PMID- 22090024
TI - Pancreatic stem cells: from possible to probable.
AB - Type 1 and some forms of type 2 diabetes mellitus are caused by deficiency of
insulin-secretory islet beta cells. An ideal treatment for these diseases would
therefore be to replace beta cells, either by transplanting donated islets or via
endogenous regeneration (and controlling the autoimmunity in type 1 diabetes).
Unfortunately, the poor availability of donor islets has severely restricted the
broad clinical use of islet transplantation. The ability to differentiate
embryonic stem cells into insulin-expressing cells initially showed great
promise, but the generation of functional beta cells has proven extremely
difficult and far slower than originally hoped. Pancreatic stem cells (PSC) or
transdifferentiation of other cell types in the pancreas may hence provide an
alternative renewable source of surrogate beta cells. However, the existence of
PSC has been hotly debated for many years. In this review, we will discuss the
latest development and future perspectives of PSC research, giving readers an
overview of this controversial but important area.
PMID- 22090025
TI - Compartmentation of triacylglycerol accumulation in plants.
AB - Triacylglycerols from plants, familiar to most people as vegetable oils, supply
25% of dietary calories to the developed world and are increasingly a source for
renewable biomaterials and fuels. Demand for vegetable oils will double by 2030,
which can be met only by increased oil production. Triacylglycerol synthesis is
accomplished through the coordinate action of multiple pathways in multiple
subcellular compartments. Recent information has revealed an underappreciated
complexity in pathways for synthesis and accumulation of this important energy
rich class of molecules.
PMID- 22090026
TI - Emerging role of lipid droplets in host/pathogen interactions.
AB - Lipid droplets (LDs) are highly dynamic cell organelles involved in energy
homeostasis and membrane trafficking. Here, we review how select pathogens
interact with LDs. Several RNA viruses use host LDs at different steps of their
life cycle. Some intracellular bacteria and parasites usurp host LDs or encode
their own lipid biosynthesis machinery, thus allowing production of LDs
independently of their host. Although many mechanistic details of host/pathogen
LD interactions are unknown, a picture emerges in which the unique cellular
architecture and energy stored in LDs are important in the replication of diverse
pathogens.
PMID- 22090027
TI - alpha-N-acetylgalactosaminidase from infant-associated bifidobacteria belonging
to novel glycoside hydrolase family 129 is implicated in alternative mucin
degradation pathway.
AB - Bifidobacteria inhabit the lower intestine of mammals including humans where the
mucin gel layer forms a space for commensal bacteria. We previously identified
that infant-associated bifidobacteria possess an extracellular membrane-bound
endo-alpha-N-acetylgalactosaminidase (EngBF) that may be involved in degradation
and assimilation of mucin-type oligosaccharides. However, EngBF is highly
specific for core-1-type O-glycan (Galbeta1-3GalNAcalpha1-Ser/Thr), also called T
antigen, which is mainly attached onto gastroduodenal mucins. By contrast, core-3
type O-glycans (GlcNAcbeta1-3GalNAcalpha1-Ser/Thr) are predominantly found on the
mucins in the intestines. Here, we identified a novel alpha-N
acetylgalactosaminidase (NagBb) from Bifidobacterium bifidum JCM 1254 that
hydrolyzes the Tn antigen (GalNAcalpha1-Ser/Thr). Sialyl and galactosyl core-3
(Galbeta1-3/4GlcNAcbeta1-3(Neu5Acalpha2-6)GalNAcalpha1-Ser/Thr), a major
tetrasaccharide structure on MUC2 mucin primarily secreted from goblet cells in
human sigmoid colon, can be serially hydrolyzed into Tn antigen by previously
identified bifidobacterial extracellular glycosidases such as alpha-sialidase
(SiaBb2), lacto-N-biosidase (LnbB), beta-galactosidase (BbgIII), and beta-N
acetylhexosaminidases (BbhI and BbhII). Because NagBb is an intracellular enzyme
without an N-terminal secretion signal sequence, it is likely involved in
intracellular degradation and assimilation of Tn antigen-containing polypeptides,
which might be incorporated through unknown transporters. Thus, bifidobacteria
possess two distinct pathways for assimilation of O-glycans on gastroduodenal and
intestinal mucins. NagBb homologs are conserved in infant-associated
bifidobacteria, suggesting a significant role for their adaptation within the
infant gut, and they were found to form a new glycoside hydrolase family 129.
PMID- 22090028
TI - Photosystem II component lifetimes in the cyanobacterium Synechocystis sp. strain
PCC 6803: small Cab-like proteins stabilize biosynthesis intermediates and affect
early steps in chlorophyll synthesis.
AB - To gain insight in the lifetimes of photosystem II (PSII) chlorophyll and
proteins, a combined stable isotope labeling (15N)/mass spectrometry method was
used to follow both old and new pigments and proteins. Photosystem I-less
Synechocystis cells were grown to exponential or post-exponential phase and then
diluted in BG-11 medium with [15N]ammonium and [15N]nitrate. PSII was isolated,
and the masses of PSII protein fragments and chlorophyll were determined.
Lifetimes of PSII components ranged from 1.5 to 40 h, implying that at least some
of the proteins and chlorophyll turned over independently from each other. Also,
a significant amount of nascent PSII components accumulated in thylakoids when
cells were in post-exponential growth phase. In a mutant lacking small Cab-like
proteins (SCPs), most PSII protein lifetimes were unaffected, but the lifetime of
chlorophyll and the amount of nascent PSII components that accumulated were
decreased. In the absence of SCPs, one of the PSII biosynthesis intermediates,
the monomeric PSII complex without CP43, was missing. Therefore, SCPs may
stabilize nascent PSII protein complexes. Moreover, upon SCP deletion, the rate
of chlorophyll synthesis and the accumulation of early tetrapyrrole precursors
were drastically reduced. When [14N]aminolevulinic acid (ALA) was supplemented to
15N-BG-11 cultures, the mutant lacking SCPs incorporated much more exogenous ALA
into chlorophyll than the control demonstrating that ALA biosynthesis was
impaired in the absence of SCPs. This illustrates the major effects that
nonstoichiometric PSII components such as SCPs have on intermediates and assembly
but not on the lifetime of PSII proteins.
PMID- 22090029
TI - Packaging of fat: an evolving model of lipid droplet assembly and expansion.
AB - Lipid droplets (LDs) are organelles found in most types of cells in the tissues
of vertebrates, invertebrates, and plants, as well as in bacteria and yeast. They
differ from other organelles in binding a unique complement of proteins and
lacking an aqueous core but share aspects of protein trafficking with secretory
membrane compartments. In this minireview, we focus on recent evidence supporting
an endoplasmic reticulum origin for LD formation and discuss recent findings
regarding LD maturation and fusion.
PMID- 22090030
TI - Molecular mechanism for inhibition of a critical component in the Arabidopsis
thaliana abscisic acid signal transduction pathways, SnRK2.6, by protein
phosphatase ABI1.
AB - Subclass III SnRK2s (SnRK2.6/2.3/2.2) are the key positive regulators of abscisic
acid (ABA) signal transduction in Arabidopsis thaliana. The kinases, activated by
ABA or osmotic stress, phosphorylate stress-related transcription factors and ion
channels, which ultimately leads to the protection of plants from dehydration or
high salinity. In the absence of stressors, SnRK2s are subject to negative
regulation by group A protein phosphatase type 2Cs (PP2C), whereas the underlying
molecular mechanism remains to be elucidated. Here we report the crystal
structure of the kinase domain of SnRK2.6 at 2.6-A resolution. Structure-guided
biochemical analyses identified two distinct interfaces between SnRK2.6 and ABI1,
a member of group A PP2Cs. Structural modeling suggested that the two interfaces
lock SnRK2.6 and ABI1 in an orientation such that the activation loop of SnRK2.6
is posited to the catalytic site of ABI1 for dephosphorylation. These studies
revealed the molecular basis for PP2Cs-mediated inhibition of SnRK2s and provided
important insights into the downstream signal transduction of ABA.
PMID- 22090031
TI - Human protein-disulfide isomerase is a redox-regulated chaperone activated by
oxidation of domain a'.
AB - Protein-disulfide isomerase (PDI), with domains arranged as abb'xa'c, is a key
enzyme and chaperone localized in the endoplasmic reticulum (ER) catalyzing
oxidative folding and preventing misfolding/aggregation of proteins. It has been
controversial whether the chaperone activity of PDI is redox-regulated, and the
molecular basis is unclear. Here, we show that both the chaperone activity and
the overall conformation of human PDI are redox-regulated. We further demonstrate
that the conformational changes are triggered by the active site of domain a',
and the minimum redox-regulated cassette is located in b'xa'. The structure of
the reduced bb'xa' reveals for the first time that domain a' packs tightly with
both domain b' and linker x to form one compact structural module. Oxidation of
domain a' releases the compact conformation and exposes the shielded hydrophobic
areas to facilitate its high chaperone activity. Thus, the study unequivocally
provides mechanistic insights into the redox-regulated chaperone activity of
human PDI.
PMID- 22090032
TI - Thematic minireview series on the lipid droplet, a dynamic organelle of
biomedical and commercial importance.
PMID- 22090033
TI - Structural and mechanistic implications of metal binding in the small heat-shock
protein alphaB-crystallin.
AB - The human small heat-shock protein alphaB-crystallin (alphaB) rescues misfolded
proteins from irreversible aggregation during cellular stress. Binding of Cu(II)
was shown to modulate the oligomeric architecture and the chaperone activity of
alphaB. However, the mechanistic basis of this stimulation is so far not
understood. We provide here first structural insights into this Cu(II)-mediated
modulation of chaperone function using NMR spectroscopy and other biophysical
approaches. We show that the alpha-crystallin domain is the elementary Cu(II)
binding unit specifically coordinating one Cu(II) ion with picomolar binding
affinity. Putative Cu(II) ligands are His(83), His(104), His(111), and Asp(109)
at the dimer interface. These loop residues are conserved among different
metazoans, but also for human alphaA-crystallin, HSP20, and HSP27. The
involvement of Asp(109) has direct implications for dimer stability, because this
residue forms a salt bridge with the disease-related Arg(120) of the neighboring
monomer. Furthermore, we observe structural reorganization of strands beta2-beta3
triggered by Cu(II) binding. This N-terminal region is known to mediate both the
intermolecular arrangement in alphaB oligomers and the binding of client
proteins. In the presence of Cu(II), the size and the heterogeneity of alphaB
multimers are increased. At the same time, Cu(II) increases the chaperone
activity of alphaB toward the lens-specific protein beta(L)-crystallin. We
therefore suggest that Cu(II) binding unblocks potential client binding sites and
alters quaternary dynamics of both the dimeric building block as well as the
higher order assemblies of alphaB.
PMID- 22090037
TI - Automated detection of dark and bright lesions in retinal images for early
detection of diabetic retinopathy.
AB - There is an ever-increasing interest in the development of automatic medical
diagnosis systems due to the advancement in computing technology and also to
improve the service by medical community. The knowledge about health and disease
is required for reliable and accurate medical diagnosis. Diabetic Retinopathy
(DR) is one of the most common causes of blindness and it can be prevented if
detected and treated early. DR has different signs and the most distinctive are
microaneurysm and haemorrhage which are dark lesions and hard exudates and cotton
wool spots which are bright lesions. Location and structure of blood vessels and
optic disk play important role in accurate detection and classification of dark
and bright lesions for early detection of DR. In this article, we propose a
computer aided system for the early detection of DR. The article presents
algorithms for retinal image preprocessing, blood vessel enhancement and
segmentation and optic disk localization and detection which eventually lead to
detection of different DR lesions using proposed hybrid fuzzy classifier. The
developed methods are tested on four different publicly available databases. The
presented methods are compared with recently published methods and the results
show that presented methods outperform all others.
PMID- 22090040
TI - Tuberculosis through the rose tinted spectacles of the EBUS endoscopist: be aware
of the bias.
PMID- 22090041
TI - Developments in in vivo monitoring for radionuclides at AWE.
AB - The Atomic Weapons Establishment (AWE) has routinely used high purity germanium
crystals for in vivo monitoring, detection and measurement of radionuclides in
the chest, wounds and whole body of personnel over the past 30 years. However,
recent organisational changes have resulted in the relocation and modification of
this capability. Hence, this paper reviews and compares the performance of the
original twin six crystal detector arrays (contained within environmental
radiation shielding), that were first used at AWE in 1980, with the latest
unshielded systems that employ smaller numbers of larger crystals. It has been
concluded that the required sensitivity of 20 mSv for actinides in the chest was
achieved using the recently procured twin dual crystal detector arrays outside of
the conventional heavy duty environmental radiation shield used with the original
system. Sensitivities of around 1 uSv, for fission and activation products in the
whole body and around 1 mSv, for actinides in wounds, were achieved using single
large collimated, but otherwise unshielded, detectors.
PMID- 22090038
TI - Association between obstructive lung disease and markers of HIV infection in a
high-risk cohort.
AB - BACKGROUND: Evidence suggests an association between HIV infection and the
presence of obstructive lung disease (OLD). However, the associations between
specific markers of HIV infection and OLD remain unclear. A study was undertaken
to determine the independent associations of HIV infection, CD4 cell count and
plasma HIV viral load with the presence of OLD in an urban cohort. METHODS:
Clinical, laboratory and spirometric data from the AIDS Linked to the Intravenous
Experience (ALIVE) study, an observational study of current and former injection
drug users in Baltimore, Maryland, were analysed. Multivariable logistic
regression models were generated to identify HIV infection indices independently
associated with OLD. RESULTS: Of 1077 participants (mean+/-SD age 48+/-8 years),
89% were African-American, 65% were men and 86% were current smokers. A total of
303 (28%) were HIV infected and 176 (16%) had spirometry-defined OLD. Higher
viral load was independently associated with OLD. HIV-infected individuals with
viral load >200,000 copies/ml had a 3.4-fold increase in the odds of OLD compared
with HIV-negative participants (95% CI 1.24 to 9.39; p=0.02). The association
between higher HIV viral load and OLD persisted after accounting for
antiretroviral therapy use (OR 4.06, 95% CI 1.41 to 11.7; p=0.01). No association
was observed between HIV serostatus or CD4 cell count and the presence of OLD.
CONCLUSION: In a cohort at risk for OLD and HIV infection, high viral load but
not CD4 cell count was associated with an increased prevalence of spirometry
defined OLD. These findings suggest that higher viral load may contribute
mechanistically to the increased risk of OLD in patients with HIV infection.
PMID- 22090042
TI - Supine percutaneous nephrolithotomy: pro.
AB - PURPOSE: To share the experience of the authors with the urological family in the
world by the review of literature on supine percutaneous nephrolithotomy (PCNL).
MATERIALS AND METHODS: We have searched all the available databases, including
PubMed or MEDLINE and Embase Biomedical Database to find any English articles
related to supine PCNL from 1998 to 2010. Of 17 studies, 11 were case series and
6 were comparative. RESULTS: A total of 1914 patients were studied. Only the
results of mean operation time were significant. Supine PCNL offers several
advantages, including less operation time, less patient handling, needing only
one drape, easier access to the urethra and upper calyces, facilitation of
drainage of stone fragment with the Amplatz sheath, less anterior kidney
displacement due to lying the kidney in its normal anatomical position, less risk
of the colon injury, more tolerable for the patients with pulmonary or
cardiovascular disease, and better for morbid obese patients. The overlap density
of the vertebrae in the semi-supine position can be avoided. Furthermore, the
fluoroscopy tube is far from the puncture site; thus, the space is open for the
surgeon to work and the surgeon can perform the procedure in a more comfortable
seated position. CONCLUSION: The study showed that PCNL in the supine position is
feasible. Although supine PCNL has numerous advantages, it is not routine in many
surgical centers throughout the world. The practice of supine PCNL will be
popular when the academic centers be encouraged to start it.
PMID- 22090043
TI - Supine percutaneous nephrolithotomy: con.
AB - Advocates of supine percutaneous nephrolithotomy (PCNL) consider several
theoretical advantages for this procedure. Despite the potential advantages of
the supine PCNL, the majority of urologists have remained reluctant to perform
this technique. This reluctance may be related to successful outcomes of prone
PCNL and technical difficulties associated with supine PCNL. Feasibility of
supine PCNL has been shown in different series and the current evidence, although
limited and not fully organized, implies the application of this technique for
patients with simple stones who are at high anesthesiological risk. However,
there is no convincing evidence to support performing supine PCNL in morbidly
obese patients and those with complex and multiple stones. Further randomized
clinical trials of large sample size and high methodological quality are required
to recommend extensive application of supine PCNL as an alternative to prone
PCNL.
PMID- 22090044
TI - Percutaneous nephrolithotomy complications in 671 consecutive patients: a single
center experience.
AB - PURPOSE: To evaluate major and minor complications of percutaneous
nephrolithotomy (PCNL) and their management in our consecutive cases. MATERIALS
AND METHODS: We reviewed medical records of 671 patients who had undergone PCNL
in our center from March 2000 to March 2006. The demographic data, stone
parameters, PCNL complications, and stone-free rate were evaluated. Multiple
parameters were evaluated for their association with PCNL complications using Chi
Square test. RESULTS: Complications occurred in 203 (30.3%) patients; renal
parenchymal injury in 103 (15.4%), peri-operative bleeding in 42 (6.3%), late
bleeding in 6 (0.9%), renal collecting ducts injury in 35 (5.2%), fever in 7
(1.0%), colon perforation in 2 (0.3%), major vessels injury in 3 (0.4%),
pneumothorax in 3 (0.4%), and hemothorax in 2 (0.3%) subjects. Mortality occurred
in 1 patient with colon perforation (0.15%). CONCLUSION: Percutaneous
nephrolithotomy has low complication rate in experienced hands.
PMID- 22090045
TI - Evaluating percutaneous nephrolithotomy-induced kidney damage by measuring
urinary concentrations of beta2-microglobulin.
AB - PURPOSE: To assess percutaneous nephrolithotomy (PCNL)-induced kidney tubular
damage and the associated factors. MATERIALS AND METHODS: One hundred and eight
patients who have undergone PCNL from May 2007 to October 2007 were recruited in
this study. Urinary level of beta2-microglobulin (Ubeta2MG) was measured on the
day before the operation as well as on the 1st and 7th post PCNL days.
Percutaneous nephrolithotomy was performed using standard method. Patients'
demographic and peri-operative data were collected to evaluate factors
influencing renal injury. RESULTS: Median urinary levels of beta2-microglobulin
on pre-operative, 1st, and 7th postoperative days were 0.2 mg/dL (range, 0.1 to
82), 0.4 mg/dL (range, 0.2 to 97), and 0.2 mg/dL (range, 0.2 to 114),
respectively. High levels of Ubeta2MG (> 2.3 mg/dL) were observed in 10 (9%), 20
(19%), and 10 (9%) patients pre-operatively and on the 1st, and 7th postoperative
days, respectively. In multivariable analysis, Ubeta2MG on the 1st postoperative
day was associated with pre-operative serum creatinine level (P < .001) and
diabetes mellitus (P = .05), while Ubeta2MG on the 7th day after the operation
was associated with pre-operative serum creatinine level (P = .01), diabetes
mellitus (P = .01), and PCNL time (P = .02). CONCLUSION: Percutaneous
nephrolithotomy does not cause kidney tubular injury beyond one week. In patients
with pre-operative high serum creatinine concentration, diabetes mellitus, and/or
long operation time, the likelihood of the kidney damage is higher than others.
PMID- 22090046
TI - "Latex glove" laparoscopic pyeloplasty model: a novel method for simulated
training.
AB - PURPOSE: To present a 'latex glove' laparoscopic pyeloplasty (LPP) training model
and determine its construct validity for its effective use in resident training.
MATERIALS AND METHODS: The 'latex glove' model was used to perform LPP by five
operators with variable level of experience, ranging from an experienced (> 20
independent LPPs) to minimal operative experience (year 5 medical student). The
palm of the glove was considered the renal pelvis with finger of the glove as the
proximal ureter. A knot at the junction of the two was considered as
ureteropelvic junction obstruction. A basic lap trainer was used to simulate the
LPP. Operation time was noted in minutes and quality of continuous suturing was
determined for each operator, using a previously described nonvalidated scoring
system by a blinded reviewer. RESULTS: The operation time varied from 47 to 160
minutes for the most to the least experienced operator, and the difference was
statistically significant (P = .043), while the quality of suturing score ranged
from 1 to 6 for the most to the least experienced operator, respectively (P =
.038). The operation time and quality of suturing were negatively correlated with
the level of experience (-0.962 and -0.987, respectively), which were
statistically significant (P = .009 and P = .002, respectively). CONCLUSION: This
novel training model has proven its validity, as a cost-effective and readily
available option for LPP training.
PMID- 22090047
TI - Management and follow-up of pediatric asymptomatic testicular microlithiasis: are
we doing it well?
AB - PURPOSE: To define timing and methods for a balanced follow-up of testicular
microlithiasis (TM) in pediatric age. MATERIALS AND METHODS: We retrospectively
reviewed medical records of 21 pediatric asymptomatic patients (42 testicular
units) diagnosed with TM and without associated risk factors. Microliths were
found bilaterally on ultrasonography in all the patients. Distribution of
microliths (focal or diffuse) inside the parenchyma was evaluated as well as its
eventual variation over time. Every six months, each patient underwent clinical
and ultrasonography evaluation, as well as serum chemistry markers (alpha
fetoprotein and beta-human chorionic gonadotropin) measurement to detect
potential malignancy. In the interval between the follow-ups, parents and/or
patients themselves were asked to control eventual enlargement of the gonads or
scrotal swelling. Testicular biopsy was not performed in any of our subjects.
RESULTS: Of 21 patients, 6 had unilateral undescended testis, 4 varicocele, and 1
patent processus vaginalis with scrotal swelling while 10 patients did not show
associated anomalies. The distribution pattern of microliths on ultrasonography
remained unchanged in all follow-ups in every patient, showing a predominance of
diffuse pattern in the undescended testis series. Tumor markers remained within
normal limits. In no subject, we observed a shift toward a malignant condition.
CONCLUSION: In the pediatric population with an incidentally diagnosed TM and
without any associated risk factor, a slight follow-up is suggested, consisting
of clinical evaluation every 6 months, without any justifiable recommendation to
perform a testis biopsy and a measurement of serum tumor markers.
PMID- 22090048
TI - Risk factors for disconcordance between pre and post radical cystectomy stages.
AB - PURPOSE: To investigate the correlation between pre and post surgical staging in
patients undergoing radical cystectomy (RC), and study the possibility of
predicting their disconcordance. MATERIALS AND METHODS: We reviewed medical
records of 186 patients diagnosed with transitional cell carcinoma of the
bladder, who had undergone RC between the years 2007 and 2010. We determined the
correlation between pre and post surgical stages and then studied the association
between stage disconcordance and age, gender, smoking, history of previous
transurethral resection of bladder tumor (TURBT) and intravesical treatments, re
TURBT in high-risk superficial bladder tumors, and the treatment delay between
diagnosis and RC. Analysis was performed using Chi-Square and Fisher's Exact
tests. RESULTS: Post surgical up-staging occurred in 86 (46.24%) patients and
even more (69.35%) if lymph node involvement was also considered as up-staging.
Smokers and those with pre surgical stages of <= T1 and T2 with no history of re
TURBT had a significantly increased risk of disconcordance. The risk of up
staging was almost halved by an early re-TURBT in high-risk patients. CONCLUSION:
Disconcordance between pre and post surgical stages in patients undergoing RC is
common. Until better ways of staging are developed, decision making in patients
with bladder tumor should be done by extra attention to patients who have risk
factors associated with increased risk of up-staging, including smokers and those
with nonmuscle-invasive bladder tumors or T2 tumors. An early re-TURBT will
decrease the up-staging rate.
PMID- 22090049
TI - Is microsurgery necessary in grade 3 varicocele?
AB - PURPOSE: To compare the results of microsurgical and naked eye varicocelectomy in
patients with grade 3 varicocele. MATERIALS AND METHODS: This study was conducted
on 84 patients with grade 3 varicocele, between 2007 and 2009. Patients were
randomized into two groups, equal in number. Thereafter, microsurgical
varicocelectomy was performed in the first group, while the other group underwent
naked eye varicocelectomy. Groups were compared in terms of operation duration,
number of ligated internal and external spermatic veins, early and late
postoperative complications, and postoperative color Doppler ultrasonography
findings. Parametric and nonparametric values were compared using Student's t
test and Chi-Square test, respectively. RESULTS: The mean duration of surgery was
19 +/- 2.3 minutes (range, 12 to 25 minutes) in the naked eye surgery group and
43 +/- 3.9 minutes (range, 25 to 75 minutes) in the microsurgery group (P =
.008). The number of ligated internal and external spermatic veins, the incidence
of early and late postoperative complications, and color Doppler ultrasonography
findings were not significantly different between the two groups (P = .12, P =
.09, P = .17, and P = .22, respectively). CONCLUSION: In patients with grade 3
varicocele, microsurgery and naked eye surgical methods proved similar results in
terms of success and complications. Because the operation time of the classical
varicocelectomy is significantly shorter, it may be preferred in this subset of
patients.
PMID- 22090050
TI - Comparing absorbable and nonabsorbable sutures in corporeal plication for
treatment of congenital penile curvature.
AB - PURPOSE: To compare the outcome of corporeal plication using absorbable versus
nonabsorbable sutures for the treatment of congenital penile curvature. MATERIALS
AND METHODS: Thirty-eight men older than 15 years old with congenital penile
curvature were enrolled in the study. Patients were randomly divided into two
equal groups based on the suture material (Nylon versus Vicryl) used in corporeal
plication. Patients were followed up for a mean period of 8.1 +/- 1.4 months
(range, 6 to 9.1 months). A standardized questionnaire was used to evaluate long
term outcome and patient's satisfaction. RESULTS: Thirty-five patients (17 in
Vicryl group and 18 in Nylon group) completed the study. Mean age of the patients
and degree of penile curvature were not significantly different between the two
groups (P = .74). Postoperatively, 15 (88.2%) and 16 (88.9%) patients in Vicryl
and Nylon groups had 75% or greater correction in penile curvature, respectively
(P = .61). Patient's satisfaction rate differed between two groups (82% in Vicryl
group versus 66% in Nylon group), which did not reach statistical significance (P
= .44). Palpable sutures were reported by 7 (39%) patients in Nylon group and
only 1 (6%) in Vicryl group (P = .04). Shortening of penile length was reported
by 3 (16.7%) patients in Nylon group and 4 (23.5%) in Vicryl group (P = .69).
CONCLUSION: Corporeal plication technique using absorbable suture provides
reasonable success rate with less frequent palpable suture knots.
PMID- 22090051
TI - One-stage transperineal repair of pan-urethral stricture with dorsally placed
buccal mucosal grafts: results, complications, and surgical technique.
AB - PURPOSE: To report the surgical details and results of one-stage transperineal
urethroplasty using dorsal buccal mucosal graft (BMG) in treatment of panurethral
stricture. MATERIALS AND METHODS: This cohort study was carried out on 17 men
with pan-urethral stricture who underwent one-stage transperineal BMG
urethroplasty. Failure was defined as a need to any intervention during the
follow-up period. RESULTS: The etiology of stricture was trauma in 4 (23.5%),
sexually transmitted diseases in 4 (23.5%), lichen sclerosus in 2 (11.8%), and
idiopathic in 7 (41.1%) patients. The mean follow-up period was 8.5 months
(range, 3 to 18 months). Six (35.3%) patients developed complications; namely
wound infection in 2 (11.8%), meatal stenosis in 1 (5.9%), and re-stenosis in 3
(17.6%) subjects. Complication rate in patients <= 43 and > 43 years old was 25%
(2/8) and 44% (4/9), respectively, which did not reach statistically significant
difference (P = .6). The final success rate was 88.2%. None of the patients
needed open redo-urethroplasty during the follow-up period. CONCLUSION:
Reconstruction of pan-urethral strictures may be safely and effectively performed
at a simple single operative procedure using a transperineal approach with
combinations of dorsal BMG.
PMID- 22090052
TI - Protective effects of zofenopril on testicular torsion and detorsion injury in
rats.
AB - PURPOSE: To investigate the protective effect of zofenopril on torsion/detorsion
induced biochemical and histopathological changes in experimental testicular
ischemia or reperfusion injury in rats. MATERIALS AND METHODS: A total of 35
prepubertal male Wistar-Albino rats were divided into five groups, including 7
rats in each group: Group I (sham, S), sham operation; group II
(torsion/detorsion-early orchiectomy, T/D-E), 2 hours ischemia and 4 hours
reperfusion; group III (torsion/detorsion-late orchiectomy), T/D-L), 2 hours
ischemia and 5 days reperfusion; group IV (zofenopril-early orchiectomy, Z-E), 2
hours ischemia, 4 hours reperfusion, and a single dose of zofenopril; and group V
(zofenopril-late orchiectomy, Z-L), 2 hours ischemia, 5 days reperfusion, and 5
doses of zofenopril. We determined the tissue levels of malondialdehyde, nitric
oxide, glutathione peroxidase, and superoxide dismutase enzyme activities.
Histopathologically, mean seminiferous tubule diameter measurements were used.
RESULTS: Malondialdehyde (3.490 +/- 0.89 versus 1.729 +/- 0.25 in early period;
3.837 +/- 1.694 versus 1.694 +/- 0.47 in late period) and nitric oxide levels
(3.507 +/- 0.44 versus 2.853 +/- 0.54 in early period; 4.010 +/- 0.72 versus
2.446 +/- 0.29 in late period) significantly reduced and glutathione peroxidase
(0.012 +/- 0.001 versus 0.017 +/- 0.001 in early period; 0.013 +/- 0.002 versus
0.018 +/- 0.001 in late period) and superoxide dismutase enzyme activities
(58.030 +/- 5.97 versus 70.773 +/- 3.85 in early period; 57.421 +/- 7.81 versus
76.329 +/- 4.09 in late period) significantly increased in the testis tissue in
zofenopril pretreated groups compared to group T/D both in early and late period
(P < .05). The mean seminiferous tubule diameter was significantly better in
pretreated group (210.33 +/- 17.32) than group T/D (185.02 +/- 22.45) only in
late period (P < .05), but not in early period (209.38 +/- 30.40 versus 208.21 +/
13.57; P > .05). CONCLUSION: Treatment with zofenopril decreased damage in
ipsilateral testis caused by ischemia/reperfusion, and clinical application of
zofenopril might be a new approach for the treatment of testicular torsion in
addition to conventional detorsion.
PMID- 22090053
TI - Assessment of increased desquamation of epididymal epithelial cells in semen of
men as a predictor of acute epididymitis.
PMID- 22090054
TI - An unusual presentation of an uncommon renal disease.
PMID- 22090055
TI - Is extracorporeal shockwave lithotripsy safe in patients with chronic bleeding
tendency?
PMID- 22090056
TI - Concealed male epispadias: a rare form of penile epispadias presenting as
phimosis.
PMID- 22090057
TI - Prostatic cyst causing severe infravesical obstruction in a young patient.
PMID- 22090058
TI - Hydronephrosis secondary to sliding inguinal hernia containing the ureter.
PMID- 22090059
TI - Myiasis with carcinoma in situ of the glans penis: an unusual combination.
PMID- 22090060
TI - Renal osteodystrophy secondary to congenital bilateral ureteropelvic junction
obstruction.
PMID- 22090061
TI - Dietary CLA combined with palm oil or ovine fat differentially influences fatty
acid deposition in tissues of obese Zucker rats.
AB - The effect of dietary conjugated linoleic acid (CLA) supplementation in
combination with fat from vegetable versus animal origin on the fatty acid
deposition, including that of individual 18:1 and 18:2 (conjugated and non
conjugated) isomers, in the liver and muscle of obese rats was investigated. For
this purpose, 32 male Zucker rats were randomly assigned to one of four diets
containing palm oil or ovine fat, supplemented or not with 1% of 1:1
cis(c)9,trans(t)11 and t10,c12 CLA isomers mixture. Total fatty acid content
decreased in the liver and muscle of CLA-fed rats. In the liver, CLA increased
saturated fatty acids (SFA) in 11.9% and decreased monounsaturated fatty acids
(MUFA) in 6.5%. n-3 Polyunsaturated fatty acids (PUFA) relative proportions were
increased in 30.6% by CLA when supplemented to the ovine fat diet. In the muscle,
CLA did not affect SFA but decreased MUFA and PUFA percentages. The estimation of
Delta9-indices 16 and 18 suggested that CLA inhibited the stearoyl-CoA desaturase
activity in the liver (a decrease of 13-38%), in particular when supplemented to
the ovine fat diet. Concerning CLA supplementation, the t10,c12 isomer percentage
was 60-80% higher in the muscle than in the liver. It is of relevance that rats
fed ovine fat, containing bio-formed CLA, had more c9,t11 CLA isomer deposited in
both tissues than rats fed palm oil plus synthetic CLA. These results highlight
the importance to further clarify the biological effects of consuming foods
naturally enriched in CLA, alternatively to CLA dietary supplementation.
PMID- 22090062
TI - Low levels of lipogenic enzymes in peritumoral adipose tissue of colorectal
cancer patients.
AB - Lipoprotein lipase (LPL) is the crucial enzyme for intravascular catabolism of
triglyceride-rich lipoproteins. Fatty acid synthase (FAS) is a key anabolic
enzyme that catalyzes the terminal steps in the novo biosynthesis of 18:2n-6. The
involvement of both LPL and FAS in tumor biology has been widely demonstrated in
different studies and to verify whether there are regional differences in the
expression of these enzymes in visceral adipose tissue from patients with
colorectal cancer might be representative of events which sustain tumor growth.
The objective of this study was to evaluate LPL and FAS activity and expression
of their genes in adipose tissue adjacent to neoplasia and distant from it from
patients operated for colorectal cancer. LPL enzymatic activity was evaluated by
a fluorescent method and FAS activity by a radiometer assay. Reverse
transcription and real-time PCR were used to detect mRNA levels of two enzymes.
Our findings show a significant reduction in both LPL and FAS gene expression and
activity levels in adipose tissue adjacent to tumor lesion compared to those
detected in paired tissue distant from neoplasia. These results underline the
influence of tumor microenvironment on lipid metabolism in adipose tissue,
demonstrating a tumor-induced impairment in the formation and lipid storing
capacity of adipose tissue in patients with colorectal cancer.
PMID- 22090064
TI - Cardiovascular determinants of exercise capacity in the Paralympic athlete with
spinal cord injury.
AB - This report briefly summarizes the cardiovascular factors that influence exercise
physiology and, eventually, sports performance of athletes with a spinal cord
injury (SCI). The consequences of an SCI are numerous and concern voluntary
muscle function, deep and superficial sensitivity, and autonomic function to a
degree determined by the level and completeness of the spinal lesion. Athletes
with SCI perform with their upper body, which limits their maximal exercise
capacity and puts them at a disadvantage compared with leg exercise in terms of
mechanical efficiency and physiological adaptations to exercise. Studies
generally find that maximal oxygen consumption and mechanical power output are
inversely related to spinal lesion level. Athletes with cervical or dorsal
lesions down to Th6 have limited maximal heart rates owing to a lack of
sympathetic drive to the heart. Blood redistribution from body areas lacking
autonomic control is impaired, thus reducing venous return and limiting cardiac
stroke volume during exercise. Thermoregulatory function is affected through a
lack of afferent neural feedback and limited efferent vasomotor and sudomotor
control below the lesion. Strategies to support venous return and to promote body
cooling potentially improve physiological responses and athletic performance,
especially in individuals with high lesion levels. The latter are subject to
autonomic dysreflexia, a generalized sympathetic vasoconstriction below the
lesion resulting from nociceptive stimulations in insensate body regions. Acute
episodes induce high blood pressure, may enhance exercise performance and must be
treated as a clinical emergency. Deliberate triggering of this reflex is
prohibited by the International Paralympic Committee.
PMID- 22090063
TI - Orthostatic leg blood volume changes assessed by near-infrared spectroscopy.
AB - Standing up shifts blood to dependent parts of the body, and blood vessels in the
leg become filled. The orthostatic blood volume accumulation in the small vessels
is relatively unknown, although these may contribute significantly. We
hypothesized that in healthy humans exposed to the upright posture, volume
accumulation in small blood vessels contributes significantly to the total fluid
volume accumulated in the legs. Considering that near-infrared spectroscopy
(NIRS) tracks postural blood volume changes within the small blood vessels of the
lower leg, we evaluated the NIRS-determined changes in oxygenated
(Delta[O(2)Hb]), deoxygenated (Delta[HHb]) and total haemoglobin tissue
concentration (Delta[tHb]) and in total leg volume by strain-gauge
plethysmography during 70 deg head-up tilt (HUT; n = 7). In a second experiment,
spatial and temporal reproducibility were evaluated with three NIRS probes
applied on two separate days (n = 8). In response to HUT, an initially fast
increase in [O(2)Hb] was followed by a gradual decline, while [HHb] increased
continuously. The increase in [tHb] during HUT was closely related to the
increase in total leg volume (r(2) = 0.95 +/- 0.03). After tilt back, [O(2)Hb]
declined below and [HHb] remained above baseline, whereas all NIRS signals
gradually returned to baseline. Spatial heterogeneity was observed, and for two
probes [tHb] was highly correlated between days (r(2) = 0.92 +/- 0.09 and 0.91 +/
0.12), but less for the third probe (r(2) = 0.44 +/- 0.36). The results suggest
a non-linear accumulation of blood volume in the small vessels of the leg, with
an initial fast phase followed by a more gradual increase at least partly
contributing to the relocation of fluid during orthostatic stress.
PMID- 22090065
TI - Breathing 40% O(2) can attenuate postcontraction hyperaemia or muscle fatigue
caused by static forearm contraction, depending on timing.
AB - Little is known of the role of O(2)-dependent mechanisms in the hyperaemia
associated with static muscle contraction or recovery from fatigue. Thus, in
recreationally active, young, male subjects, forearm contraction was performed
twice at 100% maximal voluntary effort until exhaustion, with a 7 min recovery
period, whilst 40% O(2) (hyperoxia) was breathed during the contractions only, or
during recovery only, or room air (normoxia) was breathed throughout. When
hyperoxia was limited to the contractions, postcontraction increases in forearm
blood flow, measured by venous occlusion plethysmography, were ~25% lower (P <
0.05, n = 10) than during normoxia throughout. Furthermore, the
postcontraction increase in venous lactate and fall in pH were attenuated (P <
0.05, n = 8). However, there was no effect on fatigue; time to voluntary
exhaustion of contraction 2 was ~25% less than for contraction 1 in both
conditions. By contrast, when hyperoxia was limited to recovery (n = 10),
there was no effect on postcontraction increases in forearm blood flow, but
fatigue was ameliorated; time to voluntary exhaustion of contraction 2 was
comparable to that of contraction 1. These results allow the novel conclusions
that, even during static forearm contraction at 100% maximal voluntary effort,
additional O(2) dissolved in plasma can attenuate the contribution made by O(2)
dependent dilator substances to postcontraction hyperaemia and that these
substances may be released from the muscle fibres or blood vessel wall.
Furthermore, they indicate that even in recreationally active individuals,
recovery from fatigue can be improved by additional O(2) made available during
recovery, and the O(2)-dependent mechanisms that contribute to fatigue are
different from those that induce postcontraction hyperaemia.
PMID- 22090066
TI - Epithelial Na+ channel proteins are mechanotransducers of myogenic constriction
in rat posterior cerebral arteries.
AB - It has been suggested that mechanosensitive ion channels initiate myogenic
responses in vessels; however, the molecular identity of the mechanosensitive ion
channel complex is unknown. Although previous reports have suggested that
epithelial Na(+) channel (ENaC) proteins are mechanotransducers in arteries,
experimental evidence demonstrating that ENaC proteins are mechanotransducers are
not fully elucidated. The goal of the present study was to determine whether the
ENaC is a mechanotransducer for the myogenic response by providing supporting
evidence in the rat posterior cerebral artery (PCA). We measured the effect of
ENaC inhibition on the pressure-induced myogenic response, Ca(2+) concentration
and 20 kDa myosin light chain (MLC(20)) phosphorylation. We detected expression
of betaENaC and gammaENaC subunits in rat PCA by Western blots and
immunofluorescence. Inhibition of ENaCs with amiloride, ethyl isopropyl amiloride
or benzamil blocked the myogenic response. Moreover, the myogenic response was
inhibited in rat PCA transfected with betaENaC and gammaENaC small interfering
RNA. The myogenic response was inhibited by elimination of external Na(+), which
was replaced with N-methyl-d-glucamine. Amiloride and nifedipine inhibited the
pressure-induced increase in Ca(2+) concentration. Finally, MLC(20) increased
when the intraluminal pressure was raised, and the pressure-induced increase in
MLC(20) phosphorylation was inhibited by pretreatment with amiloride, and in
arteries transfected with betaENaC or gammaENaC small interfering RNA. Our
results suggest that ENaCs may play an important role as mechanosensitive ion
channels initiating pressure-induced myogenic responses in rat PCA.
PMID- 22090067
TI - Translating research to practice.
PMID- 22090068
TI - Validation of the Children's Hospital of Philadelphia Infant Test of
Neuromuscular Disorders (CHOP INTEND).
AB - PURPOSE: Preliminary validation of the Children's Hospital of Philadelphia Infant
Test of Neuromuscular Disorders (CHOP INTEND) for motor skill assessment in
spinal muscular atrophy type I. METHODS: A total of 27 subjects 3 to 260 months
old (mean = 49, SD = 69) with spinal muscular atrophy-I were evaluated with the
CHOP INTEND. Subjects were evaluated as part of a multicenter natural history
study. RESULTS: CHOP INTEND scores and age were significantly correlated (r =
0.51, P = .007; 2 survival of the motor neuron [SMN] 2 gene copies, n = 16, r =
0.60, 3 SMN2 gene copies, n = 9, r = -0.83). Respiratory support and CHOP INTEND
scores were correlated (r = -0.74, P < .0001, n = 26). The CHOP INTEND and age
regression in patients with 2 copies versus 3 copies of SMN2 approached
significance (P = .0711, n = 25). Subjects who required respiratory support
scored significantly lower (mean = 15.5, SD = 10.2 vs mean = 31.2, SD = 4.2, P <
.0001, n = 27). Correlation with motor unit number estimation and combined motor
unit activation were not significant. CONCLUSION: The CHOP INTEND reflects
measures of disease severity and supports continued exploration of the CHOP
INTEND.
PMID- 22090069
TI - Commentary on "Validation of the Children's Hospital of Philadelphia Infant Test
of Neuromuscular Disorders (CHOP INTEND)".
PMID- 22090070
TI - Expiratory flow increase technique and acid esophageal exposure in infants born
preterm with bronchopulmonary dysplasia.
AB - OBJECTIVE: To determine if an expiratory flow increase technique (EFIT) is
associated with acid reflux episodes in infants born preterm with
bronchopulmonary dysplasia (BPD). METHODS: A crossover study was carried out.
Eighteen subjects divided into 2 groups were randomly assigned to receive 2 EFIT
sessions at 2 postprandial time points. Group 1 started 2 hours after feeding and
group 2 started 3 hours after feeding. Esophageal acid exposure was assessed by
the reflux index (RI) during EFIT and 20 minutes before EFIT by esophageal pH
monitoring. RESULTS: A significant reduction in the RI was observed in group 1
with EFIT performed 2 hours after feeding. Group 2 showed no significant
differences in RI values before and during EFIT in both postprandial periods.
CONCLUSION: When EFIT is performed 2 and 3 hours after feeding, it is not
associated with an increase in acid reflux episodes in infants born preterm with
BPD.
PMID- 22090071
TI - Commentary on "Expiratory flow increase technique and acid esophageal exposure in
infants born preterm with bronchopulmonary dysplasia".
PMID- 22090072
TI - Factors influencing gross motor development in young children in an urban child
welfare system.
AB - PURPOSE: To determine whether young children involved with child welfare (CW)
have gross motor (GM) delay; to examine relationships between GM skills and the
influence of multiple factors on GM skills. METHODS: One hundred seventy-six
children involved with CW received GM assessment, physical examinations, and
caseworker interviews. Descriptive statistics, correlations, t tests, analysis of
variance and covariance, and multiple regression analyses were completed.
RESULTS: GM scores, lower than population norms, were associated with growth
parameters. Children in kinship care had significantly higher GM scores compared
with children in foster care and those with in-home protective services when
adjusted for differences in time in CW. Abuse/neglect, medical neglect, and
parental substance abuse produced lower scores; referral for abandonment produced
higher scores. Age was most strongly related to GM outcome, with multiple
regression explaining 19% of GM variance. CONCLUSION: Children involved with CW
have lower mean GM scores than population norms. Several factors specific to CW
experiences may influence GM outcome.
PMID- 22090073
TI - Gross motor development in babies with treated idiopathic clubfoot.
AB - PURPOSE: To investigate the effect of treated clubfoot disorder on gross motor
skill level measured by the Alberta Infant Motor Scale (AIMS). METHODS: Fifty-two
babies participated: 26 were treated for idiopathic clubfoot (12 with the Ponseti
treatment method, 9 with the French physical therapy technique, and 5 with a
combination of both methods); 26 were babies who were typically developing and
without medical diagnoses. The AIMS was administered at 3-month intervals.
RESULTS: No significant differences in AIMS scores were found between the
clubfoot and control groups at 3 and 6 months, but at 9 and 12 months the
clubfoot group scored significantly lower. Babies who were typically developing
were significantly more likely to be walking at 12 months than babies with
clubfoot. CONCLUSIONS: Treated clubfoot was associated with a mild delay in
attainment of gross motor skills at 9 and 12 months of age.
PMID- 22090074
TI - Commentary on "Gross motor development in babies with treated idiopathic
clubfoot".
PMID- 22090075
TI - Walking stride rate patterns in children and youth.
AB - PURPOSE: To describe walking activity patterns in youth who are typically
developing (TD) using a novel analysis of stride data and compare to youth with
cerebral palsy (CP) and arthrogryposis (AR). METHOD: Stride rate curves were
developed from 5 days of StepWatch data for 428 youth ages 2 to 16 years who were
TD. RESULTS: Patterns of stride rates changed with age in the TD group (P = .03
to < .001). Inactivity varied with age (P < .001); peak stride rate decreased
with age (P < .001). Curves were stable over a 2-week time frame (P = .38 to
.95). Youth with CP and AR have lower stride rate patterns (P = .04 to .001).
CONCLUSION: This is the first documentation of pediatric stride-rate patterns
within the context of daily life. Including peak stride rates and levels of
walking activity, this single visual format has potential clinical and research
applications.
PMID- 22090076
TI - Commentary on "Walking stride rate patterns in children and youth".
PMID- 22090077
TI - Predictors of Standardized Walking Obstacle Course outcome measures in children
with and without developmental disabilities.
AB - PURPOSE: This study was designed to determine which characteristics of children
predict measures on the Standardized Walking Obstacle Course (SWOC). METHODS:
SWOC testing was performed under 3 conditions: (1) walk, (2) walk with a tray,
and (3) walk wearing shaded glasses. Trials consisted of standing up, walking the
course in 1 direction, and sitting down. Children (n = 440) completed 2 trials
per condition. Trial measures included time, and numbers of steps, stumbles, and
steps off the path. Relationships were evaluated using Chi-square analyses and
significant predictors were determined by multiple logistic regression analyses.
Sensitivity and specificity were calculated to determine the accuracy of
disability as a predictor. RESULTS: Age, weight, and disability were the
strongest predictors (P < .05). Increased age and weight predicted shorter time
and fewest steps. Disability predicts longer time and most steps. CONCLUSION: The
SWOC is appropriate to screen children for disabilities in functional ambulation.
PMID- 22090078
TI - Commentary on "Predictors of Standardized Walking Obstacle Course outcome
measures in children with and without developmental disabilities".
PMID- 22090079
TI - Feasibility study: the effect of therapeutic yoga on quality of life in children
hospitalized with cancer.
AB - PURPOSE: To describe the effect of therapeutic yoga on child and parental reports
of quality of life in children hospitalized with oncological diagnoses. METHODS:
Six children participated in 5 yoga sessions over 2 months. The PedsQL 4.0 was
administered to each child and participating parent/caregivers at baseline and
after completion of the yoga intervention. The Wilcoxon nonparametric rank test
measured individual differences over time. RESULTS: Statistically significant
differences (P < .05) were found in child perception of gross motor function.
CONCLUSION: These feasibility study data suggest that therapeutic yoga positively
affected child perception of gross motor function measured on the PedsQL 4.0.
Further studies are needed, including a randomized control trial and with a
larger number of participants, to clarify and confirm the effect of therapeutic
yoga.
PMID- 22090080
TI - Commentary on "Feasibility study: effects of therapeutic yoga on quality of life
in children hospitalized with cancer".
PMID- 22090081
TI - Pediatric physical therapists' use of support walkers for children with
disabilities: a nationwide survey.
AB - PURPOSE: This study investigated pediatric physical therapists' use of support
walkers (SWs) for children with disabilities. METHODS: An 8-page survey was
mailed to 2500 randomly selected members of the Section on Pediatrics of the
American Physical Therapy Association. Respondents to the survey included 513
pediatric physical therapists who were users of SWs. Descriptive statistics were
calculated and themes were analyzed. RESULTS: Several SWs were reported as used
most often to improve gait, mobility, participation at school, and interaction
with peers. Use commonly included a month trial before purchase and 9 sessions of
physical therapy to train a child for use in school. Reasons given for the use of
SWs were improving impairments, functional limitations, and participation with
peers. CONCLUSIONS: Pediatric physical therapists use SWs to increase postural
control, mobility, and children's participation in school.
PMID- 22090082
TI - Commentary on "Pediatric physical therapists' use of support walkers for children
with disabilities: a nationwide survey".
PMID- 22090083
TI - Continued ambulation gains through high school in a student with cerebral palsy:
a case report.
AB - PURPOSE: The purpose of this case report is to describe school-based physical
therapy services received throughout high school by a student with diplegic
cerebral palsy and to share her functional gains. KEY POINTS: This previously
discharged 15-year-old freshman was re-referred due to a perceived walking
regression using long-leg braces/reverse rolling walker and her desire to again
try crutches. She subsequently resumed walking, typically 4 days per week at
school and progressed to axillary crutches on level surfaces and stairs. Gross
Motor Function Measure scores increased from 66.4% freshman year to 78.8% senior
year, with the greatest dimension changes in standing (35.9%-69.2%) and walking,
running, and jumping (8.3%-25.0%). CONCLUSION: School-based physical therapists
are uniquely positioned to work with students in natural environments to optimize
activity and participation. This report shows that continued ambulation gains in
individuals with cerebral palsy are possible throughout adolescence.
PMID- 22090084
TI - Power mobility and socialization in preschool: follow-up case study of a child
with cerebral palsy.
AB - PURPOSE: Our previous study found it feasible for a preschooler with cerebral
palsy (CP) to use a power mobility device in his classroom but noted a lack of
typical socialization. The purpose of this follow-up study was to determine the
feasibility of providing mobility and socialization training for this child.
METHODS: Will, a 3-year-old with CP, 1 comparison peer, 2 preschool teachers, and
2 therapists were filmed daily during a training and posttraining phase. Adult
directed training was provided in the classroom by therapists and teachers during
the training phase. Mobility and socialization measures were coded from video.
OUTCOMES: During training, Will demonstrated greater socialization but less
mobility than the comparison peer. Posttraining, Will socialized less but was
more mobile, though less mobile than the comparison peer. DISCUSSION: Short-term,
adult-directed power mobility and socialization training appear feasible for the
preschool classroom. Important issues regarding socialization and power mobility
are discussed.
PMID- 22090087
TI - [Ocular hypertension. What is it actually?].
PMID- 22090088
TI - [Recommendations for follow-up examinations in patients with ocular
hypertension].
AB - Ocular hypertension (OHT) describes a condition with elevated intraocular
pressure (IOP) above the norm ( > 21 mmHg). By definition the anterior chamber
angle is open and there are neither signs of glaucomatous optic nerve damage nor
visual field defects. Thus, for the diagnosis of OHT an extensive baseline
examination is mandatory in order to rule out pre-existing glaucomatous damage.
Patients with ocular hypertension are at a higher risk of developing glaucoma.
Therefore, periodical routine examinations with a standardized protocol for
specific follow-up examinations are highly recommended.
PMID- 22090089
TI - [Orbital exenteration. Algorithm for therapy and rehabilitation].
AB - Various procedures are available for orbital exenteration, mostly for neoplastic
disorders, as well as for reconstructive surgery. Within the context of
postoperative care prosthetic rehabilitation plays an important role. The
specific form of planned epithetic replacement must already be considered in the
design of the surgical procedure.
PMID- 22090091
TI - [The 2011 Julius Springer prize for ophthalmology. Awarded to prof. Dr. Daniel
Meller and Dr. Mikk Pauklin].
PMID- 22090090
TI - [Therapy options for chronic central serous chorioretinopathy. Photodynamic
therapy combined with bevacizumab - a case series].
AB - BACKGROUND: This article reports about the use of a combined photodynamic therapy
(PDT) and intravitreal injection of bevacizumab as a treatment option in nine
patients with chronic central serous chorioretinopathy (CSC). PATIENTS AND
METHODS: A total of nine male patients with chronic CSC were treated with
standard PDT laser treatment with verteporfin and intravitreal injection of
bevacizumab administered within 24 h. Before and 1, 3, 6, 9 and 12 months after
treatment the results of visual acuity, fluorescein angiography (FA) and optical
coherence tomography (OCT) examinations were documented. RESULTS: All patients
showed an improvement in visual acuity of 1-4 ETDRS lines. Mean visual acuity
increased from baseline 20 / 40 to 20 / 25 after 3 months. FA and OCT findings
showed a restitution of leakages and subretinal fluid in all cases. After 6-12
months follow-up 8 patients had ongoing improvement in vision without recurrence
of CSC. CONCLUSION: The combination of PDT with bevacizumab in this case series
appears to be an effective and safe therapy combination which is suitable as a
therapeutic option for patients with chronic CCS without a tendency to recovery.
PMID- 22090092
TI - [Prophylaxis and therapy of postoperative endophthalmitis. Criticism of the ESCRS
study and the Early Vitrectomy study].
AB - Postoperative endophthalmitis often leads to a substantial loss of vision and
sometimes to loss of the eye. Occasionally this results in legal disputes during
which medical studies will be consulted for reaching a verdict. Both the European
Society of Cataract and Refractive Surgeons (ESCRS) study on prophylaxis and the
Early Vitrectomy study on the therapy of postoperative endophthalmitis suffer
from substantial deficits in essential areas. These studies cannot therefore be
utilized as standard operating procedures and guidelines as well as in legal
disputes.
PMID- 22090093
TI - [Perioperative visual loss after nonocular surgery].
AB - Perioperative visual loss (POVL) after nonocular surgery is a rare but unexpected
event and represents a devastating complication. It is most often associated with
cardiac, spinal as well as head and neck surgery. The etiology of POVL remains
incompletely understood. Any portion of the visual system may be involved, from
the cornea to the occipital lobe. The most common site of permanent injury is,
however, the optic nerve itself and ischemia is the most often presumed
mechanism. Multiple factors have been proposed as risk factors for POVL,
including long duration in the prone position, decreased ocular perfusion
pressure, excessive blood loss and anemia, hypotension, hypoxia, excessive fluid
replacement, elevated venous pressure, head positioning and a patient-specific
vascular susceptibility which may be anatomic or physiologic. However, the risk
factors for any given patient or procedure may vary. The underlying specific
pathogenesis of these neuro-ophthalmic complications remains unknown and
physicians should be alert to the potential for loss of vision in the
postoperative period. This review updates readers on the incidence, suspected
risk factors, diagnosis and treatment of POVL in the setting of nonocular
surgery.
PMID- 22090095
TI - Recovery of viable cells from rabbit skin biopsies after storage at -20 degrees C
for up to 10 days.
AB - Frozen animal tissues are thought to be appropriate for use as a donor for
somatic cell nuclear transfer. This makes the freezing for long term storage a
valuable tool for breeders needing to protect an animal population that is
endangered by sanitary problems or for cryobanking of genetic resources. We
report the successful cryopreservation of explants of skin derived from small
biopsies from rabbit ear biopsies by using a protocol that can be easily
performed by usual breeders, which are not equipped with cooling devices. By
optimizing the procedure, we show that small biopsies can be kept at -20 degrees
C in a physiological solution containing 10% DMSO for up to 20 days before being
deeply frozen in liquid nitrogen for long-term storage. After 10 days of storage
at -20 degrees C, the rate of viability of biopsies was similar to the control
one (86 and 82% respectively). After 20 days of storage at -20 degrees C, the
rate of viability was dramatically lowered (39%), but it still allows to recover
a significant population of viable cells from the preserved sample. Being
appropriate to places lacking specific device, such a very simple technique may
contribute to facilitate genome banking policies dedicated to the management of
genetic resources in wild and domestic animals.
PMID- 22090096
TI - Innate sensing of foamy viruses by human hematopoietic cells.
AB - Foamy viruses (FV) are nonpathogenic retroviruses that have cospeciated with
primates for millions of years. FV can be transmitted through severe bites from
monkeys to humans. Viral loads remain generally low in infected humans, and no
secondary transmission has been reported. Very little is known about the ability
of FV to trigger an innate immune response in human cells. A few previous reports
suggested that FV do not induce type I interferon (IFN) in nonhematopoietic
cells. Here, we examined how human hematopoietic cells sense FV particles and FV
infected cells. We show that peripheral blood mononuclear cells (PBMCs),
plasmacytoid dendritic cells (pDCs), and the pDC-like cell line Gen2.2 detect FV,
produce high levels of type I IFN, and express the IFN-stimulated gene MxA. Fewer
than 20 FV-infected cells are sufficient to trigger an IFN response. Both
prototypic and primary viruses stimulated IFN release. Donor cells expressing a
replication-defective virus, carrying a mutated reverse transcriptase, induced
IFN production by target cells as potently as wild-type virus. In contrast, an FV
strain with env deleted, which does not produce viral particles, was inactive.
IFN production was blocked by an inhibitor of endosomal acidification
(bafilomycin A1) and by an endosomal Toll-like receptor (TLR) antagonist (A151).
Silencing experiments in Gen2.2 further demonstrated that TLR7 is involved in FV
recognition. Therefore, FV are potent inducers of type I IFN by pDCs and by
PBMCs. This previously underestimated activation of the innate immune response
may be involved in the control of viral replication in humans.
PMID- 22090097
TI - Transmembrane domain determinants of CD4 Downregulation by HIV-1 Vpu.
AB - The transmembrane domains (TMDs) of integral membrane proteins do not merely
function as membrane anchors but play active roles in many important biological
processes. The downregulation of the CD4 coreceptor by the Vpu protein of HIV-1
is a prime example of a process that is dependent on specific properties of TMDs.
Here we report the identification of Trp22 in the Vpu TMD and Gly415 in the CD4
TMD as critical determinants of Vpu-induced targeting of CD4 to endoplasmic
reticulum (ER)-associated degradation (ERAD). The two residues participate in
different aspects of ERAD targeting. Vpu Trp22 is required to prevent assembly of
Vpu into an inactive, oligomeric form and to promote CD4 polyubiquitination and
subsequent recruitment of the VCP-UFD1L-NPL4 dislocase complex. In the presence
of a Vpu Trp22 mutant, CD4 remains integrally associated with the ER membrane,
suggesting that dislocation from the ER into the cytosol is impaired. CD4 Gly415,
on the other hand, contributes to CD4-Vpu interactions. We also identify two
residues, Val20 and Ser23, in the Vpu TMD that mediate retention of Vpu and, by
extension, CD4 in the ER. These findings highlight the exploitation of several
TMD-mediated mechanisms by HIV-1 Vpu in order to downregulate CD4 and thus
promote viral pathogenesis.
PMID- 22090098
TI - Monoclonal antibody-based antigenic mapping of norovirus GII.4-2002.
AB - Noroviruses are the primary cause of epidemic gastroenteritis in humans, and
GII.4 strains cause ~80% of the overall disease burden. Surrogate neutralization
assays using sera and mouse monoclonal antibodies (MAbs) suggest that antigenic
variation maintains GII.4 persistence in the face of herd immunity, as the
emergence of new pandemic strains is accompanied by newly evolved neutralization
epitopes. To potentially identify specific blockade epitopes that are likely
neutralizing and evolving between pandemic strains, mice were hyperimmunized with
GII.4-2002 virus-like particles (VLPs) and the resulting MAbs were characterized
by biochemical and immunologic assays. All of the MAbs but one recognized GII.4
VLPs representing strains circulating from 1987 to 2009. One MAb weakly
recognized GII.4-1987 and -1997 while strongly interacting with 2002 VLPs. This
antibody was highly selective and effective at blocking only GII.4-2002-ligand
binding. Using bioinformatic analyses, we predicted an evolving GII.4 surface
epitope composed of amino acids 407, 412, and 413 and subsequently built mutant
VLPs to test the impact of the epitope on MAb binding and blockade potential.
Replacement of the 2002 epitope with the epitopes found in 1987 or 2006 strains
either reduced or ablated enzyme immunoassay recognition by the GII.4-2002
specific blockade MAb. These data identify a novel, evolving blockade epitope
that may be associated with protective immunity, providing further support for
the hypotheses that GII.4 norovirus evolution results in antigenic variation that
allows the virus to escape from protective herd immunity, resulting in new
epidemic strains.
PMID- 22090099
TI - Dynamics of simian immunodeficiency virus SIVmac239 infection in pigtail
macaques.
AB - Pigtail macaques (PTM) are an excellent model for HIV research; however, the
dynamics of simian immunodeficiency virus (SIV) SIVmac239 infection in PTM have
not been fully evaluated. We studied nine PTM prior to infection, during acute
and chronic SIVmac239 infections, until progression to AIDS. We found PTM
manifest clinical AIDS more rapidly than rhesus macaques (RM), as AIDS-defining
events occurred at an average of 42.17 weeks after infection in PTM compared to
69.56 weeks in RM (P = 0.0018). However, increased SIV progression was not
associated with increased viremia, as both peak and set-point plasma viremias
were similar between PTM and RM (P = 0.7953 and P = 0.1006, respectively).
Moreover, this increased disease progression was not associated with rapid CD4(+)
T cell depletion, as CD4(+) T cell decline resembled other SIV/human
immunodeficiency virus (HIV) models. Since immune activation is the best
predictor of disease progression during HIV infection, we analyzed immune
activation by turnover of T cells by BrdU decay and Ki67 expression. We found
increased levels of turnover prior to SIV infection of PTM compared to that
observed with RM, which may contribute to their increased disease progression
rate. These data evaluate the kinetics of SIVmac239-induced disease progression
and highlight PTM as a model for HIV infection and the importance of immune
activation in SIV disease progression.
PMID- 22090100
TI - Mucosal and peripheral Lin- HLA-DR+ CD11c/123- CD13+ CD14- mononuclear cells are
preferentially infected during acute simian immunodeficiency virus infection.
AB - Massive infection of memory CD4 T cells is a hallmark of early simian
immunodeficiency virus (SIV) infection, with viral infection peaking at day 10
postinfection (p.i.), when a majority of memory CD4 T cells in mucosal and
peripheral tissues are infected. It is not clear if mononuclear cells from the
monocyte and macrophage lineages are similarly infected during this early phase
of explosive HIV and SIV infections. Here we show that, at day 10 p.i., Lin(-)
HLA-DR(+) CD11c/123(-) CD13(+) CD14(-) macrophages in the jejunal mucosa were
infected, albeit at lower levels than CD4 memory T cells. Interestingly, Lin(-)
HLA-DR(+) CD11c/123(-) CD13(+) CD14(-) macrophages in peripheral blood, like
their mucosal counterparts, were preferentially infected compared to Lin(-) HLA
DR(+) CD11c/123(-) CD13(+) CD14(+) monocytes, suggesting that differentiated
macrophages were selectively infected by SIV. CD13(+) CD14(-) macrophages
expressed low levels of CD4 compared to CD4 T cells but expressed similar levels
of CCR5 as lymphocytes. Interestingly, CD13(+) CD14(-) macrophages expressed
Apobec3G at lower levels than CD13(+) CD14(+) monocytes, suggesting that
intracellular restriction may contribute to the differential infection of
mononuclear subsets. Taken together, our results suggest that CD13(+) CD14(-)
macrophages in mucosal and peripheral tissues are preferentially infected very
early during the course of SIV infection.
PMID- 22090101
TI - Marked endotheliotropism of highly pathogenic avian influenza virus H5N1
following intestinal inoculation in cats.
AB - Highly pathogenic avian influenza virus (HPAIV) H5N1 can infect mammals via the
intestine; this is unusual since influenza viruses typically infect mammals via
the respiratory tract. The dissemination of HPAIV H5N1 following intestinal entry
and associated pathogenesis are largely unknown. To assess the route of spread of
HPAIV H5N1 to other organs and to determine its associated pathogenesis, we
inoculated infected chicken liver homogenate directly into the intestine of cats
by use of enteric-coated capsules. Intestinal inoculation of HPAIV H5N1 resulted
in fatal systemic disease. The spread of HPAIV H5N1 from the lumen of the
intestine to other organs took place via the blood and lymphatic vascular systems
but not via neuronal transmission. Remarkably, the systemic spread of the virus
via the vascular system was associated with massive infection of endothelial and
lymphendothelial cells, resulting in widespread hemorrhages. This is unique for
influenza in mammals and resembles the pathogenesis of HPAIV infection in
terrestrial poultry. It contrasts with the pathogenesis of systemic disease from
the same virus following entry via the respiratory tract, where lesions are
characterized mainly by necrosis and inflammation and are associated with the
presence of influenza virus antigen in parenchymal, not endothelial cells. The
marked endotheliotropism of the virus following intestinal inoculation indicates
that the pathogenesis of systemic influenza virus infection in mammals may differ
according to the portal of entry.
PMID- 22090102
TI - Bromovirus RNA replication compartment formation requires concerted action of
1a's self-interacting RNA capping and helicase domains.
AB - All positive-strand RNA viruses replicate their genomes in association with
rearranged intracellular membranes such as single- or double-membrane vesicles.
Brome mosaic virus (BMV) RNA synthesis occurs in vesicular endoplasmic reticulum
(ER) membrane invaginations, each induced by many copies of viral replication
protein 1a, which has N-terminal RNA capping and C-terminal helicase domains.
Although the capping domain is responsible for 1a membrane association and ER
targeting, neither this domain nor the helicase domain was sufficient to induce
replication vesicle formation. Moreover, despite their potential for mutual
interaction, the capping and helicase domains showed no complementation when
coexpressed in trans. Cross-linking showed that the capping and helicase domains
each form trimers and larger multimers in vivo, and the capping domain formed
extended, stacked, hexagonal lattices in vivo. Furthermore, coexpressing the
capping domain blocked the ability of full-length 1a to form replication vesicles
and replicate RNA and recruited full-length 1a into mixed hexagonal lattices with
the capping domain. Thus, BMV replication vesicle formation and RNA replication
depend on the direct linkage and concerted action of 1a's self-interacting
capping and helicase domains. In particular, the capping domain's strong dominant
negative effects showed that the ability of full-length 1a to form replication
vesicles was highly sensitive to disruption by non-productively titrating lattice
forming self-interactions of the capping domain. These and other findings shed
light on the roles and interactions of 1a domains in replication compartment
formation and support prior results suggesting that 1a induces replication
vesicles by forming a capsid-like interior shell.
PMID- 22090103
TI - Hepatitis C virus fails to activate NF-kappaB signaling in plasmacytoid dendritic
cells.
AB - Plasmacytoid dendritic cells (pDCs) respond to viral infection by production of
alpha interferon (IFN-alpha), proinflammatory cytokines, and cell
differentiation. The elimination of hepatitis C virus (HCV) in more than 50% of
chronically infected patients by treatment with IFN-alpha suggests that pDCs can
play an important role in the control of HCV infection. pDCs exposed to HCV
infected hepatoma cells, in contrast to cell-free HCV virions, produce large
amounts of IFN-alpha. To further investigate the molecular mechanism of HCV
sensing, we studied whether exposure of pDCs to HCV-infected hepatoma cells
activates, in parallel to interferon regulatory factor 7 (IRF7)-mediated
production of IFN-alpha, nuclear factor kappa B (NF-kappaB)-dependent pDC
responses, such as expression of the differentiation markers CD40, CCR7, CD86,
and tumor necrosis factor (TNF)-related apoptosis-inducing ligand (TRAIL) and
secretion of the proinflammatory cytokines TNF-alpha and interleukin 6 (IL-6). We
demonstrate that exposure of pDCs to HCV-infected hepatoma cells surprisingly did
not induce phosphorylation of NF-kappaB or cell surface expression of CD40, CCR7,
CD86, or TRAIL or secretion of TNF-alpha and IL-6. In contrast, CpG-A and CpG-B
induced production of TNF-alpha and IL-6 in pDCs exposed to the HCV-infected
hepatoma cells, showing that cell-associated virus did not actively inhibit Toll
like receptor (TLR)-mediated NF-kappaB phosphorylation. Our results suggest that
cell-associated HCV signals in pDCs via an endocytosis-dependent mechanism and
IRF7 but not via the NF-kappaB pathway. In spite of IFN-alpha induction, cell
associated HCV does not induce a full functional response of pDCs. These findings
contribute to the understanding of evasion of immune responses by HCV.
PMID- 22090104
TI - Significant association of multiple human cytomegalovirus genomic Loci with
glioblastoma multiforme samples.
AB - Viruses are appreciated as etiological agents of certain human tumors, but the
number of different cancer types induced or exacerbated by viral infections is
unknown. Glioblastoma multiforme (GBM)/astrocytoma grade IV is a malignant and
lethal brain cancer of unknown origin. Over the past decade, several studies have
searched for the presence of a prominent herpesvirus, human cytomegalovirus
(HCMV), in GBM samples. While some have detected HCMV DNA, RNA, and proteins in
GBM tissues, others have not. Therefore, any purported association of HCMV with
GBM remains controversial. In most of the previous studies, only one or a select
few viral targets were analyzed. Thus, it remains unclear the extent to which the
entire viral genome was present when detected. Here we report the results of a
survey of GBM specimens for as many as 20 different regions of the HCMV genome.
Our findings indicate that multiple HCMV loci are statistically more likely to be
found in GBM samples than in other brain tumors or epileptic brain specimens and
that the viral genome was more often detected in frozen samples than in paraffin
embedded archival tissue samples. Finally, our experimental results indicate that
cellular genomes substantially outnumber viral genomes in HCMV-positive GBM
specimens, likely indicating that only a minority of the cells found in such
samples harbor viral DNA. These data argue for the association of HCMV with GBM,
defining the virus as oncoaccessory. Furthermore, they imply that, were HCMV to
enhance the growth or survival of a tumor (i.e., if it is oncomodulatory), it
would likely do so through mechanisms distinct from classic tumor viruses that
express transforming viral oncoproteins in the overwhelming majority of tumor
cells.
PMID- 22090105
TI - HLA-B*57 Micropolymorphism shapes HLA allele-specific epitope immunogenicity,
selection pressure, and HIV immune control.
AB - The genetic polymorphism that has the greatest impact on immune control of human
immunodeficiency virus (HIV) infection is expression of HLA-B*57. Understanding
of the mechanism for this strong effect remains incomplete. HLA-B*57 alleles and
the closely related HLA-B*5801 are often grouped together because of their
similar peptide-binding motifs and HIV disease outcome associations. However, we
show here that the apparently small differences between HLA-B*57 alleles, termed
HLA-B*57 micropolymorphisms, have a significant impact on immune control of HIV.
In a study cohort of >2,000 HIV C-clade-infected subjects from southern Africa,
HLA-B*5703 is associated with a lower viral-load set point than HLA-B*5702 and
HLA-B*5801 (medians, 5,980, 15,190, and 19,000 HIV copies/ml plasma; P = 0.24 and
P = 0.0005). In order to better understand these observed differences in HLA
B*57/5801-mediated immune control of HIV, we undertook, in a study of >1,000 C
clade-infected subjects, a comprehensive analysis of the epitopes presented by
these 3 alleles and of the selection pressure imposed on HIV by each response. In
contrast to previous studies, we show that each of these three HLA alleles is
characterized both by unique CD8(+) T-cell specificities and by clear-cut
differences in selection pressure imposed on the virus by those responses. These
studies comprehensively define for the first time the CD8(+) T-cell responses and
immune selection pressures for which these protective alleles are responsible.
These findings are consistent with HLA class I alleles mediating effective immune
control of HIV through the number of p24 Gag-specific CD8(+) T-cell responses
generated that can drive significant selection pressure on the virus.
PMID- 22090106
TI - Duck Hepatitis A virus possesses a distinct type IV internal ribosome entry site
element of picornavirus.
AB - Sequence analysis of duck hepatitis virus type 1 (DHV-1) led to its
classification as the only member of a new genus, Avihepatovirus, of the family
Picornaviridae, and so was renamed duck hepatitis A virus (DHAV). The 5'
untranslated region (5' UTR) plays an important role in translation initiation
and RNA synthesis of the picornavirus. Here, we provide evidence that the 651
nucleotide (nt)-long 5' UTR of DHAV genome contains an internal ribosome entry
site (IRES) element that functions efficiently in vitro and within BHK cells.
Comparative sequence analysis showed that the 3' part of the DHAV 5' UTR is
similar to the porcine teschovirus 1 (PTV-1) IRES in sequence and predicted
secondary structure. Further mutational analyses of the predicted domain IIId,
domain IIIe, and pseudoknot structure at the 3' end of the DHAV IRES support our
predicted secondary structure. However, unlike the case for the PTV-1 IRES
element, analysis of various deletion mutants demonstrated that the optimally
functional DHAV IRES element with a size of approximately 420 nt is larger than
that of PTV-1 and contains other peripheral domains (Id and Ie) that do not exist
within the type IV IRES elements. The domain Ie, however, could be removed
without significant loss of activity. Surprisingly, like the hepatitis A virus
(HAV) IRES element, the activity of DHAV IRES could be eliminated by expression
of enterovirus 2A protease. These findings indicate that the DHAV IRES shares
common features with type IV picornavirus IRES elements, whereas it exhibits
significant differences from type IV IRESs. Therefore, we propose that DHAV
possesses a distinct type IV IRES element of picornavirus.
PMID- 22090107
TI - Cloning and analysis of sooty mangabey alternative coreceptors that support
simian immunodeficiency virus SIVsmm entry independently of CCR5.
AB - Natural host sooty mangabeys (SM) infected with simian immunodeficiency virus
SIVsmm do not develop AIDS despite high viremia. SM and other natural hosts
express very low levels of CCR5 on CD4(+) T cells, and we recently showed that
SIVsmm infection and robust replication occur in vivo in SM genetically lacking
CCR5, indicating the use of additional entry pathways. SIVsmm uses several
alternative coreceptors of human origin in vitro, but which molecules of SM
origin support entry is unknown. We cloned a panel of putative coreceptors from
SM and tested their ability to mediate infection, in conjunction with smCD4, by
pseudotypes carrying Envs from multiple SIVsmm subtypes. smCXCR6 supported
efficient infection by all SIVsmm isolates with entry levels comparable to those
for smCCR5, and smGPR15 enabled entry by all isolates at modest levels. smGPR1
and smAPJ supported low and variable entry, whereas smCCR2b, smCCR3, smCCR4,
smCCR8, and smCXCR4 were not used by most isolates. In contrast, SIVsmm from rare
infected SM with profound CD4(+) T cell loss, previously reported to have
expanded use of human coreceptors, including CXCR4, used smCXCR4, smCXCR6, and
smCCR5 efficiently and also exhibited robust entry through smCCR3, smCCR8,
smGPR1, smGPR15, and smAPJ. Entry was similar with both known alleles of smCD4.
These alternative coreceptors, particularly smCXCR6 and smGPR15, may support
virus replication in SM that have restricted CCR5 expression as well as SM
genetically lacking CCR5. Defining expression of these molecules on SM CD4(+)
subsets may delineate distinct natural host target cell populations capable of
supporting SIVsmm replication without CD4(+) T cell loss.
PMID- 22090108
TI - The virion-associated open reading frame 49 of murine gammaherpesvirus 68
promotes viral replication both in vitro and in vivo as a derepressor of RTA.
AB - Replication and transcription activator (RTA), an immediate-early gene, is a key
molecular switch to evoke lytic replication of gammaherpesviruses. Open reading
frame 49 (ORF49) is conserved among gammaherpesviruses and shown to cooperate
with RTA in regulating virus lytic replication. Here we show a molecular
mechanism and in vivo functions of murine gammaherpesvirus 68 (MHV-68 or gammaHV
68) ORF49. MHV-68 ORF49 was transcribed and translated as a late gene. The ORF49
protein was associated with a virion, interacting with the ORF64 large tegument
protein and the ORF25 capsid protein. Moreover, ORF49 directly bound to RTA and
its negative cellular regulator, poly(ADP-ribose) polymerase-1 (PARP-1), and
disrupted the interactions of RTA and PARP-1. Productive replication of an ORF49
deficient mutant virus (49S) was attenuated in vivo as well as in vitro.
Likewise, latent infection was also impaired in the spleen of 49S-infected mice.
Taken together, our results suggest that the virion-associated ORF49 protein may
promote virus replication both in vitro and in vivo by providing an optimal
environment in the early phase of virus infection as a derepressor of RTA.
PMID- 22090109
TI - Naturally occurring fragments from two distinct regions of the prostatic acid
phosphatase form amyloidogenic enhancers of HIV infection.
AB - Semen is the major vector for HIV-1 transmission. We previously isolated C
proximal fragments of the prostatic acid phosphatase (PAP) from semen which
formed amyloid fibrils that potently enhanced HIV infection. Here, we used the
same methodology and identified another amyloidogenic peptide. Surprisingly, this
peptide is derived from an N-proximal fragment of PAP (PAP85-120) and forms,
similar to the C-proximal fragments, positively charged fibrillar structures that
increase virion attachment to cells. Our results provide a first example for
amyloid formation by fragments of distinct regions of the same precursor and
further emphasize the possible importance of amyloidogenic peptides in HIV
transmission.
PMID- 22090110
TI - Genetic mapping of a highly variable norovirus GII.4 blockade epitope: potential
role in escape from human herd immunity.
AB - Noroviruses account for 96% of viral gastroenteritis cases worldwide, with GII.4
strains responsible >80% of norovirus outbreaks. Histo-blood group antigens
(HBGAs) are norovirus binding ligands, and antigenic and preferential HBGA
binding profiles vary over time as new GII.4 strains emerge. The capsid P2
subdomain facilitates HBGA binding, contains neutralizing antibody epitopes, and
likely evolves in response to herd immunity. To identify amino acids regulating
HBGA binding and antigenic differences over time, we created chimeric virus-like
particles (VLPs) between the GII.4-1987 and GII.4-2006 strains by exchanging
amino acids in putative epitopes and characterized their antigenic and HBGA
binding profiles using anti-GII.4-1987 and -2006 mouse monoclonal antibodies
(MAbs) and polyclonal sera, 1988 outbreak human sera, and synthetic HBGAs. The
exchange of amino acids 393 to 395 between GII.4-1987 and GII.4-2006 resulted in
altered synthetic HBGA binding compared to parental strains. Introduction of
GII.4-1987 residues 294, 297 to 298, 368, and 372 (epitope A) into GII.4-2006
resulted in reactivity with three anti-GII.4-1987 MAbs and reduced reactivity
with four anti-GII.4-2006 MAbs. The three anti-GII.4-1987 MAbs also blocked
chimeric VLP-HBGA interaction, while an anti-GII.4-2006 blocking antibody did
not, indicating that epitope A amino acids comprise a potential neutralizing
epitope for GII.4-1987 and GII.4-2006. We also tested GII.4-1987-immunized mouse
polyclonal sera and 1988 outbreak human sera for the ability to block chimeric
VLP-HBGA interaction and found that epitope A amino acids contribute
significantly to the GII.4-1987 blockade response. Our data provide insights that
help explain the emergence of new GII.4 epidemic strains over time, may aid
development of norovirus therapeutics, and may help predict the emergence of
future epidemic strains.
PMID- 22090111
TI - Kaposi's sarcoma-associated herpesvirus latency-associated nuclear antigen
induction by hypoxia and hypoxia-inducible factors.
AB - Hypoxia and hypoxia-inducible factors (HIFs) play an important role in the
Kaposi's sarcoma-associated herpesvirus (KSHV) life cycle. In particular, hypoxia
can activate lytic replication of KSHV and specific lytic genes, including the
replication and transcription activator (RTA), while KSHV infection in turn can
increase the levels and activity of HIFs. In the present study, we show that
hypoxia increases the levels of mRNAs encoding KSHV latency-associated nuclear
antigen (LANA) in primary effusion lymphoma (PEL) cell lines and also increases
the levels of LANA protein. Luciferase reporter assays in Hep3B cells revealed a
moderate activation of the LANA promoter region by hypoxia as well as by
cotransfection with degradation-resistant HIF-1alpha or HIF-2alpha expression
plasmids. Computer analysis of a 1.2-kb sequence upstream of the LANA
translational start site identified six potential hypoxia-responsive elements
(HRE). Sequential deletion studies revealed that much of this activity was
mediated by one of these HREs (HRE 4R) oriented in the 3' to 5' direction and
located between the constitutive (LTc) and RTA-inducible (LTi) mRNA start sites.
Site-directed mutation of this HRE substantially reduced the response to both HIF
1alpha and HIF-2alpha in a luciferase reporter assay. Electrophoretic mobility
shift assays (EMSA) and chromatin immunoprecipitation (ChIP) assays demonstrated
binding of both HIF-1alpha and HIF-2alpha to this region. Also, HIF-1alpha was
found to associate with RTA, and HIFs enhanced the activation of LTi by RTA.
These results provide evidence that hypoxia and HIFs upregulate both latent and
lytic KSHV replication and play a central role in the life cycle of this virus.
PMID- 22090112
TI - Varicella-zoster virus inhibition of the NF-kappaB pathway during infection of
human dendritic cells: role for open reading frame 61 as a modulator of NF-kappaB
activity.
AB - Dendritic cells (DC) are antigen-presenting cells essential for initiating
primary immune responses and therefore an ideal target for viral immune evasion.
Varicella-zoster virus (VZV) can productively infect immature human DCs and
impair their function as immune effectors by inhibiting their maturation, as
evidenced by the expression modulation of functionally important cell surface
immune molecules CD80, CD86, CD83, and major histocompatibility complex I. The NF
kappaB pathway largely regulates the expression of these immune molecules, and
therefore we sought to determine whether VZV infection of DCs modulates the NF
kappaB pathway. Nuclear localization of NF-kappaB p50 and p65 indicates pathway
activation; however, immunofluorescence studies revealed cytoplasmic retention of
these NF-kappaB subunits in VZV-infected DCs. Western blotting revealed
phosphorylation of the inhibitor of kappaBalpha (IkappaBalpha) in VZV-infected
DCs, indicating that the pathway is active at this point. We conclude that VZV
infection of DC inhibits the NF-kappaB pathway following protein phosphorylation
but before the translocation of NF-kappaB subunits into the nucleus. An NF-kappaB
reporter assay identified VZV open reading frame 61 (ORF61) as an inhibitor of
tumor necrosis factor alpha-induced NF-kappaB reporter activity. Mutational
analysis of ORF61 identified the E3 ubiquitin ligase domain as a region required
for NF-kappaB pathway inhibition. In summary, we provide evidence that VZV
inhibits the NF-kappaB signaling pathway in human DCs and that the E3 ubiquitin
ligase domain of ORF61 is required to modulate this pathway. Thus, this work
identifies a mechanism by which VZV modulates host immune function.
PMID- 22090113
TI - The cellular chaperone hsc70 is specifically recruited to reovirus viral
factories independently of its chaperone function.
AB - Mammalian orthoreoviruses replicate and assemble in the cytosol of infected
cells. A viral nonstructural protein, MUNS, forms large inclusion-like structures
called viral factories (VFs) in which assembling viral particles can be
identified. Here we examined the localization of the cellular chaperone Hsc70 and
found that it colocalizes with VFs in infected cells and also with viral factory
like structures (VFLs) formed by ectopically expressed MUNS. Small interfering
RNA (siRNA)-mediated knockdown of Hsc70 did not affect the formation or
maintenance of VFLs. We further showed that dominant negative mutants of Hsc70
were also recruited to VFLs, indicating that Hsc70 recruitment to VFLs is
independent of the chaperone function. In support of this finding, MUNS was
immunoprecipitated with wild-type Hsc70, with a dominant negative mutant of
Hsc70, and with the minimal substrate-binding site of Hsc70 (amino acids 395 to
540). We identified a minimal region of MUNS between amino acids 222 and 271 that
was sufficient for the interaction with Hsc70. This region of MUNS has not been
assigned any function previously. However, neither point mutants with alterations
in this region nor the complete deletion of this domain abrogated the MUNS-Hsc70
interaction, indicating that a second portion of MUNS also interacts with Hsc70.
Taken together, these findings suggest a specific chaperone function for Hsc70
within viral factories, the sites of reovirus replication and assembly in cells.
PMID- 22090114
TI - Migration of Norway rats resulted in the worldwide distribution of Seoul
hantavirus today.
AB - Despite the worldwide distribution, most of the known Seoul viruses (SEOV) are
closely related to each other. In this study, the M and the S segment sequences
of SEOV were recovered from 130 lung tissue samples (mostly of Norway rats) and
from six patient serum samples by reverse transcription-PCR. Genetic analysis
revealed that all sequences belong to SEOV and represent 136 novel strains.
Phylogenetic analysis of all available M and S segment sequences of SEOV,
including 136 novel Chinese strains, revealed four distinct groups. All non
Chinese SEOV strains and most of the Chinese variants fell into the phylogroup A,
while the Chinese strains originating from mountainous areas clustered into three
other distinct groups (B, C, and D). We estimated that phylogroup A viruses may
have arisen only within the last several centuries. All non-Chinese variants
appeared to be directly originated from China. Thus, phylogroup A viruses
distributed worldwide may share a recent ancestor, whereas SEOV seems to be as
diversified genetically as other hantaviruses. In addition, all available
mitochondrial DNA (mtDNA) sequences of Norway rats, including our 44 newly
recovered mtDNA sequences, were divided into two phylogenetic groups. The first
group, which is associated with the group A SEOV variants, included most of rats
from China and also all non-Chinese rats, while the second group consisted of a
few rats originating only from mountain areas in China. We hypothesize that an
ancestor of phylogroup A SEOV variants was first exported from China to Europe
and then spread through the New World following the migration of Norway rats.
PMID- 22090115
TI - Adaptation of a duck influenza A virus in quail.
AB - Quail are thought to serve as intermediate hosts of influenza A viruses between
aquatic birds and terrestrial birds, such as chickens, due to their high
susceptibility to aquatic-bird viruses, which then adapt to replicate efficiently
in their new hosts. However, does replication of aquatic-bird influenza viruses
in quail similarly result in their efficient replication in humans? Using sialic
acid-galactose linkage-specific lectins, we found both avian (sialic acid-alpha2
3-galactose [Siaalpha2-3Gal] linkages on sialyloligosaccharides)--and human
(Siaalpha2-6Gal)-type receptors on the tracheal cells of quail, consistent with
previous reports. We also passaged a duck H3N2 virus in quail 19 times. Sequence
analysis revealed that eight mutations accumulated in hemagglutinin (HA) during
these passages. Interestingly, many of the altered HA amino acids found in the
adapted virus are present in human seasonal viruses, but not in duck viruses. We
also found that stepwise stalk deletion of neuraminidase occurred during
passages, resulting in reduced neuraminidase function. Despite some hemagglutinin
mutations near the receptor binding pocket, appreciable changes in receptor
specificity were not detected. However, reverse-genetics-generated viruses that
possessed the hemagglutinin and neuraminidase of the quail-passaged virus
replicated significantly better than the virus possessing the parent HA and
neuraminidase in normal human bronchial epithelial cells, whereas no significant
difference in replication between the two viruses was observed in duck cells.
Further, the quail-passaged but not the original duck virus replicated in human
bronchial epithelial cells. These data indicate that quail can serve as
intermediate hosts for aquatic-bird influenza viruses to be transmitted to
humans.
PMID- 22090116
TI - Lack of association between HLA class II alleles and in vitro replication
capacities of recombinant viruses encoding HIV-1 subtype C Gag-protease from
chronically infected individuals.
AB - It is unknown whether favorable HLA class II alleles may attenuate HIV-1 through
selection pressure in a manner similar to that of protective HLA class I alleles.
We investigated the relationship between HLA class II alleles and in vitro
replication capacities of recombinant viruses encoding HIV-1 subtype C Gag
protease from chronically infected individuals. No associations were found
between individual alleles and lower replication capacity, suggesting no
significant HIV-1 attenuation by HLA class II-restricted Gag-specific CD4(+) T
cell immune pressure.
PMID- 22090117
TI - HIV-1 clinical isolates resistant to CCR5 antagonists exhibit delayed entry
kinetics that are corrected in the presence of drug.
AB - HIV CCR5 antagonists select for env gene mutations that enable virus entry via
drug-bound coreceptor. To investigate the mechanisms responsible for viral
adaptation to drug-bound coreceptor-mediated entry, we studied viral isolates
from three participants who developed CCR5 antagonist resistance during treatment
with vicriviroc (VCV), an investigational small-molecule CCR5 antagonist. VCV
sensitive and -resistant viruses were isolated from one HIV subtype C- and two
subtype B-infected participants; VCV-resistant isolates had mutations in the V3
loop of gp120 and were cross-resistant to TAK-779, an investigational antagonist,
and maraviroc (MVC). All three resistant isolates contained a 306P mutation but
had variable mutations elsewhere in the V3 stem. We used a virus-cell beta
lactamase (BlaM) fusion assay to determine the entry kinetics of recombinant
viruses that incorporated full-length VCV-sensitive and -resistant envelopes. VCV
resistant isolates exhibited delayed entry rates in the absence of drug, relative
to pretherapy VCV-sensitive isolates. The addition of drug corrected these
delays. These findings were generalizable across target cell types with a range
of CD4 and CCR5 surface densities and were observed when either population
derived or clonal envelopes were used to construct recombinant viruses. V3 loop
mutations alone were sufficient to restore virus entry in the presence of drug,
and the accumulation of V3 mutations during VCV therapy led to progressively
higher rates of viral entry. We propose that the restoration of pre-CCR5
antagonist therapy HIV entry kinetics drives the selection of V3 loop mutations
and may represent a common mechanism that underlies the emergence of CCR5
antagonist resistance.
PMID- 22090118
TI - Cooperation between viral interferon regulatory factor 4 and RTA to activate a
subset of Kaposi's sarcoma-associated herpesvirus lytic promoters.
AB - The four Kaposi's sarcoma-associated herpesvirus (KSHV)-encoded interferon (IFN)
regulatory factor homologues (vIRF1 to vIRF4) are used to counter innate immune
defenses and suppress p53. The vIRF genes are arranged in tandem but differ in
function and expression. In KSHV-infected effusion lymphoma lines, K10.5/vIRF3
and K11/vIRF2 mRNAs are readily detected during latency, whereas K9/vIRF1 and
K10/vIRF4 mRNAs are upregulated during reactivation. Here we show that the
K10/vIRF4 promoter responds to the lytic switch protein RTA in KSHV-infected
cells but is essentially unresponsive in uninfected cells. Coexpression of RTA
with vIRF4 is sufficient to restore regulation, a property not shared by other
vIRFs. The K9/vIRF1 promoter behaves similarly, and production of infectious
virus is enhanced by the presence of vIRF4. Synergy requires the DNA-binding
domain (DBD) and C-terminal IRF homology regions of vIRF4. Mutations of arginine
residues within the putative DNA recognition helix of vIRF4 or the invariant
cysteines of the adjacent CxxC motif abolish cooperation with RTA, in the latter
case by preventing self-association. The oligomerization and transactivation
functions of RTA are also essential for synergy. The K10/vIRF4 promoter contains
two transcription start sites (TSSs), and a 105-bp fragment containing the
proximal promoter is responsive to vIRF4/RTA. Binding of a cellular factor(s) to
this fragment is altered when both viral proteins are present, suggesting a
possible mechanism for transcriptional synergy. Reliance on coregulators encoded
by either the host or viral genome provides an elegant strategy for expanding the
regulatory potential of a master regulator, such as RTA.
PMID- 22090119
TI - High-resolution analysis of intrahost genetic diversity in dengue virus serotype
1 infection identifies mixed infections.
AB - Little is known about the rate at which genetic variation is generated within
intrahost populations of dengue virus (DENV) and what implications this diversity
has for dengue pathogenesis, disease severity, and host immunity. Previous
studies of intrahost DENV variation have used a low frequency of sampling and/or
experimental methods that do not fully account for errors generated through
amplification and sequencing of viral RNAs. We investigated the extent and
pattern of genetic diversity in sequence data in domain III (DIII) of the
envelope (E) gene in serial plasma samples (n = 49) taken from 17 patients
infected with DENV type 1 (DENV-1), totaling some 8,458 clones. Statistically
rigorous approaches were employed to account for artifactual variants resulting
from amplification and sequencing, which we suggest have played a major role in
previous studies of intrahost genetic variation. Accordingly, nucleotide sequence
diversities of viral populations were very low, with conservative estimates of
the average levels of genetic diversity ranging from 0 to 0.0013. Despite such
sequence conservation, we observed clear evidence for mixed infection, with the
presence of multiple phylogenetically distinct lineages present within the same
host, while the presence of stop codon mutations in some samples suggests the
action of complementation. In contrast to some previous studies we observed no
relationship between the extent and pattern of DENV-1 genetic diversity and
disease severity, immune status, or level of viremia.
PMID- 22090121
TI - Highly pathogenic avian influenza virus subtype H5N1 escaping neutralization:
more than HA variation.
AB - Influenza A viruses are one of the major threats in modern health care. Novel
viruses arise due to antigenic drift and antigenic shift, leading to escape from
the immune system and resulting in a serious problem for disease control. In
order to investigate the escape process and to enable predictions of escape, we
serially passaged influenza A H5N1 virus in vitro 100 times under immune
pressure. The generated escape viruses were characterized phenotypically and in
detail by full-genome deep sequencing. Mutations already found in natural
isolates were detected, evidencing the in vivo relevance of the in vitro-induced
amino acid substitutions. Additionally, several novel alterations were triggered.
Altogether, the results imply that our in vitro system is suitable to study
influenza A virus evolution and that it might even be possible to predict
antigenic changes of influenza A viruses circulating in vaccinated populations.
PMID- 22090120
TI - In vitro assembly of virus-like particles of a gammaretrovirus, the murine
leukemia virus XMRV.
AB - Immature retroviral particles are assembled by self-association of the structural
polyprotein precursor Gag. During maturation the Gag polyprotein is
proteolytically cleaved, yielding mature structural proteins, matrix (MA), capsid
(CA), and nucleocapsid (NC), that reassemble into a mature viral particle.
Proteolytic cleavage causes the N terminus of CA to fold back to form a beta
hairpin, anchored by an internal salt bridge between the N-terminal proline and
the inner aspartate. Using an in vitro assembly system of capsid-nucleocapsid
protein (CANC), we studied the formation of virus-like particles (VLP) of a
gammaretrovirus, the xenotropic murine leukemia virus (MLV)-related virus (XMRV).
We show here that, unlike other retroviruses, XMRV CA and CANC do not assemble
tubular particles characteristic of mature assembly. The prevention of beta
hairpin formation by the deletion of either the N-terminal proline or 10 initial
amino acids enabled the assembly of DeltaProCANC or Delta10CANC into immature
like spherical particles. Detailed three-dimensional (3D) structural analysis of
these particles revealed that below a disordered N-terminal CA layer, the C
terminus of CA assembles a typical immature lattice, which is linked by rod-like
densities with the RNP.
PMID- 22090122
TI - Transmissible gastroenteritis coronavirus RNA-dependent RNA polymerase and
nonstructural proteins 2, 3, and 8 are incorporated into viral particles.
AB - Coronavirus replication and transcription are processes mediated by a protein
complex, with the RNA-dependent RNA polymerase (RdRp) as a main component.
Proteomic analysis of highly purified transmissible gastroenteritis virus showed
the RdRp to be a component of the viral particles. This finding was confirmed by
Western blotting, immunofluorescence, and immunoelectron microscopy analyses.
Interestingly, the replicase nonstructural proteins 2, 3, and 8 colocalized with
the RdRp in the viral factories and were also incorporated into the virions.
PMID- 22090123
TI - Melanoma differentiation-associated gene 5 is critical for protection against
Theiler's virus-induced demyelinating disease.
AB - Infection of dendritic and glial cells with Theiler's murine encephalomyelitis
virus (TMEV) induces various cytokines via Toll-like receptor- and melanoma
differentiation-associated gene 5 (MDA5)-dependent pathways. However, the
involvement and role of MDA5 in cytokine gene activation and the pathogenesis of
TMEV-induced demyelinating disease are largely unknown. In this study, we
demonstrate that MDA5 plays a critical role in the production of TMEV-induced
alpha interferon (IFN-alpha) during early viral infection and in protection
against the development of virus-induced demyelinating disease. Our results
indicate that MDA5-deficient 129SvJ mice display significantly higher viral loads
and apparent demyelinating lesions in the central nerve system (CNS) accompanied
by clinical symptoms compared with wild-type 129SvJ mice. During acute viral
infection, MDA5-deficient mice produced elevated levels of chemokines, consistent
with increased cellular infiltration, but reduced levels of IFN-alpha, known to
control T cell responses and cellular infiltration. Additional studies with
isolated CNS glial cells from these mice suggest that cells from MDA5-deficient
mice are severely compromised in the production of IFN-alpha upon viral
infection, which results in increased cellular infiltration and viral loads in
the CNS. Despite inadequate stimulation, the overall T cell responses to the
viral determinants were significantly elevated in MDA5-deficient mice, reflecting
the increased cellular infiltration. Therefore, the lack of MDA5-mediated IFN
alpha production may facilitate a massive viral load and elevated cellular
infiltration in the CNS during early viral infection, leading to the pathogenesis
of demyelinating disease.
PMID- 22090125
TI - A role for the internal repeat of the Kaposi's sarcoma-associated herpesvirus
latent nuclear antigen in the persistence of an episomal viral genome.
AB - The latent nuclear antigen (LANA) of Kaposi's sarcoma-associated herpesvirus
(KSHV) is required for the replication and partitioning of latent viral genomes.
It contains an extended internal repeat (IR) region whose function is only
incompletely understood. We constructed KSHV genomes lacking either LANA (KSHV
DeltaLANA) or the IR region of LANA (KSHV-LANADelta329-931). Although still
capable of replicating a plasmid containing a latent origin of replication,
LANADelta329-931 does not support the establishment of stable cell lines
containing a KSHV genome. These findings suggest a role for the LANA IR in KSHV
episomal maintenance without its being required for replication.
PMID- 22090124
TI - Respiratory syncytial virus glycoprotein G interacts with DC-SIGN and L-SIGN to
activate ERK1 and ERK2.
AB - Respiratory syncytial virus (RSV) interaction with epithelial and dendritic cells
(DCs) is known to require divalent cations, suggesting involvement of C-type
lectins. RSV infection and maturation of primary human DCs are reduced in a dose
dependent manner by EDTA. Therefore, we asked whether RSV infection involves DC
SIGN (CD209) or its isoform L-SIGN (CD299) (DC-SIGN/R). Using surface plasmon
resonance analysis, we demonstrated that the attachment G glycoprotein of RSV
binds both DC- and L-SIGN. However, neutralization of DC- and L-SIGN on primary
human DCs did not inhibit RSV infection, demonstrating that interactions between
RSV G and DC- or L-SIGN are not required for productive infection. Thus, neither
DC- nor L-SIGN represents a functional receptor for RSV. However, inhibition of
these interactions increased DC activation, as evidenced by significantly higher
levels of alpha interferon (IFN-alpha), MIP-1alpha, and MIP-1beta in plasmacytoid
DCs (pDCs) exposed to RSV after neutralization of DC-and L-SIGN. To understand
the molecular interactions involved, intracellular signaling events triggered by
purified RSV G glycoprotein were examined in DC- and L-SIGN-transfected 3T3
cells. RSV G interaction with DC- or L-SIGN was shown to stimulate ERK1 and ERK2
phosphorylation, with statistically significant increases relative to mock
infected cells. Neutralization of DC- and L-SIGN reduced ERK1/2 phosphorylation.
With increased DC activation following DC- and L-SIGN neutralization and RSV
exposure, these data demonstrate that the signaling events mediated by RSV G
interactions with DC/L-SIGN are immunomodulatory and diminish DC activation,
which may limit induction of RSV-specific immunity.
PMID- 22090126
TI - Essential C-terminal region of the baculovirus minor capsid protein VP80 binds
DNA.
AB - The essential Autographa californica multicapsid nucleopolyhedrovirus (AcMNPV)
minor capsid protein VP80 has been recently shown to interact with the virus
triggered, nuclear F-actin cytoskeleton. A role for VP80 in virus morphogenesis
has been proposed in the maturation of progeny nucleocapsids and in their egress
from the virogenic stroma toward the nuclear periphery by a mechanism, which also
includes F-actin filaments. We performed functional mapping of VP80 demonstrating
that its highly conserved C-terminal region plays a crucial role in virion
morphogenesis. Protein database mining identified a putative basic helix-loop
helix (bHLH) domain, a DNA-binding module typical for eukaryotic transcription
factors, in the essential C-terminal region of VP80. Using a molecular modeling
approach, we predicted the three-dimensional structure of this domain, revealing
some unique properties. Biochemical assays proved that VP80 can form homodimers,
a critical prerequisite of DNA-binding bHLH proteins. The ability of VP80 to bind
DNA was subsequently confirmed by an electrophoretic mobility shift assay. We
further show that AcMNPV DNA replication occurs in the absence of VP80.
Immunolabeling of VP80 in baculovirus-infected cells rather points toward its
involvement in nucleocapsid maturation. The competence of VP80 to interact with
both F-actin and DNA provides novel insight into baculovirus morphogenesis.
PMID- 22090127
TI - Reassortment and mutation of the avian influenza virus polymerase PA subunit
overcome species barriers.
AB - The emergence of new pandemic influenza A viruses requires overcoming barriers to
cross-species transmission as viruses move from animal reservoirs into humans.
This complicated process is driven by both individual gene mutations and genome
reassortments. The viral polymerase complex, composed of the proteins PB1, PB2,
and PA, is a major factor controlling host adaptation, and reassortment events
involving polymerase gene segments occurred with past pandemic viruses. Here we
investigate the ability of polymerase reassortment to restore the activity of an
avian influenza virus polymerase that is normally impaired in human cells. Our
data show that the substitution of human-origin PA subunits into an avian
influenza virus polymerase alleviates restriction in human cells and increases
polymerase activity in vitro. Reassortants with 2009 pandemic H1N1 PA proteins
were the most active. Mutational analyses demonstrated that the majority of the
enhancing activity in human PA results from a threonine-to-serine change at
residue 552. Reassortant viruses with avian polymerases and human PA subunits, or
simply the T552S mutation, displayed faster replication kinetics in culture and
increased pathogenicity in mice compared to those containing a wholly avian
polymerase complex. Thus, the acquisition of a human PA subunit, or the signature
T552S mutation, is a potential mechanism to overcome the species-specific
restriction of avian polymerases and increase virus replication. Our data suggest
that the human, avian, swine, and 2009 H1N1-like viruses that are currently
cocirculating in pig populations set the stage for PA reassortments with the
potential to generate novel viruses that could possess expanded tropism and
enhanced pathogenicity.
PMID- 22090128
TI - Identification of new viral genes and transcript isoforms during Epstein-Barr
virus reactivation using RNA-Seq.
AB - Using an enhanced RNA-Seq pipeline to analyze Epstein-Barr virus (EBV)
transcriptomes, we investigated viral and cellular gene expression in the Akata
cell line following B-cell-receptor-mediated reactivation. Robust induction of
EBV gene expression was observed, with most viral genes induced >200-fold and
with EBV transcripts accounting for 7% of all mapped reads within the cell. After
induction, hundreds of candidate splicing events were detected using the junction
mapper TopHat, including a novel nonproductive splicing event at the gp350/gp220
locus and several alternative splicing events at the LMP2 locus. A more detailed
analysis of lytic LMP2 transcripts showed an overall lack of the prototypical
type III latency splicing events. Analysis of nuclear versus cytoplasmic RNA-Seq
data showed that the lytic forms of LMP2, EBNA-2, EBNA-LP, and EBNA-3A, -3B, and
3C have higher nuclear-to-cytoplasmic accumulation ratios than most lytic genes,
including classic late genes. These data raise the possibility that at least some
lytic transcripts derived from these latency gene loci may have unique, noncoding
nuclear functions during reactivation. Our analysis also identified two
previously unknown genes, BCLT1 and BCRT2, that map to the BamHI C-region of the
EBV genome. Pathway analysis of cellular gene expression changes following B-cell
receptor activation identified an inflammatory response as the top predicted
function and ILK and TREM1 as the top predicted canonical pathways.
PMID- 22090129
TI - Enhanced growth of influenza vaccine seed viruses in vero cells mediated by
broadening the optimal pH range for virus membrane fusion.
AB - Vaccination is one of the most effective preventive measures to combat influenza.
Prospectively, cell culture-based influenza vaccines play an important role for
robust vaccine production in both normal settings and urgent situations, such as
during the 2009 pandemic. African green monkey Vero cells are recommended by the
World Health Organization as a safe substrate for influenza vaccine production
for human use. However, the growth of influenza vaccine seed viruses is
occasionally suboptimal in Vero cells, which places limitations on their
usefulness for enhanced vaccine production. Here, we present a strategy for the
development of vaccine seed viruses with enhanced growth in Vero cells by
changing an amino acid residue in the stem region of the HA2 subunit of the
hemagglutinin (HA) molecule. This mutation optimized the pH for HA-mediated
membrane fusion in Vero cells and enhanced virus growth 100 to 1,000 times in the
cell line, providing a promising strategy for cell culture-based influenza
vaccines.
PMID- 22090130
TI - Genetic informational RNA is not required for recombinant prion infectivity.
AB - Whether a genetic informational nucleic acid is required for the infectivity of
transmissible spongiform encephalopathies is central to the debate about the
infectious agent. Here we report that an infectious prion formed with bacterially
expressed recombinant prion protein plus synthetic polyriboadenylic acid and
synthetic phospholipid 1-palmitoyl-2-oleoylphosphatidylglycerol is competent to
infect cultured cells and cause prion disease in wild-type mice. Our results show
that genetic informational RNA is not required for recombinant prion infectivity.
PMID- 22090131
TI - An overview of the vaccinia virus infectome: a survey of the proteins of the
poxvirus-infected cell.
AB - We have quantitatively profiled the proteins of vaccinia virus-infected HEK293T
cells early and late during vaccinia virus infection. Proteins corresponding to
4,326 accessions were identified, the products of 3,798 genes. One hundred thirty
six of the proteins were vaccinia virus-encoded (~64% of the known vaccinia virus
proteome). The remaining accessions were from the host cell. A total of 3,403 of
the 4,326 accessions could be confidently quantitated at the precursor peptide
level. Although vaccinia virus gene products spanned the entire abundance dynamic
range of the cellular proteome, nearly all of the proteome dynamics observed as a
result of infection were manifest in the virus gene products with very little
plasticity in the host cell proteome. The vaccinia virus gene products could be
grouped into four kinetic classes (i.e., four combinations of pre- and
postreplicative expression). These protein kinetic classes reflected, almost
entirely, the corresponding gene classes within the recently characterized
vaccinia virus transcriptome map. The few cellular gene products that showed
notable changes in abundance upon vaccinia virus infection were concentrated
largely in just a few functional groups. After all of the quantitated cellular
gene products were assigned to Gene Ontology (GO)-specific groups, quantitation
values for a number of these GO-specific groups were significantly skewed toward
over- or underabundance with respect to the global distribution of quantitation
values. Quantitative analysis of host cell functions reflected several known
facets of virus infection, along with some novel observations.
PMID- 22090132
TI - GRB2 interaction with the ecotropic murine leukemia virus receptor, mCAT-1,
controls virus entry and is stimulated by virus binding.
AB - For retroviruses such as HIV-1 and murine leukemia virus (MLV), active receptor
recruitment and trafficking occur during viral entry. However, the underlying
mechanisms and cellular factors involved in the process are largely
uncharacterized. The viral receptor for ecotropic MLV (eMLV), a classical model
for retrovirus infection mechanisms and pathogenesis, is mouse cationic amino
acid transporter 1 (mCAT-1). Growth factor receptor-bound protein 2 (GRB2) is an
adaptor protein that has been shown to couple cell surface receptors, such as
epidermal growth factor receptor (EGFR) and hepatocyte growth factor receptor, to
intracellular signaling events. Here we examined if GRB2 could also play a role
in controlling infection by retroviruses by affecting receptor function. The GRB2
RNA interference (RNAi)-mediated suppression of endogenous GRB2 resulted in a
consistent and significant reduction of virus binding and membrane fusion. The
binding between eMLV and cells promoted increased GRB2-mCAT-1 interactions, as
detected by immunoprecipitation. Consistently, the increased colocalization of
GRB2 and mCAT-1 signals was detected by confocal microscopy. This association was
time dependent and paralleled the kinetics of cell-virus membrane fusion.
Interestingly, unlike the canonical binding pattern seen for GRB2 and growth
factor receptors, GRB2-mCAT-1 binding does not depend on the GRB2-SH2 domain
mediated recognition of tyrosine phosphorylation on the receptor. The inhibition
of endogenous GRB2 led to a reduction in surface levels of mCAT-1, which was
detected by immunoprecipitation and by a direct binding assay using a recombinant
MLV envelope protein receptor binding domain (RBD). Consistent with this
observation, the expression of a dominant negative GRB2 mutant (R86K) resulted in
the sequestration of mCAT-1 from the cell surface into intracellular vesicles.
Taken together, these findings suggest a novel role for GRB2 in ecotropic MLV
entry and infection by facilitating mCAT-1 trafficking.
PMID- 22090133
TI - The highly conserved arginine residues at positions 76 through 78 of influenza A
virus matrix protein M1 play an important role in viral replication by affecting
the intracellular localization of M1.
AB - Influenza A virus matrix protein (M1) plays an important role in virus assembly
and budding. Besides a well-characterized basic amino acid-rich nuclear
localization signal region at positions 101 to 105, M1 contains another basic
amino acid stretch at positions 76-78 that is highly conserved among influenza A
and B viruses, suggesting the importance of this stretch. To understand the role
of these residues in virus replication, we mutated them to either lysine (K),
alanine (A), or aspartic acid (D). We could generate viruses possessing either
single or combination substitutions with K or single substitution with A at any
of these positions, but not those with double substitutions with A or a single
substitution with D. Viruses with the single substitution with A exhibited slower
growth and had lower nucleoprotein/M1 quantitative ratio in virions compared to
the wild-type virus. In cells infected with a virus possessing the single
substitution with A at position 77 or 78 (R77A or R78A, respectively), the
mutated M1 localized in patches at the cell periphery where nucleoprotein and
hemagglutinin colocalized more often than the wild-type did. Transmission
electron microscopy showed that virus possessing M1 R77A or R78A, but not the
wild-type virus, was present in vesicular structures, indicating a defect in
virus assembly and/or budding. The M1 mutations that did not support virus
generation exhibited an aberrant M1 intracellular localization and affected
protein incorporation into virus-like particles. These results indicate that the
basic amino acid stretch of M1 plays a critical role in influenza virus
replication.
PMID- 22090134
TI - Xpr1 is an atypical G-protein-coupled receptor that mediates xenotropic and
polytropic murine retrovirus neurotoxicity.
AB - Xenotropic murine leukemia virus-related virus (XMRV) was first identified in
human prostate cancer tissue and was later found in a high percentage of humans
with chronic fatigue syndrome (CFS). While exploring potential disease
mechanisms, we found that XMRV infection induced apoptosis in SY5Y human
neuroblastoma cells, suggesting a mechanism for the neuromuscular pathology seen
in CFS. Several lines of evidence show that the cell entry receptor for XMRV,
Xpr1, mediates this effect, and chemical cross-linking studies show that Xpr1 is
associated with the Gbeta subunit of the G-protein heterotrimer. The activation
of adenylate cyclase rescued the cells from XMRV toxicity, indicating that
toxicity resulted from reduced G-protein-mediated cyclic AMP (cAMP) signaling.
Some proteins with similarity to Xpr1 are involved in phosphate uptake into
cells, but we found no role of Xpr1 in phosphate uptake or its regulation. Our
results indicate that Xpr1 is a novel, atypical G-protein-coupled receptor (GPCR)
and that xenotropic or polytropic retrovirus binding can disrupt the cAMP
mediated signaling function of Xpr1, leading to the apoptosis of infected cells.
We show that this pathway is also responsible for the classic toxicity of the
polytropic mink cell focus-forming (MCF) retrovirus in mink cells. Although it
now seems clear that the detection of XMRV in humans was the result of sample
contamination with a recombinant mouse virus, our findings may have relevance to
neurologic disease induced by MCF retroviruses in mice.
PMID- 22090135
TI - The cellular antiviral restriction factor tetherin does not inhibit poxviral
replication.
AB - Interferon-stimulated genes fulfill innate antiviral effector functions. Among
them, tetherin (THN) blocks the release of many enveloped viruses from infected
cells. Vaccinia virus (VACV) encodes immune modulators interfering with antiviral
host responses. Therefore, it was tempting to study a potential VACV-THN
interaction. Remarkably, THN expression did not inhibit VACV release and
replication. VACV infection did not diminish THN surface levels or impair its
function on retroviral release. This suggests that THN is unable to restrict VACV
replication.
PMID- 22090136
TI - Cholesterol-rich microdomains as docking platforms for respiratory syncytial
virus in normal human bronchial epithelial cells.
AB - Respiratory syncytial virus (RSV) is one of the major causes of respiratory
infections in children, and it is the main pathogen causing bronchiolitis in
infants. The binding and entry mechanism by which RSV infects respiratory
epithelial cells has not yet been determined. In this study, the earliest stages
of RSV infection in normal human bronchial epithelial cells were probed by
tracking virions with fluorescent lipophilic dyes in their membranes. Virions
colocalized with cholesterol-containing plasma membrane microdomains, identified
by their ability to bind cholera toxin subunit B. Consistent with an important
role for cholesterol in RSV infection, cholesterol depletion profoundly inhibited
RSV infection, while cholesterol repletion reversed this inhibition. Merger of
the outer leaflets of the viral envelope and the cell membrane appeared to be
triggered at these sites. Using small-molecule inhibitors, RSV infection was
found to be sensitive to Pak1 inhibition, suggesting the requirement of a
subsequent step of cytoskeletal reorganization that could involve plasma membrane
rearrangements or endocytosis. It appears that RSV entry depends on its ability
to dock to cholesterol-rich microdomains (lipid rafts) in the plasma membrane
where hemifusion events begin, assisted by a Pak1-dependent process.
PMID- 22090137
TI - The V protein of mumps virus plays a critical role in pathogenesis.
AB - Mumps virus (MuV) causes an acute infection in humans characterized by a wide
array of symptoms ranging from relatively mild manifestations, such as parotitis,
to more-severe complications, such as meningitis and encephalitis. Widespread
mumps vaccination has reduced mumps incidence dramatically; however, outbreaks
still occur in vaccinated populations. The V protein of MuV, when expressed in
cell culture, blocks interferon (IFN) expression and signaling and interleukin-6
(IL-6) signaling. In this work, we generated a recombinant MuV incapable of
expressing the V protein (rMuVDeltaV). The rescued MuV was derived from a
clinical wild-type isolate from a recent outbreak in the United States
(MuV(Iowa/US/06), G genotype). Analysis of the virus confirmed the roles of V
protein in blocking IFN expression and signaling and IL-6 signaling. We also
found that the rMuV(Iowa/US/06)DeltaV virus induced high levels of IL-6
expression in vitro, suggesting that V plays a role in reducing IL-6 expression.
In vivo, the rMuV(Iowa/US/06)DeltaV virus was highly attenuated, indicating that
the V protein plays an essential role in viral virulence.
PMID- 22090139
TI - Disassembly of simian virus 40 during passage through the endoplasmic reticulum
and in the cytoplasm.
AB - The nonenveloped polyomavirus simian virus 40 (SV40) is taken up into cells by a
caveola-mediated endocytic process that delivers the virus to the endoplasmic
reticulum (ER). Within the ER lumen, the capsid undergoes partial disassembly,
which exposes its internal capsid proteins VP2 and VP3 to immunostaining with
antibodies. We demonstrate here that the SV40 genome does not become accessible
to detection while the virus is in the ER. Instead, the genome becomes accessible
two distinct detection procedures, one using anti-bromodeoxyuridine antibodies
and the other using a 5-ethynyl-2-deoxyuridine-based chemical reaction, only
after the emergence of partially disassembled SV40 particles in the cytoplasm.
These cytoplasmic particles retain some of the SV40 capsid proteins, VP1, VP2,
and VP3, in addition to the viral genome. Thus, SV40 particles undergo discrete
disassembly steps during entry that are separated temporally and topologically.
First, a partial disassembly of the particles occurs in the ER, which exposes
internal capsid proteins VP2 and VP3. Then, in the cytoplasm, disassembly
progresses further to also make the genomic DNA accessible to immune detection.
PMID- 22090138
TI - Distinct domains in ORF52 tegument protein mediate essential functions in murine
gammaherpesvirus 68 virion tegumentation and secondary envelopment.
AB - Epstein-Barr virus and Kaposi's sarcoma-associated herpesvirus are etiologically
associated with several types of human malignancies. However, as these two human
gammaherpesviruses do not replicate efficiently in cultured cells, the
morphogenesis of gammaherpesvirus virions is poorly understood. Murine
gammaherpesvirus 68 (MHV-68) provides a tractable model to define common,
conserved features of gammaherpesvirus biology. ORF52 of MHV-68 is conserved
among gammaherpesviruses. We have previously shown that this tegument protein is
essential for the envelopment and egress of viral particles and solved the
crystal structure of ORF52 dimers. To more closely examine its role in virion
maturation, we performed immunoelectron microscopy of MHV-68-infected cells and
found that ORF52 localized to both mature, extracellular virions and immature
viral particles in the cytoplasm. ORF52 consists of three alpha-helices followed
by one beta-strand. To understand the structural requirements for ORF52 function,
we constructed mutants of ORF52 and examined their ability to complement an ORF52
null MHV-68 virus. Mutations in conserved residues in the N-terminal alpha1-helix
and C terminus, or deletion of the alpha2-helix, resulted in a loss-of-function
phenotype. Furthermore, the alpha1-helix was crucial for the predominantly
punctate cytoplasmic localization of ORF52, while the alpha2-helix was a key
domain for ORF52 dimerization. Immunoprecipitation experiments demonstrated that
ORF52 interacts with another MHV-68 tegument protein, ORF42; however, a single
point mutation in R95 in the C terminus of ORF52 led to the loss of this
interaction. Moreover, the homologues of MHV-68 ORF52 in Kaposi's sarcoma
associated herpesvirus and Epstein-Barr virus complement the defect in ORF52-null
MHV-68 and interact with MHV-68 ORF52. Taken together, these data uncover the
relationship between the alpha-helical structure and the molecular basis for
ORF52 function. This is the first structure-based functional domain mapping study
for an essential gammaherpesvirus tegument protein.
PMID- 22090140
TI - Epigenetic regulation of the latency-associated region of Marek's disease virus
in tumor-derived T-cell lines and primary lymphoma.
AB - Meq is the major Marek's disease virus (MDV)-encoded oncoprotein and is essential
for T-cell lymphomagenesis. Meq and several noncoding RNAs, including three
microRNA (MiR) clusters, are expressed from the repeats of the MDV genome during
latent infection of T cells. To investigate the state of the chromatin in this
and flanking regions, we carried out chromatin immunoprecipitation (ChIP)
analysis of covalent histone modifications and associated bound proteins. T-cell
lines and a lymphoma were compared. The chromatin around the promoters for Meq
and the noncoding RNAs in both cell lines and the lymphoma were associated with
H3K9 acetylation and H3K4 trimethylation, which are marks of transcriptionally
active chromatin. These correlated with bound Meq-c-Jun heterodimers. The only
binding site for Meq homodimers is located at the lytic origin of replication
(OriLyt), next to the lytic gene pp38. This region lacked active marks and was
associated with repressive histone modifications (H3K27 and H3K9 trimethylation).
DNA CpG methylation was investigated using methylated DNA precipitation (MeDP).
In cell lines, DNA methylation was abundant across the repeats but noticeably
reduced or absent around the active promoters. In primary tumors, CpG methylation
occurred less than 2 months after infection, focused within the ICP4 gene. These
data suggest that nonrandom de novo DNA methylation occurs early in
lymphomagenesis. In addition, the histone data indicate a role for Meq in the
epigenetic regulation of the MDV genome repeats in transformed T cells and
suggest that the OriLyt region and the Meq/MiR region might be separated by
chromatin boundary elements, and preliminary data on CTCF binding are consistent
with this.
PMID- 22090141
TI - Dynamic chromatin environment of key lytic cycle regulatory regions of the
Epstein-Barr virus genome.
AB - The ability of Epstein-Barr virus (EBV) to establish latency allows it to evade
the immune system and to persist for the lifetime of its host; one distinguishing
characteristic is the lack of transcription of the majority of viral genes. Entry
into the lytic cycle is coordinated by the viral transcription factor, Zta
(BZLF1, ZEBRA, and EB1), and downstream effectors, while viral genome replication
requires the concerted action of Zta and six other viral proteins at the origins
of lytic replication. We explored the chromatin context at key EBV lytic cycle
promoters (BZLF1, BRLF1, BMRF1, and BALF5) and the origins of lytic replication
during latency and lytic replication. We show that a repressive heterochromatin
like environment (trimethylation of histone H3 at lysine 9 [H3K9me3] and lysine
27 [H3K27me3]), which blocks the interaction of some transcription factors with
DNA, encompasses the key early lytic regulatory regions. Epigenetic silencing of
the EBV genome is also imposed by DNA methylation during latency. The chromatin
environment changes during the lytic cycle with activation of histones H3, H4,
and H2AX occurring at both the origins of replication and at the key lytic
regulatory elements. We propose that Zta is able to reverse the effects of
latency-associated repressive chromatin at EBV early lytic promoters by
interacting with Zta response elements within the H3K9me3-associated chromatin
and demonstrate that these interactions occur in vivo. Since the interaction of
Zta with DNA is not inhibited by DNA methylation, it is clear that Zta uses two
routes to overcome epigenetic silencing of its genome.
PMID- 22090142
TI - Codelivery of the chemokine CCL3 by an adenovirus-based vaccine improves
protection from retrovirus infection.
AB - Processing and presentation of vaccine antigens by professional antigen
presenting cells (APCs) is of great importance for the efficient induction of
protective immunity. We analyzed whether the efficacy of an adenovirus-based
retroviral vaccine can be enhanced by coadministration of adenovirus-encoded
chemokines that attract and stimulate APCs. In the Friend retrovirus (FV) mouse
model we coexpressed CCL3, CCL20, CCL21, or CXCL14 from adenoviral vectors,
together with FV Gag and Env antigens, and then analyzed immune responses and
protection from pathogenic FV infection. Although most tested chemokines did not
improve protection against FV challenge, mice that received adenoviral vectors
encoding CCL3 together with FV antigens showed significantly better control over
viral loads and FV-induced disease than mice immunized with the viral antigens
only. Improved protection correlated with enhanced virus-specific CD4+ T cell
responses and higher neutralizing antibody titers. To apply these results to an
HIV vaccine, mice were immunized with adenoviral vectors encoding the HIV
antigens Env and Gag-Pol and coadministered vectors encoding CCL3. Again, this
combination vaccine induced higher virus-specific antibody titers and CD4+ T cell
responses than did the HIV antigens alone. These results indicate that
coexpression of the chemokine CCL3 by adenovirus-based vectors may be a promising
tool to improve antiretroviral vaccination strategies.
PMID- 22090143
TI - Binding of anti-membrane-proximal gp41 monoclonal antibodies to CD4-liganded and
unliganded human immunodeficiency virus type 1 and simian immunodeficiency virus
virions.
AB - The broadly neutralizing monoclonal antibodies (MAbs) 4E10, 2F5, and Z13e1 target
membrane-proximal external region (MPER) epitopes of HIV-1 gp41 in a manner that
remains controversial. The requirements for initial lipid bilayer binding and/or
CD4 ligation have been proposed. To further investigate these issues, we probed
for binding of these MAbs to human immunodeficiency virus type 1 (HIV-1) and
simian immunodeficiency virus (SIV) virions with protein A-conjugated gold (PAG)
nanoparticles using negative-stain electron microscopy. We found moderate levels
of PAG associated with unliganded HIV-1 and SIV virions incubated with the three
MAbs. Significantly higher levels of PAG were associated with CD4-liganded HIV-1
(epitope-positive) but not SIV (epitope-negative) virions. A chimeric SIV virion
displaying the HIV-1 4E10 epitope also showed significantly higher PAG
association after CD4 ligation and incubation with 4E10. MAbs accumulated rapidly
on CD4-liganded virions and slowly on unliganded virions, although both reached
similar levels in time. Anti-MPER epitope-specific binding was stable to washout.
Virions incubated with an irrelevant MAb or CD4-only (no MAb) showed negligible
PAG association, as did a vesicle-rich fraction devoid of virions. Preincubation
with Fab 4E10 inhibited both specific and nonspecific 4E10 IgG binding. Our data
provide evidence for moderate association of anti-MPER MAbs to viral surfaces but
not lipid vesicles, even in the absence of cognate epitopes. Significantly
greater MAb interaction occurs in epitope-positive virions following long
incubation or CD4 ligation. These findings are consistent with a two-stage
binding model where these anti-MPER MAbs bind first to the viral lipid bilayer
and then to the MPER epitopes following spontaneous or induced exposure.
PMID- 22090145
TI - [Quality of life after coronary intervention or bypass surgery : SYNTAX quality
of life study (synergy between PCI with taxus and cardiac surgery)].
PMID- 22090144
TI - Apoptosis induced by mammalian reovirus is beta interferon (IFN) independent and
enhanced by IFN regulatory factor 3- and NF-kappaB-dependent expression of Noxa.
AB - A variety of signal transduction pathways are activated in response to viral
infection, which dampen viral replication and transmission. These mechanisms
involve both the induction of type I interferons (IFNs), which evoke an antiviral
state, and the triggering of apoptosis. Mammalian orthoreoviruses are double
stranded RNA viruses that elicit apoptosis in vitro and in vivo. The
transcription factors interferon regulatory factor 3 (IRF-3) and nuclear factor
kappa light-chain enhancer of activated B cells (NF-kappaB) are required for the
expression of IFN-beta and the efficient induction of apoptosis in reovirus
infected cells. However, it is not known whether IFN-beta induction is required
for apoptosis, nor have the genes induced by IRF-3 and NF-kappaB that are
responsible for apoptosis been identified. To determine whether IFN-beta is
required for reovirus-induced apoptosis, we used type I IFN receptor-deficient
cells, IFN-specific antibodies, and recombinant IFN-beta. We found that IFN
synthesis and signaling are dispensable for the apoptosis of reovirus-infected
cells. These results indicate that the apoptotic response following reovirus
infection is mediated directly by genes responsive to IRF-3 and NF-kappaB. Noxa
is a proapoptotic BH3-domain-only protein of the Bcl-2 family that requires IRF-3
and NF-kappaB for efficient expression. We found that Noxa is strongly induced at
late times (36 to 48 h) following reovirus infection in a manner dependent on IRF
3 and NF-kappaB. The level of apoptosis induced by reovirus is significantly
diminished in cells lacking Noxa, indicating a key prodeath function for this
molecule during reovirus infection. These results suggest that prolonged innate
immune response signaling induces apoptosis by eliciting Noxa expression in
reovirus-infected cells.
PMID- 22090146
TI - Understanding glycomechanics using mathematical modeling: a review of current
approaches to simulate cellular glycosylation reaction networks.
AB - Following the footsteps of genomics and proteomics, recent years have witnessed
the growth of large-scale experimental methods in the field of glycomics. In
parallel, there has also been growing interest in developing Systems Biology
based methods to study the glycome. The combined goals of these endeavors is to
identify glycosylation-dependent mechanisms regulating human physiology, check
points that can control the progression of pathophysiology, and modifications to
reaction pathways that can result in more uniform biopharmaceutical processes. In
these efforts, mathematical models of N- and O-linked glycosylation have emerged
as paradigms for the field. While these are relatively few in number,
nevertheless, the existing models provide a basic framework that can be used to
develop more sophisticated analysis strategies for glycosylation in the future.
The current review surveys these computational models with focus on the
underlying mathematics and assumptions, and with respect to their ability to
generate experimentally testable hypotheses.
PMID- 22090147
TI - Hygiene hypothesis and autoimmune diseases.
AB - Throughout the twentieth century, there were striking increases in the incidences
of many chronic inflammatory disorders in the rich developed countries. These
included autoimmune disorders such as Type 1 diabetes and multiple sclerosis.
Although genetics and specific triggering mechanisms such as molecular mimicry
and viruses are likely to be involved, the increases have been so rapid that any
explanation that omits environmental change is incomplete. This chapter suggests
that a series of environmental factors, most of them microbial, have led to a
decrease in the efficiency of our immunoregulatory mechanisms because we are in a
state of evolved dependence on organisms with which we co-evolved (and that had
to be tolerated) as inducers of immunoregulatory circuits. These organisms ("Old
Friends") are depleted from the modern urban environment. Rather than considering
fetal programming by maternal microbial exposures, neonatal programming, the
hygiene hypothesis, gut microbiota, and diet as separate and competing
hypotheses, I attempt here to integrate these ideas under a single umbrella
concept that can provide the missing immunoregulatory environmental factor that
is needed to explain the recent increases in autoimmune disease.
PMID- 22090148
TI - Effect of herbal preparation on heavy metal (cadmium) induced antioxidant system
in female Wistar rats.
AB - Cadmium is one of the elements found to damage antioxidant systems in mammals. To
ameliorate cadmium toxicity and to prevent oxidative stress, natural products may
be useful. In Indian ethnobotanical practice, a mixture of 17 herbal products is
used to fortify the reproductive system of women after parturition and to reverse
ovarian oxidative stress. Oral administration of this extract to rats exposed to
cadmium was useful in reversing oxidative stress. Two different doses of cadmium
(50 ppm and 200 ppm) were given to Wistar rats aged 45 and 65 days. An herbal
extract derived from 17 plants was administered orally every day at a dose level
of 200 mg/kg of body weight to the rats exposed to cadmium. A battery of enzymes
involved in antioxidant activity in the ovary, including superoxide dismutase
(SOD), catalase, glutathione peroxidase (GPx) and glutathione-s-transferase (GST)
were measured in the control, cadmium-exposed rats without treatment and in the
cadmium-exposed rats treated with herbal extract. The reduction in SOD, catalase,
GPx and GST activity after cadmium exposure improved significantly in the rats
treated with the herbal extract (p < 0.05). The decrease of antioxidant enzymes
due to cadmium exposure was reversed significantly with herbal extract
administration. The synergistic effect of each bioactive compound in different
herbal extracts requires further study.
PMID- 22090149
TI - Autonomic dysfunction as a delayed sequelae of acute ethylene glycol ingestion :
a case report and review of the literature.
AB - Ethylene glycol poisoning is a common form of poisoning worldwide. The clinical
course of ethylene glycol poisoning usually follows a three-stage progression,
although these stages may overlap. A fourth stage of delayed neurological
dysfunction consisting of cranial neuropathies has been suggested in several case
reports. We describe a patient with unique findings of postural hypotension and
gastroparesis following ethylene glycol toxicity with the additional uncommon
features of albuminocytologic dissociation and increased intracranial pressure
with papilledema. In addition, we provide a review of the literature on delayed
neurological manifestations in ethylene glycol toxicity and further elucidate a
description of a fourth stage of delayed neurological dysfunction following
ethylene glycol poisoning.
PMID- 22090150
TI - Acamprosate modulates experimental autoimmune encephalomyelitis.
AB - OBJECTIVE: This pilot study aimed to determine the efficacy of acamprosate (N
acetyl homotaurine) in reducing the pathological features of experimental
autoimmune encephalomyelitis (EAE) which is an animal model for multiple
sclerosis (MS). BACKGROUND: The amino acid taurine has multiple biological
activities including immunomodulation and neuromodulation. The synthetic
acetylated taurine derivative, acamprosate, which crosses the blood-brain barrier
more readily compared to taurine, is currently being used for the prevention of
alcohol withdrawal symptoms associated with enhanced glutamatergic receptor
function and GABA receptor hypofunction. METHODS: EAE was induced in C57BL/6
female mice with myelin oligodendrocyte glyocoprotein, amino acid 35-55. Mice
were treated with 20, 100 and 500 mg/kg acamprosate for 21 days. RESULTS:
Neurological scores at disease peak were reduced by 21, 64 and 9% in the 20, 100
and 500 mg/kg groups, respectively. Neurological improvement in the 100 mg/kg
group correlated with a reduction in numbers of inflammatory lesions and the
extent of CNS demyelination. Blood TNF-alpha levels were significantly reduced in
the 500 mg/kg group. DISCUSSION: Acamprosate and other taurine analogs have a
potential for future MS therapy.
PMID- 22090151
TI - Associations between three characteristics of parent-youth relationships, youth
substance use, and dating attitudes.
AB - Various dimensions of parenting have been associated with youth risk behaviors,
such as substance use and dating violence. These associations have spawned many
prevention strategies that focus on parenting. However, it is unclear which
characteristics of parent-youth relationships, and thus, which parent-focused
prevention strategies, may be most influential in youth risk behaviors and, thus,
which should be targeted in prevention. Using responses from the YouthStyles 2007
survey (N = 1,357), this study identified three youth-reported parent-youth
relationship characteristics: communication about risk behaviors,
closeness/respect, and rules/monitoring. The authors examined the associations
among these characteristics and youths' demographics, attitudes supporting
controlling dating relationships, and use of alcohol, marijuana, and tobacco.
Results suggested risk behavior communication was more frequently reported by
girls and was more frequent among older youth. Closeness/respect and
rules/monitoring were more frequent among younger youth. Regressions suggested
communication about risk behaviors was not a predictor of attitudes supporting
controlling dating relationships but was a significant predictor of substance
use, closeness/respect and rules/monitoring predicted substance nonuse and
attitudes unsupportive of controlling dating relationships. The findings suggest
that parental communication alone may not be sufficient to influence youth risk
taking, but that parental monitoring and the establishment of respectful, close
relationships with children may be important elements of parent-focused health
promotion efforts.
PMID- 22090152
TI - Successful implementation of Thai Family Matters: strategies and implications.
AB - This article discusses the successful process used to assess the feasibility of
implementing the Family Matters program in Bangkok, Thailand. This is important
work since adopting and adapting evidence-based programs is a strategy currently
endorsed by leading prevention funding sources, particularly in the United
States. The original Family Matters consists of four booklets designed to
increase parental communication with their adolescent children in order to delay
onset of or decrease alcohol, tobacco, and other drug use. As part of the
program, health educators contact parents by telephone to support them in the
adoption of the program. Each booklet addresses a key aspect of strengthening
families and protecting young people from unhealthy behaviors related to alcohol
and other drug use. Adaptation of the program for Bangkok focused on cultural
relevance and the addition of a unit targeting adolescent dating and sexual
behavior. A total of 170 families entered the program, with the majority (85.3%)
completing all five booklets. On average, the program took 16 weeks to complete,
with families reporting high satisfaction with the program. This article provides
greater detail about the implementation process and what was learned from this
feasibility trial.
PMID- 22090154
TI - The use of clinical broadband UV radiometers for optical radiation hazard
measurements.
AB - The implementation of the UK Control of Artificial Optical Radiation at Work
Regulations 2010 requires the employer to perform a risk assessment of workers'
exposure to UV radiation from phototherapy equipment in the hospital environment.
The objective of this study was to demonstrate that, where the source spectrum is
known, an assessment of exposure to UV sources commonly used in hospitals,
including assessment of reflections and transmission through personal protective
equipment, may be performed with sufficient reliability by radiometer measurement
rather than by complex spectroradiometric measurements. An intercomparison of
radiometer and spectroradiometer measurements of exposure to UV sources was
carried out. Direct exposure was considered, as well as exposure to reflected or
scattered beams and that transmitted through eyewear. Assessment by radiometer of
direct exposure and exposure to reflections demonstrated an acceptable
measurement error in the context of the inherent uncertainty in the assumptions
of the exposure scenario. Assessment of transmitted beams may result in a greater
measurement error due to spectral mismatch; however, for typical exposure
scenarios the error remained acceptable in comparison with the exposure limit
value. The methodology presented reduces the complexity of the measurement of UV
hazard levels for common phototherapy equipment.
PMID- 22090153
TI - Challenges and opportunities for promoting booster seat use: progressive
dissemination of a high-threat message.
AB - Motivating parents to take certain safety precautions when traveling with their
children remains challenging for advocates. Caregivers of booster-aged children
are particularly difficult to reach because they do not consider their children
to be of "safety-seat" age and have inherently low perceptions of vulnerability
to crash injury. Unfortunately, most booster seat programs fail to adequately
motivate their intended population because they are primarily informational in
nature and rely on caregivers to seek out and attend to the information. In this
article, interventions using threat appeal tactics and progressive dissemination
methods are recommended to effectively target participation and perceptions of
vulnerability among this population. Recent research on risk communication
indicates that threat appeals are supported when they contain high threat and
high efficacy components. Threat appeal tactics are particularly desirable when
perception of vulnerability is low, as is the case with parents of booster-aged
children. In addition to theoretical arguments for more aggressive intervention
approaches, a case example is presented wherein such techniques were used to
promote booster seat use. The intervention resulted in significant increases in
knowledge, risk-reduction attitudes, sense of efficacy, and observed booster seat
use. Through use of progressive dissemination methods, the intervention has
reached an audience of 431,600 people and counting.
PMID- 22090155
TI - Impact of PIT tagging on recapture rates, body condition and reproductive success
of wild Daubenton's bats (Myotis daubentonii).
AB - A successful and safe methodology for the subcutaneous insertion of passive
integrated transponder (PIT) tags in a small- to medium-sized bat (average mass 9
g) under isoflurane-induced anaesthesia is described. Passive integrated
transponder (PIT) tagging had no significant impact on the rate of recapture,
body condition index (BCI) (bodyweight/forearm length) and reproductive success
of tagged individuals, and no visible injuries or health problems were observed
in any of the recaptured bats. Tagging success, in terms of retention and
function, was 92 per cent (n=61) by the third year of using the method. Sixteen
per cent (n=39) of bats tagged during the three-year study period were not
producing positive scans with the microchip reader when recaptured after
previously successful tag insertion, indicating that the tags were either working
their way out of the bats or ceasing to function.
PMID- 22090156
TI - Enhancing clinical learning in the workplace: a qualitative study.
AB - Workplace learning (WPL) is seen as an essential component of clinical veterinary
education by the veterinary profession. This study sought to understand this type
of learning experience more deeply. This was done utilising observations of
students on intramural rotations (IMR) and interviews with students and clinical
staff. WPL was seen as an opportunity for students to apply knowledge and develop
clinical and professional skills in what is generally regarded as a safe,
authentic environment. Clinical staff had clear ideas of what they expected from
students in terms of interest, engagement, professionalism, and active
participation, where this was appropriate. In contrast, students often did not
know what to expect and sometimes felt under-prepared when entering the
workplace, particularly in a new species area. With the support of staff acting
as mentors, students learned to identify gaps in their knowledge and skills,
which could then be addressed during specific IMR work placements. Findings such
as these illustrate both the complexities of WPL and the diversity of different
workplace settings encountered by the students.
PMID- 22090157
TI - Dermoid sinus type IV associated with spina bifida in a young Victorian bulldog.
PMID- 22090158
TI - Visual search deficits are independent of magnocellular deficits in dyslexia.
AB - The aim of this study was to investigate the theory that visual magnocellular
deficits seen in groups with dyslexia are linked to reading via the mechanisms of
visual attention. Visual attention was measured with a serial search task and
magnocellular function with a coherent motion task. A large group of children
with dyslexia (n = 70) had slower serial search times than a control group of
typical readers. However, the effect size was small (eta(p)(2) = 0.05)
indicating considerable overlap between the groups. When the dyslexia sample was
split into those with or without a magnocellular deficit, there was no difference
in visual search reaction time between either group and controls. The data
suggest that magnocellular sensitivity and visual spatial attention weaknesses
are independent of one another. They also provide more evidence of heterogeneity
in response to psychophysical tasks in groups with dyslexia. Alternative
explanations for poor performance on visual attention tasks are proposed along
with avenues for future research.
PMID- 22090159
TI - Epinephrine: a short- and long-term regulator of stress and development of
illness : a potential new role for epinephrine in stress.
AB - Epinephrine (Epi), which initiates short-term responses to cope with stress, is,
in part, stress-regulated via genetic control of its biosynthetic enzyme,
phenylethanolamine N-methyltransferase (PNMT). In rats, immobilization (IMMO)
stress activates the PNMT gene in the adrenal medulla via Egr-1 and Sp1
induction. Yet, elevated Epi induced by acute and chronic stress is associated
with stress induced, chronic illnesses of cardiovascular, immune, cancerous, and
behavioral etiologies. Major sources of Epi include the adrenal medulla and
brainstem. Although catecholamines do not cross the blood-brain barrier,
circulating Epi from the adrenal medulla may communicate with the central nervous
system and stress circuitry by activating vagal nerve beta-adrenergic receptors
to release norepinephrine, which could then stimulate release of the same from
the nucleus tractus solitarius and locus coeruleus. In turn, the basal lateral
amygdala (BLA) may activate to stimulate afferents to the hypothalamus,
neocortex, hippocampus, caudate nucleus, and other brain regions sequentially.
Recently, we have shown that repeated IMMO or force swim stress may evoke stress
resiliency, as suggested by changes in expression and extinction of fear memory
in the fear-potentiated startle paradigm. However, concomitant adrenergic changes
seem stressor dependent. Present studies aim to identify stressful conditions
that elicit stress resiliency versus stress sensitivity, with the goal of
developing a model to investigate the potential role of Epi in stress-associated
illness. If chronic Epi over expression does elicit illness, possibilities for
alternative therapeutics exist through regulating stress-induced Epi expression,
adrenergic receptor function and/or corticosteroid effects on Epi, adrenergic
receptors and the stress axis.
PMID- 22090161
TI - Sex, abortion and Obama.
PMID- 22090160
TI - Correlations between cholinesterase activity and cognitive scores in post
ischemic rats and patients with vascular dementia.
AB - The biochemical changes such as the activities of acetylcholinesterase (AChE) and
butyrylcholinesterase (BuChE) were investigated in rats with global cerebral
ischemia and in vascular dementia (VaD) subjects in this study. The AChE activity
showed a significant decrease in plasma and a significant increase in the
hippocampus but not in the cerebral cortices in the post-ischemic rats as
compared to the controls. The learning abilities and spatial memory were impaired
in the post-ischemic rats as compared to controls. Furthermore, the AChE activity
in plasma was significantly reduced in VaD subjects as compared to normal control
subjects. The BuChE activity did not show any change in both post-ischemic rats
and VaD patients. Interestingly, the decreased AChE activity in plasma from the
post-ischemic rats and the VaD subjects showed a significant correlation with the
declined learning and memory ability, and the Mini-Mental State Examination
score, respectively. These data suggest that the AChE activity is involved in the
cognitive recovery after ischemia, and the plasma level of AChE might be a
reliable supplementary peripheral biomarker to evaluate the cognitive recovery
degree of VaD patients.
PMID- 22090162
TI - Advances in intrauterine technique training.
PMID- 22090163
TI - Assessment of valvular calcification and inflammation by positron emission
tomography in patients with aortic stenosis.
AB - BACKGROUND: The pathophysiology of aortic stenosis is incompletely understood,
and the relative contributions of valvular calcification and inflammation to
disease progression are unknown. METHODS AND RESULTS: Patients with aortic
sclerosis and mild, moderate, and severe stenosis were compared prospectively
with age- and sex-matched control subjects. Aortic valve severity was determined
by echocardiography. Calcification and inflammation in the aortic valve were
assessed by 18F-sodium fluoride (18F-NaF) and 18F-fluorodeoxyglucose (18F-FDG)
uptake with the use of positron emission tomography. One hundred twenty-one
subjects (20 controls; 20 aortic sclerosis; 25 mild, 33 moderate, and 23 severe
aortic stenosis) were administered both 18F-NaF and 18F-FDG. Quantification of
tracer uptake within the valve demonstrated excellent interobserver repeatability
with no fixed or proportional biases and limits of agreement of +/-0.21 (18F-NaF)
and +/-0.13 (18F-FDG) for maximum tissue-to-background ratios. Activity of both
tracers was higher in patients with aortic stenosis than in control subjects (18F
NaF: 2.87+/-0.82 versus 1.55+/-0.17; 18F-FDG: 1.58+/-0.21 versus 1.30+/-0.13;
both P<0.001). 18F-NaF uptake displayed a progressive rise with valve severity
(r(2)=0.540, P<0.001), with a more modest increase observed for 18F-FDG
(r(2)=0.218, P<0.001). Among patients with aortic stenosis, 91% had increased 18F
NaF uptake (>1.97), and 35% had increased 18F-FDG uptake (>1.63). A weak
correlation between the activities of these tracers was observed (r(2)=0.174,
P<0.001). CONCLUSIONS: Positron emission tomography is a novel, feasible, and
repeatable approach to the evaluation of valvular calcification and inflammation
in patients with aortic stenosis. The frequency and magnitude of increased tracer
activity correlate with disease severity and are strongest for 18F-NaF. CLINICAL
TRIAL REGISTRATION: http://www.clinicaltrials.gov. Unique identifier:
NCT01358513.
PMID- 22090164
TI - Look more closely at the valve: imaging calcific aortic valve disease.
PMID- 22090165
TI - Loss-of-function sodium channel mutations in infancy: a pattern unfolds.
PMID- 22090166
TI - Brugada-like syndrome in infancy presenting with rapid ventricular tachycardia
and intraventricular conduction delay.
AB - BACKGROUND: Brugada syndrome is a potentially serious channelopathy that usually
presents in adulthood and has only rarely been described in infancy. In the
absence of metabolic or structural cardiac disease, rapid ventricular tachycardia
(>200 bpm) and primary cardiac conduction disease are uncommon in infancy. We
hypothesized that infants having rapid ventricular tachycardia and conduction
abnormalities and not having structural or metabolic pathogeneses were likely to
have mutations in depolarizing current channels. METHODS AND RESULTS: A
retrospective review of all clinical materials from a single institution over a 9
year period from all infants <2 years old and having a discharge diagnosis of
ventricular tachycardia or ventricular fibrillation was performed. Among 32
infants fulfilling inclusion criteria, 12 had a structurally normal heart, and 9
of them had either prolonged QRS duration or Brugada pattern while in sinus
rhythm. Of those 5 infants not having a definitive pathogenesis,
electrophysiological testing had been performed in 4, and genetic testing had
been performed in all 5 of those infants. During electrophysiological testing, a
prolonged HV interval was present in 2 of 4, inducible ventricular tachycardia
was present in 1 of 4, and a type 1 Brugada pattern was induced by intravenous
procainamide in 3 of 4. Genetic testing revealed disease-causing mutations in
depolarizing sodium (SCN5A) or calcium (CaCNB2b) channels in all 5 infants.
CONCLUSIONS: Infants having rapid ventricular tachycardia and conduction
abnormalities in the absence of structural or metabolic abnormalities are likely
to have disease-causing mutations in cardiac depolarizing channels.
PMID- 22090167
TI - Colchicine reduces postoperative atrial fibrillation: results of the Colchicine
for the Prevention of the Postpericardiotomy Syndrome (COPPS) atrial fibrillation
substudy.
AB - BACKGROUND: Inflammation and pericarditis may be contributing factors for
postoperative atrial fibrillation (POAF), and both are potentially affected by
antiinflammatory drugs and colchicine, which has been shown to be safe and
efficacious for the prevention of pericarditis and the postpericardiotomy
syndrome (PPS). The aim of the Colchicine for the Prevention of the Post
Pericardiotomy Syndrome (COPPS) POAF substudy was to test the efficacy and safety
of colchicine for the prevention of POAF after cardiac surgery. METHODS AND
RESULTS: The COPPS POAF substudy included 336 patients (mean age, 65.7+/-12.3
years; 69% male) of the COPPS trial, a multicenter, double-blind, randomized
trial. Substudy patients were in sinus rhythm before starting the intervention
(placebo/colchicine 1.0 mg twice daily starting on postoperative day 3 followed
by a maintenance dose of 0.5 mg twice daily for 1 month in patients >=70 kg,
halved doses for patients <70 kg or intolerant to the highest dose). The substudy
primary end point was the incidence of POAF on intervention at 1 month. Despite
well-balanced baseline characteristics, patients on colchicine had a reduced
incidence of POAF (12.0% versus 22.0%, respectively; P=0.021; relative risk
reduction, 45%; number needed to treat, 11) with a shorter in-hospital stay
(9.4+/-3.7 versus 10.3+/-4.3 days; P=0.040) and rehabilitation stay (12.1+/-6.1
versus 13.9+/-6.5 days; P=0.009). Side effects were similar in the study groups.
CONCLUSION: Colchicine seems safe and efficacious in the reduction of POAF with
the potentiality of halving the complication and reducing the hospital stay.
PMID- 22090168
TI - Supervised exercise versus primary stenting for claudication resulting from
aortoiliac peripheral artery disease: six-month outcomes from the claudication:
exercise versus endoluminal revascularization (CLEVER) study.
AB - BACKGROUND: Claudication is a common and disabling symptom of peripheral artery
disease that can be treated with medication, supervised exercise (SE), or stent
revascularization (ST). METHODS AND RESULTS: We randomly assigned 111 patients
with aortoiliac peripheral artery disease to receive 1 of 3 treatments: optimal
medical care (OMC), OMC plus SE, or OMC plus ST. The primary end point was the
change in peak walking time on a graded treadmill test at 6 months compared with
baseline. Secondary end points included free-living step activity, quality of
life with the Walking Impairment Questionnaire, Peripheral Artery Questionnaire,
Medical Outcomes Study 12-Item Short Form, and cardiovascular risk factors. At
the 6-month follow-up, change in peak walking time (the primary end point) was
greatest for SE, intermediate for ST, and least with OMC (mean change versus
baseline, 5.8+/-4.6, 3.7+/-4.9, and 1.2+/-2.6 minutes, respectively; P<0.001 for
the comparison of SE versus OMC, P=0.02 for ST versus OMC, and P=0.04 for SE
versus ST). Although disease-specific quality of life as assessed by the Walking
Impairment Questionnaire and Peripheral Artery Questionnaire also improved with
both SE and ST compared with OMC, for most scales, the extent of improvement was
greater with ST than SE. Free-living step activity increased more with ST than
with either SE or OMC alone (114+/-274 versus 73+/-139 versus -6+/-109 steps per
hour), but these differences were not statistically significant. CONCLUSIONS: SE
results in superior treadmill walking performance than ST, even for those with
aortoiliac peripheral artery disease. The contrast between better walking
performance for SE and better patient-reported quality of life for ST warrants
further study. CLINICAL TRIAL REGISTRATION: URL:
http://clinicaltrials.gov/ct/show/NCT00132743?order=1. Unique identifier:
NCT00132743.
PMID- 22090169
TI - Metabolic restriction of cancer cells in vitro causes karyotype contraction--an
indicator of cancer promotion?
AB - The metabolism of cultured cancer cells is stimulated by 21% oxygen and generous
nutrition, while real tumors grow in oxygen and nutrient-restricted environments.
The effect of these contrasted conditions was studied in five hyperploid (54-69)
cancer cell lines. When grown under anoxia and in the presence of antioxidant
metabolic restrictors, the cell lines quickly reverted to almost normal
chromosome numbers (47-49). The stepped withdrawal of oxygen over K562 showed
progressive increases in proliferation rate and the acquisition of a stable, stem
phenotype. In genetic studies, hyperploid cancer cells adjusted their chromosome
numbers up or down to match their micro-environment through rapid mechanisms of
endo-reduplication or chromosome loss. These fast reactions may explain the
surprising adaptability of tumor cells to oncologic interventions. Furthermore,
karyotype contraction may provide a basis for the previously observed
carcinogenic influence of the administration of some antioxidants in human
populations.
PMID- 22090170
TI - De novo sequencing and homology searching.
AB - In proteomics, de novo sequencing is the process of deriving peptide sequences
from tandem mass spectra without the assistance of a sequence database. Such
analyses have traditionally been performed manually by human experts, and more
recently by computer programs that have been developed because of the need for
higher throughput. Although powerful, de novo sequencing often can only determine
partially correct sequence tags because of imperfect tandem mass spectra.
However, these sequence tags can then be searched in a sequence database to
identify the exact or a homologous peptide. Homology searches are particularly
useful for the study of organisms whose genomes have not been sequenced. This
tutorial will present background important to understanding de novo sequencing,
suggestions on how to do this manually, plus descriptions of computer algorithms
used to automate this process and to subsequently carryout homology-based
database searches. This Tutorial is part of the International Proteomics Tutorial
Programme (IPTP 1).
PMID- 22090172
TI - Systemic administration of LPS worsens delayed deterioration associated with
vasospasm after subarachnoid hemorrhage through a myeloid cell-dependent
mechanism.
AB - BACKGROUND: Delayed deterioration associated with vasospasm (DDAV) after
aneurismal subarachnoid hemorrhage (SAH) is a major cause of morbidity. We have
previously shown that myeloid cell depletion before experimental SAH in a murine
model ameliorates DDAV. In this study, we address whether systemic administration
of lipopolysaccharide (LPS) worsens DDAV in a myeloid cell-dependent fashion.
METHODS: We challenged mice in our experimental SAH model with LPS before
hemorrhage and evaluated the degree of vasospasm on day 6 with India ink
angiography; behavioral deficits by rotorod, Y-maze, and Barnes maze testing;
microglial activation early after SAH by immunohistochemistry; and the brain
levels of the chemokines CCL5 and KC at the time of vasospasm. Another group of
animals were given the myeloid cell-depleting antibody against the neutrophil
antigen Ly6G/C prior to LPS administration and SAH. RESULTS: LPS followed by SAH
significantly worsens angiographic vasospasm as well as performance on the Barnes
maze but not the Y-maze or rotorod tests. There was an increased activation of
microglia in animals with LPS before SAH compared to SAH alone. Depletion of
myeloid cells before LPS administration inhibited the development of vasospasm,
improved the performance on behavioral tests, and reduced microglial activation.
The chemokines CCL5 and KC were incrementally elevated in SAH and LPS SAH, but
suppressed in animals with myeloid cell depletion. CONCLUSIONS: LPS
administration before SAH worsens DDAV through a myeloid cell-dependent mechanism
supporting studies in humans which show that systemic inflammation increases the
likelihood of developing DDAV.
PMID- 22090171
TI - Hyperosmolar therapy for intracranial hypertension.
AB - The use of hyperosmolar agents for intracranial hypertension was introduced in
the early 20th century and remains a mainstay of therapy for patients with
cerebral edema. Both animal and human studies have demonstrated the efficacy of
two hyperosmolar agents, mannitol and hypertonic saline, in reducing intracranial
pressure via volume redistribution, plasma expansion, rheologic modifications,
and anti-inflammatory effects. However, because of physician and institutional
variation in therapeutic practices, lack of standardized protocols for initiation
and administration of therapy, patient heterogeneity, and a paucity of randomized
controlled trials have yielded little class I evidence on which clinical
decisions can be based, most current evidence regarding the use of hyperosmolar
therapy is derived from retrospective analyses (class III) and case series (class
IV). In this review, we summarize the available evidence regarding the use of
hyperosmolar therapy with mannitol or hypertonic saline for the medical
management of intracranial hypertension and present a comprehensive discussion of
the evidence associated with various theoretical and practical concerns related
to initiation, dosage, and monitoring of therapy.
PMID- 22090173
TI - The impact of low vision on activities of daily living, symptoms of depression,
feelings of anxiety and social support in community-living older adults seeking
vision rehabilitation services.
AB - PURPOSE: Previous studies showed that older persons with vision loss generally
reported low levels of health-related quality of life, although study outcomes
with respect to feelings of anxiety and social support were inconsistent. The
objective of this study was to examine the impact of low vision on health-related
quality of life, including feelings of anxiety and social support, among
community-living older adults seeking vision rehabilitation services. METHODS:
Differences of activities of daily living (Groningen Activity Restriction Scale
GARS), symptoms of depression and feelings of anxiety (Hospital Anxiety and
Depression Scales-HADS) and social support (Social Support Scale Interactions
SSL12-I) between 148 older persons >=57 years with low vision and a reference
population (N = 4,792) including eight patient groups with different chronic
conditions were tested with Student's t tests. RESULTS: Older persons with vision
loss reported poorer levels of functioning with respect to activities of daily
living, symptoms of depression and feelings of anxiety as compared to the general
older population as well as compared to older patients with different chronic
conditions. In contrast, older persons with vision loss reported higher levels of
social support. CONCLUSIONS: Vision loss has a substantial impact on activities
of daily living, symptoms of depression and feelings of anxiety. Professionals
working at vision rehabilitation services may improve their quality of care as
they take such information into account in their intervention work.
PMID- 22090175
TI - "Aspirin - resistance"? A few critical considerations on definition, terminology,
diagnosis, clinical value, natural course of atherosclerotic disease, and
therapeutic consequences.
AB - Based upon various platelet function tests and the fact that patients experience
vascular events despite taking acetylsalicylic acid (ASA or aspirin), it has been
suggested that patients may become resistant to the action of this
pharmacological compound. However, the term "aspirin resistance" was created
almost two decades ago but is still not defined. Platelet function tests are not
standardized, providing conflicting information and cut-off values are
arbitrarily set. Interest comparison reveals low agreement. Even point of care
tests have been introduced before appropriate validation. Inflammation may
activate platelets, co-medication(s) may interfere significantly with aspirin
action on platelets. Platelet function and Cox-inhibition are only some of the
effects of aspirin on haemostatic regulation. One single test is not reliable to
identify an altered response. Therefore, it may be more appropriate to speak
about "treatment failure" to aspirin therapy than using the term "aspirin
resistance". There is no evidence based justification from either the laboratory
or the clinical point of view for platelet function testing in patients taking
aspirin as well as from an economic standpoint. Until evidence based data from
controlled studies will be available the term "aspirin resistance" should not be
further used. A more robust monitoring of factors resulting in cardiovascular
events such as inflammation is recommended.
PMID- 22090174
TI - Vaccines and immunomodulatory therapies for food allergy.
AB - The apparent increase in food allergy prevalence has led to a surge in the amount
of clinical and basic science research dedicated to the field. At the current
time, allergen avoidance remains the cornerstone of treatment; however, recent
clinical trials investigating various forms of immunotherapy have opened doors to
the possible future application of an active treatment strategy in everyday
practice. In addition, improvements in molecular biology have allowed researchers
to purify, clone, and modify allergens, thus laying the groundwork for research
on vaccines using modified proteins of decreased allergenicity. Finally, various
allergen-nonspecific immunomodulatory therapies are also being investigated as a
means to alter the immune response to food allergens. With these emerging
therapeutic strategies, it is hoped that practitioners will have options in
caring for their food-allergic patients in the near future.
PMID- 22090176
TI - Vascular anomalies in children.
AB - Vascular anomalies are divided in two major categories: tumours (such as
infantile hemangiomas) and malformations. Hemangiomas are common benign neoplasms
that undergo a proliferative phase followed by stabilization and eventual
spontaneous involution, whereas vascular malformations are rare structural
anomalies representing morphogenetic errors of developing blood vessels and
lymphatics. It is important to properly diagnose vascular anomalies early in
childhood because of their distinct differences in morbidity, prognosis and need
for a multidisciplinary management. We discuss a number of characteristic
clinical features as clues for early diagnosis and identification of associated
syndromes.
PMID- 22090177
TI - Intramural delivery of bortezomib inhibits restenosis following arterial injury.
AB - BACKGROUND: Several studies have demonstrated that the proteasome inhibitors
prevent restenosis following arterial injury. The proteasome inhibitor bortezomib
shows anti-inflammatory and antiproliferative effects. Here, we evaluate the
efficacy of bortezomib in inhibiting the restenosis following arterial injury and
the effect on nuclear factor kappa B (NF-kappaB) and p27. METHODS: An injured
iliac artery rabbit model was established by balloon over-stretching. Rabbits
were intramurally infused with bortezomib or normal saline by a transport
coronary dilatation catheter in the bortezomib (n = 20) or control (n = 20)
groups, respectively, and they were sacrificed on the 7th or 21th day following
the arterial injury. Neointimal area was measured by computer analysis of
photomicrographs, while expression of NF-kappaB and of p27 on day 7 were
evaluated by Western blotting and immunohistochemistry, respectively. RESULTS:
Expression of p27 (56.10 +/- 3.03% vs. 10.24 +/- 0.60%, p < 0.05) was
significantly higher while that of NF-kappaB (0.44 +/- 0.02 vs. 0.70 +/- 0.03, p
< 0.05) was significantly lower in the bortezomib group than in control group on
day 7 after arterial injury. Neointimal formation was significantly lower in the
bortezomib group on day 21 after arterial injury (0.67 +/- 0.03 vs. 1.30 +/- 0.05
intima/media ratio, p < 0.05). CONCLUSIONS: Intramural delivery of bortezomib
reduces neointimal formation, possibly via a mechanism involving upregulation of
the p27 and downregulation of the NF-kappaB. Bortezomib therefore may be an
alternative therapeutic approach for preventing restenosis.
PMID- 22090178
TI - Patient specific biomodel of the whole aorta - the importance of calcified plaque
removal.
AB - BACKGROUND: The use of anatomical models produced by 3D printing technique (rapid
prototyping, RP) is gaining increased acceptance as a complementary tool for
planning complex surgical interventions. This paper describes a method for
creating a patient specific replica of the whole aorta. METHODS: Computed
tomography angiography (CTA) DICOM-data was converted to a three-dimensional
computer aided design-model (CAD) of the inner wall of the aorta representing the
lumen where the calcified plaque contribution was removed in a multi-step editing
manoeuvre. The edited CAD-model was used for creating a physical plaster model of
the true lumen in a 3D-printer. Elastic and transparent silicon was applied onto
the plaster model, which was then removed leaving a silicon replica of the aorta.
RESULTS: The median (interquartile range) difference between diameters obtained
from CTA- and RP plaster-model at 19 predefined locations was 0.5 mm (1 mm) which
corresponds to a relative median difference of 4.6% (7.0%). The average wall
thickness of the silicone model was 3.5 mm. The elasticity property and
performance during intervention was good with an acceptable transparency.
CONCLUSIONS: The integration of RP-techniques with CAD based reconstruction of 3D
medical imaging data provides the needed tools for making a truly patient
specific replica of the whole aorta with high accuracy. Plaque removal
postprocessing is necessary to obtain a true inner wall configuration.
PMID- 22090179
TI - Results of combined preoperative direct percutaneous embolization and surgical
excision in treatment of carotid body tumors.
AB - BACKGROUND: Carotid body tumors are infrequent neoplasms in daily practice.
Diagnostic difficulties exist because of their slow growth and asymptomatic
progress. The surgical treatment is complicated and difficult due to their
proximity to vascular and neural structures. In this study we present the results
of 12 patients operated for carotid body tumor that underwent preoperative
percutaneous direct embolization. PATIENTS AND METHODS: The data of 12 patients,
diagnosed with carotid body tumor and surgically treated at our department
between 2000 and 2010, was retrospectively analyzed. Duplex ultrasound,
computerized tomography and selective carotid angiography were the applied
diagnostic tools. Two days before the planned surgery all patients underwent
percutaneous direct embolization to achieve devascularization of the tumor.
Afterwards, surgical excision of the mass under general anesthesia was performed
in all cases. RESULTS: Five tumors were classified as Shamblin type I (41.7%),
and the others were type II (58.3%). All masses were removed sub-adventitially
and no vascular reconstruction was necessary. There was no mortality and no
permanent neural damage. Malfunction of the tongue was observed in only one case,
which recovered completely in one month. There was one recurrence detected by
Duplex ultrasound and angiography during the follow up period. CONCLUSIONS:
Surgical excision is mandatory to be performed as soon as diagnosed in carotid
body tumors. Preoperative direct percutaneous embolization of the mass helps to
devascurize the tumor, enabling an optimal surgical procedure. The outcomes of
such a combined intervention are excellent and neurovascular structure
preservation decreases complication and morbidity rates.
PMID- 22090180
TI - The concept of an anatomy related individual arterial access: lowering technical
and clinical complications with transradial access in bovine- and type-III aortic
arch carotid artery stenting.
AB - BACKGROUND: Carotid artery stenting (CAS) from the femoral approach can be
anatomically very difficult and the incidence of complications is higher in
patients with anatomical variations of the aortic arch, difficulties related to
peripheral vascular disease and/or with access site complications. Because the
typical morphology in patients with a bovine- or type-III aortic arch applies for
an arterial access from the right upper extremity (e.g. radial, brachial) we
evaluated success rates and safety of the right transradial access in a
prospective study. PATIENTS AND METHODS: Between June 2009 and October 2010,
seventeen patients (mean age 74,4 +/- 9 years, 10 male) with a bovine- (n = 4) or
type-III aortic arch (n = 12) underwent CAS with a planned transradial- (n = 3)
or after problematic transfemoral access (n = 14). In patients with a type-III
aortic arch (n = 13), the right target common carotid artery (CCA) was cannulated
from the right radial artery with a 5F IMA diagnostic catheter-, in patients with
a bovine aortic arch (n = 4), the left CCA was accessed from the right radial
artery with a 5F Amplatz- or Judkins left catheter. In all patients a 6F- (n =
14) or 5F- (n = 3) shuttle sheath was inserted via the diagnostic catheter and a
0.035? extra-stiff guidewire. All interventions were carried out with the use of
a peripheral embolization protection device (EPD). Primary study endpoints were
procedural success and major adverse cardiac and cerebrovascular events (MACCE),
secondary endpoints were access site complications and the mean intervention
time. RESULTS: Procedural success could be achieved in all patients (100 %),
MACCE and access site complications did not occur in any patient. Mean
interventional time was 48 +/- 18 min. CONCLUSIONS: CAS using the right
transradial approach for left CAS in bovine-type aortic arch or the right
transradial approach in type-III aortic arch for right CAS appears to be safe and
technically feasible.
PMID- 22090181
TI - Surgical reconstruction for unilateral iliac artery lesions in patients younger
than 50 years.
AB - BACKGROUND: To evaluate safety, short and long-term graft patency, clinical
success rates, and factors associated with patency, limb salvage and mortality
after surgical reconstruction in patients younger than 50 years of age who had
undergone unilateral iliac artery bypass surgery. PATIENTS AND METHODS: From
January 2000 to January 2010, 65 consecutive reconstructive vascular operations
were performed in 22 women and 43 men of age < 50 years with unilateral iliac
atherosclerotic lesions and claudication or chronic limb ischemia. All patients
were followed at 1, 3, 6, and 12 months after surgery and every 6 months
thereafter. RESULTS: There was in-hospital vascular graft thrombosis in four (6.1
%) patients. No in-hospital deaths occurred. Median follow-up was 49.6 +/- 33
months. Primary patency rates at 1-, 3-, 5-, and 10-year were 92.2 %, 85.6 %,
73.6 %, and 56.5 %, respectively. Seven patients passed away during follow-up of
which four patients due to coronary artery disease, two patients due to
cerebrovascular disease and one patient due to malignancy. Limb salvage rate
after 1-, 3-, 5-, and 10-year follow-up was 100 %, 100 %, 96.3 %, and 91.2 %,
respectively. Cox regression analysis including age, sex, risk factors for
vascular disease, indication for treatment, preoperative ABI, lesion length,
graft diameter and type of pre-procedural lesion (stenosis/occlusion), showed
that only age (beta - 0.281, expected beta 0.755, p = 0.007) and presence of
diabetes mellitus during index surgery (beta - 1.292, expected beta 0.275, p =
0.026) were found to be significant predictors of diminishing graft patency
during the follow-up. Presence of diabetes mellitus during index surgery (beta -
1.246, expected beta 0.291, p = 0.034) was the only variable predicting
mortality. CONCLUSIONS: Surgical treatment for unilateral iliac lesions in
patients with premature atherosclerosis is a safe procedure with a low operative
risk and acceptable long-term results. Diabetes mellitus and age at index surgery
are predictive for low graft patency. Presence of diabetes is associated with
decreased long-term survival.
PMID- 22090182
TI - Percutaneous transluminal angioplasty versus primary stenting in infrapopliteal
arteries in critical limb ischemia.
AB - BACKGROUND: In endovascular recanalisation of infrapopliteal arteries, studies
have already pointed out the value of balloon angioplasty, but for stent
implantation very few randomized controlled data exist so far. PATIENTS AND
METHODS: We conducted a randomized controlled prospective trial in patients with
critical limb ischemia (CLI) comparing the effect of percutaneous transluminal
balloon angioplasty (PTA) versus primary stenting in infrapopliteal arteries,
concerning 1-year clinical benefit and reobstruction rate. RESULTS: 54 patients
were either randomized for primary stenting (balloon expandable stent) or PTA
alone, 33 patients were assigned to the PTA group, 21 patients to the stent
group. The whole follow up period of 12 months was completed by 46 patients.
Improvement by at least one Rutherford classification was reached by a total of
33 (75.0 %) of patients at month 12, 22 (81.5 %) in the PTA group and 11 (64.7 %)
in the stent group. A complete ulcer healing at month 12 showed 21 (63.6 %) of
all patients, with a higher percentage in patients treated with PTA alone 16
(80.0 %) vs 5 (38.5 %). 50.0 % of all patients showed re-obstruction over the
follow-up period, 39.4 % of the PTA and 66.7 % of the stent group. At month 3
primary patency rate was nearly equal in both groups (76.7 % PTA vs 75.0 %
stent), but drifted apart with the duration of the follow-up period, with a
primary patency at month 12 in the PTA group of 48,1 % vs 35,3 % in the stent
group. As for secondary patency at month 12 the PTA group showed a patency rate
of 70.4 %, vs 52.9 % in the stent group. CONCLUSIONS: Primary stenting with
balloon expandable stents in the infrapopliteal arteries does not outway the
benefit of PTA alone with the application of modern hydrophilic balloon catheters
in patients with CLI.
PMID- 22090183
TI - Pseudoaneurysm of the left internal carotid artery following tonsillectomy.
AB - Internal carotid artery pseudoaneurysm is a rare complication of deep neck
infections. The authors report the case of a 17-year-old male who presented to
the Department of Otorhinolaryngology with an acute tonsillitis requiring
tonsillectomy. Four weeks after the surgery the patient was readmitted because of
progressive swallowing, trismus, and worsening headache. Computed tomography
revealed a pseudoaneurysm of the left internal carotid artery in the extracranial
segment. A bare Wallstent was implanted primarily and a complete occlusion of the
pseudoaneurysm was achieved. The endovascular approach is a quick and safe method
for the treatment of a pseudoaneurysm of the internal carotid artery.
PMID- 22090184
TI - Synchronous celiac axis and superior mesenteric artery embolism.
AB - We present a case of combined celiac axis and superior mesenteric artery embolism
in a 70-year-old patient that was examined in emergency department for atrial
fibrillation and diffuse abdominal pain. Standard abdominal x-ray showed air in
the portal vein. CT scan with contrast showed air in the lumen of the stomach and
small intestine, bowel distension with wall thickening, and a free gallstone in
the abdominal cavity. Massive embolism of both celiac axis and superior
mesenteric artery was seen after contrast administration. On laparotomy, complete
necrosis of the liver, spleen, stomach and small intestine was found. Gallbladder
was gangrenous and perforated, and the gallstone had migrated into the abdominal
cavity. We found free air that crackled on palpation of the veins of the gastric
surface. The patient,s condition was incurable and she died of multiple organ
failure a few hours after surgery. Acute visceral thromboembolism should always
be excluded first if a combination of atrial fibrillation and abdominal pain
exists. Determining the serum levels of d-dimers and lactate, combined with CT
scan with contrast administration can, in most cases, confirm the diagnosis and
lead to faster surgical intervention. It is crucial to act early on clinical
suspicion and not to wait for the development of hard evidence.
PMID- 22090187
TI - Spatial mislocalization as a consequence of sequential coding of stimuli.
AB - In three experiments, we tested whether sequentially coding two visual stimuli
can create a spatial misperception of a visual moving stimulus. In Experiment 1,
we showed that a spatial misperception, the flash-lag effect, is accompanied by a
similar temporal misperception of first perceiving the flash and only then a
change of the moving stimulus, when in fact the two events were exactly
simultaneous. In Experiment 2, we demonstrated that when the spatial
misperception of a flash-lag effect is absent, the temporal misperception is also
absent. In Experiment 3, we extended these findings and showed that if the
stimulus conditions require coding first a flash and subsequently a nearby moving
stimulus, a spatial flash-lag effect is found, with the position of the moving
stimulus being misperceived as shifted in the direction of its motion, whereas
this spatial misperception is reversed so that the moving stimulus is
misperceived as shifted in a direction opposite to its motion when the conditions
require coding first the moving stimulus and then the flash. Together, the
results demonstrate that sequential coding of two stimuli can lead to a spatial
misperception whose direction can be predicted from the order of coding the
moving object versus the flash. We propose an attentional sequential-coding
explanation for the flash-lag effect and discuss its explanatory power with
respect to related illusions (e.g., the Frohlich effect) and other explanations.
PMID- 22090186
TI - Maternal and child expressed emotion as predictors of treatment response in
pediatric obsessive-compulsive disorder.
AB - Expressed emotion (EE) is associated with symptoms and treatment outcome in
various disorders. Few studies have examined EE in pediatric OCD and none of
these has assessed the child's perspective. This study examined the relationship
among maternal and child EE, child OCD severity, and OCD-related functioning pre-
and post-treatment. At pre-treatment, mothers completed speech samples about the
child with OCD and an unaffected sibling. Children with OCD completed speech
samples about parents. There were low rates of high maternal EE (child with OCD:
16.1%; sibling: 2.6%) and high child EE about parents (mothers: 11.9%; fathers:
10.2%). High EE was primarily characterized by high criticism, not high
overinvolvement. High maternal EE and child EE regarding fathers were associated
with pre-treatment child OCD severity but not post-treatment severity. High child
and maternal EE were predictive of post-treatment OCD-related functioning. EE may
be an important child and maternal trait associated with pre-treatment OCD
severity and generalization of treatment gains.
PMID- 22090188
TI - Representation of dynamic spatial configurations in visual short-term memory.
AB - Locations of multiple stationary objects are represented on the basis of their
global spatial configuration in visual short-term memory (VSTM). Once objects
move individually, they form a global spatial configuration with varying spatial
inter-object relations over time. The representation of such dynamic spatial
configurations in VSTM was investigated in six experiments. Participants
memorized a scene with six moving and/or stationary objects and performed a
location change detection task for one object specified during the probing phase.
The spatial configuration of the objects was manipulated between memory phase and
probing phase. Full spatial configurations showing all objects caused higher
change detection performance than did no or partial spatial configurations for
static and dynamic scenes. The representation of dynamic scenes in VSTM is
therefore also based on their global spatial configuration. The variation of the
spatiotemporal features of the objects demonstrated that spatiotemporal features
of dynamic spatial configurations are represented in VSTM. The presentation of
conflicting spatiotemporal cues interfered with memory retrieval. However,
missing or conforming spatiotemporal cues triggered memory retrieval of dynamic
spatial configurations. The configurational representation of stationary and
moving objects was based on a single spatial configuration, indicating that
static spatial configurations are a special case of dynamic spatial
configurations.
PMID- 22090189
TI - Laparoscopic distal pancreatectomy and splenectomy for malignant tumors.
AB - INTRODUCTION: Laparoscopic distal pancreatectomy has become the gold standard for
benign tumors. As more surgeons have expertise in open and laparoscopic
pancreatic surgery, increasing numbers of benign-appearing tumors are being
removed via minimally invasive techniques and found to have malignancy on final
pathology. Because of our growing experience in laparoscopic distal
pancreatectomy, we have begun removing preoperatively suspected malignancies in
the distal pancreas with minimally invasive techniques. METHODS: All cases were
collected prospectively in a database and analyzed retrospectively. All cases
begun laparoscopically with the intention of performing the resection with
minimally invasive techniques were considered even if the operation was
ultimately converted to an open procedure. RESULTS: A total of 12 cases have been
attempted of which four required hand assistance and one required conversion to
an open approach due to delayed bleeding from a calcified splenic artery that had
been transected with laparoscopic GIA stapler device. In total, eight (67%)
patients had malignant disease and four (33%) were found to have benign tumors.
The median lymph node retrieval is 8 (range 3-16) with no positive margins. The
morbidity rate is 17% with one reoperation (8%) and one mortality (8%) at 30 and
90 days. CONCLUSIONS: The laparoscopic approach to malignant pancreatic tumors is
feasible with similar morbidity and mortality rates to benign series. When tumors
are next to the confluence of the splenic portal vein, a hand-assisted approach
may be adviseable. Calcified splenic arteries should be sought on preoperative
imaging and either transected in non-calcified segments or controlled via open
techniques via the hand port.
PMID- 22090190
TI - Patient outcome in primary peritoneal dialysis patients versus those transferred
from hemodialysis and transplantation.
AB - BACKGROUND: According to the concept of integrated care, renal transplantation,
peritoneal dialysis (PD), and hemodialysis (HD) should be considered three
complementary methods of renal replacement therapy. This study tried to evaluate
patient outcomes in three different groups of PD patients, namely primary PD
patients, those transferred to PD with failing kidney transplant, and those
transferred to PD from HD. METHOD: From January 1, 1995, to end of 2006 from 26
PD centers, 1,355 patients including demographic, clinical and laboratory data,
which were monthly collected through questionnaires, were enrolled in the study.
We compared patients' characteristics, factors affecting patient survival, and
patient outcomes between primary PD patients (group 1, n = 1,067), patients
transferred from transplantation (group 2, n = 43) and those transferred from HD
(group 3, n = 245), which had been on HD for at least 3 months before switching
to PD. RESULTS: There was no difference in the proportion of patients with
diabetes in the three groups. Overall, 238 patients (17.5%) were transferred to
HD but there was no significant difference in PD technique survival on between
the three groups. Death occurred in 256 (24%), 3 (7%) and 65 (26.5%) subjects in
groups 1, 2 and 3, respectively. Most patients (81.5%) in group 2 underwent re
transplantation. The Kaplan-Meier survival rates were not different between the
three groups. In the Cox multiple regression model, age, presence of diabetes and
serum albumin level significantly influenced patient survival. CONCLUSION: We
concluded that PD could be considered safe for patients experiencing
complications on HD, as well as for those with renal transplantation.
PMID- 22090191
TI - Fatal case of perforated appendicitis in an elderly CAPD patient.
PMID- 22090192
TI - Variations in depression care and outcomes among high-risk mothers from different
racial/ethnic groups.
AB - PURPOSE. To examine variations in depression care and outcomes among high-risk
pregnant and parenting women from different racial/ethnic groups served in
community health centres. METHODS: As part of a collaborative care programme that
provides depression treatment in primary care clinics for high-risk mothers, 661
women with probable depression (Patient Health Questionnaire-9 >= 10), who self
reported race/ethnicity as Latina (n = 393), White (n = 126), Black (n = 75) or
Asian (n = 67), were included in the study. Primary outcomes include quality of
depression care and improvement in depression. A Cox proportional hazard model
adjusting for sociodemographic and clinical characteristics was used to examine
time to treatment response. RESULTS: We observed significant differences in both
depression processes and outcomes across ethnic groups. After adjusting for other
variables, Blacks were found to be significantly less likely to improve than
Latinas [hazard ratio (HR): 0.53, 95% confidence interval (CI): 0.44-0.65]. Other
factors significantly associated with depression improvement were pregnancy (HR:
1.52, 95% CI: 1.27-1.82), number of clinic visits (HR: 1.26, 95% CI: 1.17-1.36)
and phone contacts (HR: 1.45, 95% CI: 1.32-1.60) by the care manager in the first
month of treatment. After controlling for depression severity, having suicidal
thoughts at baseline was significantly associated with a decreased likelihood of
depression improvement (HR: 0.75, 95% CI: 0.67-0.83). CONCLUSIONS: In this
racially and ethnically diverse sample of pregnant and parenting women treated
for depression in primary care, the intensity of care management was positively
associated with improved depression. There was also appreciable variation in
depression outcomes between Latina and Black patients.
PMID- 22090193
TI - The role of primary care professionals in women's experiences of cervical cancer
screening: a qualitative study.
AB - BACKGROUND: The UK Cervical Screening Programme, delivered mostly through primary
care, commands impressive levels of public support. However, considerable
evidence suggests that women find the experience of screening problematic.
OBJECTIVE: To investigate this tension using women's accounts of cervical
screening, with a view to informing practice to better meet their needs. METHODS:
A qualitative interview study with 34 participants focussed on their experiences
and understandings of cervical cancer screening in the UK. Analysis was based on
the constant comparative method. RESULTS: The highly intimate and personal nature
of the test is challenging, and many women report unsatisfactory experiences.
Problematic issues include: embarrassment and discomfort (sometimes severe) in
exposing an intimate and personal part of their body; surrendering control and
finding the test painful, uncomfortable and personally threatening. Though there
is an important role for primary health care professionals in easing discomfort
and facilitating positive experiences, women often report feeling disappointed
with how the procedure is conducted. Women suggest that practitioners' attempts
to normalize the interaction and maintain a degree of detachment could have the
perverse effect of making them feel more uncomfortable and that more
personalization would be welcome. CONCLUSIONS: This work identifies the ways in
which women may find personal engagement with cervical screening difficult and
demonstrates the important role of primary care practitioners in contributing to
women's experiences of the encounter. We draw on Erving Goffman's work on the
'interaction order' to explain some of the problems reported by women and to help
inform good practice in primary care.
PMID- 22090194
TI - Cardiac PET, CT, and MR: what are the advantages of hybrid imaging?
AB - Cardiac hybrid imaging combines different imaging modalities in a way where both
modalities equally contribute to image information. Hybrid positron emission
tomography-computed tomography (PET-CT) imaging is a promising tool for
evaluation of coronary artery disease (CAD) because it enables detection of
coronary atherosclerotic lesions by CT angiography and their consequences on
myocardial blood flow by PET perfusion in a single study. This appears to offer
superior diagnostic accuracy in patients with intermediate risk for CAD compared
with stand-alone imaging. Novel, commercially available hybrid scanners
containing PET and magnetic resonance as well as development of targeted probes
to evaluate molecular and cellular disease mechanisms are expected to provide
many new applications for cardiac hybrid imaging. This article focuses on the
advantages of cardiac hybrid imaging in the detection of CAD in light of
currently available clinical data and discusses the potential future
applications.
PMID- 22090195
TI - Clopidogrel and PPI interaction: clinically relevant or not?
AB - Proton pump inhibitors and clopidogrel are commonly prescribed medications, both
alone and in combination. In January of 2009 the US Food and Drug Administration
and the European Medicines Agency issued warnings with regard to the concomitant
use of clopidogrel and proton pump inhibitors. Later that year, these warnings
were limited to the proton pump inhibitor omeprazole. These warnings were largely
based on in-vitro studies and observational analyses suggesting decreased
efficacy of clopidogrel in the presence of proton pump inhibitors. Recent
literature has suggested there may not be a clinically meaningful interaction.
PMID- 22090197
TI - Suboptimal choice in nonhuman animals: rats commit the sunk cost error.
AB - The present experiments investigated the sunk cost error, an apparently
irrational tendency to persist with an initial investment, in rats. This issue is
of interest because some have argued that nonhuman animals do not commit this
error. Two or three fixed-ratio (FR) response requirements were arranged on one
lever, and an escape option was arranged on a second lever. The FRs were of
different sizes, and escaping was the behavior of interest. Several variables
that might influence the decision to persist versus escape were manipulated: the
number of trials with different FR schedules in an experimental session (Exps. 1
and 2), effort to escape (Exp. 2), and the size of the larger FR (Exp. 3). The
sunk cost error would result in never escaping, and the optimal strategy would be
to escape from the larger FR. The main variable that determined persisting versus
escaping was the size of the large FR. Rats that escaped from the large FR
apparently optimal behavior-did so at a suboptimal point, and hence committed the
sunk cost error.
PMID- 22090198
TI - Genome analysis of two type 6 echovirus (E6) strains recovered from sewage
specimens in Greece in 2006.
AB - Echovirus 6 (E6) is one of the main enteroviral serotypes that was isolated from
cases of aseptic meningitis and encephalitis during the last years in Greece. Two
E6 (LR51A5 and LR61G3) were isolated from the sewage treatment plant unit in
Larissa, Greece, in May 2006, 1 year before their characterization from aseptic
meningitis cases. The two isolates were initially found to be intra-serotypic
recombinants in the genomic region VP1, a finding that initiated a full genome
sequence analysis. In the present study, nucleotide, amino acid, and phylogenetic
analyses for all genomic regions were conducted. For the detection of
recombination events, Simplot and bootscan analyses were carried out. The
continuous phylogenetic relationship in 2C-3D genomic region of strains LR51A5
and LR61G3 with E30 isolated in France in 2002-2005 indicated that the two
strains were recombinants. SimPlot and Bootscan analyses confirmed that LR51A5
and LR61G3 carry an inter-serotypic recombination in the 2C genomic region. The
present study provide evidence that recombination events occurred in the regions
VP1 (intraserotypic) and non-capsid (interserotypic) during the evolution of
LR51A5 and LR61G3, supporting the statement that the genomes of circulating
enteroviruses are a mosaic of genomic regions of viral strains of the same or
different serotypes. In conclusion, full genome sequence analysis of circulating
enteroviral strains is a prerequisite to understand the complexity of enterovirus
evolution.
PMID- 22090199
TI - Assessment of metabolic modulation in free-living versus endosymbiotic
Symbiodinium using synchrotron radiation-based infrared microspectroscopy.
AB - The endosymbiotic relationship between coral hosts and dinoflagellates of the
genus Symbiodinium is critical for the growth and productivity of coral reef
ecosystems. Here, synchrotron radiation-based infrared microspectroscopy was
applied to examine metabolite concentration differences between endosymbiotic
(within the anemone Aiptasia pulchella) and free-living Symbiodinium over the
light-dark cycle. Significant differences in levels of lipids, nitrogenous
compounds, polysaccharides and putative cell wall components were documented.
Compared with free-living Symbiodinium, total lipids, unsaturated lipids and
polysaccharides were relatively enriched in endosymbiotic Symbiodinium during
both light and dark photoperiods. Concentrations of cell wall-related metabolites
did not vary temporally in endosymbiotic samples; in contrast, the concentrations
of these metabolites increased dramatically during the dark photoperiod in free
living samples, possibly reflecting rhythmic cell-wall synthesis related to light
driven cell proliferation. The level of nitrogenous compounds in endosymbiotic
cells did not vary greatly across the light-dark cycle and in general was
significantly lower than that observed in free-living samples collected during
the light. Collectively, these data suggest that nitrogen limitation is a factor
that the host cell exploits to induce the biosynthesis of lipids and
polysaccharides in endosymbiotic Symbiodinium.
PMID- 22090200
TI - Physiological implications of pair-bond status in greylag geese.
AB - In group-living vertebrates, reliable social allies play a decisive role in
dealing with stressors. For example, support by social allies is known to dampen
glucocorticoid responses. It remains unknown, however, how social embedding
affects the sympatho-adrenergic axis as indicated by heart rate (HR) in non-human
animals. We studied the relationships between HR, pair-bond status and distance
from the pair-partner in twenty-five free-ranging greylag geese (Anser anser) in
a natural social environment. In three individuals, we investigated HR responses
following partner loss. Overall, we found a context- and sex-dependent difference
in HR between paired and unpaired individuals, paired males having a lower HR
during agonistic encounters, and unpaired females having a lower HR during
resting. Also, in paired females HR increased with increasing distance from the
partner. Our data suggest that HR is modulated by pair-bond status in greylag
geese in a context- and sex-dependent manner, which may be representative for
social vertebrates in general. Despite the low sample size, the present study
indicates that proper social embedding may optimize an individual's physiological
investment in the social domain. This reduces individual energy expenditure and
may benefit health and reproductive success.
PMID- 22090201
TI - Wild geese do not increase flight behaviour prior to migration.
AB - Hypertrophy of the flight muscles is regularly observed in birds prior to long
distance migrations. We tested the hypothesis that a large migratory bird would
increase flight behaviour prior to migration, in order to cause hypertrophy of
the flight muscles, and upregulate key components of the aerobic metabolic
pathways. Implantable data loggers were used to record year-round heart rate in
six wild barnacle geese (Branta leucopsis), and the amount of time spent in
flight each day was identified. Time in flight per day did not significantly
increase prior to either the spring or the autumn migration, both between time
periods prior to migration (5, 10 and 15 days), or when compared with a control
period of low activity during winter. The lack of significant increase in flight
prior to migration suggests that approximately 22 min per day is sufficient to
maintain the flight muscles in condition for prolonged long-distance flight. This
apparent lack of a requirement for increased flight activity prior to migration
may be attributable to pre-migratory mass gains in the geese increasing workload
during short flights, potentially prompting hypertrophy of the flight muscles.
PMID- 22090202
TI - Susceptibility of the male fitness phenotype to spontaneous mutation.
AB - Adult reproductive success can account for a large fraction of male fitness,
however, we know relatively little about the susceptibility of reproductive
traits to mutation-accumulation (MA). Estimates of the mutational rate of decline
for adult fitness and its components are controversial in Drosophila
melanogaster, and post-copulatory performance has not been examined. We therefore
separately measured the consequences of MA for total male reproductive success
and its major pre-copulatory and post-copulatory components: mating success and
sperm competitive success. We also measured juvenile viability, an important
fitness component that has been well studied in MA experiments. MA had strongly
deleterious effects on both male viability and adult fitness, but the latter
declined at a much greater rate. Mutational pressure on total fitness is thus
much greater than would be predicted by viability alone. We also noted a
significant and positive correlation between all adult traits and viability in
the MA lines, suggesting pleiotropy of mutational effect as required by 'good
genes' models of sexual selection.
PMID- 22090203
TI - Does prey size matter? Novel observations of feeding in the leatherback turtle
(Dermochelys coriacea) allow a test of predator-prey size relationships.
AB - Optimal foraging models predict that large predators should concentrate on large
prey in order to maximize their net gain of energy intake. Here, we show that the
largest species of sea turtle, Dermochelys coriacea, does not strictly adhere to
this general pattern. Field observations combined with a theoretical model
suggest that a 300 kg leatherback turtle would meet its energetic requirements by
feeding for 3-4 h a day on 4 g jellyfish, but only if prey were aggregated in
high-density patches. Therefore, prey abundance rather than prey size may, in
some cases, be the overriding parameter for foraging leatherbacks. This is a
classic example where the presence of small prey in the diet of a large marine
predator may reflect profitable foraging decisions if the relatively low energy
intake per small individual prey is offset by high encounter rates and minimal
capture and handling costs. This study provides, to our knowledge, the first
quantitative estimates of intake rate for this species.
PMID- 22090204
TI - Evidence for a vertebrate catapult: elastic energy storage in the plantaris
tendon during frog jumping.
AB - Anuran jumping is one of the most powerful accelerations in vertebrate
locomotion. Several species are hypothesized to use a catapult-like mechanism to
store and rapidly release elastic energy, producing power outputs far beyond the
capability of muscle. Most evidence for this mechanism comes from measurements of
whole-body power output; the decoupling of joint motion and muscle shortening
expected in a catapult-like mechanism has not been demonstrated. We used high
speed marker-based biplanar X-ray cinefluoroscopy to quantify plantaris muscle
fascicle strain and ankle joint motion in frogs in order to test for two
hallmarks of a catapult mechanism: (i) shortening of fascicles prior to joint
movement (during tendon stretch), and (ii) rapid joint movement during the jump
without rapid muscle-shortening (during tendon recoil). During all jumps, muscle
fascicles shortened by an average of 7.8 per cent (54% of total strain) prior to
joint movement, stretching the tendon. The subsequent period of initial joint
movement and high joint angular acceleration occurred with minimal muscle
fascicle length change, consistent with the recoil of the elastic tendon. These
data support the plantaris longus tendon as a site of elastic energy storage
during frog jumping, and demonstrate that catapult mechanisms may be employed
even in sub-maximal jumps.
PMID- 22090205
TI - Metapopulation models for seasonally migratory animals.
AB - Metapopulation models are widely used to study species that occupy patchily
distributed habitat, but are rarely applied to migratory species, because of the
difficulty of identifying demographically independent subpopulations. Here, we
extend metapopulation theory to describe the directed seasonal movement of
migratory populations between two sets of habitat patches, breeding and non
breeding, with potentially different colonization and extinction rates between
patch types. By extending the classic metapopulation model, we show that
migratory metapopulations will persist if the product of the two colonization
rates exceeds the product of extinction rates. Further, we develop a spatially
realistic migratory metapopulation model and derive a landscape metric-the
migratory metapopulation capacity-that determines persistence. This new extension
to metapopulation theory introduces an important tool for the management and
conservation of migratory species and may also be applicable to model the
dynamics of two host-parasite systems.
PMID- 22090206
TI - Transgenerational effects of parent and grandparent gender on offspring
development in a biparental beetle species.
AB - Parental effects on offspring life-history traits are common and increasingly
well-studied. However, the extent to which these effects persist into offspring
in subsequent generations has received less attention. In this experiment,
maternal and paternal effects on offspring and grand-offspring were investigated
in the biparental burying beetle Nicrophorus vespilloides, using a split-family
design. This allowed the separation of prenatal and postnatal transgenerational
effects. Grandparent and parent gender were found to have a cumulative effect on
offspring development and may provide a selection pressure on the division of
parental investment in biparental species.
PMID- 22090207
TI - Social status gates social attention in humans.
AB - Humans tend to shift attention in response to the averted gaze of a face they are
fixating, a phenomenon known as gaze cuing. In the present paper, we aimed to
address whether the social status of the cuing face modulates this phenomenon.
Participants were asked to look at the faces of 16 individuals and read fictive
curriculum vitae associated with each of them that could describe the person as
having a high or low social status. The association between each specific face
and either high or low social status was counterbalanced between participants.
The same faces were then used as stimuli in a gaze-cuing task. The results showed
a greater gaze-cuing effect for high-status faces than for low-status faces,
independently of the specific identity of the face. These findings confirm
previous evidence regarding the important role of social factors in shaping
social attention and show that a modulation of gaze cuing can be observed even
when knowledge about social status is acquired through episodic learning.
PMID- 22090208
TI - Glycemic targets and approaches to management of the patient with critical
illness.
AB - Hyperglycemia during critical illness is associated with adverse outcome. The
proof-of-concept Leuven studies assessed causality, and revealed that targeting
strict normoglycemia (80-110 mg/dL) with insulin improved outcome compared with
tolerating hyperglycemia to the renal threshold (215 mg/dL). A large multicenter
trial (NICE-SUGAR [Normoglycaemia in Intensive Care Evaluation and Survival Using
Glucose Algorithm Regulation]) found an intermediate blood glucose target (140
180 mg/dL) safer than targeting normoglycemia. Differences in design and in
execution of glycemic control at the bedside may have contributed to these
results. In NICE-SUGAR (Normoglycaemia in Intensive Care Evaluation and Survival
Using Glucose Algorithm Regulation), the blood-glucose target range in the
control group was lower, there were problems to reach and maintain normoglycemia
in the intervention group, and inaccurate handheld blood glucose meters and
variable blood sampling sites were allowed. Inaccurate tools led to insulin
dosing errors with consequently (undetected) hypoglycemia and unacceptable blood
glucose variability. Also, the studies were done superimposed upon different
nutritional strategies. Thus, such differences do not allow simple, evidence
based recommendations for daily practice, but an intermediate blood glucose
target may be preferable while awaiting better tools to facilitate safely
reaching normoglycemia.
PMID- 22090209
TI - Amino acids 473V and 598P of PB1 from an avian-origin influenza A virus
contribute to polymerase activity, especially in mammalian cells.
AB - It has been reported that the avian-origin influenza A virus PB1 protein (avian
PB1) enhances influenza A virus polymerase activity in mammalian cells when it
replaces the human-origin PB1 protein (human PB1). Characterization of the amino
acid residues that contribute to this enhancement is needed. In this study, it
was found that PB1 from an avian-origin influenza A virus
[A/Cambodia/P0322095/2005, H5N1 (Cam)] could enhance the polymerase activity of
an attenuated human isolated virus, A/WSN/33, carrying the PB2 K627E mutation
(WSN627E) in vitro. Furthermore, 473V and 598P in the Cam PB1 were identified as
the residues responsible for this enhanced activity. The results from recombinant
virus experiments demonstrated the contribution of PB1 amino acids 473V and 598P
to polymerase activity in mammalian cells and in mice. Interestingly, 473V is
conserved in pH1N1 viruses from the 2009 pandemic. Substitution of 473V by
leucine in pH1N1 PB1 led to a decreased viral polymerase activity and a lower
growth rate in mammalian cells, suggesting that the PB1 473V also plays a role in
maintaining efficient virus replication of the pH1N1 virus. Thus, it was
concluded that two amino acids in avian-origin PB1, 473V and 598P, contribute to
the polymerase activity of the H5N1 virus, especially in mammalian cells, and
that 473V in PB1 also contributes to efficient replication of the pH1N1 strain.
PMID- 22090210
TI - Echovirus 11 infection induces dramatic changes in the actin cytoskeleton of
polarized Caco-2 cells.
AB - Binding of echovirus 11 strain 207 (EV11-207) to Caco-2 monolayers results in
rapid transfer of the virus to tight junctions prior to uptake. Using a confocal
microscopy based-method, this study quantified the spatiotemporal distribution of
actin during the time course of infection by EV11-207 in Caco-2 polarized cells.
It was found that binding of EV11-207 to the apical surface resulted in rapid
rearrangement of the actin cytoskeleton, concomitant with transport of the virus
particles to tight junctions. By interfering with the actin network dynamics, the
virus remained trapped at the cell surface, leading to abortion of infection. In
addition, it was observed that at 4 h post-infection, concomitant with the
detection of virus replication, actin filament was depolymerized and degraded.
Finally, it was shown that the mechanisms leading to loss of actin were
independent of viral genome synthesis, indicating a potential role for the viral
protein synthesis seen in late infection. These data confirmed a previous study
on the requirement for an intact actin cytoskeleton for EV11-207 to infect cells
and reinforce the notion of actin cytoskeleton subversion by picornaviruses
during infection in polarized epithelial cells.
PMID- 22090211
TI - Characterization of intracellular localization of PrP(Sc) in prion-infected cells
using a mAb that recognizes the region consisting of aa 119-127 of mouse PrP.
AB - Generation of an abnormal isoform of the prion protein (PrP(Sc)) is a key aspect
of the propagation of prions. Elucidation of the intracellular localization of
PrP(Sc) in prion-infected cells facilitates the understanding of the cellular
mechanism of prion propagation. However, technical improvement in PrP(Sc)
specific detection is required for precise analysis. Here, we show that the mAb
132, which recognizes the region adjacent to the most amyloidogenic region of
PrP, is useful for PrP(Sc)-specific detection by immunofluorescence assay in
cells pre-treated with guanidine thiocyanate. Extensive analysis of the
intracellular localization of PrP(Sc) in prion-infected cells using mAb 132
revealed the presence of PrP(Sc) throughout endocytic compartments. In
particular, some of the granular PrP(Sc) signals that were clustered at peri
nuclear regions appeared to be localized in an endocytic recycling compartment
through which exogenously loaded transferrin, shiga and cholera toxin B subunits
were transported. The granular PrP(Sc) signals at peri-nuclear regions were
dispersed to the peripheral regions including the plasma membrane during
incubation at 20 degrees C, at which temperature transport from the plasma
membrane to peri-nuclear regions was impaired. Conversely, dispersed PrP(Sc)
signals appeared to return to peri-nuclear regions within 30 min during
subsequent incubation at 37 degrees C, following which PrP(Sc) at peri-nuclear
regions appeared to redisperse again to peripheral regions over the next 30 min
incubation. These results suggest that PrP(Sc) is dynamically transported along
with the membrane trafficking machinery of cells and that at least some PrP(Sc)
circulates between peri-nuclear and peripheral regions including the plasma
membrane via an endocytic recycling pathway.
PMID- 22090212
TI - Differences in the mechanism of inoculation between a semi-persistent and a non
persistent aphid-transmitted plant virus.
AB - Inoculation of the semi-persistent cauliflower mosaic virus (CaMV, genus
Caulimovirus) is associated with successive brief (5-10 s) intracellular stylet
punctures (pd) when aphids probe in epidermal and mesophyll cells. In contrast to
non-persistent viruses, there is no evidence for which of the pd subphases (II-1,
II-2 and II-3) is involved in the inoculation of CaMV. Experiments were conducted
using the electrical penetration graph (EPG) technique to investigate which
particular subphases of the pd are associated with the inoculation of CaMV to
turnip by its aphid vector Brevicoryne brassicae. In addition, the same aphid
species/test plant combination was used to compare the role of the pd subphases
in the inoculation of the non-persistent turnip mosaic virus (TuMV, genus
Potyvirus). Inoculation of TuMV was found to be related to subphase II-1,
confirming earlier results, but CaMV inoculation appeared to be related
exclusively to subphase II-2 instead. The mechanism of CaMV inoculation and the
possible nature of subphase II-2 are discussed in the scope of our findings.
PMID- 22090213
TI - Hazara virus infection is lethal for adult type I interferon receptor-knockout
mice and may act as a surrogate for infection with the human-pathogenic Crimean
Congo hemorrhagic fever virus.
AB - Hazara virus (HAZV) is closely related to the Crimean-Congo hemorrhagic fever
virus (CCHFV). HAZV has not been reported to cause human disease; work with
infectious material can be carried out at containment level (CL)-2. By contrast,
CCHFV causes a haemorrhagic fever in humans and requires CL-4 facilities. A
disease model of HAZV infection in mice deficient in the type I interferon
receptor is reported in this study. Dose-response effects were seen with higher
doses, resulting in a shorter time to death and earlier detection of viral loads
in organs. The lowest dose of 10 p.f.u. was still lethal in over 50 % of the
mice. Histopathological findings were identified in the liver, spleen and lymph
nodes, with changes similar to a recent mouse model of CCHFV infection. The
findings demonstrate that inoculation of mice with HAZV may act as a useful
surrogate model for the testing of antiviral agents against CCHFV.
PMID- 22090215
TI - [Editorial].
PMID- 22090214
TI - Infection of human alveolar macrophages by human coronavirus strain 229E.
AB - Human coronavirus strain 229E (HCoV-229E) commonly causes upper respiratory tract
infections. However, lower respiratory tract infections can occur in some
individuals, indicating that cells in the distal lung are susceptible to HCoV
229E. This study determined the virus susceptibility of primary cultures of human
alveolar epithelial cells and alveolar macrophages (AMs). Fluorescent antibody
staining indicated that HCoV-229E could readily infect AMs, but no evidence was
found for infection in differentiated alveolar epithelial type II cells and only
a very low level of infection in type II cells transitioning to the type I-like
cell phenotype. However, a human bronchial epithelial cell line (16HBE) was
readily infected. The innate immune response of AMs to HCoV-229E infection was
evaluated for cytokine production and interferon (IFN) gene expression. AMs
secreted significant amounts of tumour necrosis factor alpha (TNF-alpha),
regulated on activation normal T-cell expressed and secreted (RANTES/CCL5) and
macrophage inflammatory protein 1beta (MIP-1beta/CCL4) in response to HCoV-229E
infection, but these cells exhibited no detectable increase in IFN-beta or
interleukin-29 in mRNA levels. AMs from smokers had reduced secretion of TNF
alpha compared with non-smokers in response to HCoV-229E infection. Surfactant
protein A (SP-A) and SP-D are part of the innate immune system in the distal
lung. Both surfactant proteins bound to HCoV-229E, and pre-treatment of HCoV-229E
with SP-A or SP-D inhibited infection of 16HBE cells. In contrast, there was a
modest reduction in infection in AMs by SP-A, but not by SP-D. In summary, AMs
are an important target for HCoV-229E, and they can mount a pro-inflammatory
innate immune response to infection.
PMID- 22090216
TI - What has changed in the treatment of ST-segment elevation myocardial infarction
in Poland in 2003-2009? Data from the Polish Registry of Acute Coronary Syndromes
(PL-ACS).
AB - BACKGROUND: A substantial progress has been made in Poland in the field of acute
coronary syndromes (ACS) management over the last 10 years. AIM: To present the
data from the Polish Registry of Acute Coronary Syndromes (PL-ACS) collected
between 2003 and 2009. Changes in treatment strategies and outcomes in ST-segment
myocardial infarction (STEMI) were analysed. METHODS: We analysed patients
enrolled to the PL-ACS Registry - a nationwide multicenter, prospective
observational study of consecutive patients hospitalised with ACS in Poland.
RESULTS: Overall, 284,162 patients with ACS were enrolled in 512 centres
including 88 invasive cardiology centres. The STEMI was diagnosed in 35-36% of
these patients in 2003-2005, and this proportion remained stable at 30% to 32% in
2006-2009. The mean age of STEMI patients increased from 62.5 years in 2003 to
64.5 in 2009. During this period, women represented 32.7% to 34.6% of the STEMI
patients. Proportion of patients presenting with pulmonary oedema or cardiogenic
shock decreased with time, from 15.5% in 2003 to 8% in 2009. Delays to
reperfusion tended to reduce over time: pain-to- -admission time was 240 min in
2005 and 229 min in 2009 and door-to-balloon time was 32 and 25 min in 2005 and
2009, respectively, with the delay being longer in the elderly population. The
proportion of patients undergoing coronary angiography showed a constant
increase, from 55% in 2003 to 84% in 2009. Percutaneous coronary intervention was
performed in 51% and 78% of patients in 2003 and 2009, respectively. At the same
time, the proportion of patients undergoing thrombolysis declined from 14% to 1%.
Aspirin, beta-blocker, statin and ACE inhibitor use was constantly high, while
nitrate use declined from 82% to 15%. The proportion of patients receiving
clopidogrel increased from 40% to 97% over the analysed period. Significant
reductions in mortality rates were observed: in-hospital mortality decreased from
11.9% to 6.4%; 30-day mortality from 13.5% to 9.6%; and 12-month mortality from
19.8% to 15.4% in 2003 and 2009, respectively. Invasive treatment strategy was
associated with better in-hospital and long-term patient survival. CONCLUSIONS:
The PL-ACS Registry results demonstrate low short- and long-term mortality rates
in STEMI patients, mainly due to frequent use of interventional strategy,
satisfactory logistics and appropriate drug therapy used. As a consequence,
hospitalisation time has shortened. However, there are several issues that need
to be improved such as shortening of pre- -hospital delays and increasing the
rate of invasive treatment in patients presenting with cardiogenic shock.
PMID- 22090217
TI - [Another success of polish invasive cardiologists-improve the outcomes of heart
attack].
PMID- 22090218
TI - Diagnostic accuracy of pre-operative NT-proBNP level in predicting short-term
outcomes in coronary surgery: a pilot study.
AB - BACKGROUND: B-type natriuretic peptides (BNP) are acknowledged markers of acute
and chronic heart failure. Insufficient data exist, however, regarding their
diagnostic usefulness in cardiac surgery, particularly in coronary patients. AIM:
To assess diagnostic accuracy of preoperative value of NT-proBNP level as a
predictor of short-term postoperative complications in subjects undergoing
coronary artery bypass grafting (CABG). METHODS: This pilot study included 100
consecutive patients scheduled for elective CABG, including 24 females and 76
males (mean age 65.9 +/- 9.1 years). Exclusion criteria were: significant
valvular disorders, off-pump procedure, renal failure (GFR < 60 mL/min/1.73 m2),
low ejection fraction (< 30%), intra-aortic balloon pump counterpulsation (IABP),
use of inotropic agents, atrial fibrillation (AF), and implanted pacemaker or
defibrillator. The NT-proBNP level was measured on the day of the surgery before
induction of anaesthesia. We investigated short-term postoperative complications,
defined as those occurring within 30 days or before hospital discharge. RESULTS:
Median NT-proBNP concentration was 526.0 pg/mL (IQR 156.0-1150.0). None of
patients died postoperatively. Excessive drainage (> 850 mL) was found in 13
(13%) patients and 22 (22%) subjects required transfusions. Prolonged mechanical
ventilation (> 12 h) was necessary in 15 (15%) patients and respiratory failure
occurred in 2 (2%) of them. Postoperative AF was present in 34 (34%) subjects.
Perioperative myocardial infarction was diagnosed in 2 (2%) persons. Low cardiac
output was present in 9 (9%) patients. Haemodynamic support with the use of IABP
was necessary in 7 (7%) patients and inotropic drugs were used in 61 (61%)
subjects. Stroke or delirium was diagnosed in 1 (1%) subject. The NT-proBNP level
correlated with the operative risk estimated by logistic and additive EuroSCORE:
r = 0.558 (95% CI 0.406-0.680; p < 0.001) and r = 0.551 (95% CI 0.397-0.674; p <
0.001), respectively. The NT-proBNP level correlated significantly with the
length of Intensive Care Unit (ICU) stay and hospital stay: r = 0.412 (95% CI
0.238-0.566; p < 0.001) and r = 0.547 (95% CI 0.393-0.672; p < 0.001),
respectively. The NT-proBNP level was a predictor of postoperative prolonged
mechanical ventilation, respiratory failure, AF, IABP use, inotropic support and
postoperative platelet transfusions (p < 0.05 for all). However, good or very
good diagnostic accuracy was found only in relation to mechanical ventilation
(AUROC = 0.854), respiratory insufficiency (AUROC = 0.867), IABP use (AUROC =
0.889), and milrinone use (AUROC = 0.929). CONCLUSIONS: Preoperative assessment
of NT-proBNP level in CABG patients could be a valuable diagnostic method for
predicting several postoperative complications, especially pulmonary outcomes and
requirement for haemodynamic support, and it correlated with the length of ICU
stay and hospital stay.
PMID- 22090219
TI - [Can BNP may affect eligibility for coronary artery bypass grafting?].
PMID- 22090220
TI - Myocardial ischaemia in systemic lupus erythematosus: detection and clinical
relevance.
AB - BACKGROUND: Severe cardiovascular complications are among the most important
causes of mortality in systemic lupus erythematosus (SLE) patients. AIM: To
assess the usefulness of echocardiography, ECG, and coronary artery calcium
scoring (CACS) in the detection of myocardial ischaemia in SLE patients compared
to single photon emission computerised tomography (SPECT) and to assess their
five-year follow-up. METHODS: In 50 consecutive SLE patients (mean age 39.2 +/-
12.9 years, 90% female), clinical assessment, resting and exercise ECG and
echocardiography, multidetector computed tomography - based CACS and SPECT
studies (Tc-99m sestamibi) were performed. Patients were then followed for five
years. RESULTS: SPECT revealed perfusion defects in 25 (50%) patients; persistent
defects in 18 (36%) and exercise-induced defects in seven (14%) subjects. No
typical ischaemic heart disease clinical symptoms, signs of ischaemia in resting
ECG, or left ventricular contractility impairment in echocardiography were
observed. Signs of ischaemia in exercise ECG were found in 17 (34%) patients. The
CACS ranged from 1 to 843.2 (median 23.15), and coronary calcifications were
observed in 12 (24%) patients. Compared to the SPECT study, exercise ECG had 68%
sensitivity and 100% specificity in detecting myocardial ischaemia, while CACS
had only 28% sensitivity and 58% specificity. During follow-up, one patient who
showed myocardial perfusion defects and the highest calcium score (843.2) at
baseline, developed CCS II class symptoms of myocardial ischaemia. Coronary
angiography was not performed because of severe anaemia; the patient died three
months later. In two other patients with perfusion defects and calcium deposits
at baseline, CCS I class symptoms were observed; coronary angiography showed only
thin calcified coronary plaques that were haemodynamically insignificant.
CONCLUSIONS: In about half of relatively young, mostly female, SLE patients,
SPECT shows myocardial perfusion defects, with coronary calcifications present in
one quarter of them. While ECG and echocardiography may not reveal any pathology,
ECG exercise test can identify these patients with high specificity. In patients
with a negative SPECT, the short-term prognosis is good, while in patients with
perfusion defects and coronary calcifications, the clinical symptoms of
myocardial ischaemia could occurr. However, at a low calcium score ( < 150), the
short-term risk of significant atherosclerosis progression is low.
PMID- 22090221
TI - Stent implantation into the interatrial septum in patients with univentricular
heart and a secondary restriction of interatrial communication.
AB - BACKGROUND: Presence of a restrictive interatrial communication in patients with
univentricular anatomy significantly affects surgical outcomes. In patients with
univentricular hearts, wide open atrial communication leads to lower pulmonary
artery pressure, which is one of the most important factors influencing the
success of bidirectional Glenn and Fontan operations. In some patients,
recurrence of restricted interatrial communication can be observed despite
initially successful interventional or surgical creation of unrestrictive
interatrial communication. AIM: To evaluate efficacy of stent implantation into
the interatrial septum in patients with univentricular heart and a secondary
restriction of interatrial communication. METHODS: In 2006-2010, we created
unrestrictive interatrial communication by stent implantation into the
interatrial septum in 7 children with univentricular anatomy with systemic right
ventricle (4 patients with hypoplastic left heart syndrome and 3 patients with
mitral atresia). In all patients we diagnosed recurrent restriction of
interatrial communication despite prior surgical or interventional creation of
unrestrictive interatrial communication. Patient age at stent implantation was 3
to 30 months. Maximal systolic pressure gradient between the left and the right
atrium was 6-29 mm Hg and left atrial pressure ranged from 20/17/19 mm Hg to
40/29/32 mm Hg. In all patients, we implanted a Palmaz-Genesis stent (length 18
29 mm) with subsequent balloon redilatation. RESULTS: In all 7 patients, we
created unrestrictive interatrial communication with mean pressure gradient
reduction from 13.14 mm Hg to 0.86 mm Hg (p < 0.006). Mean interatrial
communication diameter increased from 4.14 mm to 10.57 mm (p < 0.0001).
CONCLUSIONS: Percutaneous stent implantation into the interatrial septum in
children with univentricular heart and secondary restriction of interatrial
communication is a safe and effective method. Kardiol Pol 2011; 69, 11: 1137
1141.
PMID- 22090222
TI - [A few comments on the septal stenting].
PMID- 22090223
TI - QT dispersion in patients with Churg-Strauss syndrome.
AB - BACKGROUND: Churg-Strauss syndrome (CSS) is a rare, systemic necrotising small
and middle-sized vessel vasculitis, accompanied by blood eosinophilia, eosinophil
infiltration of various tissues and bronchial asthma. Cardiac injury caused by
myocardial eosinophilic infiltration and/or vasculitis in CSS seems to be very
common. Active inflammatory process accompanied by myocardial fibrosis has been
described in this population even despite disease remission. Nevertheless, little
is known about the possible myocardial repolarisation abnormalities in CSS which
may lead to life-threatening ventricular arrhythmias. AIM: To evaluate myocardial
repolarisation in CSS patients at the time of initial diagnosis and during the
last disease remission. METHODS: In 20 CSS patients (8 male, 12 female) QT
dispersion (QTd) and QTc dispersion (QTcd) calculated from heart rate corrected
QT (QTc) from the surface 12-lead electrocardiograms were measured at the time of
initial diagnosis and during the last disease remission. As a control group, 20
sex- and age-matched healthy volunteers were studied. Transthoracic
echocardiography was performed in all CSS patients at remission and in the
control group. RESULTS: QTcd was higher in CSS (n = 20) than in healthy controls
(n = 20) in each period of time: at the time of initial diagnosis (45.4 +/- 14.2
vs 26.1 +/- 6.5, p < 0.0001) and at the remission (38.6 +/- 13.4 vs 26.1 +/- 6.5,
p = 0.002). At the time of initial diagnosis in CSS patients with heart
involvement (n = 13), when compared to patients without heart involvement, (n =
7), both QTcd (52.2 +/- 12.1 vs 34.7 +/- 10.7, p = 0.007) and QTd (37.7 +/- 12.7
vs 24 +/- 11.4, p = 0.008) were higher, and this difference remained significant
at remission only for QTcd (46.7 +/- 13.2 vs 33.1 +/- 10.8, p = 0.03). No
significant correlation was observed between QTcd/QTd and disease activity
(measured using the Birmingham Vasculitis Activity Score - BVAS), eosinophil
blood count, presence of ANCA, nor the duration of the disease. CONCLUSIONS: The
most pronounced increased QTcd was detected in the CSS patients with cardiac
involvement at the time of initial diagnosis and remained higher at remission in
all CSS patients when compared to healthy controls. Nevertheless, in the CSS
patients, QTcd remains within the normal ranges, which may explain the relatively
small number of ventricular arrhythmias in these patients.
PMID- 22090224
TI - [Churg-Strauss syndrome - frequent heart seizure].
PMID- 22090225
TI - Which standard biomarkers are useful for the evaluation of myocardial injury
after pulmonary vein isolation with cryoballoon?
AB - BACKGROUND: Many studies have used creatinine kinase (CK), myocardial bound for
CK (CK-MB), and cardiac troponin I (cTnI) and T (cTnT) to evaluate myocardial
cells injury after ablation. We applied measurements of the blood concentration
of cardio-specific biomarkers as surrogates for the injured cell mass. AIM: To
clarify which of the standard biomarkers are useful in the evaluation and
quantification of lesions produced by cryoballoon ablation (CBA) during pulmonary
vein isolation. METHODS: The CBA was performed in 33 patients with atrial
fibrillation. Blood samples were obtained before CBA and one, six, and 24 h after
CBA. We analysed CK, CK-MB and cTnI. RESULTS: A significant increase of all
biomarkers was observed at each hour of collection as compared to the baseline
measurement. Maximum median peak levels occurred at 6 h. Pathological values of
CK, CK-MB and cTnI were observed in 94%, 100% and 100% of patients, respectively.
Both maximum CK and CK-MB values correlated with median temperature (p < 0.05)
reached during CBA. Additionally, CK-MB correlated with total cryo-time (p <
0.03). CONCLUSIONS: The CK-MB is the best biochemical marker for the evaluation
of myocardial injury after CBA. The cTnI can be useful as an additional parameter
of myocardial injury after CBA.
PMID- 22090226
TI - [Cryoablation balloon - a breakthrough in the treatment of atrial fibrillation].
PMID- 22090227
TI - Comparison of intravascular and conventional hypothermia after cardiac arrest.
AB - BACKGROUND: Therapeutic hypothermia is currently the best-documented method of
improving neurological outcomes in patients after cardiac arrest and successful
resuscitation. There is a variety of methods for lowering body temperature.
However, there are no data showing that any specific method of cooling improves
the results or increases survival. A simple method involving surface cooling and
ice-cold intravenous fluids, as well as more technologically advanced methods,
are used in clinical practice. One of the more advanced methods is intravascular
hypothermia, during which cooling is carried out with the use of a special
catheter located in the central vein. AIM: To compare cooling with the use of
intravascular hypothermia and cooling using the traditional method. METHODS: A
prospective study was performed in 41 patients with acute coronary syndromes who
did not regain consciousness after out-of-hospital or in-hospital cardiac arrest
and restoration of spontaneous circulation. Therapeutic hypothermia (32-34
degrees C) was obtained with the use of an intravascular method (group A, n = 20)
or a traditional method (group B, n = 21) for a period of 24 hours. Intravascular
cooling involved the use of a catheter inserted in the femoral vein connected to
a heat exchanger (Alsius Coolgard, Zoll, Chelmsford, MA, USA). Traditional
cooling was carried out using uncontrolled surface cooling, ice-cold intravenous
fluids and ice-cold gastric lavage. Nasopharyngeal and urinary bladder
temperatures were recorded hourly. The main analysed temperature was the urinary
bladder temperature, as the heat exchanger in the intravascular hypothermia group
was controlled by the readings taken from this site. Temperature profiles were
compared. RESULTS: Temperature < 34 degrees C was reached in 19 (95.0%) patients
in group A and in 11 (52.4%) patients in group B (p = 0.004). Stable temperature
profile (temperature in the range 32-34 degrees C during the final 12 h of
cooling) was reached in 16 (80%) patients in group A and in three (14.3%)
patients in group B (p < 0.001). Periods of inadequate cooling (temperature > 34
degrees C) and temperature overshoots (temperature < 32 degrees C) were
significantly more frequent in group B. Temperature profiles were significantly
different in both groups in the readings taken from both sites. CONCLUSIONS: The
presented technique of intravascular hypothermia provides more precise
temperature control in comparison with the traditional method.
PMID- 22090228
TI - Therapeutic hypothermia in out-of-hospital cardiac arrest patients is included in
the European Resuscitation Council Advanced Life Support Algorithm 2010 for
treatment of post-cardiac-arrest-syndrome.
PMID- 22090229
TI - [Percutaneous coronary intervention and aortic valve implantation in a patient
with breast cancer].
AB - We present a case of a 70 year-old female with severe aortic stenosis,
multivessel coronary artery disease and breast cancer who successfully underwent
complex percutaneous coronary revascularisation, transapical aortic valve
implantation and mastectomy.
PMID- 22090230
TI - [Severe pulmonary embolism in a young marijuana smoker].
AB - It is a popular belief, that marijuana smoking is not harmful to health. Some
publications, however, suggest its possible association with mental, respiratory
and cardiovascular complications, but not with venous thromboembolism. The
authors describe a case of severe pulmonary embolism in a mildly obese, 22 year
old marijuana and tobacco smoker. After thrombolysis, rapid haemodynamic
improvement was observed, contrary to slow regression of concomitant deep vein
thrombosis during anticoagulation with warfarin. Toxycologic assessment of urine
cannabinols was positive for two months. In trombophilia screening only moderate
hyperhomocysteinaemia (not related to MTHFR C667T polymorphism) was found.
PMID- 22090231
TI - [The man from the kingdom of the near-dead - modern treatment of pulmonary
hypertension].
AB - Pulmonary arterial hypertension (PAH) is a rare and severe condition
characterised by a progressive increase in pulmonary vascular resistance. Two
decades ago patients with idiopathic PAH were defined as the people from the
kingdom of near- -dead because of poor survival. The progress in treatment of PAH
was made, however the disease is still severe and not curable. We present a 26
year-old male patient diagnosed with idiopathic PAH. The clinical course was
complicated by progressive worsening, hemoptysis and thrombocytopenia. Treatment
with treprostinil and bronchial artery embolisation was started, resulting in
symptomatic and functional improvement.
PMID- 22090232
TI - [The concomitance of pericarditis constrictiva in patient with Silver-Russell
syndrome, primary hyperparathyroidism and oncologic history: causal coincidence
or pathogenetic sequence?].
AB - The most common cause of calcific pericarditis is idiopathic. We report a case of
a 24 year-old woman with Silver-Russell syndrome, history of Wilms' tumour in
childhood, constrictive pericarditis and primary hyperparathyroidism. We analyse
pathologic mechanisms of disseminated calcification and possible genetic factors
that may contribute to aetiology and clinical presentation of calcific
pericarditis.
PMID- 22090233
TI - [Clinical and diagnostic aspects of Barth syndrome (X-linked cardiomyopathy)].
PMID- 22090234
TI - [Cardio-renal syndrome in patients with heart failure: pathophysiology,
epidemiology and clinical significance].
PMID- 22090235
TI - [Fungal endocarditis of mitral and aortic biological prosthetic valves].
AB - We present a rare case of fungal (Candida albicans) endocarditis on the two
(mitral and aortic) biological prosthetic valves. Vegetations were detected by
transthoracic echocardiography and confirmed by transesophageal echocardiography.
PMID- 22090236
TI - [ST-elevation myocardial infarction caused by very late stent thrombosis].
AB - The case of a 46 year-old man suffering from diabetes mellitus and dyslipidaemia
hospitalised with acute coronary syndrome with ST-segment elevation caused by
very late in-stent thrombosis is presented.
PMID- 22090238
TI - [Severe tricuspid regurgitation due to ventricular pacing lead - a case report].
AB - We present a case of severe symptomatic tricuspid valve regurgitation due to
shifting of the septal leaflet of the valve toward the interventricular septum by
a permanent ventricular pacemaker lead, making coaptation of the tricuspid
leflats in systole impossible.
PMID- 22090239
TI - [Tricuspid regurgitation after pacemaker implantation, or how important it is to
fix the electrode].
PMID- 22090240
TI - [Summary of the article: Jolly SS, Yusuf S, Cairns J et al. Radial versus
femoral access for coronary angiography and intervention in patients with acute
coronary syndromes (RIVAL): a randomised, parallel group, multicentre trial.
Lancet, 2011; 307: 1409-1420].
PMID- 22090241
TI - [Not Available].
PMID- 22090242
TI - [Multiple valve disease - a complex problem requiring an individualised approach
to diagnostics and treatment].
PMID- 22090243
TI - [Catheter-based renal sympathetic denervation for the treatment of resistant
arterial hypertension in Poland - experts consensus statement].
PMID- 22090244
TI - [Letter to the editor].
PMID- 22090245
TI - Revision surgery for persistent and recurrent carpal tunnel syndrome and for
failed carpal tunnel release.
AB - BACKGROUND: Carpal tunnel release is one of the most frequently performed hand
operations. However, persistent, recurrent, or completely new symptoms following
carpal tunnel release remain a difficult problem. METHODS: A retrospective review
of the surgical findings and outcomes of 50 consecutive patients who had
undergone 55 revision carpal tunnel operations was performed. RESULTS: The
initial carpal tunnel release was an endoscopic technique in 34 hands and an open
technique in 21 hands. Thirty-four hands continued to have persistent symptoms,
18 hands had recurrent symptoms, and three hands had completely new symptoms.
Reexploration revealed incomplete release in 32 patients. Circumferential
fibrosis around the median nerve was found in all patients. Forty-six percent of
patients with recurrent symptoms had slight palmar subluxation of the median
nerve. External neurolysis was performed in 41, epineurectomy was performed in
15, synovial or hypothenar fat flap coverage was performed in eight, and radial
forearm adipofascial flap coverage was performed in three hands. Symptomatic
improvement following revision surgery after open carpal tunnel release was
slightly better (90 percent) compared with after endoscopic carpal tunnel release
(76 percent), but complete relief of symptoms following revision surgery was
similar after open (57 percent) or endoscopic (56 percent) techniques. Ten
patients (20 percent) showed no improvement and five patients required a third
operation. CONCLUSIONS: A small number of patients (1) continue to have
persistent symptoms after carpal tunnel release because of incorrect diagnosis or
incomplete release of the transverse carpal ligament; (2) develop recurrent
symptoms caused by circumferential fibrosis; or (3) develop completely new
symptoms, which usually implies iatrogenic injury to branches of the median
nerve. CLINICAL QUESTION/LEVEL OF EVIDENCE: Therapeutic, IV.
PMID- 22090246
TI - Foam pore size is a critical interface parameter of suction-based wound healing
devices.
AB - BACKGROUND: Suction-based wound healing devices with open-pore foam interfaces
are widely used to treat complex tissue defects. The impact of changes in
physicochemical parameters of the wound interfaces has not been investigated.
METHODS: Full-thickness wounds in diabetic mice were treated with occlusive
dressing or a suction device with a polyurethane foam interface varying in mean
pore size diameter. Wound surface deformation on day 2 was measured on fixed
tissues. Histologic cross-sections were analyzed for granulation tissue thickness
(hematoxylin and eosin), myofibroblast density (alpha-smooth muscle actin), blood
vessel density (platelet endothelial cell adhesion molecule-1), and cell
proliferation (Ki67) on day 7. RESULTS: Polyurethane foam-induced wound surface
deformation increased with polyurethane foam pore diameter: 15 percent (small
pore size), 60 percent (medium pore size), and 150 percent (large pore size). The
extent of wound strain correlated with granulation tissue thickness that
increased 1.7-fold in small pore size foam-treated wounds, 2.5-fold in medium
pore size foam-treated wounds, and 4.9-fold in large pore size foam-treated
wounds (p < 0.05) compared with wounds treated with an occlusive dressing. All
polyurethane foams increased the number of myofibroblasts over occlusive
dressing, with maximal presence in large pore size foam-treated wounds compared
with all other groups (p < 0.05). CONCLUSIONS: The pore size of the interface
material of suction devices has a significant impact on the wound healing
response. Larger pores increased wound surface strain, tissue growth, and
transformation of contractile cells. Modification of the pore size is a powerful
approach for meeting biological needs of specific wounds.
PMID- 22090247
TI - What is the price to pay for a free fibula flap? A systematic review of donor
site morbidity following free fibula flap surgery.
AB - BACKGROUND: A number of donor-site morbidities following free fibula flap
surgery, although usually minor and transient, have been documented. The primary
aim of this systematic review was to identify the incidence of donor-site
morbidity after free fibula flap surgery, to discuss the causes of these
morbidities, and to identify the best methods of prevention and treatment
available. METHODS: A systematic search of the English and Chinese literature was
performed of the PubMed, MEDLINE, Cochrane Library, and Embase databases. The
study selection process was adapted from the PRISMA statement, and 42 articles
complied with the study inclusion criteria. RESULTS: Forty-two relevant articles
were included in the final analysis. Regarding early donor-site morbidity, the
weighted mean incidences were as follows: wound infection, 1.07 percent; wound
dehiscence, 7.0 percent; wound necrosis, 7.3 percent; delayed wound healing, 17.4
percent; partial skin graft loss, 8.1 percent; and total skin graft loss, 4.7
percent. The weighted mean incidence of early wound morbidity in surgical wounds
that were closed primarily was 9.9 percent, compared with skin graft closure at
19.0 percent. In late donor-site morbidities, the weighted mean incidences were
as follows: chronic pain, 6.5 percent; considerable gait abnormality, 3.9
percent; ankle instability, 5.8 percent; limited range of motion in the ankle,
11.5 percent; reduced muscle strength, 4.0 percent; claw toe, 6.1 percent;
dorsiflexion of the great toe, 3.6 percent; and sensory deficit, 6.95 percent.
The mean American Orthopaedic Foot and Ankle Society score was 85.5 percent.
CONCLUSION: One would expect to pay a low price for free fibula flap surgery with
adequate surgical experience, refinements in surgical technique, and
comprehensive postoperative care. CLINICAL QUESTION/LEVEL OF EVIDENCE:
Therapeutic, II.
PMID- 22090248
TI - Hypertelorism correction: what happens with growth? Evaluation of a series of 95
surgical cases.
AB - BACKGROUND: This report documents the authors' experience with 95 hypertelorism
corrections performed since 1971. The authors note their findings regarding
outcomes, preferred age at surgery, technique, and stability of results with
growth. METHODS: Patients were classified into three groups: midline clefts (with
or without nasal anomalies, Tessier 0 to 14); paramedian clefts (symmetric or
asymmetric with or without nasal anomalies); and hypertelorism with
craniosynostosis. The authors developed a hypertelorism index to measure
longitudinal orbital position. RESULTS: A total of 70 box osteotomies were
performed. Twelve of 95 patients had a bipartition. Six of 95 patients underwent
a unilateral orbital box displacement or a three-wall mobilization, and seven of
95 had a medial wall osteotomy. Eighty patients were graded 1 to 4 using the
Whitaker scale. Fifty-nine of 80 patients received a grade of 1, 15 patients
received a grade of 2, five patients received a grade of three, four patients
initially scored a 4, and three patients underwent reoperation and were rescored
as 1. The authors developed a hypertelorism index to rate 28 patients with long
term follow-up. None showed deterioration of results over the long term. The
complication rate was 4 percent. CONCLUSION: The most interesting finding was
that an initially good result in terms of orbital correction, whatever the
severity, remains good with time, and facial balance improves after completion of
growth. CLINICAL QUESTION/LEVEL OF EVIDENCE: Therapeutic, IV.
PMID- 22090249
TI - Defining the ideal nasolabial angle.
AB - BACKGROUND: The nasolabial angle is defined as the angle between the line drawn
through the midpoint of the nostril aperture and a line drawn perpendicular to
the Frankfurt horizontal while intersecting subnasale. An arbitrary range of 90
to 120 degrees for the nasolabial angle is usually stated in the literature. The
purpose of this study was to objectively define the ideal nasolabial angle.
METHODS: Life-sized, lateral photographs of 10 men and 10 women who had undergone
rhinoplasty performed by the senior surgeon were selected. The photographs were
electronically altered to change the nasolabial angle by 4 degrees. For men and
women, these angles were 90, 94, 98, 102, 106, and 110 degrees. Sixteen raters,
including plastic surgery attending staff, residents, and office staff, selected
their most aesthetically pleasing nasolabial angle. RESULTS: Data analysis was
done using the distribution of means of the first preference nasolabial angle
values based on all 16 raters. The mean angle for ideal male nasolabial angle was
95.96 degrees +/- 2.57 degrees (mean +/- SD). The mean angle for women was 97.7
+/- 2.32 degrees. Based on these standard deviations, the ideal nasolabial angle
would be 93.4 to 98.5 degrees for men and 95.5 to 100.1 degrees for women.
CONCLUSIONS: The authors' results indicate a much closer range of nasolabial
angle between men and women than previously reported in the literature. This
study is the first of its type to objectively define the ideal aesthetic
nasolabial angle. In addition, the ideal nasolabial angle for women was found to
be less obtuse than previously thought.
PMID- 22090250
TI - Reconstructive surgery training: increased operative volume in plastic surgery
residency programs.
AB - BACKGROUND: Practitioners in other surgical specialties have increasingly
advanced their volume of reconstructive procedures traditionally served by
plastic surgeons. Because there has not been a previous specialty training
comparison, the average operative reconstructive volume of graduating plastic
surgery residents was formally compared with that of other specialties. METHODS:
The authors review the case log statistical reports of the Accreditation Council
for Graduate Medical Education. For each specialty, this annual report highlights
the average number of cases performed for all graduating residents. The national
case log reports were reviewed for orthopedic surgery, otolaryngology, and
plastic surgery. Six procedures were compared for residents graduating in the
2006 to 2010 academic years and are reviewed. A two-sample Welch-Satterthwaite t
test for independent samples with heterogeneous variance was conducted to compare
the average number of procedures performed per graduating resident. RESULTS:
Graduates of plastic surgery residencies compared with graduates of other
specialties performed more cleft lip and palate repairs, hand amputation, hand
fracture, and nasal fracture procedures. This difference showed statistical
significance for all years examined (2006 to 2010). For repair of mandible
fractures, plastic surgery trainees had significantly more cases for 2006 to 2009
but not 2010. CONCLUSIONS: The quantitative operative experience of graduating
plastic surgery residents for selected reconstructive cases is above that of the
average graduating trainee outside of plastic surgery. Given the exposure and
strength of plastic surgery training, plastic surgeons should remain at the
forefront of reconstructive surgery.
PMID- 22090251
TI - Migraine surgery practice patterns and attitudes.
AB - BACKGROUND: Minimally invasive techniques have been developed to treat migraine
headache, and several reports have shown efficacy in treating select patients who
are refractory to conventional therapies. Although there is a growing body of
evidence supporting migraine surgery, no study has examined its adoption by
plastic surgeons in the United States. METHODS: A Web-based survey consisting of
17 ad hoc questions was designed to ascertain respondents' demographics,
experience, knowledge, and attitudes regarding migraine surgery. After pilot
testing, the survey was distributed by means of email to the entire membership of
the American Society of Plastic Surgeons. RESULTS: A total of 3747 American
Society of Plastic Surgeons members were surveyed, and 193 surveys were
completed, for a response rate of 5.2 percent. Thirty-four respondents (18
percent) had performed surgery to treat migraine headache. Among those who have
performed migraine surgery, over 80 percent reported improvement in patient
symptoms. Of those who have not performed migraine surgery, 60 percent would be
interested if an appropriate patient was referred to them by a neurologist.
CONCLUSION: Although there is interest in migraine surgery among a subset of
plastic surgeons, significant barriers to performing migraine surgery include
deficient referral patterns from neurologists and lack of familiarity with the
concept and techniques of migraine surgery.
PMID- 22090252
TI - Additional benefits of reduction mammaplasty: a systematic review of the
literature.
AB - BACKGROUND: Reduction mammaplasty is commonly described with regard to its
qualitative benefits. The authors sought to perform a systematic review of the
literature focusing on functional outcomes after reduction mammaplasty with
regard to physical and psychological symptom improvement, including weight
related effects, exercise, and eating behaviors, in addition to aesthetic
outcomes. METHODS: A systematic review of the English literature was performed
using the PubMed database to evaluate outcomes following reduction mammaplasty
from 1977 to 2010. Studies were chosen that addressed the physical and
psychological benefits of reduction mammaplasty using a validated questionnaire.
RESULTS: Women who undergo reduction mammaplasty have a functional improvement in
musculoskeletal pain, headaches, sleep, and breathing. Psychological benefits are
vast and include improved self-esteem, sexual function, and quality of life, in
addition to less anxiety and depression. After reduction mammaplasty, women
appear to exercise more and have a reduction in eating disorders. CONCLUSION: The
authors present a comprehensive review of the literature with regard to the
physical and emotional concerns women with macromastia experience and the broad
benefits reduction mammaplasty could have for their daily functions and quality
of life postoperatively. CLINICAL QUESTION/LEVEL OF EVIDENCE: Therapeutic, III.
PMID- 22090253
TI - Identification of T-lymphocyte function in healthy vs. septic preterms and its
relation to candidal infections in the hospital setting.
AB - OBJECTIVES: To compare T-cell function in healthy/septic preterms in relation to
healthy term babies. Also to determine correlation of sepsis severity with T-cell
function and risk to candidal infection. METHODS: Patients were recruited to one
of three groups. Group (I) included 30 healthy growing preterms, group (II)
included preterms with neonatal sepsis whereas group (III) included 30 healthy
full term neonates. Patients underwent history taking and comprehensive
examination plus laboratory tests including T-Lymphocyte function by blastoid
transformation method using phytohaemagglutinin (PHA). RESULTS: A significant
difference exists between healthy preterm vs. septic newborn T cell counts and
functions especially those with multiorgan system failure. No correlation was
found between candidal infection and T cell functions. CONCLUSIONS: T cell
functions are remarkably lower in septic newborns. Septic preterms may have low T
cell functions despite absence of lymphopenia. Early detection is advised to
improve outcome.
PMID- 22090254
TI - Changing profile of severe malaria in north Indian children.
AB - OBJECTIVE: To look for profile of severe malaria and contribution of vivax
infection to malarial morbidity in North Indian children. METHODS: Detailed
clinical, biochemical and hematological characteristics of children hospitalized
with severe malaria over last 3 y were recorded. Presence of malarial parasite on
peripheral smear and/or positive antigen test was considered as diagnostic for
malaria. RESULTS: A total of 131(55.3%) patients with Plasmodium vivax (Pv), 79
(33.3%) with Plasmodium falciparum (Pf) and 27 (11.4%) with mixed infections were
admitted. Cerebral malaria, severe anemia and shock were significantly more
frequently observed in Pf group, while hepatic, renal, respiratory, and bleeding
complications were more commonly seen in Pv patients. Malaria mortality was
highest in mixed infection (11.1%), followed by Pf (7.6%) and Pv (3%) group.
CONCLUSIONS: Severe and fatal vivax malaria is an emerging recognized entity and
challenges the perception of Pv as a benign disease. Further clinical studies and
molecular research is required to understand emergence of severe malaria in vivax
mono-infection.
PMID- 22090255
TI - Recombinant human IGF-1 (insulin-like growth factor) therapy: where do we stand
today?
AB - Recombinant human (rh) IGF-1 has been available for therapy since the 1980s and
has been commercially available for over 5 y, yet the role of rhIGF-1 in treating
children with short stature remains ambiguous. This is consequent to the inherent
difficulty in defining criteria for IGF-1 deficiency, and in determining the
outcome of rhIGF-1 therapy in terms of growth rate and adult height. The
rationale for its efficacy compared with rhGH (recombinant human growth hormone)
for treatment of short stature is still widely debated. Additionally, adverse
events such as increased intracranial pressure and hypoglycemia are of
therapeutic concern. The goal of this article is to review published data that
describes the impact of IGF-1 therapy in treatment of short stature and other
growth disorders.
PMID- 22090256
TI - Copper accumulation, translocation, and toxic effects in grapevine cuttings.
AB - PURPOSE: Although the ecotoxicological effects of copper (Cu) on grapevine are of
global concern due to the intensive and long-term application of Cu-based
fungicides in vineyards, comparatively little is known about the phytotoxicity,
accumulation, and translocation of Cu in grapevines. Therefore, this study was to
conduct a hydroponic experiment to determine the influence of solution Cu
concentration not only on bioaccumulation and the translocation of Cu in
grapevine roots, stems, and leaves, but also on the subsequent growth inhibition
of the roots. METHODS: Grapevine cuttings were grown for 30 days and then exposed
to various Cu concentrations (0.1-50 MUM) for 15 days. The dose-response profile
was described by a sigmoid Hill equation. Optical microscopy was used to examine
the cytotoxicity of Cu on the roots. In addition, bioaccumulation factors (BAFs)
and translocation factors (TFs) were calculated from the results of the
hydroponic experiment. RESULTS: Copper was tolerated by grapevines at a
concentration <=1 MUM. The median inhibition concentration (IC(50)) obtained from
the Hill model was 3.94 MUM (95% confidence interval, 3.65-4.24). From the light
micrographs of root tip cells, signs of toxicity including increased
vacuolization and plasmolysis were observed at solution Cu concentrations >=10
MUM. In addition, a higher Cu concentration was found in the roots (25-12,000 mg
kg(-1)) than in the stems (5-540 mg kg(-1)) and leaves (7-46 mg kg(-1)),
indicating a very limited translocation of Cu from the roots to the aboveground
parts. CONCLUSIONS: This study investigated not only the macroscopic root growth
and Cu accumulation by grapevine, but also the microscopic changes in root tissue
at the cell level after the exposure experiment. Based on the BAFs and TFs, the
grapevine could be considered a Cu-exclusive plant. For toxic effects on the
exposure of roots to Cu, this study also revealed that root growth, as well as
the histological changes in rhizodermal cells, can be used as phytotoxic
indicators of grapevine under Cu stress.
PMID- 22090257
TI - Mercury policy and regulations for coal-fired power plants.
AB - INTRODUCTION: Mercury is a high-priority regulatory concern because of its
persistence and bioaccumulation in the environment and evidence of its having
serious adverse effects on the neurological development of children. DISCUSSION:
Mercury is released into the atmosphere from both natural and anthropogenic
sources. Coal-fired utilities are considered to be one of the largest
anthropogenic mercury emission sources. The period since the late 1990s has been
marked by increasing concern over mercury emissions from combustion systems to
the extent that a number of national governments have either already implemented
or are in the process of implementing, legislation aimed at enforcing tighter
control over mercury emissions and a reduction in mercury consumption.
CONCLUSION: This review examines the most important national and international
policies and agreements for controlling mercury emissions from coal-fired
combustion systems. To provide a global perspective, this study lists the
countries with the largest estimated mercury emissions and regulatory efforts to
reduce them.
PMID- 22090258
TI - An update on monoclonal gammopathy and neuropathy.
AB - Peripheral neuropathy associated with monoclonal gammopathy is a rare but
important cause of neuropathy that can herald serious underlying disease. IgM
monoclonal gammopathy of undetermined significance (MGUS) is the most commonly
found monoclonal gammopathy associated with neuropathy, with characteristic
clinical, electrophysiologic, and pathologic features. The IgG and IgA monoclonal
gammopathies are rarely associated with specific neuropathies. Standard
immunomodulatory agents including steroids, intravenous immunoglobulin, and
plasmapheresis have shown limited efficacy in IgM MGUS. Neuropathies associated
with specific lymphoproliferative disorders may not respond to treatments aimed
at that disorder. Case series had shown promising results with rituximab, a
monoclonal antibody that targets the B cell surface antigen CD20 and results in a
rapid and sustained depletion of B cells; however, two recent randomized
controlled trials with rituximab failed to provide evidence of efficacy in
primary outcome measures, despite reduction in antibody levels. Long-term studies
looking at the association between specific immunologic markers and disease
recurrence are needed to ultimately develop targeted therapies.
PMID- 22090259
TI - Extinction of goal tracking also eliminates the conditioned reinforcing effects
of an appetitive conditioned stimulus.
AB - Previous studies have suggested that the effects of extinction are response
specific. The present study investigated whether an extinction treatment that
eliminated goal tracking elicited by an appetitive conditioned stimulus (CS)
would also eliminate the conditioned reinforcing effects of that CS. Rats were
first trained on a goal-tracking procedure in which an auditory CS was paired
with a food unconditioned stimulus. Animals learned to approach the location
where the food was delivered. In a subsequent phase, rats in one group received
extinction training that eliminated the goal-tracking elicited by the CS. Rats in
the other group did not experience extinction of the food-paired CS. Then, both
groups received a test for conditioned reinforcement in which leverpresses
resulted in the brief presentation of the stimulus previously paired with food.
This stimulus did not act as a conditioned reinforcer in the group that had been
subjected to extinction training, but did serve as a conditioned reinforcer in
the group that did not experience extinction. These results indicate that the
effects of extinction generalize from the approach-eliciting to the conditioned
reinforcing effects of an appetitive CS.
PMID- 22090260
TI - Posterior reversible encephalopathy syndrome induced by anti-VEGF agents.
AB - Posterior reversible encephalopathy syndrome (PRES) is a clinico-radiological
entity that may occur in patients receiving anti-vascular endothelial growth
factor (VEGF) agents such as bevacizumab and tyrosine kinase inhibitors. Little
is known about the characteristics of patients at risk for PRES under anti-VEGF
agents. We carried out a comprehensive review of reports documenting the
occurrence of PRES in patients receiving anti-VEGF agents. Twenty-six patients
are described with a majority of females (73.1%). Almost a third of patients had
a past history of hypertension. The most common symptoms included headache,
visual disturbance and seizure. A vast majority of patients had hypertension at
the diagnosis of PRES, and proteinuria was detectable each time it was
investigated. Neurological outcome was favorable in all cases with a symptomatic
treatment including blood pressure control. The risk of PRES is increased when
blood pressure is poorly controlled and when proteinuria is detectable. The
clinical course appears favorable with a symptomatic treatment. PRES is a
potentially severe but manageable toxicity of anti-VEGF agents.
PMID- 22090261
TI - Automated assembly of optimally spaced and balanced paired comparisons:
controlling order effects.
AB - To control order effects in questionnaires containing paired comparisons, Ross
(1934) described an optimal ordering of the pairings. The pairs can also be
balanced so that every stimulus appears equal numbers of times as the first and
the second member of a pair. First, we describe and illustrate the optimally
spaced, balanced ordering of pairings. Then we show how the optimally spaced,
balanced order can be used to implement a matrix-sampling design or a fully
incomplete design when the number of stimuli n is so large that respondents
cannot reasonably be expected to judge all n(n - 1)/2 pairs. The algorithm for
balancing and optimally spacing the list of pairs is described.
PMID- 22090262
TI - A prospective study of psychological distress and weight status in
adolescents/young adults.
AB - BACKGROUND: The obesity-psychological distress relationship remains
controversial. PURPOSE: This study aims to assess whether adolescents'
psychological distress was associated with body mass index (BMI) class membership
determined by latent class analysis. METHODS: Distress (anxiety, depression) and
BMI were measured annually for 4 years in 1,528 adolescents. Growth mixture
modeling derived latent BMI trajectory classes for models with 2-11 classes. The
relationship of distress to class membership was examined in the best-fitting
model using vector generalized linear regression. RESULTS: BMI trajectories were
basically flat. The five-class model [normal weight (48.8%), overweight (36.7%),
obese who become overweight (3.7%), obese (9.4%), and severely obese (1.3%)] was
the preferred model (Bayesian information criterion = 22789.2, df = 31; rho =
0.84). Greater distress was associated with higher baseline BMI and, therefore,
class membership. CONCLUSIONS: Psychological distress is associated with higher
BMI class during adolescence. To determine whether distress "leads" to greater
weight gain may require studies of younger populations.
PMID- 22090263
TI - Epidural steroid injections.
AB - Epidural steroid injection (ESI) is the most commonly performed intervention in
pain clinics across the United States. This article provides an evidence-based
review of ESI, including data on efficacy, patient selection, comparison of
types, and complications. The data strongly suggest that ESI can provide short
term relief for radicular symptoms but are less compelling for long-term effects
or relief of back pain. Although it has been asserted that transforaminal ESIs
are more efficacious than interlaminar injections, the evidence supporting this
is limited.
PMID- 22090264
TI - Radiofrequency treatment of facet-related pain: evidence and controversies.
AB - Pain originating from the lumbar facet joints is estimated to represent about 15%
of all low back pain complaints. The diagnostic block is considered to be a
valuable tool for confirming facetogenic pain. It was demonstrated that a block
of the ramus medialis of the ramus dorsalis is preferred over an intra-articular
injection. The outcome of the consequent radiofrequency treatment is not
different in patients reporting over 80% pain relief after the diagnostic block
than in those who have between 50% and 79% pain relief. There is one well
conducted comparative trial assessing the value of one or two controlled
diagnostic blocks to none. The results of the seven randomized trials on the use
of radiofrequency treatment of facet joint pain demonstrate that good patient
selection is imperative for good clinical outcome. Therefore, we suggest one
block of the ramus medialis of the ramus dorsalis before radiofrequency
treatment.
PMID- 22090265
TI - Central hypothyroidism in a patient with pituitary autoimmunity: evidence for TSH
independent thyroid hormone synthesis.
AB - CONTEXT: Acquired central hypothyroidism is rare, especially when isolated, and
is typically associated with detectable, although biologically inactive, serum
TSH. OBJECTIVE: We describe a 56-yr-old woman with profound central
hypothyroidism and partial central hypoadrenalism, in the absence of other
endocrine abnormalities. In contrast to most cases of central hypothyroidism,
serum TSH remained undetectable for 9 months before the initiation of thyroid
hormone and hydrocortisone treatment. A test for pituitary autoantibody was
moderately positive. Serum free T(4), serum T(3), and neck radioiodine uptake
were low but detectable. The thyroid and pituitary glands appeared
morphologically normal on neck ultrasound and head magnetic resonance imaging,
respectively. SETTINGS: The study was conducted in a tertiary academic medical
center. CONCLUSIONS: This case illustrates the variable clinical presentation of
pituitary autoimmunity. The persistence of low but detectable thyroid hormone
levels and radioiodine neck uptake in the absence of TSH suggests that
significant TSH-independent thyroid hormone synthesis may occur in the normal
thyroid.
PMID- 22090266
TI - Higher rates of bone loss in postmenopausal HIV-infected women: a longitudinal
study.
AB - CONTEXT AND OBJECTIVE: The objective of the study was to assess the effects of
HIV infection and antiretroviral therapy on change in bone mineral density (BMD)
in postmenopausal minority women. DESIGN, SETTING, AND PATIENTS: We report a
longitudinal analysis of change in BMD with a median duration of 15.4
(interquartile range 13.1, 20.7) months in a prospective cohort study of 128 (73
HIV+, 55 HIV-) postmenopausal Hispanic and African-American women. MAIN OUTCOME
MEASURES: Annualized change in BMD by dual-energy x-ray absorptiometry and
correlation with baseline markers of bone turnover and serum levels of
inflammatory cytokines were measured. RESULTS: HIV+ women were younger (56 +/- 1
vs. 59 +/- 1 yr, P < 0.05) and had lower body mass index (BMI; 28 +/- 1 vs. 31 +/
1 kg/m(2), P < 0.01). The majority of HIV+ women were on established
antiretroviral therapy for more than 3 yr. At baseline, BMD, adjusted for age,
race, and BMI, was lower in HIV+ women at the lumbar spine (LS), total hip, and
radius and serum C-telopeptide was higher. Annualized rates of bone loss adjusted
for baseline BMD were higher in HIV+ women by 2.4-fold at the LS (-1.2 +/- 0.3%
vs. -0.5 +/- 0.3%, P = 0.0009), 3.7-fold at the one third radius (-1.1 +/- 0.2%
vs. -0.3 +/- 0.2, P = 0.006) and 1.7-fold at the ultradistal radius (-1.2 +/-
0.2% vs. -0.7 +/- 0.2%, P = 0.02). In multivariate analysis, HIV+ status
predicted bone loss at the LS, total hip, and ultradistal radius. Among HIV+
women, lower BMI, higher markers of bone turnover levels, and tenofovir were
associated with more bone loss. CONCLUSION: HIV+ postmenopausal minority women
had lower BMD, increased bone turnover, and higher rates of bone loss than HIV-
women. These features may place these women at increased risk for fracture as
they age.
PMID- 22090267
TI - Permanent hypopituitarism is rare after structural traumatic brain injury in
early childhood.
AB - BACKGROUND: We sought to determine the incidence of permanent hypopituitarism in
a potentially high-risk group: young children after structural traumatic brain
injury (TBI). METHODS: We conducted a cross-sectional study with longitudinal
follow-up. Dynamic tests of pituitary function (GH and ACTH) were performed in
all subjects and potential abnormalities critically evaluated. Puberty was
clinically staged; baseline thyroid function, prolactin, IGF-I, serum sodium, and
osmolality were compared with age-matched data. Diagnosis of GH deficiency was
based on an integrated assessment of stimulated GH peak (<5 MUg/liter suggestive
of deficiency), IGF-I, and growth pattern. ACTH deficiency was diagnosed based on
a subnormal response to two serial Synacthen tests (peak cortisol <500
nmol/liter) and a metyrapone test. RESULTS: We studied 198 survivors of
structural TBI sustained in early childhood (112 male, age at injury 1.7 +/- 1.5
yr) 6.5 +/- 3.2 yr after injury. Sixty-four of the injuries (33%) were inflicted
and 134 (68%) accidental. Two participants had developed precocious puberty,
which is within the expected background population rate. Peak stimulated GH was
subnormal in 16 participants (8%), in the context of normal IGF-I and normal
growth. Stimulated peak cortisol was low in 17 (8%), but all had normal ACTH
function on follow-up. One participant had a transient low serum T(4). Therefore,
no cases of hypopituitarism were recorded. CONCLUSION: Permanent hypopituitarism
is rare after both inflicted and accidental structural TBI in early childhood.
Precocious puberty was the only pituitary hormone abnormality found, but the
prevalence did not exceed that of the normal population.
PMID- 22090268
TI - Synthetic human parathyroid hormone 1-34 replacement therapy: a randomized
crossover trial comparing pump versus injections in the treatment of chronic
hypoparathyroidism.
AB - CONTEXT: Vitamin D therapy for hypoparathyroidism does not restore PTH-dependent
renal calcium reabsorption, which can lead to renal damage. An alternative
approach, PTH 1-34 administered twice daily, provides acceptable long-term
treatment but is associated with nonphysiological serum calcium fluctuation.
OBJECTIVE: Our objective was to compare continuous PTH 1-34 delivery, by insulin
pump, with twice-daily delivery. RESEARCH DESIGN AND METHODS: In a 6-month, open
label, randomized, crossover trial, PTH 1-34 was delivered by pump or twice-daily
sc injection. After each 3-month study period, serum and 24-h urine mineral
levels and bone turnover markers were measured daily for 3 d, and 24-h
biochemical profiles were determined for serum minerals and 1,25-dihydroxyvitamin
D(3) and for urine minerals and cAMP. STUDY PARTICIPANTS AND SETTING: Eight
patients with postsurgical hypoparathyroidism (mean +/- sd age 46 +/- 5.6 yr)
participated at a tertiary care referral center. RESULTS: Pump vs. twice-daily
delivery of PTH 1-34 produced less fluctuation in serum calcium, a more than 50%
reduction in urine calcium (P = 0.002), and a 65% reduction in the PTH dose to
maintain eucalcemia (P < 0.001). Pump delivery also produced higher serum
magnesium level (P = 0.02), normal urine magnesium, and reduced need for
magnesium supplements. Finally, pump delivery normalized bone turnover markers
and significantly lowered urinary cross-linked N-telopeptide of type 1 collagen
and pyridinium crosslinks compared with twice-daily injections (P < 0.05).
CONCLUSION: Pump delivery of PTH 1-34 provides the closest approach to date to
physiological replacement therapy for hypoparathyroidism.
PMID- 22090269
TI - Glycemic control in non-critically ill hospitalized patients: a systematic review
and meta-analysis.
AB - BACKGROUND: The effect of intensive therapy to achieve tight glycemic control in
patients hospitalized in non-critical care settings is unclear. METHODS: We
conducted a systematic review and meta-analysis to determine the effect of
intensive glycemic control strategies on the outcomes of death, stroke,
myocardial infarction, incidence of infection, and hypoglycemia. We included
randomized and observational studies. Bibliographic databases were searched
through February 2010. Random effects model was used to pool results across
studies. RESULTS: Nineteen studies (nine randomized and 10 observational studies)
were included. The risk of bias across studies was moderate. Meta-analysis
demonstrates that intensive glycemic control was not associated with significant
effect on the risk of death, myocardial infarction, or stroke. There was a trend
for increased risk of hypoglycemia (relative risk, 1.58; 95% confidence interval,
0.97-2.57), particularly in surgical studies and when the planned glycemic target
was achieved. Intensive glycemic control was associated with decreased risk of
infection (relative risk, 0.41; 95% confidence interval, 0.21-0.77) that was
mainly derived from studies in surgical settings. CONCLUSION: Intensive control
of hyperglycemia in patients hospitalized in non-critical care settings may
reduce the risk of infection. The quality of evidence is low and mainly driven by
studies in surgical settings.
PMID- 22090270
TI - Quality of life in European patients with Addison's disease: validity of the
disease-specific questionnaire AddiQoL.
AB - CONTEXT: Patients with Addison's disease (AD) self-report impairment in specific
dimensions on well-being questionnaires. An AD-specific quality-of-life
questionnaire (AddiQoL) was developed to aid evaluation of patients. OBJECTIVE:
We aimed to translate and determine construct validity, reliability, and
concurrent validity of the AddiQoL questionnaire. METHODS: After translation, the
final versions were tested in AD patients from Norway (n = 107), Sweden (n =
101), Italy (n = 165), Germany (n = 200), and Poland (n = 50). Construct validity
was examined by exploratory factor analysis and Rasch analysis, aiming at
unidimensionality and fit to the Rasch model. Reliability was determined by
Cronbach's coefficient-alpha and Person separation index. Longitudinal
reliability was tested by differential item functioning in stable patient
subgroups. Concurrent validity was examined in Norwegian (n = 101) and Swedish (n
= 107) patients. RESULTS: Exploratory factor analysis and Rasch analysis
identified six items with poor psychometric properties. The 30 remaining items
fitted the Rasch model and proved unidimensional, supported by appropriate item
and person fit residuals and a nonsignificant chi(2) probability. Crohnbach's
alpha-coefficient 0.93 and Person separation index 0.86 indicate high
reliability. Longitudinal reliability was excellent. Correlation with Short Form
36 and Psychological General Well-Being Index scores was high. A shorter subscale
comprising eight items also proved valid and reliable. Testing of AddiQoL-30 in
this large patient cohort showed significantly worse scores with increasing age
and in women compared with men but no difference between patients with isolated
AD and those with concomitant diseases. CONCLUSION: The validation process
resulted in a revised 30-item AddiQoL questionnaire and an eight-item AddiQoL
short version with good psychometric properties and high reliability.
PMID- 22090271
TI - The Akt inhibitor MK2206 synergizes, but perifosine antagonizes, the BRAF(V600E)
inhibitor PLX4032 and the MEK1/2 inhibitor AZD6244 in the inhibition of thyroid
cancer cells.
AB - PURPOSE: The purpose of the study was to explore optimal combinations of
currently actively developed drugs for dually targeting the Ras -> Raf -> MAPK
kinase (MEK) -> MAPK/ERK (MAPK) and the phosphatidylinositol 3-kinase/Akt
pathways as effective treatments for thyroid cancer. EXPERIMENTAL DESIGN: We
tested the combinations of the Akt inhibitors MK2206 or perifosine with the
BRAF(V600E) inhibitor PLX4032 or the MEK1/2 inhibitor AZD6244 in thyroid cancer
cells harboring both the BRAF(V600E) and PIK3CA mutations. RESULTS: We found that
MK2206 could potently, when used alone, and synergistically, when combined with
either PLX4032 or AZD6244, inhibit thyroid cancer cell growth with all the
combination index values lower than 1. Perifosine could potently inhibit thyroid
cancer cell growth when used alone, but a strong antagonism occurred between this
drug and PLX4032 or AZD6244 in the inhibition of thyroid cancer cell growth with
all combination index values higher than 1. Combinations of MK2206 with PLX4032
or AZD6244 dramatically enhanced G1 cell cycle arrest induced by each drug alone.
However, G2 cell cycle arrest uniquely induced by perifosine alone and G1 cell
cycle arrest induced by PLX4032 or AZD6244 were both reversed by combination
treatments, providing a mechanism for their antagonism. All these drugs could
correspondingly inhibit the MAPK and phosphatidylinositol 3-kinase/Akt
signalings, confirming their expected target effects. CONCLUSIONS: We
demonstrated, unexpectedly, opposite outcomes of MK2206 and perifosine in their
combinational treatments with BRAF(V600E)/MEK inhibitors in thyroid cancer cells.
The data may help appropriate selection of these prominent drugs for clinical
trials of combination therapies for thyroid cancer.
PMID- 22090273
TI - Overexpression of metallothionein I/II: a new feature of thyroid follicular cells
in Graves' disease.
AB - CONTEXT: One salient feature of autoimmune thyroid disease is the inappropriate
expression of human leukocyte antigen (HLA) class II molecules by thyroid
follicular cells. Metallothioneins (MT) are small proteins induced by tissue
stress that can contribute to restoring homeostasis of tissue inflammation and
have been found to be increased in a transcriptomic analysis of Graves' disease
(GD) glands. METHODOLOGY: To assess the role of MT in the pathogenesis of GD, we
analyzed MT-I and -II expression and distribution in GD-affected thyroid glands
(n = 14) compared with other thyroid diseases (n = 20) and normal thyroid glands
(n = 5). Two-color indirect immunofluorescence and semiquantitative morphometry
were applied. The relationship between MT and HLA class II expression was
analyzed by their degree of colocalization in GD sections, and in vitro induction
kinetics and expression of these molecules on the HT93 thyroid cell line were
compared by quantitative RT-PCR and flow cytometry using interferon-gamma and
zinc as stimuli. RESULTS: MT were clearly overexpressed in nine of 14 GD glands.
MT expression distribution in GD was almost reciprocal to that of HLA class II.
In vitro analysis of MT and HLA class II demonstrated that MT is induced more
slowly and at a lower level than HLA. Moreover, the main MT inducer, zinc,
reduces interferon-gamma-induced class II expression. CONCLUSIONS: These findings
show that MT and HLA class II play very different roles in the autoimmune process
by affecting the thyroid gland, thereby pointing to the possible role of MT as a
marker of cell stress and homeostasis restoration in GD.
PMID- 22090272
TI - Satisfaction with genital surgery and sexual life of adults with XY disorders of
sex development: results from the German clinical evaluation study.
AB - BACKGROUND: Prenatal deficit of androgens or androgen action results in atypical
genitalia in individuals with XY disorders of sex development (XY,DSD). XY,DSD
include mainly disorders of gonadal development and testosterone synthesis and
action. Previously, most XY,DSD individuals were assigned to the female sex.
Constructive genital surgery allowing heterosexual intercourse, gonadectomy, and
hormone therapy for feminization were often performed. However, outcome studies
are scarce. OBJECTIVE: Our objective was evaluation of satisfaction with genital
surgery and sexual life in adults with XY,DSD. DESIGN AND METHODS: We evaluated
57 individuals with XY,DSD from the German multicenter clinical evaluation study
with a condition-specific questionnaire. The individuals were divided into
subgroups reflecting the absence/presence of partial androgen effect or genital
constructive surgery. RESULTS: Dissatisfaction with function of the surgical
result (47.1%) and clitoral arousal (47.4%) was high in XY,DSD partially
androgenized females after feminization surgery. Dissatisfaction with overall sex
life (37.5%) and sexual anxieties (44.2%) were substantial in all XY,DSD
individuals. Problems with desire (70.6%), arousal (52.9%), and dyspareunia
(56.3%) were significant in XY,DSD complete females. 46,XY partially androgenized
females reported significantly more often partners of female (9.1%) or both sexes
(18.2%) and dyspareunia (56.5%) compared with controls. Individuals with complete
androgen insensitivity syndrome stated significant problems with desire (81.8%),
arousal (63.6%), and dyspareunia (70%). CONCLUSIONS: Care should be improved in
XY,DSD patients. Constructive genital surgery should be minimized and performed
mainly in adolescence or adulthood with the patients' consent. Individuals with
DSD and their families should be informed with sensibility about the condition.
Multidisciplinary care with psychological and nonprofessional support (parents,
peers, and patients' support groups) is mandatory from child to adulthood.
PMID- 22090274
TI - Insulin resistance is a sufficient basis for hyperandrogenism in lipodystrophic
women with polycystic ovarian syndrome.
AB - CONTEXT: The lipodystrophies (LD) are characterized by metabolic abnormalities
(insulin resistance, hypertriglyceridemia, and diabetes) and a polycystic ovarian
syndrome (PCOS) phenotype. Therapeutic administration of leptin improves insulin
sensitivity and the metabolic features. OBJECTIVE: The objective of the study was
to investigate whether the PCOS features are corrected by increasing insulin
sensitivity as a function of leptin treatment. DESIGN: This was a prospective,
open-label trial using leptin replacement in various forms of lipodystrophy.
SETTING: The study was performed at the Clinical Center at the National
Institutes of Health. PATIENTS OR OTHER PARTICIPANTS: Twenty-three female
patients with LD were enrolled in a leptin replacement trial from 2000 to the
present. Different parameters were assessed at baseline and after 1 yr of
therapy. INTERVENTION(S): Patients were treated with leptin for at least 1 yr.
MAIN OUTCOME MEASURE(S): We evaluated free testosterone, SHBG, and IGF-I at
baseline and after 1 yr of leptin. RESULTS: Testosterone levels decreased from
3.05 +/-0.6 ng/ml at baseline to 1.7 +/-0.3 ng/ml (P = 0.02). SHBG increased from
14.5 +/-2 to 25 +/-3.5 nmol/liter after 1 yr of leptin therapy. There were no
significant changes in the levels of gonadotropins and ovarian size as a result
of leptin replacement therapy. IGF-I increased significantly after leptin therapy
from 150 +/-14 to 195 +/-17. There was a significant decrease in triglycerides
and glycosylated hemoglobin in the context of reduced insulin requirements.
CONCLUSIONS: In the present study, we show that LD may be a model for the common
forms of PCOS and that the endocrine features are corrected by leptin therapy,
which reduces insulin resistance.
PMID- 22090275
TI - LCAT, HDL cholesterol and ischemic cardiovascular disease: a Mendelian
randomization study of HDL cholesterol in 54,500 individuals.
AB - BACKGROUND: Epidemiologically, high-density lipoprotein (HDL) cholesterol levels
associate inversely with risk of ischemic cardiovascular disease. Whether this is
a causal relation is unclear. METHODS: We studied 10,281 participants in the
Copenhagen City Heart Study (CCHS) and 50,523 participants in the Copenhagen
General Population Study (CGPS), of which 991 and 1,693 participants,
respectively, had developed myocardial infarction (MI) by August 2010.
Participants in the CCHS were genotyped for all six variants identified by
resequencing lecithin-cholesterol acyltransferase in 380 individuals. One
variant, S208T (rs4986970, allele frequency 4%), associated with HDL cholesterol
levels in both the CCHS and the CGPS was used to study causality of HDL
cholesterol using instrumental variable analysis. RESULTS: Epidemiologically, in
the CCHS, a 13% (0.21 mmol/liter) decrease in plasma HDL cholesterol levels was
associated with an 18% increase in risk of MI. S208T associated with a 13% (0.21
mmol/liter) decrease in HDL cholesterol levels but not with increased risk of MI
or other ischemic end points. The causal odds ratio for MI for a 50% reduction in
plasma HDL cholesterol due to S208T genotype in both studies combined was 0.49
(0.11-2.16), whereas the hazard ratio for MI for a 50% reduction in plasma HDL
cholesterol in the CCHS was 2.11 (1.70-2.62) (P(comparison) = 0.03). CONCLUSION:
Low plasma HDL cholesterol levels robustly associated with increased risk of MI
but genetically decreased HDL cholesterol did not. This may suggest that low HDL
cholesterol levels per se do not cause MI.
PMID- 22090276
TI - Menin missense mutants encoded by the MEN1 gene that are targeted to the
proteasome: restoration of expression and activity by CHIP siRNA.
AB - CONTEXT: In multiple endocrine neoplasia type 1 (MEN1) characterized by tumors of
parathyroid, enteropancreas, and anterior pituitary, missense mutations in the
MEN1 gene product, menin, occur in a subset of cases. The mutant proteins are
degraded by the proteasome. However, whether their expression and activity can be
restored is not known. OBJECTIVE: Our objective was to functionally characterize
a panel of 16 menin missense mutants, including W423R and S443Y identified in new
MEN1 families, with respect to protein stability, targeting to the proteasome and
restoration of expression by proteasome inhibitors and expression and function by
small interfering RNA technology. METHODS: Flag-tagged wild-type (WT) and
missense menin mutant expression vectors were transiently transfected in human
embryonic kidney (HEK293) and/or rat insulinoma (Rin-5F) cells. RESULTS: The
majority of mutants were short-lived, whereas WT menin was stable. Proteasome
inhibitors MG132 and PS-341 and inhibition of the chaperone, heat-shock protein
70 (Hsp70), or the ubiquitin ligase, COOH terminus of Hsp70-interacting protein
(CHIP), by specific small interfering RNA, restored the levels of the mutants,
whereas that of WT menin was largely unaffected. Inhibition of CHIP restored the
ability of mutants to mediate normal functions of menin: TGF-beta up-regulation
of the promoters of its target genes, the cyclin-dependent kinase inhibitors p15
and p21 as well as TGF-beta inhibition of cell numbers. CONCLUSION: When the
levels of missense menin mutants that are targeted to the proteasome are
normalized they may function similarly to WT menin. Potentially, targeting
specific components of the proteasome chaperone pathway could be beneficial in
treating a subset of MEN1 cases.
PMID- 22090277
TI - Urinary bisphenol A (BPA) concentration associates with obesity and insulin
resistance.
AB - CONTEXT: Bisphenol A (BPA) is one of the world's highest-volume chemicals in use
today. Previous studies have suggested BPA disturbs body weight regulation and
promotes obesity and insulin resistance. But epidemiological data in humans were
limited. OBJECTIVE: Our objective was to determine whether BPA associates with
obesity and insulin resistance. DESIGN, SETTING, AND PARTICIPANTS: This cross
sectional study included 3390 adults aged 40 yr or older, in Songnan Community,
Baoshan District, Shanghai, China. MAIN OUTCOME MEASURES: Questionnaire, clinical
and biochemical measurements, and urinary BPA concentration were determined.
Generalized overweight was defined as body mass index (BMI) of 24 to less than 28
kg/m(2) and obesity was defined as BMI of 28 kg/m(2) or higher. Abdominal obesity
was defined as waist circumference at least 90 cm for men and at least 85 cm for
women. Insulin resistance was defined as the index of homeostasis model
assessment of insulin resistance higher than 2.50. RESULTS: The participants in
the highest quartile of BPA had the highest prevalence of generalized obesity
[odds ratio (OR) = 1.50; 95% confidence interval (CI) = 1.15-1.97], abdominal
obesity (OR = 1.28; 95% CI = 1.03-1.60), and insulin resistance (OR = 1.37; 95%
CI = 1.06-1.77). In participants with BMI under 24 kg/m(2), compared with the
lowest quartile, the highest quartile of BPA increased the prevalence of insulin
resistance by 94% (OR = 1.94; 95% CI = 1.20-3.14), but this association was not
observed in those with BMI of 24 kg/m(2) or higher. CONCLUSIONS: BPA was
positively associated with generalized obesity, abdominal obesity, and insulin
resistance in middle-aged and elderly Chinese adults.
PMID- 22090278
TI - Defects in GLP-1 response to an oral challenge do not play a significant role in
the pathogenesis of prediabetes.
AB - CONTEXT: There has been much speculation as to whether defects in glucagon-like
peptide-1 (GLP-1) secretion play a role in the pathogenesis of type 2 diabetes
and the progression from normal glucose tolerance to prediabetes and diabetes.
OBJECTIVE: Our objective was to determine whether fasting and postchallenge
concentrations of active and total GLP-1 decrease as glucose tolerance and
insulin secretion worsen across the spectrum of prediabetes. DESIGN: This was a
cross-sectional study. SETTING: The study was performed in the clinical research
unit of an academic medical center. PARTICIPANTS: Participants included 165
subjects with a fasting glucose below 7.0 mmol/liter and not taking medications
known to affect gastrointestinal motility or glucose metabolism. INTERVENTION:
Intervention included a 2-h, 75-g oral glucose tolerance test with insulin, C
peptide, glucagon, and GLP-1 measurements at seven time points. MAIN OUTCOME
MEASURE: We evaluated the association of integrated, incremental active, and
total GLP-1 concentrations with integrated, incremental glucose response to 75 g
oral glucose. RESULTS: After accounting for covariates, there was no evidence of
a relationship of incremental glucose concentrations after oral glucose tolerance
test with active and total GLP-1 (r(s) = -0.16 and P = 0.14, and r(s) = 0.00 and
P > 0.9, respectively). There also was no association of GLP-1 concentrations
with insulin secretion and action. CONCLUSIONS: The lack of association of GLP-1
concentrations with glucose tolerance status and with insulin secretion and
action in a cohort encompassing the full spectrum of prediabetes strongly argues
against a significant contribution of defects in GLP-1 secretion to the
pathogenesis of prediabetes.
PMID- 22090279
TI - Baseline sympathetic nervous system activity predicts dietary weight loss in
obese metabolic syndrome subjects.
AB - CONTEXT: The sympathetic nervous system is an important physiological modulator
of basal and postprandial energy expenditure. OBJECTIVE: Our objective was to
investigate whether the variability of weight loss attained during hypocaloric
dietary intervention is related to individual differences in baseline sympathetic
drive and nutritional sympathetic nervous system responsiveness. PARTICIPANTS AND
METHODS: Untreated obese subjects (n = 42; body mass index = 32.1 +/- 0.5
kg/m(2)), aged 57 +/- 1 yr, who fulfilled Adult Treatment Panel III metabolic
syndrome criteria participated in a 12-wk weight loss program using a modified
Dietary Approaches to Treat Hypertension (DASH) diet. Muscle sympathetic nerve
activity (MSNA) was measured by microneurography at rest and in a subset of
subjects during a standard 75-g oral glucose tolerance test. RESULTS: Weight loss
(6.7 +/- 0.5 kg) was independently predicted by baseline resting MSNA burst
incidence (r = 0.38; P = 0.019), which accounted for 14.3% of the variance after
adjustment for age and baseline body weight. Weight loss-resistant subjects in
the lower tertile of weight loss (4.4 +/- 0.3%) had significantly blunted MSNA
responses to oral glucose at baseline compared with successful weight losers (9.6
+/- 0.8%). Absolute Delta MSNA averaged -7 +/- 2, -6 +/- 5, and -3 +/- 3 bursts
per 100 heartbeats at 30, 60, and 90 min after glucose in the weight loss
resistant group. Corresponding values in the successful weight loss group were 9
+/- 3, 12 +/- 3, and 15 +/- 4 bursts per 100 heartbeats (time * group
interaction, P = 0.004). CONCLUSIONS: These findings indicate that baseline
sympathetic drive and nutritional sympathetic responsiveness may be important
prognostic biological markers for weight loss outcome.
PMID- 22090280
TI - Diurnal rhythm of circulating nicotinamide phosphoribosyltransferase
(Nampt/visfatin/PBEF): impact of sleep loss and relation to glucose metabolism.
AB - CONTEXT: Animal studies indicate that nicotinamide phosphoribosyltransferase
[Nampt/visfatin/pre-B-cell colony-enhancing factor (PBEF)] contributes to the
circadian fine-tuning of metabolic turnover. However, it is unknown whether
circulating Nampt concentrations, which are elevated in type 2 diabetes and
obesity, display a diurnal rhythm in humans. OBJECTIVE: Our objective was to
examine the 24-h profile of serum Nampt in humans under conditions of sleep and
sleep deprivation and relate the Nampt pattern to morning postprandial glucose
metabolism. INTERVENTION: Fourteen healthy men participated in two 24-h sessions
starting at 1800 h, including either regular 8-h-night sleep or continuous
wakefulness. Serum Nampt and leptin were measured in 1.5- to 3-h intervals. In
the morning, plasma glucose and serum insulin responses to standardized breakfast
intake were determined. MAIN OUTCOME MEASURES: Under regular sleep-wake
conditions, Nampt levels displayed a pronounced diurnal rhythm, peaking during
early afternoon (P < 0.001) that was inverse to leptin profiles peaking in the
early night. When subjects stayed awake, the Nampt rhythm was preserved but phase
advanced by about 2 h (P < 0.05). Two-hour postprandial plasma glucose
concentrations were elevated after sleep loss (P < 0.05), whereas serum insulin
was not affected. The relative glucose increase due to sleep loss displayed a
positive association with the magnitude of the Nampt phase shift (r = 0.54; P <
0.05). CONCLUSIONS: Serum Nampt concentrations follow a diurnal rhythm, peaking
in the afternoon. Sleep loss induces a Nampt rhythm phase shift that is
positively related to the impairment of postprandial glucose metabolism due to
sleep deprivation, suggesting a regulatory impact of Nampt rhythmicity on glucose
homeostasis.
PMID- 22090281
TI - Phospholipid transfer protein in the placental endothelium is affected by
gestational diabetes mellitus.
AB - CONTEXT: Gestational diabetes mellitus (GDM) causes alterations in fetal high
density lipoproteins (HDL). Because phospholipid transfer protein (PLTP) is
important for HDL (re)assembly and is expressed in the human placenta, we
hypothesized that circulating fetal and/or placental PLTP expression and activity
are altered in GDM. DESIGN: PLTP levels and activity were determined in maternal
and fetal sera from GDM and controls. Placental PLTP was immunolocalized, and its
expression was measured in placental tissue. PLTP regulation by glucose/insulin
was studied in human endothelial cells isolated from placental vessels (HPEC).
RESULTS: Placental Pltp expression was up-regulated in GDM (1.8-fold, P < 0.05).
PLTP protein (5-fold, P < 0.01) and activity (1.4- to 2.5-fold) were higher in
fetal than in maternal serum. The placental endothelium was identified as a major
PLTP location. Insulin treatment of HPEC significantly increased secreted PLTP
levels and activity. In GDM, fetal cholesterol, HDL-triglycerides and
phospholipids were elevated compared with controls. Fetal PLTP activity was
higher than maternal but unaltered in GDM. CONCLUSION: HPEC contribute to the
release of active PLTP into the fetal circulation. Pltp expression is increased
in GDM with hyperglycemia and/or hyperinsulinemia contributing. High PLTP
activity in fetal serum may enhance conversion of HDL into cholesterol-accepting
particles, thereby increasing maternal-fetal cholesterol transfer.
PMID- 22090282
TI - Mifepristone effects on tumor somatostatin receptor expression in two patients
with Cushing's syndrome due to ectopic adrenocorticotropin secretion.
AB - CONTEXT: Two patients presented with Cushing's syndrome due to ectopic ACTH
secretion. Initial localization studies included computed tomography, magnetic
resonance imaging, and octreoscans ((111)In-pentreotide scintigraphy), which were
negative in both patients. They were treated with the glucocorticoid receptor
antagonist mifepristone, with improvement in their clinical symptoms. Follow-up
octreoscans after, respectively, 6 and 12 months showed the unequivocal presence
of a bronchial carcinoid in both patients. OBJECTIVE: The objective of the study
was to correlate in vivo and in vitro findings in patients with ectopic ACTH
producing syndrome. METHODS: We determined the expression of somatostatin and
dopamine receptors by immunohistochemistry (patients 1 and 2), quantitative PCR,
and in vitro culturing of tumor cells (patient 1 only). IN VITRO RESULTS: Both
tumors were strongly positive for somatostatin receptor type 2 (sst(2)) on
immunohistochemistry, whereas one of the tumors (patient 1) was also dopamine
receptor subtype 2 (D(2)) positive on both immunohistochemistry and quantitative
PCR. Octreotide (a sst(2) preferring analog) and cabergoline (D(2) agonist) both
decreased the ACTH levels in the cultured tumor cells of patient 1. CONCLUSION:
We describe two patients with ACTH-producing bronchial carcinoids, in whom a
direct down-regulatory effect of glucocorticoid levels on tumoral sst(2) receptor
expression is suggested by a remarkable change in octreoscan status after
successful mifepristone therapy. Further studies will have to demonstrate whether
glucocorticoid lowering or antagonizing therapy may be used to improve the
diagnostic accuracy of somatostatin receptor scintigraphy in patients with
ectopic ACTH production of unknown primary origin.
PMID- 22090284
TI - Adaptive behaviour, tri-trophic food-web stability and damping of chaos.
AB - We examine the effect of adaptive foraging behaviour within a tri-trophic food
web with intra-guild predation. The intra-guild prey is allowed to adjust its
foraging effort so as to achieve an optimal per capita growth rate in the face of
realized feeding, predation risk and foraging cost. Adaptive fitness-seeking
behaviour of the intra-guild prey has a stabilizing effect on the tri-trophic
food-web dynamics provided that (i) a finite optimal foraging effort exists and
(ii) the trophic transfer efficiency from resource to predator via the intra
guild prey is greater than that from the resource directly. The latter condition
is a general criterion for the feasibility of intra-guild predation as a trophic
mode. Under these conditions, we demonstrate rigorously that adaptive behaviour
will always promote stability of community dynamics in the sense that the region
of parameter space in which stability is achieved is larger than for the non
adaptive counterpart of the system.
PMID- 22090283
TI - A biomaterials approach to peripheral nerve regeneration: bridging the peripheral
nerve gap and enhancing functional recovery.
AB - Microsurgical techniques for the treatment of large peripheral nerve injuries
(such as the gold standard autograft) and its main clinically approved
alternative--hollow nerve guidance conduits (NGCs)--have a number of limitations
that need to be addressed. NGCs, in particular, are limited to treating a
relatively short nerve gap (4 cm in length) and are often associated with poor
functional recovery. Recent advances in biomaterials and tissue engineering
approaches are seeking to overcome the limitations associated with these
treatment methods. This review critically discusses the advances in biomaterial
based NGCs, their limitations and where future improvements may be required.
Recent developments include the incorporation of topographical guidance features
and/or intraluminal structures, which attempt to guide Schwann cell (SC)
migration and axonal regrowth towards their distal targets. The use of such
strategies requires consideration of the size and distribution of these
topographical features, as well as a suitable surface for cell-material
interactions. Likewise, cellular and molecular-based therapies are being
considered for the creation of a more conductive nerve microenvironment. For
example, hurdles associated with the short half-lives and low stability of
molecular therapies are being surmounted through the use of controlled delivery
systems. Similarly, cells (SCs, stem cells and genetically modified cells) are
being delivered with biomaterial matrices in attempts to control their dispersion
and to facilitate their incorporation within the host regeneration process.
Despite recent advances in peripheral nerve repair, there are a number of key
factors that need to be considered in order for these new technologies to reach
the clinic.
PMID- 22090286
TI - Determination and validation of the elastic moduli of small and complex
biological samples: bone and keratin in bird beaks.
AB - In recent years, there has been a surge in the development of finite-element (FE)
models aimed at testing biological hypotheses. For example, recent modelling
efforts suggested that the beak in Darwin's finches probably evolved in response
to fracture avoidance. However, knowledge of the material properties of the
structures involved is crucial for any model. For many biological structures,
these data are not available and may be difficult to obtain experimentally given
the complex nature of biological structures. Beaks are interesting as they appear
to be highly optimized in some cases. In order to understand the biomechanics of
this small and complex structure, we have been developing FE models that take
into account the bilayered structure of the beak consisting of bone and keratin.
Here, we present the results of efforts related to the determination and
validation of the elastic modulus of bone and keratin in bird beaks. The elastic
moduli of fresh and dried samples were obtained using a novel double-indentation
technique and through an inverse analysis. A bending experiment is used for the
inverse analysis and the validation of the measurements. The out-of-plane
displacements during loading are measured using digital speckle pattern
interferometry.
PMID- 22090285
TI - Effects of surface microtopography on the assembly of the osteoclast resorption
apparatus.
AB - Bone degradation by osteoclasts depends on the formation of a sealing zone,
composed of an interlinked network of podosomes, which delimits the degradation
lacuna into which osteoclasts secrete acid and proteolytic enzymes. For
resorption to occur, the sealing zone must be coherent and stable for extended
periods of time. Using titanium roughness gradients ranging from 1 to 4.5 um R(a)
as substrates for osteoclast adhesion, we show that microtopographic obstacles of
a length scale well beyond the range of the 'footprint' of an individual podosome
can slow down sealing-zone expansion. A clear inverse correlation was found
between ring stability, structural integrity and sealing-zone translocation rate.
Direct live-cell microscopy indicated that the expansion of the sealing zone is
locally arrested by steep, three-dimensional 'ridge-like barriers', running
parallel to its perimeter. It was, however, also evident that the sealing zone
can bypass such obstacles, if pulled by neighbouring regions, extending through
flanking, obstacle-free areas. We propose that sealing-zone dynamics, while being
locally regulated by surface roughness, are globally integrated via the
associated actin cytoskeleton. The effect of substrate roughness on osteoclast
behaviour is significant in relation to osteoclast function under physiological
and pathological conditions, and may constitute an important consideration in the
design of advanced bone replacements.
PMID- 22090287
TI - Apoptosis induced by ZnPcH1-based photodynamic therapy in Jurkat cells and HEL
cells.
AB - Photodynamic therapy (PDT) can selectively and effectively kill tumor cells, and
photosensitization is the key to these anti-tumor effects. In this study, we
investigated the killing mechanisms of the photosensitizer ZnPcH1 (a mono-alpha
substituted zinc(II) phthalocyanine synthesized in China), in the acute lymphoid
leukemia cell line Jurkat and the acute erythroleukemia cell line HEL. Results
from acridine orange/ethidium bromide fluorescence staining, DNA gel
electrophoresis, and Annexin-V(FITC/PI) double-stained flow cytometry analysis
indicated that ZnPcH1-PDT induced apoptosis in Jurkat and HEL cells, with Jurkat
cells being more sensitive. Following ZnPcH1-PDT treatment, upregulation of p53
and Bax, downregulation of HSP70, Bcl-2 and Akt, and inhibition of the
phosphorylation of Akt and GSK3beta were observed. Our results establish a
theoretical basis for the application of ZnPcH1-PDT in the treatment of acute
leukemia.
PMID- 22090288
TI - [Genotyping of nosocomial methicillin-resistant Staphylococcus aureus strains
isolated from clinical specimens by rep-PCR].
AB - Methicillin-resistant Staphylococcus aureus (MRSA) infections are associated with
increased cost, mortality and length of hospital stay compared with the other
infections. Therefore, controlling the spread of this pathogen by screening
patients, personnel and the environment remains as a high priority in infection
control programs. The aim of this study was to detect the clonal relationship
between nosocomial MRSA strains by using repetitive-sequence-based polymerase
chain reaction (rep-PCR) method which has several advantages owing to its speed
and ease of use. A total of 100 MRSA stock strains that had been isolated from
various clinical samples of hospitalized patients in Erciyes University Medical
Faculty Hospitals between September 2008-October 2009, were included in the
study. Methicillin resistance of the strains were determined by cefoxitin disc
diffusion test according to CLSI guidelines. Rep-PCR (Diversilab, bioMerieux,
France) method was performed in the following four steps in order to determine
genetic proximity of MRSA strains: (1) Manual DNA extraction (UltraClean
Microbial DNA Isolation Kit; MoBio Laboratories, USA), (2) Rep-PCR by using
fingerprinting kits in the thermocycler (Diversilab DNA Fingerprinting Kit), (3)
Automated microfluidic electrophoresis by bioanalyzer (Diversilab DNA LabChip
kit), (4) Analysis and rapid evaluation with the use of web-based DiversiLab
software (version 2.1.66). Rep-PCR analysis have shown the presence of a total 11
clones, including 3 major clones [A (4 subtypes), B (2 subtypes) and C (2
subtypes)] and 8 unique clones (DK). Clone A was found to be the dominant type.
Seventy-eight percent of the 100 MRSA isolates belonged to clone A (63 were A1; 9
were A2; 4 were A3, 2 were A4), 11% belonged to clone B (10 were B1, 1 was B2),
3% belonged to clone C (2 were C1, 1 was C2), and one of each belonged to the
other clones (D, E, F, G, H, I, J, K). Clone A was isolated from 93.3% (14/15) of
the samples sent from internal diseases intensive care unit (ICU), from 66.6%
(10/15) of the samples sent from infectious diseases ward and 91% (10/11) of
hematology-oncology ward samples. All MRSA strains isolated from anesthesiology
and newborn ICU were of clone A. The isolation dates of these strains were in
proximity. In conclusion, MRSA strains showed clonal dissemination in our
hospital, clone A being the predominant one during the study period. Rep-PCR
which is a rapid and reliable method, can easily be applied for molecular
epidemiological purposes and aid to infection control measures.
PMID- 22090289
TI - [Evaluation of rapid genotype assay for the identification of gram-positive cocci
from blood cultures and detection of mecA and van genes].
AB - Rapid and accurate identification of bacterial pathogens grown in blood cultures
of patients with sepsis is crucial for prompt initiation of appropriate therapy
in order to decrease related morbidity and mortality rates. Although current
automated blood culture systems led to a significant improvement in bacterial
detection time, more rapid identification systems are still needed to optimise
the establishment of treatment. Novel genotype technology which is developed for
the rapid diagnosis of sepsis, is a molecular genetic assay based on DNA
multiplex amplification with biotinylated primers followed by hybridization to
membrane bound probes. The aim of this study was to evaluate the performance of
"Genotype(r) BC gram-positive? test for the identification of gram-positive cocci
grown in blood cultures and rapid detection of mecA and van genes. This test uses
DNA.STRIP(r) technology which includes a panel of probes for identification of 17
gram-positive bacterial species and is able to determinate the methicillin and
vancomycin resistance mediating genes (mecA and vanA, vanB, vanC1, vanC2/C3)
simultaneously, in a single test run. A total of 55 positive blood cultures from
BACTECTM Plus/F (Becton Dickinson, USA) aerobic and pediatric blood culture vials
were included in the study. The isolates which exhibit gram-positive coccus
morphology by Gram staining were identified by Genotype (r) BC gram-positive test
(Hain Life Science, Germany). All of the samples were also identified with the
use of Phoenix PMIC/ID Panel (Becton Dickinson, USA) and antibiotic
susceptibilities were determined. Of the 55 blood culture isolates, 17 were
identified as Staphylococcus epidermidis [all were methicillin-resistant (MR)], 9
were S.aureus (one was MR), 18 were S.hominis (10 were MR), 4 were E.faecalis, 3
were E. faecium (one was vanconycin-resistant), 2 were S.saprophyticus (one was
MR), 1 was S.warneri and 1 was S.haemolyticus, by Phoenix automated system.
Genotype(r) BC gram-positive test results revealed consistency with Phoenix
system regarding bacterial identification in 46 (83.6%) of the samples. The two
bacteria identified as S.saprophyticus by the Phoenix system could not be
identified by the Genotype(r) BC test since this species were not included in the
identification panel of the system, however, mecA gene were detected in these two
samples by Genotype(r) BC test. Genotype(r) BC test detected mecA gene in five
samples which were not detected as methicillin resistant by the Phoenix system.
Besides polymicrobial growth was determined in five samples by Genotype (r) BC
test, but not by the automated system. One E.faecium isolate with vanA gene was
correctly identified by Genotype(r) BC test. In conclusion, Genotype(r) BC gram
positive test is a fast and reliable test for the identification of the most
important gram-positive pathogens and mecA and van genes directly from positive
blood culture bottles. This test was also found superior than the automated
Phoenix system regarding the detection of polymicrobial growth. These data
indicated that, routine use of DNA strip technology-based assay would be useful
for clinical diagnosis in patients with sepsis.
PMID- 22090290
TI - [Investigation of plasmid-mediated quinolone resistance in Pseudomonas aeruginosa
strains isolated from cystic fibrosis patients].
AB - Pseudomonas aeruginosa which is widely found in the environment, may lead to
serious nosocomial infections. Due to its intrinsic resistance to many
antibacterial agents, treatment of P.aeruginosa infections usually present
difficulty. Quinolones, especially ciprofloxacin, are crutial antibiotics for the
treatment of P.aeruginosa infections. However resistance developing to quinolones
may become an important problem. Resistance to quinolones is often a result of
chromosomal mutations and by the effect of efflux pumps. Recently plasmid
mediated quinolone resistance have been reportedin the members of
Enterobacteriaceae family. The gene responsible for this resistance is called
qnr. In addition to qnr genes there is also another gene called aac(6?)-Ib-cr
responsible for plasmid-mediated quinolone resistance and aminoglycoside
resistance. Limited studies which to screen P.aeruginosa strains for the presence
of qnr gene region, revealed no positivity. The aim of this study was to
investigate the plasmid-mediated quinolone resistance in P.aeruginosa strains
isolated from cystic fibrosis patients. A total of 110 P.aeruginosa strains
isolated from respiratory tract specimens from the patients were included in the
study. Ciprofloxacin susceptibilities of the isolates were detected by Kirby
Bauer disk diffusion method according to CLSI guidelines. The presence of qnrA,
qnrB, qnrC, qnrS and aac(6')-Ib-cr genes were searched by multiplex polymerase
chain reaction (PCR) with the use of specific individual primer pairs. As
positive control strains, Escherichia coli J53 pMG252 (qnrA1 positive), E.coli
J53 pMG252 (qnrS1 positive), E.coli J53 pMG258 (qnrB1 and aac(6')-Ib-cr
positive), Klebsiella pneumoniae ref.15 (qnrB positive), Enterobacter cloacae
ref.287 (qnrS positive), E.coli ref.20 (qnrA positive) and E.coli DH10 conjugated
with pHS11 plasmid (qnrC positive) were used. Of 110 P.aeruginosa clinical
isolates, 13 were found resistant to ciprofloxacin, while 7 were intermediate.
However multiplex PCR yielded no positivity in terms of qnrA, qnrB, qnrC, qnrS
and aac(6')-Ib-cr gene regions. In conclusion, although our results indicated
that none of the tested P.aeruginosa strains harboured those genes, further
multicenter studies with large numbers of isolates are needed to confirm these
results.
PMID- 22090291
TI - [Antimicrobial resistance profiles of Shigella spp. isolated from feces samples
in Hacettepe University Ihsan Dogramaci Children's Hospital between 1999-2010].
AB - The symptoms of infections caused by Shigella spp. are diverse and may change
from person to person. The choice of antibiotics as well as the prevention of the
loss of fluid and electrolytes are important in the clinical recovery. The local
resistance rates to antibiotics should be taken into consideration when planning
empirical therapy. The aims of this retrospective study were to detect the in
vitro antimicrobial susceptibility of 605 Shigella spp. strains isolated from
feces samples of children at Hacettepe University Ihsan Dogramaci Children's
Hospital between 1999 and 2010 and to compare the resistance rates by years.
Susceptibility to ampicillin, cefotaxime, trimethoprim/sulfamethoxazole (T/S),
nalidixic acid, and ciprofloxacin were determined in Mueller-Hinton Agar by disk
diffusion method according to CLSI criteria. Among a total of 605 Shigella
strains, 526 were identified as S.sonnei, 69 as S.flexneri, nine as S.boydii and
one as S.dysenteriae. Resistance rates to ampicillin, cefotaxime, T/S and
nalidixic acid were 24.3%, 3.6% 74.2% and 4.6%, respectively. All of the isolates
were found susceptible to ciprofloxacin. Antibiotic resistance rates of the
isolates did not exhibit any differences between the years. S.dysenteriae was
isolated once in 2003 throughout this 12 year survey and the isolate was found
susceptible to T/S and ciprofloxacin. A significant yearly decrease was detected
in the number of stool cultures and number of Shigella spp. isolated in stool (p<
0.001). Ampicillin resistance was higher in S.flexneri (77.8%) and S.boydii
(62.5%) than S.sonnei (17%). However, T/S resistance was higher in S.sonnei
(78.9%) than S.flexneri (52.5%) and S.boydii (11.1%). In conclusion, continuous
surveillance of resistance among Shigella species in Turkey seems to be
imperative for establishing empirical treatment guidelines in our country.
PMID- 22090292
TI - [Evaluation of blood agar medium for the growth of mycobacteria].
AB - This study was aimed to evaluate the performance of blood agar for the growth of
mycobacteria from clinical specimens sent to Mycobacteriology Laboratory of
Samsun Chest Diseases Hospital. One hundred fifty six clinical specimens
including 123 sputum, 28 bronchoalveolar lavage (BAL) and 5 pleural fluid
specimens were inoculated in Lowenstein-Jensen (LJ), BACTEC MGIT 960 system
(Becton Dickinson, USA) and blood agar following decontamination process. The
specimens were also simultaneously examined for the presence of acid-fast bacilli
(AFB). Thirty five mycobacteria strains (33 Mycobacterium tuberculosis and 2
atypical mycobacteria) grew in blood agar, 38 (36 M.tuberculosis and 2 atypical
mycobacteria) in LJ media and 46 (44 M.tuberculosis and 2 atypical mycobacteria)
in BACTEC MGIT 960 system. Among 29 AFB negative specimens, 20 revealed growth in
both blood agar and LJ medium and 27 in MGIT system. AFB positive 20 samples
yielded growth in 15 samples in blood agar, 18 in LJ medium and 19 in MGIT
system. Among the total of 156 samples, contamination was observed in 15 (9.6%)
samples in blood agar, 16 (10.2%) in LJ medium and 18 (11.5%) in MGIT system.
Growth time was 5-35 days (mean 18 +/- 7.4), 11-35 days (mean 19 +/- 5.9) and 5
15 days (mean 10 +/- 2.4) for blood agar, LJ medium and BACTEC MGIT 960 system,
respectively. The three samples which revealed contamination in BACTEC MGIT 960
system, grew successfully in both blood agar and LJ medium without contamination.
In one sample, growth was observed only in LJ medium but neither in blood agar
nor BACTEC MGIT 960 system. However, in another sample, growth was observed only
in blood agar while no growth was detected in LJ or BACTEC MGIT 960 system. Six
samples yielded mycobacteria only in BACTEC MGIT 960 system. These results
indicated that simultaneous use of one liquid and one solid medium to grow
mycobacteria from the clinical samples seemed to be complementary. Blood agar was
a promising choice since it was found to be as effective as LJ medium for the
growth of mycobacteria, however, this issue needs to be further evaluated in a
multicentre study with a larger specimen collection.
PMID- 22090293
TI - [Comparison of proportion method in Lowenstein-Jensen medium with the BACTEC 460
TB system for antimycobacterial susceptibility testing of Mycobacterium
tuberculosis isolates].
AB - This study was conducted to compare BACTEC 460 TB system and the proportion
method in commercially available and ready to use antibiotic added Loweinstein
Jensen (LJ) medium for susceptibility testing of first line drugs in
Mycobacterium tuberculosis complex isolates. A total 238 M.tuberculosis strains
isolated from clinical samples in our laboratory between 2006-2010 period were
included in the study. Susceptibility testing for streptomycin, isoniazid,
rifampicin and ethambutol in commercially provided LJ medium (Salubris Inc.,
Istanbul) was performed by the proportion method as recommended by the
manufacturer, and the results were compared with the results of BACTEC 460 TB
(Becton Dickinson, USA) system. Resistance rates of M.tuberculosis strains
against streptomycin, isoniasid, rifampicin and ethambutol obtained by BACTEC 460
TB system were 19.7%, 42%, 40.8% and 18%, respectively. Those rates were 22.7%,
38.7%, 37% and 15.5%, respectively, by antibiotic added LJ proportion method.
There was no statistically significant difference between the two methods in
terms of resistance rates (p> 0.05). The rates of consistency between proportion
method in LJ medium and BACTEC 460 TB system for streptomycin, isoniasid,
rifampicin and ethambutol susceptibility were found as 85.3%, 92.4%, 95.4% and
92.4%, respectively. When comparing the reporting time (interval between
beginning of the process to reporting of the results) of the methods, minimal,
maximal and average reporting spans for BACTEC 460 TB system were 5, 12 and 8.08
+/- 2.65 days, and 15, 42 and 23.89 +/- 6.02 days for the proportion method in LJ
medium, respectively, being statistically significant (p= 0.001). It was
determined that the sensitivity test results of major antimycobacterial drugs in
commercial LJ medium were compatible with the BACTEC 460 TB system. Nonetheless,
the rate of incompatible results was higher for STR than the other drugs.
Although there has been some disadvantages such as longer reporting time, need
for experience in manual processing and visual evaluation, standardized LJ media
approved for quality can be used for susceptibility testing of M.tuberculosis in
the laboratories which do not have eligible conditions for the establishment of
automated systems.
PMID- 22090294
TI - [Development and optimization of an in-house PCR method for molecular diagnosis
of pertussis].
AB - Pertussis (whooping cough), caused by Bordetella pertussis is a severe, acute
contagious disease of the respiratory system and it affects mostly children and
also susceptible individuals of all ages. Although the conventional culture
method used for diagnosis is highly specific, it has a lower sensitivity.
Therefore, there is a need for a sensitive, specific and rapid method for
diagnosis of pertussis. Polymerase chain reaction (PCR), introduced recently as a
new approach for diagnosis of pertussis, has been shown to be more sensitive than
culture method. Pertussis toxin gene (ptxA-Pr), insertion sequence genes (IS481
and IS1001), adenylate cyclase genes and structural porin and flagellin genes
were chosen as targets for PCR, in different studies. This study aimed to develop
and optimize a diagnostic inhouse PCR method by using primers specific for ptxA
Pr and IS481 gene regions. An in-house PCR method was developed by using primer
pairs of PTp1/PTp2 specific for ptxA-Pr gene and PIp1/PIp2 specific for IS481
gene and DNAs of various bacterial reference strains. Throat samples obtained
from 45 healthy individuals and B.pertussis reference strain with decreasing
concentrations were mixed to constitute a group of "representative clinical
samples" and used to test and optimize sensitivity and specificity of the method.
The in-house PCR with PTp1/PTp2 primers showed a very high specificity but a low
sensitivity with a value of 34.4 cfu/Rm (colony forming unit/reaction mixture).
Whereas, the inhouse PCR with PIp1/PIp2 primers exhibited a low specificity due
to cross-reactivity with B. Pertussis and B.bronchiseptica but much higher
sensitivity with a value of 1.12 cfu/Rm. The experiments performed with the
representative clinical samples yielded similar results. Simultaneously applied
cultivation studies indicated the detection limit of the PCR method as 2 x 103
cfu/ml. Based on our results, the PCR targeting IS481 gene had high sensitivity
while the PCR targeting ptxA-Pr gene had high specificity. It was concluded that,
PCR method targeting the IS481 gene might be used for pre-diagnosis and then PCR
for ptxA-Pr gene might be applied for the confirmation of B.pertussis in the
molecular diagnosis of pertussis.
PMID- 22090295
TI - [Evaluation of vancomycin-resistant enterococcus colonization at Gaziantep
Children's Hospital, Turkey].
AB - Enterococci are members of normal flora of human gastrointestinal system, and
occupy the first places among the agents causing nosocomial infection. The most
frequent origin of vancomycin-resistant enterococcus (VRE) is the
gastrointestinal colonization in hospitalized patients. Prolonged
hospitalization, long-term antibiotic use and severe underlying diseases increase
the risk of VRE colonization. Routine VRE surveillance of high-risk group
patients is crucial for early detection and implementation of precautions to
impede the development of infection and spread of VRE. The aim of this study was
to evaluate the status of VRE colonization in Oncology Department of Gaziantep
Children's Hospital, Turkey, following a VRE isolation from the urine sample of a
patient (index case). In the first phase of this point prevalence study VRE
screening was done after positive VRE result was obtained from the index case,
and in the second phase VRE colonization rate was investigated after the
implementation of infection control policies. Perirectal swab samples collected
from patients were cultivated into supplemented VRE agar base (Oxoid, UK)
including vancomycin 6 ug/ml and 5% sheep blood agar. The isolates were
identified by conventional methods together with API 20 Strep (bioMerieux,
France) and VITEK2 (bioMerieux, France) identification systems. Vancomycin (30
ug) and teicoplanin (30 ug) susceptibilities of the isolates were investigated by
Kirby-Bauer disc diffusion method according to CLSI criteria. In addition, VITEK2
antibiogram cards, AST-592 were used to determine antibiotic susceptibilities. In
the first phase of the surveillance a total of 123 perirectal swab specimens
obtained from patients staying at oncology, burn, pediatric surgery and intensive
care units (ICU) were investigated and the rate of VRE colonization was
determined as 14.6% (18/123). Thirteen of the VRE colonized patients were from
oncology wards and five were from ICU. Upon the detection of VRE colonization,
contact isolation was implemented and hospital staff was educated for hand
washing and restricted antibotic use policies were established. To evaluate the
efficacy of infection control implementations, perirectal swab samples were
collected from 242 patients under antibiotic treatment and hospitalized in
several wards and ICU for >= 3 days. The results of this second control
surveillance revealed that VRE colonization rate declined to 3.3% (8/242), and
three of these VRE colonized patients were in the ICU, three in the oncology ward
and one of each in burn and pediatric wards. During the study period blood stream
infection developed in three of the previously colonized oncology patients of
whom one patient also had simultaneous pneumoniae due to VRE. The results of this
study indicated the importance of VRE surveillance at the hospital setting. The
determination of the VRE colonization in the hospital will help the
implementation of appropriate infection control measures and eventually decrease
the rate of nosocomial VRE infection.
PMID- 22090296
TI - [Investigation of Brucella canis seropositivity by in-house slide agglutination
test antigen in healthy blood donors].
AB - Canine brucellosis which is due to Brucella canis, is transmitted to man by
infected dogs or their secretions. The symptoms of canine brucellosis are similar
to the symptoms of brucellosis caused by other Brucella species and endocarditis
or meningitis may develop in untreated cases. There is limited data regarding
B.canis infections in man and the current status of the disease is insufficiently
evaluated in our country. Serological diagnosis of brucellosis is classically
based on standard slide and tube agglutination tests. However, the antigens used
in these tests detect antibodies that develop against species (B.melitensis,
B.abortus, B.suis) with "smooth" lipopolysaccharides in their cell wall. B.canis
has "rough" lipopolysaccharide in its cell wall and thus these classical tests
can not detect antibodies against B.canis. Besides there is no commercial slide
agglutination test which uses B.canis antigens. The aim of this study was to
investigate the B.canis seropositivity by slide agglutination test (SAT), using
homemade B.canis antigen, in healthy subjects and to determine the prevalence of
B.canis infection in our population. A total of 1930 blood donors (age range: 18
55 years) who were admitted to the blood donation centers of different hospitals
in Kocaeli province (located at Northwestern part of Turkey) between January
December 2010, have been included in the study. All of the subjects were negative
in terms of Rose-Bengal plate test (B.abortus antigen test). Undiluted serum
samples were initially screened by SAT, and those which were found positive were
retested by SAT in the dilutions of 1/25 - 1/200. Confirmation of the positive
results was performed by using 2-mercaptoethanol (2-ME) SAT. The test antigen
(Alton antigen) was prepared from the less mucoid M(-) variant of B.canis, and
1/1048 titered dog antiserum was used as positive control. Of the 1930 blood
donors sera, 40 (2.1%) were found positive with SAT, whereas 16 of them yielded
equivocal positive (12 were 1/50, 4 were 1/100 titers) and 15 yielded positive
(>= 1/200 titer) results with 2-ME SAT. As a result, B.canis seropositivity rate
in the healthy subjects in this study was estimated as 1.6% (31/1930). The
integration of B.canis SAT to the routine serological tests applied for
brucellosis diagnosis might aid to the data related to brucellosis epidemiology.
B.canis seroprevalence determined as 1.6% in this study supplied a basic data
about the infection in our country. However, larger scale, multicenter studies
with different patient and risk groups should be conducted to further evaluate
the epidemiology of B.canis infections in Turkey.
PMID- 22090297
TI - [A real-time PCR assay for the quantification of hepatitis B virus DNA and
concurrent detection of YMDD motif mutations].
AB - Monitoring therapy in chronic hepatitis B patients receiving lamivudine therapy,
is done by two different assays; determination of viral load and genotypic
resistance. These methods are labor intensive and time consuming. It was aimed to
develop an assay to quantitate hepatitis B virus (HBV) DNA in serum and detect
YMDD (thyrosine, methionine, aspartate, aspartate) motif mutations in the same
run. The assay was based on real-time polymerase chain reaction (Rt-PCR) with
YMDD-specific hybridization probes. Determination of YMDD motif was done by
melting temperature analysis. External standard curve was used for quantifying
viral DNA, which was generated by standard sera (VQC S2220) including HBV-DNA
between concentrations of 1000 to 3 million copies/ml. The assay was compared
with commercial quantitative kit (Artus HBV RG PCR; Qiagen, Germany), commercial
line prob assay (INNO-LiPA HBV DR v1.0; Innogenetics, Belgium) and direct DNA
sequencing method. Thirty-eight serum samples obtained from 20 chronic hepatitis
B patients (7 female, 13 male; age range: 27-70 years) treated with only
lamivudine and were negative for HIV and HCV antigen and antibodies were tested
in the study. The analytical sensitivity of the assay was found as 200 copies/ml,
with a dynamic range of 1 x 103 to 3 x 107 copies/ml. PCR efficiency of the in
house assay was found to be 1.98. Comparison of log10 HBV-DNA concentrations
determined by the in-house and commercial quantitative kits showed a significant
correlation (r= 0.681). Melting temperature (Tm) analysis was used for the YMDD
motif determination and found to be 59.86 degrees C for YMDD, 56.34 degrees C for
YVDD and 55.10 degrees C for YIDD. The results of the in-house assay, DNA
sequencing and LiPA were concordant in samples with homogeneous virus population,
and in-house assay could also detect the major type of YMDD motif in mixed viral
populations The Rt-PCR method which was developed in this study is a rapid,
accurate and reproducible method for quantifying HBV-DNA and detecting the
predominant YMDD motif in the same run in two hours duration. It was concluded
that this method may be a convenient tool for monitoring HBV-infected patients
receiving lamivudine treatment.
PMID- 22090298
TI - [Investigation of Epstein-Barr virus and herpes simplex virus markers by
serological and molecular methods in patients with rheumatoid arthritis and
systemic lupus erythematosus].
AB - Rheumatoid arthritis (RA) and systemic lupus erythematosus (SLE) which are
autoimmune diseases usually questioned for their association with many infectious
agents have etiopathogenesis related to genetic, immunologic, hormonal and even
environmental factors. The most commonly attributed etiologic agents are herpes
group viruses. The aim of this study was to investigate the role of Epstein-Barr
virus (EBV) and herpes simplex (HSV) viruses in the etiology of RA and SLE. A
total of 137 patients (87 RA and 50 SLE; mean age: 33 +/- 12 years) who were
admitted to Eskisehir Osmangazi University Medical Faculty Rheumatology
Department between January 2007-January 2008 and diagnosed according to 1987 ACR
(American College of Rheumatology) criteria have been included in the study,
together with 50 healthy blood donors (mean age: 35 +/- 14 years) as control
group. Serum samples obtained from all of the cases were tested for EBV VCA-IgG,
VCA-IgM, EA/D-IgG and EBNA-IgG (Trinity Biotech, USA); IgM and IgG antibodies
against HSV-1 and HSV-2 by ELISA method (Dia-Pro Diagnostic, Italy), and the
presence of viral nucleic acids in blood samples were investigated by real-time
quantitative polymerase chain reaction (RTPCR; Qiagen, USA). EBV VCA-IgM was
negative in all of the RA, SLE and control group patients. VCA-IgG positivity
were 98% and 96%, and for EBNA-IgG 98.5% and 100%, in patient and control groups,
respectively. There was no statistically significant difference between the
groups regarding VCA-IgG and EBNA- IgG positivity (p> 0.05). On the other hand,
EBV EA/D-IgG positivity rate found in the SLE group (34%) was significantly
higher than RA (7%) and control (12%) groups (p< 0.001 and p< 0.05,
respectively). There was no significant difference between RA and control groups
in terms of EA/D-IgG positivity (p> 0.05). Regarding herpes simplex virus
serology, HSV1-IgG seropositivity were 99% and 94% and HSV2-IgG positivity were
8% and 12% in the patient and control groups, respectively. There was no
statistically significant difference between the groups according to the
positivity rates of IgM and IgG specific for HSV-1 and HSV-2 (p> 0.05). All of
the cases were found negative in terms of EBV, HSV-1 and HSV- 2 DNAs according to
double-checked RT-PCR results. In conclusion, no significant difference was
determined for EBV and HSV serologic markers in RA and SLE patients compared to
the control group. However, significantly higher rate of EBV EA/D-IgG positivity
in SLE patients might have indicated a possible association between SLE and EBV
infection. Larger scale, prospective studies including examination of the
synovial fluid/tissue samples are required to enlighten the association between
SLE and EBV.
PMID- 22090299
TI - [Pandemic influenza A (H1N1)v vaccination status and factors affecting
vaccination: Ankara and Diyarbakir 2009 data from Turkey].
AB - In this study, it was aimed to determine the frequency of the symptoms of
influenza-like illness during influenza A (H1N1)v pandemic in two provinces where
sentinel influenza surveillance was conducted and also to obtain opinions about
H1N1 influenza and vaccination, H1N1 vaccination status and factors affecting
vaccination. This cross-sectional study was conducted in the provinces of Ankara
(capital city, located at Central Anatolia) and Diyarbakir (located at
southeastern Anatolia). It was planned to include 455 houses in Ankara and 276
houses in Diyarbakir. The household participation rate in the study was 78.9% and
53.6% for Ankara and Diyarbakir, respectively. Our study was carried out between
January-February 2010, with 1164 participants from Ankara and 804 from
Diyarbakir, including every household subjects except for infants younger than 11
months and patients with primary/secondary immunodeficiency diseases. Data was
collected by site teams consisting of a physician and a healthcare staff with
informed consent. Of the participants 45.5% from Ankara and 35.3% from Diyarbakir
stated that they had gone through an influenza-like illness. The most frequently
indicated clinical symptoms were fatigue/weakness, rhinitis, sore throat and
cough. The rates of admission to a physician with influenza like illness
complaints were 50.6% and 58.7%; rates of hospitalization due to influenza-like
illness were 1% and 1.5%, and rates of antiviral drug use were 3.8% and 1.9%, in
Ankara ve Diyarbakir participants, respectively. The rate of personal precautions
taken by the subjects for prevention from pandemic influenza were 59% and 53.3%,
in Ankara and Diyarbakir, respectively. These precautions most frequently were
"hand washing" and "avoiding crowded public areas". H1N1 influenza vaccine was
applied in 9.3% of the participants in Ankara and in 3.7% of the participants in
Diyarbakir. Vaccination rate was higher in both of the provinces in adults over
25 years old than children and adolescents and in patients with chronic
underlying disease. None of the 25 pregnant participants were vaccinated against
pandemic influenza. The educational background, employment status and quality of
the job have been detected as factors affecting the status of being vaccinated
with H1N1 influenza vaccine in both provinces. In addition, the percentage of
having H1N1 influenza vaccination was found to be higher in subjects who had
seasonal influenza vaccination previously and in 2009, than those who had not,
and this difference was statistically significant in both provinces (Ankara p<
0.001, Diyarbakir p< 0.001). The mostly indicated post-vaccination adverse
reactions reported by vaccinated participants were local sensitivity, muscle and
joint pains, headache and malaise. The most frequent rationale for not being
vaccinated against H1N1 were "I do not consider it necessary/I do not want"
(Ankara 33.4%, Diyarbakir 27.4%) and "I do not believe/trust its efficacy"
(Ankara 25.6%, Diyarbakir 22.6%). Those data emphasized the insufficient
awareness of our population about the importance of pandemic influenza and
vaccine. It is also believed that possible case definition in H1N1 case
management scheme should be revised. In conclusion an important part of pandemic
preparation plans is risk communication with the public to increase awareness and
to prevent the missed opportunities.
PMID- 22090300
TI - [In vitro biofilm formation and relationship with antifungal resistance of
Candida spp. isolated from vaginal and intrauterine device string samples of
women with vaginal complaints].
AB - Intrauterin device (IUD) application is a widely used effective, safe and
economic method for family planning. However IUD use may cause certain changes in
vaginal ecosystem and may disturb microflora leading to increased colonization of
various opportunistic pathogen microorganisms. The aims of this study were (i) to
detect the biofilm production characteristics of Candida spp. isolated from
vaginal and IUD string samples of women with IUDs, and (ii) to investigate the
relationship between biofilm production and antifungal resistance. A total of 250
women (mean age: 34.4 +/- 7.6 years) admitted to gynecology outpatient clinics
with vaginal symptoms (discharge and itching) were included in the study. The
patients have been implanted CuT380a type IUDs for a mean duration of 59.8 +/-
42.4 months. Without removing IUD, string samples were obtained by cutting and
simultaneous vaginal swab samples were also collected. Isolated Candida spp. were
identified by conventional methods and API 20C AUX (BioMerieux, Fransa) system.
Minimal inhibitory concentrations (MIC) of fluconazole, itraconazole and
amphotericin B were determined by broth microdilution method according to the
CLSI guidelines. Biofilm formation was evaluated by crystal violet staining and
XTT-reduction assays, and the isolates which yielded positive results in both of
the methods were accepted as biofilm-producers. In the study, Candida spp. were
isolated from 33.2% (83/250) of the vaginal and 34% (85/250) of the IUD string
samples, C.albicans being the most frequently detected species (54 and 66 strains
for the samples, respectively). The total in vitro biofilm formation rate was 25%
(21/83) for vaginal isolates and 44.7% (38/85) for IUD string isolates. Biofilm
formation rate of vaginal C.albicans isolates was significantly lower than
vaginal non-albicans Candida spp. (14.8% and 44.8%, respectively; p= 0.003).
Biofilm formation rate of C.albicans strains isolated from vaginal and IUD string
samples were found as 14.8% (8/54) and 45.5% (30/66), with a statistically
significant importance (p< 0.001). However, no statistically significant
difference was detected for biofilm formation rates of non-albicans Candida spp.
when sample types were considered [44.8% (13/29) and 42.1% (8/19), respectively;
p> 0.05]. Fluconazole resistance was significantly higher in biofilm-producing
vaginal Candida spp. than those of nonproducers (52.4% vs. 16.1%; p= 0.001),
however, itraconazole resistance was found similar in biofilmproducer and non
producer isolates (47.6% vs. 32.3%; p> 0.05). Resistance rates for both
fluconazole and itraconazole were higher in biofilm-producers (39.5% and 52.6%,
respectively), than those of non-producers (10.6% and 29.8%, respectively),
representing a statistical significance (p= 0.002 and p= 0.03, respectively) for
Candida spp. strains isolated from IUD string samples. The overall resistance
rates of C.albicans and non-albicans Candida spp. against fluconazole, were
determined as 15% and 54.2%, respectively, while those rates were 24.2% and
68.7%, respectively, against itraconazole. MIC value of amphotericin B for all of
the Candida spp. isolates was <= 1.5 ug/ml. In conclusion, the data obtained from
this study revealed that Candida spp. May lead to vaginal infections by inducing
biofilm formation in IUD strings and these biofilms may be related to resistance
to antifungal agents. Thus, women using IUDs should be followed-up periodically
for the development of biofilms in their IUD strings.
PMID- 22090301
TI - [Evaluation of Malassezia species by Fourier transform infrared (FT-IR)
spectroscopy].
AB - Malassezia species which are lipophilic exobasidiomycetes fungi, have been
accepted as members of normal cutaneous flora as well as causative agent of
certain skin diseases. In routine microbiology laboratory, species
identification based on phenotypic characters may not yield identical results
with taxonomic studies. Lipophilic and lipid-dependent Malassezia yeasts require
lipid-enriched complex media. For this reason, Fourier transform infrared (FT-IR)
spectroscopy analysis focused on lipid window may be useful for identification of
Malassezia species. In this study, 10 different standard Malassezia species
(M.dermatis CBS 9145, M.furfur CBS 7019, M.japonica CBS 9432, M.globosa CBS 7966,
M.nana CBS 9561, M.obtusa CBS 7876, M.pachydermatis CBS 1879, M.slooffiae CBS
7956, M.sympodialis CBS 7222 and M.yamatoensis CBS 9725) which are human
pathogens, have been analyzed by FT-IR spectroscopy following standard
cultivation onto modified Dixon agar medium. Results showed that two main groups
(M1; M.globosa, M.obtusa, M.sympodialis, M.dermatis, M.pachydermatis vs, M2;
M.furfur, M.japonica, M.nana, M.slooffiae, M.yamatoensis) were discriminated by
whole spectra analysis. M.obtusa in M1 by 1686-1606 cm-1 wavenumber ranges and
M.japonicum in M2 by 2993-2812 cm-1 wavenumber ranges were identified with low
level discrimination power. Discriminatory areas for species differentiation of
M1 members as M.sympodialis, M.globosa and M.pachydermatis and M2 members as
M.furfur and M.yamatoensis could not be identified. Several spectral windows
analysis results revealed that FT-IR spectroscopy was not sufficient for species
identification of culture grown Malassezia species.
PMID- 22090302
TI - [Acinetobacter baumannii: an important pathogen with multidrug resistance in
newborns].
AB - Nosocomial sepsis agents with multidrug resistance have led to higher morbidity
and mortality in premature infants in the recent years. Acinetobacter baumannii
has become a leading cause of nosocomial sepsis in several neonatal intensive
care units. In this study, the demographic, clinic, microbiologic characteristics
and risk factors of 21 premature infants hospitalized in newborn intensive care
unit between January 2010-February 2011 and developed A.baumannii infection, have
been evaluated retrospectively. The isolates were identified by conventional
methods and antibiotic susceptibility tests were performed by Vitek 2 GN and AST
N090 using Vitek 2 Compact system (BioMerieux, France). A.baumannii was isolated
from the blood samples of 10 patients, central venous catheter samples of three
patients, CSF samples of two, tracheal aspirate of two and urine sample of one
patient. In two patients both blood and central venous catheter samples and in
one patient both blood and CSF samples revealed A.baumannii. Gestational age was
between 22-30 weeks and birth weight was between 500-1320 grams (17 were < 1000
g) in 19 patients. A.baumannii caused early onset (<= 3 days) sepsis in four, and
late onset (>= 4 days) sepsis in 17 patients. The main risk factors were detected
as mechanical ventilation (n= 20, 95%), prematurity (n= 19, 91%), total
parenteral nutrition (n= 17, 81%) and central catheter use (n= 14, 67%).
Antibiotics with highest rates of susceptibility were gentamicin (18/21),
amikacin (14/21), and colistin (10/21). Twenty (95%) isolates had multiple drug
resistance. Amikacin, gentamicin, colistin and imipenem were used for treatment,
however 12 infants, 8 of which due to sepsis, died. In conclusion, A.baumannii
which is an important nosocomial sepsis agent with multidrug resistance, is
increasing in incidence. To control Acinetobacter infections especially in low
birth weight infants, the use of invasive procedures, total parenteral nutrition
and broad spectrum antibiotics should be limited and infected patients should be
isolated besides establishment of other appropriate infection control measures.
PMID- 22090303
TI - [Genotypic identification and distribution patterns of Candida parapsilosis
complex species (C.parapsilosis sensu stricto, C.metapsilosis and
C.orthopsilosis) isolated from clinical samples].
AB - Candida parapsilosis, which has recently gained increasing importance, is the
second most common fungal pathogen isolated from clinical specimens.
C.parapsilosis strains exhibiting genetic heterogeneity were previously
considered as a complex of three genetically different groups (group I, II, III).
However, they have recently been reclassified as new species and named as
C.parapsilosis sensu stricto (Grup I), C.orthopsilosis (Grup II) and
C.metapsilosis (Grup III). In the present study we aimed to identify
C.parapsilosis complex species by PCR-RFLP (Polymerase chain reaction-Restriction
fragment lenght polymorphism) method and to determine the distribution of new
species isolated from clinical specimens. A total of 68 samples (44 blood, 10
urine, 5 wound, 2 paracentesis fluids, 2 tympanocentesis samples and one of each
cerebrospinal fluid, peritoneal fluid, surgical material, oral lesion and nail
sample) in which C.parapsilosis had been isolated and identified with API 20C AUX
(bioMerieux, France) between October 2005 - July 2009 in the Microbiology
Laboratory of Karadeniz Technical University Hospital, in Trabzon, Turkey, were
included in the study. Yeast genomic DNA was extracted using the "High Pure PCR
Template Preparation Kit" (Roche Diagnostic, USA) and amplification of SADH gene
was performed by using specific primers (S1-F sense; 5'-GTTGATGCTGTTGGATTGT-3' ve
S1-R antisense; 5'-CAATGCCAAATCTCCCAA-3') with PCR. RFLP method was then applied
by digesting PCR product (716 bp) with BanI enzyme (Fermentas, USA). In our study
98.5% (67/68) of the isolates were identified as C.parapsilosis sensu stricto,
and 1.5% (1/68) was identifed as C.orthopsilosis, whereas no C.metapsilosis
strains were detected. The strain identified as C.orthopsilosis was from a urine
specimen and all the blood culture isolates were C.parapsilosis sensu stricto. In
conclusion, the inability to differentiate C.parapsilosis complex species by
phenotypical and routine tests leads to lack of knowledge in the clinical
importance, isolation rates and geographical distribution of these species. Thus,
genotypical identification of C.parapsilosis complex species will be the initial
step for the arrangement of further studies in that area.
PMID- 22090304
TI - [Pneumonia caused by Fusobacterium necrophorum: is Lemierre syndrome still
current?].
AB - Fusobacterium necrophorum is a non-spore-forming gram-negative anaerobic bacillus
that may be the causative agent of localized or severe systemic infections.
Systemic infections due to F.necrophorum are known as Lemierre's syndrome,
postanginal sepsis or necrobacillosis. The most common clinical course of severe
infections in humans is a progressive illness from tonsillitis to septicemia in
previously healthy young adults. A septic thrombophlebitis arising from the
tonsillar veins and extending into the internal jugular vein leads to septicemia
and septic emboli contributing to the development of necrotic abscesses
especially in lungs and other tissues such as liver, bone and joints. In this
case report, a previously healthy man with pneumonia and empyema due to
F.necrophorum has been presented. A 22 year-old man suffering from sore throat
for seven days was admitted to emergency department with ongoing fever and
dysphagia for three days. On admission he was already taking amoxicillin
clavulanic acid and his complaints were relieved with continuation of therapy to
a total of 10 days. However, five days after the cessation of treatment he
developed productive cough, fever and generalized myalgia. On physical
examination, there were crackles on right lower lung, and chest X-ray revealed
pulmonary consolidation on the right middle lobe. Levofloxacin therapy was
started based on the diagnosis of pneumonia. While polymorphonuclear leucocytes
and intracellular gram-negative bacilli were seen in Gram stained sputum smear,
sputum culture was reported as normal flora. Although the patient's status had
started to improve with treatment, his condition deteriorated with development of
fever and dyspnea. Chest X-ray revealed consolidation, pulmonary infiltrates,
pleural effusion and air-fluid level on the right. Meropenem, clarithromycin and
linezolid were initiated and a chest tube was inserted with the preliminary
diagnosis of necrotizing pneumonia, empyema and type-1 respiratory failure. While
there was no growth on bronchoalveolar lavage fluid culture, thoracentesis
material inoculated into thioglycolate broth revealed turbidity. Further
inoculation onto Schaedler agar which was incubated under anaerobic conditions,
yielded growth of catalase negative, indol positive, gram-negative anaerobic
bacilli identified as F.necrophorum by BBL Crystal system (Becton Dickinson,
USA). The detailed history of the patient revealed that fish bone had stuck in
his throat a week ago. Clarithromycin and linezolid were discontinued and he was
recovered within six weeks of meropenem treatment. F.necrophorum infection should
be considered in the differential diagnosis of persistent head and neck
infections with rapidly progressive metastatic necrotic lesions especially in
healthy young adults and clindamycin or metranidazol should be added to the
treatment protocols.
PMID- 22090305
TI - [Sepsis caused by Chryseobacterium indologenes in a patient with hydrocephalus].
AB - Chryseobacterium (formerly Flavobacterium) indologenes, is a non-fermentative
gram-negative bacillus which is widely found in the nature, primarily soil and
water. Since it can survive in chlorine-treated municipal water supplies, and can
colonize the sink basins and tap waters of the hospitals, this bacterium may be a
potential infectious agent. Contamination of the medical devices containing water
(respirators, intubation tubes, humidifiers, incubators for newborns, etc.) in
hospital settings may lead to serious infections especially in patients with
predisposing diseases, newborns and immunocompromized patients. In this report, a
case of fatal C.indologenes septicemia developed in a newborn with hydrocephalus
has been presented. A two-months old male infant was admitted to our hospital
with the complaints of failure to suck and lethargy for five days and head
enlargement. He was diagnosed as meningitis based on the clinical and laboratory
findings of cerebrospinal fluid (CSF) (protein: 572 mg/dl, glucose 9.5 mg/dl,
chlorine: 111 mg/dl, and presence of abundant polymorphonuclear leukocytes), and
empirical antibiotic treatment (ampicillin/sulbactam and cefotaxime) had been
started. Since the computerized tomography of the brain pointed out
hydrocephalus, an external shunt was placed for CSF drainage on the second day of
hospitalization. A total of five CSF and two blood cultures collected during the
hospitalization period were inoculated into pediatric aerobic CSF and blood
culture bottles (BacT/ALERT, BioMerieux, France) and incubated for 24-48 hours.
The isolated bacteria from all of the cultures were identified as C.indologenes
by conventional methods and BD Phoenix (Becton Dickinson, USA) system. Antibiotic
susceptibility tests were performed with microdilution method according to CLSI
guidelines. The isolate was found susceptible to ciprofloxacin, levofloxacin and
trimethoprim/sulfamethoxazole, while it was resistant to amikacin, gentamicin,
tobramycin, piperacillin, cefotaxime, ceftazidime, aztreonam, meropenem,
imipenem, tetracycline, and chloramphenicol. The treatment continued with
ampicillin/sulbactam and levofloxacin without removing the shunt. However,
C.indologenes growth persisted in CSF and blood cultures of the patient. The
general condition of the patient deteriorated on the 65. day of the
hospitalization and the patient was lost due to cardiopulmonary arrest. Case
reports related to isolation of C.indologenes from blood cultures are present in
the literature, however, isolation of C.indologenes from central nervous system
was reported previously in a single case. In conclusion, C.indologenes should be
considered as opportunistic infectious agents especially in the infectious
diseases that develop in immunocompromised patients with underlying disease and
with foreign device implementation.
PMID- 22090306
TI - [Meningitis and white matter lesions due to Streptococcus mitis in a previously
healthy child].
AB - Streptococcus mitis, an important member of viridans streptococci, is found in
the normal flora of the oropharynx, gastrointestinal tract, female genital tract
and skin. Although it is of low pathogenicity and virulence, it may cause
serious infections in immunocompromised patients. Meningitis caused by S.mitis
has been described in patients with previous spinal anesthesia, neurosurgical
procedure, malignancy, bacterial endocarditis with neurological complications and
alcoholics, but it is rare in patients who are previously healthy. In this
report, a rare case of meningoencephalitis caused by S.mitis developed in a
previously healthy child has been presented. A previously healthy eight-year-old
girl who presented with fever, altered state of consciousness, and headache was
hospitalized in intensive care unit with the diagnosis of meningitis. Past
history revealed that she was treated with amoxicillin-clavulanate for acute
sinusitis ten days before her admission. Whole blood count revealed the
followings: hemoglobin 13 g/dl, white blood cell count 18.6 x 109/L (90%
neutrophils), platelet count 200 x 109/L and 150 leucocytes were detected on
cerebrospinal fluid (CSF) examination. Protein and glucose levels of CSF were 80
mg/dl and 40 mg/dl (concomitant blood glucose 100 mg/dl), respectively. Brain
magnetic resonance imaging (MRI) revealed widespread white matter lesions, and
alpha-hemolytic streptococci were grown in CSF culture. The isolate was
identified as S.mitis with conventional methods, and also confirmed by VITEK2
(bioMerieux, France) and API 20 STREP (bioMerieux, France) systems. Isolate was
found susceptible to penicillin, erythromycin, clindamycin, tetracycline,
cefotaxime, vancomycin and chloramphenicol. Regarding the etiology,
echocardiography revealed no vegetation nor valve pathology, and peripheral blood
smear showed no abnormality. Immunoglobulin and complement levels were within
normal limits. Ongoing inflammation in maxillary sinuses detected in brain MRI
suggested that meningitis could be related to previous sinus infection. After 14
days of ceftriaxone treatment, the patient was discharged from the hospital with
cure. The aim of this case presentation was to emphasize that S.mitis may cause
meningitis and white matter lesions in previously healthy children with
concomitant sinusitis.
PMID- 22090307
TI - [Two cases of tick-borne tularemia in Yozgat province, Turkey].
AB - Tularemia which has a worldwide distribution, is a zoonotic infection caused by
Francisella tularensis. F.tularensis can infect a wide range of animals and can
be transmitted to humans in a variety of ways, the most common being by the bite
of an infected arthropod vector (usually tick) in the USA and Europe. The
clinical presentations have been classically divided into ulceroglandular,
glandular, oculoglandular, pharyngeal, respiratory, and typhoidal tularemia
depending on the route of transmission. Arthropod-borne infection generally leads
to the ulceroglandular form of tularemia. In Turkey, oropharyngeal form which is
related to the consumption of contaminated water, is the most common presentation
of tularemia. In this report, two cases of ulceroglandular tularemia which
developed as a consequence of tick bite in Yozgat province have been presented. A
33-year-old female patient was admitted to the hospital with a tender lump on the
right axilla. Empiric antibiotic treatment with amoxicillin clavulanate did not
lead to an improvement in the painful axillary mass. She reported a tick bite on
her right shoulder before development of fever, chills and regional tender lump.
On physical examination, hyperemia was seen on the shoulder, with enlarged tender
right axillary lymph node. The clinical diagnosis of suspected ulceroglandular
tularemia was confirmed by the seroconversion (1/160 and 1/1280 titers in acute
and convelescent sera, respectively) with microagglutination test (MAT) and
F.tularensis DNA positivity in lymph node aspirate by polymerase chain reaction.
The agent was identified as F.tularensis subsp. holarctica based on the results
of amplification of target RD1 gene. Second case, a 18-year-old male, was
admitted to our hospital with a-week history of sudden onset of fever, headache,
generalized aches, vomiting, nause, and tender lump on the left axilla. On
physical examination, an inflammatory eschar was seen on his scalp with enlarged
cervical lymph node on left side. The tick, which has removed from the scalp
lesion by the patient himself was identified as Dermacentor spp. The suspected
diagnosis of ulceroglandular tularemia was confirmed by 1/2560 titer positivity
obtained with MAT. Gentamicin (5 mg/kg/day, PO) was initiated for the treatment
of both patients, however, LAP did persist in both of them requiring abscess
drainage and prolonged treatment with gentamicin following a 14-day course of
ciprofloxacin (1500 mg/day, PO). LAP decreased after medical treatment and
repetitive drainage procedures. The patients recovered completely without
sequela. These cases, to the best of our knowledge, who were the first confirmed
tick-borne tularemia cases in our country, were presented to call attention to a
different mode of transmission for F.tularensis.
PMID- 22090308
TI - [In vitro activity of daptomycin against methicillin-resistant Staphylococcus
aureus strains isolated from blood cultures].
AB - The aim of this study was to detect the in vitro activity of daptomycin against
methicillin-resistant Staphylococcus aureus (MRSA) strains isolated from blood
cultures at Ataturk Training and Research Hospital, Izmir, Turkey between 2006
2010. A total of 64 MRSA clinical isolates were included in the study, and
daptomycin susceptibility were investigated by E-test (AB bioMerieux, Sweden).
The identification of the MRSA isolates was based on conventional microbiological
methods and an additional automated identification system (Phoenix 100, BD
Diagnostic Systems, USA). Etest strips were applied to the surface of Mueller
Hinton agar plates and incubated at 35 degrees C in ambient air for 18 to 24
hours. Strains with a MIC value of <= 1 ug/ml were accepted as susceptible to
daptomycin. In our study all of the 64 MRSA isolates were found susceptible to
daptomycin (MIC <= 1 ug/ml). The MIC50, MIC90 and MIC ranges were detected as
0.125, 0.5 and 0.125-0.5 ug/ml, respectively. Only a single isolate yielded MIC
value of 1 ug/ml. As a result daptomycin was found to be very active against MRSA
strains in vitro. Our findings suggested that daptomycin might be a suitable
alternative agent for treating bacteremia caused by MRSA. However, further large
scaled studies and clinical trials are necessary to support these in vitro data.
PMID- 22090309
TI - [Evaluation of vancomycin, teicoplanin, linezolide and tigecycline
susceptibilities of nosocomial methicillin-resistant Staphylococcus strains by E
test].
AB - The aim of this study was to determine the minimal inhibitory concentration (MIC)
values of vancomycin, teicoplanin, tigecycline and linezolid in 100 methicillin
resistant staphylococci [21 methicillin-resistant Staphylococcus aureus (MRSA)
and 79 methicillin-resistant coagulase negative staphylococcus (MR-CNS)] isolated
as agents of nosocomial infection from patients at Ankara Training and Research
Hospital between June 2005-March 2007. The MIC values for vancomycin,
teicoplanin, linezolid and tigecycline were tested by E-test method (AB Biodisk,
Sweden). For 21 MRSA strains MIC50 and MIC90 values were as follows: vancomycin
0.125 ug/ml and 1 ug/ml; teicoplanin 0.5 ug/ml and 3 ug/ml, linezolid 0.047 ug/ml
and 0.19 ug/ml; tigecycline 0.094 ug/ml and 0.5 ug/ml, respectively. For 79 MR
CNS strains MIC50 and MIC90 values were as follows: vancomycin 0.5 ug/ml and 2
ug/ml; teicoplanin 2 ug/ml and 4 ug/ml; linezolid 0.125 ug/ml and 0.25 ug/ml;
tigecycline 0.38 ug/ml and 0.5 ug/ml, respectively. No resistance to vancomycin,
teicoplanin, tigecycline and linezolid were determined in methicillin-resistant
staphylococcus strains isolated from the inpatients in our hospital. Among
glycopeptides, MIC50 and MIC90 values of vancomycin were found to be lower than
that of teicoplanin.
PMID- 22090310
TI - [Evaluation of tularemia cases originated from Central Anatolia, Turkey].
AB - Tularemia is an infection caused by Francisella tularensis with a worldwide
distribution and diverse clinical manifestations. In recent years, tularemia
cases are increasing in Turkey, with a special attention to Marmara, western
Blacksea and Central Anatolia regions. The aim of this study was to evaluate
tularemia cases admitted to our hospital during an outbreak emerged at Central
Anatolia between December 2009 and September 2010, making a point for the
disease. A total of 32 patients (17 female, 15 male; age range: 15-80 years, mean
age: 41 +/- 16 years) with fever, sore throat, cervical mass and failure to
respond to beta-lactam antibiotics, were followed up with the preliminary
diagnosis of tularemia. The diagnosis was confirmed by specific laboratory tests.
Serum samples were obtained from 25 patients and in 17 (68%) of them
microagglutination test yielded positive result (>= 1/160) in their first serum
samples. All of the 8 patients who had negative results in their first samples (<
1/160), revealed seroconversion in their second samples. In 10 (91%) of the 11
patients from whom lymph node aspirates were obtained, PCR performed with species
specific (tul4) primers yielded positivity and subspecies differentiation done by
RD1 primers identified the agent as F.tularensis subspecies holarctica.
F.tularensis growth was not detected in the cultures of lymph aspirates and/or
throat swabs of the cases (n= 16). All the patients had oropharyngeal tularemia
and eight of them also had oculoglandular form. The mean duration of the symptoms
were 25.6 +/- 17.2 (2-60) days. They had a history of oral intake of contaminated
water. Cervical or submandibular lymphadenopathy were detected in all patients.
One patient had cervical abscess and the other one had erythema nodosum. Elevated
sedimentation rate was found in 26 (81.3%) patients and elevated CRP in 24 (75%)
patients. Spontaneous drainage was detected in nine cases during follow-up. Lymph
node aspiration was performed in patients when fluctuation was detected.
Streptomycin 2 g/day for 10 days was given to 21 patients and doxycycline 2 x 100
mg for 14 days was given to 11 patients. Twelve (37.5%) patients received further
antibiotic treatment since they failed to respond to the first therapy. Of the
patients, 21 recovered completely and two patients had lymph node excision. No
severe complications were observed. The patients who applied to the hospital
within 10 days of the initiation of the symptoms were treated successfully, while
the others that applied later were not. In conclusion, tularemia which is an
endemic disease in Turkey, should be kept in mind in patients with fever, sore
throat and lymphadenopathy.
PMID- 22090311
TI - [Protozoal antigen positivity in diarrheal patients admitted to emergency
service: a point prevalence study].
AB - Intestinal parasites are the important etiological agents of water and food
related diarrhea cases which are frequently seen during summer/early autumn
seasons in developing countries. This point prevalence study was aimed to
determine the protozoal antigen positivity rate in diarrhea cases admitted to the
emergency service in one single day. A total of 198 diarrheal patients (90 male,
108 female; age range: 1-82 years, mean age: 29 years) who were admitted to the
emergency service of Ankara Training and Research Hospital were included in the
study. Macroscopic and direct microscopic examinations were performed for the
stool samples of patients, and the samples which yielded pathological microscopic
findings (e.g. presence of leukocytes, erythrocytes, and trophozoits) were
investigated in terms of Entamoeba histolytica adhesin antigen, Giardia
intestinalis cyst antigen and Cryptosporidium oocyst antigen by commercial ELISA
kits (Techlab, USA). Macroscopic examination of the stool samples revealed that
60 (30%) of them had blood and mucous, 137 (69%) were watery and one sample had
normal appearance. Pathologic results were obtained for 96 (48.5%) of the samples
by microscopic examination: 36 (37.5%) revealed erythrocytes, 90 (93.7%) had
leukocytes and 3 (1.5%) had G.intestinalis trophozoites. Since Shigella spp. were
cultured in two of these 96 samples, these two cases were omitted from the study
and 94 samples were investigated by ELISA assays. G.intestinalis was detected in
13 (13.8%) and E.histolytica in 2 (2.1%) samples while Cryptosporidium antigen
was not detected in any of the samples by the ELISA assays. It was concluded that
ELISA antigen assays were rapid and cost-effective methods for the determination
of the causative agent in cases of diarrhea.
PMID- 22090312
TI - New Agents for Acute Treatment of Migraine: CGRP Receptor Antagonists, iNOS
Inhibitors.
AB - OPINION STATEMENT: The treatment of migraine was advanced dramatically with the
introduction of triptans in the early 1990s. Despite the substantial improvement
in the quality of life that triptans have brought to many migraineurs, a
substantial cohort of patients remain highly disabled by attacks and need new
therapeutic approaches, which ideally should be quick-acting, have no
vasoconstrictor activity, and have a longer duration of action and be better
tolerated than current therapies. The calcitonin gene-related peptide (CGRP)
receptor antagonists (gepants)-olcegepant (BIBN 4096 BS), telcagepant (MK-0974),
MK3207, and BI 44370 TA-are effective in treating acute migraine. They have no
vasoconstrictive properties, fewer adverse effects, and may act longer than
triptans. Their development has been complicated by liver toxicity issues when
used as preventives. Results from studies with BI 44370 TA do not support broad
concern about a class effect, and further studies are ongoing in this respect.
Many experimental studies and clinical trials suggest that nitric oxide may have
a role in the pathophysiology of migraine. Therefore, the inhibition of nitric
oxide synthase (NOS) for the acute or prophylactic treatment of migraine offered
a feasible approach; as inducible NOS (iNOS) is involved in several pain states,
such as inflammatory pain, it appeared to be an attractive target. However,
despite high selectivity and potency, the iNOS inhibitor GW274150 was not
effective for acute treatment or prophylaxis of migraine, suggesting that iNOS is
very unlikely to be a promising target.
PMID- 22090313
TI - Light-sensitive coupling of rhodopsin and melanopsin to G(i/o) and G(q) signal
transduction in Caenorhabditis elegans.
AB - Activation of G-protein-coupled receptors (GPCRs) initiates signal transduction
cascades that affect many physiological responses. The worm Caenorhabditis
elegans expresses >1000 of these receptors along with their cognate
heterotrimeric G proteins. Here, we report properties of 9-cis-retinal
regenerated bovine opsin [(b)isoRho] and human melanopsin [(h)Mo], two light
activated, heterologously expressed GPCRs in the nervous system of C. elegans
with various genetically engineered alterations. Profound transient
photoactivation of G(i/o) signaling by (b)isoRho led to a sudden and transient
loss of worm motility dependent on cyclic adenosine monophosphate, whereas
transient photoactivation of G(q) signaling by (h)Mo enhanced worm locomotion
dependent on phospholipase Cbeta. These transgenic C. elegans models provide a
unique way to study the consequences of G(i/o) and G(q) signaling in vivo with
temporal and spatial precision and, by analogy, their relationship to human
neuromotor function.
PMID- 22090314
TI - Heterologous expression of functional G-protein-coupled receptors in
Caenorhabditis elegans.
AB - New strategies for expression, purification, functional characterization, and
structural determination of membrane-spanning G-protein-coupled receptors (GPCRs)
are constantly being developed because of their importance to human health. Here,
we report a Caenorhabditis elegans heterologous expression system able to produce
milligram amounts of functional native and engineered GPCRs. Both bovine opsin
[(b)opsin] and human adenosine A(2A) subtype receptor [(h)A(2A)R] expressed in
neurons or muscles of C. elegans were localized to cell membranes. Worms
expressing these GPCRs manifested changes in motor behavior in response to light
and ligands, respectively. With a newly devised protocol, 0.6-1 mg of purified
homogenous 9-cis-retinal-bound bovine isorhodopsin [(b)isoRho] and ligand-bound
(h)A(2A)R were obtained from C. elegans from one 10-L fermentation at low cost.
Purified recombinant (b)isoRho exhibited its signature absorbance spectrum and
activated its cognate G-protein transducin in vitro at a rate similar to native
rhodopsin (Rho) obtained from bovine retina. Generally high expression levels of
11 native and mutant GPCRs demonstrated the potential of this C. elegans system
to produce milligram quantities of high-quality GPCRs and possibly other membrane
proteins suitable for detailed characterization.
PMID- 22090315
TI - Schwann cell-specific JAM-C-deficient mice reveal novel expression and functions
for JAM-C in peripheral nerves.
AB - Junctional adhesion molecule-C (JAM-C) is an adhesion molecule expressed at
junctions between adjacent endothelial and epithelial cells and implicated in
multiple inflammatory and vascular responses. In addition, we recently reported
on the expression of JAM-C in Schwann cells (SCs) and its importance for the
integrity and function of peripheral nerves. To investigate the role of JAM-C in
neuronal functions further, mice with a specific deletion of JAM-C in SCs (JAM-C
SC KO) were generated. Compared to wild-type (WT) controls, JAM-C SC KO mice
showed electrophysiological defects, muscular weakness, and hypersensitivity to
mechanical stimuli. In addressing the underlying cause of these defects, nerves
from JAM-C SC KO mice were found to have morphological defects in the paranodal
region, exhibiting increased nodal length as compared to WTs. The study also
reports on previously undetected expressions of JAM-C, namely on perineural
cells, and in line with nociception defects of the JAM-C SC KO animals, on finely
myelinated sensory nerve fibers. Collectively, the generation and
characterization of JAM-C SC KO mice has provided unequivocal evidence for the
involvement of SC JAM-C in the fine organization of peripheral nerves and in
modulating multiple neuronal responses.
PMID- 22090317
TI - Discontinuous LYVE-1 expression in corneal limbal lymphatics: dual function as
microvalves and immunological hot spots.
AB - LYVE-1(+) corneal lymphatics contribute to drainage and immunity. LYVE-1 is
widely accepted as the most reliable lymphatic marker because of its continuous
expression in lymphatic endothelium. LYVE-1 expression in corneal lymphatics has
not been examined. In this study, we report intact CD31(+) corneal lymphatic
capillary endothelial cells that do not express LYVE-1. The number of LYVE-1(-)
gaps initially increased until 8 wk of age but was significantly reduced in aged
mice. C57BL/6 mice showed a notably higher number of the LYVE-1(-)/CD31(+)
lymphatic regions than BALB/c mice, which suggests a genetic predisposition for
this histological feature. The LYVE-1(-) lymphatic gaps expressed podoplanin and
VE-cadherin but not alphaSMA or FOXC2. Interestingly, the number of LYVE-1(-)
gaps in FGF-2, but not VEGF-A, implanted corneas was significantly lower than in
untreated corneas. Over 70% of the CD45(+) leukocytes were found in the proximity
of the LYVE-1(-) gaps. Using a novel in vivo imaging technique for visualization
of leukocyte migration into and out of corneal stroma, we showed reentry of
extravasated leukocytes from angiogenic vessels into newly grown corneal
lymphatics. This process was inhibited by VE-cadherin blockade. To date,
existence of lymphatic valves in cornea is unknown. Electron microscopy showed
overlapping lymphatic endothelial ends, reminiscent of microvalves in corneal
lymphatics. This work introduces a novel corneal endothelial lymphatic phenotype
that lacks LYVE-1. LYVE-1(-) lymphatic endothelium could serve as microvalves,
supporting unidirectional flow, as well as immunological hot spots that
facilitate reentry of stromal macropahges.
PMID- 22090318
TI - Antimicrobial de-escalation in cancer patients.
PMID- 22090316
TI - Regulation of cAMP homeostasis by the efflux protein MRP4 in cardiac myocytes.
AB - Recent studies indicate that members of the multidrug-resistance protein (MRP)
family belonging to ATP binding cassette type C (ABCC) membrane proteins extrude
cyclic nucleotides from various cell types. This study aimed to determine whether
MRP proteins regulate cardiac cAMP homeostasis. Here, we demonstrate that MRP4 is
the predominant isoform present at the plasma membrane of cardiacmyocytes and
that it mediates the efflux of cAMP in these cells. MRP4-deficient mice displayed
enhanced cardiac myocyte cAMP formation, contractility, and cardiac hypertrophy
at 9 mo of age, an effect that was compensated transiently by increased
phosphodiesterase expression at young age. These findings suggest that cAMP
extrusion via MRP4 acts together with phosphodiesterases to control cAMP levels
in cardiac myocytes.
PMID- 22090320
TI - Prevention of adhesion to prosthetic mesh: comparison of oxidized generated
cellulose, polyethylene glycol and hylan G-F 20.
AB - BACKGROUND: The aim of this study was to investigate the impact of oxidized
generated cellulose, polyethylene glycol and hylan G-F 20 on adhesion formation,
fibrosis and inflammation after repair of abdominal wall defect with
polypropylene mesh in an animal model. METHODS: Forty rats were divided into four
groups and abdominal wall defect was established. The defect was repaired with
polypropylene mesh alone (control group), polypropylene mesh and hylan G-F 20 as
adhesion barrier, polypropylene mesh and oxidized generated cellulose as adhesion
barrier, or polypropylene mesh and polyethylene glycol as adhesion barrier in
Groups I, II, III, and IV, respectively. Rats were sacrificed on the 14th day in
all groups. RESULTS: A comparison of the groups in terms of macroscopic adhesion
scores revealed statistically significant differences between the groups using an
adhesion barrier and the control group. Severe fibroblast proliferation was seen
in the control group and mild fibroblast proliferation was seen in polyethylene
glycol group. CONCLUSION: Polyethylene glycol is an effective adhesion prevention
barrier. Laparoscopic surgery has become the standard method in most of the
surgical field. With its laparoscopic apparatus, polyethylene glycol allows easy
application on the damaged surface.
PMID- 22090321
TI - Beneficial effects of alpha lipoic acid on cerulein-induced experimental acute
pancreatitis in rats.
AB - BACKGROUND: The present study aimed to determine the effects of alpha lipoic acid
(ALA) on blood and tissue biochemical parameters, as well as tissue
histopathology, in an experimental rat model of cerulein-induced acute
pancreatitis (AP). METHODS: Three groups consisting of eight rats each were used,
as follows: Group 1, controls; Group 2, cerulein-induced pancreatitis group
treated with saline; and Group 3, cerulein-induced pancreatitis group treated
with ALA. AP was induced by intraperitoneal administration of cerulein (20 ug/kg)
4 times at 1-hour intervals. The animals were decapitated 12 hours after the last
dose of cerulein. Blood amylase, lipase, interleukin (IL)-1beta, and tumor
necrosis factor (TNF)-alpha levels, pancreas tissue glutathione (GSH) and
malondialdehyde (MDA) levels, as well as myeloperoxidase (MPO) and Na+-K+-ATPase
activity were measured. Pancreatic tissue samples were also evaluated
histopathologically under a light microscope. RESULTS: While plasma amylase,
lipase, IL-1beta, and TNF-alpha levels, and tissue MDA and MPO levels
significantly increased in rats with cerulean-induced AP, tissue GSH and Na+-K+
ATPase activity significantly reduced. These changes were reversed and improved
with ALA treatment. CONCLUSION: Our findings suggest that ALA may significantly
reduce morbidity and mortality by preventing organ dysfunction induced by free
radicals in the pancreas.
PMID- 22090322
TI - The value of CRP, IL-6, leptin, cortisol, and peritoneal caspase-3 monitoring in
the operative strategy of secondary peritonitis.
AB - BACKGROUND: We aimed to investigate the impact of C-reactive protein (CRP),
interleukin (IL)-6, leptin, cortisol, and caspase-3 on the decision of
terminating planned abdominal repair in secondary peritonitis. METHODS: Fifteen
patients with peritonitis were enrolled into the study. Serum CRP, IL-6, leptin,
cortisol, and peritoneal caspase-3 activities were measured. RESULTS: APACHE II
scores at 48 hours (h) and age were significantly higher in non-survivors. A
significant decrease was observed in caspase-3 activities of patients in whom <=4
laparotomies were performed when compared with those who underwent >4
laparotomies. For patients who underwent <=4 laparotomies, there was a
significant difference in caspase-3 levels between 0 and 72 h. There was no
significant difference in caspase-3 levels in non-survivors; caspase-3 levels
were significantly lower in the survivors at 48 and 72 h. Changes in CRP, IL-6,
leptin, and cortisol levels were not statistically significant. CONCLUSION: CRP,
IL-6, leptin, cortisol, and caspase-3 are not valuable in discriminating the
number of planned operations, even though there is a significant decrease in
caspase-3 "within" survivors. The discriminative value of caspase-3 for closure
should be evaluated in studies in which caspase-3 is monitored for a longer
duration in a large number of patients.
PMID- 22090323
TI - Scoring systems in the diagnosis of acute appendicitis in the elderly.
AB - BACKGROUND: Although special features of acute appendicitis in the elderly have
been described in some studies, no studies evaluating the applicability of
appendicitis scores exist in the literature. The aim of this study was to compare
Alvarado and Lintula scores in patients older than 65 years of age. METHODS:
Patients older than 65 years with appendicitis confirmed by pathology report were
matched by year of admission with a group of patients admitted to the emergency
department with non-specific abdominal pain. Alvarado and Lintula scores were
calculated retrospectively from patient charts. RESULTS: Both scores were
observed to operate well in distinguishing between abdominal pain due to
appendicitis and non-specific abdominal pain. The Alvarado score was a better
predictor compared to the Lintula score. Two parameters (absent, tingling or high
pitched bowel sounds and nausea) had similar prevalence in the control and
appendicitis groups. We selected to recalculate the two scores with the exclusion
of these two parameters. The two scores performed better but were more similar to
each other after the modification. CONCLUSION: Both Alvarado and Lintula scores
have a high sensitivity and specificity in the diagnosis of acute appendicitis in
the geriatric age group. Their performance improves with exclusion of the two
parameters "nausea" and "absent, tingling or high-pitched bowel sounds".
PMID- 22090324
TI - Factors affecting morbidity in penetrating rectal injuries: a civilian
experience.
AB - BACKGROUND: The principles of the treatment of rectal injuries have been
determined based on the experiences gained from military injuries. While adopting
these principles in civilian life, it is essential to know the characteristics of
civilian rectal injuries as well as the risk factors affecting morbidity.
METHODS: The characteristics of 29 inpatients who had been treated due to rectal
injuries caused by gunshot wounds and penetrating devices were evaluated. In
order to determine the risk factors, the patients were divided into two groups
regarding the presence of morbidity (Group 1, with morbidity; Group 2, without
morbidity) and compared. RESULTS: Severe fecal contamination, perianal or gluteal
injuries, duration of trauma- treatment interval, and isolated extraperitoneal
injury were significant factors that affected the development of morbidity. The
length of hospital stay was significantly longer in Group 1 as compared to Group
2. CONCLUSION: Although rectal injuries are rarely encountered, they carry high
morbidity and mortality. Awareness of the risk factors and planning of a patient
based treatment are essential for the success of the therapy. The rate of
morbidity is substantially decreased when patients are treated in time. Thus, the
awareness of both patients as well as physicians managing trauma about rectal
injuries should be increased.
PMID- 22090325
TI - The use of Ender nail in intertrochanteric fractures supported with external
fixation.
AB - BACKGROUND: Intramedullary Ender nailing in intertrochanteric fractures was very
popular in the past. However, this method has fallen in favor over time, due to
complications. The purpose of this study was to evaluate results with this method
and possible ways to prevent these complications, including the use of unilateral
fixators to support the Ender nails. METHODS: This technique (Ender nailing and
external fixator) was used in 39 patients (17 M/22 F, mean age: 71.4 years). The
preoperative mean American Society of Anesthesiologists (ASA) score was 1.84
(range: 1-4) for all the patients. AO/OTA classification of fractures was used.
In our surgery, we used an external fixator to support the intramedullary nails.
All patients were evaluated with Parker-Palmer mobility score and with the Harris
hip score. RESULTS: The follow-up period was 29.2 months (20-56). Two patients
experienced nail migration in the knees, two patients had varus deformation with
a reduction in length of 2 cm, and seven patients developed pin-track infection.
The average Harris score and Parker-Palmer score of the 14 patients who presented
for their last follow-up examination were 64 and 6.8, respectively. CONCLUSION:
This method demonstrated several advantages, in that it allows the patient to put
weight on the extremity after a shorter period of time and enables the fracture
to heal rapidly without any serious complications.
PMID- 22090326
TI - Characteristics of open globe injuries in geriatric patients.
AB - BACKGROUND: We aimed to evaluate the etiological and demographic characteristics
of open globe injuries in geriatric patients, to determine the factors affecting
the prognosis and to discuss the differences between geriatric and young
populations in light of the current literature. METHODS: The medical files of 30
patients aged 65 years and older who were treated and followed up for open globe
injuries between 1998 and 2009 were evaluated retrospectively. RESULTS: The mean
age was 73.1 years. Sixty percent of the patients were male, with a predominance
of left eye involvement. The most common type of trauma was rupture due to a
blunt object. The presenting visual acuity was no light perception in 13
patients, light perception/hand movement in 15 patients and 1/200-19/200 in 2
patients. In a univariate analysis assessing the effects of demographic and
clinical characteristics on final vision, the wound location, type of trauma and
Ocular Trauma Score were found to be statistically significant variations.
CONCLUSION: The prognosis of open globe injuries is very poor in geriatric
patients. Age-related structural changes and previous history of surgeries
contribute to easy development of a rupture. During the treatment process,
limited recovery capacity, ocular pathology in patients and low functional
capacity in this age group exert negative effects on the prognosis.
PMID- 22090327
TI - Hot milk burns in children: a crucial issue among 764 scaldings.
AB - BACKGROUND: Burns are among the preventable traumas encountered during childhood.
Burn injuries are mostly classified as scalds, flame, electric, and chemical
burns. However, each subject has some difference in the course of treatment
related to the sub-etiologies. To reveal the importance of milk burns, scald burn
patients were studied retrospectively. METHODS: Demographics of the patients,
burn etiologies, clinical presentations, and clinical courses were analyzed.
There were 461 (60.4%) male and 303 (39.6%) female patients, with a 1.52 male to
female ratio. RESULTS: The mean age of the group was 3.36+/-2.86 years. There
were no difference in burn causes between males and females. The mean burned
total body surface area of patients was 16.91+/-12.63%. Hot milk caused larger,
deeper burns than the other scalds and caused more third-degree burns (p<0.001,
p<0.001, p<0.05, respectively). Milk burns also resulted in longer hospital stay
(days) (p<0.001). The mortality rate was also higher in milk burns than other
scalds (p<0.001). CONCLUSION: Due to the more detrimental clinical course, milk
burns necessitate special consideration in clinical settings. The most important
factor is to be aware that burns are deeper than they appear.
PMID- 22090328
TI - Cardiac and great vessel injuries after chest trauma: our 10-year experience.
AB - BACKGROUND: Cardiovascular injuries after trauma present with high mortality. The
aim of the study was to present our experience in cardiac and great vessel
injuries after chest trauma. METHODS: During the 10-year period, 104 patients
with cardiac (n=94) and great vessel (n=10) injuries presented to our hospital.
The demographic data, mechanism of injury, location of injury, other associated
injuries, timing of surgical intervention, surgical approach, and clinical
outcome were reviewed. RESULTS: Eighty-eight (84.6%) males presented after chest
trauma. The mean age of the patients was 32.5+/-8.2 years (range: 12-76).
Penetrating injuries (62.5%) were the most common cause of trauma. Computed
tomography was performed in most cases and echocardiography was used in some
stable cases. Cardiac injuries mostly included the right ventricle (58.5%). Great
vessel injuries involved the subclavian vein in 6, innominate vein in 1, vena
cava in 1, and descending aorta in 2 patients. Early operations after admission
to the emergency were performed in 75.9% of the patients. Thoracotomy was
performed in 89.5% of the patients. Operative mortality was significantly high in
penetrating injuries (p=0.01). CONCLUSION: Clinicians should suspect cardiac and
great vessel trauma in every patient presenting to the emergency unit after chest
trauma. Computed tomography and echocardiography are beneficial in the management
of chest trauma. Operative timing depends on hemodynamic status, and a
multidisciplinary team approach improves the patient's prognosis.
PMID- 22090329
TI - Still an unknown topic: child abuse and "shaken baby syndrome".
AB - BACKGROUND: Shaken baby syndrome (SBS) is a severe form of physical child abuse,
and can even cause death. In this study, we aimed to investigate whether or not
the primary healthcare workers had received any education regarding child abuse
and neglect, whether they could diagnose the cases, whether they had sufficient
knowledge about SBS as a part of child abuse, and whether they were in need of
education on the topic. METHODS: Health workers in the primary healthcare centers
in the province of Kayseri were enrolled. A questionnaire was applied. RESULTS:
In this study, 35.0% of the study group were physicians. 43.7% of the study group
stated that they had not recieved any education regarding child abuse and
neglect, and 52.1% stated that they believed that physical abuse was the most
prominent form of abuse in society. While 64.1% of the participants stated that
they had heard about SBS, 10.4% of these stated that they had heard about it, but
did not have adequate knowledge on the topic. CONCLUSION: There is a lack of
knowledge and a need for education regarding child abuse and neglect among the
personnel working in primary healthcare, especially on the subject of SBS.
Undergraduate and postgraduate education regarding child abuse and SBS will help
to increase the number of people well-informed and sensitive to this important
issue.
PMID- 22090330
TI - Delayed presentation of posttraumatic diaphragmatic hernia.
AB - BACKGROUND: Missed diaphragmatic injuries after trauma may present with
herniation of intraabdominal organs into the thoracic cavity. We aimed to review
our patients who presented with delayed posttraumatic diaphragmatic hernia.
METHODS: A retrospective review of the medical records of patients with delayed
diagnosis of posttraumatic diaphragmatic hernia between 2001 and 2009 was
performed. RESULTS: Ten patients with a mean age of 44.3 years were included. Six
patients were female. Blunt injuries (n: 7) were more common. Mean duration
between trauma and presentation to the hospital was 5.9 years (4 months - 19
years). Nine patients had left-sided diaphragmatic hernia. All patients had chest
X-ray and most were diagnostic (n: 8). Additional diagnostic imaging with
computerized tomography (CT) and magnetic resonance (MR) was used in seven
patients. For the repair, laparotomy incision was chosen for seven patients and
thoracotomy incision for two patients. One patient underwent left
thoracoabdominal approach. Mesh repair was used in seven patients. Postoperative
mean hospitalization was 10.6 days. Empyema and atelectasis were the morbidities
in one patient. No postoperative mortality was detected. CONCLUSION: Delayed
presentation of posttraumatic diaphragmatic hernia is a serious challenge for
trauma surgeons. Prompt diagnosis and treatment prevent serious morbidity and
mortality associated with complications such as gangrene and/or perforation of
the herniated organ.
PMID- 22090331
TI - 10-year evaluation of train accidents.
AB - BACKGROUND: Although less frequent than automobile accidents, train accidents
have a major impact on victims' lives. METHODS: Records of patients older than 16
years of age admitted to the Adult Emergency Department of Hacettepe University
Medical Center due to train accidents were retrospectively evaluated. RESULTS: 44
patients (30 males, 14 females) with a mean age of 31.8+/-11.4 years were
included in the study. The majority of the accidents occurred during commuting
hours. 37 patients were discharged, 22 of them from the emergency department. The
mortality rate was 7/44 (16%). Overall mean Revised Trauma Score (RTS) was 10.5
(3 in deaths and 11.9 in survivors). In 5 patients, the cause of death was pelvic
trauma leading to major vascular injury and lower limb amputation. In 1 patient,
thorax and abdomen trauma and in 1 patient head injury were the causes of
mortality. Primary risk factors for mortality were alcohol intoxication (100%),
cardiopulmonary resuscitation on admittance (100%), recurrent suicide attempt
(75%), presence of psychiatric illness (60%), and low RTS. CONCLUSION: In this
study, most train accidents causing minor injuries were due to falling from the
train prior to acceleration. Nevertheless, train accidents led to a mortality
rate of 16% and morbidity rate of 37%. These findings draw attention to the
importance of developing preventive strategies.
PMID- 22090332
TI - [Domestic accidents involving women and first aid knowledge].
AB - BACKGROUND: Domestic accidents (DA) are preventable and untoward events occurring
in a house, pool or garage. Those events constitute a major issue in the context
of public health. The objective of this study was to highlight the
characteristics of female involvement in DA and their level of knowledge
regarding first aid. METHODS: Adult female relatives of patients presenting at
the university-based emergency department within the six-month study period
comprised the study sample. They were asked to answer a 23-item self-reported
questionnaire, and the responses were analyzed. RESULTS: A total of 1017 women
(mean age: 29.2+/-11.1 years) were enrolled in the study. Hand lacerations
(n=924, 90.8%), sprain and contusions (n=904, 88.8%) and burns (n=803, 78.9%)
were the most commonly reported types of DA. The children were reported to suffer
mostly from hits, sprains and contusions (n=478, 91.7%), followed by falls and
slipping (n=452, 86.7%). The telephone number of emergency medical services was
recalled most frequently (n=871, 85.6%), while that of the poison control center
was known least commonly (n=48, 4.7%). The group with the highest level of
education had a significantly higher mean number of correct answers than that of
the group with the lowest level of education (p=0.001). The knowledge level of
the women who worked was significantly lower than that of the unemployed women
(p=0.02). CONCLUSION: Extensive training of women on DA should be undertaken and
the mass media should be utilized to achieve this goal.
PMID- 22090333
TI - [The affecting factors on the complication ratio in abdominal gunshot wounds].
AB - BACKGROUND: We aimed to investigate the affecting factors on the complication
ratio in abdominal gunshot wounds. METHODS: Twenty-one patients with abdominal
gunshot wounds were analyzed between February 2002 and May 2005. The effects of
the interval between trauma and presentation to the hospital, the number of
injured abdominal and extra-abdominal organs, penetrating abdominal trauma index
(PATI), and blood transfusion were evaluated. RESULTS: 90.4% of all patients were
transported to the hospital and underwent their first evaluation in the first two
hours. The complication rate was 7.1% in patients who had <3 injured organs and
71% in the others (p<0.0001). 71.4% of the patients had isolated abdominal
trauma, while 28.6% had additional extra-abdominal organ trauma. The complication
rate was 7.7% in 13 patients with PATI score <25 and 62.5% in 8 patients with a
PATI score >=25 (p<0.0001). In 10 patients who underwent blood transfusion of >=3
units, the complication rate was 50% (p<0.0001). CONCLUSION: In our study, PATI
score, multiple blood transfusions and the number of injured intra-abdominal
organs were the most important factors affecting the rate of postoperative
complications in penetrating abdominal gunshot wounds. We found that the interval
between trauma and presentation to the hospital and number of injured extra
abdominal organs did not affect the complication rate.
PMID- 22090334
TI - Masked urinary bladder injury with a bullet expulsed spontaneously during
voiding.
AB - We report a case with gunshot to the pelvis. The injury site was the soft tissue
between the rectum and urinary bladder. Several days later, the bullet was
expulsed spontaneously during voiding. In the literature, only a few case reports
have described spontaneous expulsion of an intravesical bullet. A 19-year-old
male was wounded on the left hip by gunshot. Radiographic examinations showed a
bullet in the pelvis, which was localized in the soft tissue between the rectum
and urinary bladder, with no accompanying visceral injury on abdominopelvic
computerized tomography. Macroscopic hematuria was noticed after urethral
catheterization. Rectosigmoidoscopy and retrograde cystoscopic examinations were
both negative. The patient was monitored closely and treated conservatively with
no surgical intervention. The urinary catheter was removed on the fifth
postoperative day, and the bullet was expulsed spontaneously via the urethra
during normal voiding three hours after catheter removal. Thereafter, a
retrograde urethrography was performed, which showed no evidence of urinary tract
or bladder injury.
PMID- 22090335
TI - Spondylolisthesis mimicking the progression of dissection in a case of chronic
Stanford type B aortic dissection.
AB - Aortic dissection is an acute lethal cardiovascular condition. A 67-year-old
hypertensive woman was admitted to our Emergency Department with an abrupt onset
of tearing pain in the interscapular area. A thoracic computed tomography scan
with contrast showed chronic type B aortic dissection. The patient was
transferred to intensive care and medical therapy was initiated. Upon spread of
the pain to the lumbar area, the dissection was thought to have progressed. The
patient, being hemodynamically stable, was examined using ultrasonography, and
the dissection did not show any progression. In the neurological examination for
the lumbar pain, the lumbar processus spinosus was found to be sensitive, and the
sciatic nerve stretch test was positive at 30 degrees. Magnetic resonance imaging
revealed spondylolisthesis and a centrally located disc herniation at the L3-4
level. No operation for the dissection was planned, but discectomy and fusion
surgery was scheduled. Since the patient refused surgery, she was discharged with
medical therapy. Our aim in this report was to emphasize the importance of
spondylolisthesis mimicking the progression of dissection in the differential
diagnosis of a chronic type B aortic dissection case.
PMID- 22090336
TI - Multidetector computed tomography diagnosis of ileal and antropyloric gallstone
ileus.
AB - Gallstone-induced ileus is a rare complication of cholelithiasis, and gastric
outlet obstruction is even rarer. We describe the multidetector computed
tomographic diagnosis of small bowel obstruction resulting from a gallstone
impacted in the distal ileum and of gastric outlet obstruction from a gallstone
impacted in the pyloric antrum (Bouveret syndrome).
PMID- 22090337
TI - Gunshot injury to the penis in a patient with penile prosthesis: a case report.
AB - Civilian penetrating injuries to the penis are quite rare. We present the case of
a 48-year-old man with prosthetic implant surgery who referred to the emergency
department of our clinic with penetrating gunshot injury to the penis. The
damaged implant was removed and the defect on the anterior urethra was repaired
primarily. To the best of our knowledge, there is no such report in the
literature regarding penile gunshot injury in a patient with penile prosthesis.
PMID- 22090338
TI - Inflamed vermiform appendix within the sac of incarcerated left inguinal hernia.
AB - A 54-year-old male complained of a continuous pain together with an irreducible
swelling of the left inguinal region 8 hours prior to admission to the surgical
emergency department. His physical examination revealed a very painful,
erythematous, irreducible swelling in the left inguinal region without abdominal
peritoneal irritation. Routine blood tests disclosed mild leukocytosis. Abdominal
plain X-ray film was not specific, and ultrasonography revealed a 10 cm in length
inactive, edematous intestinal section within the inguinal hernia. With the
diagnosis of strangulated inguinal hernia, he underwent surgical exploration
through a transverse inguinal incision. By opening the hernia sac, 6-8 cc
inflammatory fluid drained out, and an inflamed vermiform appendix adhered to the
inner surface of the sac was seen. Appendicectomy and primary hernia repair were
performed at the same time through the inguinal incision. The postoperative
course was uneventful, and the histological examination of the specimen revealed
an inflamed appendix.
PMID- 22090339
TI - Late recognized nail aspiration in a child: case report.
AB - Foreign body (FB) aspiration is common in children aged 0-3 years. Our case, a
2.5-year-old girl, presented with sudden onset of cough, fever and sputum; she
had been treated twice for acute bronchitis four months ago. Resisting complaints
led to an esophagoscopic examination in our Chest Surgery Clinic after a chest X
ray suggested FB in the esophagus, but no FB could be detected. A lateral chest X
ray revealed a FB with its sharp end targeting the trachea and its round end to
the right hemithorax. Removal of the FB with forceps was not possible during
rigid bronchoscopy. The FB was removed by thoracotomy and bronchotomy under
general anesthesia. The removed FB was a nail measuring 6 cm in length. This case
report should serve to stress the diversity of FB aspirations in childhood, the
role of parental neglect, and that physicians should be aware of the possibility
of FB in children with persistent cough.
PMID- 22090340
TI - Royal College of Pathologists' autopsy guidelines on sudden cardiac death: roles
for cannabis, cotinine, NSAIDs and psychology.
PMID- 22090341
TI - Intraoperative analysis of sentinel lymph nodes in breast cancer by one-step
nucleic acid amplification.
AB - One-step nucleic acid amplification (OSNA) is a novel method introduced for the
lymph node staging of breast cancer and has been tested in multiple series. The
present review summarises current literature and concerns related to the new
method. The results of this automated molecular assay based on the quantification
of cytokeratin 19 mRNA show a 96% concordance rate with detailed histopathology
complemented with immunohistochemistry when alternative slices of the same lymph
node are used for the two tests. The low false-negative rate makes OSNA suitable
for the intraoperative evaluation of sentinel lymph nodes. The false-positive
rate also seems very low. Most discordant cases are explainable by low volume
metastases (micrometastases), which may be missing from the material submitted
for one test, but not from the different part used for the other test. It is
tempting to change the gold standard for comparisons between the methods, and if
this is done, histology seems to come out as a weaker test for the identification
of metastases. OSNA detects more low volume nodal involvement, but it is
uncertain whether these require further axillary treatment, and this will be a
subject for future investigations. Therefore, it is also uncertain whether the
advantage of OSNA of detecting practically all metastases due to complete
sampling of lymph node tissue is clinically more important than the exclusion of
metastases greater than micrometastasis that can be reliably done by
intraoperative microscopy followed by permanent section histology.
PMID- 22090342
TI - Nanoethics and the breaching of boundaries: a heuristic for going from
encouragement to a fuller integration of ethical, legal and social issues and
science : commentary on: "Adding to the mix: integrating ELSI into a National
Nanoscale Science and Technology Center".
AB - The intersection of ELSI and science forms a complicated nexus yet their
integration is an important goal both for society and for the successful
advancement of science. In what follows, I present a heuristic that makes
boundary identification and crossing an important tool in the discovery of
potential areas of ethical, legal, and social concern in science. A dynamic and
iterative application of the heuristic can lead towards a fuller integration and
appreciation of the concerns of ELSI and of science from both sides of the
divide.
PMID- 22090343
TI - Spindle assembly requires complete disassembly of spindle remnants from the
previous cell cycle.
AB - Incomplete mitotic spindle disassembly causes lethality in budding yeast. To
determine why spindle disassembly is required for cell viability, we used live
cell microscopy to analyze a double mutant strain containing a conditional mutant
and a deletion mutant compromised for the kinesin-8 and anaphase-promoting
complex-driven spindle-disassembly pathways (td-kip3 and doc1Delta,
respectively). Under nonpermissive conditions, spindles in td-kip3 doc1Delta
cells could break apart but could not disassemble completely. These cells could
exit mitosis and undergo cell division. However, the daughter cells could not
assemble functional, bipolar spindles in the ensuing mitosis. During the
formation of these dysfunctional spindles, centrosome duplication and separation,
as well as recruitment of key midzone-stabilizing proteins all appeared normal,
but microtubule polymerization was nevertheless impaired and these spindles often
collapsed. Introduction of free tubulin through episomal expression of alpha- and
beta-tubulin or introduction of a brief pulse of the microtubule-depolymerizing
drug nocodazole allowed spindle assembly in these td-kip3 doc1Delta mutants.
Therefore we propose that spindle disassembly is essential for regeneration of
the intracellular pool of assembly-competent tubulin required for efficient
spindle assembly during subsequent mitoses of daughter cells.
PMID- 22090344
TI - YPR139c/LOA1 encodes a novel lysophosphatidic acid acyltransferase associated
with lipid droplets and involved in TAG homeostasis.
AB - For many years, lipid droplets (LDs) were considered to be an inert store of
lipids. However, recent data showed that LDs are dynamic organelles playing an
important role in storage and mobilization of neutral lipids. In this paper, we
report the characterization of LOA1 (alias VPS66, alias YPR139c), a yeast member
of the glycerolipid acyltransferase family. LOA1 mutants show abnormalities in LD
morphology. As previously reported, cells lacking LOA1 contain more LDs.
Conversely, we showed that overexpression results in fewer LDs. We then compared
the lipidome of loa1Delta mutant and wild-type strains. Steady-state metabolic
labeling of loa1Delta revealed a significant reduction in triacylglycerol
content, while phospholipid (PL) composition remained unchanged. Interestingly,
lipidomic analysis indicates that both PLs and glycerolipids are qualitatively
affected by the mutation, suggesting that Loa1p is a lysophosphatidic acid
acyltransferase (LPA AT) with a preference for oleoyl-CoA. This hypothesis was
tested by in vitro assays using both membranes of Escherichia coli cells
expressing LOA1 and purified proteins as enzyme sources. Our results from
purification of subcellular compartments and proteomic studies show that Loa1p is
associated with LD and active in this compartment. Loa1p is therefore a novel LPA
AT and plays a role in LD formation.
PMID- 22090345
TI - Cdc28 provides a molecular link between Hsp90, morphogenesis, and cell cycle
progression in Candida albicans.
AB - The trimorphic fungus Candida albicans is the leading cause of systemic
candidiasis, a disease with poor prognosis affecting immunocompromised
individuals. The capacity of C. albicans to transition between morphological
states is a key determinant of its ability to cause life-threatening infection.
Recently the molecular chaperone heat shock protein 90 (Hsp90) was implicated as
a major regulator of temperature-dependent C. albicans morphogenesis;
compromising Hsp90 function induces filamentation and relieves repression of Ras1
protein kinase A (PKA) signaling, although the mechanism involved remains
unknown. Here we demonstrate that filaments generated by compromise of Hsp90
function are neither pseudohyphae nor hyphae but closely resemble filaments
formed in response to cell cycle arrest. Closer examination revealed that these
filaments exhibit a delay in mitotic exit mediated by the checkpoint protein
Bub2. Furthermore, Hsp90 inhibition also led to a distinct morphology with
defects in cytokinesis. We found that the cyclin-dependent kinase Cdc28 was
destabilized in response to depletion of Hsp90 and that Cdc28 physically
interacts with Hsp90, implicating this major cell cycle regulator as a novel
Hsp90 client protein in C. albicans. Taken together, our results suggest that
Hsp90 is instrumental in the regulation of cell division during yeast-form growth
in C. albicans and exerts its major effects during late cell cycle events.
PMID- 22090346
TI - RNA-related nuclear functions of human Pat1b, the P-body mRNA decay factor.
AB - The evolutionarily conserved Pat1 proteins are P-body components recently shown
to play important roles in cytoplasmic gene expression control. Using human cell
lines, we demonstrate that human Pat1b is a shuttling protein whose nuclear
export is mediated via a consensus NES sequence and Crm1, as evidenced by
leptomycin B (LMB) treatment. However, not all P-body components are
nucleocytoplasmic proteins; rck/p54, Dcp1a, Edc3, Ge-1, and Xrn1 are insensitive
to LMB and remain cytoplasmic in its presence. Nuclear Pat1b localizes to PML
associated foci and SC35-containing splicing speckles in a transcription
dependent manner, whereas in the absence of RNA synthesis, Pat1b redistributes to
crescent-shaped nucleolar caps. Furthermore, inhibition of splicing by
spliceostatin A leads to the reorganization of SC35 speckles, which is closely
mirrored by Pat1b, indicating that it may also be involved in splicing processes.
Of interest, Pat1b retention in these three nuclear compartments is mediated via
distinct regions of the protein. Examination of the nuclear distribution of 4E
T(ransporter), an additional P-body nucleocytoplasmic protein, revealed that 4E-T
colocalizes with Pat1b in PML-associated foci but not in nucleolar caps. Taken
together, our findings strongly suggest that Pat1b participates in several RNA
related nuclear processes in addition to its multiple regulatory roles in the
cytoplasm.
PMID- 22090347
TI - The cytoskeletal mechanisms of cell-cell junction formation in endothelial cells.
AB - The actin cytoskeleton and associated proteins play a vital role in cell-cell
adhesion. However, the procedure by which cells establish adherens junctions
remains unclear. We investigated the dynamics of cell-cell junction formation and
the corresponding architecture of the underlying cytoskeleton in cultured human
umbilical vein endothelial cells. We show that the initial interaction between
cells is mediated by protruding lamellipodia. On their retraction, cells maintain
contact through thin bridges formed by filopodia-like protrusions connected by VE
cadherin-rich junctions. Bridges share multiple features with conventional
filopodia, such as an internal actin bundle associated with fascin along the
length and vasodilator-stimulated phosphoprotein at the tip. It is striking that,
unlike conventional filopodia, transformation of actin organization from the
lamellipodial network to filopodial bundle during bridge formation occurs in a
proximal-to-distal direction and is accompanied by recruitment of fascin in the
same direction. Subsequently, bridge bundles recruit nonmuscle myosin II and
mature into stress fibers. Myosin II activity is important for bridge formation
and accumulation of VE-cadherin in nascent adherens junctions. Our data reveal a
mechanism of cell-cell junction formation in endothelial cells using lamellipodia
as the initial protrusive contact, subsequently transforming into filopodia-like
bridges connected through adherens junctions. Moreover, a novel lamellipodia-to
filopodia transition is used in this context.
PMID- 22090348
TI - Characterization of NE81, the first lamin-like nucleoskeleton protein in a
unicellular organism.
AB - Lamins build the nuclear lamina and are required for chromatin organization, gene
expression, cell cycle progression, and mechanical stabilization. Despite these
universal functions, lamins have so far been found only in metazoans. We have
identified protein NE81 in Dictyostelium, which has properties that justify its
denomination as a lamin-like protein in a lower eukaryote. This is based on its
primary structure, subcellular localization, and regulation during mitosis, and
its requirement of the C-terminal CaaX box as a posttranslational processing
signal for proper localization. Our knockout and overexpression mutants revealed
an important role for NE81 in nuclear integrity, chromatin organization, and
mechanical stability of cells. All our results are in agreement with a role for
NE81 in formation of a nuclear lamina. This function is corroborated by
localization of Dictyostelium NE81 at the nuclear envelope in human cells. The
discovery of a lamin-like protein in a unicellular organism is not only
intriguing in light of evolution, it may also provide a simple experimental
platform for studies of the molecular basis of laminopathies.
PMID- 22090349
TI - Using biomaterials to improve the efficacy of cell therapy following acute
myocardial infarction.
AB - Cardiovascular cell therapy has the potential to improve left ventricular (LV)
function and alter the course of adverse LV remodeling following acute myocardial
infarction (AMI). However, current therapy using autologous intracoronary bone
marrow mononuclear cells results in only minimal recovery of LV function. A major
impediment appears to be limited retention and engraftment of the transplanted
cells, in part due to loss of the extracellular matrix (ECM) following AMI that
can lead to apoptosis of the delivered cells through the mechanism of anoikis.
Recent pre-clinical studies suggest that the delivery of ECM surrogates to the
infarct zone following AMI significantly improves LV function through multiple
mechanisms. The use of ECM surrogates in conjunction with stem cell
administration may represent a new paradigm for cardiac repair following AMI.
This review discusses the potential use of biologically based ECM surrogates in
the clinical setting following STEMI.
PMID- 22090351
TI - Pharmacokinetics of oral ibuprofen for patent ductus arteriosus closure in
preterm infants.
PMID- 22090350
TI - The "missing" link between acute hemodynamic effect and clinical response.
AB - The hemodynamic, mechanical and electrical effects of cardiac resynchronization
therapy (CRT) occur immediate and are lasting as long as CRT is delivered.
Therefore, it is reasonable to assume that acute hemodynamic effects should
predict long-term outcome. However, in the literature there is more evidence
against than in favour of this idea. This raises the question of what factor(s)
do relate to the benefit of CRT. There is increasing evidence that dyssynchrony,
presumably through the resultant abnormal local mechanical behaviour, induces
extensive remodelling, comprising structure, as well as electrophysiological and
contractile processes. Resynchronization has been shown to reverse these
processes, even in cases of limited hemodynamic improvement. These data may
indicate the need for a paradigm shift in order to achieve maximal long-term CRT
response.
PMID- 22090352
TI - [Long-term care of Parkinson patients with deep brain stimulation].
AB - For more than 15 years deep brain stimulation of the subthalamic nucleus and
globus pallidus internus have become therapeutic options in advanced Parkinson's
disease. The number of patients with long-term treatment is increasing steadily.
This review focuses on issues of the long-term care of these Parkinson's
patients, including differences of the available deep brain stimulation systems,
recommendations for follow-up examinations, implications for medical diagnostics
and therapies and an algorithm for symptom deterioration. Today, there is no
profound evidence that deep brain stimulation prevents disease progression.
However, symptomatic relief from motor symptoms is maintained during long-term
follow-up and interruption of the therapy remains an exception.
PMID- 22090353
TI - [Functional magnetic stimulation as a supposedly 'painless' option for movement
induction in plegics].
AB - BACKGROUND: It is known in the rehabilitation of central pareses that functional
electrical stimulation (FES) of the muscles can induce movement and accomplish
training in patients. The main limitations of this method are that patients with
preserved sensation experience pain and the reflexes triggered by FES. Therefore
the application of the largely "painless" magnetic stimulation (FMS) of the
muscles would be a potential alternative in the rehabilitation of patients with
partially preserved sensation. As the generation of high force and power levels
is considered to be an essential requirement of effective rehabilitation
strategies, we have shown in previous work that FMS with large surface magnetic
coils fitted to the thigh can generate about 2.5 times higher isometric forces in
patients with preserved sensation, than can FES. OBJECTIVES: The goal of the
present pilot study was to prove that the mechanical power generated by
functional magnetic stimulation is superior to that produced by electrical
stimulation too. METHODS: We have measured the mechanical torque, the power, the
accomplished work and the kinematics in 4 healthy control subjects, who performed
pedalling propelled by FMS and FES until complete muscular exhaustion, using a
cycling test-bed under isotonic conditions (constant resistance). RESULTS: We
have proved that the generated work, mean power, cadence and smoothness of
pedalling essentially depend on peak torque and power. Furthermore, we found
evidence that smoother pedalling could be achieved using magnetic, compared to
electrical stimulation because of the higher peak torques that were generated by
FMS. CONCLUSION: This study supports the concept that peripheral magnetic
stimulation is an appropriate rehabilitation method for patients with central
pareses and preserved sensory apparatus because FMS is less painful than
electrical stimulation.
PMID- 22090354
TI - Extremity soft tissue sarcoma resections: how wide do you need to be?
AB - BACKGROUND: Sarcomas require a wide margin of resection including a cuff of
normal tissue to minimize the risk of local recurrence. The amount of tissue that
constitutes a wide margin is unclear in the literature. QUESTIONS/PURPOSES: We
therefore determined whether a close resection margin for soft tissue sarcoma
resulted in an increased incidence of locally recurrent disease and whether
additional factors, including radiation therapy, outside biopsies, and tumor
biology, affected the risk of local recurrence. METHODS: We retrospectively
reviewed 117 patients with soft tissue sarcomas resected with negative margins
from 2001 to 2007. Gross specimens were inked and the closest macroscopic margins
were sent for microscopic examination. Resection margins were categorized as less
than 1 mm, 1-5 mm, or greater than 5 mm. We evaluated additional factors that
might influence local recurrence, including radiation therapy, outside biopsies,
sarcoma type, grade, and stage at presentation, and development of metastatic
disease. RESULTS: Four of 117 patients (3.4%) developed local recurrence. The
incidence of local recurrence was similar in patients with less than 1-mm margins
and greater than 1-mm margins: two of 45 patients (4.4%) and two of 64 patients
(3.1%), respectively. Due to the low number of local recurrences, quantitative
margin extent and the other factors evaluated did not affect local recurrence.
CONCLUSIONS: The extent of a margin necessary to prevent local recurrence of soft
tissue sarcoma remains unclear as the power of our study was limited by the low
number of local recurrences. Relatively low local recurrence rates can be
achieved even with close margins. LEVEL OF EVIDENCE: Level III, therapeutic
study. See Guidelines for Authors for a complete description of levels of
evidence.
PMID- 22090355
TI - Tantalum augments for Paprosky IIIA defects remain stable at midterm followup.
AB - BACKGROUND: Initial reports with short-term followup of porous tantalum
acetabular components and augments for Paprosky IIIA acetabular defects
demonstrate high hip scores, low rates of aseptic loosening, and low rates of
complications. However, longer-term followup with a larger cohort is needed to
determine the durability of these reconstructions. QUESTIONS/PURPOSES: We
therefore determined the functional scores, rates of aseptic loosening, and
complications in patients with Paprosky IIIA acetabular defects treated with
porous tantalum acetabular components and augments. METHODS: We retrospectively
reviewed 37 acetabular revisions in 36 patients (one patient with bilateral
revisions) treated with a porous tantalum acetabular component and augment. All
patients had defects classified as Type IIIa using the system of Paprosky et al.
Harris hip scores were obtained and radiographic examination was performed before
surgery and through most recent followup. The minimum followup was 26 months
(mean, 60 months; range, 26-106 months). RESULTS: One patient developed aseptic
loosening of the acetabular reconstruction requiring revision; seven other
patients required further surgery for periprosthetic femoral fracture (two),
acute infection (three), and recurrent dislocation (two). Thirty-five of 37 hips
had no or occasional pain at final followup. Mean Harris hip scores improved from
33.0 preoperatively (range, 12.6-58.7) to 81.5 postoperatively (range, 27.0
99.8). CONCLUSIONS: Although the complication rate requiring further surgery was
considerable, most patients with these reconstructions had pain relief and
reasonable function with low rates of loosening at midterm followup. LEVEL OF
EVIDENCE: Level IV, therapeutic study. See Guidelines for Authors for a complete
description of levels of evidence.
PMID- 22090356
TI - The natural history of idiopathic frozen shoulder: a 2- to 27-year followup
study.
AB - BACKGROUND: The natural history of spontaneous idiopathic frozen shoulder is
controversial. Many studies claim that complete resolution is not inevitable.
Based on the 40-year clinical experience of the senior author, we believed most
patients with idiopathic frozen shoulder might have a higher rate of resolution
than earlier thought. QUESTIONS/PURPOSES: We determined the length of symptoms,
whether spontaneous frozen shoulder recovered without any treatment, and whether
restored ROM, pain relief, and function persisted over the long term. METHODS: We
retrospectively reviewed 83 patients treated for frozen shoulder (84 shoulders;
56 women) 2 to 27 years (mean, 9 years) after initial consultation. The mean age
at onset of symptoms was 53 years. Fifty-one of the 83 patients (52 shoulders)
were treated with observation or benign neglect only (untreated group), and 32
had received some kind of nonoperative treatment before the first consultation
with the senior author (nonoperative group). We also evaluated all 20 patients
(22 shoulders; 13 women) with spontaneous frozen shoulder who underwent
manipulation under anesthesia during the same time (manipulation group). The mean
age of these patients was 49 years. The minimum followup was 2 years (mean, 14
years; range, 2-24 years). We determined duration of the disease, pain levels,
ROM, and Constant-Murley scores. RESULTS: The duration of the disease averaged 15
months (range, 4-36 months) in the untreated group, and 20 months (range, 6-60
months) in the nonoperative group. At last followup the ROM had improved to the
contralateral level in 94% in the untreated group, in 91% in the nonoperative
group, and in 91% in the manipulation group. Fifty-one percent of patients in the
untreated group, 44% in the nonoperative group, and 30% in the manipulation group
were totally pain free at rest, during the night, and with exertion. Pain at rest
was less than 3 on the VAS in 94% of patients in the untreated group, 91% in the
nonoperative group, and 90% of the manipulation group. The Constant-Murley scores
averaged 83 (86%) in the untreated group, 81 (77%) in the nonoperative group, and
82 (71%) in the manipulation group, reaching the normal age- and gender-related
Constant-Murley score. CONCLUSIONS: We found 94% of patients with spontaneous
frozen shoulder recovered to normal levels of function and motion without
treatment. LEVEL OF EVIDENCE: Level IV, therapeutic study. See Guidelines for
Authors for a complete description of levels of evidence.
PMID- 22090357
TI - Environmental tobacco and wood smoke increase the risk of Legg-Calve-Perthes
disease.
AB - BACKGROUND: The etiology of Legg-Calve-Perthes disease (LCPD) remains unknown. A
few studies have suggested passive smoke inhalation may be a risk factor,
although the association is not confirmed and a causal relationship has not been
established. QUESTIONS/PURPOSES: We therefore undertook this study to confirm an
association between environmental tobacco smoke, firewood smoke, and
socioeconomic status and the risk of LCPD. METHODS: We prospectively recruited
128 children with LCPD and 384 children attending the hospital for other
orthopaedic complaints. The control subjects were frequency-matched with the
cases by age and gender. Conditional logistic regression was used to assess the
association between the exposures and risk of LCPD. RESULTS: The main risk
factors for LCPD were indoor use of a wood stove (adjusted odds ratio [OR], 2.56)
and having a family member who smoked indoors (adjusted OR, 2.07). Children from
the middle socioeconomic group appeared to be at a greater risk of developing
LCPD (adjusted OR, 3.60). CONCLUSIONS: This study provides further evidence that
environmental tobacco smoke is associated with an increased risk of LCPD.
Exposure to wood smoke also appears to be a risk factor. However, it remains
unclear why there are profound differences in the incidence of the disease
between regions when the prevalence of smoking is comparable and why bilateral
involvement and familial disease are infrequent.
PMID- 22090358
TI - Ran is a potential therapeutic target for cancer cells with molecular changes
associated with activation of the PI3K/Akt/mTORC1 and Ras/MEK/ERK pathways.
AB - PURPOSE: Cancer cells have been shown to be more susceptible to Ran knockdown
than normal cells. We now investigate whether Ran is a potential therapeutic
target of cancers with frequently found mutations that lead to higher Ras/MEK/ERK
[mitogen-activated protein/extracellular signal-regulated kinase (ERK; MEK)] and
phosphoinositide 3-kinase (PI3K)/Akt/mTORC1 activities. EXPERIMENTAL DESIGN:
Apoptosis was measured by flow cytometry [propidium iodide (PI) and Annexin V
staining] and MTT assay in cancer cells grown under different conditions after
knockdown of Ran. The correlations between Ran expression and patient survival
were examined in breast and lung cancers. RESULTS: Cancer cells with their
PI3K/Akt/mTORC1 and Ras/MEK/ERK pathways inhibited are less susceptible to Ran
silencing-induced apoptosis. K-Ras-mutated, c-Met-amplified, and Pten-deleted
cancer cells are also more susceptible to Ran silencing-induced apoptosis than
their wild-type counterparts and this effect is reduced by inhibitors of the
PI3K/Akt/mTORC1 and MEK/ERK pathways. Overexpression of Ran in clinical specimens
is significantly associated with poor patient outcome in both breast and lung
cancers. This association is dramatically enhanced in cancers with increased c
Met or osteopontin expression, or with oncogenic mutations of K-Ras or PIK3CA,
all of which are mutations that potentially correlate with activation of the
PI3K/Akt/mTORC1 and/or Ras/MEK/ERK pathways. Silencing Ran also results in
dysregulation of nucleocytoplasmic transport of transcription factors and
downregulation of Mcl-1 expression, at the transcriptional level, which are
reversed by inhibitors of the PI3K/Akt/mTORC1 and MEK/ERK pathways. CONCLUSION:
Ran is a potential therapeutic target for treatment of cancers with
mutations/changes of expression in protooncogenes that lead to activation of the
PI3K/Akt/mTORC1 and Ras/MEK/ERK pathways.
PMID- 22090359
TI - STAT5A-mediated SOCS2 expression regulates Jak2 and STAT3 activity following c
Src inhibition in head and neck squamous carcinoma.
AB - PURPOSE: The inhibition of c-Src results in a striking reduction in cancer cell
invasion, but the effect on cell survival is modest. Defining mechanisms that
limit apoptosis following c-Src inhibition could result in an ideal therapeutic
approach that both inhibits invasion and leads to apoptosis. In this regard, we
discovered a novel feedback loop that results in STAT3 reactivation following
sustained c-Src inhibition. Here we define the mechanism underlying this feedback
loop and examine the effect of inhibiting it in vivo. EXPERIMENTAL DESIGN: We
measured levels and activity of pathway components using PCR, Western blotting,
and kinase assays following their manipulation using both molecular and
pharmacologic approaches. We used a heterotransplant animal model in which human
oral squamous cancer is maintained exclusively in vivo. RESULTS: Following c-Src
inhibition, STAT5 is durably inhibited. The inhibition of STAT5A, but not STAT5B,
subsequently reduces the expression of suppressors of cytokine signaling 2
(SOCS2). SOCS2 inhibits Janus kinase 2 (Jak2) activity and Jak2-STAT3 binding.
SOCS2 expression is necessary for STAT3 inhibition by c-Src inhibitors.
Overexpression of SOCS2 is adequate to prevent STAT3 reactivation and to enhance
the cytotoxic effects of c-Src inhibition. Likewise, the combination of Jak and c
Src inhibitors led to significantly more apoptosis than either agent alone in
vivo. CONCLUSIONS: To our knowledge, ours is the first study that fully defines
the mechanism underlying this feedback loop, in which sustained c-Src inhibition
leads to diminished SOCS2 expression via sustained inhibition of STAT5A, allowing
activation of Jak2 and STAT3, Jak2-STAT3 binding, and survival signals.
PMID- 22090360
TI - TP53 disruptive mutations lead to head and neck cancer treatment failure through
inhibition of radiation-induced senescence.
AB - PURPOSE: Mortality of patients with head and neck squamous cell carcinoma (HNSCC)
is primarily driven by tumor cell radioresistance leading to locoregional
recurrence (LRR). In this study, we use a classification of TP53 mutation
(disruptive vs. nondisruptive) and examine impact on clinical outcomes and
radiation sensitivity. EXPERIMENTAL DESIGN: Seventy-four patients with HNSCC
treated with surgery and postoperative radiation and 38 HNSCC cell lines were
assembled; for each, TP53 was sequenced and the in vitro radioresistance measured
using clonogenic assays. p53 protein expression was inhibited using short hairpin
RNA (shRNA) and overexpressed using a retrovirus. Radiation-induced apoptosis,
mitotic cell death, senescence, and reactive oxygen species (ROS) assays were
carried out. The effect of the drug metformin on overcoming mutant p53-associated
radiation resistance was examined in vitro as well as in vivo, using an
orthotopic xenograft model. RESULTS: Mutant TP53 alone was not predictive of LRR;
however, disruptive TP53 mutation strongly predicted LRR (P = 0.03). Cell lines
with disruptive mutations were significantly more radioresistant (P < 0.05).
Expression of disruptive TP53 mutations significantly decreased radiation-induced
senescence, as measured by SA-beta-gal staining, p21 expression, and release of
ROS. The mitochondrial agent metformin potentiated the effects of radiation in
the presence of a disruptive TP53 mutation partially via senescence. Examination
of our patient cohort showed that LRR was decreased in patients taking metformin.
CONCLUSIONS: Disruptive TP53 mutations in HNSCC tumors predicts for LRR, because
of increased radioresistance via the inhibition of senescence. Metformin can
serve as a radiosensitizer for HNSCC with disruptive TP53, presaging the
possibility of personalizing HNSCC treatment.
PMID- 22090361
TI - Anti-EGFR antibody cetuximab enhances the cytolytic activity of natural killer
cells toward osteosarcoma.
AB - PURPOSE: Osteosarcoma and Ewing's sarcoma are the most common bone tumors in
children and adolescents. Despite intensive chemotherapy, patients with advanced
disease have a poor prognosis, illustrating the need for alternative therapies.
Sarcoma cells are susceptible to the cytolytic activity of resting natural killer
(NK) cells which can be improved by interleukin (IL)-15 stimulation. In this
study, we explored whether the cytolytic function of resting NK cells can be
augmented and specifically directed toward sarcoma cells by antibody-dependent
cellular cytotoxicity (ADCC). EXPERIMENTAL DESIGN: Epidermal growth factor
receptor (EGFR) expression was examined on osteosarcoma and Ewing's sarcoma cell
lines by flow cytometry and in osteosarcoma biopsy and resection specimens by
immunohistochemistry. Cetuximab-mediated ADCC by NK cells from osteosarcoma
patients and healthy controls was measured with 4-hour (51)Cr release assays.
RESULTS: EGFR surface expression was shown on chemotherapy-sensitive and
chemotherapy-resistant osteosarcoma cells (12/12), most primary osteosarcoma
cultures (4/5), and few Ewing's sarcoma cell lines (2/7). In the presence of
cetuximab, the cytolytic activity of resting NK cells against all EGFR-expressing
sarcoma cells was substantially increased and comparable with that of IL-15
activated NK cells. Surface EGFR expression on primary osteosarcoma cultures
correlated with EGFR expression in the original tumor. The cytolytic activity of
osteosarcoma patient-derived NK cells against autologous tumor cells was as
efficient as that of NK cells from healthy donors. CONCLUSION: Our data show that
the cytolytic potential of resting NK cells can be potentiated and directed
toward osteosarcoma cells with cetuximab. Therefore, cetuximab-mediated
immunotherapy may be considered a novel treatment modality in the management of
advanced osteosarcoma.
PMID- 22090362
TI - Phase I, dose-escalation trial of the oral cyclin-dependent kinase 4/6 inhibitor
PD 0332991, administered using a 21-day schedule in patients with advanced
cancer.
AB - PURPOSE: To identify the dose-limiting toxicity (DLT) and maximum tolerated dose
(MTD) of the first-in-class, oral CDK4/6 inhibitor PD 0332991 administered once
daily for 21 of 28 days (3/1 schedule) in patients with retinoblastoma protein
(Rb)-positive advanced solid tumors and to describe pharmacokinetic
pharmacodynamic relationships relative to drug effects. EXPERIMENTAL DESIGN: This
open-label phase I study (NCT00141297) enrolled patients who received PD 0332991
orally in six dose-escalation cohorts in a standard 3 + 3 design. RESULTS: Forty
one patients were enrolled. DLTs were observed in five patients (12%) overall; at
the 75, 125, and 150 mg once daily dose levels. The MTD and recommended phase II
dose of PD 0332991 was 125 mg once daily. Neutropenia was the only dose-limiting
effect. After cycle 1, grade 3 neutropenia, anemia, and leukopenia occurred in
five (12%), three (7%), and one (2%) patient(s), respectively. The most common
non-hematologic adverse events included fatigue, nausea, and diarrhea. Thirty
seven patients were evaluable for tumor response; 10 (27%) had stable disease for
>=4 cycles of whom six derived prolonged benefit (>=10 cycles). PD 0332991 was
slowly absorbed (median T(max), 5.5 hours), and slowly eliminated (mean half-life
was 25.9 hours) with a large volume of distribution (mean, 2,793 L). The area
under the concentration-time curve increased linearly with dose. Using an E(max)
model, neutropenia was shown to be proportional to exposure. CONCLUSIONS: PD
0332991 warrants phase II testing at 125 mg once daily, at which dose neutropenia
was the sole significant toxicity.
PMID- 22090363
TI - An oral inhibitor of p38 MAP kinase reduces plasma fibrinogen in patients with
chronic obstructive pulmonary disease.
AB - The aims were to determine the effect of an oral inhibitor of the signaling
mediator p38 mitogen-activated protein kinase (GW856553, losmapimod) on sputum
neutrophils, pulmonary function, and blood biomarkers of inflammation in chronic
obstructive pulmonary disease (COPD). Three hundred and two individuals with GOLD
stage II COPD were randomized to oral losmapimod 7.5 mg twice daily, inhaled
salmeterol/fluticasone propionate 50 ug/500 ug combination (SFC), or placebo in a
12-week, randomized, double-blind, double-dummy study (MKI102428/NCT00642148).
Neither losmapimod nor SFC had an effect on the primary end point of sputum
neutrophils. Losmapimod was well tolerated and reduced plasma fibrinogen by 11% (
0.4 g/L, ratio of effect of losmapimod/placebo 0.89; 95% confidence interval,
0.83-0.96; P = .002) with nonsignificant reductions in interleukin-6, interleukin
8, and C-reactive protein. There was evidence of improvement in hyperinflation
with losmapimod compared with placebo (overall P = .02). Inhaled SFC
significantly improved lung function and reduced serum CC-16 (ratio of effect of
SFC/placebo 0.87; 95% confidence interval, 0.82-0.93; P < .001). It was concluded
that oral losmapimod significantly reduced plasma fibrinogen in patients with
COPD.
PMID- 22090364
TI - Specification and differentiation of cerebellar GABAergic neurons.
AB - Cerebellar GABAergic projection neurons and interneurons originate from the
ventricular neuroepithelium of the cerebellar primordium. However, while
projection neurons are born within this germinal layer, interneurons derive from
progenitors that delaminate into the prospective white matter. In spite of this
common origin, the two main classes of GABAergic neurons are generated according
to distinct strategies. Projection neurons are committed to their fate at early
ontogenetic stages and acquire their mature phenotypes through cell-autonomous
mechanisms. On the contrary, the different categories of cerebellar interneurons
derive from a single pool of multipotent progenitors, whose fate choices,
production rates and differentiation schedules are strongly influenced by
environmental cues.
PMID- 22090365
TI - Regulation of inhibitory synaptic plasticity in a Purkinje neuron.
AB - Inhibitory synapses on Purkinje cells show synaptic plasticity such as rebound
potentiation (RP), which seems to contribute to refined information processing in
the cerebellar cortex. Recent progress in the study on regulation mechanism of RP
is reported. RP is induced by depolarization of a Purkinje cell and expressed as
the increased postsynaptic responsiveness to GABA. RP might work as a homeostatic
mechanism to maintain activity of a Purkinje cell sensing the strength of
heterosynaptic excitatory inputs. However, there is a homosynaptic mechanism to
regulate RP. RP is suppressed by the GABAergic transmission occurring during
depolarization. Elaborate molecular regulation mechanism of RP induction,
including GABA(B) receptors, Ca(2+), cyclic adenosine 3',5'-monophosphate (cAMP),
kinases such as Ca(2+)- and calmodulin-dependent kinase II and protein kinase A,
and protein phosphatases such as PP1 and PP2B, has been clarified. Application of
systems biological analyses combined with electrophysiological experiments has
revealed a critical role of phosphodiesterase 1 in determination of the Ca(2+)
signal to induce RP.
PMID- 22090366
TI - Body mass index is inversely correlated with the expanded CAG repeat length in
SCA3/MJD patients.
AB - Spinocerebellar ataxia type 3, also known as Machado-Joseph disease (SCA3/MJD),
is an autosomal dominant neurodegenerative disorder with no current treatment. We
aimed to evaluate the body mass index (BMI) of patients with SCA3/MJD and to
assess the correlations with clinical, molecular, biochemical, and neuroimaging
findings. A case-control study with 46 SCA3/MJD patients and 42 healthy, non
related control individuals with similar age and sex was performed. Clinical
evaluation was done with the ataxia scales SARA and NESSCA. Serum insulin,
insulin-like growth factor 1 (IGF-1) and magnetic resonance imaging normalized
volumetries of cerebellum and brain stem were also assessed. BMI was lower in
SCA3/MJD patients when compared to controls (p = 0.01). BMI was associated with
NESSCA, expanded CAG repeat number (CAG)n, age of onset, age, disease duration,
and serum insulin levels; however, in the linear regression model, (CAG)n was the
only variable independently associated with BMI, in an inverse manner (R =
0.396, p = 0.015). In this report, we present evidence that low BMI is not only
present in SCA3/MJD, but is also directly related to the length of the expanded
CAG repeats, which is the causative mutation of the disease. This association
points that weight loss might be a primary disturbance of SCA3/MJD, although
further detailed analyses are necessary for a better understanding of the
nutritional deficit and its role in the pathophysiology of SCA3/MJD.
PMID- 22090368
TI - ["Can They Still be Helped?" - Psychosocial and Emergency Management in the
Public Helath Service. Position paper of the Germany Society of Physicians in the
Public Health Service].
PMID- 22090369
TI - [The legal basis for the work of the sociopsychiatric services in Germany].
AB - INTRODUCTION: The legal basic principles of community mental health services or
sociopsychiatric services (SpDi) in the Federal Republic of Germany are state,
not federal laws, namely laws on help and protective measures for mentally
disordered people (PsychKG) and laws on Public Health Services (OGDG) as SpDi are
all integral parts of Community Public Health Authorities in most places. State
laws of the 16 German states differ considerably. Earlier research on PsychKG
focussed exclusively on involuntary hospitalisation, this work is the first to
address PsychKG as related to the work of SpDi and to consider OGDG as well.
METHODS: Based on an internet-based literature review the expedient laws of the
16 German states were systematically reviewed and compared. RESULTS: There is an
OGDG in 15/16 states, a PsychKG in 12/16 and some laws concerning SpDi in 13/16.
Where OGDG has health support to socially disadvantaged people, there is also a
law on SpDi. SpDi are mainly part of the municipal or county health authorities
except for 3 states without PsychKG. Where there is a legal basis, SpDi fulfills
the 5 core tasks of counselling and support, crisis intervention, psychiatric
expertise, coordination of mental health services and controlling of mental
health institutions. CONCLUSION: In every day practice, the "Recommendations of
the Board of Experts" of 1988 are more relevant than the actual state laws.
However, where no such law has been passed, the impact of the "Recommendations"
was much weaker. As PsychKG and OGDG do not warrant individual claims, but give
only general indications of action, precise standards of practice are needed, as
they were recently formulated in the state of Schleswig-Holstein. The UN
Convention on the rights of disabled persons with its claim to more assistance
may be facilitating the process of further development of state laws and to the
work of the community mental health services based on them.
PMID- 22090370
TI - [Variety of live worlds - relationship between living situation and developmental
status in school beginners].
AB - What is most important if we want to support children in the community of
Recklinghausen, which is one of the most populous rural districts in Germany?
With this interest in mind, data from health check assessments which are
regularly done at primary school enrolment were analysed. For analysis the
recommended "Bielefelder Modell" was used. The sample included all children who
entered primary school in the region of Recklinghausen in 2006-2009 (n=23 600).
These data allowed us to link information about their physical condition and
cognitive development with issues of social background, environment as well as to
search for regional patterns. We found a broad variety of "live worlds" of
children in our community, most striking were substantial inequalities regarding
utilisation of screening examinations as well as education and training
opportunities. A strong link to parents' educational and immigrant background was
evident. The procedure for analysing these data with a focus on regional patterns
provides a valuable insight into local inequalities. It provides a knowledge base
for a policy of early interventions to provide all children with the same
opportunities for good health and development.
PMID- 22090371
TI - [Medical and Economic Aspects of Extracorporeal Shock Wave Lithotripsy].
AB - Extracorporeal shock wave lithotripsy (ESWL) is the method of choice for most
renal and ureteral calculi. However, endoscopic procedures such as ureteroscopy
or percutaneous nephrolithotomy are being more and more performed as primary
treatment alternatives in clinical routine. This development may result from the
sometimes unsatisfying results of ESWL. While this is often explained by a lower
efficacy of last-generation machines, an often unrecognized explanation is the
impact of a less well trained urologist. To achieve best results it is mandatory
that fundamental knowledge about shock wave physics and disintegration mechanisms
are available. In Germany, the reimbursement system between outpatient and
inpatient departments is totally separate. This leads to difficulties in clinical
practice. We believe that patients at risk for complications, such as ureteral
stones, urinary tract infections or high age, benefit from inpatient treatment,
while uncomplicated renal stones can safely be treated on an outpatient basis.
Regular application and training of ESWL will aid an optimization of its results
and acceptance.
PMID- 22090372
TI - [Stool behaviour and local pain after radical perineal and retroperitoneal
prostatectomy].
AB - PURPOSE: One of the main therapeutic targets of a radical prostatectomy (RP) as a
treatment for -localised prostate cancer is the maintenance of quality of life
after surgery besides the known oncological and functional effects. This
prospective study compared the quality of life after surgery of patients treated
with two different surgical methods (perineal RP, RPP; retropubic RP, RRP). The
aim of this study was to compare perineal and retropubic RP with regard to stool
behaviour and local pain symptoms. PATIENTS AND METHODS: 257 radical
prostatectomies (169 RPP, 88 RRP) were performed between July 2003 and December
2004. 208 (151 RPP, 57 RRP) prospectively evaluated patients replied to a
physician-independent validated questionnaire (QLQ-C30 with prostate modul, IIEF
75, stool behaviour) followed by a phone survey regarding the continence of all
257 patients. RESULTS: One year after surgery, the complete continence rate (no
pads) was 75 % for the RPP group and 61 % for the RRP group. 22 % of the patients
reported involuntary stool leakage in the RPP group and 19 % in the RRP group
(not significantly different). 29 % of the patients in the RPP group complained
of local pain after 12 months, one third of them while sitting. In the RRP
group, 28 % of the patients complained of local pain after 12 months, 15 % of
them while sitting.17 % in the RPP and 27 % in the RRP group who suffered of
stool leakage had these symptoms preoperatively. One year after surgery, 52 % of
preoperatively potent patients were still potent after nerve-sparing RPP and 40 %
were potent after nerve-sparing RRP. 78 % of patients in the RPP group and 67 %
in the RRP group had an overall satisfactory quality of life. CONCLUSION: The
application of different surgical methods did not differ with regard to
postoperative local pain, stool behaviour, or general health items of quality of
life. Stool leakage and perineal pain while sitting were not limited to RPP only
and about a quarter of these patients had suffered from stool leakage already be
fore surgery.
PMID- 22090373
TI - [Partial and total penectomy].
PMID- 22090374
TI - Cell-type specific and combinatorial usage of diverse transcription factors
revealed by genome-wide binding studies in multiple human cells.
AB - Cell-type diversity is governed in part by differential gene expression programs
mediated by transcription factor (TF) binding. However, there are few systematic
studies of the genomic binding of different types of TFs across a wide range of
human cell types, especially in relation to gene expression. In the ENCODE
Project, we have identified the genomic binding locations across 11 different
human cell types of CTCF, RNA Pol II (RNAPII), and MYC, three TFs with diverse
roles. Our data and analysis revealed how these factors bind in relation to
genomic features and shape gene expression and cell-type specificity. CTCF bound
predominantly in intergenic regions while RNAPII and MYC preferentially bound to
core promoter regions. CTCF sites were relatively invariant across diverse cell
types, while MYC showed the greatest cell-type specificity. MYC and RNAPII co
localized at many of their binding sites and putative target genes. Cell-type
specific binding sites, in particular for MYC and RNAPII, were associated with
cell-type specific functions. Patterns of binding in relation to gene features
were generally conserved across different cell types. RNAPII occupancy was higher
over exons than adjacent introns, likely reflecting a link between
transcriptional elongation and splicing. TF binding was positively correlated
with the expression levels of their putative target genes, but combinatorial
binding, in particular of MYC and RNAPII, was even more strongly associated with
higher gene expression. These data illuminate how combinatorial binding of
transcription factors in diverse cell types is associated with gene expression
and cell-type specific biology.
PMID- 22090375
TI - Developmental control of gene copy number by repression of replication initiation
and fork progression.
AB - Precise DNA replication is crucial for genome maintenance, yet this process has
been inherently difficult to study on a genome-wide level in untransformed
differentiated metazoan cells. To determine how metazoan DNA replication can be
repressed, we examined regions selectively under-replicated in Drosophila
polytene salivary glands, and found they are transcriptionally silent and
enriched for the repressive H3K27me3 mark. In the first genome-wide analysis of
binding of the origin recognition complex (ORC) in a differentiated metazoan
tissue, we find that ORC binding is dramatically reduced within these large
domains, suggesting reduced initiation as one mechanism leading to under
replication. Inhibition of replication fork progression by the chromatin protein
SUUR is an additional repression mechanism to reduce copy number. Although
repressive histone marks are removed when SUUR is mutated and copy number
restored, neither transcription nor ORC binding is reinstated. Tethering of the
SUUR protein to a specific site is insufficient to block replication, however.
These results establish that developmental control of DNA replication, at both
the initiation and elongation stages, is a mechanism to change gene copy number
during differentiation.
PMID- 22090376
TI - Aberrant firing of replication origins potentially explains intragenic
nonrecurrent rearrangements within genes, including the human DMD gene.
AB - Non-allelic homologous recombination (NAHR), non-homologous end joining (NHEJ),
and microhomology-mediated replication-dependent recombination (MMRDR) have all
been put forward as mechanisms to explain DNA rearrangements associated with
genomic disorders. However, many nonrecurrent rearrangements in humans remain
unexplained. To further investigate the mutation mechanisms of these copy number
variations (CNVs), we performed breakpoint mapping analysis for 62 clinical cases
with intragenic deletions in the human DMD gene (50 cases) and other known
disease-causing genes (one PCCB, one IVD, one DBT, three PAH, one STK11, one
HEXB, three DBT, one HRPT1, and one EMD cases). While repetitive elements were
found in only four individual cases, three involving DMD and one HEXB gene,
microhomologies (2-10 bp) were observed at breakpoint junctions in 56% and
insertions ranging from 1 to 48 bp were seen in 16 of the total 62 cases. Among
these insertions, we observed evidence for tandem repetitions of short segments
(5-20 bp) of reference sequence proximal to the breakpoints in six individual DMD
cases (six repeats in one, four repeats in three, two repeats in one, and one
repeat in one case), strongly indicating attempts by the replication machinery to
surpass the stalled replication fork. We provide evidence of a novel template
slippage event during replication rescue. With a deeper insight into the complex
process of replication and its rescue during origin failure, brought forward by
recent studies, we propose a hypothesis based on aberrant firing of replication
origins to explain intragenic nonrecurrent rearrangements within genes, including
the DMD gene.
PMID- 22090377
TI - Interlocus gene conversion events introduce deleterious mutations into at least
1% of human genes associated with inherited disease.
AB - Establishing the molecular basis of DNA mutations that cause inherited disease is
of fundamental importance to understanding the origin, nature, and clinical
sequelae of genetic disorders in humans. The majority of disease-associated
mutations constitute single-base substitutions and short deletions and/or
insertions resulting from DNA replication errors and the repair of damaged bases.
However, pathological mutations can also be introduced by nonreciprocal
recombination events between paralogous sequences, a phenomenon known as
interlocus gene conversion (IGC). IGC events have thus far been linked to
pathology in more than 20 human genes. However, the large number of duplicated
gene sequences in the human genome implies that many more disease-associated
mutations could originate via IGC. Here, we have used a genome-wide computational
approach to identify disease-associated mutations derived from IGC events. Our
approach revealed hundreds of known pathological mutations that could have been
caused by IGC. Further, we identified several dozen high-confidence cases of
inherited disease mutations resulting from IGC in ~1% of all genes analyzed.
About half of the donor sequences associated with such mutations are functional
paralogous genes, suggesting that epistatic interactions or differential
expression patterns will determine the impact upon fitness of specific
substitutions between duplicated genes. In addition, we identified thousands of
hitherto undescribed and potentially deleterious mutations that could arise via
IGC. Our findings reveal the extent of the impact of interlocus gene conversion
upon the spectrum of human inherited disease.
PMID- 22090378
TI - Preparation of high-quality next-generation sequencing libraries from picogram
quantities of target DNA.
AB - New sequencing technologies can address diverse biomedical questions but are
limited by a minimum required DNA input of typically 1 MUg. We describe how
sequencing libraries can be reproducibly created from 20 pg of input DNA using a
modified transpososome-mediated fragmentation technique. Resulting libraries
incorporate in-line bar-coding, which facilitates sample multiplexes that can be
sequenced using Illumina platforms with the manufacturer's sequencing primer. We
demonstrate this technique by providing deep coverage sequence of the Escherichia
coli K-12 genome that shows equivalent target coverage to a 1-MUg input library
prepared using standard Illumina methods. Reducing template quantity does,
however, increase the proportion of duplicate reads and enriches coverage in low
GC regions. This finding was confirmed with exhaustive resequencing of a mouse
library constructed from 20 pg of gDNA input (about seven haploid genomes)
resulting in ~0.4-fold statistical coverage of uniquely mapped fragments. This
implies that a near-complete coverage of the mouse genome is obtainable with this
approach using 20 genomes as input. Application of this new method now allows
genomic studies from low mass samples and routine preparation of sequencing
libraries from enrichment procedures.
PMID- 22090379
TI - Calling amplified haplotypes in next generation tumor sequence data.
AB - During tumor initiation and progression, cancer cells acquire a selective
advantage, allowing them to outcompete their normal counterparts. Identification
of the genetic changes that underlie these tumor acquired traits can provide
deeper insights into the biology of tumorigenesis. Regions of copy number
alterations and germline DNA variants are some of the elements subject to
selection during tumor evolution. Integrated examination of inherited variation
and somatic alterations holds the potential to reveal specific nucleotide alleles
that a tumor "prefers" to have amplified. Next-generation sequencing of tumor and
matched normal tissues provides a high-resolution platform to identify and
analyze such somatic amplicons. Within an amplicon, examination of informative
(e.g., heterozygous) sites deviating from a 1:1 ratio may suggest selection of
that allele. A naive approach examines the reads for each heterozygous site in
isolation; however, this ignores available valuable linkage information across
sites. We, therefore, present a novel hidden Markov model-based method-Haplotype
Amplification in Tumor Sequences (HATS)-that analyzes tumor and normal sequence
data, along with training data for phasing purposes, to infer amplified alleles
and haplotypes in regions of copy number gain. Our method is designed to handle
rare variants and biases in read data. We assess the performance of HATS using
simulated amplified regions generated from varying copy number and coverage
levels, followed by amplicons in real data. We demonstrate that HATS infers the
amplified alleles more accurately than does the naive approach, especially at low
to intermediate coverage levels and in cases (including high coverage) possessing
stromal contamination or allelic bias.
PMID- 22090380
TI - Non-breeding feather concentrations of testosterone, corticosterone and cortisol
are associated with subsequent survival in wild house sparrows.
AB - Potential mechanistic mediators of Darwinian fitness, such as stress hormones or
sex hormones, have been the focus of many studies. An inverse relationship
between fitness and stress or sex hormone concentrations has been widely assumed,
although empirical evidence is scarce. Feathers gradually accumulate hormones
during their growth and provide a novel way to measure hormone concentrations
integrated over time. Using liquid chromatography-tandem mass spectrometry, we
measured testosterone, corticosterone and cortisol in the feathers of house
sparrows (Passer domesticus) in a wild population which is the subject of a long
term study. Although corticosterone is considered the dominant avian
glucocorticoid, we unambiguously identified cortisol in feathers. In addition, we
found that feathers grown during the post-nuptial moult in autumn contained
testosterone, corticosterone and cortisol levels that were significantly higher
in birds that subsequently died over the following winter than in birds that
survived. Thus, feather steroids are candidate prospective biomarkers to predict
the future survival of individuals in the wild.
PMID- 22090381
TI - Evolution of spur-length diversity in Aquilegia petals is achieved solely through
cell-shape anisotropy.
AB - The role of petal spurs and specialized pollinator interactions has been studied
since Darwin. Aquilegia petal spurs exhibit striking size and shape diversity,
correlated with specialized pollinators ranging from bees to hawkmoths in a
textbook example of adaptive radiation. Despite the evolutionary significance of
spur length, remarkably little is known about Aquilegia spur morphogenesis and
its evolution. Using experimental measurements, both at tissue and cellular
levels, combined with numerical modelling, we have investigated the relative
roles of cell divisions and cell shape in determining the morphology of the
Aquilegia petal spur. Contrary to decades-old hypotheses implicating a discrete
meristematic zone as the driver of spur growth, we find that Aquilegia petal
spurs develop via anisotropic cell expansion. Furthermore, changes in cell
anisotropy account for 99 per cent of the spur-length variation in the genus,
suggesting that the true evolutionary innovation underlying the rapid radiation
of Aquilegia was the mechanism of tuning cell shape.
PMID- 22090382
TI - High dispersal ability inhibits speciation in a continental radiation of
passerine birds.
AB - Dispersal can stimulate speciation by facilitating geographical expansion across
barriers or inhibit speciation by maintaining gene flow among populations.
Therefore, the relationship between dispersal ability and speciation rates can be
positive or negative. Furthermore, an 'intermediate dispersal' model that
combines positive and negative effects predicts a unimodal relationship between
dispersal and diversification. Because both dispersal ability and speciation
rates are difficult to quantify, empirical evidence for the relationship between
dispersal and diversification remains scarce. Using a surrogate for flight
performance and a species-level DNA-based phylogeny of a large South American
bird radiation (the Furnariidae), we found that lineages with higher dispersal
ability experienced lower speciation rates. We propose that the degree of
fragmentation or permeability of the geographical setting together with the
intermediate dispersal model are crucial in reconciling previous, often
contradictory findings regarding the relationship between dispersal and
diversification.
PMID- 22090383
TI - Human activity selectively impacts the ecosystem roles of parrotfishes on coral
reefs.
AB - Around the globe, coral reefs and other marine ecosystems are increasingly
overfished. Conventionally, studies of fishing impacts have focused on the
population size and dynamics of targeted stocks rather than the broader ecosystem
wide effects of harvesting. Using parrotfishes as an example, we show how coral
reef fish populations respond to escalating fishing pressure across the Indian
and Pacific Oceans. Based on these fish abundance data, we infer the potential
impact on four key functional roles performed by parrotfishes. Rates of
bioerosion and coral predation are highly sensitive to human activity, whereas
grazing and sediment removal are resilient to fishing. Our results offer new
insights into the vulnerability and resilience of coral reefs to the ever-growing
human footprint. The depletion of fishes causes differential decline of key
ecosystem functions, radically changing the dynamics of coral reefs and setting
the stage for future ecological surprises.
PMID- 22090384
TI - Bumble-bee learning selects for both early and long flowering in food-deceptive
plants.
AB - Most rewardless orchids engage in generalized food-deception, exhibiting floral
traits typical of rewarding species and exploiting the instinctive foraging of
pollinators. Generalized food-deceptive (GFD) orchids compete poorly with
rewarding species for pollinator services, which may be overcome by flowering
early in the growing season when relatively more pollinators are naive and fewer
competing plant species are flowering, and/or flowering for extended periods to
enhance the chance of pollinator visits. We tested these hypotheses by
manipulating flowering time and duration in a natural population of Calypso
bulbosa and quantifying pollinator visitation based on pollen removal. Both early
and long flowering increased bumble-bee visitation compared with late and brief
flowering, respectively. To identify the cause of reduced visitation during late
flowering, we tested whether negative experience with C. bulbosa (avoidance
learning) and positive experience with a rewarding species, Arctostaphylos uva
ursi, (associative learning) by captive bumble-bees could reduce C. bulbosa's
competitiveness. Avoidance learning explained the higher visitation of early-
compared with late-flowering C. bulbosa. The resulting pollinator-mediated
selection for early flowering may commonly affect GFD orchids, explaining their
tendency to flower earlier than rewarding orchids. For dissimilar deceptive and
rewarding sympatric species, associative learning may additionally favour early
flowering by GFD species.
PMID- 22090385
TI - Microbial to reef scale interactions between the reef-building coral Montastraea
annularis and benthic algae.
AB - Competition between reef-building corals and benthic algae is of key importance
for reef dynamics. These interactions occur on many spatial scales, ranging from
chemical to regional. Using microprobes, 16S rDNA pyrosequencing and underwater
surveys, we examined the interactions between the reef-building coral Montastraea
annularis and four types of benthic algae. The macroalgae Dictyota bartayresiana
and Halimeda opuntia, as well as a mixed consortium of turf algae, caused hypoxia
on the adjacent coral tissue. Turf algae were also associated with major shifts
in the bacterial communities at the interaction zones, including more pathogens
and virulence genes. In contrast to turf algae, interactions with crustose
coralline algae (CCA) and M. annularis did not appear to be antagonistic at any
scale. These zones were not hypoxic, the microbes were not pathogen-like and the
abundance of coral-CCA interactions was positively correlated with per cent coral
cover. We propose a model in which fleshy algae (i.e. some species of turf and
fleshy macroalgae) alter benthic competition dynamics by stimulating bacterial
respiration and promoting invasion of virulent bacteria on corals. This gives
fleshy algae a competitive advantage over corals when human activities, such as
overfishing and eutrophication, remove controls on algal abundance. Together,
these results demonstrate the intricate connections and mechanisms that structure
coral reefs.
PMID- 22090386
TI - Variation in annual and lifetime reproductive success of lance-tailed manakins:
alpha experience mitigates effects of senescence on siring success.
AB - The causes of variation in individual reproductive success over a lifetime are
not well understood. In long-lived vertebrates, reproductive output usually
increases during early adulthood, but it is difficult to disentangle the roles of
development and learning on this gain of reproductive success. Lekking lance
tailed manakins provide an opportunity to separate these processes, as the vast
majority of male reproduction occurs after a bird obtains alpha status and
maintains a display area in the lek, but the age at which males achieve alpha
status varies widely. Using 11 years of longitudinal data on age, social status
and genetic siring success, I assessed the factors influencing variation in
siring success by individuals over their lifetimes. The data show increases in
annual reproductive success with both age and alpha experience. At advanced ages,
these gains were offset by senescence in fecundity. Individual ontogeny, rather
than compositional change of the population, generated a nonlinear relationship
of breeding tenure with lifetime success; age of assuming alpha status was
unrelated to tenure as a breeder, or success in the alpha role. Importantly,
these findings suggest that social experience can mitigate the negative effects
of senescence in older breeders.
PMID- 22090387
TI - Relevance of evolutionary history for food web structure.
AB - Explaining the structure of ecosystems is one of the great challenges of ecology.
Simple models for food web structure aim at disentangling the complexity of
ecological interaction networks and detect the main forces that are responsible
for their shape. Trophic interactions are influenced by species traits, which in
turn are largely determined by evolutionary history. Closely related species are
more likely to share similar traits, such as body size, feeding mode and habitat
preference than distant ones. Here, we present a theoretical framework for
analysing whether evolutionary history--represented by taxonomic classification-
provides valuable information on food web structure. In doing so, we measure
which taxonomic ranks better explain species interactions. Our analysis is based
on partitioning of the species into taxonomic units. For each partition, we
compute the likelihood that a probabilistic model for food web structure
reproduces the data using this information. We find that taxonomic partitions
produce significantly higher likelihoods than expected at random. Marginal
likelihoods (Bayes factors) are used to perform model selection among taxonomic
ranks. We show that food webs are best explained by the coarser taxonomic ranks
(kingdom to class). Our methods provide a way to explicitly include evolutionary
history in models for food web structure.
PMID- 22090388
TI - Invasive rats and recent colonist birds partially compensate for the loss of
endemic New Zealand pollinators.
AB - Reported declines of pollinator populations around the world have led to
increasing concerns about the consequences for pollination as a critical
ecosystem function and service. Pollination could be maintained through
compensation if remaining pollinators increase their contribution or if novel
species are recruited as pollinators, but empirical evidence of this compensation
is so far lacking. Using a natural experiment in New Zealand where endemic
vertebrate pollinators still occur on one offshore island reserve despite their
local extinction on the adjacent North Island, we investigated whether
compensation could maintain pollination in the face of pollinator extinctions. We
show that two recently arrived species in New Zealand, the invasive ship rat
(Rattus rattus) and the recent colonist silvereye (Zosterops lateralis; a
passerine bird), at least partly maintain pollination for three forest plant
species in northern New Zealand, and without this compensation, these plants
would be significantly more pollen-limited. This study provides empirical
evidence that widespread non-native species can play an important role in
maintaining ecosystem functions, a role that needs to be assessed when planning
invasive species control or eradication programmes.
PMID- 22090389
TI - An ecological approach to assessing the epidemiology of antimicrobial resistance
in animal and human populations.
AB - We examined long-term surveillance data on antimicrobial resistance (AMR) in
Salmonella Typhimurium DT104 (DT104) isolates from concurrently sampled and
sympatric human and animal populations in Scotland. Using novel ecological and
epidemiological approaches to examine diversity, and phenotypic and temporal
relatedness of the resistance profiles, we assessed the more probable source of
resistance of these two populations. The ecological diversity of AMR phenotypes
was significantly greater in human isolates than in animal isolates, at the
resolution of both sample and population. Of 5200 isolates, there were 65
resistance phenotypes, 13 unique to animals, 30 unique to humans and 22 were
common to both. Of these 22, 11 were identified first in the human isolates,
whereas only five were identified first in the animal isolates. We conclude that,
while ecologically connected, animals and humans have distinguishable DT104
communities, differing in prevalence, linkage and diversity. Furthermore, we
infer that the sympatric animal population is unlikely to be the major source of
resistance diversity for humans. This suggests that current policy emphasis on
restricting antimicrobial use in domestic animals may be overly simplistic. While
these conclusions pertain to DT104 in Scotland, this approach could be applied to
AMR in other bacteria-host ecosystems.
PMID- 22090390
TI - Infectious personalities: behavioural syndromes and disease risk in larval
amphibians.
AB - Behavioural consistency or predictability through time and/or different contexts
('syndromes' or 'personality types') is likely to have substantial influence on
animal life histories and fitness. Consequently, there is much interest in the
forces driving and maintaining various syndromes. Individual host behaviours have
been associated with susceptibility to parasitism, yet the role of pre-existing
personality types in acquiring infections has not been investigated
experimentally. Using a larval amphibian-trematode parasite model system, we
report that tadpoles generally showed consistency in their activity level in
response to both novel food and parasite exposure. Not only were individual
activity level and exploration in the novel food context correlated with each
other and with anti-parasite behaviour, all three were significant predictors of
host parasite load. This is the first empirical demonstration that host
behaviours in other contexts are related to behaviours mitigating infection risk
and, ultimately, host parasite load. We suggest that this system illustrates how
reliably high levels of activity and exploratory behaviour in different contexts
might maximize both energy acquisition and resistance to trematode parasites.
Such benefits could drive selection for the behavioural syndrome seen here owing
to the life histories and ecological circumstances typical of wood frog
(Lithobates sylvaticus) larvae.
PMID- 22090391
TI - Diversification of acorn worms (Hemichordata, Enteropneusta) revealed in the deep
sea.
AB - Enteropneusts (phylum Hemichordata), although studied extensively because of
their close relationship to chordates, have long been considered shallow-water,
burrowing animals. The present paper more than doubles the number of enteropneust
species recorded in the deep sea based on high-resolution imaging and sampling
with remotely operated vehicles. We provide direct evidence that some
enteropneusts are highly mobile-using changes in posture and currents to drift
between feeding sites-and are prominent members of deep, epibenthic communities.
In addition, we provide ecological information for each species. We also show
that despite their great morphological diversity, most deep-living enteropneusts
form a single clade (the rediagnosed family Torquaratoridae) on the basis of rDNA
sequences and morphology of the proboscis skeleton and stomochord. The
phylogenetic position of the torquaratorids indicates that the group, after
evolving from near-shore ancestors, radiated extensively in the deep sea.
PMID- 22090392
TI - Electron microscopy redux.
PMID- 22090393
TI - Composite mantle cell and diffuse large B-cell lymphoma: report of two cases.
AB - Composite lymphomas are rare and involve the concurrent evolution of 2 distinct
lymphoma types within a single organ or tissue. This study describes 2 cases of
composite mantle cell lymphoma (MCL) and diffuse large B-cell lymphoma (DLBCL),
which has not previously been reported. Each case demonstrated distinct
populations of CD20 positive small and large atypical B cells. In both cases,
only the small lymphocytes were positive for CD5 and cyclin D1, and fluorescence
in situ hybridization (FISH) showed a t(11;14) translocation in the small
lymphocytes but not in the large cells. Molecular studies for B-cell clonality
showed a possible clonal relationship between the 2 components in one case but
not the other. This study describes in detail the morphology, immunophenotype,
FISH, and molecular analysis of both components in each case. To the authors'
knowledge, this represents the first report of juxtaposition of MCL with DLBCL
that does not represent transformation of the mantle cell component.
PMID- 22090394
TI - Structural analysis of a bacterial exo-alpha-D-N-acetylglucosaminidase in complex
with an unusual disaccharide found in class III mucin.
AB - CpGH89 is a family 89 glycoside hydrolase with exo-alpha-D-N
acetylglucosaminidase activity that is produced by the human and animal pathogen
Clostridium perfringens. This enzyme is active on the alpha-D-GlcpNAc-(1 -> 4)-D
Galp motif that is displayed on the class III mucins within the gastric mucosa.
Other members of this enzyme family, such as human NAGLU, are active on heparan.
A truncated version of CpGH89 was rendered inactive through the mutation of two
key catalytic residues, the protein crystallized and its structure determined in
complex with alpha-D-GlcpNAc-(1 -> 4)-D-Galp to reveal the molecular details of
how this unique disaccharide is recognized by CpGH89. An analysis of this
substrate complex not only provides insight into how this enzyme selects for its
mucin-presented substrate but also advances our understanding of how its
clinically relevant mammalian counterparts are specific for heparan.
PMID- 22090395
TI - A randomized, double-blind, crossover comparison of MK-0929 and placebo in the
treatment of adults with ADHD.
AB - OBJECTIVE: Preclinical models, receptor localization, and genetic linkage data
support the role of D4 receptors in the etiology of ADHD. This proof-of-concept
study was designed to evaluate MK-0929, a selective D4 receptor antagonist as
treatment for adult ADHD. METHOD: A randomized, double-blind, placebo-controlled,
crossover study was conducted in adults with primary ADHD. The primary end point
was changed from baseline in total score on the Adult ADHD Investigator Symptom
Rating Scale following a 4-week treatment regimen. Additional measures included
Clinical Global Impression-Severity Scale, Hospital Anxiety and Depression Scale,
and Brown Attention Deficit Disorder Scale and D4 genotype analysis. RESULTS: No
statistically significant treatment differences were found between MK-0929 and
placebo in any of the primary or secondary assessments. CONCLUSION: Results from
this study suggest that blockade of the D4 receptor alone is not efficacious in
the treatment of adult ADHD.
PMID- 22090396
TI - A review of neurofeedback treatment for pediatric ADHD.
AB - OBJECTIVE: The aim of this paper was to review all randomized published trials
and unpublished conference presentations on the neurofeedback (NF) treatment of
pediatric ADHD, and their relevance, strengths, and limitations. METHOD: Via
PsychInfo and Medline searches and contacts with NF researchers 14 studies were
identified and reviewed. RESULTS: The majority were conducted from 1994 to 2010,
with 5- to 15-year-olds, usually male and White with the combined type of ADHD.
Most studies used theta/beta NF with a unipolar-electrode placement at Cz and
demonstrated, where reported, an overall ADHD mean effect size of d = 0.69, a
medium effect. Main study strengths, within some studies, include use of
randomization, treatment control conditions, Diagnostic and Statistical Manual of
Mental Disorders criteria, evidence-based assessment of ADHD, standard treatment
outcome measures, multidomain assessment, and, for some studies, moderate sample
size, some type of blind and the identification of medication as a concomitant
treatment. Main study limitations (and directions for future research) include
the lack of adequate blinding of participants, raters and NF trainers, a sham
NF/blinded control treatment condition, posttreatment follow-up,
generalizability, specific details about delivery of NF, identification and
control of comorbidity, and the identification, measurement, and control of
concomitant treatments and potential side effects. CONCLUSION: Based on the
results and methodologies of published studies, this review concludes that NF for
pediatric ADHD can be currently considered as "probably efficacious."
PMID- 22090398
TI - Involvement of methicillin-susceptible Staphylococcus aureus related to sequence
type 25 and harboring pvl genes in a case of carotid cavernous fistula after
community-associated sepsis.
AB - Staphylococcus aureus encoding Panton-Valentine leukocidin (PVL) genes has become
the cause of life-threatening infections. We describe a case of carotid cavernous
fistula after bacteremia in a 12-year-old male, caused by a methicillin
susceptible S. aureus isolate carrying the pvl, fnbA, and ebpS genes and related
to sequence type 25 (ST25). The patient's condition was complicated by pleural
empyema and osteomyelitis in the right femur. The patient was discharged in good
clinical condition after 160 days of hospitalization.
PMID- 22090399
TI - Relapse of Serratia marcescens sternal osteitis 15 years after the first episode.
AB - Sternal osteitis, a potential consequence of cardiac surgery, remains rare. The
bacteria involved belong mostly to the genus Staphylococcus. Sternal infections
caused by Serratia marcescens are exceptional. We report an unusual recurrence of
sternal infection with S. marcescens, 15 years after the initial episode. The
identities of the isolates were determined by genomic analysis.
PMID- 22090400
TI - Yield of sputum for viral detection by reverse transcriptase PCR in adults
hospitalized with respiratory illness.
AB - Diagnostic tests for respiratory viral infections have traditionally been
performed on nasopharyngeal swabs or washings. Reverse transcriptase PCR (RT-PCR)
is rapid, sensitive, and specific for viral infection diagnosis but is rarely
applied to sputum samples. Thus, we evaluated the diagnostic yield of RT-PCR for
detection of nine virus types by the use of nose and throat swabs (NTS) and
sputum samples from patients admitted to the hospital with acute respiratory
tract illnesses. Adults hospitalized with acute respiratory tract illnesses were
recruited during the winters of 2008 and 2009. At enrollment, combined nose and
throat swabs and sputum samples were collected for RT-PCR for detection of nine
common respiratory virus types. A total of 532 subjects admitted for 556
respiratory illnesses were enrolled. A total of 189 virus strains were
identified. The diagnostic yields for detection of any virus were 23% (126/556)
for NTS RT-PCR and 36% (146/404) for sputum RT-PCR. A total of 83 (44%) of 189
viral detections were positive by both methods, 43 (23%) were positive by NTS
alone, and 63 (33%) were positive only with sputum samples. The inclusion of RT
PCR performed with sputum samples significantly increased the diagnostic yield
for respiratory viral infections in adults. Further studies designed to adapt the
use of sputum samples for commercial RT-PCR respiratory virus assays are needed.
PMID- 22090401
TI - Direct maldi-tof mass spectrometry assay of blood culture broths for rapid
identification of Candida species causing bloodstream infections: an
observational study in two large microbiology laboratories.
AB - We evaluated the reliability of the Bruker Daltonik's MALDI Biotyper system in
species-level identification of yeasts directly from blood culture bottles.
Identification results were concordant with those of the conventional culture
based method for 95.9% of Candida albicans (187/195) and 86.5% of non-albicans
Candida species (128/148). Results were available in 30 min (median), suggesting
that this approach is a reliable, time-saving tool for routine identification of
Candida species causing bloodstream infection.
PMID- 22090402
TI - Prevalence and sequence variation of panton-valentine leukocidin in methicillin
resistant and methicillin-susceptible staphylococcus aureus strains in the United
States.
AB - Panton-Valentine leukocidin (PVL), encoded by the lukSF-PV genes, is a putative
virulence factor and marker for community-associated methicillin-resistant
Staphylococcus aureus. Here we report the prevalence of PVL among a
representative sample of 1,055 S. aureus infection isolates from the United
States and describe the sequence variation of the lukSF-PV genes. We performed
multilocus sequence typing (MLST) on all isolates and sequenced fragments of the
lukSF-PV genes from a sample of 86 isolates. We assigned isolates to a PVL R or H
sequence type based on a polymorphism that results in an amino acid change from
arginine (R) to histidine (H). Overall, we found that 36% of S. aureus isolates
were positive for lukSF-PV. Among the 86 we typed, we identified 72 R variants
and 14 H variants. Among the 47 methicillin-resistance S. aureus (MRSA) isolates,
43 harbored the R variant, and among the 39 methicillin-susceptible S. aureus
(MSSA) isolates, 29 harbored the R variant. Almost all (97%) of the R variants
were found in MLST clonal complex 8 (CC8), while the H variant was broadly
distributed among 6 CCs. Within CC8, all 38 MRSA (USA300) and all 28 MSSA
isolates harbored the R variant. Of the 20 isolates from blood and the lower
respiratory tract, 19 (95%) harbored the R variant. While the R variant had been
linked primarily to USA300 MRSA, we found that all CC8 MSSA isolates also
contained the R variant, suggesting that some strains of USA300 may have lost
methicillin resistance as an adaptation in the community.
PMID- 22090403
TI - Comparison of the hybrid capture 2 and cobas 4800 tests for detection of high
risk human papillomavirus in specimens collected in PreservCyt medium.
AB - Clinical cervical cytology specimens (n = 466) collected in PreservCyt (Hologic
Inc.) were used to evaluate the agreement between Hybrid Capture 2 (hc2; Qiagen)
and cobas 4800 (c4800; Roche Molecular Diagnostics) for the detection of high
risk human papillomavirus (HR HPV) genotype infections. The agreement between the
two assays was 93.8% (kappa = 0.87; 95% confidence interval, 0.828 to 0.918),
with 186 and 251 concordant positive and negative results, respectively. All 186
concordant positives were confirmed using the Linear Array (LA; Roche Molecular
Diagnostics) genotyping test. Of the 29 samples with discordant results (6.2%),
18 were hc2 positive and LA verified 17 as positive for HR HPV. Eleven discordant
specimens were c4800 positive, and LA confirmed 5 as positive for HR HPV. As of
October 2009, practice guidelines in Alberta, Canada, recommend reflex HPV
testing for women over 30 years old with atypical squamous cells of undetermined
significance (ASCUS) and for women over 50 years old with low-grade squamous
intraepithelial lesions (LSIL) to help prioritize those who should undergo
further evaluation. In this study, agreement between hc2 and c4800 results for
samples from women over 30 years old with ASCUS cytology was 92.3% (n = 13),
while no samples from women over 50 years old with LSIL cytology were identified
for analysis.
PMID- 22090404
TI - Application of a microcalorimetric method for determining drug susceptibility in
mycobacterium species.
AB - Mycobacterium tuberculosis is a global public health concern, particularly with
the emergence of drug-resistant strains. Immediate identification of drug
resistant strains is crucial to administering appropriate treatment before the
bacteria are allowed to spread. However, developing countries, which are most
affected by drug resistance, are struggling to combat the disease without the
facilities or funds for expensive diagnostics. Recent studies have emphasized the
suitability of isothermal microcalorimetry (IMC) for the rapid detection of
mycobacteria. In this study, we investigate its suitability for rapid and
reliable M. tuberculosis drug susceptibility testing. Specifically, IMC was used
to determine the MICs of three drugs, namely, isoniazid, ethambutol, and
moxifloxacin, against three mycobacteria, namely, Mycobacterium smegmatis,
Mycobacterium avium, and Mycobacterium tuberculosis. The Richards growth model
was used to calculate growth parameters, namely, the maximum bacterial growth
rate and the lag phase duration from integrated heat flow-versus-time results.
For example, MICs of isoniazid, ethambutol, and moxifloxacin were determined to
be 1.00, 8.00, and 0.25 MUg/ml, respectively. IMC, as described here, could be
used not just in industrialized countries but also in developing countries
because inexpensive and sensitive microcalorimeters are now available.
PMID- 22090405
TI - It is time to use treponema-specific antibody screening tests for diagnosis of
syphilis.
AB - Assays that detect treponema-specific antibodies, which are either automated or
can be done as point-of-care tests, have been developed, some of which are FDA
approved. These assays have the advantage of being easily performed and
demonstrate high sensitivity, both key features of an infectious disease
screening test. As a result, many high-volume clinical laboratories have begun to
offer a reverse syphilis testing algorithm where a treponema-specific test is
used for screening, followed by a nontreponemal test (i.e., rapid plasma reagin
[RPR]) to assess disease activity and treatment status. Concerns about physicians
being able to understand and apply this new testing algorithm have been expressed
(8). In this point-counterpoint, Michael Loeffelholz of the University of Texas
Medical Branch at Galveston explains why his laboratory has adopted this reverse
algorithmic approach. Matthew Binnicker of the Mayo Clinic, Rochester, MN,
explains why the reverse algorithm may not be suitable for all clinical
laboratories and every clinical situation.
PMID- 22090407
TI - Direct comparison of the traditional and reverse syphilis screening algorithms in
a population with a low prevalence of syphilis.
AB - We describe the first direct comparison of the reverse and traditional syphilis
screening algorithms in a population with a low prevalence of syphilis. Among
1,000 patients tested, the results for 6 patients were falsely reactive by
reverse screening, compared to none by traditional testing. However, reverse
screening identified 2 patients with possible latent syphilis that were not
detected by rapid plasma reagin (RPR).
PMID- 22090406
TI - Development of a multiplex PCR assay for detection and genogrouping of Neisseria
meningitidis.
AB - Neisseria meningitidis is a leading pathogen of epidemic bacterial meningitis and
fulminant sepsis worldwide. Twelve different N. meningitidis serogroups have been
identified to date based on antigenic differences in the capsular polysaccharide.
However, more than 90% of human cases of N. meningitidis meningitis are the
result of infection with just five serogroups, A, B, C, W135, and Y. Efficient
methods of detection and genogrouping of N. meningitidis isolates are needed,
therefore, in order to monitor prevalent serogroups as a means of disease control
and prevention. The capsular gene complex regions have been sequenced from only
seven out of the 12 serogroups. In this study, the capsular gene complexes of the
remaining five serogroups were sequenced and analyzed. Primers were designed that
were specific for N. meningitidis species and for the 12 individual serogroups,
and a multiplex PCR assay using these specific primers was developed for N.
meningitidis detection and genogrouping. The assay was tested using 15 reference
strains covering all 12 serogroups, 143 clinical isolates, and 21 strains from
closely related species or from species that cause meningitis. The assay could
detect N. meningitidis serogroups and was shown to be specific, with a detection
sensitivity of 1 ng of genomic DNA (equivalent to ~4 * 10(5) genomes) or 3 *
10(5) CFU/ml in noncultured mock cerebrospinal fluid (CSF) specimens. This study,
therefore, describes for the first time the development of a molecular protocol
for the detection of all N. meningitidis serogroups. This multiplex PCR-based
assay may have use for the clinical diagnosis and epidemiological surveillance of
N. meningitidis.
PMID- 22090408
TI - Performance assessment of the CapitalBio mycobacterium identification array
system for identification of mycobacteria.
AB - The CapitalBio Mycobacterium identification microarray system is a rapid system
for the detection of Mycobacterium tuberculosis. The performance of this system
was assessed with 24 reference strains, 486 Mycobacterium tuberculosis clinical
isolates, and 40 clinical samples and then compared to the "gold standard" of DNA
sequencing. The CapitalBio Mycobacterium identification microarray system showed
highly concordant identification results of 100% and 98.4% for Mycobacterium
tuberculosis complex (MTC) and nontuberculous mycobacteria (NTM), respectively.
The sensitivity and specificity of the CapitalBio Mycobacterium identification
array for identification of Mycobacterium tuberculosis isolates were 99.6% and
100%, respectively, for direct detection and identification of clinical samples,
and the overall sensitivity was 52.5%. It was 100% for sputum, 16.7% for pleural
fluid, and 10% for bronchoalveolar lavage fluid, respectively. The total assay
was completed in 6 h, including DNA extraction, PCR, and hybridization. The
results of this study confirm the utility of this system for the rapid
identification of mycobacteria and suggest that the CapitalBio Mycobacterium
identification array is a molecular diagnostic technique with high sensitivity
and specificity that has the capacity to quickly identify most mycobacteria.
PMID- 22090409
TI - Validation of pncA gene sequencing in combination with the mycobacterial growth
indicator tube method to test susceptibility of Mycobacterium tuberculosis to
pyrazinamide.
AB - Pyrazinamide is important in the treatment of tuberculosis. Unfortunately, the
diagnosis of pyrazinamide resistance is hampered by technical difficulties. We
hypothesized that mutation analysis combined with the mycobacterial growth
indicator tube (MGIT) phenotypic method would be a good predictor of pyrazinamide
resistance. We prospectively analyzed 1,650 M. tuberculosis isolates referred to
our tuberculosis reference laboratory in 2008 and 2009. In our laboratory, the
MGIT 960 system was used for pyrazinamide resistance screening. If a pyrazinamide
resistant strain was detected, we performed a pncA gene mutation analysis. A
second MGIT 960 susceptibility assay was performed afterwards to evaluate the
accuracy of the pncA mutation analysis to detect true- or false-positive MGIT
results. We observed pyrazinamide resistance in 69 samples using the first MGIT
960 analysis. In a second MGIT 960 analysis, 47 of the 69 samples proved
susceptible (68% false positivity). Sensitivity of nonsynonymous pncA mutations
for detecting resistant isolates was 73% (95% confidence interval [CI], 61% to
73%), and specificity was 100% (95% CI, 95% to 100%). A diagnostic algorithm
incorporating phenotypic and molecular methods would have a 100% positive
predictive value for detecting pyrazinamide-resistant isolates, indicating that
such an algorithm, based on both methods, is a good predictor for pyrazinamide
resistance in routine diagnostics.
PMID- 22090410
TI - Comparison of microscopy and PCR for detection of intestinal parasites in Danish
patients supports an incentive for molecular screening platforms.
PMID- 22090411
TI - Characterization and comparison of invasive Corynebacterium diphtheriae isolates
from France and Poland.
AB - Corynebacterium diphtheriae, the agent of diphtheria, is rarely responsible for
bacteremia. However, high numbers of bacteremia have been reported in countries
with extensive immunization coverage. Here, we used molecular and phenotypic
tools to characterize and compare 42 invasive isolates collected in France
(including New Caledonia) and Poland over a 23-year period.
PMID- 22090412
TI - Validation of a large-scale audit technique for CT dose optimisation.
AB - The expansion and increasing availability of computed tomography (CT) imaging
means that there is a greater need for the development of efficient optimisation
strategies that are able to inform clinical practice, without placing a
significant burden on limited departmental resources. One of the most fundamental
aspects to any optimisation programme is the collection of patient dose
information, which can be compared with appropriate diagnostic reference levels.
This study has investigated the implementation of a large-scale audit technique,
which utilises data that already exist in the radiology information system, to
determine typical doses for a range of examinations on four CT scanners. This
method has been validated against what is considered the 'gold standard'
technique for patient dose audits, and it has been demonstrated that results
equivalent to the 'standard-sized patient' can be inferred from this much larger
data set. This is particularly valuable where CT optimisation is concerned as it
is considered a 'high dose' technique, and hence close monitoring of patient dose
is particularly important.
PMID- 22090413
TI - Natural activity concentrations in bottled drinking water and consequent doses.
AB - The radioactivity concentrations of nuclides (238)U, (232)Th and (40)K in bottled
drinking water from six different manufacturers from Turkey were measured using
high-resolution gamma-ray spectrometry. The measurement was done using a coaxial
high-purity germanium detector system coupled to Ortec-Dspect jr digital MCA
system. The average measured activity concentrations of the nuclides (238)U,
(232)Th and (40)K are found to be 0.781, 1.05 and 2.19 Bq l(-1), respectively.
The measured activity concentrations have been compared with similar studies from
different locations. The annual effective doses for ingestion of radionuclides in
the water are found to be 0.0246 mSv for (238)U and 0.169 mSv for (232)Th.
PMID- 22090414
TI - A survey on performance status of mammography machines: image quality and
dosimetry studies using a standard mammography imaging phantom.
AB - It is essential to perform quality control (QC) tests on mammography equipment in
order to produce an appropriate image quality at a lower radiation dose to
patients. Imaging and dosimetric measurements on 15 mammography machines located
at the busiest radiology centres of Mumbai, India were carried out using a
standard CIRS breast imaging phantom in order to see the level of image quality
and breast doses. The QC tests include evaluations of image quality and the mean
glandular doses (MGD), which is derived from the breast entrance exposure, half
value layer (HVL), compressed breast thickness (CBT) and breast tissue
compositions. At the majority of the centres, film-processing and darkroom
conditions were not found to be maintained, which is required to meet the
technical development specifications for the mammography film in use as
recommended by the American College of Radiology (ACR). In most of the surveyed
centres, the viewbox luminance and room illuminance conditions were not found to
be in line with the mammography requirements recommended by the ACR. The measured
HVL values of the machines were in the range of 0.27-0.39 mm aluminium (Al) with
a mean value of 0.33+/-0.04 mm Al at 28 kV(p) following the recommendation
provided by ACR. The measured MGDs were in the range of 0.14-3.80 mGy with a mean
value of 1.34 mGy. The measured MGDs vary between centre to centre by a factor of
27.14. Referring to patient doses and image quality, it was observed that only
one mammography centre has exceeded the recommended MGD, i.e. 3.0 mGy per view
with the value of 3.80 mGy and at eight mammography centres the measured central
background density (CBD) values for mammography phantom image are found to be
less than the recommended CBD limit value of 1.2-2.0 optical density.
PMID- 22090415
TI - Environmental radioactivity measurements in Greece following the Fukushima Daichi
nuclear accident.
AB - Since the double disaster of the 9.0 magnitude earthquake and tsunami that
affected hundreds of thousands of people and seriously damaged the Fukushima
Daichi power plant in Japan on 11 March 2011, traces of radioactive emissions
from Fukushima have spread across the entire northern hemisphere. The radioactive
isotope of iodine (131)I that was generated by the nuclear accident in Fukushima
arrived in Greece on 24 March 2011. Radioactive iodine is present in the air
either as gas or bound to particles (aerosols). The maximum (131)I concentrations
were measured between 3 and 5 April 2011. In aerosols the maximum (131)I values
measured in Southern Greece (Athens) and Northern Greece (Thessaloniki) were
585+/-70 and 408+/-61 MUBetaq m(-3), respectively. (131)I concentrations in gas
were about 3.5 times higher than in aerosols. Since 29 April 2011, the (131)I
concentration has been below detection limits. Traces of (137)Cs and (134)Cs were
also measured in the air filters with an activity ratio of (137)Cs/(134)Cs equal
to 1 and (131)I/(137)Cs activity ratio of about 3. Since 16 May 2011, the (137)Cs
concentration in air has been determined to be about the same as before the
Fukushima accident. Traces of (131)I were also measured in grass and milk. The
maximum measured activity of (131)I in sheep milk was about 2 Bq l(-1) which is
5000 times less than that measured in Greece immediately after the Chernobyl
accident. The measured activity concentrations of artificial radionuclides in
Greece due to the Fukushima release, have been very low, with no impact on human
health.
PMID- 22090416
TI - Estimation of intakes of 131I, 137Cs and 134Cs after the Chernobyl accident.
AB - Activities of (131)I and (137)Cs excreted in urine from two healthy males during
May 1986, when contaminated air masses from Chernobyl arrived on the territory of
the Czech Republic, were determined by bioassay. The data were used to estimate
the intakes and committed effective doses from these radionuclides. The results
for inhalation intakes are of particular interest, in the absence of sufficient
contemporary data for airborne activity. They are found to be higher than initial
estimates based on air sampling.
PMID- 22090417
TI - Dosimetric investigation of the solar erythemal UV radiation protection provided
by beards and moustaches.
AB - A dosimetric technique has been employed to establish the amount of erythemal
ultraviolet radiation (UVR) protection provided by facial hair considering the
influence of solar zenith angle (SZA) and beard-moustache length. The facial hair
reduced the exposure ratios (ERs) to approximately one-third of those to the
sites with no hair. The variation in the ERs over the different sites was reduced
compared with the cases with no beard. The ultraviolet protection factor (UPF)
provided by the facial hair ranged from 2 to 21. The UPF decreases with
increasing SZA. The minimum UPF was in the 53-62 degrees range. The longer hair
provides a higher UPF at the smaller SZA, but the difference between the
protection provided by the longer hair compared with the shorter hair reduces
with increasing SZA. Protection from UVR is provided by the facial hair; however,
it is not very high, particularly at the higher SZA.
PMID- 22090418
TI - Measurement of gastric emptying time of solids in healthy subjects using
scintigraphic method: a revised technique.
AB - The gastric emptying half time (GET) of solid food in 24 healthy volunteers
(11M/13F) was evaluated using a revised technique and a gamma camera scan. Within
20 min and after 8 h of fasting, each volunteer ate two pieces of toast with a
two-egg-omelette that was mixed with 18.5 MBq (99m)Tc-labelled phytate. The raw
data were analysed in the MATLAB program to establish the gastric intestine tract
(GI tract) biokinetic model. The GI tract model defines the metabolic mechanism
with reference to five compartments, which are stomach, body fluid, small
intestine (SI), upper large intestine and lower large intestine, according to the
ICRP-30 report. The model was expressed using four simultaneous time-dependent
differential equations. The gastric emptying half-time and T(1/2eff)(SI) of males
were 62.6+/-15.4 and 149.8+/-204.1 min, respectively, and those of females were
98.8+/-16.3 and 131.6+/-38.4 min.
PMID- 22090419
TI - Plumbagin inhibits osteoclastogenesis and reduces human breast cancer-induced
osteolytic bone metastasis in mice through suppression of RANKL signaling.
AB - Bone loss is one of the major complications of advanced cancers such as breast
cancer, prostate cancer, and multiple myeloma; agents that can suppress this bone
loss have therapeutic potential. Extensive research within the last decade has
revealed that RANKL, a member of the tumor necrosis factor superfamily, plays a
major role in cancer-associated bone resorption and thus is a therapeutic target.
We investigated the potential of vitamin K3 analogue plumbagin (derived from
Chitrak, an Ayurvedic medicinal plant) to modulate RANKL signaling,
osteoclastogenesis, and breast cancer-induced osteolysis. Plumbagin suppressed
RANKL-induced NF-kappaB activation in mouse monocytes, an osteoclast precursor
cell, through sequential inhibition of activation of IkappaBalpha kinase,
IkappaBalpha phosphorylation, and IkappaBalpha degradation. Plumbagin also
suppressed differentiation of these cells into osteoclasts induced either by
RANKL or by human breast cancer or human multiple myeloma cells. When examined
for its ability to prevent human breast cancer-induced bone loss in animals,
plumbagin (2 mg/kg body weight) administered via the intraperitoneal route
significantly decreased osteolytic lesions, resulting in preservation of bone
volume in nude mice bearing human breast tumors. Overall, our results indicate
that plumbagin, a vitamin K analogue, is a potent inhibitor of osteoclastogenesis
induced by tumor cells and of breast cancer-induced osteolytic metastasis through
suppression of RANKL signaling.
PMID- 22090420
TI - Single-chain antibody-based immunotoxins targeting Her2/neu: design optimization
and impact of affinity on antitumor efficacy and off-target toxicity.
AB - Recombinant immunotoxins, consisting of single-chain variable fragments (scFv)
genetically fused to polypeptide toxins, represent potentially effective
candidates for cancer therapeutics. We evaluated the affinity of various anti
Her2/neu scFv fused to recombinant gelonin (rGel) and its effect on antitumor
efficacy and off-target toxicity. A series of rGel-based immunotoxins were
created from the human anti-Her2/neu scFv C6.5 and various affinity mutants
(designated ML3-9, MH3-B1, and B1D3) with affinities ranging from 10(-8) to 10(
11) mol/L. Against Her2/neu-overexpressing tumor cells, immunotoxins with
increasing affinity displayed improved internalization and enhanced autophagic
cytotoxicity. Targeting indices were highest for the highest affinity B1D3/rGel
construct. However, the addition of free Her2/neu extracellular domain (ECD)
significantly reduced the cytotoxicity of B1D3/rGel because of immune complex
formation. In contrast, ECD addition had little impact on the lower affinity
constructs in vitro. In vivo studies against established BT474 M1 xenografts
showed growth suppression by all immunotoxins. Surprisingly, therapy with the
B1D3-rGel induced significant liver toxicity because of immune complex formation
with shed Her2/neu antigen in circulation. The MH3-B1/rGel construct with
intermediate affinity showed effective tumor growth inhibition without inducing
hepatotoxicity or complex formation. These findings show that while high-affinity
constructs can be potent antitumor agents, they may also be associated with
mistargeting through the facile formation of complexes with soluble antigen
leading to significant off-target toxicity. Constructs composed of intermediate
affinity antibodies are also potent agents that are more resistant to immune
complex formation. Therefore, affinity is an exceptionally important
consideration when evaluating the design and efficacy of targeted therapeutics.
PMID- 22090421
TI - In silico screening reveals structurally diverse, nanomolar inhibitors of NQO2
that are functionally active in cells and can modulate NF-kappaB signaling.
AB - The National Cancer Institute chemical database has been screened using in silico
docking to identify novel nanomolar inhibitors of NRH:quinone oxidoreductase 2
(NQO2). The inhibitors identified from the screen exhibit a diverse range of
scaffolds and the structure of one of the inhibitors, NSC13000 cocrystalized with
NQO2, has been solved. This has been used to aid the generation of a structure
activity relationship between the computationally derived binding affinity and
experimentally measured enzyme inhibitory potency. Many of the compounds are
functionally active as inhibitors of NQO2 in cells at nontoxic concentrations. To
show this, advantage was taken of the NQO2-mediated toxicity of the
chemotherapeutic drug CB1954. The toxicity of this drug is substantially reduced
when the function of NQO2 is inhibited, and many of the compounds achieve this in
cells at nanomolar concentrations. The NQO2 inhibitors also attenuated TNFalpha
mediated, NF-kB-driven transcriptional activity. The link between NQO2 and the
regulation of NF-kB was confirmed by using short interfering RNA to NQO2 and by
the observation that NRH, the cofactor for NQO2 enzyme activity, could regulate
NF-kB activity in an NQO2-dependent manner. NF-kB is a potential therapeutic
target and this study reveals an underlying mechanism that may be usable for
developing new anticancer drugs.
PMID- 22090422
TI - Tuberin and PRAS40 are anti-apoptotic gatekeepers during early human amniotic
fluid stem-cell differentiation.
AB - Embryoid bodies (EBs) are three-dimensional multicellular aggregates allowing the
in vitro investigation of stem-cell differentiation processes mimicking early
embryogenesis. Human amniotic fluid stem (AFS) cells harbor high proliferation
potential, do not raise the ethical issues of embryonic stem cells, have a lower
risk for tumor development, do not need exogenic induction of pluripotency and
are chromosomal stable. Starting from a single human AFS cell, EBs can be formed
accompanied by the differentiation into cells of all three embryonic germ layers.
Here, we report that siRNA-mediated knockdown of the endogenous tuberous
sclerosis complex-2 (TSC2) gene product tuberin or of proline-rich Akt substrate
of 40 kDa (PRAS40), the two major negative regulators of mammalian target of
rapamycin (mTOR), leads to massive apoptotic cell death during EB development of
human AFS cells without affecting the endodermal, mesodermal and ectodermal cell
differentiation spectrum. Co-knockdown of endogenous mTOR demonstrated these
effects to be mTOR-dependent. Our findings prove this enzyme cascade to be an
essential anti-apoptotic gatekeeper of stem-cell differentiation during EB
formation. These data allow new insights into the regulation of early stem-cell
maintenance and differentiation and identify a new role of the tumor suppressor
tuberin and the oncogenic protein PRAS40 with the relevance for a more detailed
understanding of the pathogenesis of diseases associated with altered activities
of these gene products.
PMID- 22090423
TI - Altered dopamine metabolism and increased vulnerability to MPTP in mice with
partial deficiency of mitochondrial complex I in dopamine neurons.
AB - A variety of observations support the hypothesis that deficiency of complex I
[reduced nicotinamide-adenine dinucleotide (NADH):ubiquinone oxidoreductase] of
the mitochondrial respiratory chain plays a role in the pathophysiology of
Parkinson's disease (PD). However, recent data from a study using mice with
knockout of the complex I subunit NADH:ubiquinone oxidoreductase iron-sulfur
protein 4 (Ndufs4) has challenged this concept as these mice show degeneration of
non-dopamine neurons. In addition, primary dopamine (DA) neurons derived from
such mice, reported to lack complex I activity, remain sensitive to toxins
believed to act through inhibition of complex I. We tissue-specifically disrupted
the Ndufs4 gene in mouse heart and found an apparent severe deficiency of complex
I activity in disrupted mitochondria, whereas oxidation of substrates that result
in entry of electrons at the level of complex I was only mildly reduced in intact
isolated heart mitochondria. Further analyses of detergent-solubilized
mitochondria showed the mutant complex I to be unstable but capable of forming
supercomplexes with complex I enzyme activity. The loss of Ndufs4 thus causes
only a mild complex I deficiency in vivo. We proceeded to disrupt Ndufs4 in
midbrain DA neurons and found no overt neurodegeneration, no loss of striatal
innervation and no symptoms of Parkinsonism in tissue-specific knockout animals.
However, DA homeostasis was abnormal with impaired DA release and increased
levels of DA metabolites. Furthermore, Ndufs4 DA neuron knockouts were more
vulnerable to the neurotoxin 1-methyl-4-phenyl-1,2,3,6-tetrahydropyridine. Taken
together, these findings lend in vivo support to the hypothesis that complex I
deficiency can contribute to the pathophysiology of PD.
PMID- 22090424
TI - DelK32-lamin A/C has abnormal location and induces incomplete tissue maturation
and severe metabolic defects leading to premature death.
AB - The LMNA gene encodes lamin A/C intermediate filaments that polymerize beneath
the nuclear membrane, and are also found in the nucleoplasm in an uncharacterized
assembly state. They are thought to have structural functions and regulatory
roles in signaling pathways via interaction with transcription factors. Mutations
in LMNA have been involved in numerous inherited human diseases, including severe
congenital muscular dystrophy (L-CMD). We created the Lmna(DeltaK32) knock-in
mouse harboring a L-CMD mutation. Lmna(DeltaK32/DeltaK32) mice exhibited striated
muscle maturation delay and metabolic defects, including reduced adipose tissue
and hypoglycemia leading to premature death. The level of mutant proteins was
markedly lower in Lmna(DeltaK32/DeltaK32), and while wild-type lamin A/C proteins
were progressively relocated from nucleoplasmic foci to the nuclear rim during
embryonic development, mutant proteins were maintained in nucleoplasmic foci. In
the liver and during adipocyte differentiation, expression of DeltaK32-lamin A/C
altered sterol regulatory element binding protein 1 (SREBP-1) transcriptional
activities. Taken together, our results suggest that lamin A/C relocation at the
nuclear lamina seems important for tissue maturation potentially by releasing its
inhibitory function on transcriptional factors, including but not restricted to
SREBP-1. And importantly, L-CMD patients should be investigated for putative
metabolic disorders.
PMID- 22090425
TI - Biochemical studies of the Saccharomyces cerevisiae Mph1 helicase on junction
containing DNA structures.
AB - Saccharomyces cerevisiae Mph1 is a 3-5' DNA helicase, required for the
maintenance of genome integrity. In order to understand the ATPase/helicase role
of Mph1 in genome stability, we characterized its helicase activity with a
variety of DNA substrates, focusing on its action on junction structures
containing three or four DNA strands. Consistent with its 3' to 5'
directionality, Mph1 displaced 3'-flap substrates in double-fixed or
equilibrating flap substrates. Surprisingly, Mph1 displaced the 5'-flap strand
more efficiently than the 3' flap strand from double-flap substrates, which is
not expected for a 3-5' DNA helicase. For this to occur, Mph1 required a
threshold size (>5 nt) of 5' single-stranded DNA flap. Based on the unique
substrate requirements of Mph1 defined in this study, we propose that the
helicase/ATPase activity of Mph1 play roles in converting multiple-stranded DNA
structures into structures cleavable by processing enzymes such as Fen1. We also
found that the helicase activity of Mph1 was used to cause structural alterations
required for restoration of replication forks stalled due to damaged template.
The helicase properties of Mph1 reported here could explain how it resolves D
loop structure, and are in keeping with a model proposed for the error-free
damage avoidance pathway.
PMID- 22090427
TI - Silk-hyaluronan-based composite hydrogels: a novel, securable vehicle for drug
delivery.
AB - A new, biocompatible hyaluronic acid (HA)-silk hydrogel composite was fabricated
and tested for use as a securable drug delivery vehicle. The composite consisted
of a hydrogel formed by cross-linking thiol-modified HA with poly(ethylene
glycol)-diacrylate, within which was embedded a reinforcing mat composed of
electrospun silk fibroin protein. Both HA and silk are biocompatible, selectively
degradable biomaterials with independently controllable material properties.
Mechanical characterization showed the composite tensile strength as fabricated
to be 4.43 +/- 2.87 kPa, two orders of magnitude above estimated tensions found
around potential target organs. In the presence of hyaluronidase (HAse) in vitro,
the rate of gel degradation increased with enzyme concentration although the
reinforcing silk mesh was not digested. Composite gels demonstrated the ability
to store and sustainably deliver therapeutic agents. Time constants for in vitro
release of selected representative antibacterial and anti-inflammatory drugs
varied from 46.7 min for cortisone to 418 min for hydrocortisone. This
biocomposite showed promising mechanical characteristics for direct fastening to
tissue and organs, as well as controllable degradation properties suitable for
storage and release of therapeutically relevant drugs.
PMID- 22090426
TI - Structural analysis of an eIF3 subcomplex reveals conserved interactions required
for a stable and proper translation pre-initiation complex assembly.
AB - Translation initiation factor eIF3 acts as the key orchestrator of the canonical
initiation pathway in eukaryotes, yet its structure is greatly unexplored. We
report the 2.2 A resolution crystal structure of the complex between the yeast
seven-bladed beta-propeller eIF3i/TIF34 and a C-terminal alpha-helix of
eIF3b/PRT1, which reveals universally conserved interactions. Mutating these
interactions displays severe growth defects and eliminates association of
eIF3i/TIF34 and strikingly also eIF3g/TIF35 with eIF3 and 40S subunits in vivo.
Unexpectedly, 40S-association of the remaining eIF3 subcomplex and eIF5 is
likewise destabilized resulting in formation of aberrant pre-initiation complexes
(PICs) containing eIF2 and eIF1, which critically compromises scanning arrest on
mRNA at its AUG start codon suggesting that the contacts between mRNA and
ribosomal decoding site are impaired. Remarkably, overexpression of eIF3g/TIF35
suppresses the leaky scanning and growth defects most probably by preventing
these aberrant PICs to form. Leaky scanning is also partially suppressed by eIF1,
one of the key regulators of AUG recognition, and its mutant sui1(G107R) but the
mechanism differs. We conclude that the C-terminus of eIF3b/PRT1 orchestrates co
operative recruitment of eIF3i/TIF34 and eIF3g/TIF35 to the 40S subunit for a
stable and proper assembly of 48S pre-initiation complexes necessary for
stringent AUG recognition on mRNAs.
PMID- 22090428
TI - Preparation of photosensitizer-loaded PLLA nanofibers and its anti-tumor effect
for photodynamic therapy in vitro.
AB - Photodynamic therapy (PDT) is a promising new treatment for cancer that has been
recently accepted clinically. PDT is based on the administration of tumor
localizing photosensitizers (PSs), followed by exposing the neoplastic area to
the light absorbed by the PS. In this article, a novel anticancer nanofiber
membrane containing purpurin-18 (0.1%) was successfully prepared. The thickness
of membrane was 0.028 mm, and the average fiber diameter was around 357 nm by
scanning electron microscope (SEM). It was indicated that purpurin-18 possessed
excellent compatibility with PLLA from FTIR spectrum. The physical properties of
fiber membrane were also characterized by Differential Scanning Calorimetry (DSC)
and X-ray diffraction (XRD). Cell morphology and the interaction between cells
and nanofibers were studied by SEM. The results showed that both SMMC 7721 and
ECA109 cells can adhere and spread on the surface of the polymer nanofiber, and
both cells can interact and integrate well with the surrounding fibers. The
efficacy of PDT was determined by MTT assays. The results showed that the cells
were killed immediately after PDT and purpurin-18 had no different efficacy to
different cancer cell lines. In summary, the PS-loaded PLLA nanofibers were
prepared successfully, and the SMMC 7721 and ECA109 cells could be inhibited and
killed through photodynamic therapy.
PMID- 22090429
TI - Assessment of oxidative stress and chromosomal aberration inducing potential of
three medical grade silicone polymer materials.
AB - Medical expenditures for devices are increasing along with the ageing of human
population and the synthesis of materials such as silicone polymers is on upsurge
for manufacturing these devices. The International Organization for
Standardization (ISO) emphasizes a battery of tests for preclinical assessment of
biocompatibility of medical devices. Genotoxicity assays have become an integral
component of these test procedures and it employs a set of in vitro and in vivo
experiments to detect mutagens. Hence, this study was performed with an intention
to investigate the genotoxic potential of the physiological saline extracts of
three medical grade silicone polymer materials by the in vitro chromosomal
aberration assay using human peripheral blood lymphocytes. Further, the oxidative
stress inducing potential of the material extracts was investigated in vivo in
mice liver homogenates using cyclophosphamide as positive control. The
investigation revealed that none of the three materials were able to produce
marked human lymphocyte chromosomal aberration, suggesting the absence of
mutagens. The materials also showed negative results in their oxidative stress
inducing potential, which was revealed by the normal levels of lipid peroxidation
and unaltered levels of glutathione and its metabolizing enzymes in the mice
liver tissue homogenates. It was interesting to observe a significant correlation
between the genotoxic and antioxidant parameters investigated. Hence, it is
suggested that the estimation of antioxidant status would serve as a better
preliminary testing procedure prior to evaluating the genetic and molecular
toxicity mechanisms of medical devices and/or materials intended for manufacture
of such devices.
PMID- 22090430
TI - Cell survival and proliferation after encapsulation in a chemically modified
Pluronic(R) F127 hydrogel.
AB - Pluronic(r) F127 is a biocompatible, injectable, and thermoresponsive polymer
with promising biomedical applications. In this study, a chemically modified
form, i.e., Pluronic ALA-L with tailored degradation rate, was tested as an
encapsulation vehicle for osteoblastic cells. UV cross-linking of the modified
polymer results in a stable hydrogel with a slower degradation rate.
Toxicological screening showed no adverse effects of the modified Pluronic ALA-L
on the cell viability. Moreover, high viability of embedded cells in the cross
linked Pluronic ALA-L was observed with life/death fluorescent staining during a
7-day-culture period. Cells were also cultured on macroporous, cross-linked
gelatin microbeads, called CultiSpher-S(r) carriers, and encapsulated into the
modified cross-linked hydrogel. Also, in this situation, good cell proliferation
and migration could be observed in vitro. Preliminary in vivo tests have shown
the formation of new bone starting from the injected pre-loaded CultiSpher-S(r)
carriers.
PMID- 22090431
TI - Effects of plasma surface treatments of diamond-like carbon and polymeric
substrata on the cellular behavior of human fibroblasts.
AB - Surface properties play an important role in the functioning of a biomaterial in
the biological environment. This work describes the influence of the changes that
occurred on diamond-like carbon (DLC) and polymeric substrata by different
nitrogen and ammonia plasmas treatments and its effects on the cell proliferation
on these materials. All substrata were additionally subjected to the effect of
neutral beams of nitrogen atoms and NH species for comparison purposes. Results
about the proliferation, viability, and morphology of fibroblasts were correlated
with surface chemical composition, surface tension, and topography. It was found
that the presence of amine groups on the surface and the surface tension are
beneficial factors for the cell growth. Surface roughness in DLC also plays a
positive role in favoring cell adhesion and proliferation, but it can be
detrimental for some of the treated polymers because of the accumulation of low
molecular weight fragments formed as a result of the plasma treatments. Analysis
of the overall results for each type of material allowed to define a unique
parameter called 'factor of merit' accounting for the influence of the different
surface characteristics on the cell deployment, which can be used to predict
qualitatively the efficiency for cell growth.
PMID- 22090432
TI - Bone substitute materials delivering zoledronic acid: physicochemical
characterization, drug load, and release properties.
AB - Calcium phosphate-like bone substitute materials have a long history of
successful orthopedic applications such as bone void filling and augmentation.
Based on the clinical indications, these materials may be loaded with active
agents by adsorption offering a perspective for providing innovative drug
delivery systems. The highly effective bisphosphonate zoledronic acid (ZOL)
demonstrated a strong affinity to biominerals and is known to significantly
reduce osteoclastic activity. Support of early bone formation and reduction of
bone resorption can be promoted after implantation of bioceramics releasing ZOL.
The aim of this study was to develop an easy to handle approach to combine ZOL
with bone substitutes by use of a dipping technique. The properties of three
different materials were investigated by using a number of physicochemical
methods such as light microscopy, scanning electron microscopy (SEM), dynamic
vapor sorption (DVS), true density, and surface area measurement to evaluate the
feasibility of being potential drug carriers. Besides physicochemical
characterization, the bone substitutes were evaluated by their ZOL-loading
capacity in a time- and concentration-dependent manner. Additionally, the
materials were assessed as release systems in an in vitro study. A controlled ZOL
load in a range of 0.04-1.86 ug/mg material and a release of 0.02-0.18 ug/mg
within 30 min is demonstrated. The findings support using the investigated
bioceramics as carrier systems to release ZOL. Overall, the results create the
base for further development of drug-delivery systems with controlled drug
loading and prolonged release and need to be further analyzed in an in vivo
study.
PMID- 22090433
TI - Stratified scaffolds for osteochondral tissue engineering applications:
electrospun PDLLA nanofibre coated Bioglass(r)-derived foams.
AB - This work focuses on designing bilayered constructs by combining electrospun poly
DL-Lactide (PDLLA) fibers and Bioglass(r)-derived scaffolds for development of
osteochondral tissue replacement materials. Electrospinning was carried out using
a solution of 5 wt/v% PDLLA in dimethyl carbonate. The PDLLA layer thickness
increased from 2 to 150 um with varying electrospinning time. Bioactivity studies
in simulated body fluid showed that HA mineralization decreased as the thickness
of the PDLLA layer increased. A preliminary in vitro study using chondrocyte
cells (ATDC5) showed that cells attached, proliferated and migrated into the
fibrous network, confirming the potential applicability of the bilayered
scaffolds in osteochondral defect regeneration.
PMID- 22090434
TI - Osteogenesis of human mesenchymal stem cells on micro-patterned surfaces.
AB - Osteogenic responses of human mesenchymal stromal cells (hMSCs) were compared on
square-patterned, inverse square-patterned, and planar titanium, chromium,
diamond-like carbon (DLC), and tantalum; hypothesis was that both the materials
and patterns affect osteogenesis. Samples were produced using photolithography
and physical vapor deposition. Early-marker alkaline phosphatase (ALP) and mid
markers, small body size and mothers against decapentaplegic-related protein-1
(SMAD1), runt-related transcription factor-2 (RUNX2), and osteopontin were
studied using quantitative real-time polymerase chain reaction. ALP and
hydroxyapatite, were colorimetrically studied. ALP reached highest values on both
patterned titanium samples, but mid-markers disclosed that it was already lagging
behind planar and inverse patterned tantalum. Hydroxyapatite formation disclosed
that osteo-induced hMSCs passed all the differentiation stages (except on planar
chromium). Presence of hydroxyapatite disclosed that both types of patterning
promoted (p < 0.001) osteogenesis compared to planar samples. Results suggest
that the osseocompatibility/integration of implants could be improved by changing
the monotonous and featureless implant-host interface into micro-patterned
interface to provide physical differentiation cues.
PMID- 22090435
TI - The 'Green Revolution' dwarfing genes play a role in disease resistance in
Triticum aestivum and Hordeum vulgare.
AB - The Green Revolution dwarfing genes, Rht-B1b and Rht-D1b, encode mutant forms of
DELLA proteins and are present in most modern wheat varieties. DELLA proteins
have been implicated in the response to biotic stress in the model plant,
Arabidopsis thaliana. Using defined wheat Rht near-isogenic lines and barley Sln1
gain of function (GoF) and loss of function (LoF) lines, the role of DELLA in
response to biotic stress was investigated in pathosystems representing
contrasting trophic styles (biotrophic, hemibiotrophic, and necrotrophic). GoF
mutant alleles in wheat and barley confer a resistance trade-off with increased
susceptibility to biotrophic pathogens and increased resistance to necrotrophic
pathogens whilst the converse was conferred by a LoF mutant allele. The polyploid
nature of the wheat genome buffered the effect of single Rht GoF mutations
relative to barley (diploid), particularly in respect of increased susceptibility
to biotrophic pathogens. A role for DELLA in controlling cell death responses is
proposed. Similar to Arabidopsis, a resistance trade-off to pathogens with
contrasting pathogenic lifestyles has been identified in monocotyledonous cereal
species. Appreciation of the pleiotropic role of DELLA in biotic stress responses
in cereals has implications for plant breeding.
PMID- 22090437
TI - Response of barley plants to Fe deficiency and Cd contamination as affected by S
starvation.
AB - Both Fe deficiency and Cd exposure induce rapid changes in the S nutritional
requirement of plants. The aim of this work was to characterize the strategies
adopted by plants to cope with both Fe deficiency (release of phytosiderophores)
and Cd contamination [production of glutathione (GSH) and phytochelatins] when
grown under conditions of limited S supply. Experiments were performed in
hydroponics, using barley plants grown under S sufficiency (1.2 mM sulphate) and
S deficiency (0 mM sulphate), with or without Fe(III)-EDTA at 0.08 mM for 11 d
and subsequently exposed to 0.05 mM Cd for 24 h or 72 h. In S-sufficient plants,
Fe deficiency enhanced both root and shoot Cd concentrations and increased GSH
and phytochelatin levels. In S-deficient plants, Fe starvation caused a slight
increase in Cd concentration, but this change was accompanied neither by an
increase in GSH nor by an accumulation of phytochelatins. Release of
phytosiderophores, only detectable in Fe-deficient plants, was strongly decreased
by S deficiency and further reduced after Cd treatment. In roots Cd exposure
increased the expression of the high affinity sulphate transporter gene (HvST1)
regardless of the S supply, and the expression of the Fe deficiency-responsive
genes, HvYS1 and HvIDS2, irrespective of Fe supply. In conclusion, adequate S
availability is necessary to cope with Fe deficiency and Cd toxicity in barley
plants. Moreover, it appears that in Fe-deficient plants grown in the presence of
Cd with limited S supply, sulphur may be preferentially employed in the pathway
for biosynthesis of phytosiderophores, rather than for phytochelatin production.
PMID- 22090436
TI - Arabidopsis CSP41 proteins form multimeric complexes that bind and stabilize
distinct plastid transcripts.
AB - The spinach CSP41 protein has been shown to bind and cleave chloroplast RNA in
vitro. Arabidopsis thaliana, like other photosynthetic eukaryotes, encodes two
copies of this protein. Several functions have been described for CSP41 proteins
in Arabidopsis, including roles in chloroplast rRNA metabolism and transcription.
CSP41a and CSP41b interact physically, but it is not clear whether they have
distinct functions. It is shown here that CSP41b, but not CSP41a, is an essential
and major component of a specific subset of RNA-binding complexes that form in
the dark and disassemble in the light. RNA immunoprecipitation and hybridization
to gene chips (RIP-chip) experiments indicated that CSP41 complexes can contain
chloroplast mRNAs coding for photosynthetic proteins and rRNAs (16S and 23S), but
no tRNAs or mRNAs for ribosomal proteins. Leaves of plants lacking CSP41b showed
decreased steady-state levels of CSP41 target RNAs, as well as decreased plastid
transcription and translation rates. Representative target RNAs were less stable
when incubated with broken chloroplasts devoid of CSP41 complexes, indicating
that CSP41 proteins can stabilize target RNAs. Therefore, it is proposed that (i)
CSP41 complexes may serve to stabilize non-translated target mRNAs and precursor
rRNAs during the night when the translational machinery is less active in a
manner responsive to the redox state of the chloroplast, and (ii) that the
defects in translation and transcription in CSP41 protein-less mutants are
secondary effects of the decreased transcript stability.
PMID- 22090438
TI - Phosphorylation of serine residues in the N-terminus modulates the activity of
ACA8, a plasma membrane Ca2+-ATPase of Arabidopsis thaliana.
AB - ACA8 is a plasma membrane-localized isoform of calmodulin (CaM)-regulated Ca(2+)
ATPase of Arabidopsis thaliana. Several phosphopeptides corresponding to portions
of the regulatory N-terminus of ACA8 have been identified in phospho-proteomic
studies. To mimic phosphorylation of the ACA8 N-terminus, each of the serines
found to be phosphorylated in those studies (Ser19, Ser22, Ser27, Ser29, Ser57,
and Ser99) has been mutated to aspartate. Mutants have been expressed in
Saccharomyces cerevisiae and characterized: mutants S19D and S57D--and to a
lesser extent also mutants S22D and S27D--are deregulated, as shown by their low
activation by CaM and by tryptic cleavage of the N-terminus. The His-tagged N
termini of wild-type and mutant ACA8 (6His-(1)M-I(116)) were expressed in
Escherichia coli, affinity-purified, and used to analyse the kinetics of CaM
binding by surface plasmon resonance. All the analysed mutations affect the
kinetics of interaction with CaM to some extent: in most cases, the altered
kinetics result in marginal changes in affinity, with the exception of mutants
S57D (K(D) ~ 10-fold higher than wild-type ACA8) and S99D (K(D) about half that
of wild-type ACA8). The ACA8 N-terminus is phosphorylated in vitro by two
isoforms of A. thaliana calcium-dependent protein kinase (CPK1 and CPK16);
phosphorylation of mutant 6His-(1)M-I(116) peptides shows that CPK16 is able to
phosphorylate the ACA8 N-terminus at Ser19 and at Ser22. The possible
physiological implications of the subtle modulation of ACA8 activity by
phosphorylation of its N-terminus are discussed.
PMID- 22090439
TI - A male sterility-associated cytotoxic protein ORF288 in Brassica juncea causes
aborted pollen development.
AB - Cytoplasmic male sterility (CMS) is a widespread phenomenon in higher plants, and
several studies have established that this maternally inherited defect is often
associated with a mitochondrial mutant. Approximately 10 chimeric genes have been
identified as being associated with corresponding CMS systems in the family
Brassicaceae, but there is little direct evidence that these genes cause male
sterility. In this study, a novel chimeric gene (named orf288) was found to be
located downstream of the atp6 gene and co-transcribed with this gene in the hau
CMS sterile line. Western blotting analysis showed that this predicted open
reading frame (ORF) was translated in the mitochondria of male-sterile plants.
Furthermore, the growth of Escherichia coli was significantly repressed in the
presence of ORF288, which indicated that this protein is toxic to the E. coli
host cells. To confirm further the function of orf288 in male sterility, the gene
was fused to a mitochondrial-targeting pre-sequence under the control of the
Arabidopsis APETALA3 promoter and introduced into Arabidopsis thaliana. Almost
80% of transgenic plants with orf288 failed to develop anthers. It was also found
that the independent expression of orf288 caused male sterility in transgenic
plants, even without the transit pre-sequence. Furthermore, transient expression
of orf288 and green fluorescent protein (GFP) as a fused protein in A. thaliana
protoplasts showed that ORF288 was able to anchor to mitochondria even without
the external mitochondrial-targeting peptide. These observations provide
important evidence that orf288 is responsible for the male sterility of hau CMS
in Brassica juncea.
PMID- 22090440
TI - Capitalizing on deliberate, accidental, and GM-driven environmental change caused
by crop modification.
AB - The transgenic traits associated with the majority of commercial genetically
modified crops are focused on improving herbicide and insecticide management
practices. The use of the transgenic technology in these crops and the associated
chemistry has been the basis of studies that provide evidence for occasional
improvement in environmental benefits due to the use of less residual herbicides,
more targeted pesticides, and reduced field traffic. This is nicely exemplified
through studies using Environmental Impact Quotient (EIQ) assessments. Whilst EIQ
evaluations may sometimes illustrate environmental benefits they have their
limitations. EIQ evaluations are not a surrogate for Environmental Risk
Assessments and may not reflect real environmental interactions between crops and
the environment. Addressing the impact cultivated plants have on the environment
generally attracts little public attention and research funding, but the
introduction of GM has facilitated an expansion of research to address potential
environmental concerns from government, NGOs, industry, consumers, and growers.
In this commentary, some evidence from our own research and several key papers
that highlight EIQ assessments of the impact crops are having on the environment
are presented. This information may be useful as an education tool on the
potential benefits of GM and conventional farming. In addition, other deliberate,
accidental, and GM-driven benefits derived from the examination of GM cropping
systems is briefly discussed.
PMID- 22090441
TI - Tensional stress generation in gelatinous fibres: a review and possible mechanism
based on cell-wall structure and composition.
AB - Gelatinous fibres are specialized fibres, distinguished by the presence of an
inner, gelatinous cell-wall layer. In recent years, they have attracted
increasing interest since their walls have a desirable chemical composition (low
lignin, low pentosan, and high cellulose contents) for applications such as
saccharification and biofuel production, and they have interesting mechanical
properties, being capable of generating high tensional stress. However, the
unique character of gelatinous layer has not yet been widely recognized. The
first part of this review presents a model of gelatinous-fibre organization and
stresses the unique character of the gelatinous layer as a separate type of cell
wall layer, different from either primary or secondary wall layers. The second
part discusses major current models of tensional stress generation by these
fibres and presents a novel unifying model based on recent advances in knowledge
of gelatinous wall structure. Understanding this mechanism could potentially lead
to novel biomimetic developments in material sciences.
PMID- 22090442
TI - Control of barley (Hordeum vulgare L.) development and senescence by the
interaction between a chromosome six grain protein content locus, day length, and
vernalization.
AB - Regulatory processes controlling traits such as anthesis timing and whole-plant
senescence are of primary importance for reproductive success and for crop
quality and yield. It has previously been demonstrated that the presence of
alleles associated with high grain protein content (GPC) at a locus on barley
chromosome six leads to accelerated leaf senescence, and to strong (>10-fold) up
regulation of several genes which may be involved in senescence control. One of
these genes (coding for a glycine-rich RNA-binding protein termed HvGR-RBP1)
exhibits a high degree of similarity to Arabidopsis glycine-rich RNA-binding
protein 7 (AtGRP7), which has been demonstrated to accelerate flowering under
both long-day (LD) and short-day (SD) conditions, but not after vernalization.
Development of near-isogenic barley lines, differing in the allelic state of the
GPC locus, was compared from the seedling stage to maturity under both SD and LD
and after vernalization under LD. Intriguingly, pre-anthesis plant development
[measured by leaf emergence timing and pre-anthesis (sequential) leaf senescence]
was enhanced in high-GPC germplasm. Differences were more pronounced under SD
than under LD, but were eliminated by vernalization, associating observed effects
with floral induction pathways. By contrast, differences in post-anthesis flag
leaf and whole-plant senescence between low- and high-GPC germplasm persisted
under all tested conditions, indicating that the GPC locus, possibly through HvGR
RBP1, impacts on both developmental stages. Detailed molecular characterization
of this experimental system may allow the dissection of cross-talk between
signalling pathways controlling early plant and floral development on one side,
and leaf/whole-plant senescence on the other side.
PMID- 22090443
TI - Enhanced sensitivity to higher ozone in a pathogen-resistant tobacco cultivar.
AB - Investigations of the effects of elevated ozone (O(3)) on the virus-plant system
were conducted to inform virus pathogen management strategies better. One
susceptible cultivar of tobacco (Nicotiana tabacum L. cv. Yongding) and a
resistant cultivar (Nicotiana tabacum L. cv. Vam) to Potato virus Y petiole
necrosis strain (PVY(N)) infection were grown in open-top chambers under ambient
and elevated O(3) concentrations. Above-ground biomass, foliage chlorophyll,
nitrogen and total non-structural carbohydrate (TNCs), soluble protein, total
amino acid (TAA) and nicotine content, and peroxidase (POD) activity were
measured to estimate the effects of elevated O(3) on the impact of PVY(N) in the
two cultivars. Results showed that under ambient O(3), the resistant cultivar
possessed greater biomass and a lower C/N ratio after infection than the
susceptible cultivar; however, under elevated O(3), the resistant cultivar lost
its biomass advantage but maintained a lower C/N ratio. Variation of foliar POD
activity could be explained as a resistance cost which was significantly
correlated with biomass and C/N ratio of the tobacco cultivar. Chlorophyll
content remained steady in the resistant cultivar but decreased significantly in
the susceptible cultivar when stressors were applied. Foliar soluble protein and
free amino acid content, which were related to resistance cost changes, are also
discussed. This study indicated that a virus-resistant tobacco cultivar showed
increased sensitivity to elevated O(3) compared to a virus-sensitive cultivar.
PMID- 22090444
TI - T-DNA mutagenesis in Brachypodium distachyon.
AB - During the past decade, Brachypodium distachyon has emerged as an attractive
experimental system and genomics model for grass research. Numerous molecular
tools and genomics resources have already been developed. Functional genomics
resources, including mutant collections, expression/tiling microarray, mapping
populations, and genome re-sequencing for natural accessions, are rapidly being
developed and made available to the community. In this article, the focus is on
the current status of systematic T-DNA mutagenesis in Brachypodium. Large
collections of T-DNA-tagged lines are being generated by a community of
laboratories in the context of the International Brachypodium Tagging Consortium.
To date, >13 000 lines produced by the BrachyTAG programme and USDA-ARS Western
Regional Research Center are available by online request. The utility of these
mutant collections is illustrated with some examples from the BrachyTAG
collection at the John Innes Centre-such as those in the eukaryotic initiation
factor 4A (eIF4A) and brassinosteroid insensitive-1 (BRI1) genes. A series of
other mutants exhibiting growth phenotypes is also presented. These examples
highlight the value of Brachypodium as a model for grass functional genomics.
PMID- 22090446
TI - High cardiovascular risk in patients with Type 2 diabetic nephropathy: the
predictive role of albuminuria and glomerular filtration rate. The NID-2
Prospective Cohort Study.
AB - BACKGROUND: In Type 2 diabetic patients, clinical diagnosis of diabetic
nephropathy (DN) is generally based on the concomitant presence of abnormal
albuminuria and severe retinopathy. In this high-risk population, cardiovascular
(CV) outcome has never been evaluated. METHODS: A cohort of 742 Type 2 diabetic
patients with DN from 17 national centres was selected by the presence of
persistent albuminuria >= 30 mg/day and severe diabetic retinopathy and was
followed prospectively. Time to CV event (CV death, non-fatal myocardial
infarction, non-fatal stroke, revascularization, major amputation) was the
primary composite end point and it was analysed by multivariable Cox's
proportional hazards model. The interaction between albuminuria and glomerular
filtration rate (GFR) was specifically investigated. RESULTS: Median follow-up
was 4.6 years. Overall 242 events (26% of which fatal) were observed in 202
patients. The proportion of CV events increased from 19 to 40% as GFR declined
from the highest (>= 90 mL/min/1.73 m(2)) to the lowest (<45 mL/min/1.73 m(2))
category and was equal to 25 and 33% in microalbuminuria and macroalbuminuria,
respectively. In multivariable analysis, the interaction between albuminuria and
GFR was statistically significant (P = 0.012). Albuminuria, indeed, had a
remarkable prognostic effect in subjects with high GFR that virtually disappeared
as GFR became <30 mL/min/1.73 m(2). Age, smoking habit, previous occurrence of
myocardial infarction or stroke and proliferative retinopathy were all found to
have a statistically significant prognostic effect on CV outcome. CONCLUSIONS: A
clinically based diagnosis of DN in Type 2 diabetes allows the identification of
subjects with high CV risk. Albuminuria has a relevant prognostic effect on CV
morbidity and mortality; its effect is especially pronounced when GFR is normal
or near normal.
PMID- 22090445
TI - Identification and functional characterization of cDNAs coding for
hydroxybenzoate/hydroxycinnamate glucosyltransferases co-expressed with genes
related to proanthocyanidin biosynthesis.
AB - Grape proanthocyanidins (PAs) play a major role in the organoleptic properties of
wine. They are accumulated mainly in grape skin and seeds during the early stages
of berry development. Despite the recent progress in the identification of genes
involved in PA biosynthesis, the mechanisms involved in subunit condensation,
galloylation, or fine regulation of the spatio-temporal composition of grape
berries in PAs are still not elucidated. Two Myb transcription factors, VvMybPA1
and VvMybPA2, controlling the PA pathway have recently been identified and
ectopically over-expressed in an homologous system. In addition to already known
PA genes, three genes coding for glucosyltransferases were significantly
differentially expressed between hairy roots over-expressing VvMybPA1 or VvMybPA2
and control lines. The involvement of these genes in PA biosynthesis metabolism
is unclear. The three glucosyltransferases display high sequence similarities
with other plant glucosyltransferases able to catalyse the formation of glucose
esters, which are important intermediate actors for the synthesis of different
phenolic compounds. Studies of the in vitro properties of these three enzymes
(K(m), V(max), substrate specificity, pH sensitivity) were performed through
production of recombinant proteins in E. coli and demonstrated that they are able
to catalyse the formation of 1-O-acyl-Glc esters of phenolic acids but are not
active on flavonoids and stilbenes. The transcripts are expressed in the early
stages of grape berry development, mainly in the berry skins and seeds. The
results presented here suggest that these enzymes could be involved in vivo in PA
galloylation or in the synthesis of hydroxycinnamic esters.
PMID- 22090447
TI - A vero cell-derived whole-virus H5N1 vaccine effectively induces neuraminidase
inhibiting antibodies.
AB - A Vero cell-derived whole-virus H5N1 influenza vaccine has been shown to induce
neutralizing antibodies directed against the hemagglutinin (HA) protein of
diverse H5N1 strains in animal studies and clinical trials. However,
neuraminidase-inhibiting (NAi) antibodies can reduce viral spread and may be of
particular importance in the event of an H5N1 pandemic, where immunity due to HA
antibodies is likely absent in the general population. Here we demonstrate the
effective induction of NAi antibody titers after H5N1 vaccination in humans. In
contrast to the immune response directed toward HA, a single vaccine dose induced
a strong NAi response that was not significantly boosted by a second dose, most
probably due to priming by previous vaccination or infection with seasonal
influenza viruses. After 2 immunizations, seroconversion rates based on antibody
titers against HA and NA were similar, indicating the induction of equally strong
immune responses against both proteins by this H5N1 vaccine.
PMID- 22090448
TI - Evolutionary ecology of human papillomavirus: trade-offs, coexistence, and
origins of high-risk and low-risk types.
AB - BACKGROUND: We address the ecological and evolutionary dynamics of human
papillomavirus (HPV) that lead to the dichotomy between high-risk (HR) and low
risk (LR) types. We hypothesize that HPV faces an evolutionary tradeoff between
persistence and per-contact transmission probability. High virion production
enhances transmissibility but also provokes an immune response leading to
clearance and limited persistence. Alternatively, low virion production increases
persistence at the cost of diminished transmission probability per sexual
contact. We propose that LR HPV types use the former strategy and that HR types
use the latter. Sexual behaviors in a host population determine the success of
each strategy. METHODS: We develop an evolutionary model of HPV epidemiology,
which includes host sexual behavior, and we find evolutionarily stable strategies
of HPV. RESULTS: A slow turnover of sexual partners favors HR HPV, whereas high
frequency of partner turnover selects for LR. When both sexual behaviors exist as
subcultures in a population, disruptive selection can result in the coevolution
and ecological coexistence of both HR and LR HPV types. CONCLUSIONS: Our results
indicate that the elimination of HR HPV through vaccines may alter the
evolutionary trajectory of the remaining types and promote evolution of new HR
HPV types.
PMID- 22090449
TI - Induction of serine protease inhibitor 9 by Mycobacterium tuberculosis inhibits
apoptosis and promotes survival of infected macrophages.
AB - Our recent microarray analysis of infected human alveolar macrophages (AMs) found
serine protease inhibitor 9 (PI-9) to be the most prominently expressed of a
cluster of apoptosis-associated genes induced by virulent Mycobacterium
tuberculosis. In the current study, we show that induction of PI-9 occurs within
hours of infection with M. tuberculosis H37Rv and is maintained through 7 days of
infection in both AMs and blood monocytes. Inhibition of PI-9 by small inhibitory
RNA decreased M. tuberculosis-induced expression of the antiapoptotic molecule
Bcl-2 and resulted in a corresponding increase in production of caspase 3, a
terminal effector molecule of apoptosis. Further, PI-9 small inhibitory RNA
mediated a significant reduction in the subsequent survival of M. tuberculosis
within AMs. Thus PI-9 induction within human mononuclear phagocytes by virulent
M. tuberculosis serves to protect these primary targets of infection from
elimination by apoptosis and thereby promotes intracellular survival of the
organism.
PMID- 22090450
TI - Early systemic bacterial dissemination and a rapid innate immune response
characterize genetic resistance to plague of SEG mice.
AB - BACKGROUND: Although laboratory mice are usually highly susceptible to Yersinia
pestis, we recently identified a mouse strain (SEG) that exhibited an exceptional
capacity to resist bubonic plague and used it to identify immune mechanisms
associated with resistance. METHODS: The kinetics of infection, circulating blood
cells, granulopoiesis, lesions, and cellular populations in the spleen, and
cytokine production in various tissues were compared in SEG and susceptible
C57BL/6J mice after subcutaneous infection with the virulent Y. pestis CO92.
RESULTS: Bacterial invasion occurred early (day 2) but was transient in SEG/Pas
mice, whereas in C57BL/6J mice it was delayed but continuous until death. The
bacterial load in all organs significantly correlated with the production of 5
cytokines (granulocyte colony-stimulating factor, keratinocyte-derived chemokine
(KC), macrophage cationic peptide-1 (MCP-1), interleukin 1alpha, and interleukin
6) involved in monocyte and neutrophil recruitment. Indeed, higher proportions of
these 2 cell types in blood and massive recruitment of F4/80(+)CD11b(-)
macrophages in the spleen were observed in SEG/Pas mice at an early time point
(day 2). Later times after infection (day 4) were characterized in C57BL/6J mice
by destructive lesions of the spleen and impaired granulopoiesis. CONCLUSION: A
fast and efficient Y. pestis dissemination in SEG mice may be critical for the
triggering of an early and effective innate immune response necessary for
surviving plague.
PMID- 22090451
TI - The labyrinth of nuclear reprogramming.
PMID- 22090452
TI - Prognostic classification of pediatric medulloblastoma based on chromosome 17p
loss, expression of MYCC and MYCN, and Wnt pathway activation.
AB - Pediatric medulloblastoma is considered a highly heterogeneous disease and a new
strategy of risk stratification to optimize therapeutic outcomes is required. We
aimed to investigate a new risk-stratification approach based on expression
profiles of medulloblastoma cohorts. We analyzed gene expression profiles of 30
primary medulloblastomas and detected strong evidence that poor survival outcome
was significantly associated with mRNA expression profiles of 17p loss. However,
it was not supported in independent cohorts from previously published data (n =
100). We speculated that this discrepancy might come from complex conditions of
two important prognostic determinants: loss of tumor suppressors (chromosome 17p)
and high expression of oncogenes c-myc (MYCC) or N-myc (MYCN). When patients were
stratified into 5 or 7 subgroups based on simultaneous consideration of these 2
factors while defining the Wnt group as independent, obviously different survival
expectancies were detected between the subgroups. For instance, predicted 5-year
survival probabilities ranged from 19% to 81% in the 5 subgroups. We also found
that age became a significant prognostic marker after adjusting for 17p, MYCC,
and MYCN status. Diminished survival in age <3 years was more substantial in
subgroups with high expression of MYCC, MYCN, or 17p loss but not in other
subgroups, indicating that poor survival outcome might be synergistically
affected by these 3 factors. Here we suggest a more tailored subgrouping system
based on expression profiles of chromosome 17p, MYCC, and MYCN, which could
provide the basis for a novel risk-stratification strategy in pediatric
medulloblastoma.
PMID- 22090453
TI - Phase II trial of vorinostat in combination with bortezomib in recurrent
glioblastoma: a north central cancer treatment group study.
AB - Vorinostat, a histone deacetylase (HDAC) inhibitor, has shown evidence of single
agent activity in glioblastoma (GBM), and in preclinical studies, we have
demonstrated significant synergistic cytotoxicity between HDAC inhibitors and
proteasome inhibitors in GBM cell lines. We therefore conducted a phase II trial
to evaluate the efficacy of vorinostat in combination with the proteasome
inhibitor bortezomib in patients with recurrent GBM. Vorinostat was administered
at a dose of 400 mg daily for 14 days of a 21-day cycle, and bortezomib was
administered at a dose of 1.3 mg/m(2) intravenously on days 1, 4, 8, and 11 of
the cycle. A total of 37 patients were treated, and treatment was well tolerated:
grade 3, 4 nonhematologic toxicity occurred in 30% of patients and consisted
mainly of fatigue (14%) and neuropathy (5%); grade 3, 4 hematologic toxicity
occurred in 37% of patients and consisted of thrombocytopenia (30%), lymphopenia
(4%), and neutropenia (4%). The trial was closed at the predetermined interim
analysis, with 0 of 34 patients being progression-free at 6 months. One patient
achieved a partial response according to the Macdonald criteria. The median time
to progression for all patients was 1.5 months (range, 0.5-5.6 months), and
median overall survival (OS) was 3.2 months. Patients who had received prior
bevacizumab therapy had a shorter time to progression and OS, compared with those
who had not. On the basis of the results of this phase II study, further
evaluation of the vorinostat-bortezomib combination in GBM patients in this dose
and schedule is not recommended.
PMID- 22090454
TI - Enhanced didactic methods of smoking cessation training for medical students--a
randomized study.
AB - BACKGROUND: It is essential that medical students are adequately trained in
smoking cessation. A web-based tobacco abstinence training program might
supplement or replace traditional didactic methods. METHODS: One-hundred and
forty third-year medical students were all provided access to a self-directed web
based learning module on smoking cessation. Thereafter, they were randomly
allocated to attend 1 of 4 education approaches: (a) web-based training using the
same tool, (b) lecture, (c) role playing, and (d) supervised interaction with
real patients. RESULTS: Success of the intervention was measured in an objective
structured clinical examination. Scores were highest in Group 4 (35.9 +/- 8.7),
followed by Groups 3 (35.7 +/- 6.5), 2 (33.5 +/- 9.4), and 1 (28.0 +/- 9.6; p =
.007). Students in Groups 4 (60.7%) and 3 (57.7%) achieved adequate counseling
skills more frequently than those in Groups 2 (34.8%) and 1 (30%; p = .043).
There was no difference in the scores reflecting theoretical knowledge (p =
.439). Self-assessment of cessation skills and students' satisfaction with
training was significantly better in Groups 3 and 4 as compared with 1 and 2 (p <
.001 and p = .006, respectively). CONCLUSIONS: Role playing and interaction with
real patients are equally efficient and both more powerful learning tools than
web-based learning with or without a lecture.
PMID- 22090455
TI - Gamma band activity in the developing parafascicular nucleus.
AB - The parafascicular nucleus (Pf) receives cholinergic input from the
pedunculopontine nucleus, part of the reticular activating system involved in
waking and rapid eye movement (REM) sleep, and sends projections to the cortex.
We tested the hypothesis that Pf neurons fire maximally at gamma band frequency
(30-90 Hz), that this mechanism involves high-threshold voltage-dependent P/Q-
and N-type calcium channels, and that this activity is enhanced by the
cholinergic agonist carbachol (CAR). Patch-clamped 9- to 25-day-old rat Pf
neurons (n = 299) manifested a firing frequency plateau at gamma band when
maximally activated (31.5 +/- 1.5 Hz) and showed gamma oscillations when voltage
clamped at holding potentials above -20 mV, and the frequency of the oscillations
increased significantly with age (24.6 +/- 3.8 vs. 51.6 +/- 4.4 Hz, P < 0.001)
but plateaued at gamma frequencies. Cells exposed to CAR showed significantly
higher frequencies early in development compared with those without CAR (24.6 +/-
3.8 vs. 41.7 +/- 4.3 Hz, P < 0.001) but plateaued with age. The P/Q-type calcium
channel blocker omega-agatoxin-IVA (omega-Aga) blocked gamma oscillations,
whereas the N-type blocker omega-conotoxin-GVIA (omega-CgTx) only partially
decreased the power spectrum amplitude of gamma oscillations. The blocking effect
of omega-Aga on P/Q-type currents and omega-CgTx on N-type currents was
consistent over age. We conclude that P/Q- and N-type calcium channels appear to
mediate Pf gamma oscillations during development. We hypothesize that the
cholinergic input to the Pf could activate these cells to oscillate at gamma
frequency, and perhaps relay these rhythms to cortical areas, thus providing a
stable high-frequency state for "nonspecific" thalamocortical processing.
PMID- 22090456
TI - Neural prediction of complex accelerations for object interception.
AB - To intercept or avoid moving objects successfully, we must compensate for the
sensorimotor delays associated with visual processing and motor movement.
Although straightforward in the case of constant velocity motion, it is unclear
how humans compensate for accelerations, as our visual system is relatively poor
at detecting changes in velocity. Work on free-falling objects suggests that we
are able to predict the effects of gravity, but this represents the most simple,
limiting case in which acceleration is constant and motion linear. Here, we show
that an internal model also predicts the effects of complex, varying
accelerations when they result from lawful interactions with the environment.
Participants timed their responses with the arrival of a ball rolling within a
tube of various shapes. The pattern of errors indicates that participants were
able to compensate for most of the effects of the ball acceleration (~85%) within
a relatively short practice (~300 trials). Errors on catch trials in which the
ball velocity was unexpectedly maintained constant further confirmed that
participants were expecting the effect of acceleration induced by the shape of
the tube. A similar effect was obtained when the visual scene was projected
upside down, indicating that the mechanism of this prediction is flexible and not
confined to ecologically valid interactions. These findings demonstrate that the
brain is able to predict motion on the basis of prior experience of complex
interactions between an object and its environment.
PMID- 22090457
TI - Age-dependent effect of hearing loss on cortical inhibitory synapse function.
AB - The developmental plasticity of excitatory synapses is well established,
particularly as a function of age. If similar principles apply to inhibitory
synapses, then we would expect manipulations during juvenile development to
produce a greater effect and experience-dependent changes to persist into
adulthood. In this study, we first characterized the maturation of cortical
inhibitory synapse function from just before the onset of hearing through
adulthood. We then examined the long-term effects of developmental conductive
hearing loss (CHL). Whole cell recordings from gerbil thalamocortical brain
slices revealed a significant decrease in the decay time of inhibitory currents
during the first 3 mo of normal development. When assessed in adults,
developmental CHL led to an enduring decrease of inhibitory synaptic strength,
whereas the maturation of synaptic decay time was only delayed. Early CHL also
depressed the maximum discharge rate of fast-spiking, but not low-threshold
spiking, inhibitory interneurons. We then asked whether adult onset CHL had a
similar effect, but neither inhibitory current amplitude nor decay time was
altered. Thus inhibitory synapse function displays a protracted development
during which deficits can be induced by juvenile, but not adult, hearing loss.
These long-lasting changes to inhibitory function may contribute to the auditory
processing deficits associated with early hearing loss.
PMID- 22090458
TI - Selectivity for three-dimensional contours and surfaces in the anterior
intraparietal area.
AB - The macaque anterior intraparietal area (AIP) is crucial for visually guided
grasping. AIP neurons respond during the visual presentation of real-world
objects and encode the depth profile of disparity-defined curved surfaces. We
investigated the neural representation of curved surfaces in AIP using a stimulus
reduction approach. The stimuli consisted of three-dimensional (3-D) shapes
curved along the horizontal axis, the vertical axis, or both the horizontal and
the vertical axes of the shape. The depth profile was defined solely by binocular
disparity that varied along either the boundary or the surface of the shape or
along both the boundary and the surface of the shape. The majority of AIP neurons
were selective for curved boundaries along the horizontal or the vertical axis,
and neural selectivity emerged at short latencies. Stimuli in which disparity
varied only along the surface of the shape (with zero disparity on the
boundaries) evoked selectivity in a smaller proportion of AIP neurons and at
considerably longer latencies. AIP neurons were not selective for 3-D surfaces
composed of anticorrelated disparities. Thus the neural selectivity for object
depth profile in AIP is present when only the boundary is curved in depth, but
not for disparity in anticorrelated stereograms.
PMID- 22090459
TI - Extracellular pH dynamics of retinal horizontal cells examined using
electrochemical and fluorometric methods.
AB - Extracellular H(+) has been hypothesized to mediate feedback inhibition from
horizontal cells onto vertebrate photoreceptors. According to this hypothesis,
depolarization of horizontal cells should induce extracellular acidification
adjacent to the cell membrane. Experiments testing this hypothesis have produced
conflicting results. Studies examining carp and goldfish horizontal cells loaded
with the pH-sensitive dye 5-hexadecanoylaminofluorescein (HAF) reported an
extracellular acidification on depolarization by glutamate or potassium. However,
investigations using H(+)-selective microelectrodes report an extracellular
alkalinization on depolarization of skate and catfish horizontal cells. These
studies differed in the species and extracellular pH buffer used and the presence
or absence of cobalt. We used both techniques to examine H(+) changes from
isolated catfish horizontal cells under identical experimental conditions (1 mM
HEPES, no cobalt). HAF fluorescence indicated an acidification response to high
extracellular potassium or glutamate. However, a clear extracellular
alkalinization was found using H(+)-selective microelectrodes under the same
conditions. Confocal microscopy revealed that HAF was not localized exclusively
to the extracellular surface, but rather was detected throughout the
intracellular compartment. A high degree of colocalization between HAF and the
mitochondrion-specific dye MitoTracker was observed. When HAF fluorescence was
monitored from optical sections from the center of a cell, glutamate produced an
intracellular acidification. These results are consistent with a model in which
depolarization allows calcium influx, followed by activation of a Ca(2+)/H(+)
plasma membrane ATPase. Our results suggest that HAF is reporting intracellular
pH changes and that depolarization of horizontal cells induces an extracellular
alkalinization, which may relieve H(+)-mediated inhibition of photoreceptor
synaptic transmission.
PMID- 22090460
TI - Defensive peripersonal space: the blink reflex evoked by hand stimulation is
increased when the hand is near the face.
AB - Electrical stimulation of the median nerve at the wrist may elicit a blink reflex
[hand blink reflex (HBR)] mediated by a neural circuit at brain stem level. As,
in a Sherringtonian sense, the blink reflex is a defensive response, in a series
of experiments we tested, in healthy volunteers, whether and how the HBR is
modulated by the proximity of the stimulated hand to the face. Electromyographic
activity was recorded from the orbicularis oculi, bilaterally. We observed that
the HBR is enhanced when the stimulated hand is inside the peripersonal space of
the face, compared with when it is outside, irrespective of whether the proximity
of the hand to the face is manipulated by changing the position of the arm
(experiment 1) or by rotating the head while keeping the arm position constant
(experiment 3). Experiment 2 showed that such HBR enhancement has similar
magnitude when the participants have their eyes closed. Experiments 4 and 5
showed, respectively, that the blink reflex elicited by the electrical
stimulation of the supraorbital nerve, as well as the N20 wave of the
somatosensory evoked potentials elicited by the median nerve stimulation, are
entirely unaffected by hand position. Taken together, our results provide
compelling evidence that the brain stem circuits mediating the HBR in humans
undergo tonic and selective top-down modulation from higher order cortical areas
responsible for encoding the location of somatosensory stimuli in external space
coordinates. These findings support the existence of a "defensive" peripersonal
space, representing a safety margin advantageous for survival.
PMID- 22090461
TI - Origins of 1/f2 scaling in the power spectrum of intracortical local field
potential.
AB - It has been noted that the power spectrum of intracortical local field potential
(LFP) often scales as 1/f(-2). It is thought that LFP mostly represents the
spiking-related neuronal activity such as synaptic currents and spikes in the
vicinity of the recording electrode, but no 1/f(2) scaling is detected in the
spike power. Although tissue filtering or modulation of spiking activity by UP
and DOWN states could account for the observed LFP scaling, there is no consensus
as to how it arises. We addressed this question by recording simultaneously LFP
and single neurons ("single units") from multiple sites in somatosensory cortex
of anesthetized rats. Single-unit data revealed the presence of periods of high
activity, presumably corresponding to the "UP" states when the neuronal membrane
potential is depolarized, and periods of no activity, the putative "DOWN" states
when the membrane potential is close to resting. As expected, the LFP power
scaled as 1/f(2) but no such scaling was found in the power spectrum of spiking
activity. Our analysis showed that 1/f(2) scaling in the LFP power spectrum was
largely generated by the steplike transitions between UP and DOWN states. The
shape of the LFP signal during these transitions, but not the transition timing,
was crucial to obtain the observed scaling. These transitions were probably
induced by synchronous changes in the membrane potential across neurons. We
conclude that a 1/f(2) scaling in the LFP power indicates the presence of
steplike transitions in the LFP trace and says little about the statistical
properties of the associated neuronal firing.
PMID- 22090462
TI - Characterization of thalamocortical responses of regular-spiking and fast-spiking
neurons of the mouse auditory cortex in vitro and in silico.
AB - We use a combination of in vitro whole cell recordings and computer simulations
to characterize the cellular and synaptic properties that contribute to
processing of auditory stimuli. Using a mouse thalamocortical slice preparation,
we record the intrinsic membrane properties and synaptic properties of layer 3/4
regular-spiking (RS) pyramidal neurons and fast-spiking (FS) interneurons in
primary auditory cortex (AI). We find that postsynaptic potentials (PSPs) evoked
in FS cells are significantly larger and depress more than those evoked in RS
cells after thalamic stimulation. We use these data to construct a simple
computational model of the auditory thalamocortical circuit and find that the
differences between FS and RS cells observed in vitro generate model behavior
similar to that observed in vivo. We examine how feedforward inhibition and
synaptic depression affect cortical responses to time-varying inputs that mimic
sinusoidal amplitude-modulated tones. In the model, the balance of cortical
inhibition and thalamic excitation evolves in a manner that depends on modulation
frequency (MF) of the stimulus and determines cortical response tuning.
PMID- 22090463
TI - A novel coding mechanism for social vocalizations in the lateral amygdala.
AB - The amygdala plays a central role in evaluating the significance of acoustic
signals and coordinating the appropriate behavioral responses. To understand how
amygdalar responses modulate auditory processing and drive emotional expression,
we assessed how neurons respond to and encode information that is carried within
complex acoustic stimuli. We characterized responses of single neurons in the
lateral nucleus of the amygdala to social vocalizations and synthetic acoustic
stimuli in awake big brown bats. Neurons typically responded to most of the
social vocalizations presented (mean = nine of 11 vocalizations) but
differentially modulated both firing rate and response duration. Surprisingly,
response duration provided substantially more information about vocalizations
than did spike rate. In most neurons, variation in response duration depended, in
part, on persistent excitatory discharge that extended beyond stimulus duration.
Information in persistent firing duration was significantly greater than in spike
rate, and the majority of neurons displayed more information in persistent
firing, which was more likely to be observed in response to aggressive
vocalizations (64%) than appeasement vocalizations (25%), suggesting that
persistent firing may relate to the behavioral context of vocalizations. These
findings suggest that the amygdala uses a novel coding strategy for
discriminating among vocalizations and underscore the importance of persistent
firing in the general functioning of the amygdala.
PMID- 22090464
TI - Measurement of leg-length discrepancy using laser-based ultrasound method.
AB - BACKGROUND: The evidence on the role of leg-length discrepancy (LLD) in low back
pain (LBP) is contradictory, possibly due to the diversity of measurement
methods. PURPOSE: To assess the reliability of a laser-based ultrasound method
and its agreement with the radiographic method. MATERIAL AND METHODS: The
measurement device consisted of a laser measure fixed to a rod holding the
scanning head of the ultrasound and could be moved automatically by a linear
actuator. The reliability of the measurement was evaluated using 20 healthy
voluntary subjects with no known previous LLD (90% women, mean age 23 years). We
assessed the agreement of the ultrasound method with a radiographic LLD
measurement using 19 voluntary patients (95% men, mean age 38 years), who had had
radiographic LLD measurements taken during the previous year. We used intraclass
correlation co-efficients (ICC) and Bland & Altman analysis in the statistical
analysis. RESULTS: The ICC value for agreement between methods was 0.97 (95%
confidence intervals [CI] 0.93-0.99) indicating almost perfect agreement. The ICC
values for both raters indicated almost perfect agreement between repeated
measurements (ICC 0.996 and 0.994, respectively). In the Bland and Altman
analysis, the mean difference was close to zero (0.56 mm and 0.40 mm), indicating
minimal systematic error. CONCLUSION: The ultrasound-laser technique is quick and
easy to perform. Both reliability and agreement with the radiographic method are
excellent. The ultrasound measurement is non-invasive and therefore a potential
alternative to radiographic methods in the evaluation of LLD.
PMID- 22090465
TI - Metaplastic carcinoma of the breast: multimodality imaging and histopathologic
assessment.
AB - BACKGROUND: Metaplastic carcinomas are ductal carcinomas that display metaplastic
transformation of the glandular epithelium to non-glandular mesenchymal tissue.
Metaplastic carcinoma has a poorer prognosis than most other breast cancers, so
the differential diagnosis is important. Although many clinical and pathologic
findings have been reported, to our knowledge, few imaging findings related to
metaplastic carcinoma have been reported. PURPOSE: To investigate whole-breast
imaging findings, including mammography, sonography, MRI, and pathologic
findings, including immunohistochemical studies of metaplastic carcinomas of the
breast. MATERIAL AND METHODS: We analyzed 33 cases of metaplastic carcinoma
between January 2001 and January 2011. Mammography, ultrasonography, and MRI were
recorded retrospectively using the American College of Radiology (ACR) breast
imaging reporting and data system (BI-RADS) lexicon. Immunohistochemical studies
of estrogen receptor (ER), progesterone receptor (PR), p53, and C-erbB-2 were
performed. RESULTS: The most common mammographic findings were oval shape (37%),
circumscribed margin (59%), and high density (74%). The most common sonographic
findings were irregular shape (59.4%), microlobulated margin (41%), complex
echogenicity (81%), parallel orientation (97%), and posterior acoustic
enhancement (50%). Axillary lymph node metastases were noted for 25% of the
sonographic examinations. On MRI, the most common findings of margin and shape
were irregularity (57% and 52.4%, respectively). High signal intensity was the
most common finding on T2-weighted images (57%). Immunohistochemical profile was
negative for ER (91%, 29/32) and PR (81%, 26/32). CONCLUSION: Metaplastic
carcinomas might display more benign features and less axillary lymph node
metastasis than IDC. High signal intensity on T2 MRI images and hormone receptor
negativity would be helpful in differentiating this tumor from other breast
cancers.
PMID- 22090466
TI - Compression stockings with moderate pressure are able to reduce chronic leg
oedema.
AB - AIM: To compare the efficacy of compression stockings and inelastic, high
pressure bandages concerning leg volume reduction in patients with chronic leg
oedema. MATERIAL AND METHODS: Forty-two legs of 30 patients with chronic leg
oedema caused by venous stasis were randomized to receive a strong inelastic
bandage (IB) or an elastic stocking (ES) exerting a pressure of 23-32 mmHg.
Changes in leg oedema were assessed after two and seven days by water
displacement volumetry, measurements of leg circumferences and of skin thickness
by using Duplex ultrasound. Interface pressure was registered under the
compression devices for seven days. RESULTS: There was no significant difference
between stockings and bandages, which both produced a significant reduction in
leg volume after two days (-9.6% [95% CI 7.5-11.8] by ES and -11.5% [95% CI 9.9
13.2%] by IB) and after seven days (-13.2% [95% CI 10.4-16.2] by ES and -15.6%
[95% CI 12.8-18.4] by IB). Bandages showed a more pronounced reduction in leg
circumference and in skin thickness in the calf region. The pressure of IB in the
lying position fell from initially 63 to 22 mmHg after two days, but only from 33
to 26 mmHg under ES (median values). The optimal pressure range concerning oedema
reduction was found between 40 and 60 mmHg, while higher pressures produced by
bandages showed a negative correlation with volume reduction. CONCLUSIONS:
Compression stockings exerting a pressure of around 30 mmHg are nearly as
effective as high-pressure bandages with an initial pressure over 60 mmHg in
reducing chronic leg oedema.
PMID- 22090467
TI - A dietary pattern including nopal, chia seed, soy protein, and oat reduces serum
triglycerides and glucose intolerance in patients with metabolic syndrome.
AB - Metabolic syndrome (MetS) is a health problem throughout the world and is
associated with cardiovascular disease and diabetes. Thus, the purpose of the
present work was to evaluate the effects of a dietary pattern (DP; soy protein,
nopal, chia seed, and oat) on the biochemical variables of MetS, the AUC for
glucose and insulin, glucose intolerance (GI), the relationship of the presence
of certain polymorphisms related to MetS, and the response to the DP. In this
randomized trial, the participants consumed their habitual diet but reduced by
500 kcal for 2 wk. They were then assigned to the placebo (P; n = 35) or DP (n =
32) group and consumed the reduced energy diet plus the P or DP beverage (235
kcal) minus the energy provided by these for 2 mo. All participants had decreases
in body weight (BW), BMI, and waist circumference during the 2-mo treatment (P <
0.0001); however, only the DP group had decreases in serum TG, C-reactive protein
(CRP), and AUC for insulin and GI after a glucose tolerance test. Interestingly,
participants in the DP group with MetS and the ABCA1 R230C variant had a greater
decrease in BW and an increase in serum adiponectin concentration after 2 mo of
dietary treatment than those with the ABCA1 R230R variant. The results from this
study suggest that lifestyle interventions involving specific DP for the
treatment of MetS could be more effective if local foods and genetic variations
of the population are considered.
PMID- 22090468
TI - Total and specific polyphenol intakes in midlife are associated with cognitive
function measured 13 years later.
AB - Polyphenols, and in particular flavonoids, are omnipresent plant-food components
displaying biochemical properties possibly beneficial to brain health. We sought
to evaluate the long-term association between total and class-specific polyphenol
intake and cognitive performance. Polyphenol intake was estimated using the
Phenol-Explorer database applied to at least six 24-h dietary records collected
in 1994-1996 as part of the SU.VI.MAX (Supplementation en Vitamines et Mineraux
Antioxydants) study. The cognitive performance of 2574 middle-aged adults
participating in the cohort was assessed in 2007-2009 using the following four
neuropsychological tests: phonemic and semantic fluency, the RI-48 Cued Recall
test, the Trail Making test, and Forward and Backward Digit Span. Inter
correlations among the test scores were estimated with principal component
analysis. Associations between polyphenol intake and cognition were assessed by
multivariate linear regression and ANCOVA. In multivariate models, high total
polyphenol intake was associated with better language and verbal memory (P =
0.01) but not with executive functioning (P = 0.09). More specifically, intake of
catechins (P = 0.001), theaflavins (P = 0.002), flavonols (P = 0.01), and
hydroxybenzoic acids (P = 0.0004) was positively associated with language and
verbal memory, especially with episodic memory assessed by the RI-48 test. In
contrast, negative associations between scores on executive functioning and
intake of dihydrochalcones (P = 0.01), catechins (P = 0.01), proanthocyanidins (P
= 0.01), and flavonols (P = 0.01) were detected. High intake of specific
polyphenols, including flavonoids and phenolic acids, may help to preserve verbal
memory, which is a salient vulnerable domain in pathological brain aging. Further
investigations are needed to clarify the observed negative associations regarding
executive functioning.
PMID- 22090469
TI - Glycemic index predicts individual glucose responses after self-selected
breakfasts in free-living, abdominally obese adults.
AB - The degree to which an individual's glycemic response to a meal is determined by
the glycemic index (GI) and other components of the meal remains unclear,
especially when meals are not consumed in a highly controlled research setting.
To address this question, we analyzed data collected during the run-in period of
a clinical trial. Free-living, nondiabetic adults (n = 57) aged 53.9 +/- 9.8 y
(mean +/- SD) with a BMI of 33.9 +/- 5.3 kg/m(2) and waist circumference of 109
+/- 11 cm underwent a 75-g oral glucose tolerance test (OGTT) and, on a separate
day, wore a continuous glucose-monitoring system (CGMS) for 24 h during which
time they recorded all foods consumed. The protein, fat, and available
carbohydrate (avCHO) content and GI of the breakfast meals were calculated from
the food records and the incremental areas under the glycemic response curves
(iAUC) for 2 h after breakfast (iAUC(breakfast)) were calculated from CGMS data.
Values for iAUC(breakfast), avCHO, fat, fiber, and BMI were normalized by log
transformation. The ability of participant characteristics and breakfast
composition to predict individual iAUC(breakfast) responses was determined using
step-wise multiple linear regression. A total of 56% of the variation in
iAUC(breakfast) was explained by GI (30%; P < 0.001), iAUC after the OGTT (11%; P
< 0.001), avCHO (11%; P < 0.001), and waist circumference (3%; P = 0.049); the
effects of fat, protein, dietary fiber, age, sex, and BMI were not significant.
We concluded that, in free-living, abdominally obese adults, GI is a significant
determinant of individual glycemic responses elicited by self-selected breakfast
meals. In this study, GI was a more important determinant of glycemic response
than carbohydrate intake.
PMID- 22090470
TI - Use of laboratory studies for the design, explanation, and validation of human
micronutrient intervention studies.
AB - Many micronutrient supplementation trials have led to important new findings
relevant to public health, but some outcomes have been unclear or concerning. Can
and should laboratory studies and animal models be used more extensively to
pretest the proposed designs of human studies? This paper illustrates, as
examples, the contributions that animal models have made to several major
advances in understanding the biology of the micronutrients vitamin A and
carotenoids, and it proposes that animal studies can play a more integrated role
in public health nutrition by serving as a first line of interrogation for study
designs and thereby as a means of refining the designs of human studies so that
large, expensive, and logistically difficult human trials will yield the best
possible information.
PMID- 22090471
TI - International Union of Basic and Clinical Pharmacology. LXXXV: calcium-activated
chloride channels.
AB - Calcium-activated chloride channels (CaCCs) are widely expressed in various
tissues and implicated in physiological processes such as sensory transduction,
epithelial secretion, and smooth muscle contraction. Transmembrane proteins with
unknown function 16 (TMEM16A) has recently been identified as a major component
of CaCCs. Detailed molecular analysis of TMEM16A will be needed to understand its
structure-function relationships. The role this channel plays in physiological
systems remains to be established and is currently a subject of intense
investigation.
PMID- 22090472
TI - Therapeutic implications for striatal-enriched protein tyrosine phosphatase
(STEP) in neuropsychiatric disorders.
AB - Striatal-enriched protein tyrosine phosphatase (STEP) is a brain-specific
phosphatase that modulates key signaling molecules involved in synaptic
plasticity and neuronal function. Targets include extracellular-regulated kinase
1 and 2 (ERK1/2), stress-activated protein kinase p38 (p38), the Src family
tyrosine kinase Fyn, N-methyl-D-aspartate receptors (NMDARs), and alpha-amino-3
hydroxy-5-methyl-4-isoxazolepropionic acid receptors (AMPARs). STEP-mediated
dephosphorylation of ERK1/2, p38, and Fyn leads to inactivation of these enzymes,
whereas STEP-mediated dephosphorylation of surface NMDARs and AMPARs promotes
their endocytosis. Accordingly, the current model of STEP function posits that it
opposes long-term potentiation and promotes long-term depression.
Phosphorylation, cleavage, dimerization, ubiquitination, and local translation
all converge to maintain an appropriate balance of STEP in the central nervous
system. Accumulating evidence over the past decade indicates that STEP
dysregulation contributes to the pathophysiology of several neuropsychiatric
disorders, including Alzheimer's disease, schizophrenia, fragile X syndrome,
epileptogenesis, alcohol-induced memory loss, Huntington's disease, drug abuse,
stroke/ischemia, and inflammatory pain. This comprehensive review discusses STEP
expression and regulation and highlights how disrupted STEP function contributes
to the pathophysiology of diverse neuropsychiatric disorders.
PMID- 22090474
TI - Arylamine N-acetyltransferase 1: a novel drug target in cancer development.
AB - The human arylamine N-acetyltransferases first attracted attention because of
their role in drug metabolism. However, much of the current literature has
focused on their role in the activation and detoxification of environmental
carcinogens and how genetic polymorphisms in the genes create predispositions to
increased or decreased cancer risk. There are two closely related genes on
chromosome 8 that encode the two human arylamine N-acetyltransferases--NAT1 and
NAT2. Although NAT2 has restricted tissue expression, NAT1 is found in almost all
tissues of the body. There are several single-nucleotide polymorphisms in the
protein coding and 3'-untranslated regions of the gene that affect enzyme
activity. However, NAT1 is also regulated by post-translational and environmental
factors, which may be of greater importance than genotype in determining tissue
NAT1 activities. Recent studies have suggested a novel role for this enzyme in
cancer cell growth. NAT1 is up-regulated in several cancer types, and
overexpression can lead to increased survival and resistance to chemotherapy.
Although a link to folate homeostasis has been suggested, many of the effects
attributed to NAT1 and cancer cell growth remain to be explained. Nevertheless,
the enzyme has emerged as a viable candidate for drug development, which should
lead to small molecule inhibitors for preclinical and clinical evaluation.
PMID- 22090473
TI - Genome-environment interactions that modulate aging: powerful targets for drug
discovery.
AB - Aging is the major biomedical challenge of this century. The percentage of
elderly people, and consequently the incidence of age-related diseases such as
heart disease, cancer, and neurodegenerative diseases, is projected to increase
considerably in the coming decades. Findings from model organisms have revealed
that aging is a surprisingly plastic process that can be manipulated by both
genetic and environmental factors. Here we review a broad range of findings in
model organisms, from environmental to genetic manipulations of aging, with a
focus on those with underlying gene-environment interactions with potential for
drug discovery and development. One well-studied dietary manipulation of aging is
caloric restriction, which consists of restricting the food intake of organisms
without triggering malnutrition and has been shown to retard aging in model
organisms. Caloric restriction is already being used as a paradigm for developing
compounds that mimic its life-extension effects and might therefore have
therapeutic value. The potential for further advances in this field is immense;
hundreds of genes in several pathways have recently emerged as regulators of
aging and caloric restriction in model organisms. Some of these genes, such as
IGF1R and FOXO3, have also been associated with human longevity in genetic
association studies. The parallel emergence of network approaches offers
prospects to develop multitarget drugs and combinatorial therapies. Understanding
how the environment modulates aging-related genes may lead to human applications
and disease therapies through diet, lifestyle, or pharmacological interventions.
Unlocking the capacity to manipulate human aging would result in unprecedented
health benefits.
PMID- 22090475
TI - Decoding action intentions in parietofrontal circuits.
PMID- 22090476
TI - Synaptic properties of corticocortical connections between the primary and
secondary visual cortical areas in the mouse.
AB - Despite the importance of corticocortical connections, few published studies have
investigated the functional, synaptic properties of such connections in any
species, because most studies have been purely anatomical or aimed at functional
features other than synaptic properties. We recently published a study of
synaptic properties of connections between the primary and secondary cortical
auditory areas in brain slices from the mouse, and, in the present study, we
aimed to extend this by performing analogous studies of the primary and secondary
visual areas (V1 and V2). We found effectively the same results. That is,
connections between V1 and V2 in both directions were quite similar; in each
case, the glutamatergic inputs could be classified as one of two types, Class 1B
(formerly "driver") and Class 2 (formerly "modulator"). There is a clear laminar
correlation for these different inputs, in terms of both the laminae of origin
and those in which the recorded cells were located. Our data suggest a common
pattern to the functional organization of corticocortical connectivity in the
mouse cortex.
PMID- 22090477
TI - Robust central reduction of amyloid-beta in humans with an orally available, non
peptidic beta-secretase inhibitor.
AB - According to the amyloid cascade hypothesis, cerebral deposition of amyloid-beta
peptide (Abeta) is critical for Alzheimer's disease (AD) pathogenesis. Abeta
generation is initiated when beta-secretase (BACE1) cleaves the amyloid precursor
protein. For more than a decade, BACE1 has been a prime target for designing
drugs to prevent or treat AD. However, development of such agents has turned out
to be extremely challenging, with major hurdles in cell penetration, oral
bioavailability/metabolic clearance, and brain access. Using a fragment-based
chemistry strategy, we have generated LY2811376 [(S)-4-(2,4-difluoro-5-pyrimidin
5-yl-phenyl)-4-methyl-5,6-dihydro-4H-[1,3]thiazin-2-ylamine], the first orally
available non-peptidic BACE1 inhibitor that produces profound Abeta-lowering
effects in animals. The biomarker changes obtained in preclinical animal models
translate into man at doses of LY2811376 that were safe and well tolerated in
healthy volunteers. Prominent and long-lasting Abeta reductions in lumbar CSF
were measured after oral dosing of 30 or 90 mg of LY2811376. This represents the
first translation of BACE1-driven biomarker changes in CNS from preclinical
animal models to man. Because of toxicology findings identified in longer-term
preclinical studies, this compound is no longer progressing in clinical
development. However, BACE1 remains a viable target because the adverse effects
reported here were recapitulated in LY2811376-treated BACE1 KO mice and thus are
unrelated to BACE1 inhibition. The magnitude and duration of central Abeta
reduction obtainable with BACE1 inhibition positions this protease as a tractable
small-molecule target through which to test the amyloid hypothesis in man.
PMID- 22090478
TI - Disrupting effect of drug-induced reward on spatial but not cue-guided learning:
implication of the striatal protein kinase A/cAMP response element-binding
protein pathway.
AB - The multiple memory systems hypothesis posits that different neural circuits
function in parallel and may compete for information processing and storage. For
example, instrumental conditioning would depend on the striatum, whereas spatial
memory may be mediated by a circuit centered on the hippocampus. However, the
nature of the task itself is not sufficient to select durably one system over the
other. In this study, we investigated the effects of natural and pharmacological
rewards on the selection of a particular memory system during learning. We
compared the effects of food- or drug-induced activation of the reward system on
cue-guided versus spatial learning using a Y-maze discrimination task. Drug
induced reward severely impaired the acquisition of a spatial discrimination task
but spared the cued version of the task. Immunohistochemical analysis of the
phosphorylated form of the cAMP response element binding (CREB) protein and c-Fos
expression induced by behavioral testing revealed that the spatial deficit was
associated with a decrease of both markers within the hippocampus and the
prefrontal cortex. In contrast, drug reward potentiated the cued learning-induced
CREB phosphorylation within the dorsal striatum. Administration of the protein
kinase A inhibitor 8-Bromo-adenosine-3',5'-cyclic monophosphorothioate Rp isomer
(Rp-cAMPS) into the dorsal striatum before training completely reversed the drug
induced spatial deficit and restored CREB phosphorylation levels within the
hippocampus and the prefrontal cortex. Therefore, drug-induced striatal
hyperactivity may underlie the declarative memory deficit reported here. This
mechanism could represent an important early step toward the development of
addictive behaviors by promoting conditioning to the detriment of more flexible
forms of memory.
PMID- 22090479
TI - Selectivity for spectral motion as a neural computation for encoding natural
communication signals in bat inferior colliculus.
AB - This study examines the neural computations performed by neurons in the auditory
system to be selective for the direction and velocity of signals sweeping upward
or downward in frequency, termed spectral motion. We show that neurons in the
auditory midbrain of Mexican free-tailed bats encode multiple spectrotemporal
features of natural communication sounds. These features to which each neuron is
tuned are nonlinearly combined to produce selectivity for spectral motion cues
present in their conspecific calls, such as direction and velocity. We find that
the neural computations resulting in selectivity for spectral motion are
analogous to models of motion selectivity studied in vision. Our analysis
revealed that auditory neurons in the inferior colliculus (IC) are avoiding
spectrotemporal modulations that are redundant across different bat communication
signals and are specifically tuned for modulations that distinguish each call
from another by their frequency-modulated direction and velocity, suggesting that
spectral motion is the neural computation through which IC neurons are encoding
specific features of conspecific vocalizations.
PMID- 22090480
TI - Critical roles of transitional cells and Na/K-ATPase in the formation of
vestibular endolymph.
AB - The mechanotransduction of vestibular sensory cells depends on the high
endolymphatic potassium concentration ([K+]) maintained by a fine balance between
K+ secretion and absorption by epithelial cells. Despite the crucial role of
endolymph as an electrochemical motor for mechanotransduction, little is known
about the processes that govern endolymph formation. To address these, we took
advantage of an organotypic rodent model, which regenerates a genuine neonatal
vestibular endolymphatic compartment, facilitating the determination of
endolymphatic [K+] and transepithelial potential (Vt) during endolymph formation.
While mature Vt levels are almost immediately achieved, K+ accumulates to reach a
steady [K+] by day 5 in culture. Inhibition of sensory cell K+ efflux enhances
[K+] regardless of the blocker used (FM1.43, amikacin, gentamicin, or
gadolinium). Targeting K+ secretion with bumetanide partially and transiently
reduces [K+], while ouabain application and Kcne1 deletion almost abolishes it.
Immunofluorescence studies demonstrate that dark cells do not express Na-K-2Cl
cotransporter 1 (the target of bumetanide) in cultured and young mouse utricles,
while Na/K-ATPase (the target of ouabain) is found in dark cells and transitional
cells. This global analysis of the involvement of endolymphatic homeostasis
actors in the immature organ (1) confirms that KCNE1 channels are necessary for
K+ secretion, (2) highlights Na/K-ATPase as the key endolymphatic K+ provider and
shows that Na-K-2Cl cotransporter 1 has a limited impact on K+ influx, and (3)
demonstrates that transitional cells are involved in K+ secretion in the early
endolymphatic compartment.
PMID- 22090481
TI - NMDA receptor agonists fail to alter release from cerebellar basket cells.
AB - Previous studies of NMDA receptor (NMDAR) expression on axons of cerebellar
molecular layer interneurons have produced conflicting results. We made use of
the calcium sensitivity of vesicular release machinery to test for NMDAR activity
in basket cell axons. Iontophoresis of l-aspartate, an NMDAR agonist, onto basket
cell axon collaterals had no effect on evoked IPSCs measured in synaptically
coupled Purkinje cells. Furthermore, calcium indicators in basket cell
varicosities did not report any change in intracellular calcium following
iontophoresis of l-aspartate or two-photon uncaging of glutamate. In contrast,
activation of presynaptic purinergic receptors by iontophoresis of ATP decreased
evoked IPSC amplitudes and action potential-evoked calcium transients in axonal
varicosities, demonstrating the effectiveness of activating presynaptic receptors
by iontophoresis. We find no evidence for functional NMDARs in basket cell
varicosities.
PMID- 22090482
TI - Regionally specific human GABA concentration correlates with tactile
discrimination thresholds.
AB - The neural mechanisms underlying variability in human sensory perception remain
incompletely understood. In particular, few studies have attempted to investigate
the relationship between in vivo measurements of neurochemistry and individuals'
behavioral performance. Our previous work found a relationship between GABA
concentration in the visual cortex and orientation discrimination thresholds
(Edden et al., 2009). In the present study, we used magnetic resonance
spectroscopy of GABA and psychophysical testing of vibrotactile frequency
thresholds to investigate whether individual differences in tactile frequency
discrimination performance are correlated with GABA concentration in sensorimotor
cortex. Behaviorally, individuals showed a wide range of discrimination
thresholds ranging from 3 to 7.6 Hz around the 25 Hz standard. These frequency
discrimination thresholds were significantly correlated with GABA concentration
(r = -0.58; p < 0.05) in individuals' sensorimotor cortex, but not with GABA
concentration in an occipital control region (r = -0.04). These results
demonstrate a link between GABA concentration and frequency discrimination in
vivo, and support the hypothesis that GABAergic mechanisms have an important role
to play in sensory discrimination.
PMID- 22090483
TI - Representation of perceptually invisible image motion in extrastriate visual area
MT of macaque monkeys.
AB - Why does the world appear stable despite the visual motion induced by eye
movements during fixation? We find that the answer must reside in how visual
motion signals are interpreted by perception, because MT neurons in monkeys
respond to the image motion caused by eye drifts in the presence of a stationary
stimulus. Several features suggest a visual origin for the responses of MT
neurons during fixation: spike-triggered averaging yields a peak image velocity
in the preferred direction that precedes spikes by ~60 ms; image velocity during
fixation and firing rate show similar peaks in power at 4-5 Hz; and average MT
firing during a period of fixation is related monotonically to the image speed
along the preferred axis of the neurons 60 ms earlier. The percept caused by the
responses of MT neurons during fixation depends on the distribution of activity
across the population of neurons of different preferred speeds. For imposed
stimulus motion, the population response peaks for neurons that prefer the actual
target speed. For small image motions caused by eye drifts during fixation, the
population response is large, but is noisy and does not show a clear peak. This
representation of image motion in MT would be ignored if perception interprets
the population response in the context of a prior of zero speed. Then, we would
see a stable scene despite MT responses caused by eye drifts during fixation.
PMID- 22090484
TI - A wide diversity of cortical GABAergic interneurons derives from the embryonic
preoptic area.
AB - GABA-containing (GABAergic) interneurons comprise a very heterogeneous group of
cells that are crucial for cortical function. Different classes of interneurons
specialize in targeting specific subcellular domains of excitatory pyramidal
cells or other interneurons, which provides cortical circuits with an enormous
capability for information processing. As in other regions of the CNS, cortical
interneuron diversity is thought to emerge from the genetic specification of
different groups of progenitor cells within the subpallium. Most cortical
interneurons originate from two main regions, the medial and the caudal
ganglionic eminences (MGE and CGE, respectively). In addition, it has been shown
that progenitors in the embryonic preoptic area (POA) also produce a small
population of cortical GABAergic interneurons. Here, we show that the
contribution of the POA to the complement of cortical GABAergic interneurons is
larger than previously believed. Using genetic fate mapping and in utero
transplantation experiments, we demonstrate that Dbx1-expressing progenitor cells
in the POA give rise to a small but highly diverse cohort of cortical
interneurons, with some neurochemical and electrophysiological characteristics
that were previously attributed to MGE- or CGE-derived interneurons. There are,
however, some features that seem to distinguish POA-derived interneurons from MGE
or CGE-derived cells, such as their preferential laminar location. These results
indicate that the mechanisms controlling the specification of different classes
of cortical interneurons might be more complex than previously expected. Together
with earlier findings, our results also suggest that the POA generates nearly 10%
of the GABAergic interneurons in the cerebral cortex of the mouse.
PMID- 22090485
TI - beta-III spectrin is critical for development of purkinje cell dendritic tree and
spine morphogenesis.
AB - Mutations in the gene encoding beta-III spectrin give rise to spinocerebellar
ataxia type 5, a neurodegenerative disease characterized by progressive thinning
of the molecular layer, loss of Purkinje cells and increasing motor deficits. A
mouse lacking full-length beta-III spectrin (beta-III-/-) displays a similar
phenotype. In vitro and in vivo analyses of Purkinje cells lacking beta-III
spectrin, reveal a critical role for beta-III spectrin in Purkinje cell
morphological development. Disruption of the normally well ordered dendritic
arborization occurs in Purkinje cells from beta-III-/- mice, specifically showing
a loss of monoplanar organization, smaller average dendritic diameter and reduced
densities of Purkinje cell spines and synapses. Early morphological defects
appear to affect distribution of dendritic, but not axonal, proteins. This study
confirms that thinning of the molecular layer associated with disease
pathogenesis is a consequence of Purkinje cell dendritic degeneration, as
Purkinje cells from 8-month-old beta-III-/- mice have drastically reduced
dendritic volumes, surface areas and total dendritic lengths compared with 5- to
6-week-old beta-III-/- mice. These findings highlight a critical role of beta-III
spectrin in dendritic biology and are consistent with an early developmental
defect in beta-III-/- mice, with abnormal Purkinje cell dendritic morphology
potentially underlying disease pathogenesis.
PMID- 22090486
TI - State-dependent, bidirectional modulation of neural network activity by
endocannabinoids.
AB - The endocannabinoid (eCB) system and the cannabinoid CB1 receptor (CB1R) play key
roles in the modulation of brain functions. Although actions of eCBs and CB1Rs
are well described at the synaptic level, little is known of their modulation of
neural activity at the network level. Using microelectrode arrays, we have
examined the role of CB1R activation in the modulation of the electrical activity
of rat and mice cortical neural networks in vitro. We find that exogenous
activation of CB1Rs expressed on glutamatergic neurons decreases the spontaneous
activity of cortical neural networks. Moreover, we observe that the net effect of
the CB1R antagonist AM251 inversely correlates with the initial level of activity
in the network: blocking CB1Rs increases network activity when basal network
activity is low, whereas it depresses spontaneous activity when its initial level
is high. Our results reveal a complex role of CB1Rs in shaping spontaneous
network activity, and suggest that the outcome of endogenous neuromodulation on
network function might be state dependent.
PMID- 22090487
TI - Amping up effort: effects of d-amphetamine on human effort-based decision-making.
AB - Animal studies suggest the neurotransmitter dopamine (DA) plays an important role
in decision-making. In rats, DA depletion decreases tolerance for effort and
probability costs, while drugs enhancing DA increase tolerance for these costs.
However, data regarding the effect of DA manipulations on effort and probability
costs in humans remain scarce. The current study examined acute effects of d
amphetamine, an indirect DA agonist, on willingness of healthy human volunteers
to exert effort for monetary rewards at varying levels of reward value and reward
probability. Based on preclinical research, we predicted amphetamine would
increase exertion of effort, particularly when reward probability was low. Over
three sessions, 17 healthy normal adults received placebo, d-amphetamine 10 mg,
and 20 mg under counterbalanced double-blind conditions and completed the Effort
Expenditure for Rewards Task. Consistent with predictions, amphetamine enhanced
willingness to exert effort, particularly when reward probability was lower.
Amphetamine did not alter effects of reward magnitude on willingness to exert
effort. Amphetamine sped task performance, but its psychomotor effects were not
strongly related to its effects on decision-making. This is the first
demonstration in humans that dopaminergic manipulations alter willingness to
exert effort for rewards. These findings help elucidate neurochemical substrates
of choice, with implications for neuropsychiatric diseases characterized by
dopaminergic dysfunction and motivational deficits.
PMID- 22090488
TI - A seven-transmembrane receptor that mediates avoidance response to dihydrocaffeic
acid, a water-soluble repellent in Caenorhabditis elegans.
AB - The ability to detect harmful chemicals rapidly is essential for the survival of
all animals. In Caenorhabditis elegans (C. elegans), repellents trigger an
avoidance response, causing animals to move away from repellents. Dihydrocaffeic
acid (DHCA) is a water-soluble repellent and nonflavonoid catecholic compound
that can be found in plant products. Using a Xenopus laevis (X. laevis) oocyte
expression system, we identified a candidate dihydrocaffeic acid receptor (DCAR),
DCAR-1. DCAR-1 is a novel seven-transmembrane protein that is expressed in the
ASH avoidance sensory neurons of C. elegans. dcar-1 mutant animals are defective
in avoidance response to DHCA, and cell-specific expression of dcar-1 in the ASH
neurons of dcar-1 mutant animals rescued the defect in avoidance response to
DHCA. Our findings identify DCAR-1 as the first seven-transmembrane receptor
required for avoidance of a water-soluble repellent, DHCA, in C. elegans.
PMID- 22090489
TI - The sound of consciousness: neural underpinnings of auditory perception.
AB - The neural correlates of consciousness (NCC), i.e., patterns of brain activity
that specifically accompany a particular conscious experience, have been
investigated mainly in the visual system using particularly suited paradigms,
such as binocular rivalry and multistable percepts in combination with neural
recordings or neuroimaging. Through the same principles, we look here for
possible NCC in the auditory modality exploiting the properties of the Deutsch's
illusion, a stimulation condition in which a sequence of two specular dichotic
stimuli presented in alternation causes an illusory segregation of pitch and side
(ear of origin), which can yield up to four different auditory percepts per
dichotic stimulus. Using magnetoencephalography in humans, we observed cortical
activity specifically accompanying conscious experience of pitch inside an early
bilateral network, including the Heschl's gyrus, the middle temporal gyrus, the
right inferior, and the superior frontal gyri. The conscious experience of
perceived side was instead accompanied by later activity observed bilaterally in
the inferior parietal lobe and in the superior frontal gyrus. These results
suggest that the NCC are not independent of stimulus features and modality and
that, even at the higher cortical levels, the different aspects of a single
perceptual scene may not be simultaneously processed.
PMID- 22090490
TI - Epigenetic regulation of motor neuron cell death through DNA methylation.
AB - DNA methylation is an epigenetic mechanism for gene silencing engaged by DNA
methyltransferase (Dnmt)-catalyzed methyl group transfer to cytosine residues in
gene-regulatory regions. It is unknown whether aberrant DNA methylation can cause
neurodegeneration. We tested the hypothesis that Dnmts can mediate neuronal cell
death. Enforced expression of Dnmt3a induced degeneration of cultured NSC34
cells. During apoptosis of NSC34 cells induced by camptothecin, levels of Dnmt1
and Dnmt3a increased fivefold and twofold, respectively, and 5-methylcytosine
accumulated in nuclei. Truncation mutation of the Dnmt3a catalytic domain and
Dnmt3a RNAi blocked apoptosis of cultured neurons. Inhibition of Dnmt catalytic
activity with RG108 and procainamide protected cultured neurons from excessive
DNA methylation and apoptosis. In vivo, Dnmt1 and Dnmt3a are expressed
differentially during mouse brain and spinal cord maturation and in adulthood
when Dnmt3a is abundant in synapses and mitochondria. Dnmt1 and Dnmt3a are
expressed in motor neurons of adult mouse spinal cord, and, during their
apoptosis induced by sciatic nerve avulsion, nuclear and cytoplasmic 5
methylcytosine immunoreactivity, Dnmt3a protein levels and Dnmt enzyme activity
increased preapoptotically. Inhibition of Dnmts with RG108 blocked completely the
increase in 5-methycytosine and the apoptosis of motor neurons in mice. In human
amyotrophic lateral sclerosis (ALS), motor neurons showed changes in Dnmt1,
Dnmt3a, and 5-methylcytosine similar to experimental models. Thus, motor neurons
can engage epigenetic mechanisms to drive apoptosis, involving Dnmt upregulation
and increased DNA methylation. These cellular mechanisms could be relevant to
human ALS pathobiology and disease treatment.
PMID- 22090491
TI - Sharp Ca2+ nanodomains beneath the ribbon promote highly synchronous
multivesicular release at hair cell synapses.
AB - Hair cell ribbon synapses exhibit several distinguishing features. Structurally,
a dense body, or ribbon, is anchored to the presynaptic membrane and tethers
synaptic vesicles; functionally, neurotransmitter release is dominated by large
EPSC events produced by seemingly synchronous multivesicular release. However,
the specific role of the synaptic ribbon in promoting this form of release
remains elusive. Using complete ultrastructural reconstructions and capacitance
measurements of bullfrog amphibian papilla hair cells dialyzed with high
concentrations of a slow Ca2+ buffer (10 mM EGTA), we found that the number of
synaptic vesicles at the base of the ribbon correlated closely to those vesicles
that released most rapidly and efficiently, while the rest of the ribbon-tethered
vesicles correlated to a second, slower pool of vesicles. Combined with the
persistence of multivesicular release in extreme Ca2+ buffering conditions (10 mM
BAPTA), our data argue against the Ca2+-dependent compound fusion of ribbon
tethered vesicles at hair cell synapses. Moreover, during hair cell
depolarization, our results suggest that elevated Ca2+ levels enhance vesicle
pool replenishment rates. Finally, using Ca2+ diffusion simulations, we propose
that the ribbon and its vesicles define a small cytoplasmic volume where Ca2+
buffer is saturated, despite 10 mM BAPTA conditions. This local buffer saturation
permits fast and large Ca2+ rises near release sites beneath the synaptic ribbon
that can trigger multiquantal EPSCs. We conclude that, by restricting the
available presynaptic volume, the ribbon may be creating conditions for the
synchronous release of a small cohort of docked vesicles.
PMID- 22090492
TI - Serum response factor is required for cortical axon growth but is dispensable for
neurogenesis and neocortical lamination.
AB - Previous studies have shown that neuron-specific deletion of serum response
factor (SRF) results in deficits in tangential cell migration, guidance-dependent
circuit assembly, activity-dependent gene expression, and synaptic plasticity in
the hippocampus. Furthermore, SRF deletion in mouse embryonic stem cells causes
cell death in vitro. However, the requirement of SRF for early neuronal
development including neural stem cell homeostasis, neurogenesis, and axonal
innervations remains unknown. Here, we report that SRF is critical for
development of major axonal tracts in the forebrain. Conditional mutant mice
lacking SRF in neural progenitor cells (Srf-Nestin-cKO) exhibit striking deficits
in cortical axonal projections including corticostriatal, corticospinal, and
corticothalamic tracts, and they show a variable loss of the corpus callosum.
Neurogenesis and interneuron specification occur normally in the absence of SRF
and the deficits in axonal projections were not due to a decrease or loss in cell
numbers. Radial migration of neurons and neocortical lamination were also not
affected. No aberrant cell death was observed during development, whereas there
was an increase in the number of proliferative cells in the ventricular zone from
embryonic day 14 to day 18. Similar axonal tract deficits were also observed in
mutant mice lacking SRF in the developing excitatory neurons of neocortex and
hippocampus (Srf-NEX-cKO). Together, these findings suggest distinct roles for
SRF during neuronal development; SRF is specifically required in a cell
autonomous manner for axonal tract development but is dispensable for cell
survival, neurogenesis, neocortical lamination, and neuronal differentiation.
PMID- 22090493
TI - Diverse precerebellar neurons share similar intrinsic excitability.
AB - The cerebellum dedicates a majority of the brain's neurons to processing a wide
range of sensory, motor, and cognitive signals. Stereotyped circuitry within the
cerebellar cortex suggests that similar computations are performed throughout the
cerebellum, but little is known about whether diverse precerebellar neurons are
specialized for the nature of the information they convey. In vivo recordings
indicate that firing responses to sensory or motor stimuli vary dramatically
across different precerebellar nuclei, but whether this reflects diverse synaptic
inputs or differentially tuned intrinsic excitability has not been determined. We
targeted whole-cell patch-clamp recordings to neurons in eight precerebellar
nuclei which were retrogradely labeled from different regions of the cerebellum
in mice. Intrinsic physiology was compared across neurons in the medial
vestibular, external cuneate, lateral reticular, prepositus hypoglossi,
supragenual, Roller/intercalatus, reticularis tegmenti pontis, and pontine
nuclei. Within the firing domain, precerebellar neurons were remarkably similar.
Firing faithfully followed temporally modulated inputs, could be sustained at
high rates, and was a linear function of input current over a wide range of
inputs and firing rates. Pharmacological analyses revealed common expression of
Kv3 currents, which were essential for a wide linear firing range, and of SK
(small-conductance calcium-activated potassium) currents, which were essential
for a wide linear input range. In contrast, membrane properties below spike
threshold varied considerably within and across precerebellar nuclei, as
evidenced by variability in postinhibitory rebound firing. Our findings indicate
that diverse precerebellar neurons perform similar scaling computations on their
inputs but may be differentially tuned to synaptic inhibition.
PMID- 22090494
TI - Elimination of redundant synaptic inputs in the absence of synaptic
strengthening.
AB - Synaptic refinement, a developmental process that consists of selective
elimination and strengthening of immature synapses, is essential for the
formation of precise neuronal circuits and proper brain function. At
glutamatergic synapses in the brain, activity-dependent recruitment of AMPA
receptors (AMPARs) is a key mechanism underlying the strengthening of immature
synapses. Studies using receptor overexpression have shown that the recruitment
of AMPARs is subunit specific. With the notable exception of hippocampal CA3-CA1
synapses, however, little is known about how native receptors behave or the roles
of specific AMPAR subunits in synaptic refinement in vivo. Using patch-clamp
recordings in acute slices, we examined developmental refinement of whisker relay
(lemniscal) synapses in the thalamus in mice deficient of AMPAR subunits.
Deletion of GluA3 or GluA4 caused significant reductions of synaptic AMPAR
currents in thalamic neurons at P16-P17, with a greater reduction observed in
GluA3-deficient mice. Deletions of both GluA3 and GluA4 abolished synaptic AMPAR
responses in the majority of thalamic neurons, indicating that at thalamic relay
synapses AMPARs are composed primarily of GluA3 and GluA4. Surprisingly,
deletions of GluA3 or GluA4 or both had no effect on the elimination of relay
inputs: the majority of thalamic neurons in these knock-out mice-as in wild-type
mice-receive a single relay input. However, experience-dependent strengthening of
thalamic relay synapses was impaired in GluA3 knock-out mice. Together these
findings suggest that the elimination of immature glutamatergic synapses proceeds
normally in the absence of synaptic strengthening, and highlight the role of
GluA3-containing AMPARs in experience-dependent synaptic plasticity.
PMID- 22090496
TI - One action system or two? Evidence for common central preparatory mechanisms in
voluntary and stimulus-driven actions.
AB - Human behavior is comprised of an interaction between intentionally driven
actions and reactions to changes in the environment. Existing data are equivocal
concerning the question of whether these two action systems are independent,
involve different brain regions, or overlap. To address this question we
investigated whether the degree to which the voluntary action system is activated
at the time of stimulus onset predicts reaction times to external stimuli. We
recorded event-related potentials while participants prepared and executed left-
or right-hand voluntary actions, which were occasionally interrupted by a
stimulus requiring either a left- or right-hand response. In trials where
participants successfully performed the stimulus-driven response, increased
voluntary motor preparation was associated with faster responses on congruent
trials (where participants were preparing a voluntary action with the same hand
that was then required by the target stimulus), and slower responses on
incongruent trials. This suggests that early hand-specific activity in medial
frontal cortex for voluntary action trials can be used by the stimulus-driven
system to speed responding. This finding questions the clear distinction between
voluntary and stimulus-driven action systems.
PMID- 22090495
TI - Sapap3 deletion causes mGluR5-dependent silencing of AMPAR synapses.
AB - Synaptic transmission mediated by AMPA-type glutamate receptors (AMPARs) is
regulated by scaffold proteins in the postsynaptic density. SAP90/PSD-95
associated protein 3 (SAPAP3) is a scaffold protein that is highly expressed in
striatal excitatory synapses. While loss of SAPAP3 is known to cause obsessive
compulsive disorder-like behaviors in mice and reduce extracellular field
potentials in the striatum, the mechanism by which SAPAP3 regulates excitatory
neurotransmission is largely unknown. This study demonstrates that Sapap3
deletion reduces AMPAR-mediated synaptic transmission in striatal medium spiny
neurons (MSNs) through postsynaptic endocytosis of AMPARs. Striatal MSNs in
Sapap3 KO mice have fewer synapses with AMPAR activity and a higher proportion of
silent synapses. We further find that increased metabotropic glutamate receptor 5
(mGluR5) activity in Sapap3 KO mice underlies the decrease in AMPAR synaptic
transmission and excessive synapse silencing. These findings suggest a model
whereby the normal role of SAPAP3 is to inhibit mGluR5-driven endocytosis of
AMPARs. The results of this study provide the first evidence for the mechanism by
which the SAPAP family of scaffold proteins regulates AMPAR synaptic activity.
PMID- 22090497
TI - Behavioral state modulates the activity of brainstem sensorimotor neurons.
AB - Sensorimotor processing must be modulated according to the animal's behavioral
state. A previous study demonstrated that motion responses were strongly state
dependent in birds. Vestibular eye and head responses were significantly larger
and more compensatory during simulated flight, and a flight-specific vestibular
tail response was also characterized. In the current study, we investigated the
neural substrates for these state-dependent vestibular behaviors by recording
extracellularly from neurons in the vestibular nuclear complex and comparing
their spontaneous activity and sensory responses during default and simulated
flight states. We show that motion-sensitive neurons in the lateral vestibular
nucleus are state dependent. Some neurons increased their spontaneous firing
rates during flight, though their increased excitability was not reflected in
higher sensory gains. However, other neurons exhibited state-dependent gating of
sensory inputs, responding to rotational stimuli only during flight. These
results demonstrate that vestibular processing in the brainstem is state
dependent and lay the foundation for future studies to investigate the synaptic
mechanisms responsible for these modifications.
PMID- 22090498
TI - Perinatal citalopram exposure selectively increases locus ceruleus circuit
function in male rats.
AB - Selective serotonin reuptake inhibitors (SSRIs), such as citalopram (CTM), have
been widely prescribed for major depressive disorder, not only for adult
populations, but also for children and pregnant mothers. Recent evidence suggests
that chronic SSRI exposure in adults increases serotonin (5-HT) levels in the
raphe system and decreases norepinephrine (NE) locus ceruleus (LC) neural
activity, suggesting a robust opposing interaction between these two monoamines.
In contrast, perinatal SSRI exposure induces a long-lasting downregulation of the
5-HT-raphe system, which is opposite to that seen with chronic adult treatment.
Therefore, the goal of the present investigation was to test the hypothesis that
perinatal CTM exposure (20 mg/kg/d) from postnatal day 1 (PN1) to PN10 leads to
hyperexcited NE-LC circuit function in adult rats (>PN90). Our single-neuron LC
electrophysiological data demonstrated an increase in spontaneous and stimulus
driven neural activity, including an increase in phasic bursts in CTM-exposed
animals. In addition, we demonstrated a corresponding immunoreactive increase in
the rate-limiting catalyzing catecholamine enzyme (tyrosine hydroxylase) within
the LC and their neocortical target sites compared to saline controls. Moreover,
these effects were only evident in male exposed rats, suggesting a sexual
dimorphism in neural development after SSRI exposure. Together, these results
indicate that administration of SSRIs during a sensitive period of brain
development results in long-lasting alterations in NE-LC circuit function in
adults and may be useful in understanding the etiology of pervasive developmental
disorders such as autism spectrum disorder.
PMID- 22090499
TI - Neuronal P2X2 receptors are mobile ATP sensors that explore the plasma membrane
when activated.
AB - ATP-gated ionotropic P2X2 receptors are widely expressed in neurons. Although the
electrophysiological properties of P2X2 receptors have been extensively studied,
little is known about the plasma membrane lateral mobility of P2X2 receptors or
whether receptor mobility is regulated by ATP. Here we used single-molecule
imaging with simultaneous whole-cell voltage-clamp recordings to track quantum
dot-labeled P2X2 receptors in the dendrites of rat hippocampal neurons to explore
P2X2 receptor mobility and its regulation. We find that plasma membrane P2X2
receptor lateral mobility in dendrites is heterogeneous but mostly Brownian in
nature, consisting of mobile and slowly mobile receptor pools. Moreover, lateral
mobility is P2X2 subunit and cell specific, is increased in an activation
dependent manner, and is regulated by cytosolic VILIP1, a calcium binding
protein. Our data provide the first direct measures of P2X receptor mobility and
show that P2X2 receptors are mobile ATP sensors, sampling more of the dendritic
plasma membrane in response to ATP.
PMID- 22090500
TI - "Small axonless neurons": postnatally generated neocortical interneurons with
delayed functional maturation.
AB - GABAergic interneurons of the mouse cortex are generated embryonically in the
ventral telencephalon. Recent evidence, however, indicated that a subset of
cortical cells expressing interneuronal markers originate in the neonatal
subventricular zone. This has raised interest in the functional development and
incorporation of these postnatally generated cells into cortical circuits. Here
we demonstrate that these cells integrate in the cortex, and that they constitute
two distinct GABAergic interneuronal classes. Whereas one class reflects the tail
end of embryonic interneuron genesis, the other class comprises interneurons that
are exclusively generated perinatally and postnatally. The latter constitute a
novel subclass of interneurons. They are preferentially located in the deeper
layers of the olfactory and orbital cortices, exhibit a unique firing pattern and
slow functional maturation. Based on their distinct morphology we termed them
"small axonless neurons" and indeed, unlike other cortical neurons, they
communicate with their neuronal partners via dendrodendritic synapses. Finally,
we provide evidence that the number of small axonless neurons is enhanced by odor
enrichment, a further indication that they integrate into neural circuits and
participate to olfactory processing.
PMID- 22090501
TI - Distinct mechanisms underlying pronociceptive effects of opioids.
AB - In addition to analgesia, opioids may also produce paradoxical pain amplification
[opioid-induced hyperalgesia (OIH)] either on abrupt withdrawal or during
continuous long-term application. Here, we assessed antinociceptive and
pronociceptive effects of three clinically used opioids at C-fiber synapses in
the rat spinal dorsal horn in vivo. During 60 min of intravenous infusions of
remifentanil (450 MUg.kg-1.h-1), fentanyl (48 MUg.kg-1.h-1), or morphine (14
mg.kg-1.h-1), C-fiber-evoked field potentials were depressed and paired-pulse
ratios (PPR) were increased, indicating a presynaptic inhibition by all three
opioids. After withdrawal, postsynaptic responses were enhanced substantially for
the remaining of the recording periods of at least 3 h. Withdrawal from
remifentanil led to long-term potentiation (LTP) of synaptic strength in C-fibers
via activation of spinal MU-opioid receptors (MORs) and spinal NMDA receptors
(NMDARs). Fentanyl and morphine caused an enhancement of synaptic transmission at
C-fibers, which involved two distinct mechanisms: (1) an opioid withdrawal LTP
that also required activation of spinal MORs and NMDARs and that was associated
with a decrease in PPR suggestive of a presynaptic mechanism of its expression,
and (2) an immediate-onset, descending facilitation of C-fiber-evoked field
potentials during and after intravenous infusion of fentanyl and morphine.
Immediate-onset, descending facilitation was mediated by the activation of
extraspinal MORs, descending serotonergic pathways, and spinal 5
hydroxytryptamine-3 receptors (5-HT3Rs). Our study identified fundamentally
different pronociceptive effects of clinically used opioids and suggests that OIH
can be prevented by the combined use of NMDAR and 5-HT3R antagonists.
PMID- 22090502
TI - A novel functionally distinct subtype of striatal neuropeptide Y interneuron.
AB - We investigated the properties of neostriatal neuropeptide Y (NPY)-expressing
interneurons in transgenic GFP (green fluorescent protein)-NPY reporter mice. In
vitro whole-cell recordings and biocytin staining demonstrated the existence of a
novel class of neostriatal NPY-expressing GABAergic interneurons that exhibit
electrophysiological, neurochemical, and morphological properties strikingly
different from those of previously described NPY-containing, plateau
depolarization low-threshold spike (NPY-PLTS) interneurons. The novel NPY
interneuron type (NPY-neurogliaform) differed from previously described NPY-PLTS
interneurons by exhibiting a significantly lower input resistance and
hyperpolarized membrane potential, regular, nonaccommodating spiking in response
to depolarizing current injections, and an absence of plateau depolarizations or
low-threshold spikes. NPY-neurogliaform interneurons were also easily
distinguished morphologically by their dense, compact, and highly branched
dendritic and local axonal arborizations that contrasted sharply with the sparse
and extended axonal and dendritic arborizations of NPY-PLTS interneurons.
Furthermore, NPY-neurogliaform interneurons did not express immunofluorescence
for somatostatin or nitric oxide synthase that was ubiquitous in NPY-PLTS
interneurons. IPSP/Cs could only rarely be elicited in spiny projection neurons
(SPNs) in paired recordings with NPY-PLTS interneurons. In contrast, the
probability of SPN innervation by NPY-neurogliaform interneurons was extremely
high, the synapse very reliable (no failures were observed), and the resulting
postsynaptic response was a slow, GABA(A) receptor-mediated IPSC that has not
been previously described in striatum but that has been elicited from NPY
GABAergic neurogliaform interneurons in cortex and hippocampus. These properties
suggest unique and distinctive roles for NPY-PLTS and NPY-neurogliaform
interneurons in the integrative properties of the neostriatum.
PMID- 22090503
TI - Use dependence of presynaptic tenacity.
AB - Recent studies indicate that synaptic vesicles (SVs) are continuously
interchanged among nearby synapses at very significant rates. These dynamics and
the lack of obvious barriers confining synaptic vesicles to specific synapses
would seem to challenge the ability of synapses to maintain a constant amount of
synaptic vesicles over prolonged time scales. Moreover, the extensive
mobilization of synaptic vesicles associated with presynaptic activity might be
expected to intensify this challenge. Here we examined the ability of individual
presynaptic boutons of rat hippocampal neurons to maintain their synaptic vesicle
content, and the degree to which this ability is affected by continuous activity.
We found that the synaptic vesicle content of individual boutons belonging to the
same axons gradually changed over several hours, and that these changes occurred
independently of activity. Intermittent stimulation for 1 h accelerated rates of
vesicle pool size change. Interestingly, however, following stimulation
cessation, vesicle pool size change rates gradually converged with basal change
rates. Over similar time scales, active zones (AZs) exhibited substantial
remodeling; yet, unlike synaptic vesicles, AZ remodeling was not affected by the
stimulation paradigms used here. These findings indicate that enhanced activity
levels can increase synaptic vesicle redistribution among nearby synapses, but
also highlight the presence of forces that act to restore particular set points
in terms of SV contents, and support a role for active zones in preserving such
set points. These findings also indicate, however, that neither AZ size nor SV
content set points are particularly stable, questioning the long-term tenacity of
presynaptic specializations.
PMID- 22090504
TI - CRP1, a protein localized in filopodia of growth cones, is involved in dendritic
growth.
AB - The cysteine-rich protein (CRP) family is a subgroup of LIM domain proteins.
CRP1, which cross-links actin filaments to make actin bundles, is the only CRP
family member expressed in the CNS with little known about its function in nerve
cells. Here, we report that CRP1 colocalizes with actin in the filopodia of
growth cones in cultured rat hippocampal neurons. Knockdown of CRP1 expression by
short hairpin RNA interference results in inhibition of filopodia formation and
dendritic growth in neurons. Overexpression of CRP1 increases filopodia formation
and neurite branching, which require its actin-bundling activity. Expression of
CRP1 with a constitutively active form of Cdc42, a GTPase involved in filopodia
formation, increases filopodia formation in COS-7 cells, suggesting cooperation
between the two proteins. Moreover, we demonstrate that neuronal activity
upregulates CRP1 expression in hippocampal neurons via Ca2+ influx after
depolarization. Ca2+/calmodulin-dependent protein kinase IV (CaMKIV) and cAMP
response element binding protein mediate the Ca2+-induced upregulation of CRP1
expression. Furthermore, CRP1 is required for the dendritic growth induced by
Ca2+ influx or CaMKIV. Together, these data are the first to demonstrate a role
for CRP1 in dendritic growth.
PMID- 22090505
TI - An essential role for RAX homeoprotein and NOTCH-HES signaling in Otx2 expression
in embryonic retinal photoreceptor cell fate determination.
AB - The molecular mechanisms underlying cell fate determination from common
progenitors in the vertebrate CNS remain elusive. We previously reported that the
OTX2 homeoprotein regulates retinal photoreceptor cell fate determination. While
Otx2 transactivation is a pivotal process for photoreceptor cell fate
determination, its transactivation mechanism in the retina is unknown. Here, we
identified an evolutionarily conserved Otx2 enhancer of ~500 bp, named embryonic
enhancer locus for photoreceptor Otx2 transcription (EELPOT), which can
recapitulate initial Otx2 expression in the embryonic mouse retina. We found that
the RAX homeoprotein interacts with EELPOT to transactivate Otx2, mainly in the
final cell cycle of retinal progenitors. Conditional inactivation of Rax results
in downregulation of Otx2 expression in vivo. We also showed that NOTCH-HES
signaling negatively regulates EELPOT to suppress Otx2 expression. These results
suggest that the integrated activity of cell-intrinsic and -extrinsic factors on
EELPOT underlies the molecular basis of photoreceptor cell fate determination in
the embryonic retina.
PMID- 22090506
TI - Lifelong bilingualism maintains white matter integrity in older adults.
AB - Previous research has shown that bilingual speakers have higher levels of
cognitive control than comparable monolinguals, especially at older ages. The
present study investigates a possible neural correlate of this behavioral effect.
Given that white matter (WM) integrity decreases with age in adulthood, we tested
the hypothesis that bilingualism is associated with maintenance of WM in older
people. Using diffusion tensor imaging, we found higher WM integrity in older
people who were lifelong bilinguals than in monolinguals. This maintained
integrity was measured by fractional anisotropy (FA) and was found in the corpus
callosum extending to the superior and inferior longitudinal fasciculi. We also
hypothesized that stronger WM connections would be associated with more widely
distributed patterns of functional connectivity in bilinguals. We tested this by
assessing the resting-state functional connectivity of frontal lobe regions
adjacent to WM areas with group differences in FA. Bilinguals showed stronger
anterior to posterior functional connectivity compared to monolinguals. These
results are the first evidence that maintained WM integrity is related to
lifelong naturally occurring experience; the resulting enhanced structural and
functional connectivity may provide a neural basis for "brain reserve."
PMID- 22090507
TI - HCN channels expressed in the inner ear are necessary for normal balance
function.
AB - HCN1-4 subunits form Na+/K+-permeable ion channels that are activated by
hyperpolarization and carry the current known as I(h). I(h) has been
characterized in vestibular hair cells of the inner ear, but its molecular
correlates and functional contributions have not been elucidated. We examined Hcn
mRNA expression and immunolocalization of HCN protein in the mouse utricle, a
mechanosensitive organ that contributes to the sense of balance. We found that
HCN1 is the most highly expressed subunit, localized to the basolateral membranes
of type I and type II hair cells. We characterized I(h) using the whole-cell,
voltage-clamp technique and found the current expressed in 84% of the cells with
a mean maximum conductance of 4.4 nS. I(h) was inhibited by ZD7288, cilobradine,
and by adenoviral expression of a dominant-negative form of HCN2. To determine
which HCN subunits carried I(h), we examined hair cells from mice deficient in
Hcn1, 2, or both. I(h) was completely abolished in hair cells of Hcn1-/- mice and
Hcn1/2-/- mice but was similar to wild-type in Hcn2-/- mice. To examine the
functional contributions of I(h), we recorded hair cell membrane responses to
small hyperpolarizing current steps and found that activation of I(h) evoked a 5
10 mV sag depolarization and a subsequent 15-20 mV rebound upon termination. The
sag and rebound were nearly abolished in Hcn1-deficient hair cells. We also found
that Hcn1-deficient mice had deficits in vestibular-evoked potentials and balance
assays. We conclude that HCN1 contributes to vestibular hair cell function and
the sense of balance.
PMID- 22090508
TI - Multimodal quantitative magnetic resonance imaging of thalamic development and
aging across the human lifespan: implications to neurodegeneration in multiple
sclerosis.
AB - The human brain thalami play essential roles in integrating cognitive, sensory,
and motor functions. In multiple sclerosis (MS), quantitative magnetic resonance
imaging (qMRI) measurements of the thalami provide important biomarkers of
disease progression, but late development and aging confound the interpretation
of data collected from patients over a wide age range. Thalamic tissue volume
loss due to natural aging and its interplay with lesion-driven pathology has not
been investigated previously. In this work, we used standardized thalamic
volumetry combined with diffusion tensor imaging, T2 relaxometry, and lesion
mapping on large cohorts of controls (N = 255, age range = 6.2-69.1 years) and MS
patients (N = 109, age range = 20.8-68.5 years) to demonstrate early age- and
lesion-independent thalamic neurodegeneration.
PMID- 22090509
TI - A distinct contribution of short-wavelength-sensitive cones to light-evoked
activity in the mouse pretectal olivary nucleus.
AB - Melanopsin-expressing intrinsically photosensitive retinal ganglion cells
(ipRGCs) combine inputs from outer-retinal rod/cone photoreceptors with their
intrinsic phototransduction machinery to drive a wide range of so-called non
image-forming (NIF) responses to light. Defining the contribution of each
photoreceptor class to evoked responses is vital for determining the degree to
which our sensory capabilities depend on melanopsin and for optimizing NIF
responses to benefit human health. We addressed this problem by recording
electrophysiological responses in the mouse pretectal olivary nucleus (PON) (a
target of ipRGCs and origin of the pupil light reflex) to a range of gradual and
abrupt changes in light intensity. Dim stimuli drove minimal changes in PON
activity, suggesting that rods contribute little under these conditions. To
separate cone from melanopsin influences, we compared responses to short (460 nm)
and longer (600/655 nm) wavelengths in mice carrying a red shifted cone
population (Opn1mw(r)) or lacking melanopsin (Opn4-/-). Our data reveal a
surprising difference in the quality of information available from medium- and
short-wavelength-sensitive cones. The majority cone population (responsive to
600/655 nm) supported only transient changes in firing and responses to
relatively sudden changes in light intensity. In contrast, cones uniquely
sensitive to the shorter wavelength (S-cones) were better able to drive responses
to gradual changes in illuminance, contributed a distinct off inhibition, and at
least partially recapitulated the ability of melanopsin to sustain responses
under continuous illumination. These data reveal a new role for S-cones unrelated
to color vision and suggest renewed consideration of cone contributions to NIF
vision at shorter wavelengths.
PMID- 22090510
TI - 5-HT(3A) receptor-bearing white matter interstitial GABAergic interneurons are
functionally integrated into cortical and subcortical networks.
AB - In addition to axons and surrounding glial cells, the corpus callosum also
contains interstitial neurons that constitute a heterogeneous cell population.
There is growing anatomical evidence that white matter interstitial cells (WMICs)
comprise GABAergic interneurons, but so far there is little functional evidence
regarding their connectivity. The scarcity of these cells has hampered
electrophysiological studies. We overcame this hindrance by taking recourse to
transgenic mice in which distinct WMICs expressed enhanced green fluorescence
protein (EGFP). The neuronal phenotype of the EGFP-labeled WMICs was confirmed by
their NeuN positivity. The GABAergic phenotype could be established based on
vasoactive intestinal peptide and calretinin expression and was further supported
by a firing pattern typical for interneurons. Axons and dendrites of many EGFP
labeled WMICs extended to the cortex, hippocampus, and striatum. Patch-clamp
recordings in acute slices showed that they receive excitatory and inhibitory
input from cortical and subcortical structures. Moreover, paired recordings
revealed that EGFP-labeled WMICs inhibit principal cells of the adjacent cortex,
thus providing unequivocal functional evidence for their GABAergic phenotype and
demonstrating that they are functionally integrated into neuronal networks.
PMID- 22090512
TI - Multiple reference frames in cortical oscillatory activity during tactile
remapping for saccades.
AB - Single-unit recordings have shown that the brain uses multiple reference frames
in spatial processing. The brain could use this neural architecture to implicitly
create multiple modes of representation at the population level, with each
reference frame weighted as a function of task demands. Using
magnetoencephalography, we tested this hypothesis by studying the reference
frames in rhythmic neuronal synchronization--a population measure--during tactile
remapping for saccades. Human subjects fixated either to the left or right of the
body midline, while a tactile stimulus was applied to an invisible fingertip,
located either left or right of fixation. After a variable delay, they looked at
the remembered stimulus location. Results show a transient body-centered,
stimulus-induced gamma-band response (70-90 Hz) in somatosensory areas,
contralateral to the stimulated hand. Concurrently, a gamma-band response
occurred in posterior parietal cortex (PPC), contralateral to the gaze-centered
location of the stimulus, even though the stimulus was not seen. The temporal
overlap of these early representations suggests that there is a fast bottom-up
sensory-induced remapping in PPC, taking into account the relative positions of
eyes and hand. The gaze-centered representation in PPC was sustained in a high
gamma range (85-115 Hz) and increased in power closer to the initiation of the
saccade. Lower-frequency rhythms (alpha, beta) showed body-centered power
modulations in somatosensory areas in anticipation of the stimulus and a mixture
of reference frames in PPC after stimulus presentation. We conclude that
oscillatory activity reflects the time-varying coding of information in body- and
gaze-centered reference frames during tactile remapping for saccades.
PMID- 22090511
TI - Fast-activating voltage- and calcium-dependent potassium (BK) conductance
promotes bursting in pituitary cells: a dynamic clamp study.
AB - The electrical activity pattern of endocrine pituitary cells regulates their
basal secretion level. Rat somatotrophs and lactotrophs exhibit spontaneous
bursting and have high basal levels of hormone secretion, while gonadotrophs
exhibit spontaneous spiking and have low basal hormone secretion. It has been
proposed that the difference in electrical activity between bursting somatotrophs
and spiking gonadotrophs is due to the presence of large conductance potassium
(BK) channels on somatotrophs but not on gonadotrophs. This is one example where
the role of an ion channel type may be clearly established. We demonstrate here
that BK channels indeed promote bursting activity in pituitary cells. Blocking BK
channels in bursting lacto-somatotroph GH4C1 cells changes their firing activity
to spiking, while further adding an artificial BK conductance via dynamic clamp
restores bursting. Importantly, this burst-promoting effect requires a relatively
fast BK activation/deactivation, as predicted by computational models. We also
show that adding a fast-activating BK conductance to spiking gonadotrophs
converts the activity of these cells to bursting. Together, our results suggest
that differences in BK channel expression may underlie the differences in
electrical activity and basal hormone secretion levels among pituitary cell types
and that the rapid rate of BK channel activation is key to its role in burst
promotion.
PMID- 22090513
TI - Stress-activated protein kinase MKK7 regulates axon elongation in the developing
cerebral cortex.
AB - The c-Jun NH(2)-terminal protein kinase (JNK), which belongs to the mitogen
activated protein kinase family, plays important roles in a broad range of
physiological processes. JNK is controlled by two upstream regulators, mitogen
activated protein kinase kinase (MKK) 7 and MKK4. To elucidate the physiological
functions of MKK7, we used Nestin-Cre to generate a novel mouse model in which
the mkk7 gene was specifically deleted in the nervous system (Mkk7(flox/flox)
Nestin-Cre mice). These mice were indistinguishable from their control
littermates in gross appearance during embryogenesis but died immediately after
birth without breathing. Histological examination showed that the mutants had
severe defects in brain development, including enlarged ventricles, reduced
striatum, and minimal axon tracts. Electron microscopy revealed abnormal
accumulations of filamentous structures and autophagic vacuoles in
Mkk7(flox/flox) Nestin-Cre brain. Further analysis showed that MKK7 deletion
decreased numbers of TAG-1-expressing axons and delayed neuronal migration in the
cerebrum. Neuronal differentiation was not altered. In utero electroporation
studies showed that contralateral projection of axons by layer 2/3 neurons was
impaired in the absence of MKK7. Moreover, MKK7 regulated axon elongation in a
cell-autonomous manner in vivo, a finding confirmed in vitro. Finally,
phosphorylation levels of JNK substrates, including c-Jun, neurofilament heavy
chain, microtubule-associated protein 1B, and doublecortin, were reduced in
Mkk7(flox/flox) Nestin-Cre brain. Our findings demonstrate that the phenotype of
Mkk7(flox/flox) Nestin-Cre mice differs substantially from that of
Mkk4(flox/flox) Nestin-Cre mice, and establish that MKK7-mediated regulation of
JNK is uniquely critical for both axon elongation and radial migration in the
developing brain.
PMID- 22090514
TI - Authentically phosphorylated alpha-synuclein at Ser129 accelerates
neurodegeneration in a rat model of familial Parkinson's disease.
AB - Parkinson's disease (PD) is characterized by the loss of dopaminergic neurons in
the substantia nigra (SN) and the appearance of fibrillar aggregates of insoluble
alpha-synuclein (alpha-syn) called Lewy bodies (LBs). Approximately 90% of alpha
syn deposited in LBs is phosphorylated at serine 129 (Ser129). In contrast, only
4% of total alpha-syn is phosphorylated in normal brain, suggesting that
accumulation of Ser129-phosphorylated alpha-syn is involved in the pathogenesis
of PD. However, the role of Ser129 phosphorylation in alpha-syn neurotoxicity
remains unclear. In this study, we coexpressed familial PD-linked A53T alpha-syn
and G-protein-coupled receptor kinase 6 (GRK6) in the rat SN pars compacta using
recombinant adeno-associated virus 2. Coexpression of these proteins yielded
abundant Ser129-phosphorylated alpha-syn and significantly exacerbated
degeneration of dopaminergic neurons when compared with coexpression of A53T
alpha-syn and GFP. Immunohistochemical analysis revealed that Ser129
phosphorylated alpha-syn was preferentially distributed to swollen neurites.
However, biochemical analysis showed that the increased expression of Ser129
phosphorylated alpha-syn did not promote accumulation of detergent-insoluble
alpha-syn. Coexpression of catalytically inactive K215R mutant GRK6 failed to
accelerate A53T alpha-syn-induced degeneration. Furthermore, introducing a
phosphorylation-incompetent mutation, S129A, into A53T alpha-syn did not alter
the pace of degeneration, even when GRK6 was coexpressed. Our study demonstrates
that authentically Ser129-phosphorylated alpha-syn accelerates A53T alpha-syn
neurotoxicity without the formation of detergent-insoluble alpha-syn, and
suggests that the degenerative process could be constrained by inhibiting the
kinase that phosphorylates alpha-syn at Ser129.
PMID- 22090515
TI - Collaborations in population-based health research: the 17th annual HMO Research
Network Conference, March 23-25, 2011, Boston, Massachusetts, USA.
AB - The HMO Research Network (HMORN) is a consortium of 16 health care systems with
integrated research centers. Approximately 475 people participated in its 17(th)
annual conference, hosted by the Department of Population Medicine, Harvard
Pilgrim Health Care Institute and Harvard Medical School. The theme,
"Collaborations in Population-Based Health Research," reflected the network's
emphasis on collaborative studies both among its members and with external
investigators. Plenary talks highlighted the initial phase of the HMORN's work to
establish the NIH-HMO Collaboratory, opportunities for public health
collaborations, the work of early career investigators, and the state of the
network. Platform and poster presentations showcased a broad spectrum of
innovative public domain research in areas including disease epidemiology and
treatment, health economics, and information technology. Special interest group
sessions and ancillary meetings provided venues for informal conversation and
structured work among ongoing groups, including networks in cancer,
cardiovascular diseases, lung diseases, medical product safety, and mental
health.
PMID- 22090660
TI - Bleeding complications with the P2Y12 receptor antagonists clopidogrel and
ticagrelor in the PLATelet inhibition and patient Outcomes (PLATO) trial.
AB - AIMS More intense platelet-directed therapy for acute coronary syndrome (ACS) may
increase bleeding risk. The aim of the current analysis was to determine the
rate, clinical impact, and predictors of major and fatal bleeding complications
in the PLATO study. METHODS AND RESULTS PLATO was a randomized, double-blind,
active control international, phase 3 clinical trial in patients with acute ST
elevation and non-ST-segment elevation ACS. A total of 18 624 patients were
randomized to either ticagrelor, a non-thienopyridine, reversibly binding
platelet P2Y(12) receptor antagonist, or clopidogrel in addition to aspirin.
Patients randomized to ticagrelor and clopidogrel had similar rates of PLATO
major bleeding (11.6 vs. 11.2%; P = 0.43), TIMI major bleeding (7.9 vs. 7.7%, P =
0.56) and GUSTO severe bleeding (2.9 vs. 3.1%, P = 0.22). Procedure-related
bleeding rates were also similar. Non-CABG major bleeding (4.5 vs. 3.8%, P =
0.02) and non-procedure-related major bleeding (3.1 vs. 2.3%, P = 0.05) were more
common in ticagrelor-treated patients, primarily after 30 days on treatment.
Fatal bleeding and transfusion rates did not differ between groups. There were no
significant interactions for major bleeding or combined minor plus major bleeding
between treatment groups and age >=75 years, weight <60 kg, region, chronic
kidney disease, creatinine clearance <60 mL/min, aspirin dose >325 mg on the day
of randomization, pre-randomization clopidogrel administration, or clopidogrel
loading dose. CONCLUSION Ticagrelor compared with clopidogrel was associated with
similar total major bleeding but increased non-CABG and non-procedure-related
major bleeding, primarily after 30 days on study drug treatment. Fatal bleeding
was low and did not differ between groups.
PMID- 22090661
TI - Aspirin for primary prevention of vascular events in women: individualized
prediction of treatment effects.
AB - AIMS To identify women who benefit from aspirin 100 mg on alternate days for
primary prevention of vascular events by using treatment effect prediction based
on individual patient characteristics. METHODS AND RESULTS Randomized controlled
trial data from the Women's Health Study were used to predict treatment effects
for individual women in terms of absolute risk reduction for major cardiovascular
events (i.e. myocardial infarction, stroke, or cardiovascular death). Predictions
were based on existing risk scores, i.e. Framingham (FRS), and Reynolds (RRS),
and on a newly developed prediction model. The net benefit of different aspirin
treatment-strategies was compared: (i) treat no one, (ii) treat everyone, (iii)
treatment according to the current guidelines (i.e. selective treatment of women
>65 years of age or having >10% FRS), and (iv) prediction-based treatment (i.e.
selective treatment of patients whose predicted treatment effect exceeds a given
decision threshold). The predicted reduction in 10-year absolute risk for major
cardiovascular events was <1% in 97.8% of 27 939 study subjects when based on the
refitted FRS, in 97.0% when based on the refitted RRS, and in 90.0% when based on
the newly developed model. Of the treatment strategies considered, only
prediction-based treatment using the newly developed model and selective
treatment of women >65 years of age yielded more net benefit than treating no
one, provided that the 10-year number-willing-to-treat (NWT) to prevent one
cardiovascular event was above 50. CONCLUSION Aspirin was ineffective or even
harmful in the majority of patients. Age was positively related to treatment
effect, whereas current smoking and baseline risk for cardiovascular events were
not. When the NWT is 50 or lower, the aspirin treatment strategy that is
associated with optimal net benefit in primary prevention of vascular events in
women is to treat none.
PMID- 22090662
TI - Cyclicality, Mortality, and the Value of Time: The Case of Coffee Price
Fluctuations and Child Survival in Colombia.
AB - Recent studies demonstrate procyclical mortality in wealthy countries, but there
are reasons to expect a countercyclical relationship in developing nations. We
investigate how child survival in Colombia responds to fluctuations in world
Arabica coffee prices - and document starkly procyclical child deaths. In
studying this result's behavioral underpinnings, we highlight that: (1) The
leading determinants of child health are inexpensive but require considerable
time, and (2) As the value of time declines with falling coffee prices, so does
the relative price of health. We find a variety of direct evidence consistent
with the primacy of time in child health production.
PMID- 22090663
TI - MAOS ls for the general synthesis and lead optimization of 3,6-disubstituted
[1,2,4]triazolo[4,3-b]pyridazines.
AB - General, high-yielding MAOS protocols for the expedient synthesis of
functionalized 3,6-disubstituted-[1,2,4]triazolo[4,3-b]pyridazines are described
amenable to an iterative analog library synthesis strategy for the lead
optimization of an M1 antagonist screening hit. Optimized compounds proved to be
highly selective M1 antagonists.
PMID- 22090664
TI - Mental Healthcare Disparities Disparities Affect Treatment of Black Adolescents.
PMID- 22090665
TI - Generalized Degrees of Freedom and Adaptive Model Selection in Linear Mixed
Effects Models.
AB - Linear mixed-effects models involve fixed effects, random effects and covariance
structure, which require model selection to simplify a model and to enhance its
interpretability and predictability. In this article, we develop, in the context
of linear mixed-effects models, the generalized degrees of freedom and an
adaptive model selection procedure defined by a data-driven model complexity
penalty. Numerically, the procedure performs well against its competitors not
only in selecting fixed effects but in selecting random effects and covariance
structure as well. Theoretically, asymptotic optimality of the proposed
methodology is established over a class of information criteria. The proposed
methodology is applied to the BioCycle study, to determine predictors of hormone
levels among premenopausal women and to assess variation in hormone levels both
between and within women across the menstrual cycle.
PMID- 22090666
TI - An Evolution of Communication Modalities: Very Young Cochlear Implant Users who
Transitioned from Sign to Speech During the First Years of Use.
AB - The communication modalities used, and the articulation and aural-only receptive
vocabulary skills were investigated in 19 prelingually profoundly deaf infants
who initially utilized Total Communication and who were implanted between the
ages of 12 and 29m. Results revealed the children overwhelmingly tended to use
voice only modality for an expressive task. Additionally articulation and
receptive vocabulary skills approached those of normal hearing peers.
Implications of these findings and suggestions for future studies are provided.
PMID- 22090667
TI - Indians can do better at improving child survival.
PMID- 22090668
TI - Social audit in health sector planning and program implementation in India.
PMID- 22090669
TI - Public health education in India: need and demand paradox.
PMID- 22090670
TI - Relationship of Psychosocial Risk Factors, Certain Personality Traits and
Myocardial Infarction in Indians: A Case-control Study.
AB - OBJECTIVE: To investigate the relationship of psychosocial factors (lack of
social support, stress and subjective well-being) and personality traits with
myocardial infarction (MI). MATERIALS AND METHODS: A case-control study involving
100 cases and 100 matched controls was conducted in Lok Nayak Hospital, New
Delhi. RESULTS: Stress over 1 year was significantly higher in cases (P < 0.001).
However, difference was not significant when scores of social support (P = 0.2),
Presumptive Stressful Life Event (PSLE) over lifetime (P = 0.058) and subjective
well-being (P = 0.987) were compared. MI was significantly associated with
hyperactive (P < 0.001), dominant (P = 0.03), egoistic (P < 0.001) and introvert
(P < 0.001) personalities. CONCLUSION: Certain personality traits and recent
stress may be important risk factors of MI, especially in Indians. The finding
may have implications on the preventive strategies planned for MI patients.
PMID- 22090671
TI - A Study of the Swine Flu (H1N1) Epidemic Among Health Care Providers of a Medical
College Hospital of Delhi.
AB - BACKGROUND: Influenza viruses cause annual epidemics and occasional pandemics
that have claimed the lives of millions. Understanding the role of specific
perceptions in motivating people to engage in precautionary behavior may help
health communicators to improve their messages about outbreaks of new infectious
disease generally and swine flu specifically. OBJECTIVES: To study the knowledge
and practices of health care providers regarding swine flu and to study the
attitudes and practices of health care providers toward the prevention of the
swine flu epidemic. MATERIALS AND METHODS: The present study was a cross
sectional (descriptive) study and was conducted in the month of September, 2009,
among doctors and nurses. A maximum of 40% of the total health care providers of
GTB Hospital were covered because of feasibility and logistics, and, therefore,
the sample size was 334. RESULTS: Around 75% of the health care providers were
aware about the symptoms of swine flu. Mostly, all study subjects were aware that
it is transmitted through droplet infection. Correct knowledge of the incubation
period of swine flu was known to 80% of the doctors and 69% of the nurses.
Knowledge about high-risk groups (contacts, travelers, health care providers) was
observed among 88% of the doctors and 78.8% of the nurses. Practice of wearing
mask during duty hours was observed among 82.6% of doctors and 85% of nurses,
whereas of the total study population, only 40% were correctly using mask during
duty hours. CONCLUSIONS: Significant gaps observed between knowledge and actual
practice of the Health Care Provider regarding swine flu need to be filled by
appropriate training. Data indicate that the health care providers are very
intellectual, but they do not themselves practice what they preach.
PMID- 22090672
TI - Non-pharmacological Interventions in Hypertension: A Community-based Cross-over
Randomized Controlled Trial.
AB - BACKGROUND: Hypertension is the most prevalent non-communicable disease causing
significant morbidity/mortality through cardiovascular, cerebrovascular, and
renal complications. OBJECTIVES: This community-based study tested the efficacy
of non-pharmacological interventions in preventing/controlling hypertension.
MATERIALS AND METHODS: This is a cross-over randomized controlled trial (RCT) of
the earlier RCT (2007) of non-pharmacological interventions in hypertension,
conducted in the urban service area of our Institute. The subjects,
prehypertensive and hypertensive young adults (98 subjects: 25, 23, 25, 25 in
four groups) were randomly allotted into a group that he/she had not belonged to
in the earlier RCT: Control (New Group I), Physical Exercise (NG II)-brisk
walking for 50 to 60 minutes, three to four days/week, Salt Intake Reduction (NG
III) to at least half of their previous intake, Yoga (NG IV) for 30 to 45
minutes/day, five days/week. Blood pressure was measured before and after eight
weeks of intervention. Analysis was by ANOVA with a Games-Howell post hoc test.
RESULTS: Ninety-four participants (25, 23, 21, 25) completed the study. All three
intervention groups showed significant reduction in BP (SBP/DBP mmHg: 5.3/6.0 in
NG II, 2.5/2.0 in NG III, and 2.3/2.4 in NG IV, respectively), while the Control
Group showed no significant difference. Persistence of significant reduction in
BP in the three intervention groups after cross-over confirmed the biological
plausibility of these non-pharmacological interventions. This study reconfirmed
that physical exercise was more effective than Salt Reduction or Yoga. Salt
Reduction, and Yoga were equally effective. CONCLUSION: Physical exercise, salt
intake reduction, and yoga are effective non-pharmacological methods for reducing
blood pressure in young pre-hypertensive and hypertensive adults.
PMID- 22090673
TI - A study on consciousness of adolescent girls about their body image.
AB - BACKGROUND: Perceived body image is an important potential predictor of
nutritional status. Body image misconception during adolescence is unexplored
field in Indian girls. OBJECTIVES: To study the consciousness of adolescent girls
about their body image. MATERIALS AND METHODS: This multistage observational
study was conducted on 586 adolescent girls of age 10-19 years in Lucknow
district (151 from rural, 150 from slum, and 286 from urban area) of Uttar
Pradesh, India. Information on desired and actual body size was collected with
the help of predesigned questionnaire. RESULTS: 20.5% of studied girls show
aspiration to become thin, who already perceived their body image as too thin.
73.4% adolescent girls were satisfied with their body image, while 26.6% were
dissatisfied. The dissatisfaction was higher among girls of urban (30.2%) and
slum (40.0%) areas in comparison to rural (22.5%) area. Percentage of satisfied
girls was less in the 13-15 years (69.9%) age groups in comparison to 10-12 years
(76.5%) and 16-19 years (76.4%). Among girls satisfied with their body image,
32.8% girls were found underweight, and 38.4% were stunted. Underweight girls
(42.1%) and stunted girls (64.9%) were higher in number within satisfied girls of
slum area. Among all of these adolescent girls, 32.8% of girls had overestimated
their weight, while only 4.9% of girls had underestimated their weight.
CONCLUSIONS: This study concludes that desire to become thin is higher in
adolescent girls, even in those who already perceived their body image as too
thin.
PMID- 22090674
TI - Quality of life and its determinants in people living with human immunodeficiency
virus infection in puducherry, India.
AB - CONTEXT: With anti-retroviral therapy (ART) for human immunodeficiency virus
infection (HIV) coming into picture, quality of life (QOL) has gained importance.
Knowledge on the factors affecting QOL would be helpful in making important
policy decisions and health care interventions. AIMS: The aim of this study is to
assess the quality of life of people living with HIV (PLWH) and to identify the
factors influencing their QOL. MATERIALS AND METHODS: The study was done among
200 PLWH attending a tertiary care hospital, and three Non Governmental
Organizations at Puducherry, India, from November 2005 to May 2007. QOL was
assessed using HIV specific World Health Organization Quality Of Life scale
(WHOQOL-HIV) - BREF questionnaire which has six domains (physical, psychological,
level of independence, social relationships, environment and
spirituality/religiousness/personal belief). Social support and stigma were
measured using "Multidimensional Scale of Perceived Social Support" and "HIV
Stigma Scale," respectively, using Likert Scale. Factors influencing QOL were
identified using backward stepwise multiple linear regression with the six domain
scores as the dependent variables. RESULTS: MALE: Female ratio was 1:1 and 58%
were in early stage of the disease (stage I/II). Psychological and SRPB
(Spirituality Religiousness and Personal Beliefs) domains were the most affected
domains. All the regression models were statistically significant (P<0.05). The
determination coefficient was highest for the social relationship domain (57%)
followed by the psychological domain (51%). Disease stage and perceived social
support significantly influenced all the domains of WHOQOL. Younger age, female
gender, rural background, shorter duration of HIV, non-intake of ART and greater
HIV related stigma were the high risk factors of poor QOL. CONCLUSION:
Interventions such as ART, family, vocational and peer counseling would address
these modifiable factors influencing QOL, thereby improving the QOL of PLWH.
PMID- 22090675
TI - Association of blindness and hearing impairment with mortality in a cohort of
elderly persons in a rural area.
AB - BACKGROUND: Studies in developed nations have reported an association of
blindness and hearing impairment with mortality in elderly persons. OBJECTIVES:
To study the association of blindness and hearing impairment with mortality in a
cohort of elderly persons in rural north India. MATERIALS AND METHODS: This
community-based prospective study was conducted in eleven randomly selected
villages, in Ballabgarh block, Haryana. A cohort of 1422 participants, of age 60
years and above, was examined at baseline, for their visual and hearing status.
Data on the sociodemographic factors, various comorbidities, activities of daily
living, and self-rated health were recorded. Baseline data was collected for the
period May 2008 to August 2008. Follow-up data collection for mortality was
completed in December 2009. The median follow-up period was 518 days. RESULTS:
One hundred out of 1422 elderly (7.0%) participants died during the follow-up
period. Significant hazard ratios were found after adjustment for various
comorbid conditions. On adjustment for sociodemographic factors (age, sex, and
literacy), neither blindness nor hearing impairment was found to be significantly
associated with mortality. After adjustment for all covariates in the study,
hearing impairment (Hazard Ratio = 2.13; 95% CI, 1.29 - 3.54) was found to be
significantly associated with mortality in the age group >=70 years. CONCLUSIONS:
This study demonstrated that hearing impairment was an independent risk factor
for mortality in people aged >=70 years. Similar studies with a longer period of
follow-up are required in India, to guide public health interventions.
PMID- 22090676
TI - Maternal Deaths in a Tertiary Health Care Centre of Odisha: An In-depth Study
Supplemented by Verbal Autopsy.
AB - BACKGROUND: Maternal mortality is a reflection of the care given to women by its
society. It is tragic that deaths occur during the natural process of child birth
and most of them are preventable. OBJECTIVES: The present study was undertaken to
find out the causes and contributing factors of maternal deaths. MATERIALS AND
METHODS: All maternal deaths occurring in a year in the medical college and
hospital were traced and interviews were taken from the relatives as well as the
health care providers who were present at the time of death of the woman.
RESULTS: Out of the total maternal deaths, 72% belonged to 20-30 yrs age group,
also 46.5% were illiterate, and majority deaths (60.5%) were from low socio
economics status. Direct causes were responsible for 76.7% of maternal deaths.
Hypertensive disorders of pregnancy were most common (32.6%) cause of direct
deaths, while malaria (9.3%) and anemia (7%) were most common indirect causes.
Most of the women had to use their own resources to travel to health care
facilities. Delays at different levels, often in combination, contributed to the
maternal deaths. CONCLUSIONS: The study will serve as an eye-opener to the
bottlenecks present in the community as well as in the health facility so as to
take appropriate measures to prevent maternal deaths.
PMID- 22090677
TI - Hand hygiene compliance in the intensive care units of a tertiary care hospital.
AB - CONTEXT: Hand hygiene (HH) is the most important measure to prevent hospital
acquired infections but the compliance is still low. AIMS: To assess the
compliance, identify factors influencing compliance and to study the knowledge,
attitude and perceptions associated with HH among health care workers (HCW).
SETTINGS AND DESIGN: Cross-sectional study conducted in 42 bedded Medical
(Pulmonary, Medicine and Stroke) intensive care units (ICU) of a tertiary care
hospital. MATERIALS AND METHODS: HCWs (doctors and nurses) were observed during
routine patient care by observers posted in each ICU and their HH compliance was
noted. Thereafter, questionnaire regarding knowledge, perception and attitudes
toward HH was filled by each HCW. STATISTICAL ANALYSIS: Percentages and chi(2)
test. RESULTS: The overall compliance was 43.2% (394/911 opportunities). It was
68.9% (31/45) in the intensivists, 56.3% (18/32) in attending physicians, 40.0%
(28/70) in the postgraduate residents and 41.3% (301/728) in the nurses.
Compliance was inversely related to activity index. Compliance for high, medium
and low risk of cross-transmission was 38.8% (67/170), 43.8% (175/401) and 44.7%
(152/340), respectively. CONCLUSIONS: Compliance of the study group is affected
by the activity index (number of opportunities they come across per hour) and
professional status. The HCWs listed less knowledge, lack of motivation,
increased workload as some of the factors influencing HH.
PMID- 22090678
TI - Domestic Violence against Nurses by their Marital Partners: A Facility-based
Study at a Tertiary Care Hospital.
AB - BACKGROUND: In recent times, domestic violence against women by marital partners
has emerged as an important public health problem. OBJECTIVES: 1. To determine
the prevalence, characteristics and impact of domestic violence against nurses by
their marital partners, in Delhi, India. 2. To identify nurses' perceptions
regarding acceptable behavior for men and women. MATERIALS AND METHODS: A
facility-based pilot study was conducted at All India Institute of Medical
Sciences (AIIMS), New Delhi. Data were collected using self-administered
standardized questionnaire, among 60 ever married female nurses working at AIIMS
hospital, selected by convenience sampling. The principal outcome variables were
controlling behavior, emotional, physical and sexual violence by marital
partners. Data were analyzed using SPSS 12 software. The test applied was
Fisher's exact test and 1-sided Fisher's exact test. RESULTS: Sixty percent of
nurses reported marital partner perpetrated controlling behavior, 65% reported
emotional violence, 43.3% reported physical violence and 30% reported sexual
violence. About 3/5(th) of nurses (58%) opined that no reason justified violence,
except wife infidelity (31.67%). Of the physically or sexually abused
respondents, 40% were ever injured, and 56.7% reported that violence affected
their physical and mental health. CONCLUSION: There is a high magnitude of
domestic violence against nurses and this is reported to have affected their
physical and mental health.
PMID- 22090679
TI - Coinfection of two age old diseases.
PMID- 22090680
TI - Postpartum Blue is Common in Socially and Economically Insecure Mothers.
PMID- 22090681
TI - Adverse drug events monitoring of live attenuated pandemic influenza vaccine.
PMID- 22090682
TI - Modular teaching: an alternative to routine teaching method for undergraduate
medical students.
PMID- 22090683
TI - Work stress in first trimester causes low birth weight baby.
PMID- 22090684
TI - Estimating catastrophic health expenditures: need for improved methodology and
interpretation.
PMID- 22090685
TI - Adolescent Tobacco Use and Role Model Influence: Interpreting it Right!
PMID- 22090686
TI - One Year of Experience with H1N1 Infection: Clinical Observations from a Tertiary
Care Hospital in Northern India.
PMID- 22090687
TI - Intraoperative neurocytology of primary central nervous system neoplasia: A
simplified and practical diagnostic approach.
AB - Intraoperative consultations may pose considerable diagnostic challenge to the
neuropathologist in diagnosing primary and metastatic neoplasms of the central
nervous system (CNS). Cytological preparations in the form of squash, touch,
imprint or smears are few of the available modalities in addition to the frozen
section (FS). Although the latter is superior in providing both histologic
patterns and cytomorphologic details yet smears are of vital importance when
tissue available is limited (stereotactic biopsy), scrutinisation of
intercellular matrix (astrocytoma versus oligodendroglioma) and evaluation of
discohesive cells (lymphoma, pituitary adenoma) and in inflammatory lesions. This
review is intended to emphasize the value, applicability and limitations of
neurocytology aiming to expedite the intraoperative smear-based diagnoses of CNS
neoplasia as per the World Health Organization (WHO) classification. We recommend
that whenever possible, both smears and FS should be examined concomitantly and
in a correlative manner. In the unlikely event of a mismatch between the findings
on smear and FS, intraoperative diagnosis is primarily based on FS, if adequate
tissue is available. However, each case must be evaluated on its own merit and in
difficult cases relevant differential diagnoses should be offered to facilitate
surgical decisions and optimally triage patient management.
PMID- 22090688
TI - Defining the validity of classical and non-classical cellular changes indicative
of low-grade squamous intraepithelial lesion encompassing human papillomavirus
infection in relation to human papillomavirus deoxyribonucleic acid testing.
AB - BACKGROUND: Human papillomavirus (HPV) infection as of now has been beyond doubt
to be the causative agent for cervical carcinoma. Its morphological
identification in Pap smear is important. AIM: To define the validity of
classical and non-classical cellular changes indicative of low-grade squamous
intraepithelial lesion (SIL) encompassing HPV infection in relation to positivity
for 'high risk' HPV16 as well as for 'low risk' HPV6/11. MATERIALS AND METHODS: A
total of 3000 Papanicolaou smears were screened, of which 150 were reported as
low grade-SIL encompassing HPV infection (LSIL-HPV). Subsequently cervical
scrapes from these 150 subjects, along with equal number of normal women as
controls, were collected and processed for HPV deoxy-ribonucleic acid testing by
polymerase chain reaction (PCR). RESULTS: ON THE BASIS OF CYTOMORPHOLOGICAL
CHARACTERISTICS IN PAP SMEARS, HPV INFECTION WERE CATEGORIZED INTO THE FOLLOWING
TWO GROUPS: Classical (koilocytic) changes (CC) encountered in 30 women and non
classical changes (NCC) encountered in 120 women. It was observed that 21 (70%)
CC and 46 (38.3%) NCC of HPV infection were positive for HR-HPV16; however only
12 cases (10%) of NCC and two cases (6.6%) of CC were positive for LR-HPV 6/11.
Majority (41.7%) of HPV positive cases were reported in the age group of 25 to 30
years and HPV positivity decreased with the increasing age. CONCLUSION: Classical
cellular changes are not the only diagnostic features for HPV infection in Pap
smear, non-classical diagnostic features also support the diagnosis of HPV
infection and may be positive for HR-HPV16.
PMID- 22090689
TI - Efficacy of oral brush cytology in the evaluation of the oral premalignant and
malignant lesions.
AB - OBJECTIVE: In the present study, oral brush cytology of premalignant and
malignant lesions was performed using tooth brush. The cytopathological diagnosis
of brush cytology was compared with that of punch biopsy. The reliability of oral
brush cytology using tooth brush was assessed in terms of sensitivity and
specificity. MATERIALS AND METHODS: A total of 67 patients, 32 premalignant
lesions and other 35 frank oral carcinomas, were included in the study. All
patients underwent oral brush cytology using a toothbrush followed by punch
biopsy. Sensitivity, specificity, positive and negative predictive values were
calculated. Cytopathology and histopathology of premalignant and malignant
lesions were compared using Mann-Whitney U test. Inter- and intra-examiner
reliability was calculated using Rank-correlation coefficient. RESULTS: Two in
premalignant group and five in malignant group were marked insufficient. 18% of
cases were false negatives. The sensitivity, specificity, positive predictive
value and negative predictive value were 77%, 100%, 100% and 38%, respectively.
Statistical analysis showed P>0.05, suggesting that there is no significant
difference between histopathology and brush cytology in assessing both
premalignant and malignant lesions. Inter- and intra-examiner reliability were
99.22% and 99.77%, respectively. CONCLUSION: Brush cytology using a tooth brush
is reliable and can be easily performed with less cost and discomfort to the
patient. It is useful in those situations when a patient refuses to have a biopsy
performed or when medically compromised patients would be exposed to unnecessary
surgical risks. It can be used for screening for suspicious oral lesions, and may
have applications in resource-challenged areas.
PMID- 22090690
TI - Cytological pattern of cervical Papanicolaou smear in eastern region of Saudi
Arabia.
AB - BACKGROUND: Cancer of the cervix has been considered as one of the preventable
cancers. This study is the first published research addressing the screening of
cancer of the cervix in the eastern region of Saudi Arabia. AIM: This study aims
to detect the prevalence of abnormal epithelial changes and its types in the
eastern region of Saudi Arabia. SETTINGS AND STUDY DESIGN: A retrospective study
was designed to evaluate all previously conducted cervical smears examined at a
secondary care maternity hospital in Saudi Arabia, during the period from 2003 to
2010. During this period, a total of 1171 smears were reported. MATERIALS AND
METHODS: We analyzed the records of all patients who had undergone Papanicolaou
(Pap) smear during this period. After data collection, all cases were recorded as
per Bethesda nomenclature. RESULTS: A total of 624 (53.3%) abnormal Pap smears
were found, with only 58 cases reported to have epithelial pathological diagnosis
(SIL). They represented 4.95% of total taken smears. A majority of the SIL
diagnoses in our population were ASCUS, representing 60% of SIL cases. The
prevalence of squamous cervical carcinoma was 0.34%. CONCLUSION: The study has
shown a relatively high prevalence of epithelial abnormalities in cervical smears
in the studied population. The squamous cell carcinoma represented a higher than
the overall prevalence compared to World Health Organization (WHO) factsheets
about Saudi Arabia. The mean age of epithelial abnormalities and squamous cell
carcinoma was in the reproductive years.
PMID- 22090692
TI - Erratum: ERRATUM.
AB - [This corrects the article on p. 88 in vol. 28, PMID: 21713156.].
PMID- 22090691
TI - Value of ultrasonography-guided fine needle aspiration cytology in the
investigative sequence of hepatic lesions with an emphasis on hepatocellular
carcinoma.
AB - BACKGROUND: The evaluation and management of various hepatic lesions is a common
clinical problem and their appropriate clinical management depends on accurate
diagnoses. AIMS: To study the cytomorphological features of distinctive non
neoplastic and neoplastic lesions of the liver and to evaluate the sensitivity,
specificity and diagnostic accuracy of ultrasonography (USG)-guided fine needle
aspiration cytology (FNAC) in the diagnosis of liver diseases. MATERIALS AND
METHODS: Seventy-two patients with evidence of liver diseases underwent USG
guided, percutaneous FNAC. Cytomorphological diagnoses were correlated with
clinical, biochemical and radiological findings, histopathological diagnoses and
follow-up information. RESULTS: The age of the patients ranged from eight months
to 90 years with 48 males (66.67%) and 24 females (33.33%). Of the 72 cases, the
cytological diagnosis was rendered in 71 patients and smears were inadequate for
interpretation in one case. Neoplastic lesions (68.06%) were more common than non
neoplastic lesions (30.56%). The majority of the neoplastic lesions were
hepatocellular carcinomas (36.12%) followed by metastatic adenocarcinomas
(19.45%). Among non-neoplastic lesions, cirrhosis was the commonest lesion
(8.34%). The overall diagnostic accuracy of FNAC was 97.82% with a sensitivity
and specificity of 96.87 and 100% respectively. CONCLUSION: USG-guided FNAC of
the liver is a safe, simple, cost-effective and accurate method for cytological
diagnosis of hepatic diffuse, focal/nodular and cystic lesions with good
sensitivity and specificity.
PMID- 22090693
TI - Investigating the value of fine needle aspiration cytology in thyroid cancer.
AB - BACKGROUND: Current guidance recommends the use of fine needle aspiration
cytology (FNAC) as an essential investigation in patients presenting with a
thyroid lump. Current literature suggests that the sensitivity of FNAC in thyroid
nodules ranges between 80-90%. However, only very few studies have looked
specifically at the sensitivity of FNAC in solely thyroid cancer patients. AIMS:
The aim of our study was to investigate the value of FNAC as a first-line
investigation in patients with thyroid cancer. We aimed specifically to assess
the sensitivity of FNAC within this group. MATERIALS AND METHODS: Patients
diagnosed with thyroid cancer between 2000-08 were identified from a local
histopathology database. Sixty-seven case notes were retrieved, retrospectively
reviewed and analyzed. Analysis included results of FNAC, ultrasound scanning and
final histopathological diagnosis. RESULTS: Analysis of the 56 patients who
underwent FNAC revealed that a cytological grading of thy3 or greater was only
given to 31 cases (55.3%). CONCLUSION: In this study, FNAC findings of thy3 or
greater were reported only in 55.3% of proven thyroid cancer cases. This study
highlights the greater diagnostic difficulties of thyroid cancer compared to
other thyroid nodules. Our findings suggest that clinicians must interpret the
results of this initial investigation with caution and consider the routine use
of ultrasound scanning to help guide FNAC.
PMID- 22090694
TI - Role of argyrophilic nucleolar organizer region staining in identification of
malignant cells in effusion.
AB - BACKGROUND: Cytological examination of effusions helps to differentiate between
benign and malignant effusions, but fails a definitive diagnosis in a number of
cases. The main problem here is to distinguish reactive mesothelial cells from
neoplastic cells. AIM: In the present study, we used argyrophilic nucleolar
organizer region (AgNOR) staining for diagnosis of benign and malignant
effusions. MATERIALS AND METHODS: In this study, 100 cases of effusion samples
were taken and centrifuged. Four smears were prepared from sediment, one each for
Leishman, hematoxylin and eosin (H and E) staining and two for AgNOR staining.
AgNORs were counted as black dots in the nuclei of all abnormal cells using *100
oil immersion objective. Their dispersion and shape was compared in benign,
malignant and atypical cases. RESULTS: Out of 50 pleural effusion samples, 29
were benign, 10 were malignant and 11 atypical. Among the 47 peritoneal effusion
samples, 27 were benign, 16 were malignant and 4 atypical. Out of three cases of
pericardial effusion samples, one was benign and two were malignant. All
effusions were subjected to AgNOR staining. The benign group consisted of cells
showing 1 to 2 dots of regular size and shape. In malignant group, 3 to 5 dots of
variable size, shape and irregular contours were observed per cell. In atypical
group, the reactive mesothelial cells showed 1 to 2 dots, malignant cells showed
3 to 4 irregular dots. Thus, a differentiation was noted between activated
mesothelial cells and malignant cells, which was not possible in Leishman and H
and E-stained smears alone. CONCLUSION: Therefore, AgNOR can be branded as an
extremely useful additional diagnostic tool for cytodiagnosis of effusions.
PMID- 22090695
TI - A cytomorphological study of secretions in breast cancer.
AB - BACKGROUND: Secretions are seen in a range of breast cancer that includes
invasive ductal carcinoma, mucinous carcinoma and secretory carcinoma. Evaluation
of the quantity and location of secretions and the contours of the cell clusters
complement cell morphology could improve diagnostic cytopathological criteria.
AIM: To identify the range of breast carcinomas with secretions on fine-needle
aspiration. MATERIALS AND METHODS: A retrospective study of 160 patients with
breast carcinoma was carried out. The tumors were typed by evaluating the
quantity and location of secretions, cellularity and nuclear grade. RESULTS:
Secretions were seen in 16 of 160 breast carcinomas. Eleven were invasive ductal
carcinoma (IDC), three were mucinous and two were secretory carcinomas. In IDC,
minimal intracytoplasmic secretions were seen in 10, nuclear grades of 2 and 3 in
9, cell clusters with irregular margins in 6, and necrosis in 4. All mucinous and
secretory carcinomas were nuclear grade 1. Extensive extracellular secretions and
cell clusters with rounded contours were seen in mucinous carcinomas. In
secretory carcinomas, the secretions were predominantly intracellular; stringy
vasculature was a unique feature. CONCLUSION: Secretions in breast cancer are
seen in a range of lesions that include IDC, mucinous, and secretory carcinomas.
The quantity and location of secretions in breast cancer offer clues to
differentiating these.
PMID- 22090696
TI - Cytodiagnosis of intracranial metastatic adenoid cystic carcinoma: Spread from a
primary tumor in the lacrimal gland.
AB - Adenoid cystic carcinoma (ACC) of the lacrimal gland is a rarely encountered
orbital tumor. It invades intracranially more frequently than carcinomas of other
glands in the head and neck. A 61-year-old man underwent right orbital
exenteration for a tumor in the supraorbital region. He had lost all records and
presented to us with a diffuse swelling in the right forehead, six years later.
Fine-needle aspiration cytology of the right frontal swelling revealed
monomorphic population of small, slightly atypical cells, arranged in
multilayered groups with abundant fine intercellular metachromatic substance
between cell groups. There were hyaline globules of varying size with attached
tumor cells. Cytological diagnosis of metastatic intracranial ACC with a lacrimal
gland primary was suggested. Biopsy of the swelling confirmed our cytological
diagnosis of ACC with perineural, vascular and lymphatic invasion. Thus, the
authors report this case of intracranial metastasis of ACC of the lacrimal gland
to remind neurosurgeons planning intervention that this disease shows a tendency
to invade intracranially.
PMID- 22090697
TI - Basal cell adenocarcinoma of submandibular salivary gland-problems in cytologic
diagnosis.
AB - Basal-cell adenoma and basal-cell adenocarcinoma of the salivary gland are rare
tumors. Fine-needle aspiration cytology of these tumors, particularly those of
basal-cell adenocarcinoma, has rarely been described in the literature. In this
report, we describe the clinical, cytomorphologic and histopathologic features of
basal cell adenocarcinoma in a 48-year-old male patient. Fine-needle aspiration
specimen showed abundant cohesive groups of basaloid cells revealing focal
anisonucleosis and nuclear atypia. The tumor cells also showed rosette-like
arrangement around central eosinophilic globule. Pertinent literature is reviewed
and differential diagnosis are discussed.
PMID- 22090698
TI - Intraoperative scrape cytology: Adult granulosa cell tumor of ovary.
AB - Adult granulosa cell tumor is often a hormonally active stromal cell neoplasm of
the ovary with malignant potential. Intra-operative pathological assessment is a
valuable tool in guiding optimal surgical treatment in patients. Of the various
intra-operative cytological diagnostic modalities, scrape smear cytology is an
effective, economical, simple, fast and reliable method with results comparable
with frozen section diagnosis. We describe a case of adult granulosa cell tumor
in a 30-years-old lady diagnosed on intra-operative scrape cytology, and further
reconfirmed on frozen section and histopathology.
PMID- 22090699
TI - Fine needle aspiration cytology of Hashimoto's thyroiditis - A diagnostic pitfall
with review of literature.
AB - Hashimoto's thyroiditis is the second most common thyroid lesion next to goiter
diagnosed on fine needle aspiration cytology (FNAC). It is also an important
cause for hypothyroidism. FNAC plays a significant role in the diagnosis of
thyroid lesions due to its simplicity and low cost. It can accurately diagnose
Hashimoto's thyroidits in most patients. However, a small percentage of cases may
be missed due to the inherent limitations of this procedure and the varied
cytomorphology of this lesion. Therefore thorough cytological evaluation and an
integrated approach are necessary to pick up correct diagnosis and to avoid
unnecessary surgery. We present a 56-year-old female with solitary thyroid nodule
diagnosed as Hurthle cell neoplasm on FNAC, but subsequent histopathological
diagnosis following resection revealed Hashimoto's thyroiditis with marked
Hurthle cell change.
PMID- 22090700
TI - Primary umbilical endometriosis - Diagnosis by fine needle aspiration.
AB - Primary (spontaneous) umbilical endometriosis is very rare with an estimated
incidence of 0.5-1% of all patients with endometrial ectopia. Endometriosis is a
common gynecological condition, the pelvis being the most common site of the
disease. Extrapelvic site is less common and even more difficult to diagnose due
to the extreme variability in presentation. A 38-year-old woman presented with a
blackish nodule over the umbilicus of 3 years duration. Fine needle aspiration
cytology of the lesion showed cells in clusters and sheets with background of
scant stromal fragment, hemosiderin laden macrophages and RBCs, leading to a
suggestion of umbilical endometriosis. Histopathological examination of the
excised lesion confirmed the same.
PMID- 22090701
TI - Juvenile xanthogranuloma-diagnostic challenge on fine-needle aspiration cytology.
AB - Juvenile xanthogranuloma (JXG) is a rare cutaneous lesion with paucity of
literature on its cytological features. We report one such case which on fine
needle aspiration cytology yielded a mixed population of foamy histiocytes,
multinucleated giant cells and variable admixture of lymphocytes and eosinophils
causing diagnostic dilemma with other differentials, especially Langerhans cell
histiocytosis. However, clinical correlation followed by histopathology confirmed
the diagnosis. Hence, JXG has characteristic and diagnostic cytologic features.
PMID- 22090702
TI - Intraoperative cytology of central neurocytoma mimicking oligodendroglioma.
AB - Central neurocytomas (CNs) are uncommon tumors of the central nervous system.
These tumors have a predilection for the lateral ventricles of young adults and
are known to display characteristic neuroimaging and histomorphologic features.
Typically, CNs are associated with a favorable outcome, although cases with more
aggressive clinical course with recurrences are not unknown. Most descriptions of
this tumor are available in the form of isolated histopathology-based case
reports and small series. Cytology-based publications of CN are rare. Here, we
report a case of CN in a 22-year-old girl. Intra-operative squash cytology and
subsequent histopathology of the tumor simulated an oligodendroglioma and a clear
cell ependydoma. Final confirmation was obtained on immunohistochemistry. This
paper discusses the salient cytological, histomorphological and
immunohistochemical features of CN that are useful in distinguishing from its
mimickers.
PMID- 22090703
TI - Parathyroid carcinoma uncovering the enigma: Case report and review of
literature.
AB - Parathyroid carcinoma is a very rare cause of primary hyperparathyroidism. Pre
operative diagnosis remains a challenge. We report a case referred for a
suspicious goiter with a poor general condition associated with hypercalcemia.
Cytological findings attributed it to a possible parathyroid neoplasm that must
be considered in the differential diagnosis of a nodular thyroid mass by the
cytopathologist. Serum parathormone levels were correlated and the patient
underwent surgery. Histopathology confirmed the diagnosis of parathyroid
carcinoma. There can be a major pitfall, as it may appear indistinguishable from
a benign adenoma.
PMID- 22090704
TI - Pancreatic metastasis in a case of small cell lung carcinoma: Diagnostic role of
fine-needle aspiration cytology and immunocytochemistry.
AB - Small cell lung carcinoma represents a group of highly malignant tumors giving
rise to early and widespread metastasis at the time of diagnosis. However, the
pancreas is a relatively infrequent site of metastasis by this neoplasm, and
there are only occasional reports on its fine needle aspiration (FNA) cytology
diagnosis. A 66-year-old man presented with extensive mediastinal lymphadenopathy
and a mass in the pancreatic tail. Ultrasound-guided FNA smears from the
pancreatic mass contained small, round tumor cells with extensive nuclear
molding. The cytodiagnosis was metastatic small cell carcinoma.
Immunocytochemical staining showed that a variable number of neoplastic cell were
positive for cytokeratin, chromogranin A, neurone-specific enolase and
synaptophysin but negative for leukocyte common antigen. The trans-bronchial
needle aspiration was non-diagnostic, but biopsy was suspicious of a small cell
carcinoma. This case represents a rare metastatic lesion in the pancreas from
small cell lung carcinoma, diagnosed by FNA cytology.
PMID- 22090706
TI - Anaplastic large cell lymphoma: The evolution continues.
PMID- 22090705
TI - ALK-negative anaplastic large cell lymphoma mimicking a soft tissue sarcoma.
AB - Anaplastic lymphoma kinase protein (ALK)-negative anaplastic large cell lymphoma
(ALCL) has a vast morphologic spectrum and may mimic many other types of
malignancies both cytologically and histologically. There are only a few
published case reports/series describing the cytomorphologic features of ALCL on
fine-needle aspiration (FNA) biopsy specimens. We describe a case of ALK-negative
ALCL mimicking a high-grade soft tissue sarcoma of the thigh in a 62-year-old
man. The characteristic morphologic findings on FNA and core biopsy along with
the immunophenotypic profile are described and reviewed. The diagnosis of ALCL on
FNA biopsy may be difficult, but can be done successfully with the use of
ancillary tests. Therefore, it must be considered in the differential diagnosis
of lesions with pleomorphism, anaplasia, and wreath-like or horseshoe-shaped
nuclei to ensure that adequate material is obtained for ancillary studies.
PMID- 22090707
TI - Nodular hidradenoma of male breast: Cytohistological correlation.
AB - Nodular hidradenoma is an established entity as a skin adnexal tumor arising from
eccrine sweat glands. A skin adnexal tumor located in the breast is unusual and
is one of the differential diagnoses for subareolar breast nodules. With the
exception of gynecomastia, other lesions of the male breast are not very common.
The review of literature showed only 25 reported cases till date. The rarity of
this neoplasm and failure to identify its morphologic features may lead to
misdiagnosis. Being itself rare, cytological features of this lesion are hardly
encountered in case reports. We report a case of an 18-year-old male who
presented with a left breast lump and underwent fine needle aspiration and was
diagnosed as having a benign skin adnexal tumor. Later it was confirmed by
histopathology to be a nodular hidradenoma.
PMID- 22090708
TI - Accuracy of references in Journal of Cytology.
PMID- 22090709
TI - Microfilaria in thyroid aspirate - An unexpected finding.
PMID- 22090710
TI - Chromosomal aberrations in hematological malignancies: A guide to the
identification of novel oncogenes.
PMID- 22090711
TI - Are mannose-binding lectin gene 2 (MBL2) polymorphisms and MBL deficiency
associated with infections?
PMID- 22090712
TI - Craniosynostosis genetics: The mystery unfolds.
AB - Craniosynsostosis syndromes exhibit considerable phenotypic and genetic
heterogeneity. Sagittal synostosis is common form of isolated craniosynostosis.
The sutures involved, the shape of the skull and associated malformations give a
clue to the specific diagnosis. Crouzon syndrome is one of the most common of the
craniosynostosis syndromes. Apert syndrome accounts for 4.5% of all
craniosynostoses and is one of the most serious of these syndromes. Most
syndromic craniosynostosis require multidisciplinary management. The following
review provides a brief appraisal of the various genes involved in
craniosynostosis syndromes, and an approach to diagnosis and genetic counseling.
PMID- 22090713
TI - Characterization of cryptic rearrangements, deletion, complex variants of PML,
RARA in acute promyelocytic leukemia.
AB - Acute promyelocytic leukemia (APL) is characterized by a reciprocal translocation
t(15;17)(q22;q21) leading to the disruption of Promyelocytic leukemia (PML) and
Retionic Acid Receptor Alpha (RARA) followed by reciprocal PML-RARA fusion in 90%
of the cases. Fluorescence in situ hybridization (FISH) has overcome the hurdles
of unavailability of abnormal and/or lack of metaphase cells, and detection of
cryptic, submicroscopic rearrangements. In the present study, besides diagnostic
approach we sought to analyze these cases for identification and characterization
of cryptic rearrangements, deletion variants and unknown RARA translocation
variants by application of D-FISH and RARA break-apart probe strategy on
interphase and metaphase cells in a large series of 200 cases of APL. Forty cases
(20%) had atypical PML-RARA and/or RARA variants. D-FISH with PML/RARA probe
helped identification of RARA insertion to PML. By application of D-FISH on
metaphase cells, we documented that translocation of 15 to 17 leads to 17q
deletion which results in loss of reciprocal fusion and/or residual RARA on
der(17). Among the complex variants of t(15;17), PML-RARA fusion followed by
residual RARA insertion closed to PML-RARA on der(15) was unique and unusual.
FISH with break-apart RARA probe on metaphase cells was found to be a very
efficient strategy to detect unknown RARA variant translocations like
t(11;17)(q23;q21), t(11;17)(q13;q21) and t(2;17)(p21;q21). These findings proved
that D-FISH and break-apart probe strategy has potential to detect primary as
well as secondary additional aberrations of PML, RARA and other additional loci.
The long-term clinical follow-up is essential to evaluate the clinical importance
of these findings.
PMID- 22090714
TI - The first report described as an important study: The association of mannose
binding lectin gene 2 polymorphisms in children with Down syndrome.
AB - BACKGROUND: Mannose-binding lectin gene 2 (MBL2) plays a very important role in
the first line of host immune response in Down syndrome (DS). The importance of
MBL2 gene polymorphisms in children with DS is unclear, and no research has
addressed MBL2 gene polymorphisms in patients with DS. This is the first report
describing an important association between MBL2 gene polymorphisms and
infections in children with DS. MATERIALS AND METHODS: We compared the frequency
of single-nucleotide polymorphisms (SNPs) at two codons of the MBL2 gene in a
cross sectional cohort of 166 children with DS and 229 controls. Polymorphisms at
codons 54 (GGC->GAC) and 57 (GGA->GAA) in exon 1 of the MBL2 gene were typed by
polymerase chain reaction (PCR)-restriction fragment length polymorphism (RFLP)
technique using the restriction enzymes BshN1 (derivated from Bacillus
sphaericus) and MboII (derivated from Moraxella bovis), respectively. RESULTS:
MBL2 codon 54 GA genotype frequency was found to be lower in patients with DS
(22.9%) than those of healthy controls (35.8%), differences were statistically
significant (OR = 0.532, 95% CI = 0.339-0.836, P = 0.008). On the other hand,
codon 57 polymorphism in the MBL2 gene was detected in none of the DS patients,
but only one person in the control group showed codon 57 GA genotype (OR = 1.004,
95% CI = 0.996-1.013, P = 1.000). CONCLUSION: Our data provides an evidence for
the first time that a homozygote or heterozygote for the variant, MBL2 alleles,
is not associated with infections in patients with DS, and do not influence the
incidence of infections.
PMID- 22090715
TI - Molecular investigation of mental retardation locus gene PRSS12 by linkage
analysis.
AB - The present study was carried out to determine the prevalence of families having
mental retardation in Pakistani population. We enrolled seven mentally retarded
(MR) families with two or more affected individuals. Family history was taken to
minimize the chances of other abnormalities. Pedigrees were drawn using the
Cyrillic software (version 2.1). The structure of pedigrees shows that all the
marriages are consanguineous and the families have recessive mode of inheritance.
All the families were studied by linkage analysis to mental retardation locus
(MRT1)/gene PRSS12. Three STR markers (D4S191, D4S2392, and D4S3024) in vicinity
of mental retardation (MR) locus (MRT1)/gene PRSS12 were amplified on all the
sample of each family by PCR. The PCR products were then genotyped on non
denaturing polyacrylamide gel electrophoresis (PAGE). The Haplotype were
constructed to determine the pattern of inheritance and also to determine that a
family was linked or unlinked to gene PRSS12. One out of the seven families was
potentially linked to gene PRSS12, while the other six families remain unlinked.
PMID- 22090716
TI - Novel mutations in arrhythmogenic right ventricular cardiomyopathy from Indian
population.
AB - BACKGROUND: Arrhythmogenic right ventricular cardiomyopathy (ARVC) is a
progressive condition with right ventricular myocardium being replaced by fibro
fatty tissue. The spectrum of the expression may range from benign palpitations
to the most malignant sudden death. Most of the mutations identified for the
condition are localized in desmosomal proteins although three other nondesmosomal
genes (cardiac ryanodine receptor-2, TGF-beta3, and TMEM43) have also been
implicated in ARVC. Both desmosomal and nondesmosomal genes were screened in a
set of patients from local population. MATERIALS AND METHODS: A set of 34
patients from local population were included in this study. Diagnosis was based
on the criteria proposed by task force of European Society of
Cardiology/International Society and Federation of Cardiology. Polymerase chain
reaction-based single-strand conformation polymorphism analysis was carried out,
and samples with abnormal band pattern were commercially sequenced. RESULTS:
Screening of cardiac ryanodine receptor revealed an insertion of a base in the
intronic region of exon-28 in a patient, leading to a creation of a cryptic
splice site. Screening of plakohilin-2 for mutations revealed an abnormal band
pattern in three patients. Two of them had similar abnormal band pattern for exon
3.1. Sequencing revealed a novel 2 base pair deletion (433_434 delCT), which
would lead to premature truncation of the protein (L145EfsX8). Another patient
showed abnormal band pattern for exon-3.2 and sequencing revealed a missense
mutation C792T leading to amino acid change P244L, in N-terminal, and this
substitution may cause disturbances in the various protein-protein interactions.
CONCLUSION: This study reports novel cardiac ryanodine receptor (RyR-2) mutations
and Pkp-2 for the first time from Indian population.
PMID- 22090717
TI - Cytoprotective effect of honey against chromosomal breakage in fanconi anemia
patients in vitro.
AB - BACKGROUND: Natural honey is widely used all over the world as a complementary
and alternative medicine in various disorders including Fanconi anemia (FA). FA
is a rare genetic chromosomal instability syndrome caused by impairment of DNA
repair and reactive oxygen species (ROS) imbalance. This disease is also related
to bone marrow failure and cancer. The aim of this study was to evaluate the
cytoprotective effect of honey on mitomycin C (MMC-) induced chromosomal damage
in peripheral lymphocytes from FA patients. MATERIALS AND METHODS: Treatment of
these complications with alkylation agents MMC may enhance chromosomal breakage.
We have evaluated the effect of honey on MMC- induced chromosomal breakage in FA
blood cells using chromosomal breakage assay. The basal chromosomal breakage
count was higher among FA patients than healthy subjects. RESULTS: The addition
of MMC alone gave a significantly higher of chromosomal breakage in FA patients
than control group (P < 0.0001). Pre- treatment with honey significantly
inhibited breakage induced by MMC in FA patients by its antioxidant effect.
CONCLUSION: Honey can prevent MMC- induced chromosomal breakage by its
antioxidant effect.
PMID- 22090718
TI - Chromosomal abnormalities as a cause of recurrent abortions in Egypt.
AB - BACKGROUND: In 4%-8% of couples with recurrent abortion, at least one of the
partners has chromosomal abnormality. Most spontaneous miscarriages which happen
in the first and second trimesters are caused by chromosomal abnormalities. These
chromosomal abnormalities may be either numerical or structural. MATERIAL AND
METHODS: Cytogenetic study was done for 73 Egyptian couples who presented with
recurrent abortion at Genetic Unit of Children Hospital, Mansoura University.
RESULTS: We found that the frequency of chromosomal abnormalities was not
significantly different from that reported worldwide. Chromosomal abnormalities
were detected in 9 (6.1%) of 73 couples. Seven of chromosomal abnormalities were
structural and two of them were numerical. CONCLUSION: Our results showed that
6.1% of the couples with recurrent abortion had chromosomal abnormalities, with
no other abnormalities. We suggest that it is necessary to perform cytogenetic in
vestigation for couples who have recurrent abortion.
PMID- 22090719
TI - Association of cytotoxic T lymphocyte-associated antigen 4 gene single nucleotide
polymorphism with type 1 diabetes mellitus in Madurai population of Southern
India.
AB - Type 1 diabetes mellitus formerly called juvenile diabetes, is an organ specific
T-cell mediated autoimmune disease characterized by the progressive loss of
function of the insulin producing beta-cells of the islets of Langerhans.
Cytotoxic T lymphocyte-associated antigen 4 gene (CTLA-4) has been proposed as a
candidate gene for conferring susceptibility to autoimmunity. Association of CTLA
4 gene polymorphism is well established in autoimmune endocrinopathies across
world population. The present study was conducted to investigate the association
of CTLA-4 exon 1 49A/G polymorphism with TIDM in Madurai, a city in Southern
India. Fifty three clinically proven T1DM patients and 53 control subjects with
no history of autoimmune disease were recruited for the study. Genomic DNA was
extracted from peripheral blood. CTLA-4 exon 1 49 A/G polymorphism was assessed
using PCR-RFLP methods. Our findings revealed a significant association of CTLA-4
exon 1 49 A/G polymorphism with T1DM in Madurai population.
PMID- 22090720
TI - G-C heterozygosis in mutS homolog2 as a risk factor to hereditary nonpolyposis
colon cancer in the absence of a family medical history.
AB - To detect the presence of point mutations in a small section of the mutS homolog2
(MSH2) gene in both healthy and affected persons treated at the General Hospital
of the State of Sonora, a 353 base pair section of the MSH2 gene was amplified
and sequenced from six persons affected by hereditary nonpolyposis colorectal
cancer and from 19 healthy persons. The affected persons did not show the
mutations reported in the scientific literature; however, six healthy persons
were heterozygote and mutant-allele carriers. The heterozygote condition implies
that carriers are candidates for the development of colorectal cancer. However,
it is important to know the family medical history when investigating hereditary
mutations.
PMID- 22090721
TI - McKusick-Kaufman or Bardet-Biedl syndrome? A new borderline case in an Italian
nonconsanguineous healthy family.
AB - McKusick-Kaufman syndrome (MKS, OMIM #236700) is a rare syndrome inherited in an
autosomal recessive pattern with a phenotypic triad comprising hydrometrocolpos
(HMC), postaxial polydactyly (PAP), and congenital cardiac disease (CHD). The
syndrome is caused by mutations in the MKKS gene mapped onto chromosome 20p12
between D20S162 and D20S894 markers. Mutations in the same gene causes Bardet
Biedl-6 syndrome (BBS-6, OMIM #209900) inherited in an autosomal recessive
pattern. BBS-6 comprises retinitis pigmentosa, polydactyly, obesity, mental
retardation, renal and genital anomalies. HMC, CHD, and PAP defects can also
occur in BBS-6, and there is a significant clinical overlap between MKS and BBS-6
in childhood. We describe a new borderline case of MKS and BBS syndrome and
suggest insights for understanding correlation between MKKS gene mutations and
clinical phenotype. Here, we report the results of molecular analysis of MKKS in
a female proband born in an Italian nonconsanguineous healthy family that
presents HMC and PAP. The mutational screening revealed the presence of two
different heterozygous missense variants (p.242A>S in exon 3, p.339 I>V in exon
4) in the MKKS gene, and a nucleotide variation in 5'UTR region in exon 2 (-417
A>C).
PMID- 22090722
TI - A recurrent mutation in Moroccan patients with Dyggve-Melchior-Clausen syndrome:
Report of a new case and review.
AB - Dyggve-Melchior-Clausen (DMC) syndrome is a rare autosomal recessive disorder. It
is a spondyloepimetaphyseal dysplasia associated with mental retardation.
Clinical manifestations include coarse facies, microcephaly, short trunk
dwarfism, and mental retardation. Mutations in Dymeclin gene (DYM), mapped to
chromosome 18q21.1, is responsible for DMC. We report here the observation of a
consanguineous Moroccan patient having DMC syndrome. The molecular studies showed
a previously reported homozygous mutation at c.1878delA of DYM gene. We discuss
this recurrent mutation in Moroccan patients with DMC syndrome with a review.
PMID- 22090723
TI - Deletion of ABL/BCR on der(9) associated with severe basophilia.
AB - Chronic basophilic leukemia is a rare form in chronic myeloid leukemia patients.
Only limited number of reports are available. Herein, we describe a patient who
presented with fatigue, weight loss, leucocytosis, prominent basophilia, and mild
eosinophilia. On biopsy, bone marrow was hypercellular with marked basophils. The
immunophenotype showed abnormal expression of CD7, which is suggestive of
basophilic maturation. Chromosomal analysis from GTG-banded metaphases revealed
Ph positivity, and fluorescence in situ hybridization (FISH) with BCR/ABL dual
color, dual fusion probe showed single fusion on the der(22) chromosome and
ABL/BCR fusion was deleted on the der(9) chromosome. The deletion (ABL/BCR) on
der(9) may be associated with basophilia which may be also indicative of the
transformation of CML to acute myeloid leukemia.
PMID- 22090724
TI - Congenital erythropoietic porphyria with two mutations of the uroporphyrinogen
III synthase gene (Cys73Arg, Thr228Met).
AB - Congenital erythropoietic porphyria (CEP) is an autosomal recessive inborn error
of metabolism that results from the markedly deficient activity of
uroporphyrinogen III synthase (UROS). We describe a 14-year-old girl with red
urine since infancy, progressive blistering and scarring of the skin, and
moderate hemolytic anemia. After years of skin damage, her face is mutilated; she
has a bald patch on the scalp, hypertrichosis of the neck, areas of skin
darkening, and limited joint movements of the hands. Total urine excretion and
fecal total porphyrin were both markedly raised above normal levels. Sequencing
of the UROS gene identified two mutations causing CEP (Cys73Arg, Thr228Met). The
patient lesions are progressing. Bone marrow transplantation and/or gene therapy
are proposed as the next steps in her treatment. In brief, we describe a CEP with
confirmed two pathogenic mutations, severe phenotype and discuss the various
treatment options available.
PMID- 22090725
TI - Early recurrent left atrial myxoma in a teenager with de novo mutation of Carney
complex.
AB - We report a case of an extremely early recurrence of left atrial myxoma in a 13
year-old girl. On hospital admission, the clinical presentation was of cerebral
embolism with noticeable spotty skin pigmentation and hypertelorism. The left
atrial myxoma originated from the roof of the left atrium. The histology specimen
showed typical finding of a myxoma. Six months later a new intracardial mass was
evacuated, the postoperative result showing the same type of myxomatous tissue.
Genetic investigations demonstrated Carney complex. The genetic analysis of the
child's family was negative, demonstrating de novo mutation of this rare
disorder.
PMID- 22090726
TI - Prenatal diagnosis in a mentally retarded woman with mosaic ring chromosome 18.
AB - We present a pregnant woman with mental retardation and mosaic for ring 18
referred for prenatal diagnosis. Major clinical features included short stature
with clinodactyly in feet, foot deformity and club feet, hypotonia, kyphosis, and
absence of breast development, low set ears, high arched palate, dental decay and
speech disorder. Prenatal diagnosis was carried. Using amniocentesis. The fetus
had a normal karyotype described as 46,XX. The fetus was evaluated for clinical
features after delivery; she was healthy with no abnormal clinical
characterizations.
PMID- 22090727
TI - Transcoronary ethanol ablation for ventricular tachycardia.
PMID- 22090728
TI - Autonomic denervation for the treatment of atrial fibrillation.
AB - The influence of the autonomic nervous system (ANS) on triggering and
perpetuation of atrial fibrillation (AF) is well established. Ganglionated plexi
(GP) ablation achieves autonomic denervation by affecting both the
parasympathetic and sympathetic components of the ANS. An anatomic approach for
GP ablation at relevant atrial sites appears to be safe, and improves the results
of PV isolation in patients with paroxysmal and persistent AF. GP ablation can be
accomplished endocardially or epicardially, ie, during the maze procedure or
thoracoscopic approaches. Further experience is needed to assess the clinical
value of this promising technique.
PMID- 22090729
TI - Entrainment during Ablation of Ischemic Ventricular Tachycardia. What is
explanation for Post Pacing Interval shorter than the tachycardia cycle length?
AB - Entrainment mapping of ischemic ventricular tachycardia at a site in the left
ventricle where radiofrequency ablation was successful in terminating the
tachycardia revealed a post-pacing interval shorter than the tachycardia cycle
length. The reason for the same is explained in the current report.
PMID- 22090730
TI - An unusual mechanism of sustained right atrial tachycardia.
AB - Lower loop re-entry (LLR) flutter is a rare type of atypical right atrial
flutter. Most of the reported cases occurred in association with typical flutter
patterns as a transient arrhythmia. Our case is unique in the fact the LLR was
sustained and persisted independently.
PMID- 22090731
TI - Spontaneous demonstration of counterclockwise right atrial activation following
successful isthmus ablation.
AB - We describe an uncommon case of typical flutter with symptomatic sinus node
dysfunction, in which a permanent junctional rhythm developed following ablation
of the cavo-tricuspid isthmus. This rhythm activated the right atrium in counter
clockwise manner thus providing spontaneous proof of unidirectional isthmus
block, a phenomenon that is usually demonstrated by proximal coronary sinus
pacing.
PMID- 22090732
TI - Placement Of A Coronary Sinus Pacing Lead From A Sub-occluded Left Subclavian
Vein Using A Collateral Vein To The Right Subclavian Vein.
AB - Upgrading of a pacing system in the presence of a subclavian occlusion is
technically challenging. We describe the case of a patient who underwent a
successful upgrading procedure of an implantable cardioverter-defibrillator (ICD)
to a biventricular defibrillator (ICD-CRT) in the presence of a suboccluded left
subclavian vein, using a collateral vein that drained into the contralateral
subclavian vein.
PMID- 22090733
TI - What's New in Emergencies, Trauma and Shock ? Optimizing initial resuscitation
strategies in a patient with shock.
PMID- 22090734
TI - Diagnostic accuracy of bedside emergency ultrasound screening for fractures in
pediatric trauma patients.
AB - BACKGROUND: Bedside ultrasound (BUS) can effectively identify fractures in the
emergency department (ED). AIM: To assess the diagnostic accuracy of BUS for
fractures in pediatric trauma patients. SETTING AND DESIGN: Prospective
observational study conducted in the ED. MATERIAL AND METHODS: Pediatric patients
with upper and lower limb injuries requiring radiological examination were
included. BUS examinations were done by emergency physicians who had undergone a
brief training. X-rays were reviewed for the presence of fracture and the results
of BUS and radiography were compared. STATISTICAL ANALYSIS: STATA version 11 was
used for statistical analysis of the data. RESULTS: Forty-one patients were
enrolled in the study. The sensitivity of the BUS in detecting fracture was 89%
[95% confidence interval (CI): 51% to 99%] and the specificity was 100% (95% CI:
87% to 100%). The positive predictive value of BUS was 100% and negative
predictive value was 97%. CONCLUSION: BUS can be utilized by emergency physicians
after brief training to accurately identify long bone fractures in the pediatric
age-group.
PMID- 22090735
TI - Evaluation of trauma and prediction of outcome using TRISS method.
AB - INTRODUCTION: Trauma and injury severity score (TRISS), introduced in 1981 is a
combination index based on revised trauma score (RTS), injury severity score
(ISS) and patient's age. In this study we have used TRISS method to predict the
outcome in trauma cases. MATERIALS AND METHODS: 1000 consecutive cases of trauma
of adult age group admitted in casualty of Dayanand Medical College and Hospital
Ludhiana, from 1/7/2000 onwards. Revised Trauma Score, Injury Severity Score and
Age Index were recorded from which TRISS was determined. The performance of TS,
ISS and TRISS as predictors of survival was evaluated using the misclassification
rate, the information gain and the relative information gain. RESULTS: The
majority of the patients were men (83.7%) and in the age group of 20-50 years.
Road traffic collisions (72%) were the most common cause of trauma. The mortality
rate was 4.1%. Using PER method, the TRISS method was found to have information
gain of 0.049 and a relative information gain of 0.41. CONCLUSIONS: The revised
trauma score (RTS) ranged from 2.746 to 7.8408.There was a graded increase in
mortality with decreasing RTS score.
PMID- 22090736
TI - Damage control in severely injured trauma patients - A ten-year experience.
AB - BACKGROUND: This study reviews our 10-year institutional experience with damage
control management and investigates risk factors for early mortality. MATERIALS
AND METHODS: The trauma registry of our level I trauma centre was utilized to
identify all patients from 01/96 through 12/05 who underwent initial damage
control procedures. Demographics, clinical and physiological parameters, and
outcomes were abstracted. Patients were categorized as either early survivors
(surviving the first 72 hours after admission) or early deaths. RESULTS: During
the study period, 319 patients underwent damage control management. Overall, 52
patients (16.3%) died (early deaths) and 267 patients (83.7%) survived the first
72 hours (early survivors). Early deaths showed significantly deranged serum
lactate (5.81+/-0.55 vs. 3.46+/-0.13 mmol/L; P<0.001), base deficit (10.10+/-0.95
vs. 4.90+/-0.28 mmol/L; P<0.001) and pH (7.16+/-0.03 vs. 7.29+/-0.01; P<0.001)
levels compared to early survivors on hospital admission. An International
Normalized Ratio >1.2, base deficit >3 mmol/L, head Abbreviated Injury Scale >=3,
body temperature <35 degrees C, serum lactate >6 mmol/L, and hemoglobin <7 g/dL
proved to be independent risk factors for early mortality on hospital admission.
CONCLUSIONS: Several risk factors for early mortality such as severe head injury
and the lethal triad (coagulopathy, acidosis and hypothermia) in patients
undergoing damage control procedures were identified and should trigger the
trauma surgeon to maintain aggressive resuscitation in the intensive care unit.
PMID- 22090737
TI - Changing trends in the pattern and outcome of stab injuries at a North London
hospital.
AB - OBJECTIVE: To study the incidence, pattern and outcome of stab injuries attending
a North London Teaching Hospital over a 3-year (2006-2008) period. MATERIALS AND
METHODS: A retrospective review of collected data from the Hospital database was
conducted. The database contains comprehensive medical records for all patients
attended by the trauma team for deliberate stab injuries. It is updated by the
surgical team after each admission. All patients with deliberate penetrating
injury who were attended by the service between 1 January 2006 and 31 December
2008 were identified. Patients who died in the prehospital phase, those managed
exclusively by the emergency department and limb injuries without vascular
compromise were excluded from the study. RESULTS: Six hundred and nineteen
patients with stab injuries (following knife crime) from North London attended
the Hospital in the above period. One hundred and thirty-seven paients required
surgical admission. Two were cases of self-inflicted knife injuries. Over the 3
year period the percentage of victims below 20 years of age is increasing. Ninety
three percent of knife crime occured between 6 pm and 6 am; recently moving
toward week days from weekend period. CONCLUSIONS: The overall rate of
penetrating injuries (stab injuries) is slowly declining. Timely cardiothoracic
support facility is vital in saving lives with major cardiac stab injuries.
Although alcohol drinking restriction has been lifted, most cases of stabbings
are still occurring out-of-hours when surgical personnel are limited.
PMID- 22090738
TI - Diagnostic accuracy of preoperative clinical examination in upper limb injuries.
AB - BACKGROUND: Injuries in hands and forearms may cause significant discomfort and
disability. AIM: To evaluate the accuracy of preoperative clinical examination in
depicting lesions caused by penetrating wounds of hands or forearms. SETTING AND
DESIGN: This prospective study was conducted from August 2006 to September 2009
at Kashani University Hospital, Isfahan University of Medical Sciences, Iran.
MATERIALS AND METHODS: Two hundred and fifty patients with clean penetrating
injury to the hand/forearm were enrolled in this study. After patient's data
registration, a careful clinical examination and routine exploration without
expansion of wound were done by an orthopedic resident. Each tendon was tested at
each joint level. Nerves were evaluated with a two-point discrimination test, and
arteries were tested with palpable pulses. Surgical exploration was done by a
single hand surgeon in operation room. Accuracy of clinical examination was
compared to surgical examination. RESULTS: During the study period, 180 (72%)
males and 70 (28%) females with mean age of 28+/-4 years participated. The
preoperative examination showed a predominance of the volar zone IV injuries
followed by volar zone II, III, thumb zone II, volar zone V and thumb zone III.
Despite the enough accuracy of preoperative examinations in dorsal side injuries
of hands and forearms (error rate = 8.3%), the preoperative examinations
significantly underestimated the amount of damage to soft tissues on the volar
side of hands and forearms (error rate = 14%). CONCLUSIONS: The precise surgical
evaluations should be considered in patients with penetrating injury to the hand
or forearm, especially in those with volar side injuries.
PMID- 22090739
TI - Influence of prehospital fluid resuscitation on patients with multiple injuries
in hemorrhagic shock in patients from the DGU trauma registry.
AB - BACKGROUND: Severe bleeding as a result of trauma frequently leads to poor
outcome by means of direct or delayed mechanisms. Prehospital fluid therapy is
still regarded as the main option of primary treatment in many rescue situations.
Our study aimed to assess the influence of prehospital fluid replacement on the
posttraumatic course of severely injured patients in a retrospective analysis of
matched pairs. MATERIALS AND METHODS: We reviewed data from 35,664 patients
recorded in the Trauma Registry of the German Society for Trauma Surgery (DGU).
The following patients were selected: patients having an Injury Severity Score
>16 points, who were >=16 years of age, with trauma, excluding those with
craniocerebral injuries, who were admitted directly to the participating
hospitals from the accident site. All patients had recorded values for replaced
volume and blood pressure, hemoglobin concentration, and units of packed red
blood cells given. The patients were matched based on similar blood pressure
characteristics, age groups, and type of accident to create pairs. Pairs were
subdivided into two groups based on the volumes infused prior to hospitalization:
group 1: 0-1500 (low), group 2: >=2000 mL (high) volume. RESULTS: We identified
1351 pairs consistent with the inclusion criteria. Patients in group 2 received
significantly more packed red blood cells (group 1: 6.9 units, group 2: 9.2
units; P=0.001), they had a significantly reduced capacity of blood coagulation
(prothrombin ratio: group 1: 72%, group 2: 61.4%; P<=0.001), and a lower
hemoglobin value on arrival at hospital (group 1: 10.6 mg/dL, group 2: 9.1 mg/dL;
P<=0.001). The number of ICU-free days concerning the first 30 days after trauma
was significantly higher in group 1 (group 1: 11.5 d, group 2: 10.1 d; P<=0.001).
By comparison, the rate of sepsis was significantly lower in the first group
(group 1: 13.8%, group 2: 18.6%; P=0.002); the same applies to organ failure
(group 1: 36.0%, group 2: 39.2%; P<=0.001). CONCLUSION: The high amounts of
intravenous fluid replacement was related to early traumatic coagulopathy, organ
failure, and sepsis rate.
PMID- 22090740
TI - Indications for brain computed tomography scan after minor head injury.
AB - AIMS: Minor head injury (MHI) is a common injury seen in Emergency Departments
(ED). Computed tomography (CT) scan of the brain is a good method of
investigation to diagnose intracranial lesions, but there is a disagreement about
indications in MHI patients. We surveyed the post-traumatic symptoms, signs or
past historical matters that can be used for the indication of brain CT scan.
MATERIALS AND METHODS: All patients with MHI who were older than 2 years, had a
Glasgow Coma Scale (GCS) score >=13 and were referred to the ED, underwent brain
CT scan. Data on age, headache, vomiting, loss of consciousness (LOC) or amnesia,
post-traumatic seizure, physical evidence of trauma above the clavicles, alcohol
intoxication, and anticoagulant usage were collected. The main outcome measure
was the presence of lesions related to the trauma in brain CT scan. For
categorical variables, Chi-square test was used. RESULTS: Six hundred and forty
two patients were examined by brain CT scan after MHI, and 388 patients (60.4%)
did not have any risk indicator. Twenty patients (3.1%) had abnormal brain CT
scans. The logistic regression model showed that headache (P=0.006), LOC or
amnesia (P=0.024) and alcohol (P=0.036) were associated with abnormal brain CT.
CONCLUSIONS: WE SUGGESTED THAT ABNORMAL BRAIN CT SCAN RELATED TO THE TRAUMA AFTER
MHI CAN BE PREDICTED BY THE PRESENCE OF ONE OR MORE OF THE FOLLOWING RISK
INDICATORS: Headache, vomiting, LOC or amnesia, and alcohol intoxication. Thus,
if any patient has these indicators following MHI, he must be considered as a
high-risk MHI.
PMID- 22090741
TI - C-clamp and pelvic packing for control of hemorrhage in patients with pelvic ring
disruption.
AB - BACKGROUND: Exsanguinating hemorrhage is the major cause of death in patients
with pelvic ring disruption. AIMS: The aim of this study was to document outcomes
after the stabilization of pelvic ring injuries by a C-clamp and control of
hemorrhage by pelvic packing. Physiological parameters were tested as prognostic
factors. SETTING AND DESIGN: This was a retrospective study at a level I trauma
center. The study period was from January 1996 to December 2007. MATERIALS AND
METHODS: Fifty patients with pelvic ring disruption and hemorrhagic shock were
analyzed. The pelvic rings were fixed by a C-clamp, and patients with ongoing
hemorrhage underwent laparotomy and extra- and/or intra-peritoneal pelvic
packing. Clinical parameters (heart rate, mean arterial pressure) and
physiological parameters (lactate levels, hemoglobin, hematocrit) were documented
at admission and at different time points during the initial treatment (1, 2, 3,
4, 6, 8, and 12h after admission). RESULTS: Within 12 h of admission, 16 patients
died (nonsurvivors) due to hemorrhagic shock (n=13) or head injuries (n=3). In
this group, 12 patients underwent laparotomy with pelvic packing. Thirty-four
patients survived the first 12 h (early survivors) after fixation by a C-clamp
and additional packing in 23 patients. Four of these patients died 12.3+/-7.1
days later due to multiple organ failure (n=3) or severe head injury (n=1). The
blood lactate level at admission was significantly higher in the group of
nonsurvivors (7.2+/-0.8 mmol/L) compared to the early survivors (4.3+/-0.5
mmol/L, P<0.05). While hemoglobin values improved within the first 2 h in
nonsurvivors, lactate levels continued to increase. CONCLUSION: Pelvic packing in
addition to the C-clamp fixation effectively controls severe hemorrhage in
patients with pelvic ring disruption. Early sequential measurements of blood
lactate levels can be used to estimate the severity of shock and the response to
the shock treatment.
PMID- 22090742
TI - Evaluating a conservative approach to managing liver injuries in Kashmir, India.
AB - AIM: There has been a steep rise in incidence of liver injury in the past few
years because of increase in incidence of road traffic accidents. The aim of this
study was to evaluate the role of non-operative management of liver injury due to
blunt abdominal trauma. MATERIALS AND METHODS: All patients with liver injury
from blunt trauma abdomen were studied between January 2000 and January 2010. A
total of 152 patients with liver injury were put on conservative management.
Hundred and three (67.77%) patients were males and 49 (32.23%) were females with
an age range of 15-60 years (32.8 years). Most of the injuries were because of
road traffic accidents (81.57%). Liver injuries were graded according to Moore's
classification using computed tomography. Patients with Grade V and VI were
excluded from the study. Patients who were unstable hemodynamically on admission
were also excluded from the study. RESULTS: There was no mortality in our series.
Eight patients needed exploration because they developed hemodynamic instability.
Four of the patient developed post-operative liver abscess which was treated
conservatively. CONCLUSION: Non-operative management of liver injury due to blunt
trauma abdomen is a safe, effective and treatment modality of choice in
hemodynamically stable Moore's grade I to Grade IV injury.
PMID- 22090743
TI - The provision of critical care in emergency departments at Canada.
AB - INTRODUCTION: Critically ill patients are common in emergency medicine, and
require expert care to maximize patient outcomes. However, little data is
available on the provision of critical care in the ED. The goal of this study is
to describe the management of critically ill patients in the ED via a survey of
Canadian emergency physicians. MATERIALS AND METHODS: A survey of attending
physician members of CAEP was conducted by email. The survey was developed by the
authors and internal validity was established prior to survey deployment. Data on
physician demographics, hospital resources, use of invasive procedures,
vasopressor/inotropic medications, length of stay in the ED and patient
responsibility were assessed. RESULTS: The survey response rate was 22.9%, with
the majority of respondents possessing speciality training in EM (73.5%).
Respondents indicated that critically ill patients were commonly managed in the
ED, with 68.5% reporting >6 critically ill patients per month, and 12.4%
indicating > 20 patients per month. Respondents indicated that the majority of
critically ill patients remained in the ED for 1-4 hours (70%) after
resuscitation, yet 18% remained in the ED for >5 hours. Patients with a
"respiratory" etiology were the most common critically ill patient population
reported, followed by "cardiovascular", "infectious" and "traumatic illness".
Direct laryngoscopy was frequently performed (66.9%> 11 in the year prior to the
survey) in the year prior to the survey, while other invasive procedures and
vasopressor/inotropic medications were utilized less often. EM physicians were
responsible for the management of critically ill patients in the ED, even after
consultation to an inpatient service, and were often required to provided acute
care to critically ill patients admitted to an ICU, yet remaining in the ED prior
to transfer (20% reported > 50% of the time). CONCLUSION: Our survey demonstrates
that critically ill patients are common in Canadian ED's, and that EMP's are
often responsible to provide care for prolonged period of time. In addition, the
use of invasive procedures other then direct laryngoscopy was variable. Further
research is warranted to determine the impact of delayed transfer and ED
physician management of critically ill patients in the ED.
PMID- 22090744
TI - Designing, managing and improving the operative and intensive care in polytrauma.
AB - BACKGROUND AND CONTEXT: Polytrauma is a leading cause of mortality in the
developing countries and efforts from various quarters are required to deal with
this increasing menace. AIMS AND OBJECTIVES: An attempt has been made by the
coordinated efforts of the intensive care and trauma team of a newly established
tertiary care institute in designing and improving the trauma care services to
realign its functions with national policies by analyzing the profile of
polytrauma victims and successfully managing them. MATERIALS AND METHODS: A
retrospective analysis was carried out among the 531 polytrauma admissions in the
emergency department. The information pertaining to age and gender distribution,
locality, time to trauma and initial resuscitation, cause of injury, type of
injury, influence of alcohol, drug addiction, presenting clinical picture,
Glasgow Coma score on admission and few other variables were also recorded. The
indications for various operative interventions and intensive care unit (ICU)
admissions were analyzed thoroughly with a concomitant improvement of our trauma
care services and thereby augmenting the national policies and programs. A
statistical analysis was carried out with chi-square and analysis of variance
ANOVA tests, using SPSS software version 10.0 for windows. The value of P<0.05
was considered significant and P<0.0001 as highly significant. RESULTS: Majority
of the 531 polytrauma patients hailed from rural areas (63.65%), riding on the
two wheelers (38.23%), and predominantly comprised young adult males. Fractures
of long bones and head injury was the most common injury pattern (37.85%) and
51.41% of the patients presented with shock and hemorrhage. Airway management and
intubation became necessary in 42.93% of the patients, whereas 52.16% of the
patients were operated within the first 6 hours of admission for various
indications. ICU admission was required for 45.76% of the patients because of
their deteriorating clinical condition, and overall,ionotropic support was
administered in 55.93% of the patients for successful resuscitation. CONCLUSIONS:
There is an urgent need for proper implementation ofpre-hospital and advanced
trauma life support measures at grass-root level. Analyzing the profile of
polytrauma victims at a national level and simultaneously improving the trauma
care services at every health center are very essential to decrease the mortality
and morbidity. The improvement can be augmented further by strengthening the
rural health infrastructure, strict traffic rules, increasing public awareness
and participation and coordination among the various public and private agencies
in dealing with polytrauma.
PMID- 22090745
TI - Clinical ultrasound physics.
AB - Understanding the basic physics of ultrasound is essential for acute care
physicians. Medical ultrasound machines generate and receive ultrasound waves.
Brightness mode (B mode) is the basic mode that is usually used. Ultrasound waves
are emitted from piezoelectric crystals of the ultrasound transducer. Depending
on the acoustic impedance of different materials, which depends on their density,
different grades of white and black images are produced. There are different
methods that can control the quality of ultrasound waves including timing of
ultrasound wave emission, frequency of waves, and size and curvature of the
surface of the transducer. The received ultrasound signal can be amplified by
increasing the gain. The operator should know sonographic artifacts which may
distort the studied structures or even show unreal ones. The most common
artifacts include shadow and enhancement artifacts, edge artifact, mirror
artifact and reverberation artifact.
PMID- 22090746
TI - The sonographic diagnosis of pneumothorax.
AB - Ultrasound is a modality now available to all physicians and can help in making
rapid decisions, particularly with critically ill patients. This article reviews
the basis of the use of sonography for the diagnosis of pneumothorax.
PMID- 22090747
TI - The role of ultrasound in life-threatening situations in pregnancy.
AB - Pregnant women are at an increased risk of a number of conditions that are
associated with bleeding. Conditions such as ectopic pregnancy, retained products
of conception, placenta previa, abruptio placentae, morbid adhesion of the
placenta, and postpartum hemorrhage can be associated with massive bleeding that
endangers the maternal life and health. Screening, early detection, and
prevention play a key role in reducing maternal morbidity and mortality caused by
these conditions. Ultrasound, in experienced hands, is an effective tool that can
assist in diagnosing many of these obstetrical conditions. The advances in
technology and the non-invasive nature of ultrasound examination have made it
popular in our daily obstetrical practice. The review discusses the role of
ultrasound in the most common life-threatening conditions during pregnancy.
PMID- 22090748
TI - Sonographic diagnosis of intraperitoneal free air.
AB - Detection of intraperitoneal free air is important for the diagnosing of life
threatening conditions in patients with acute abdominal pain. Point-of-care
ultrasound is an extension of the clinical examination in patients presenting
with acute abdomen. Failure of sonographers to detect intraperitoneal free air
was clinically considered as a limitation of abdominal ultrasound. It is now
increasingly appreciated that ultrasound may detect intraperitoneal free air.
Emergency physicians should be familiar with the sonographic features of
intraperitoneal free air which may be essential to recognize bowel perforation.
Herein we review the literature on the sonographic findings of intraperitoneal
free air and the technical manoeuvres that can improve clinicians' detection of
intraperitoneal free air using point-of-care ultrasound.
PMID- 22090749
TI - Duodenal perforation following blunt abdominal trauma.
AB - Duodenal perforation following blunt abdominal trauma is an extremely rare and
often overlooked injury leading to increased mortality and morbidity. We report
two cases of isolated duodenal injury following blunt abdominal trauma and
highlight the challenges associated with their management. In both these
patients, the diagnosis of the duodenal injuries was delayed, leading to
prolonged hospital stay. The first patient had two perforations, one on the
anterior and the other on the posterior wall of the duodenum, of which the
posterior perforation was missed at initial laparotomy. In the other patient, the
duodenal injury was missed during the initial assessment in the emergency
department. He returned to the emergency department 24 hours after discharge with
abdominal pain and vomiting. During trauma related laparotomy, complete
kocherization (mobilization) of the duodenum must be mandatory, even in the
presence of obvious injury on its anterior wall. We emphasize on keeping the
management protocol simple by a "triple tube decompression", i.e. duodenorrhaphy
(simple closure), tube gastrostomy, reverse tube duodenostomy and a feeding
jejunostomy.
PMID- 22090750
TI - A large left atrial myxoma detected in emergency department using bedside
transthoracic echocardiography.
AB - We present a case of a 55-year-old woman with episodes of recurrent pulmonary
edema that was diagnosed to have a large left atrial myxoma using bedside
transthoracic echocardiography. This case illustrates the importance of a
screening focused ultrasound examination of involved systems by emergency
physicians in detecting causes for emergency clinical presentations.
PMID- 22090751
TI - Ultrasound diagnosis of quadriceps tendon tear in an uncooperative patient.
AB - A 38-year-old intoxicated man presented to the emergency department with a
painful, swollen left knee and inability to ambulate after being tackled to the
ground. The patient was uncooperative, and physical examination of the lower
extremities was limited by his intoxication. Radiographic examination of the knee
was unremarkable. Ultrasound of the knee revealed a quadriceps tendon rupture.
The sonographic features of quadriceps tendon rupture are described, as is the
role ultrasound plays in the assessment of a swollen, painful knee.
PMID- 22090752
TI - Malposition of central venous catheter in a small tributary of left
brachiocephalic vein.
AB - Erroneous positioning of central venous catheters in small tributaries of large
central veins is a rare occurrence. We describe two such unusual incidents
involving cannulation of the small tributaries of left brachiocephalic vein.
Malposition was suspected when the central venous waveform could not be obtained
despite all attempts. Unusual central venous waveforms may indicate central
venous catheter malposition, and these waveforms have not previously been
described.
PMID- 22090753
TI - Telementorable "just-in-time" lung ultrasound on an iPhone.
PMID- 22090754
TI - Wonders of discovery.
PMID- 22090755
TI - Secondary alveolar bone grafting in cleft of the lip and palate patients.
AB - AIM: The aim was to restore the function and form of both arches with a proper
occlusal relationship and eruption of tooth in the cleft area. MATERIALS AND
METHODS: Eleven patients were selected irrespective of sex and socio-economic
status and whose age was within the mixed dentition period. Iliac crest is
grafted in cleft area and subsequently evaluated for graft success using study
models, and periapical and occlusal radiographs. RESULTS: At the time of
evaluation teeth were erupted in the area and good alveolar bone levels were
present. Premaxilla becomes immobile with a good arch form and arch continuity.
There are no major complications in terms of pain, infection, paraesthesia,
hematoma formation at donor site without difficulty in walking. There is no
complication in terms of pain, infection, exposure of graft, rejection of graft,
and wound dehiscence at the recipient site. DISCUSSION: It is evident that
secondary alveolar grafting during the mixed dentition period is more beneficial
for patients at the donor site as well as the recipient site. CONCLUSION: Long
term follow-up is required to achieve maximum advantage of secondary alveolar
grafting; the age of the patient should be within the mixed dentition period,
irrespective of sex, socio-economic status. It may be unilateral or bilateral.
PMID- 22090756
TI - Shear bond strength of composite resin to dentin after application of cavity
disinfectants - SEM study.
AB - AIM: The aim was to evaluate the effect of different cavity disinfectants on
dentin bond strengths of composite resin applied with two different adhesive
systems. MATERIALS AND METHODS: Two-hundred mandibular molars were sectioned
parallel to the occlusal surface to expose dentin in the midcoronal one-third.
The dentinal surfaces were polished with waterproof-polishing papers. The
specimens were randomly divided into five groups of 40 teeth each as follows:
group 1(control) -- specimens were not treated with any cavity disinfectants.
Groups 2--5 (experimental groups) -- dentin surfaces were treated with the
following cavity disinfectants, respectively; 2% chlorhexidine solution, 0.1%
benzalkonium chloride-based disinfectant, 1% chlorhexidine gel, and an iodine
potassium iodide/copper sulfate-based disinfectant. The specimens were then
randomly divided into two subgroups including 20 teeth each to evaluate the
effect of different bonding systems. Dentin bonding systems were applied to the
dentin surfaces and the composite buildups were done. After the specimens were
stored in an incubator for 24 hours, the shear bond strength was measured at a
crosshead speed of 1 mm/min. The specimens were then statistically analyzed.
STATISTICAL ANALYSIS USED: One way analysis of variance and Tukey-HSD tests were
used. RESULTS: There was no significant difference between chlorhexidine gel and
control groups regardless of the type of the bonding agent used (P>0.05). On the
other hand, pretreatment with benzalkonium chloride-based, iodine potassium
iodide/copper sulfate-based disinfectants or chlorhexidine solutions had a
negative effect on the shear bond strength of self-etching bonding systems.
CONCLUSIONS: The findings of this study suggest that when benzalkonium chloride
based, iodine potassium iodide/copper sulfate-based disinfectants or
chlorhexidine solutions are used as a cavity disinfectant, an etch-and-rinse
bonding system should be preferred.
PMID- 22090757
TI - In vitro evaluation of influence of salivary contamination on the dentin bond
strength of one-bottle adhesive systems.
AB - AIM: To evaluate the effect of salivary contamination on the bond strength of one
bottle adhesive systems - (the V generation) at various stages during the bonding
procedure and to investigate the effect of the contaminant removing treatments on
the recovery of bond strengths. MATERIALS AND METHODS: In this study the V
generation one-bottle system - (Adper Single Bond) was tested. Fifty caries-free
human molars with flat dentin surfaces were randomly divided into five groups of
ten teeth each: Group I had 15 second etching with 35% Ortho Phosphoric acid, 15
second rinse and blot dried (Uncontaminated); Group II contaminated and blot
dried; Group III contaminated and completely dried; Group IV contaminated,
washed, blot dried; Group V contaminated, retched washed, and blot dried. The
bonding agent was applied and resin composite (Z-100 3M ESPE) was bonded to the
treated surfaces using the Teflon mold. The specimens in each group were then
subjected to shear bond strength testing in an Instron Universal testing machine
at a crosshead speed of 1 mm / minute and the data were subjected to one way
ANOVA for comparison among the groups (P<0.05). RESULTS: There was a significant
difference between the group that was dried with strong oil-free air after
contamination (Group III) and the other groups. When the etched surface was
contaminated by saliva, there was no statistical difference between the just blot
dry, wash, or the re-etching groups (Groups II, IV, V) if the dentin surface was
kept wet before priming. When the etched dentin surface was dried (Group III) the
shear bond strength decreased considerably. CONCLUSION: The bond strengths to the
tooth structure of the recent dentin bonding agents are less sensitive to common
forms of contamination than assumed. Re-etching without additional mechanical
preparation is sufficient to provide or achieve the expected bond strength.
PMID- 22090759
TI - Prevalence of tooth size discrepancy among North Indian orthodontic patients.
AB - OBJECTIVE: To determine the prevalence of tooth size discrepancy (TSD) in a
representative orthodontics population, to explore how many millimeters of TSD is
clinically significant and to determine the ability of simple visual inspection
to detect such a discrepancy. MATERIALS AND METHODS: The sample comprised 150
pretreatment study casts with fully erupted and complete permanent dentitions
from first molar to first molar, which were selected randomly from records of the
orthodontic patients. The mesiodistal diameters of the teeth were measured at
contact points using digital calipers and the Bolton's analysis was carried out
on them. Simple visual estimation of Bolton discrepancy was also performed.
RESULTS: In the sample group, 24% of the patients had anterior tooth width ratios
and 8% had total arch ratios greater than +/-2 standard deviation (SD) from
Bolton's means. For the anterior analysis, correction greater than +/-2 mm was
required for 24% of patients in the upper arch or 14% in the lower arch. For the
total arch analysis, correction greater than +/-2 mm was required for 36% of
patients in the upper arch or 32% in the lower arch. CONCLUSION: Bolton's
analysis should be routinely performed in all orthodontic patients and the
findings should be included in orthodontic treatment planning. 2 mm of the
required tooth size correction is an appropriate threshold for clinical
significance. Visual estimation of TSD has low sensitivity and specificity.
Careful measurement is more frequently required in clinical practice than visual
estimation would suggest.
PMID- 22090758
TI - Assessment of antibacterial properties of newer dentin bonding agents: An in
vitro study.
AB - AIM: To evaluate and compare the antibacterial activity of newer dentin bonding
agents on Streptococcus mutans using the direct contact test. MATERIALS AND
METHODS: Streptococcus mutans was used as test organism and a direct contact test
was performed. The dentin bonding agents to be tested were grouped as Group I,
Clearfil Protect Bond, Group II, Adper Easy One, and Group III, Prime and Bond
NT. For the direct contact test, three microtiter plates consisting of 96 wells
each were taken (288 wells). These wells were divided into three groups of 96
wells; 16 wells of a microtiter plate were utilized, of which four were
designated as 'A' wells (with the dentin bonding agent and bacterial suspension),
another four as 'B' wells (without the dentin bonding agent, but with the
bacterial suspension), another four as the 'C' wells (with the tested material,
but without bacteria, which served as the negative control), and the remaining
four as the 'D' wells (without the dentin bonding agent, which served as the
positive control). Each group was treated with their respective bonding agents as
per the manufactures instructions. Broth of 15 MUL was then transferred from the
A wells into an adjacent set of B wells containing fresh medium (215 MUL). This
resulted in two sets of four wells for each tested material containing an equal
volume of liquid medium, so that bacterial growth was monitored both in the
presence and in the absence of the tested material. The plate was placed for
incubation at 37 degrees C in the microplate reader and the optical density in
each well was measured at 600 nm. The readings were taken at regular intervals.
(Every 30 minutes for 16 hours). RESULTS: The Dentin bonding agents evaluated in
this study showed different inhibitory effects. Clearfil Protect Bond and Prime
and Bond NT were most effective, and Adper Easy One was least effective against
Streptococcus mutans. INTERPRETATION AND CONCLUSION: The Dentin bonding agents
evaluated in this study showed different inhibitory effects. Clearfil Protect
Bond and Prime and Bond NT were most effective, and Adper Easy One was the least
effective against Streptococcus mutans. Hence, the incorporation of antibacterial
agents into the dentin bonding agents may become an essential factor in
inhibiting residual bacteria in the cavity and secondary caries.
PMID- 22090760
TI - Assessment of crown angulations, crown inclinations, and tooth size discrepancies
in a South Indian population.
AB - AIMS AND OBJECTIVE: The aim of this study was to assess crown angulations, crown
inclinations, and tooth size discrepancy in a sample population from Davangere,
South India. MATERIALS AND METHODS: One hundred adults (50 male and 50 female) of
age 18-30 years, with Angle's class I ideal occlusion and balanced profiles, were
selected for the study. Study models were prepared and crown angulations and
crown inclinations were measured using a customized protractor device. Bolton's
analysis was used to measure the tooth size discrepancies. RESULTS: Maxillary and
mandibular teeth had less crown angulations. Maxillary and mandibular incisors
and maxillary molars showed increased crown inclinations, whereas mandibular
molars and premolars had less crown inclinations than the original Andrews
sample. The mean maxillary and mandibular tooth size ratios, overall and
anterior, were similar to Bolton's ratios. CONCLUSIONS: The finding of this study
indicates that there are possible racial and ethnic factors contributing to
variations in crown angulations and crown inclinations.
PMID- 22090761
TI - Comparative evaluation of linear dimensional changes of four commercially
available heat cure acrylic resins.
AB - CONTEXT: Heat cured acrylic resins undergo dimensional changes during
polymerization. Dimensional changes which occur in the heat cure acrylic resins
are shrinkage and expansion which affects the fit of the denture and occlusal
relationship. AIMS: The purpose of this study was to access the linear
dimensional changes of four heat cure acrylic resins before and after curing and
compare the changes among four different acrylic brands. MATERIALS AND METHODS:
Twenty four patients irrespective of age and sex were taken and four commercially
available brands were procured. After the teeth arrangement on the mandibular
trial denture, two pins were fixed in central fossae of first molar on both sides
and one pin in the cingulum of left central incisor. Meliodent heat cure acrylic
resin was used in Group A; Trevalon heat cure acrylic resin was used in Group B;
Triplex heat cure acrylic resin was used in Group C and Vertex heat cure acrylic
resin was used in Group D. Linear measurements of the trial wax up before and
after curing and before and after finishing and polishing were measured and
compared. Collected data was analyzed with analysis of variance and 't' test at
95% level of confidence (P=0.05). RESULTS: The maximum percentage changes were
seen in cases of Group A (Meliodent) followed by Group B, Group C and Group D
(Trevalon, Triplex and Vertex). Meliodent showed the highest percentage change
i.e. 1.18% and Vertex showed least percentage change of 0.37 %. CONCLUSIONS:
Shrinkage occurred after curing and after finishing and polishing, which varies
significantly with the four commercially available heat cure acrylic resins.
Among the four different brands of heat cure acrylic resin Group D (Vertex) had
the least linear dimensional changes after curing and after finishing and
polishing, so that D (Vertex) could be the material of choice for fabrication of
complete denture among the four brands.
PMID- 22090762
TI - Identification of Candida albicans by using different culture medias and its
association in potentially malignant and malignant lesions.
AB - BACKGROUND AND OBJECTIVE: The present study evaluates the association of Candida
albicans with normal control group, potentially malignant and malignant lesions
of oral cavity by using two different liquid culture media. MATERIALS AND
METHODS: Saliva was collected and biopsy was taken only from those clinically
suspected potentially malignant and malignant lesions for histopathological
diagnosis. Saliva samples were inoculated for fungal growth in Sabouraud's
dextrose agar and culture-positive samples had undergone for Germ tube test. Germ
tube-positive samples were further taken for quantification of chlamydospore
production in liquid media at 8 and 16 hours. RESULTS: In normal control groups
no fungus growth was found; however, potentially malignant and malignant cases
showed fungus growth, positive germ tube test and chlamydospore formation. The
result also showed rapid and quantitatively more chlamydospore formation in corn
meal broth + 5% milk in comparison to serum milk culture media. CONCLUSION: The
oral mucosa is compromised in potentially malignant lesions, it can be argued
that this species may be involved in carcinogenesis by elaborating the
nitrosamine compounds which either act directly on oral mucosa or interact with
other chemical carcinogens to activate specific proto-oncogenes and thereby
initiate oral neoplasia.
PMID- 22090763
TI - Redesign of a fixture mount to be used as an impression coping and a provisional
abutment as well.
AB - PURPOSE: An integrated fixture mount/impression coping/ temporary abutment can
provide many advantages for immediate loading of dental implants, such as simpler
procedure, less chair time, cost reduction, and comfort for the patients.
MATERIALS AND METHODS: A newly designed dental implant fixture mount (DIFMA) can
be used as an impression coping for taking an immediate impression. An immediate
load provisional prosthesis can then be fabricated shortly after implant
placement to immediately load the implants. This fixture mount can also serve as
a temporary abutment for immediate chair-side fabrication of provisional
prosthesis. Two clinical cases are presented. RESULTS: A clinical case utilizing
the fixture mount abutment (DIFMA)/implant assembly is presented. The precision
of fitting between the impression copings and implants is secured with this
system. The chair time for taking an immediate impression is greatly reduced.
Less cost for the restoration is provided and patient comfort is delivered.
CONCLUSIONS: More patient satisfaction can be conferred by employing the fixture
mount in the process of immediate impression taking and as an immediate
provisional abutment.
PMID- 22090764
TI - Evaluation of mandibular morphology in different facial types.
AB - The purpose of this study was to evaluate mandibular morphology in different
facial types using various parameters. This study was conducted on lateral
cephalograms of a total of 110 subjects, which included 55 males and 55 females
between the age of 18-25 years having a mean of 22.3 years for males and 21.5
years for females. The sample was divided into normodivergent, hypodivergent, and
hyperdivergent subgroups based on Jarabak's ratio. Symphysis height, depth, ratio
(height/depth) and angle, antegonial notch depth, ramal height and width,
mandibular depth, upper, lower, and total gonial angle, and mandibular arc angle
were analyzed statistically and graphically. It was found that the mandible with
the vertical growth pattern was associated with a symphysis with large height,
small depth, large ratio, small angle, decreased ramus height and width, smaller
mandibular depth, increased gonial angle, and decreased mandibular arc angle in
contrast to mandible with a horizontal growth pattern. Sexual dichotomy was found
with mean symphysis height and depth in the female sample being smaller than in
the male sample, but symphysis ratio was larger in the female sample; males
having greater ramus height and width, mandibular depth than females. The
mandible seemed to have retained its infantile characteristics with all its
processes underdeveloped in hyperdivergent group.
PMID- 22090765
TI - Prosthetic rehabilitation of a preschooler with induced anodontia - A clinical
report.
AB - A case of prosthetic rehabilitation with complete dentures for a three year nine
months old child is presented. Dental prosthesis are frequently used to avoid
psychologic, speech, or swallowing problems in preschooler children. The case was
followed up for a period of three years. These prosthesis were modified as the
child grew and as the permanent teeth erupted into the oral cavity the dentures
were trimmed from the areas of erupting teeth to facilitate their eruption.
PMID- 22090766
TI - Dentigerous cyst associated with multiple complex composite odontomas.
AB - Odontomas are considered to be hamartomatous malformations rather than true
neoplasms. This most common odontogenic lesion results from the growth of
completely differentiated epithelial and mesenchymal cells that give rise to
ameloblasts and odontoblasts. Dentigerous cyst is an epithelium-lined sac
enclosing the crown of an unerupted tooth. Apparently, the dentigerous cyst
arises by the accumulation of fluid between reduced enamel epithelium and the
tooth crown. Occasionally it is associated with supernumerary tooth or odontoma.
We report a case of dentigerous cyst associated with complex composite odontoma
and an impacted lateral incisor in a 30-year-old male patient.
PMID- 22090767
TI - Compound odontoma associated with an unerupted rotated and dilacerated maxillary
central incisor.
AB - Odontomas are benign tumors containing various component tissues of teeth. They
usually remain asymptomatic and are diagnosed on routine radiographs. Clinically,
they are often associated with delayed eruption or impaction of permanent teeth
and retained primary teeth. A case of compound odontoma in association with an
unerupted, rotated and dilacerated maxillary permanent right central incisor in a
12-year-old boy is reported. Such combination is rare, making it an interesting
case for reporting. We have also discussed the clinical features, diagnosis and
treatment of such a condition.
PMID- 22090768
TI - Pierre robin sequence and the pediatric dentist.
AB - This article on the dental management of a neonate with Pierre Robin sequence
describes the clinical and laboratory procedures for construction of a feeding
plate due to the presence of a cleft palate. Emphasis has also been laid on a few
literatures to describe medical complications associated with this condition. A
56-day-old neonate had been referred to the outpatient department with the
complaint of difficulty in feeding, description, and management of which has been
described in the case report.
PMID- 22090769
TI - Immediate fixed temporization with a natural tooth crown pontic following failure
of replantation.
AB - Extracted teeth can be bonded directly to adjacent teeth utilizing a
prefabricated composite resin framework reinforced with polyethylene fiber as a
noninvasive long-term provisional tooth replacement. This immediate provisional
restoration allow for exact repositioning of the coronal part of the extracted
tooth in its original intraoral three-dimensional position and thus relieves the
apprehension of the patient caused by the sudden loss of an anterior tooth.
PMID- 22090770
TI - Mandibular adenomatoid odontogenic tumor: A report of an unusual case.
AB - Adenomatoid odontogenic tumor (AOT) is a slow-growing, benign tumor that appears
in the anterior portion of the jaws and more frequently, the anterior maxilla
usually in association with the crowns of unerupted teeth. Most of the tumors are
diagnosed in second decade of life. A rare case report of AOT associated with an
impacted right mandibular first premolar in a 24-year-old female is reported.
PMID- 22090771
TI - A case of desmoplastic ameloblastoma occupying maxillary sinus.
AB - We report a rare case of desmoplastic ameloblastoma lesion that filled the entire
maxillary sinus. The patient visited our hospital with a chief complaint of
swelling around the upper left premolars. A panoramic X-ray captured an image of
a mixture of ill-defined radiolucency and radiopacity from the swollen area to
the maxillary sinus. Computed tomography (CT) and Magnetic resononce imaging
(MRI) showed that the lesion occupied almost the entire left maxillary sinus and
had entered the nasal cavity. A pathologic diagnosis of ameloblastoma was made
after biopsy, and the tumor was removed and the marginal bone curetted under
general anesthesia. A CT scan at 4 months postoperatively indicated the presence
of residual and recurrent tumor in the area of the upper left lateral incisor,
and removal and curettage were performed again. Recurrence may be detected
relatively easily based on radiographic characteristics, and therefore follow-up
with an X-ray examination such as a CT scan is important.
PMID- 22090772
TI - Buccal-sided mandibular angle exostosis - A rare case report.
AB - Buccal exostoses are benign, broad-based surface masses of the outer or facial
aspect of the maxilla and less commonly, the mandible. They begin to develop in
early adulthood and may very slowly enlarge over the years. They are painless and
self-limiting, but occasionally may become several centimeters across and then
contribute to periodontal disease of the adjacent teeth by forcing food during
chewing in toward the teeth instead of away from them, as is normally the case.
The following paper presents a very rare case of buccal-sided mandibular angle
exoxtosis and its management with surgical exploration.
PMID- 22090773
TI - Pyogenic granuloma associated with periodontal abscess and bone loss - A rare
case report.
AB - A diverse group of the pathologic process can produce the enlargement of soft
tissues in the oral cavity and often present a diagnostic challenge. This soft
tissue enlargement may represent a variation of the normal anatomic structure,
inflammatory reaction, cyst, neoplasm, and developmental anomalies. A group of
reactive hyperplasias, which develop in response to chronic recurring tissue
injury that stimulates an excessive tissue repair response. The pyogenic
granuloma (PG) is a reactive enlargement that is an inflammatory response to
local irritation such as calculus, a fractured tooth, rough dental restoration,
and foreign materials or hormonal (pregnancy tumor) and rarely associated with
bone loss. This paper presents a rare case of PG associated with periodontal
abscess and bone loss in a 30-year-old male.
PMID- 22090774
TI - Franceschetti syndrome.
AB - Franceschetti syndrome is an autosomal dominant disorder of craniofacial
development with variable expressivity. It is commonly known as Treacher Collins
syndrome (TCS). It is named after E. Treacher Collins who described the essential
components of the condition. It affects both genders equally. This article
reports a case of TCS in an 18-year-old female.
PMID- 22090775
TI - Central giant cell granuloma mimicking an adenomatoid odontogenic tumor.
AB - Central giant cell granulomas are non-neoplastic lesions of unknown etiology.
They affect females more than males with the mandibular anterior region being the
most common site of occurrence. Clinically central giant cell granulomas present
as asymptomatic, expansile swellings causing deviation of associated teeth.
Radiologically they usually presents as multilocular lesions causing expansion or
perforation of cortical bone. Central giant cell granulomas are usually confused
as other lesions both clinically and radiologically, and a definitive diagnosis
can be made only histologically. We report here a rare case of central giant cell
granuloma in association with congenitally missing tooth which was misdiagnosed
to be an adenomatoid odontogenic tumor both clinically and radiologically. This
case report also highlights yet another unique presentation of central giant cell
granulomas that is in association with a congenitally missing maxillary lateral
incisor.
PMID- 22090776
TI - Split obturator: An innovative approach.
AB - A palatal prosthesis can improve function by closing the palatal defect,
preventing regurgitation, and improving swallowing and speech. Although
techniques have been previously described for fabrication of palatal obturator,
but there has not been any technique to devise an obturator for a patient with
palatal defect with a quadhelix orthodontic appliance overlying it. One cannot
wait in such patients for completion of lengthy orthodontic treatment and then
think of devising prosthesis as the patient cannot carry out normal functions
like swallowing and speech without the closure of defect. This article focuses on
an innovative method of fabricating a palatal obturator which aims at restoring
the above-mentioned functions along with improving aesthetics. It also enables us
to devise the fabrication of prosthesis in two parts for easy insertion and
removal and as well as to be self-cleansable. Such prosthesis would markedly
improve patient psychology and confidence.
PMID- 22090777
TI - Step-by-step full mouth rehabilitation of a nasopharyngeal carcinoma patient with
tooth and implant-supported prostheses: A clinical report.
AB - This clinical report presents a 46-year-old man diagnosed with nasopharyngeal
carcinoma with the chief complaint of masticatory and speech deficiency because
of radiation therapy. After a period of controlling post radiation caries, the
patient was rehabilitated with tooth and implant supported metal ceramic
restorations following surgical and endodontic intervention.
PMID- 22090778
TI - Congenital hemifacial hyperplasia.
AB - Congenital hemifacial hyperplasia (CHH) is a rare congenital malformation
characterized by marked unilateral overdevelopment of hard and soft tissues of
the face. Asymmetry in CHH is usually evident at birth and accentuated with age,
especially at puberty. The affected side grows at a rate proportional to the
nonaffected side so that the disproportion is maintained thr oughout the life.
Multisystem involvement has resulted in etiological heterogeneity including
heredity, chromosomal abnormalities, atypical forms of twinning, altered
intrauterine environment, and endocrine dysfunctions; however, no single theory
explains the etiology adequately. Deformities of all tissues of face, including
teeth and their related tissues in the jaw, are key findings for correct
diagnosis of CHH. Here an attempt has been made to present a case of CHH with its
archetypal features and to supplement existing clinical knowledge.
PMID- 22090779
TI - True vertical tooth root fracture: Case report and review.
AB - It is important for the clinician not only to gather as much information about a
case as possible, but also to be able to correctly interpret the data to arrive
at an accurate diagnosis. Occasionally, a case presents with symptoms that might
be suggestive of a condition; however, the final diagnosis may be totally
different. This paper reports on an interesting case of a true vertical root
fracture, in an intact maxillary molar in a 55-year-old man. The case was
misdiagnosed and treated as a periodontal defect for over two months. The paper
discusses the various causes and diagnostic dilemmas of root fractures.
PMID- 22090780
TI - Systematic review of modulators of benzodiazepine receptors in irritable bowel
syndrome: is there hope?
AB - Several drugs are used in the treatment of irritable bowel syndrome (IBS) but all
have side effects and variable efficacy. Considering the role of the gut-brain
axis, immune, neural, and endocrine pathways in the pathogenesis of IBS and
possible beneficial effects of benzodiazepines (BZD) in this axis, the present
systematic review focuses on the efficacy of BZD receptor modulators in human
IBS. For the years 1966 to February 2011, all literature was searched for any
articles on the use of BZD receptor modulators and IBS. After thorough evaluation
and omission of duplicate data, 10 out of 69 articles were included. BZD receptor
modulators can be helpful, especially in the diarrhea-dominant form of IBS, by
affecting the inflammatory, neural, and psychologic pathways, however,
controversies still exist. Recently, a new BZD receptor modulator, dextofisopam
was synthesized and studied in human subjects, but the studies are limited to
phase IIb clinical trials. None of the existing trials considered the
neuroimmunomodulatory effect of BZDs in IBS, but bearing in mind the
concentration-dependent effect of BZDs on cytokines and cell proliferation,
future studies using pharmacodynamic and pharmacokinetic approaches are highly
recommended.
PMID- 22090782
TI - Advanced endoscopic imaging in Barrett's oesophagus: a review on current
practice.
AB - Over the last few years, improvements in endoscopic imaging technology have
enabled identification of dysplasia and early cancer in Barrett's oesophagus. New
techniques should exhibit high sensitivities and specificities and have good
interobserver agreement. They should also be affordable and easily applicable to
the community gastroenterologist. Ideally, these modalities must exhibit the
capability of imaging wide areas in real time whilst enabling the endoscopist to
specifically target abnormal areas. This review will specifically focus on some
of the novel endoscopic imaging modalities currently available in routine
practice which includes chromoendoscopy, autofluorescence imaging and narrow band
imaging.
PMID- 22090781
TI - Factors predicting occurrence and prognosis of hepatitis-B-virus-related
hepatocellular carcinoma.
AB - Primary liver cancer is an important cause of cancer death, and hepatocellular
carcinoma (HCC) accounts for 70%-85% of total liver cancer worldwide. Chronic
hepatitis B virus (HBV) infection contributes to > 75% of HCC cases. High serum
viral load is the most reliable indicator of viral replication in predicting
development of HCC. HBV genotype C is closely associated with HCC in cirrhotic
patients aged > 50 years, whereas genotype B is associated with development of
HCC in non-cirrhotic young patients and postoperative relapse of HCC. Different
HBV subgenotypes have distinct patterns of mutations, which are clearly
associated with increased risk of HCC. Mutations accumulate during chronic HBV
infection and predict occurrence of HCC. Chronic inflammation leads to increased
frequency of viral mutation via cellular cytidine deaminase induction. Mutations
are negatively selected by host immunity, whereas some immuno-escaped HBV mutants
are active in hepatocarcinogenesis. Inflammatory pathways contribute to the
inflammation-necrosis-regeneration process, ultimately HCC. Their hallmark
molecules can predict malignancy in HBV-infected subjects. Continuing
inflammation is involved in hepatocarcinogenesis and closely related to
recurrence and metastasis. HBV load, genotype C, viral mutations and expression
of inflammatory molecules in HBV-related HCC tissues are significantly associated
with poor prognosis. Imbalance between intratumoral CD8(+) T cells and regulatory
T cells or Th1 and Th2 cytokines in peritumoral tissues can predict prognosis of
HBV-related HCC. These factors are important for developing active prevention and
surveillance of HBV-infected subjects who are more likely to develop HCC, or for
tailoring suitable treatment to improve survival or postpone postoperative
recurrence of HCC.
PMID- 22090783
TI - Functional imaging and endoscopy.
AB - The emergence of endoscopy for the diagnosis of gastrointestinal diseases and the
treatment of gastrointestinal diseases has brought great changes. The mere
observation of anatomy with the imaging mode using modern endoscopy has played a
significant role in this regard. However, increasing numbers of endoscopies have
exposed additional deficiencies and defects such as anatomically similar
diseases. Endoscopy can be used to examine lesions that are difficult to identify
and diagnose. Early disease detection requires that substantive changes in
biological function should be observed, but in the absence of marked
morphological changes, endoscopic detection and diagnosis are difficult. Disease
detection requires not only anatomic but also functional imaging to achieve a
comprehensive interpretation and understanding. Therefore, we must ask if
endoscopic examination can be integrated with both anatomic imaging and
functional imaging. In recent years, as molecular biology and medical imaging
technology have further developed, more functional imaging methods have emerged.
This paper is a review of the literature related to endoscopic optical imaging
methods in the hopes of initiating integration of functional imaging and
anatomical imaging to yield a new and more effective type of endoscopy.
PMID- 22090784
TI - Heme oxygenase-1 system and gastrointestinal inflammation: a short review.
AB - Heme oxygenase-1 (HO-1) system catalyzes heme to biologically active products:
carbon monoxide, biliverdin/bilirubin and free iron. It is involved in
maintaining cellular homeostasis and many physiological and pathophysiological
processes. A growing body of evidence indicates that HO-1 activation may play an
important protective role in acute and chronic inflammation of gastrointestinal
tract. This review focuses on the current understanding of the physiological
significance of HO-1 induction and its possible roles in the gastrointestinal
inflammation studied to date. The ability to upregulate HO-1 by pharmacological
means or using gene therapy may offer therapeutic strategies for gastrointestinal
inflammation in the future.
PMID- 22090785
TI - rAd-p53 enhances the sensitivity of human gastric cancer cells to chemotherapy.
AB - AIM: To investigate potential antitumor effects of rAd-p53 by determining if it
enhanced sensitivity of gastric cancer cells to chemotherapy. METHODS: Three
gastric cancer cell lines with distinct levels of differentiation were treated
with various doses of rAd-p53 alone, oxaliplatin (OXA) alone, or a combination of
both. Cell growth was assessed with an 3-(4,5)-dimethylthiahiazo (-z-y1)-3,5
diphenytetrazoliumromide assay and the expression levels of p53, Bax and Bcl-2
were determined by immunohistochemistry. The presence of apoptosis and the
expression of caspase-3 were determined using flow cytometry. RESULTS: Treatment
with rAd-p53 or OXA alone inhibited gastric cancer cell growth in a time- and
dose-dependent manner; moreover, significant synergistic effects were observed
when these treatments were combined. Immunohistochemical analysis demonstrated
that treatment with rAd-p53 alone, OXA alone or combined treatment led to
decreased Bcl-2 expression and increased Bax expression in gastric cancer cells.
Furthermore, flow cytometry showed that rAd-p53 alone, OXA alone or combination
treatment induced apoptosis of gastric cancer cells, which was accompanied by
increased expression of caspase-3. CONCLUSION: rAd-p53 enhances the sensitivity
of gastric cancer cells to chemotherapy by promoting apoptosis. Thus, our results
suggest that p53 gene therapy combined with chemotherapy represents a novel
avenue for gastric cancer treatment.
PMID- 22090786
TI - Casticin-induced apoptosis involves death receptor 5 upregulation in
hepatocellular carcinoma cells.
AB - AIM: To investigate the apoptotic activities of casticin in hepatocellular
carcinoma (HCC) cells and its molecular mechanisms. METHODS: PLC/PRF/5 and Hep G2
cell lines were cultured in vitro and the inhibitory effect of casticin on the
growth of cells was detected by 3-[4,5-dimethylthiazol-2-yl]-2,5 diphenyl
tetrazolim bromide (MTT) assay. The apoptotic cell death was examined using the
cell apoptosis enzyme linked immunosorbent assay (ELISA) detection kit, flow
cytometry (FCM) after propidium iodide (PI) staining and DNA agarose gel
electrophoresis. The caspase activities were measured using ELISA. Reactive
oxygen species (ROS) production was evaluated by FCM after
dichlorodihydrofluorescein diacetate (DCFH-DA) probe labeling. Intracellular
glutathione (GSH) content was measured using a glutathione assay kit. The
expression of death receptor (DR)4 and DR5 proteins was analyzed by Western
blotting and FCM. RESULTS: Casticin significantly inhibited the growth of human
HCC (PLC/PRF/5 and Hep G2) cells in a dose-dependent manner (P < 0.05). Casticin
increased the percentage of the sub-G1 population in HCC cells in a concentration
dependent manner. The potency of casticin to PLC/PRF/5 cells was higher than that
of 5-flurouracil (26.8% +/- 4.8% vs 17.4% +/- 5.1%) at 10 MUmol/L for 24 h.
Casticin increased the levels of Histone/DNA fragmentation and the levels of
active caspase-3, -8 and -9 in a concentration-dependent manner (P < 0.05).
Treatment with 30 MUmol/L casticin for 24 h resulted in the formation of a DNA
ladder. Casticin reduced the GSH content (P < 0.05), but did not affect the level
of intracellular ROS in PLC/PRF/5 and Hep G2 cells. The thiol antioxidants,
acetylcysteine (NAC) and GSH restored GSH content and attenuated casticin-induced
apoptosis. In contrast, the nonthiol antioxidants, butylated hydroxyanisole and
mannitol failed to do so. In the HCC cells treated with casticin for 24 h, DR5
protein level was increased. The expression of DR5 protein induced by casticin
was inhibited by NAC. Pretreatment with DR5/Fc chimera protein, a blocking
antibody, effectively attenuated the induction of apoptosis by casticin.
CONCLUSION: Casticin-induced apoptosis of HCC cells is involved in GSH depletion
and DR5 upregulation.
PMID- 22090787
TI - High resolution colonoscopy in a bowel cancer screening program improves polyp
detection.
AB - AIM: To compare high resolution colonoscopy (Olympus Lucera) with a megapixel
high resolution system (Pentax HiLine) as an in-service evaluation. METHODS:
Polyp detection rates and measures of performance were collected for 269
colonoscopy procedures. Five colonoscopists conducted the study over a three
month period, as part of the United Kingdom bowel cancer screening program.
RESULTS: There were no differences in procedure duration (chi2 P = 0.98), caecal
intubation rates (chi2 P = 0.67), or depth of sedation (chi2 P = 0.64). Mild
discomfort was more common in the Pentax group (chi2 P = 0.036). Adenoma
detection rate was significantly higher in the Pentax group (chi2 test for trend
P = 0.01). Most of the extra polyps detected were flat or sessile adenomas.
CONCLUSION: Megapixel definition colonoscopes improve adenoma detection without
compromising other measures of endoscope performance. Increased polyp detection
rates may improve future outcomes in bowel cancer screening programs.
PMID- 22090788
TI - Role of surgical intervention in managing gastrointestinal metastases from lung
cancer.
AB - AIM: To investigate the clinicopathological characteristics of late-stage lung
cancer patients with gastrointestinal (GI)-tract metastases, focusing on
therapeutic options and outcomes. METHODS: Our institution (the National Taiwan
University Hospital) diagnosed 8159 patients with lung cancer between 1987 and
2008, of which 21 developed symptomatic GI metastases. This study reviewed all of
the patients' information, including survival data, pathological reports, and
surgical notes. RESULTS: The most common histological type of lung cancer was
adenocarcinoma, and 0.26% of patients with lung cancer developed GI metastases.
The median duration from lung cancer diagnosis to GI metastases was three months
(range, 0-108 mo), and the average time from diagnosis of GI metastasis to death
was 2.8 mo. Most patients with symptomatic gastric and/or duodenal metastases
exhibited GI bleeding and were diagnosed by panendoscopy. In contrast, small
bowel metastases typically presented as an acute abdomen and were not diagnosed
until laparotomy. All patients with small bowel or colonic metastases underwent
surgical intervention, and their perioperative mortality was 22%. Our data
revealed a therapeutic effect in patients with solitary GI metastasis and a
favorable palliative effect on survival when metastases were diagnosed
preoperatively. In patients with multiple GI metastases, the presentation varied
according to the locations of the metastases. CONCLUSION: Surgical treatment is
worthwhile in a select group of patients with bowel perforation or obstruction.
Physicians should be more alert to symptoms or signs indicating GI metastases.
PMID- 22090790
TI - Sixty-four-slice computed tomography in surgical strategy of portal vein
cavernous transformation.
AB - AIM: To investigate the role of 64-slice computed tomography (CT) in portal vein
cavernous transformation to determine surgical strategy. METHODS: The site of
lesions and extent of collateral circulation in 12 pediatric cases of cavernous
transformation of the portal vein with surgical treatment were analyzed. RESULTS:
Eleven of 12 children had esophageal varices and were treated with lower
esophageal and gastric devascularization and splenectomy, and the other case was
only treated with splenectomy. There were eight cases with spontaneous
spleen/stomach-renal shunt, four with Retzius vein opening, which was reserved
during surgery. Three cases of lesions involving the intrahepatic portal vein
(PV) were treated with living donor liver transplantation. One patient died from
PV thrombosis after liver transplantation, and the rest had no significant
complications. CONCLUSION: The PV, its branches and collateral circulation were
clearly seen by 64-slice spiral CT angiography, which helped with preoperative
surgical planning.
PMID- 22090789
TI - A meta-analysis of lamivudine for interruption of mother-to-child transmission of
hepatitis B virus.
AB - AIM: To determine the therapeutic effect of lamivudine in late pregnancy for the
interruption of mother-to-child transmission (MTCT) of hepatitis B virus (HBV).
METHODS: Studies were identified by searching available databases up to January
2011. Inclusive criteria were HBV-carrier mothers who had been involved in
randomized controlled clinical trials (RCTs) with lamivudine treatment in late
pregnancy, and newborns or infants whose serum hepatitis B surface antigen
(HBsAg), hepatitis B e antigen (HBeAg) or HBV DNA had been documented. The
relative risks (RRs) for interruption of MTCT as indicated by HBsAg, HBV DNA or
HBeAg of newborns or infants were calculated with 95% confidence interval (CI) to
estimate the efficacy of lamivudine treatment. RESULTS: Fifteen RCTs including
1693 HBV-carrier mothers were included in this meta-analysis. The overall RR was
0.43 (95% CI, 0.25-0.76; 8 RCTs; P(heterogeneity) = 0.04) and 0.33 (95% CI, 0.23
0.47; 6 RCTs; P(heterogeneity) = 0.93) indicated by newborn HBsAg or HBV DNA. The
RR was 0.33 (95% CI, 0.21-0.50; 6 RCTs; P(heterogeneity) = 0.46) and 0.32 (95%
CI, 0.20-0.50; 4 RCTs; P(heterogeneity) = 0.33) indicated by serum HBsAg or HBV
DNA of infants 6-12 mo after birth. The RR (lamivudine vs hepatitis B
immunoglobulin) was 0.27 (95% CI, 0.16-0.46; 5 RCTs; P(heterogeneity) = 0.94) and
0.24 (95% CI, 0.07-0.79; 3 RCTs; P(heterogeneity) = 0.60) indicated by newborn
HBsAg or HBV DNA, respectively. In the mothers with viral load < 106 copies/mL
after lamivudine treatment, the efficacy (RR, 95% CI) was 0.33, 0.21-0.53 (5
RCTs; P(heterogeneity) = 0.82) for the interruption of MTCT, however, this value
was not significant if maternal viral load was > 106 copies/mL after lamivudine
treatment (P = 0.45, 2 RCTs), as indicated by newborn serum HBsAg. The RR
(lamivudine initiated from 28 wk of gestation vs control) was 0.34 (95% CI, 0.22
0.52; 7 RCTs; P(heterogeneity) = 0.92) and 0.33 (95% CI, 0.22-0.50; 5 RCTs;
P(heterogeneity) = 0.86) indicated by newborn HBsAg or HBV DNA. The incidence of
adverse effects of lamivudine was not higher in the mothers than in controls (P =
0.97). Only one study reported side effects of lamivudine in newborns.
CONCLUSION: Lamivudine treatment in HBV carrier-mothers from 28 wk of gestation
may interrupt MTCT of HBV efficiently. Lamivudine is safe and more efficient than
hepatitis B immunoglobulin in interrupting MTCT. HBV MTCT might be interrupted
efficiently if maternal viral load is reduced to < 106 copies/mL by lamivudine
treatment.
PMID- 22090791
TI - Comparison of laparoscopic and open surgery for pyogenic liver abscess with
biliary pathology.
AB - AIM: To investigate the feasibility and therapeutic effect of laparoscopic
surgery for pyogenic liver abscess (PLA) with biliary pathology. METHODS: From
January 2004 to October 2010, 31 patients with PLA combined with biliary
pathology meeting entry criteria received surgical management in our hospital. Of
the 31 patients, 13 underwent laparoscopic surgery (LS group) and 18 underwent
open surgery (OS group). Clinical data including operation time, intraoperative
blood loss, postoperative complication rate, length of postoperative hospital
stay, and abscess recurrence rate were retrospectively analyzed and compared
between the two groups. RESULTS: All patients received systemic antibiotic
therapy. Four patients underwent ultrasound-guided percutaneous catheter drainage
before operation. Postoperative complications occurred in 5 patients (16.1%,
5/31) including 2 in the LS group and 3 in the OS group. One patient had retained
calculus in the common bile duct and another had liver abscess recurrence in the
OS group. No retained calculus and liver abscess recurrence occurred in the LS
group. In the two groups, there was no mortality during the perioperative period.
There were no significant differences in operation time, intraoperative blood
loss and transfusion, postoperative complication rate and abscess recurrence rate
between the two groups. Oral intake was earlier (1.9 +/- 0.4 d vs 3.1 +/- 0.7 d,
P < 0.05) and length of postoperative hospital stay was shorter (11.3 +/- 2.9 d
vs 14.5 +/- 3.7 d, P < 0.05) in the LS group than in the OS group. CONCLUSION:
Laparoscopic surgery for simultaneous treatment of PLA and biliary pathology is
feasible in selected patients and the therapeutic effect is similar to that of
open surgery.
PMID- 22090792
TI - Sudden blindness in a child with Crohn's disease.
AB - Inflammatory bowel disease (IBD) is often associated with extraintestinal
manifestations (EIMs) such as optic neuritis (ON), although this has been
described in only a few adult patients so far, all of whom were affected with
Crohn's disease (CD). Furthermore, ON and demyelinating diseases have been
demonstrated to be more frequent in IBD patients than in control populations. In
our current case report, we describe a child with active CD who developed sudden
blindness due to bilateral ON that was not related to any known cause, and that
promptly responded to a high dose of steroids. Investigations and a clinical
follow-up have so far ruled out the development of demyelinating diseases in this
patient. To our knowledge, this is the first report of ON in a pediatric patient
with CD. Possible explanations for this case include an episodic EIM of an active
bowel disease, an associated autoimmune disorder such as a recurrent isolated ON,
the first manifestation of multiple sclerosis, or another demyelinating disease
that could appear in a later follow-up.
PMID- 22090793
TI - Regional lymphadenectomy strongly recommended in T1b gallbladder cancer.
AB - This article discusses the adequate treatment of early gallbladder cancer (T1a,
T1b) and is based on published studies extending over nearly 3 decades.
Randomized studies and meta analyses comparing different surgical treatments do
not exist. The literature shows that in up to 20% of patients lymph node
metastasis are found in T1b gallbladder cancer. Due to high malignancy with early
angiolymphatic spread and resistance to chemotherapy and radiation on the one
hand, and the relative low operative risk of extended cholecystectomy
(cholecystectomy and regional lymphadenectomy) on the other hand, we believe that
this procedure is mandatory in early gallbladder cancer.
PMID- 22090794
TI - Characterization and Analysis of Relative Intensity Noise in Broadband Optical
Sources for Optical Coherence Tomography.
AB - Relative intensity noise (RIN) is one of the most significant factors limiting
the sensitivity of an optical coherence tomography (OCT) system. The existing and
prevalent theory being used for estimating RIN for various light sources in OCT
is questionable, and cannot be applied uniformly for different types of sources.
The origin of noise in various sources differs significantly, owing to the
different physical nature of photon generation. In this study, we characterize
and compare RIN of several OCT light sources including superluminescent diodes
(SLDs), an erbium-doped fiber amplifier, multiplexed SLDs, and a continuous-wave
laser. We also report a method for reduction of RIN by amplifying the SLD light
output by using a gain-saturated semiconductor optical amplifier.
PMID- 22090795
TI - Developing Survey Research Infrastructure At An Historically Black
College/University To Address Health Disparities.
AB - This article describes the development of the Center for Survey Research at Shaw
University, a Historically Black College and University (HBCU), and its efforts
to build a data collection infrastructure that addresses issues germane to health
disparities research in the African American population. Academic institutions
that are similar to Shaw in size, mission, and background can use the Project
EXPORT collaboration and the Center for Survey Research as models for
establishing their own research infrastructure and subsequent survey center in
order to address health disparities through the use of survey methodology.
PMID- 22090796
TI - Concordance, compliance, preference or adherence.
PMID- 22090797
TI - Clinical utility of the risperidone formulations in the management of
schizophrenia.
AB - Risperidone is one of the early second-generation antipsychotics that came into
the limelight in the early 1990s. Both the oral and long-acting injectable
formulations have been subject to numerous studies to assess their safety,
efficacy, and tolerability. Risperidone is currently one of the most widely
prescribed antipsychotic medications, used for both acute and long-term
maintenance in schizophrenia. Risperidone has better efficacy in the treatment of
psychotic symptoms than placebo and possibly many first-generation
antipsychotics. Risperidone fares better than placebo and first-generation
antipsychotics in the treatment of negative symptoms. Risperidone's long acting
injectable preparation has been well tolerated and is often useful in patients
with medication nonadherence. Risperidone has a higher risk of hyperprolactinemia
comparable to first-generation antipsychotics (FGAs) but fares better than many
second-generation antipsychotics with regards to metabolic side effects. In this
article, we briefly review the recent literature exploring the role of
risperidone formulations in schizophrenia, discuss clinical usage, and highlight
the controversies and challenges associated with its use.
PMID- 22090798
TI - Antianxiety medications for the treatment of complex agoraphobia: pharmacological
interventions for a behavioral condition.
AB - BACKGROUND: Although there are controversial issues (the "American view" and the
"European view") regarding the construct and definition of agoraphobia (AG), this
syndrome is well recognized and it is a burden in the lives of millions of people
worldwide. To better clarify the role of drug therapy in AG, the authors
summarized and discussed recent evidence on pharmacological treatments, based on
clinical trials available from 2000, with the aim of highlighting
pharmacotherapies that may improve this complex syndrome. METHODS: A systematic
review of the literature regarding the pharmacological treatment of AG was
carried out using MEDLINE, EBSCO, and Cochrane databases, with keywords
individuated by MeSH research. Only randomized, placebo-controlled studies or
comparative clinical trials were included. RESULTS: After selection, 25 studies
were included. All the selected studies included patients with AG associated with
panic disorder. Effective compounds included selective serotonin reuptake
inhibitors, serotonin-norepinephrine reuptake inhibitors, tricyclic
antidepressants, selective noradrenergic reuptake inhibitors, and
benzodiazepines. Paroxetine, sertraline, citalopram, escitalopram, and
clomipramine showed the most consistent results, while fluvoxamine, fluoxetine,
and imipramine showed limited efficacy. Preliminary results suggested the
potential efficacy of inositol; D-cycloserine showed mixed results for its
ability to improve the outcome of exposure-based cognitive behavioral therapy.
More studies with the latter compounds are needed before drawing definitive
conclusions. CONCLUSION: No studies have been specifically oriented toward
evaluating the effect of drugs on AG; in the available studies, the improvement
of AG might have been the consequence of the reduction of panic attacks. Before
developing a "true" psychopharmacology of AG it is crucial to clarify its
definition. There may be several potential mechanisms involved, including fear
learning processes, balance system dysfunction, high light sensitivity, and
impaired visuospatial abilities, but further studies are warranted.
PMID- 22090799
TI - Polypharmacy or medication washout: an old tool revisited.
AB - There has been a rapid increase in the use of polypharmacy in psychiatry possibly
due to the introduction of newer drugs, greater availability of these newer
drugs, excessive confidence in clinical trial results, widespread prescribing of
psychotropic medications by primary care, and pressure to augment with additional
medications for unresolved side effects or greater efficacy. Even the new
generation of medications may not hold significant advantages over older drugs.
In fact, there may be additional safety risks with polypharmacy being so
widespread. Washout, as a clinical tool, is rarely done in medication management
today. Studies have shown that augmenting therapy with additional medications
resulted in 9.1%-34.1% dropouts due to intolerance of the augmentation, whereas
studies of medication washout demonstrated only 5.9%-7.8% intolerance to the
washout procedure. These perils justify reconsideration of medication washout
before deciding on augmentation. There are unwarranted fears and resistance in
the medical community toward medication washout, especially at the moment a
physician is trying to decide whether to washout or add more medications to the
treatment regimen. However, medication washout provides unique benefits to the
physician: it establishes a new baseline of the disorder, helps identify
medication efficacy from their adverse effects, and provides clarity of diagnosis
and potential reduction of drug treatments, drug interactions, and costs. It may
also reduce overall adverse events, not to mention a potential to reduce
liability. After washout, physicians may be able to select the appropriate
polypharmacy more effectively and safely, if necessary. Washout, while not for
every patient, may be an effective tool for physicians who need to decide on
whether to add potentially risky polypharmacy for a given patient. The risks of
washout may, in some cases, be lower and the benefits may be clearly helpful for
diagnosis, understanding medication effects, the doctor/patient relationship, and
safer use of polypharmacy if indicated.
PMID- 22090800
TI - Brain activity modification produced by a single radioelectric asymmetric brain
stimulation pulse: a new tool for neuropsychiatric treatments. Preliminary fMRI
study.
AB - PURPOSE: Radioelectric asymmetric brain stimulation technology with its treatment
protocols has shown efficacy in various psychiatric disorders. The aim of this
work was to highlight the mechanisms by which these positive effects are
achieved. The current study was conducted to determine whether a single 500
millisecond radioelectric asymmetric conveyor (REAC) brain stimulation pulse
(BSP), applied to the ear, can effect a modification of brain activity that is
detectable using functional magnetic resonance imaging (fMRI). METHODS: Ten
healthy volunteers, six females and four males, underwent fMRI during a simple
finger-tapping motor task before and after receiving a single 500-millisecond
REAC-BSP. RESULTS: The fMRI results indicate that the average variation in task
induced encephalic activation patterns is lower in subjects following the single
REAC pulse. CONCLUSION: The current report demonstrates that a single REAC-BSP is
sufficient to modulate brain activity in awake subjects, able to be measured
using fMRI. These initial results open new perspectives into the understanding of
the effects of weak and brief radio pulses upon brain activity, and provide the
basis for further indepth studies using REAC-BSP and fMRI.
PMID- 22090801
TI - Predisposition for borderline personality disorder with comorbid major depression
is associated with that for polycystic ovary syndrome in female Japanese
population.
AB - Polycystic ovary syndrome (PCOS) is a common lifestyle-related endocrinopathy in
women of reproductive age and is associated with several mental health problems.
We examined the genotypic distributions of IRS-1 Gly972Arg and CYP11B2 -344T/C,
which were previously described as influencing PCOS, and assayed the serum levels
of interleukin-6 (IL-6) and tumor necrosis factor-alpha (TNF-alpha), in a set of
female patients with borderline personality disorder (BPD) with comorbid major
depressive disorder (MDD) (n = 50) and age-matched control subjects (n = 100), to
investigate the predisposition for BPD with MDD. The results showed that the
patients were more frequently IRS-1 972Arg variant allele carriers (P = 0.013; OR
6.68; 95% CI = 1.30-34.43) and homozygous for the CYP11B2 -344C variant allele (P
= 0.022; OR = 3.32; 95% CI = 1.18-9.35) than the control subjects. The IL-6 level
was significantly higher in the patients than in the controls (P < 0.0001). There
was no significant difference in the serum TNF-alpha level between patients with
BPD with MDD and the healthy comparison group (P = 0.5273). In conclusion, the
predisposition for BPD with MDD is associated with that for PCOS, in the female
Japanese population. An elevated serum IL-6 level is considered to be a possible
biomarker of BPD with MDD.
PMID- 22090802
TI - Incidence and impact of pain conditions and comorbid illnesses.
AB - BACKGROUND: Individuals with pain often present with more than one painful
condition. The purpose of this study was to characterize the rates of
comorbidity, pain medication use, and health care costs for 23 selected pain
conditions in a large health plan using administrative claims data from 2005 to
2007. METHODS: Eligible patients included 1,211,483 adults with at least one pain
condition during the one-year study period. Pain condition cohorts were
classified based on the first diagnosis present in the claims during the study
period. RESULTS: Musculoskeletal pain conditions were among the most prevalent
cohorts including low back pain, osteoarthritis, and fibromyalgia. Cancer pain
was the least prevalent cohort. Conditions with the lowest illness severity
included migraine and painful bladder syndrome cohorts, while cohorts with
diabetic neuropathy, human immunodeficiency virus (HIV)-associated pain, and
cancer pain were the most severe. Across cohorts, the mean number of comorbid
pain conditions ranged from 1.39 (for cancer pain and migraine) to 2.65 (for
multiple sclerosis pain). High rates of mental health conditions were found in
cohorts with HIV-associated pain and multiple sclerosis pain (42.59% and 34.78%)
and were lowest among cohorts with rheumatoid arthritis and psoriatic arthropathy
(12.73% and 13.31%), respectively. Rates of sleep disorders ranged from 5.47%
(for painful bladder syndrome) to 11.59% (for multiple sclerosis pain). Overall,
patients averaged 3.53 unique pain medications during the study period.
Considerable annual total health care costs were observed in the cancer pain
cohort and the lowest costs were observed in the postherpetic neuropathy,
surgically-induced pain, migraine, and irritable bowel syndrome cohorts. Costs
attributed to pain were highest among the multiple sclerosis, HIV, and cancer
pain cohorts. The highest pharmaceutical costs were observed in the HIV cohort.
CONCLUSION: These findings underscore the heterogeneity of patients with pain in
terms of burden of illness, costs to the health care system, and the complexity
of commonly co-occurring disorders.
PMID- 22090803
TI - Increased levels of SV2A botulinum neurotoxin receptor in clinical sensory
disorders and functional effects of botulinum toxins A and E in cultured human
sensory neurons.
AB - BACKGROUND: There is increasing evidence that botulinum neurotoxin A may affect
sensory nociceptor fibers, but the expression of its receptors in clinical pain
states, and its effects in human sensory neurons, are largely unknown. METHODS:
We studied synaptic vesicle protein subtype SV2A, a receptor for botulinum
neurotoxin A, by immunostaining in a range of clinical tissues, including human
dorsal root ganglion sensory neurons, peripheral nerves, the urinary bladder, and
the colon. We also determined the effects of botulinum neurotoxins A and E on
localization of the capsaicin receptor, TRPV1, and functional sensitivity to
capsaicin stimuli in cultured human dorsal root ganglion neurons. RESULTS: Image
analysis showed that SV2A immunoreactive nerve fibers were increased in injured
nerves proximal to the injury (P = 0.002), and in painful neuromas (P = 0.0027);
the ratio of percentage area SV2A to neurofilaments (a structural marker) was
increased proximal to injury (P = 0.0022) and in neuromas (P = 0.0001),
indicating increased SV2A levels in injured nerve fibers. In the urinary bladder,
SV2A nerve fibers were found in detrusor muscle and associated with blood
vessels, with a significant increase in idiopathic detrusor over-activity (P =
0.002) and painful bladder syndrome (P = 0.0087). Colon biopsies showed numerous
SV2A-positive nerve fibers, which were increased in quiescent inflammatory bowel
disease with abdominal pain (P = 0.023), but not in inflammatory bowel disease
without abdominal pain (P = 0.77) or in irritable bowel syndrome (P = 0.13). In
vitro studies of botulinum neurotoxin A-treated and botulinum neurotoxin E
treated cultured human sensory neurons showed accumulation of cytoplasmic
vesicles, neurite loss, and reduced immunofluorescence for the heat and capsaicin
receptor, TRPV1. Functional effects included dose-related inhibition of capsaicin
responses on calcium imaging after acute treatment with botulinum neurotoxins A
and E. CONCLUSION: Differential levels of SV2A protein expression in clinical
disorders may identify potential new targets for botulinum neurotoxin therapy. In
vitro studies indicate that treatment with botulinum neurotoxins A and E may
affect receptor expression and nociceptor function in sensory neurons.
PMID- 22090804
TI - Placebo controlled, crossover validation study of oral ibuprofen and topical
hydrocortisone- 21-acetate for a model of ultraviolet B radiation (UVR)-induced
pain and inflammation.
AB - BACKGROUND: Pain related to ultraviolet B radiation (UVR) induced sunburn is an
established, simple, acute pain model. One of the major criticisms is related to
the potential dermal adverse events caused by the UVR exposure. This study tried
to validate the model for oral and topical drugs and to define the minimum
required UVR exposure. METHODS: This subject- and observer-blinded, placebo
controlled, crossover study evaluated 600 mg oral ibuprofen (IB) and topical
hydrocortisone-21-acetate (HC) twice daily (bid) in 24 healthy volunteers.
Treatment started immediately after irradiation and again at 12 hours, 24 hours,
and 36 hours post-UVR. Assessment of hyperalgesia to heat and signs of
inflammation (erythema, skin temperature) for all areas was performed after UVR
and again at 6, 12, 24, 36, and 48 hours. Subjects returned within 4-11 days to
the study site for the second period of the study. As in the first period,
subjects received HC at one side and topical placebo on the other side, but oral
treatment was crossed-over. RESULTS: The primary analysis failed to show the
expected superiority of the IB-group vs the placebo group in period 1 of the
study. Evaluating period 2 alone clearly showed the expected treatment effects of
IB for erythema and heat pain threshold. The results were less pronounced for
skin temperature. In contrast to IB vs oral placebo, there were no differences in
treatment response between HC and topical placebo. UVR at all dosages induced
profound erythema and reduction of heat pain threshold without causing blisters
or other unexpected discomfort to the subjects. The changes were almost linear
between 1 and 2 minimal erythema doses (MED), whereas the change from 2 to 3 MED
was less pronounced. CONCLUSION: Use of 2 MED in upcoming studies seems to be
reasonable to limit subjects' UVB exposure. The following procedural changes are
suggested: Intensified training sessions before randomization to
treatmentIncrease in sample size if they are crossover studiesSimplification in
design (either oral or topical treatment).
PMID- 22090805
TI - Functional MRI brain imaging studies using the Contact Heat Evoked Potential
Stimulator (CHEPS) in a human volunteer topical capsaicin pain model.
AB - Acute application of topical capsaicin produces spontaneous burning and stinging
pain similar to that seen in some neuropathic states, with local hyperalgesia.
Use of capsaicin applied topically or injected intradermally has been described
as a model for neuropathic pain, with patterns of activation in brain regions
assessed using functional magnetic resonance imaging (fMRI) and positron emission
tomography. The Contact Heat Evoked Potential Stimulator (CHEPS) is a noninvasive
clinically practical method of stimulating cutaneous A-delta nociceptors. In this
study, topical capsaicin (1%) was applied to the left volar forearm for 15
minutes of twelve adult healthy human volunteers. fMRI scans and a visual analog
pain score were recorded during CHEPS stimulation precapsaicin and postcapsaicin
application. Following capsaicin application there was a significant increase in
visual analog scale (mean +/- standard error of the mean; precapsaicin 26.4 +/-
5.3; postcapsaicin 48.9 +/- 6.0; P < 0.0001). fMRI demonstrated an overall
increase in areas of activation, with a significant increase in the contralateral
insular signal (mean +/- standard error of the mean; precapsaicin 0.434 +/- 0.03;
postcapsaicin 0.561 +/- 0.07; P = 0.047). The authors of this paper recently
published a study in which CHEPS-evoked A-delta cerebral potential amplitudes
were found to be decreased postcapsaicin application. In patients with
neuropathic pain, evoked pain and fMRI brain responses are typically increased,
while A-delta evoked potential amplitudes are decreased. The protocol of
recording fMRI following CHEPS stimulation after topical application of capsaicin
could be combined with recording of evoked potentials to provide a simple, rapid,
and robust volunteer model to develop novel drugs for neuropathic pain.
PMID- 22090806
TI - Effectiveness and safety of morphine sulfate extended-release capsules in
patients with chronic, moderate-to-severe pain in a primary care setting.
AB - BACKGROUND: The purpose of this study was to determine the effectiveness and
safety of morphine sulfate extended-release capsules among primary care patients
with chronic, moderate-to-severe pain using a universal precautions approach that
assessed and monitored risk for opioid misuse and abuse. METHODS: This open
label, uncontrolled, multicenter, prospective study was conducted in primary care
centers (n = 281) and included opioid-naive and opioid-experienced patients with
either a pain score >=4 (0 = no pain, 10 = pain as bad as you can imagine), or
with unacceptable side effects while taking opioids. The patients were treated
with morphine sulfate extendedrelease capsules for up to four months. Patient
rated pain intensity (worst, least, average) over the past 24 hours (0-10 scale),
pain interference with seven activities of daily living (0 = no interference, 10
= completely interferes), and adverse events were recorded. RESULTS: Of 1487
patients who filled at least one prescription, 561 (38%) completed the study.
Patients were primarily white (87%) and female (57%); 92% had pain for more than
one year; and 79% were opioid-experienced. Median age was 52 years. Decreases in
mean (+/- standard deviation) average pain scores (baseline 6.2 +/- 2.3) were
0.8 +/- 2.2 at visit 2 (5-14 days later), and -1.6 +/- 2.3 and -1.7 +/- 2.2 at
visits 3 and 4 (spaced 3-4 weeks apart), respectively, and -1.1 +/- 2.4 at visit
5 (included patients withdrawn from the study who were no longer taking the study
drug). A similar trend was observed for worst pain and least pain scores and for
pain interference with activities. Fifty-one percent of the safety population
patients and 81% in the completer population reported being satisfied or very
satisfied with the study treatment. Most common adverse events were typical of
opioids, ie, constipation (14%), nausea (11%), vomiting (5%), and somnolence
(5%). CONCLUSION: The results suggest that pain outcomes improved in patients
with chronic, moderate-to-severe pain receiving morphine sulfate extended-release
capsules within the context of a structured universal precautions approach in the
primary care setting.
PMID- 22090807
TI - LaZn(12.37 (1)), a zinc-deficient variant of the NaZn(13) structure type.
AB - The title compound (lanthanum dodecazinc), LaZn(12.37 (1)), is confirmed to be a
nonstoichiometric (zinc-deficient) modification of the NaZn(13) structure type,
in which one Zn atom (Wyckoff site 8b, site symmetry m[Formula: see text]) has a
fractional site occupancy of 0.372 (11). The other Zn atom (96i, m) and the La
atom (8a, 432) are fully occupied. The coordination polyhedra of the Zn atoms are
distorted icosa-hedra, whereas the La atoms are surrounded by 24 Zn atoms,
forming pseudo-Frank-Kasper polyhedra. Electronic structure calculations indicate
that Zn-Zn bonding is much stronger than La-Zn bonding.
PMID- 22090808
TI - Silver(I) diaqua-nickel(II) catena-borodiphosphate(V) hydrate,
(Ag(0.57)Ni(0.22))Ni(H(2)O)(2)[BP(2)O(8)].0.67H(2)O.
AB - The structure framework of the title compound,
(Ag(0.57)Ni(0.22))Ni(H(2)O)(2)[BP(2)O(8)].0.67H(2)O, is the same as that of its
recently published counterpart AgMg(H(2)O)(2)[BP(2)O(8)].H(2)O. In the title
structure, the Ag, Ni, B and one O atom are located on special positions (sites
symmetry 2). The structure consists of infinite borophosphate helical
[BP(2)O(8)](3-) ribbons, built up from alternate BO(4) and PO(4) tetra-hedra
arranged around the 6(5) screw axes. The vertex-sharing BO(4) and PO(4) tetra
hedra form a spiral ribbon of four-membered rings in which BO(4) and PO(4) groups
alternate. The ribbons are connected through slightly distorted NiO(4)(H(2)O)(2)
octa-hedra, four O atoms of which belong to the phosphate groups. The resulting
three-dimensional framework is characterized by hexa-gonal channels running along
[001]. However, the main difference between the structures of these two compounds
lies in the filling ratio of Wyckoff positions 6a and 6b in the tunnels. Indeed,
in this work, the refinement of the occupancy rate of sites 6a and 6b shows that
the first is occupied by water at 67% and the second is partially occupied by
56.6% of Ag and 21.6% of Ni. In the AgMg(H(2)O)(2)[BP(2)O(8)].H(2)O structure,
these two sites are completely occupied by H(2)O and Ag(+), respectively. The
title structure is stabilized by O-H?O hydrogen bonds between water mol-ecules
and O atoms that are part of the helices.
PMID- 22090809
TI - Bis{2-[(E)-(4-fluoro-benz-yl)imino-meth-yl]-6-meth-oxy-phenolato
kappaN,O}nickel(II).
AB - In the title compound, [Ni(C(15)H(13)FNO(2))(2)], the Ni(II) atom is tetra
coordinated by two N atoms and two O atoms from two 2-[(4-fluoro-benz-yl)imino
meth-yl]-6-meth-oxy-phenolate ligands in a square-planar geometry. The two N
atoms and two O atoms around the Ni(II) atom are trans to each other, as the
Ni(II) atom lies on an inversion centre. In the fluoro-phenyl group, five C atoms
and an F atom are disordered over two sets of positions of equal occupancy. In
the crystal, the complex mol-ecules are linked via inter-molecular C-H?F hydrogen
bonds, forming chains along [001].
PMID- 22090810
TI - 1,1',2,2',3,3',4,4'-Octa-methyl-ferro-cenium 2,5-dibromo-4-hy-droxy-3,6
dioxocyclo-hexa-1,4-dien-1-olate.
AB - In the title salt, octa-methyl-ferrocenium bromanilate,
[Fe(C(9)H(13))(2)](C(6)HBr(2)O(4)), the Fe atom and the bromanilate anion lie on
a mirror plane. The octa-methyl-ferrocenium cation adopts an eclipsed
conformation. An intra-molecular O-H?O hydrogen bond is present in the
bromanilate anion. In the crystal, the cations and anions are stacked
alternately, forming a one-dimensional columnar structure along [010].
PMID- 22090811
TI - The monoclinic polymorph of dimethyl-arsinic acid.
AB - The title compound, C(2)H(7)AsO(2) or [As(CH(3))(2)O(OH)], is an organic
derivative of arsinic acid, and is also known by its trivial name cacodylic acid.
In contrast to the first polymorph (triclinic, space group P[Formula: see text],
Z = 2), the current study revealed monoclinic symmetry (space group C2/c, Z = 8)
for the second polymorph. The configuration of the tetra-hedral mol-ecule shows
approximate C(s) symmetry. Strong O-H?O hydrogen bonds connect the mol-ecules to
infinite zigzag chains along [010], which are further connected by weak inter
molecular C-H?O contacts into a three-dimensional network.
PMID- 22090812
TI - catena-Poly[[bis-(1H-imidazole-kappaN)copper(II)]-MU-benzene-1,4-dicarboxyl-ato
kappaO:O].
AB - In the title compound, [Cu(C(8)H(4)O(4))(C(3)H(4)N(2))(2)](n), the Cu(II) atom is
four-coordinated by two carboxyl-ate O atoms from two different terephthalate
ligands and two N atoms from two imidazole ligands in a slightly distorted square
planar coordination environment. Each terephthalate ligand acts as a bis
monodentate ligand that binds two Cu(II) atoms, thus forming two unique chains
extending parallel to [110]. The imidazole ligands are attached on both sides of
the chains.
PMID- 22090813
TI - Potassium N-bromo-2-methyl-benzene-sulfonamidate sesquihydrate.
AB - In the structure of the title compound, K(+).C(7)H(7)BrNO(2)S(-).1.5H(2)O, the
K(+) ion is hepta-coordinated by three O atoms from water mol-ecules and by four
sulfonyl O atoms of N-bromo-2-methyl-benzene-sulfonamide anions. The S-N distance
of 1.577 (5) A is consistent with an S=N double bond. The crystal structure
comprises sheets in the ac plane which are further stabilized by O-H?Br and O-H?N
hydrogen bonds.
PMID- 22090814
TI - 1,2-Dimethyl-1,4-diazo-niabicyclo-[2.2.2]octane tetra-chloridocuprate(II).
AB - In the title compound, (C(8)H(18)N(2))[CuCl(4)], torsion angles on the ethyl-ene
bridges of the 1,4-diazo-niabicyclo-[2.2.2]octane fragment are in the range 11.9
(5)-15.0 (5) degrees and the [CuCl(4)](2-) anion has a strongly distorted tetra
hedral geometry. The cation is connected to the anion via three-center N-H?Cl
hydrogen bonds.
PMID- 22090815
TI - Dichlorido(4'-ferrocenyl-2,2':6',2''-terpyridine-kappaN,N',N'')zinc acetonitrile
monosolvate.
AB - The title complex, [FeZn(C(5)H(5))Cl(2)(C(20)H(14)N(3))].CH(3)CN, is composed of
one Zn(II) atom, one 4'-ferrocenyl-2,2':6',2''-terpyridine (fctpy) ligand, two Cl
atoms and one acetonitrile solvent mol-ecule. The Zn(II) atom is five-coordinated
in a trigonal-bipyramidal geometry by the tridentate chelating fctpy ligand and
two Cl atoms.
PMID- 22090816
TI - Octa-butylbis[MU(2)-4-(diethyl-amino)-benzoato-kappaO:O']bis-[4-(diethyl-amino)
benzoato-kappaO]di-MU(3)-oxido-tetra-tin(IV).
AB - The asymmetric unit of the title complex,
[Sn(4)(C(4)H(9))(8)(C(11)H(14)NO(2))(4)O(2)], consists of two
crystallographically independent half-mol-ecules. The other halves are generated
by crystallographic inversion centers. In each tetra-nuclear mol-ecule, both of
the two independent Sn atoms are five-coordinated, with distorted trigonal
bipyramidal SnC(2)O(3) geometries. One Sn atom is coordinated by two butyl
groups, one O atom of the benzoate anion and two bridging O atoms, whereas the
other Sn atom is coordinated by two butyl groups, two O atoms of the benzoate
anions and a bridging O atom. All the butyl groups are equatorial with respect to
the SnO(3) trigonal plane. Weak intra-molecular C-H?O hydrogen bonds stabilize
the mol-ecular structures. In one mol-ecule, two of the butyl groups and the
bridging benzoate anion are each disordered over two positions.
PMID- 22090817
TI - Poly[(MU(4)-benzene-1,3,5-tricarboxyl-ato)bis-(N,N-dimethyl-formamide)
cerium(III)].
AB - The asymmetric unit of the title rare earth coordination polymer,
[Ce(C(9)H(3)O(6))(C(3)H(7)NO)(2)](n), contains one eight-coordinated Ce(3+) ion,
one benzene-1,3,5-tricarboxyl-ate (BTC) ligand and two coordinated N,N-dimethyl
formamide (DMF) mol-ecules. The Ce(3+) ion is coordinated by six O atoms from
four carboxyl-ate groups of the BTC ligands and by two O atoms from two terminal
DMF mol-ecules.
PMID- 22090818
TI - Diammine(2,2'-bipyridine)-bis(thio-cyan-ato--kappaN)cobalt(III) diamminetetra
kis(thio-cyanato--kappaN)chromate(III) aceto-nitrile disolvate.
AB - The new heterometallic title complex,
[Co(NCS)(2)(C(10)H(8)N(2))(NH(3))(2)][Cr(NCS)(4)(NH(3))(2)].2CH(3)CN, has been
prepared using the open-air reaction of cobalt powder, Reineckes salt and 2,2'
bipyridine (dpy) in acetonitrile. The crystal structure consists of discrete
cationic [Co(NCS)(2)(NH(3))(2)(dpy)](+) and anionic [Cr(NCS)(4)(NH(3))(2)](-)
building blocks, both with 2 symmetry, and acetonitrile solvent mol-ecules, which
are linked together by N-H?N hydrogen bonds, forming extended supra-molecular
chains. Furthermore, N-H?S, C-H?S and C-H?N hydrogen bonds inter-link
neighbouring chains into a three-dimensional framework. The Co atom is in an
elongated octa-hedral coordination environment with two N atoms from the dpy
ligands and two NCS-groups in the equatorial plane and with two NH(3) mol-ecules
at the axial positions. The Cr(III) ion is octa-hedraly coordinated by two NH(3)
mol-ecules at the axial positions and four NCS-groups in the equatorial plane.
Intensity statistics indicated non-merohedral twinning with the twin matrix [100;
0[Formula: see text]0; [Formula: see text]0[Formula: see text]]. The refined
ratio of the twin components is 0.530 (1):0.470 (1).
PMID- 22090819
TI - Bis[N-(2-amino-eth-yl)ethane-1,2-diamine-kappaN,N',N'']copper(II) tris
[diamminetetra-kis-(thio-cyanato-kappaN)chromate(III)] thio-cyanate dimethyl
sulfoxide tetra-deca-solvate monohydrate.
AB - The ionic title complex,
[Cu(C(4)H(13)N(3))(2)](2)[Cr(NCS)(4)(NH(3))(2)](3)(NCS).14C(2)H(6)OS.H(2)O,
consists of complex [Cu(dien)(2)](2+) cations [dien is N-(2-amino-eth-yl)ethane
1,2-diamine], com-plex [Cr(NCS)(4)(NH(3))(2)](-) anions, an NCS(-) counter-anion
and uncoordinated dimethyl sulfoxide (DMSO) and water solvent mol-ecules. One of
the Cr atoms lies on an inversion center, while the second Cr atom and the Cu
atom lie in general positions. The thio-cyanate counter-anion and water mol-ecule
are disordered over two positions close to an inversion center. There are several
types of hydrogen-bond inter-actions present in the title compound, which connect
the complex cations and anions into bulky [Cu(2)Cr(3)] polynuclear species. The
four NH(3) groups of the complex anions and six bridging DMSO O atoms link the
three complex anions via hydrogen bonding into the anionic polynuclear species
[Cr(NCS)(4)(NH(3))(2)](3).6DMSO. The last one is connected by four bridging DMSO
O atoms with the two complex copper cations through N-H? O hydrogen bonds between
the terminal NH(3) groups of the anionic polynuclear species and the NH and NH(2)
groups of the dien ligand. One additional DMSO mol-ecule is connected via
hydrogen bonding to one of the terminal NH(3) groups of the anionic polynuclear
species. Another DMSO mol-ecule is connected via hydrogen bonding to each
Cu(dien)(2)](2+) cation.
PMID- 22090820
TI - [Bis(3-amino-prop-yl)amine-kappaN,N',N'']bis-(thio-cyanato-kappaN)cobalt(II).
AB - The asymmetric unit of the title compound, [Co(NCS)(2)(C(6)H(17)N(3))], consists
of one Co(2+) cation, two thio-cyanate anions and one bis-(3-amino-prop-yl)amine
ligand, all in general positions. The cobalt cation is coordinated by five N
atoms of two terminal N-bonded thio-cyanate anions and one bis-(3-amino-prop
yl)amine ligand, defining a slightly distorted square-pyramidal coordination
polyhedron. The mol-ecules are held together in the crystal by weak N-H?S inter
actions.
PMID- 22090821
TI - Dichlorido{N,N-dimethyl-N'-[1-(2-pyrid-yl)ethyl-idene]ethane-1,2-diamine
kappaN,N',N''}zinc.
AB - The asymmetric unit of the title compound, [ZnCl(2)(C(11)H(17)N(3))], contains
two independent penta-coordinate Zn(II) complex mol-ecules. In each mol-ecule,
the metal atom is coordinated by an N,N',N''-tridenate Schiff base and two Cl
atoms in a distorted square-pyramidal geometry. The two mol-ecules differ little
in their geometry, but more in their inter-molecular inter-actions. In the
crystal, adjacent mol-ecules are connected via C-H?Cl inter-actions into a three
dimensional supra-molecular structure. The network is supplemented by pi-pi inter
actions formed between the aromatic rings of pairs of the symmetry-related mol
ecules [centroid-centroid distances = 3.6255 (10) and 3.7073 (10) A]. The crystal
lattice contains void spaces with a size of 52 A(3).
PMID- 22090822
TI - [5-Chloro-2-hy-droxy-N'-(2-oxidobenzyl-idene)benzohydrazidato]dimethyl-tin(IV).
AB - In the title compound, [Sn(CH(3))(2)(C(14)H(9)ClN(2)O(3))], the Sn(IV) ion is
coordinated by one N and two O atoms from the tridentate 5-chloro-2-hy-droxy-N'
(2-oxidobenzyl-idene)benzohydrazidate (L) ligand and two methyl groups in a
distorted trigonal-bipyramidal geometry. In the ligand, the hy-droxy group is
involved in an intra-molecular O-H?N hydrogen bond and the two aromatic rings
form a dihedral angle of 5.5 (1) degrees . In the crystal, weak inter-molecular C
H?O hydrogen bonds and pi-pi inter-actions between the aromatic rings [centroid
centroid distance = 3.816 (3) A] link the mol-ecules into centrosymmetric dimers.
PMID- 22090823
TI - Bis(N-benzoyl-N-phenyl-hydroxy-l-aminato-kappaO,O')dimethyl-tin(IV).
AB - The Sn atom in the title compound, [Sn(CH(3))(2)(C(13)H(10)NO(2))(2)], has a
highly distorted octa-hedral coordination with the equatorial plane made up of
four O atoms from two N-benzoyl-N-phenyl-hydroxy-laminate ligands and the axial
positions occupied by two methyl groups. The crystal structure is stabilized by
van der Waals inter-actions.
PMID- 22090824
TI - catena-Poly[[trimethyl-tin(IV)]-MU-3,5-difluoro-benzoato-kappaO:O'].
AB - In the title compound, [Sn(CH(3))(3)(C(7)H(3)F(2)O(2))](n), the central Sn atom
is coordinated by two O atoms from the anion and three methyl C atoms in a
polymeric fashion owing to the presence of bidentate bridging carboxyl-ate
ligands. The five-coordinate Sn atom exists in a distorted trigonal-bipyramidal
geometry with the mol-ecules connected by weak C-H?F inter-moleclar inter
actions, forming supra-molecular chains parallel to [010].
PMID- 22090825
TI - Poly[[MU-1,4-bis-(1H-imidazol-4-yl)benzene-kappaN:N](MU-5-methyl-isophthalato
kappaO:O)cobalt(II)].
AB - In the title coordination polymer, [Co(C(9)H(6)O(4))(C(12)H(10)N(4))](n), the
Co(II) atom is four-coordinated by two O atoms from two different 5-methyl
isophthalate bivalent anions and two N atoms from two different 1,4-bis-(1H
imidazol-4-yl)benzene ligands, forming a four-coordinated tetra-hedral
coordination geometry. Each 5-methyl-isophthalate ligand acts as a MU(2)-bridge,
linking two Co(II) atoms and forming chains which are further linked by 1,4-bis
(1H-imidazol-4-yl)benzene ligands into a two-dimensional network parallel to
([Formula: see text]01). These planes are, in turn, linked by two inter-molecular
N-H?O inter-actions, forming a three-dimensional structure. Weak C-H?O hydrogen
bonds are also present in the structure.
PMID- 22090826
TI - A tetra-gonal polymorph of bis-[hydro-tris-(pyrazol-1-yl)borato]iron(II).
AB - The title compound, [Fe(C(9)H(10)BN(6))(2)], is a polymorph of a compound
reported previously [Oliver et al. (1980 ?). Inorg. Chem.19, 165-168]. In the
previous report, the compound crystallized in the monoclinic space group P2(1)/c
(Z = 4), whereas the crystal symmetry of the compound reported here is tetra
gonal (P4(2)/ncm, Z = 4). The mol-ecular structure is comprised of two hydro-tris
(1-pyrazol-yl)borate ligands (Tp(-)) and a central Fe(II) ion, which is
coordinated by six pyrazole N atoms from two two Tp(-) ligands, yielding a
distorted bipyramidal FeN(6) geometry. The complete molecule exhibits symmetry
2/m.
PMID- 22090827
TI - Bis(acetohydroxamato-kappaO,O')diphenyl-tin(IV).
AB - The complex mol-ecule of the title compound, [Sn(C(6)H(5))(2)(C(2)H(4)NO(2))(2)],
has crystallographically imposed twofold symmetry. The Sn atom is coordinated by
four O atoms from two acetohydroxamate ligands and by two C atoms from phenyl
groups in a distorted octa-hedral geometry. In the crystal, mol-ecules are
connected by N-H?O hydrogen-bonding inter-actions, forming a chain structure
along the c axis.
PMID- 22090828
TI - Bis{4-bromo-2-[(2-hy-droxy-eth-yl)imino-meth-yl]phenolato-kappaO,N,O'}cadmium.
AB - The centrosymmetric title compound, [Cd(C(9)H(9)BrNO(2))(2)], was obtained by the
reaction of 5-bromo-salicyl-aldehyde, 2-amino-ethanol and cadmium nitrate in
ethanol. The Cd atom, located on an inversion centre, is hexa-coordinated by two
Schiff base ligands in an octa-hedral coordination through the phenolate O atom,
the imine N atom and the hy-droxy O atoms. In the crystal, mol-ecules are linked
through inter-molecular O-H?O hydrogen bonds, forming chains along the b axis.
PMID- 22090829
TI - catena-Poly[[tetra-aqua-manganese(II)]-MU-5-carboxyl-ato-1-carboxyl-atomethyl-2
oxidopyridinium-kappaO:O].
AB - In the title coordination polymer, [Mn(C(8)H(5)NO(5))(H(2)O)(4)](n), the Mn(II)
atom is coordinated by two carboxyl-ate O atoms from two 5-carboxyl-ato-1
carboxyl-atomethyl-2-oxidopyridinium (L(2-)) ligands and by four water mol-ecules
in a distorted octa-hedral geometry. The L(2-) ligands bridge the Mn atoms into
an infinite chain motif along [100]; the chains are further inter-linked by O-H?O
hydrogen bonds into a three-dimensional supra-molecular net.
PMID- 22090830
TI - catena-Poly[[diiodidocadmium]-MU-[4,4'-(2,3,5,6-tetra-methyl-1,4-phenyl-ene)bis
(methyl-ene)]bis-(3,5-dimethyl-1H-pyrazole)-kappaN:N].
AB - The heterocylic ligand of the polymeric title compound, [CdI(2)(C(22)H(30)N(4))],
links two adjacent CdI(2) units, forming a chain running parallel to [[Formula:
see text]01]. The Cd(II) atom is located on a twofold rotation axis and shows a
distorted tetra-hedral CdI(2)N(2) coordination. The mid-point of the benzene ring
of the ligand lies on a center of inversion. There are no classical hydrogen
bonding inter-actions present.
PMID- 22090831
TI - Bis{N-methyl-N'-[1-(pyridin-2-yl)ethyl-idene]ethane-1,2-diamine}-zinc bis
(perchlorate).
AB - The title mononuclear zinc(II) complex, [Zn(C(10)H(15)N(3))(2)](ClO(4))(2), was
obtained by the reaction of 2-acetyl-pyridine, N-methyl-ethane-1,2-diamine and
zinc perchlorate in methanol. The asymmetric unit of the complex contains two
independent [Zn(C(10)H(15)N(3))(2)](2+) cations and four perchlorate anions. The
Zn(II) atom in each complex cation is six-coordinated by two pyridine N, two
imine N and two amine N atoms from two N-methyl-N'-[1-(pyridin-2-yl)ethyl
idene]ethane-1,2-diamine Schiff base ligands in a distorted octa-hedral geometry.
The pyridine rings in each of the complex cations are approximately perpendicular
to each other, making dihedral angles of 88.4 (3) and 87.9 (3) degrees . The
perchlorate anions are linked to the complex cations through N-H?O hydrogen
bonds.
PMID- 22090832
TI - Poly[(MU-3,5-dinitro-benzoato)(MU-3,5-dinitro-benzoic acid)rubidium].
AB - The asymmetric unit of the title compound,
[Rb(C(7)H(3)N(2)O(6))(C(7)H(4)N(2)O(6))](n), comprises an Rb(+) cation, a 3,5
dinitro-benzoate anion and a 3,5-dinitro-benzoic acid ligand. The Rb(+) cation is
nine-coordinated by O atoms from four 3,5-dinitro-benzoate anions and three
neutral 3,5-dinitro-benzoic acid ligands. The metal atom is firstly linked by
four bridging carboxyl groups, forming a binuclear motif, which is further linked
by the nitro groups into a two-dimensional framework along the [110] direction. A
short O-H?O hydrogen bond between two adjacent carboxy/carboxylate groups occurs.
PMID- 22090833
TI - Di-MU-acetato-bis-(dimethyl-formamide)-penta-kis-(MU-N,2-dioxidobenzene-1-car
boximidato)tetra-kis-(1-ethyl-imidazole)-penta-manganese(III)-manganese(II)
diethyl ether-dimethyl-foramide-methanol-water (1/1/1/1/0.12).
AB - The title compound
[Mn(6)(C(7)H(4)NO(3))(5)(CH(3)CO(2))(2)(C(5)H(8)N(2))(4)(C(3)H(7)NO)(2)].(C(2)H(5
)(2)O.C(3)H(7)NO.CH(3)OH.0.12H(2)O, abbreviated as Mn(II)(OAc)(2)[15
MC(MnIII(N)shi)-5](EtIm)4(DMF)2.diethyl ether.DMF.MeOH.0.12H(2)O (where (-)OAc is
acetate, MC is metallacrown, shi(3-) is salicylhydroximate, EtIM is n
ethylimidazole, DMF is N,N-dimethylformamide, and MeOH is methanol) contains five
Mn(III) ions as members of the metallacrown ring and an Mn(II) ion bound in the
central cavity. The central Mn(II) ion is seven-coordinate with a distorted face
capped trigonal-prismatic geometry. The five Mn(III) ions of the metallacrown
ring are six-coordinate with distorted octa-hedral geometries. The configuration
of the Mn(III) ions about the metallacrown ring follow a DeltaLambdaDeltaPP
pattern, with P representing planar. The four 1-ethyl-imidazole ligands are bound
to four different Mn(III) ions. A diethyl ether solvent mol-ecule was found to be
disordered over two mutually exclusive sites with an occupancy ratio of 0.568
(7):0.432 (7). A methanol solvent mol-ecule was found to be disordered over two
mutually exclusive sites by being hydrogen bonded either to a dimethyl-formamide
solvent mol-ecule (major occupancy component) or to an O atom of the main mol
ecule (minor occupancy component). The occupancy ratio refined to 0.678
(11):0.322 (11). Associated with the minor component is a partially occupied
water mol-ecule [total occupancy 0.124 (15)].
PMID- 22090834
TI - Aqua-(4-carb-oxy-pyridine-2,6-dicarboxyl-ato-kappaO,N,O)(1,10-phenanthroline
kappaN,N')nickel(II).
AB - The title compound, [Ni(C(8)H(3)NO(6))(C(12)H(8)N(2))(H(2)O)], contains an Ni(II)
ion, a 1,10-phenanthroline (phen) ligand, a 4-carb-oxy-pyridine-2,6-dicarboxyl
ate (Hptc(2-)) anion and a coordinated water mol-ecule. The Ni(II) atom exhibits
a distorted octa-hedral N(3)O(3) environment. O-H?O hydrogen bonding between
coordinated water and carboxyl-ate O atoms, as well as pi-pi stacking inter
actions [inter-planar distances between phen rings = 3.293 (2) A] lead to a
supermolecular assembly.
PMID- 22090835
TI - Aqua-chloridobis(2-{[3-(morpholin-4-yl)prop-yl]imino-meth
yl}phenolato)manganese(III) monohydrate.
AB - In the title compound, [Mn(C(14)H(19)N(2)O(2))(2)Cl(H(2)O)].H(2)O, the Mn(III)
atom is N,O-chelated by two monoanionic Schiff bases, forming two six-membered
chelate rings. One Cl atom and one water mol-ecule in trans positions complete a
distorted octa-hedral geometry around the metal atom. In the crystal, the complex
mol-ecules and the uncoordinated water mol-ecules are connected via O-H?N, O-H?O
and O-H?Cl hydrogen bonds into layers parallel to the ac plane and these are
consolidated by C-H?pi inter-actions. The layers are further linked into a three
dimensional network through C-H?O inter-actions.
PMID- 22090836
TI - N,N'-Dimethyl-ethylenediammonium dioxalatocuprate(II).
AB - The asymmetric unit of the title salt, (C(4)H(14)N(2))[Cu(C(2)O(4))(2)], consists
of one complex anion and two cationic half-mol-ecules, the other halves being
generated by inversion symmetry. The Cu(II) atom in the anion is coordinated by
two bidentate oxalate ligands in a distorted square-planar geometry. Inter
molecular hydrogen bonds, involving the NH groups as donors and O atoms as
acceptors, are observed, which lead to the formation of a three-dimensional
network structure.
PMID- 22090837
TI - Poly[di-MU-aqua-bis-(MU-2-amino-4-nitro-benzoato)dicaesium].
AB - In the structure of title compound, [Cs(2)(C(7)H(5)N(2)O(4))(2)(H(2)O)(2)](n),
the asymmetric unit contains two independent Cs atoms comprising different
coordination polyhedra. One is nine-coordinate, the other seven-coordinate, both
having irregular configurations. The CsO(9) coordination polyhedron comprises O
atom donors from three bridging water mol-ecules, one of which is doubly
bridging, three from carboxyl-ate groups, and three from nitro groups, of which
two are bidentate chelate bridging. The CsO(6)N coordination polyhedron comprises
the two bridging water mol-ecules, one amine N-atom donor, one carboxyl-ate O
atom donor and four O-atom donors from nitro groups (two from the chelate
bridges). The extension of the dimeric unit gives a three-dimensional polymeric
structure, which is stabilized by both intra- and inter-molecular amine N-H?O and
water O-H?O hydrogen bonds to carboxyl-ate O-atom acceptors, as well as inter
ring pi-pi inter-actions [minimum ring centroid-centroid separation = 3.4172 (15)
A].
PMID- 22090838
TI - Bis(2-amino-pyrazine-kappaN)dichlorido-zinc.
AB - In the title adduct, [ZnCl(2)(C(4)H(5)N(3))(2)], the Zn(II) atom lies on a
twofold rotation axis that relates one Cl atom to the other as well as one 2
amino-pyrazine ligand to the other; the coordination geometry is a distorted
tetra-hedron. In the crystal, adjacent mol-ecules are linked by N-H?N hydrogen
bonds across the center of inversion, generating a chain; neighboring chains are
linked by N-H?Cl hydrogen bonds, forming a three-dimensional network.
PMID- 22090839
TI - catena-Poly[[[dichloridomercury(II)]-MU-1,4-bis-(3-pyridyl-amino-meth-yl)benzene
kappaN:N'] N,N-dimethyl-formamide monosolvate].
AB - The crystal structure of the polymeric title compound,
{[HgCl(2)(C(18)H(18)N(4))].C(3)H(7)NO}(n), features an N-heterocyclic ligand
which links adjacent HgCl(2) units into a helical chain running along the b axis.
The coordination geometry of the Hg(II) atom is a distorted tetra-hedron. The
dimethyl-formamide mol-ecule is disordered over two positions in a 1:1 ratio, and
is linked to the complex mol-ecules via N-H?O hydrogen bonds.
PMID- 22090840
TI - trans-Diaqua-bis-[2,5-bis-(pyridin-2-yl)-1,3,4-thia-diazole]nickel(II) bis-(tetra
fluoridoborate).
AB - The bidentate 1,3,4-thia-diazole ligand, namely, 2,5-bis-(2-pyrid-yl)-1,3,4-thia
diazole (denoted L), untested as a polydentate ligand, has been found to form the
monomeric title complex, [Ni(C(12)H(8)N(4)S)(2)(H(2)O)(2)](BF(4))(2). The complex
shows an octa-hedral environment of the nickel cation in which the Ni(2+) ion is
located on a center of symmetry, linked to two ligands and two water molecules.
In this 1:2 complex (one metal for two organic ligands) each thia-diazole ligand
uses one pyridyl and one thia-diazole N atom for chelate binding. In the second
pyridyl substituent, the N atom is oriented towards the same direction as the S
atom of the 1,3,4-thiadiazole ring. The mean plane of the thia-diazole and
pyridyl rings linked to the nickel cation forms a dihedral angle with the other
pyridine ring of 18.63 (8) degrees . The tetra-fluorido-borate ions can be
regarded as free anions in the crystal lattice. Nevertheless, they are involved
in an infinite two-dimensional network parallel to ([Formula: see text]01)
through O-H?F hydrogen bonds.
PMID- 22090841
TI - Poly[[(MU(2)-acetato-kappaO,O':O')aqua-bis-(MU(3)-isonicotinato
kappaO:O':N)samarium(III)silver(I)] perchlorate].
AB - The title compound, {[AgSm(C(6)H(4)NO(2))(2)(CH(3)CO(2))(H(2)O)]ClO(4)}(n), is a
three-dimensional heterobimetallic complex constructed from a repeating dimeric
unit. Only half of the dimeric moiety is found in the asymmetric unit; the unit
cell is completed by crystallographic inversion symmetry. The Sm(III) ion is
eight-coordinated by four O atoms of four different isonicotinate ligands, three
O atoms of two different acetate ligands, and one O atom of a water mol-ecule.
The two-coordinate Ag(I) ion is bonded to two N atoms of two different
isonicotinate anions, thereby connecting the disamarium units. In addition, the
isonicotinate ligands also act as bridging ligands, generating a three
dimensional network. The coordinated water mol-ecules link the carboxyl-ate group
and acetate ligands by O-H?O hydrogen bonding. Another O-H?O hydrogen bond is
observed in the crystal structure. The perchlorate ion is disordered over two
sites with site-occupancy factors of 0.560 (11) and 0.440 (11), whereas the
methyl group of the acetate ligand is disordered over two sites with site
occupancy factors of 0.53 (5) and 0.47 (5).
PMID- 22090842
TI - Bis(4-methyl-morpholin-4-ium) tetra-bromidozincate(II).
AB - The title compound, (C(5)H(12)NO)(2)[ZnBr(4)], was synthesized by hydro-thermal
reaction of ZnBr(2) with 4-methyl-morpholine in a HBr/distilled water solution.
Each of the two independent cations exhibits a chair conformation; the anion
deviates slightly from an tetrahedral configuration. The Zn-Br distances in the
anion are in the range of 2.3996 (9)-2.4247 (9) A. All of the amine H atoms are
involved in bifurcated inter-molecular N-H?Br hydrogen bonds, building up a
trimer.
PMID- 22090843
TI - catena-Poly[[[bis-(4-ethyl-benzoato-kappaO,O')lead(II)]-MU-nicotinamide-kappaN:O]
monohydrate].
AB - In the crystal structure of the polymeric title compound,
{[Pb(C(9)H(9)O(2))(2)(C(6)H(6)N(2)O)].H(2)O}(n), the six-coordinate Pb(II) ion is
chelated by two 4-ethyl-benzoate (PEB) anions and is bridged by two nicotinamide
(NA) ligands, forming a polymeric chain running along the b axis. The carboxyl
ate groups of the PEB ions are twisted away from the attached benzene rings by
4.0 (6) and 13.3 (5) degrees . The two benzene rings of the PEB ions bonded to
the same metal ion are oriented at a dihedral angle of 87.4 (3) degrees . In the
polymeric chain, the NA ligand is linked to one of the carboxyl-ate groups via N
H?O hydrogen bonding. In the crystal, adjacent polymeric chains inter-act via N
H?O and weak C-H?O hydrogen bonds; and the lattice water mol-ecule links with the
polymeric chains via N-H?O and O-H?O hydrogen bonding. pi-pi stacking between the
benzene and the pyridine rings [centroid-centroid distance = 3.805 (5) A] and
weak C-H?pi inter-actions are also observed in the crystal structure.
PMID- 22090844
TI - Bis[2,6-bis-(3,5-dimethyl-1H-pyrazol-1-yl)pyridine]di-MU(3)-iodido-diiodidotetra
copper(I).
AB - In the title centrosymmetric tetra-nuclear complex,
[Cu(4)I(4)(C(15)H(17)N(5))(2)], the two distinct Cu(I) atoms adopt similar tetra
hedral arrangements, each being ligated by two I atoms, and two N atoms from one
2,6-bis-(3,5-dimethyl-1H-pyrazol-1-yl)pyridine ligand. In the crystal, there are
no hydrogen bonds present, and only very weak pi-pi inter-actions are observed
[centroid-centroid distance = 3.985 (4) A], which connect neighbouring tetra
nuclear units into a chain motif along the b axis.
PMID- 22090845
TI - Poly[tetra-deca-aqua-tetra-kis-(MU(3)-1H-imidazole-4,5-dicarboxyl-ato)tetra-MU(3)
sulfato-cobalt(II)hexa-gadolinium(III)].
AB - The asymmetric unit of the title compound,
[CoGd(6)(C(5)H(2)N(2)O(4))(4)(SO(4))(6)(H(2)O)(14)](n), contains a Co(II) ion
(site symmetry [Formula: see text]), three Gd(III) ions, two imidazole-4,5
dicarboxyl-ate ligands, three SO(4) (2-) anions, and seven coordinated water mol
ecules. The Co(II) ion is six-coordinated by two O atoms from water mol-ecules,
two O atoms and two N atoms from two imidazole-4,5-dicarboxyl-ate ligands, giving
a slightly distorted octa-hedral geometry. The Gd(III) ions exhibit three types
of coordination environments. One Gd ion is eight-coordinated in a bicapped
trigonal-prismatic geometry by four O atoms from two imidazole-4,5-dicarboxyl-ate
ligands, two O atoms from two SO(4) (2-) anions and two coordinated water mol
ecules. The other Gd ions are nine-coordinated in a tricapped trigonal-prismatic
geometry; one of these Gd ions is bonded to four O atoms from two imidazole-4,5
dicarboxyl-ate ligands, three O atoms from three SO(4) (2-) anions and two water
O atoms and the other Gd ion is coordinated by one O atom and one N atom from one
imidazole-4, 5-dicarboxyl-ate ligand, five O atoms from three SO(4) (2-) anions
as well as two coordinated water mol-ecules. These metal coordination units are
connected by bridging imidazole-4,5-dicarboxyl-ate and sulfate ligands,
generating a three-dimensional network. The crystal structure is further
stabilized by N-H?O, O-H?O, and C-H?O hydrogen-bonding inter-actions between
water mol-ecules, SO(4) (2-) anions, and imidazole-4,5-dicarboxyl-ate ligands.
PMID- 22090846
TI - [2-(1H-Benzimidazol-2-yl-kappaN)aniline-kappaN]dichloridozinc.
AB - In the title benzimidazole mononuclear complex, [ZnCl(2)(C(13)H(11)N(3))], the
Zn(II) ion is four-coordinated in a distorted tetra-hedral geometry by an
imidazole N, an amino N and two Cl atoms. The dihedral angle between the
benzimidazole and benzene rings is 9.57 (1) degrees . In the crystal, mol-ecules
are linked by weak N-H?Cl hydrogen bonds into layers parallel to the bc plane. pi
pi inter-actions with centroid-centroid distances in the range 3.4452 (8)-3.8074
(8) A are also observed.
PMID- 22090847
TI - Bis(O-n-butyl dithio-carbonato-kappaS,S')bis-(pyridine-kappaN)manganese(II).
AB - The structure of the title manganese complex,
[Mn(C(5)H(9)OS(2))(2)(C(5)H(5)N)(2)] or [Mn(S(2)CO-n-Bu)(2)(C(5)H(5)N)(2)],
consists of discrete monomeric entities with Mn(2+) ions located on centres of
inversion. The metal atom is coordinated by a six-coordinate trans-N(2)S(4) donor
set with the pyridyl N atoms located in the apical positions. The observed slight
deviations from octa-hedral geometry are caused by the bite angle of the
bidentate kappa(2)-S(2)CO-n-Bu ligands [69.48 (1) degrees ]. The
O(CH(2))(3)(CH(3)) chains of the O-n-butyl dithio-carbonate units are disordered
over two sets of sites with an occupancy ratio of 0.589 (2):0.411 (2).
PMID- 22090848
TI - catena-Poly[[diaqua-bis-(3-methyl-pyridine-kappaN)cobalt(II)]-MU-sulfato
kappaO:O'].
AB - The environment of the Co(II) ion in the title compound,
[Co(SO(4))(C(6)H(7)N)(2)(H(2)O)(2)](n), exhibits an octa-hedral configuration
with the two 3-methyl-pyridine ligands lying in cis positions with respect to
each other and trans to the two coordinated water mol-ecules. The axial positions
are occupied by O atoms of the sulfate ions. Co and S atoms occupy special
positions (twofold axis, Wyckoff position 4c). Neighboring Co(II) ions are
covalently connected with each other through the sulfate ions, thus creating
infinite polymeric chains that run along the c axis. The water mol-ecules are
connected with neighboring sulfate ions through strong O-H?O hydrogen bonds.
Intra-molecular hydrogen bonds parallel to the propagation direction of the
chains stabilize the polymeric chains, and inter-molecular hydrogen bonds between
chains connect neighboring chains with each other, thus leading to polymeric
double chains.
PMID- 22090849
TI - Poly[aqua-bis-[MU(3)-4-(3-pyrid-yl)pyrimidine-2-sulfonato-kappaN:N,O:O][MU(2)-4
(3-pyrid-yl)pyrimidine-2-sulfonato-kappaN:N,O]tris-ilver(I)].
AB - In the crystal structure of the title compound,
[Ag(3)(C(9)H(6)N(3)O(3)S)(3)(H(2)O)(2)](n), the mol-ecules are linked into three
decked polymeric zigzag chains propagating in [100]. On the middle deck, the Ag
atom is five-coordinated by three O atoms from three 4-(3-pyrid-yl)pyrimidine-2
sulfonate (L) ligands, one of which lies on a mirror plane with the sulfonate
group disordered over two orientations in a 1:1 ratio, and two N atoms from two L
ligands, which lie on the same mirror plane. On the upper and lower decks, the Ag
atom is four-coordinated by an aqua ligand, one O and two N atoms from two L
ligands with the pyridyl and pyrimidine rings twisted at 19.8 (2) degrees . In
the polymeric chain, there are pi-pi inter-actions between six-membered rings of
L ligands from different decks with centroid-centroid distances of 3.621 (7) and
3.721 (3) A. In the crystal, inter-molecular O-H?O hydrogen bonds link further
these three-decked chains into layers parallel to (010).
PMID- 22090850
TI - Dimeric (2-cyano-phenolato-kappaO){2,2'-[ethyl-enebis(nitrilo-methyl
idyne)]diphenolato-kappaO,N,N',O'}manganese(III) monohydrate.
AB - The molecules of the title compound, [Mn(C(7)H(4)NO)(C(16)H(14)N(2)O(2))].H(2)O,
form dimers in the solid state across a crystallographic inversion center. The
bridging Mn(2)O(2) group is built of phen-oxy groups, and is asymmetric, with an
Mn-O distances of 1.9002 (13) and 2.6236 (14) A. A substantial cavity between the
two Mn atoms [Mn?Mn = 3.5082 (4) A] is produced by the formation of the dimer. In
the crystal, an extended network of O-H?O hydrogen-bonding inter-actions stabil
izes the structure.
PMID- 22090851
TI - Dipotassium disulfanilamidate trihydrate.
AB - The asymmetric unit of the title compound, 2K(+).2C(6)H(7)N(2)O(2)S(-).3H(2)O,
consists of two potassium cations located on mirror planes, one sulfanilamidate
anion in a general position and one and a half mol-ecules of water, one of which
is also located on a mirror plane. One potassium cation is seven-coordinated by
six sulfonyl O atoms and one water mol-ecule, whereas the other is surrounded by
six water O atoms and two sulfonyl O atoms. In the crystal structure, the
components are connected into polymeric sheets in the bc plane. The two
dimensional structure is consolidated by N-H?O, O-H?O, O-H?N and C-H?pi inter
actions. The layers are further linked into a three-dimensional network via N
H?O, N-H?N and O-H?N hydrogen bonds.
PMID- 22090852
TI - Tetra-aqua-bis-[4-(4H-1,2,4-triazol-4-yl)benzoato-kappaN]manganese(II) deca
hydrate.
AB - In the title compound, [Mn(C(9)H(6)N(3)O(2))(2)(H(2)O)(4)].10H(2)O, the Mn(II)
ion is coordinated by two N atoms from two 4-(4H-1,2,4-triazol-4-yl)benzoate
ligands and four water mol-ecules in a distorted octa-hedral geometry. The Mn(II)
ion and two coordinated water mol-ecules lie on a twofold rotation axis. The
water mol-ecules are involved in O-H?N and O-H?O hydrogen bonds with the triazole
N atoms and carboxyl-ate O atoms, yielding a three-dimensional supra-molecular
network. pi-pi inter-actions between the benzene rings [centroid-centroid
distance = 3.836 (9) A] are observed.
PMID- 22090853
TI - {4,6-Bis[(E)-1-methyl-2-(pyridin-2-yl-methyl-idene-kappaN)hydrazinyl
kappaN]pyrimidine-kappaN}dichloridocopper(II) methanol disolvate monohydrate.
AB - The title compound, [CuCl(2)(C(18)H(18)N(8))].2CH(3)OH.H(2)O, contains a penta
coordinated Cu(II) atom bonded to the tridentate 4,6-bis-[(E)-1-methyl-2-(pyridin
2-yl-methyl-idene)hydrazin-yl]pyrimidine ligand and two Cl atoms. The geometry
around the Cu(II) atom is distorted square-pyramidal. The mol-ecules pack in the
crystal structure via O-H?Cl, O-H?N, C-H?Cl and C-H?O hydrogen bonds, C-H?pi and
pi-pi inter-actions [centroid-centroid distances of the pyrimidine-pyridine and
pyridine-pyridine inter-actions are 3.750 (3) and 3.850 (3) A, respectively],
forming sheet-like assemblies.
PMID- 22090854
TI - Electrostatic repulsion between the cations of (1-methyl-1H-imidazole
kappaN)(2,2':6',2''-terpyridine-kappaN,N',N'')platinum(II) perchlorate nitro
methane monosolvate prevents Pt?Pt inter-actions.
AB - The reaction between [Pt(terpy)Cl].2H(2)O (terpy = 2',2'':6',2''-terpyridine) and
1-methyl-imidazole (MIm) in the presence of two equivalents of AgClO(4) in nitro
methane yields the title compound,
[Pt(C(15)H(11)N(3))(C(4)H(6)N(2))](ClO(4))(2).CH(3)NO(2). The dicationic
complexes are arranged in a staggered configuration. The torsion angle subtended
by the 1-methyl-imidazole ring relative to the terpyridine ring is 114.9 (5)
degrees . Inter-molecular C-H?O inter-actions between the perchlorate anions and
the H atoms of the terpy ligand are observed. Consideration of related phenyl
bipyridyl complexes of platinum(II), which are monocationic, leads to the
conclusion that the electrostatic repulsion between the dicationic chelates
prevents the formation of Pt?Pt inter-actions. These inter-actions are a common
feature associated with the monocationic species.
PMID- 22090855
TI - (2,3,7,8,12,13,17,18-Octa-ethyl-5-phenyl-porphyrinato)platinum(II).
AB - The title compound, [Pt(C(42)H(48)N(4))], was obtained through metallation of the
corresponding free base with PtCl(2), followed by crystallization from methyl-ene
chloride/methanol. The mol-ecule exhibits an almost planar macrocycle with an
average deviation of the 24 macrocyclic atoms from their least-squares plane
(Delta24) of 0.04 A and an average Pt-N bond length of 2.022 A. Despite the
unsymmetrical substitution pattern, there is no significant difference between
distortion of the geometry at the phenyl substituted meso position and those of
unsubstituted meso positions.
PMID- 22090856
TI - Tetra-aqua-{1-[(1H-1,2,3-benzotriazol-1-yl)meth-yl]-1H-1,2,4-triazole}sulfato
cobalt(II) dihydrate.
AB - In the title complex, [Co(SO(4))(C(9)H(8)N(6))(H(2)O)(4)].2H(2)O, the Co(II) ion
is six-coordinated by one N atom from a 1H-1,2,3-benzotriazol-1-yl)meth-yl]-1H
1,2,4-triazole ligand, one O atom from a monodentate sulfate ligand and four
water mol-ecules in a slightly distorted octa-hedral geometry. The sulfate ligand
is rotationally disordered over two sets of sites with refined occupancies of
0.662 (15) and 0.338 (15). In the crystal, complex mol-ecules and solvent water
mol-ecules are linked through inter-molecular O-H?O and O-H?N hydrogen bonds into
a three-dimensional network.
PMID- 22090857
TI - Homopiperazine-1,4-diium bis-[hexa-aqua-cobalt(II)] tris-ulfate.
AB - In the title compound, (C(5)H(14)N(2))[Co(H(2)O)(6)](2)(SO(4))(3), the cationic
framework is built up of mixed organic-inorganic fragments, namely
[Co(H(2)O)(6)](2+) and [C(5)H(14)N(2)](2+). The [Co(H(2)O)(6)](2+) cations form
unconnected octa-hedra. Sulfate anions inter-calated between cationic species
connect them via N-H?O and O-H?O hydrogen bonds and electrostatic inter-actions.
PMID- 22090858
TI - Tetra-kis[MU-1,4-bis-(4,5-dihydro-1,3-oxazol-2-yl)benzene-kappaN:N']tetra-kis-(MU
methano-lato-kappaO:O)bis-(MU-perchlorato-kappaO:O')tetra-copper(II) bis
(perchlorate).
AB - The title tetra-nuclear Cu(II) complex,
[Cu(4)(C(12)H(12)N(2)O(2))(4)(CH(3)O)(4)(ClO(4))(2)](ClO(4))(2), is located
around an inversion center. Each Cu(II) atom is coordinated by two cis-O atoms
from two bridging methano-late anions and two cis-N atoms from two bridging 1,4
bis-(4,5-dihydro-1,3-oxazol-2-yl)benzene (L) ligands in the basal plane, and is
further coordinated by one O atom of the bridging perchlorate anion, forming a
distorted square-pyramidal geometry. The Cu?Cu separations in the recta-ngular
core are 2.9878 (11) and 6.974 (1) A. In the asymmetric unit, there are two L
ligands with a syn conformation. In one L ligand, the dihedral angles between the
central benzene ring and the terminal 4,5-dihydro-1,3-oxazol-2-yl mean planes are
22.1 (4) and 33.1 (4) degrees , and in the other L ligand the corresponding
dihedral angles are 29.3 (4) and 29.9 (4) degrees . The uncoordinated perchlorate
anion is linked with the complex mol-ecules via weak C-H?O hydrogen bonds.
PMID- 22090859
TI - catena-Poly[(MU-2-amino-1,3,4-thia-diazole-kappaN:N)di-MU-chlorido-cadmium].
AB - In the title coordination polymer, [CdCl(2)(C(2)H(3)N(3)S)](n), the Cd(II) cation
is coordinated by four Cl(-) anions and two N atoms from two trans 2-amino-1,3,4
thia-diazole (L) ligands in a distorted octa-hedral geometry. The L ligand and
Cl(-) anions bridge adjacent Cd cations, forming a polymeric chain along the b
axis; the separation between adjacent Cd cations is 3.619 (1) A. In the crystal,
the polymeric chains are inter-linking through N-H?Cl hydrogen bonds between the
L ligands and Cl(-) anions.
PMID- 22090860
TI - Poly[[MU(2)-1,4-bis-(4,5-dihydro-1,3-oxazol-2-yl)benzene-kappaN:N']di-MU(2)
chlorido-cadmium].
AB - In the title coordination polymer, [CdCl(2)(C(12)H(12)N(2)O(2))](n), the Cd(II)
ion, situated on an inversion center, is coordinated by four bridging Cl atoms
and two N atoms from two 1,4-bis-(4,5-dihydro-1,3--oxazol-2-yl)benzene (L)
ligands in a distorted octa-hedral geometry. Each L ligand also lies across an
inversion center and bridges two Cd(II) ions, forming infinite two-dimensional
recta-ngular layers running parallel to (010).
PMID- 22090861
TI - (E)-N-(Ferrocenyl-methyl-idene)(pyridin-3-yl)methanamine.
AB - In the title compound, [Fe(C(5)H(5))(C(12)H(11)N(2))], the cyclo-penta-dienyl
rings are present in an eclipsed conformation. The imine is E-configured. In the
crystal, C-H?N inter-actions involving both N atoms connect the mol-ecules into
two undulating sheets perpendicular to the b axis. The centroid-centroid distance
between the two aromatic systems in the ferrocenyl moiety is 3.2928 (18) A. A C
H?pi inter-action is also present.
PMID- 22090862
TI - Dichlorido[2-({[3-(cyclo-hexyl-aza-nium-yl)prop-yl]imino}-meth-yl)-5-meth-oxy
phenolate]zinc.
AB - The title mononuclear zinc complex, [ZnCl(2)(C(17)H(26)N(2)O(2))], was obtained
by the reaction of 2-hy-droxy-4-meth-oxy-benzaldehyde, N-cyclo-hexyl-propane-1,3
diamine and zinc chloride in methanol. The Zn(II) atom is four-coordinated by the
phenolate O atom and imine N atom of the bidentate zwitterionic Schiff base
ligand 2-{[3-(cyclo-hexyl-amino)-prop-yl]imino-meth-yl}-5-meth-oxy-phenol, and by
two chloride ions, generating a distorted ZnONCl(2) tetra-hedral geometry. In the
crystal, mol-ecules are linked by N-H?O hydrogen bonds, forming chains along the
c-axis direction.
PMID- 22090863
TI - Ferrocenyl-phospho-nic acid.
AB - In the title compound, [Fe(C(5)H(5))(C(5)H(6)O(3)P)], the phosphate group is
bonded to the ferrocene unit with a P-C bond length of 1.749 (3) A. In the
crystal, six ferrocenyl-phospho-nic acid mol-ecules are connected by 12 strong
inter-molecular O-H?O hydrogen bonds, leading to the formation of a highly
distorted octa-hedral cage. The volume of the octa-hedral cage is about 270 A(3).
PMID- 22090864
TI - Poly[MU(4)-glutarato-di-MU(3)-glutarato-bis-(1,10-phenanthroline)diyttrium(III)].
AB - In the title complex, [Y(2)(C(5)H(6)O(4))(3)(C(12)H(8)N(2))(2)](n), three
glutarate groups and two 1,10-phenanthroline mol-ecules surround the two Y(III)
ions. Both Y(III) ions are coordinated by two N atoms from the 1,10
phenanthroline, seven O atoms from five glutarate groups in a distorted tricapped
trigonal-prismatic geometry. The Y(III) ions are bridged by glutarate ligands in
three modes, forming a layered, polymeric structure. The resulting layers are
assembled by pi-pi stacking inter-actions [centroid-centroid distances = 3.740
(3) and 3.571 (3) A] into a three-dimensional supra-molecular architecture.
PMID- 22090865
TI - Tetra-MU-acetato-bis-[(1,3-benzothia-zole)copper(II)](Cu-Cu).
AB - The title compound, [Cu(2)(CH(3)CO(2))(4)(C(7)H(5)NS)(2)] or
[(C(7)H(5)NS)Cu](2)(MU-O(2)CCH(3))(4), crystallizes with one mol-ecule per unit
cell. The coordination number of copper is six with four basal O atoms, one axial
N atom and one axial Cu atom. Four acetate ligands act as bidentate linker and
connect two Cu atoms, with a crystallographic inversion center located at the mid
point of the Cu-Cu bond. The acetate ligands form slightly distorted square
planes around each metal ion, while the copper ions are displaced by 0.2089 (4) A
from these planes towards the N atoms. Thus, the Cu-Cu distance is elongated to
2.6378 (7) A, compared with the 2.2180 (7) A distance between the two basal
planes. The angle between the basal plane and the Cu-N bond is 4.84 (6) degrees .
PMID- 22090866
TI - Tetra-kis(2-amino-4-methyl-pyridinium) cyclo-tetra-MU(2)-oxido-tetra-kis-[dioxido
vanadate(V)] tetra-hydrate.
AB - The asymmetric unit of the title compound, (C(6)H(9)N(2))(4)[V(4)O(12)].4H(2)O,
contains half of a [V(4)O(12)](4-) anion, two 2-amino-4-methyl-pyridinium,
(2a4mpH)(+), cations and two water mol-ecules. One water mol-ecule is disordered
over two sets of sites with equal occupancies and the H atoms for this mol-ecule
were not included in the refinement. The cation lies on an inversion center with
four tetra-hedral VO(4) units each sharing two vertices, forming an eight
membered ring. In the crystal, the components are linked by inter-molecular N-H?O
hydrogen bonds, forming a one-dimensional network along [100]. Further
stabilization is provided by weak inter-molecular C-H?O hydrogen bonds. In
addition, pi-pi stacking inter-actions with centroid-centroid distances of 3.5420
(18), 3.7577 (18) and 3.6311 (19) A are observed.
PMID- 22090867
TI - Hexachloridobis-{MU-2-(piperazin-1-yl)-N-[1-(2-pyrid-yl)ethyl-idene]ethanamine}
trizinc dihydrate.
AB - In the trinuclear title compound, [Zn(3)Cl(6)(C(13)H(20)N(4))(2)].2H(2)O, each
terminal Zn(II) atom is coordinated by an N(3) donor set from the Schiff base
ligands and two Cl atoms in a distorted square-pyramidal geometry. The central
Zn(II) atom is tetra-hedrally coordinated by two piperazine N atoms from two
Schiff base ligands and two Cl atoms. The piperazine rings adopt chair
conformations. In the crystal structure, adjacent complex mol-ecules are linked
into a three-dimensional network via N-H?O, C-H?Cl and C-H?O hydrogen bonds. The
structure includes two water mol-ecules, one of which is disordered over two
positions with occupancies of 0.753 (15) and 0.247 (15).
PMID- 22090868
TI - Triethyl-ammonium hexa-MU(2)-acetato-kappaO:O'-diacetato-kappaO-aqua-MU(3)-oxido
triferrate(III) toluene monosolvate.
AB - The title compound, (C(6)H(16)N)[Fe(3)(CH(3)CO(2))(8)O(H(2)O)].C(7)H(8), was
serendipitously crystallized from a reaction of disilanol with iron(II) acetate.
The trinuclear acetatoferrate(III) anion has a triethyl-ammonium cation as the
counterion. The three Fe atoms lie on the vertices of a regular triangle and are
octa-hedrally coordinated. The complete coordination of the anion includes shared
ligands among the three metal ions: a central tribridging O atom and six
bidentate bridging acetyl groups. The six-coordinations of two of the metal ions
are completed by a monodentate acetate ligand, whereas that of the third metal
ion is completed by a water mol-ecule. The uncoordinated triethyl-ammonium cation
is involved in N-H?O hydrogen bonding to a singly coordinated acetyl group. The
coordinated aqua mol-ecule is involved in bifurcated O-H?O hydrogen bonding. C
H?O inter-actions are also observed. The toluene solvent molecule is disordered
over two sets of sites in a 0.609 (11):0.391 (11) ratio.
PMID- 22090869
TI - Triaqua-1kappaO-MU-cyanido-1:2kappaN:C-penta-cyanido-2kappaC-tetra-kis-(dimethyl
formamide-1kappaO)-1-holmium(III)-2-iron(III) monohydrate.
AB - In the bimetallic cyanide-bridged title complex,
[Fe(0.98)HoRu(0.02)(CN)(6)(C(3)H(7)NO)(4)(H(2)O)(3)].H(2)O, the Ho(III) ion is in
a slightly distorted square-anti-prismatic arrangement formed by seven O atoms
from four dimethyl-formamide (DMF) mol-ecules and three water mol-ecules, and one
N atom from a bridging cyanide group connected with the Fe(III) atom which is
octa-hedrally coordinated by six cyanide groups. In the crystal, mol-ecules are
held together through O-H?N and O-H?O hydrogen-bonding inter-actions to form a
three-dimensional framework. Elemental analysis of one of the precursors and the
crystal shows that there is a slight contamination of Fe by Ru. The Fe site
displays, therefore, small substitutional disorder with site-occupancy factors
Fe/Ru = 0.98:0.02. The two methyl groups of two dimethyl-formamide ligands are
positionally disordered with site-occupancy factors of 0.44 (3):0.56 (3) and 0.44
(3):0.56 (3).
PMID- 22090870
TI - Bis(acetonitrile-kappaN)dichlorido(eta-cyclo-octa-1,5-diene)ruthenium(II)
acetonitrile monosolvate.
AB - In the title Ru(II) complex, [RuCl(2)(C(8)H(12))(C(2)H(3)N)(2)].CH(3)CN, the
metal ion is coordinated to the centers of each of the double bonds of the cyclo
octa-diene ligand, to two chloride ions (in cis positions) and to two N-atom
donors (from MeCN mol-ecules) that complete the coordination sphere for the
neutral complex. The coordination about the Ru(II) atom can thus be considered to
be octa-hedral with a slightly trigonal distortion. There is also one
acetonitrile solvent mol-ecule per mol-ecule which is outside the coordination
sphere of the ruthenium atom.
PMID- 22090871
TI - [Bis(pyridin-2-ylmeth-yl) ether]trichlorido-rhodium(III) dichloro-methane
monosolvate: unusual hydrolysis of the methyl-ene bridge in (pyrazolylmeth
yl)pyridine.
AB - In the title compound, [RhCl(3)(C(12)H(12)N(2)O)].CH(2)Cl(2), the Rh(III) atom
shows a slightly distorted octa-hedral geometry being coordinated by two N atoms
and one O atom from the 2,2'-(oxydimethanedi-yl)dipyridine ligand and three Cl
atoms. Two Cl atoms adopt a trans arrangement to the two pyridyl N atoms, while
the third Cl atom and the O atoms occupy the axial site. The Rh-Cl bonds that are
trans to the pyridyl N atoms are slightly longer than the Rh-Cl bond distance
trans to the O atom.
PMID- 22090872
TI - Tetra-MU(2)-acetato-diaqua-bis-(MU(2)-2-{[1,3-dihy-droxy-2-(oxidometh-yl)propan-2
yl]imino-meth-yl}phenolato)trimanganese(II,III) acetonitrile disolvate dihydrate.
AB - In the title complex, [Mn(II)Mn(III)
(2)(C(11)H(13)NO(4))(2)(CH(3)CO(2))(4)(H(2)O)(2)].2CH(3)CN.2H(2)O, there are two
Mn(III) and one Mn(II) atoms. The Mn(II) atom lies on an inversion center and the
Mn(III)-Mn(II)-Mn(III) angle is therefore 180 degrees , as required by
crystallographic symmetry. The Mn(III) and Mn(II) atoms are six-coordinated in a
distorted octa-hedral geometry. In the crystal, complex mol-ecules and solvent
mol-ecules are linked into a three-dimensional network by O-H?O and O-H?N
hydrogen-bonding inter-actions.
PMID- 22090873
TI - Poly[[MU-1,4-bis-(4,5-dihydro-1,3-oxazol-2-yl)benzene-kappaN:N']di-MU-bromido
cadmium].
AB - In the title coordination polymer, [CdBr(2)(C(12)H(12)N(2)O(2))](n), the Cd(II)
ion, situated on an inversion centre, is coordinated by four bridging Br atoms
and two N atoms from two 1,4-bis-(4,5-dihydro-1,3-oxazol-2-yl)benzene (L) ligands
in a distorted octa-hedral geometry. The L ligand, which also lies across an
inversion centre, bridges two Cd(II) ions, forming layers parallel to (010).
PMID- 22090874
TI - Bis(MU-3,5-dimethyl-4H-1,2,4-triazol-4-amine-kappaN:N)bis-[bis-(thio-cyanato
kappaN)zinc]-bis-(3,5-dimethyl-4H-1,2,4-triazol-4-amine-kappaN)bis-(thio-cyanato
kappaN)zinc (1/2).
AB - In the crystal structure of the title 1:2 adduct,
[Zn(2)(NCS)(4)(C(4)H(8)N(4))(2)].2[Zn(NCS)(2)(C(4)H(8)N(4))(2)] or (Ia).2(Ib),
each Zn(II) atom is coordinated in a distorted tetra-hedral geometry by four N
atoms from two triazole rings of two 4-amino-3,5-dimethyl-1,2,4-triazole (admt)
ligands and two NCS(-) ligands. In (Ia), double N(1):N(2)-bridging admt ligands
connect two Zn(II) atoms, forming a dimer with a Zn(2)(admt)(2) six-membered
metallacycle located on a crystallographic inversion center. In (Ib), the admt
ligands exhibit monodentate N(1)-coordination modes. Weak N-H?N, N-H?S and C-H?S
hydrogen bonds play an important role in the inter-molecular packing. The S and C
atoms of two thiocyanato ligands are disordered over two sets of sites in ratios
of 0.57 (3):0.43 (3) and 0.63 (3):0.37 (3), respectively.
PMID- 22090875
TI - catena-Poly[[diaqua-calcium]bis-[MU-2-(1,3-dioxoisoindolin-2-yl)acetato]
kappaO,O':O;kappaO:O,O'].
AB - In the title complex, [Ca(C(10)H(6)NO(4))(2)(H(2)O)(2)](n), the Ca(II) atom lies
on a twofold rotation axis and adopts a dodeca-hedral geometry. The Ca(II) atom
is octa-coordinated by two O atoms from two water mol-ecules and six O atoms from
four acetate ligands. Each acetate acts as a tridentate ligand bridging two
Ca(II) atoms, resulting in a chain running along the c axis. O-H?O and C-H?O
hydrogen bonds connect the chains into a two-dimensional network parallel to
[011]. pi-pi inter-actions between adjacent isoindoline-1,3-dione rings [centroid
centroid distance = 3.4096 (11) A] further consolidate the structure. One of the
carboxylate O atoms is disordered over two sites in a 0.879 (12):0.121 (12)
ratio.
PMID- 22090876
TI - MU-Oxido-bis-{bis-[(penta-fluoro-phen-yl)methano-lato](eta-penta-methyl-cyclo
penta-dien-yl)titanium(IV)}.
AB - The dinuclear title complex, [Ti(2)(C(10)H(15))(2)(C(7)H(2)F(5)O)(4)O], features
two Ti(IV) atoms bridged by an O atom. Each Ti atom is bonded to a eta(5)-penta
methyl-cyclo-penta-dienyl ring, two (penta-fluoro-phen-yl)methano-late anions and
to the bridging O atom. The environment around each Ti atom can be considered as
a distorted tetra-hedron.
PMID- 22090877
TI - 3,5-Diamino-4H-1,2,4-triazol-1-ium hydroxonium bis-(pyridine-2,6-di-carboxyl
ato)cobaltate(II) pyridine-2,6-dicarb-oxy-lic acid monohydrate.
AB - The asymmetric unit of the title complex,
(C(2)H(6)N(5))(H(3)O)[Co(C(7)H(3)NO(4))(2)].C(7)H(5)NO(4).H(2)O, contains a
Co(II) ion coordin-ated by four O atoms and two N atoms from two dipicolinate
ligands in a disorted octa-hedral environment, a protonated triazole mol-ecule, a
neutral pyridine-2,6-dicarb-oxy-lic acid mol-ecule, a hydroxonium ion and a
solvent water mol-ecule. In the crystal, the components are linked into a three
dimensional framework by inter-molecular O-H?O, N-H?O and N-H?N and weak C-H?O
hydrogen bonds. In addition, pi-pi stacking inter-actions with centroid-centroid
distances in the range 3.4809 (7)-3.8145 (6) A are observed.
PMID- 22090878
TI - Poly[aqua-[MU(5)-5-(isonicotinamido)-isophthalato][MU(4)-5-(isonicotinamido)
isophthalato]cerium(III)silver(I)].
AB - The 4d-4f heteronuclear title complex, [AgCe(C(14)H(8)N(2)O(5))(2)(H(2)O)](n),
has a three-dimensional framework structure, generated by the carboxyl-ate and
pyridyl groups of the 5-(isonicotinamido)-isophthalate (INAIP) ligands bridging
the metal ions. The Ce(III) atom is coordinated by eight O atoms from six INAIP
ligands and a water mol-ecule in a distorted tricapped trigonal-prismatic
geometry, while the Ag(I) atom has a distorted trigonal-planar AgN(2)O geometry.
O-H?O and N-H?O hydrogen bonds and pi-pi inter-actions between the pyridine and
benzene rings [centroid-centroid distances = 3.642 (4) and 3.624 (3) A] stabilize
the crystal structure.
PMID- 22090879
TI - Redetermination of diaqua-tetra-kis-(dimethyl-formamide-kappaO)magnesium
dichloride.
AB - The crystal structure of the title compound, [Mg(C(3)H(7)NO)(4)(H(2)O)(2)]Cl(2),
in which the Mg ion lies on a crystallographic inversion centre, confirms that of
the previous room-temperature study [Pavanello et al. (1995 ?). Main Group Met.
Chem.18, 9-19]. This redetermination at 113 K has improved geometry precision by
almost an order of magnitude [e.g. Mg-O(w) (w = water) distances = 2.094 (4) and
2.0899 (7) A in the old and new structures, respectively] and allowed the water H
atoms to be located and their positions refined. In the crystal, O-H?Cl hydrogen
bonds between the two aqua ligands of the complex mol-ecule and neighboring
chloride counter-anions generate supra-molecular chains propagating along [010].
The dicationic [Mg(DMF)(4)(H(2)O)(2)] unit (DMF is dimethyl-formamide) adopts a
slightly distorted octa-hedral geometry in which the Mg atom is coordinated by
four DMF O atoms in a pseudo-tetra-gonal arrangement and two trans aqua ligands.
PMID- 22090880
TI - catena-Poly[[dibromidomercury(II)]-MU-3,6-bis-(2-pyridyl-sulfan-yl)pyridazine
kappaN:N].
AB - In the title coordination polymer, [HgBr(2)(C(14)H(10)N(4)S(2))](n), the Hg(II)
atom is four-coordinated in a distorted tetra-hedral geometry by the two N atoms
of the pyridyl groups of different 3,6-bis-(2-pyridyl-sulfan-yl)pyridazine
ligands and two Br atoms. The bridging function of the cis ligands leads to a
helical chain structure along [100].
PMID- 22090881
TI - Poly[[bis-(nitrato-kappaO)bis-(MU(4)-pyridine-4-carboxyl-ato)tetra-kis-(MU(3)
pyridine-4-carboxyl-ato)octa-silver(I)] hemihydrate].
AB - In the title coordination polymer,
{[Ag(8)(C(6)H(4)NO(2))(6)(NO(3))(2)].0.5H(2)O}(n), two Ag(I) ions are two
coordinate within an AgN(2) set and six are three-coordinate within AgN(2)O and
AgO(3) sets. The Ag-N and Ag-O distances are in the ranges 2.150 (5)-2.198 (5)
and 2.142 (4)-2.702 (5) A, respectively. A two-dimensional coordination network
is formed parallel to (100). The O atom of the disordered solvent water mol-ecule
is located on an inversion center.
PMID- 22090882
TI - N-(Quinolin-8-yl)ferrocene-1-carbox-amide.
AB - In the title compound, [Fe(C(5)H(5))(C(15)H(11)N(2)O)], the cyclo-penta-dienyl
rings are essentially eclipsed, and the dihedral angle between the cyclo-penta
dienyl ring planes is 0.632 (10) degrees . The Fe atom is slightly closer to the
substituted cyclo-penta-dienyl ring, with an Fe-centroid distance of 1.6374 (3) A
[1.6494 (3) A for the unsubstituted ring]. The amide group is essentially
coplanar with the substituted cyclo-penta-dienyl ring, with an N-C(O)-C-C torsion
angle of 2.3 (3) degrees .
PMID- 22090883
TI - Bis(MU(2)-2-amino-5-nitro-benzoato)bis-(2-amino-5-nitro-benzoato)octa-butyldi
MU(3)-oxido-tetra-tin(IV).
AB - In the title complex, [Sn(4)(C(4)H(9))(8)(C(7)H(5)N(2)O(4))(4)O(2)], all four
Sn(IV) atoms are five-coordinated with distorted trigonal-bipyramidal SnC(2)O(3)
geometries. Two Sn(IV) atoms are coordin-ated by two butyl groups, one benzoate O
atom and two bridging O atoms, whereas the other two Sn(IV) atoms are coordinated
by two butyl groups, two benzoate O atoms and a bridging O atom. All the butyl
groups are equatorial with respect to the SnO(3) trigonal plane. In the crystal,
mol-ecules are linked into a two-dimensional layer parallel to the ab plane by
inter-molecular N-H?O and C-H?O hydrogen bonds and further stabilized by a pi-pi
inter-action [centroid-centroid distance = 3.6489 (11) A]. Intra-molecular N-H?O
and C-H?O hydrogen bonds stabilize the mol-ecular structure. Two of the butyl
groups are each disordered over two sets of sites with site-occupancy ratios of
0.510 (4):0.490 (4) and 0.860 (5):0.140 (5).
PMID- 22090884
TI - Bis[1-benzyl-3-(4-methyl-phen-yl)imidazol-2-yl-idene]silver(I) hexa-fluorido
phosphate.
AB - The title silver N-heterocyclic carbene compound, [Ag(C(17)H(16)N(2))(2)]PF(6),
crystallizes as a mononuclear salt. The two imidazole rings, which are almost
coplanar [maximum deviation from the least squares plane of 0.05 (2) A], are
linked by the Ag atom with a C-Ag-C angle of 178.60 (9) degrees . In the crystal,
C-H?F hydrogen bonds, weak pi-pi inter-actions [centroid-centroid distances =
3.921 (1) and 3.813 (3) A] and C-H?pi inter-actions lead to a supermolecular
structure.
PMID- 22090885
TI - 3,3'-Dimethyl-1,1'-(propane-1,3-di-yl)diimidazol-1-ium bis-(1,2-dicyano-ethene
1,2-dithiol-ato-kappaS,S')nickelate(II).
AB - In the title compound, (C(11)H(18)N(4))[Ni(C(4)N(2)S(2))(2)], the asymmetric
contains one half-complex, with the cation placed on a twofold axis and the anion
located on an inversion center. The Ni(II) ion in the anion is coordinated by
four S atoms of two maleonitrile-dithiol-ate ligands, and exhibits the expected
square-planar coordination geometry.
PMID- 22090886
TI - Poly[[aqua-(MU(7)-biphenyl-3,3',4,4'-tetra-carboxyl-ato)(1,10
phenanthroline)dicobalt(II)] monohydrate].
AB - In the title compound,
{[Co(2)(C(16)H(6)O(8))(C(12)H(8)N(2))(H(2)O)(2)].H(2)O}(n), one Co(II) ion has a
{CoN(2)O(4)} distorted octa-hedral environment defined by two N atoms of one 1,10
phenanthroline (phen) ligand, three O atoms of the carboxyl-ate groups of three
biphenyl-3,3',4,4'-tetra-carboxyl-ate (BPTC) ligands, one of which is bidentate,
and one O atom from one coordinated water mol-ecule. The other Co(II) atom is
surrounded by six O atoms from four different BPTC ligands and one coordinated
water mol-ecule. Each BPTC ligand forms eight coordination bonds with seven
Co(II) atoms, leading to a layer structure along the ac plane. Uncoordinated
water mol-ecules occupy the space between the layers, and inter-act via inter
layer O-H?O hydrogen bonds along the b axis, generating a three-dimensional supra
molecular network.
PMID- 22090887
TI - Triaqua-(7-oxabicyclo-[2.2.1]heptane-2,3-dicarboxyl-ato-kappaO,O,O)cobalt(II)
monohydrate.
AB - The title complex, [Co(C(8)H(8)O(5))(H(2)O)(3)].H(2)O, was synthesized by
reaction of cobalt acetate with 7-oxabicyclo-[2.2.1]heptane-2,3-dicarb-oxy-lic
anhydride (norcantharidin) in aqueous solution. In the mol-ecule, the Co(II) atom
is six-coordinated in a distorted octa-hedral environment, binding to the
bridging O atom of the bicyclo-heptane unit, to two O atoms from monodentate
carboxyl-ate groups and to three water O atoms. The crystal structure is
stabilized by several O-H?O hydrogen-bonding inter-actions involving both the
coordinated and uncoordinated water mol-ecules as donors and the carboxyl-ate O
atoms of neighbouring mol-ecules as acceptors.
PMID- 22090888
TI - Poly[[tetra-aqua-bis-(MU(3)-1H-imidazole-4,5-dicarboxyl-ato)tetra-kis-(MU(2)-1H
imidazole-4,5-dicarboxyl-ato)tricobalt(II)diytterbium(III)] dihydrate].
AB - The asymmetric unit of the title compound,
{[Co(3)Yb(2)(C(5)H(2)N(2)O(4))(6)(H(2)O)(4)].2H(2)O}(n), contains one Yb(III)
ion, two Co(II) ions (one situated on an inversion centre), three imidazole-4,5
dicarboxyl-ate ligands, two coordinated water mol-ecules and one uncoordinated
water mol-ecule. The Yb(III) ion is seven-coordinated, in a monocapped trigonal
prismatic coordination geometry, by six O atoms from three imidazole-4,5
dicarboxyl-ate ligands and one water O atom. Both Co(II) ions are six-coordinated
in a slightly distorted octa-hedral geometry. The Co(II) ion that is located on
an inversion center is coordinated by two O atoms from two water mol-ecules, as
well as two O atoms and two N atoms from two imidazole-4,5-dicarboxyl-ate
ligands. The second Co(II) ion is bonded to four O atoms and two N atoms from
four imidazole-4,5-dicarboxyl-ate ligands. These metal coordination units are
connected by bridging imidazole-4,5-dicarboxyl-ate ligands, generating a three
dimensional network. The crystal structure is further stabilized by N-H?O, O-H?O
and C-H?O hydrogen-bonding inter-actions involving the water mol-ecules and the
imidazole-4,5-dicarboxyl-ate ligands.
PMID- 22090889
TI - Bis(1H-imidazole-kappaN)bis-(2-methyl-benzoato-kappaO)bis-(2-methyl-benzoic acid
kappaO)copper(II).
AB - The structure of the title compound,
[Cu(C(8)H(7)O(2))(2)(C(3)H(4)N(2))(2)(C(8)H(8)O(2))(2)], consists of
centrosymmetric monomeric units, in which the Cu(II) atom has a tetra-gonally
distorted octa-hedral coordination involving two imidazole N atoms and two
carboxyl-ate O atoms in the square plane [Cu-N = 1.964 (3) and Cu-O = 1.960 (2)
A] and 2-methyl-benzoic acid O atoms in axial sites [Cu-O = 2.753 (3) A]. Within
the complex, the carb-oxy-lic acid forms intra-molecular O-H?O hydrogen bonds,
while the mol-ecules are assembled through N-H?O(carbox-yl) hydrogen bonds into
chains extending along the a-axis direction. These chains are further linked by
weak pi-pi inter-actions [centroid-centroid separation = 3.930 (2) A].
PMID- 22090890
TI - Bis(acetonitrile-kappaN)diaqua-bis-(perchlorato-kappaO)copper(II).
AB - In the title compound, [Cu(ClO(4))(2)(CH(3)CN)(2)(H(2)O)(2)], the Cu(2+) ion,
located on a special position (site symmetry [Formula: see text]), is coordinated
by six monodentate ligands, viz. an N-coordin-ated acetonitrile, a perchlorate
anion and a water mol-ecule, and their symmetry-related counterparts. The
perchlorate anion is disordered over two sets of sites with occupancies of 0.53
(2) and 0.47 (2). The crystal structure is stabilized by O-H?O hydrogen bonds
involving the perchlorate ion and aqua H atoms.
PMID- 22090891
TI - {MU-6,6'-Dimeth-oxy-2,2'-[ethane-1,2-diyl-bis(nitrilo-methanylyl
idene)]diphenolato-1kappaO,O,O,O;2kappaO,N,N',O}(methanol-1kappaO)(tetra
fluoridoborato-1kappaF,F')-2-copper(II)-1-sodium.
AB - In the dinuclear salen-type title complex,
[CuNa(BF(4))(C(18)H(18)N(2)O(4))(CH(3)OH)], the Cu(II) atom is chelated by two O
atoms and two N atoms of the deprotonated Schiff base in a square-planar
geometry. The Na atom is seven-coordinate as it is linked to four O atoms of the
same Schiff base ligand, one O atom of the methanol and two tetra-fluorido-borate
F atoms. The remaining two F atoms of the anion are disordered over two sites in
a 0.598 (18):0.402 (18) ratio.
PMID- 22090892
TI - Di-MU-nicotinamide-kappaN:O;kappaO:N-bis-[aqua-bis-(4-bromo-benzoato)
kappaO;kappaO,O'-manganese(II)].
AB - In the centrosymmetric dinuclear title compound,
[Mn(2)(C(7)H(4)BrO(2))(4)(C(6)H(6)N(2)O)(2)(H(2)O)(2)], the Mn(II) atom is
coordinated by one N atom from one bridging nicotinamide ligand and one O atom
from another symmetry-related bridging nicotinamide ligand, three O atoms from
two 4-bromo-benzoate ligands and one water mol-ecule in a distorted octa-hedral
geometry. The dihedral angles between the carboxyl-ate groups and the adjacent
benzene rings are 10.89 (16) and 8.4 (2) degrees , while the benzene rings are
oriented at a dihedral angle of 6.09 (13) degrees . Inter-molecular O-H?O, N-H?O
and weak C-H?O hydrogen bonds link the mol-ecules into a three-dimensional
network. pi-pi inter-actions, indicated by short centroid-centroid distances
[3.845 (2) A between the benzene rings, 3.650 (2) A between the pyridine rings
and 3.700 (3) A between the benzene and pyridine rings] further stabilize the
structure.
PMID- 22090893
TI - Poly[[MU-aqua-tetraaquabis(MU-2-hydroxy-4-oxocyclobut-1-ene-1,3
diolato)strontium] hemihydrate].
AB - In the title coordination polymer, {[Sr(C(4)HO(4))(2)(H(2)O)(5)].0.5H(2)O}(n),
the Sr(2+) ion is coordinated by three monodentate hydrogensquarate (hsq) anions
and six aqua ligands in a distorted SrO(9) monocapped square-anti-prismatic
geometry. The hsq anions and water mol-ecules bridge the metal ions into infinite
sheets lying parallel to (100). The O atom of the uncoordinated water mol-ecule
lies on a crystallographic twofold axis. The packing is stabilized by numerous O
H?O hydrogen bonds.
PMID- 22090894
TI - {N'-[(E)-1-(5-Bromo-2-oxidophen-yl)ethyl-idene-kappaO]-4-methyl-benzohydrazidato
kappaN',O}(pyridine-kappaN)nickel(II).
AB - The central Ni(II) atom in the title complex,
[Ni(C(16)H(13)BrN(2)O(2))(C(5)H(5)N)], is in a square-planar trans-N(2)O(2)
environment defined by the NO(2) donor atoms of the tridentate hydrazone ligand
and the monodentate pyridine ligand. The pyridine mol-ecule forms a dihedral
angle of 9.99 (11) degrees with the least-squares plane through the NiN(2)O(2)
atoms.
PMID- 22090895
TI - catena-Poly[[(aqualithium)-MU-3-carboxypyrazine-2-carboxylato-kappaO,N:O,N]
monohydrate].
AB - The polymeric structure of the title compound
{[Li(C(6)H(3)N(2)O(4))(H(2)O)].H(2)O}(n), contains two symmetry-independent Li(I)
complex units, both having distorted trigonal-bipyramidal coordination
environments. The Li(I) ions are bridged by both the N and O atoms of the
ligands, generating two symmetry-independent polymeric chains propagating along
the b-axis direction. In both ligands, the second carboxyl-ato O atom remains
protonated, serving as a donor in a short intra-molecular O-H?O hydrogen bond.
The coordination of each Li(I) ion is completed by a water O atom. The ribbons
are held together by a network of O-H?O hydrogen bonds in which the coordinated
and uncoordinated water mol-ecules are donors and the carboxyl-ato O atoms act as
acceptors.
PMID- 22090896
TI - (Acetonitrile-kappaN)[2-(diphenylphosphan-yl)ethanamine-kappaN,P][(1,2,3,4,5-eta)
1,2,3,4,5-pentamethylcyclopentadienyl]iron(II) hexafluoridophosphate
tetrahydrofuran monosolvate.
AB - In the title cationic Cp(*)Fe(II) complex,
[Fe(C(10)H(15))(CH(3)CN)(C(14)H(16)NP)]PF(6).C(4)H(8)O, the metal ion is
coordinated by the eta(5)-Cp* ring as well as the P and N atoms of the chelating
2-(diphenyl-phosphino)ethyl-amine ligand and an additional acetonitrile mol-ecule
in a piano-chair conformation. The PF(6) (-) anion is disordered over two sets of
sites with occupancies of 0.779 (7) and 0.221 (7).
PMID- 22090897
TI - catena-Poly[[(3-methyl-pyridine)-copper(I)]-MU-cyanido-copper(I)-MU-cyanido].
AB - In the title complex, [Cu(2)(CN)(2)(C(6)H(7)N)](n), there are two copper atoms
with different coordination environments. One Cu atom (Cu1) is linked to the two
cyanide ligands, one N atom from a pyridine ring while the other (Cu2) is
coordinated by the two cyanide ligands in a slightly distorted tetra-hedral
geometry and linked to Cu1, forming a triangular coordination environment. The Cu
atoms are bridged by bidentate cyanide ligands, forming an infinite Cu-CN chain.
One cyanide ligand is equally disordered over two sets of sites, exchanging C and
N atoms coordinated to both metal atoms. However, one cyanide group is not
disordered and it coordinates to Cu1 via the N atom whereas its C-atom
counterpart coordinates Cu2. The 3-methyl-pyridine (3MP) ligand coordinates
through the N atom to Cu1 as a terminal ligand, which originates from decyanation
of 3-pyridyl-acetonitrile under hydro-thermal conditions. Adjacent Cu-CN chains
are inter-connected through Cu?Cu inter-actions [2.8364 (10) A], forming a three
dimensional framework.
PMID- 22090898
TI - catena-Poly[[(nitrito-kappaO,O')silver(I)]-MU-1,2-bis-[1-(pyridin-4-yl)ethyl
idene]hydrazine-kappaN:N'].
AB - The asymmetric unit of the title compound, [Ag(NO(2))(C(14)H(14)N(4))](n),
contains half of the repeating formula unit (Z' = 1/2). The Ag(I) ion lies on a
twofold rotation axis. The primary structure consists of a one-dimensional
coordination polymer formed by the Ag(I) ions and the bipyridyl azine ligand in
which there is an inversion center at the mid-point of the N-N bond. The nitrite
anion inter-acts with the Ag(I) ion through a chelating MU(2) inter-action
involving both O atoms. In the crystal, the coordination chains are parallel and
inter-act through Ag?pi [3.220 (2) A] and pi-pi [3.489 (3) A] inter-actions.
PMID- 22090899
TI - catena-Poly[tris(2,4,6-trimethyl-anilinium) [(tetrachloridocadmium)-MU
chlorido]].
AB - The asymmetric unit of the title compound, {(C(9)H(14)N)(3)[CdCl(5)]}(n),
comprises three 2,4,6-trimethyl-aniline dications and one half of the
[Cd(2)Cl(10)](6-) anion. The Cd atoms are each coordinated by six Cl atoms, with
octa-hedra linked by bridging, apical Cl atoms, forming linear chains running
parallel to the a axis. The trimethylanilinium cations form stacks between the
chains of CdCl(6) octa-hedra.
PMID- 22090900
TI - trans-Bis(acetato-kappaO)diaquabis-(2-amino-pyrazine-kappaN)manganese(II)
dihydrate.
AB - The Mn(II) atom in the title compound,
[Mn(CH(3)COO)(2)(C(4)H(5)N(3))(2)(H(2)O)(2)].2H(2)O, is situated on a center of
inversion and shows an octa-hedral coordination polyhedron made up by four O
atoms and two N atoms. The octa-hedron is somewhat tetra-gonally distorted owing
to the longer Mn-N bond [2.323 (3) A]. The mononuclear complex mol-ecule and
uncoordinated water mol-ecules are linked by O-H?N, N-H?O and O-H?O hydrogen
bonds, generating a three-dimensional network.
PMID- 22090901
TI - Bis[MU(2)-bis-(diphenyl-phosphan-yl)methane-kappaP:P']bis-(MU(4)-diphenyl
phosphinato-kappaO:O:O':O')bis-[MU(2)-trifluoro-methane-sulfonato-(0.546/0.454)]
kappaO:O';kappaO:O-tetra-silver(I) acetonitrile disolvate.
AB - In the centrosymmetric tetra-nuclear title compound,
[Ag(4)(C(12)H(10)O(2)P)(2)(CF(3)O(3)S)(2)(C(25)H(22)P(2))(2)].2CH(3)CN, the Ag(I)
atom is coordinated by one P atom from a bis-(diphenyl-phosphan-yl)methane (dppm)
ligand, two O atoms from two diphenyl-phosphinate (dpp) ligands and one O atom
from a trifluoro-methane-sulfonate (OTf) anion in a highly distorted tetra-hedral
geometry. Four Ag(I) atoms are bridged by two dppm ligands, two dpp ligands and
two OTf anions, forming a tetra-nuclear complex. An weak intra-molecular Ag?Ag
[3.2692 (14) A] inter-action is observed. The OTf anion and one of the phenyl
groups in the dppm ligand are disordered over two sets of positions in a 0.546
(4):0.454 (4) ratio. The 0.546-occupied OTf is bonded to two Ag atoms in a MU
(kappa(2)O:O') mode, while the 0.454-occupied OTf is bonded in a MU-(kappa(2)O:O)
mode. The methyl group of the acetonitrile solvent mol-ecule is also disordered
over two positions with equal occupancy factors.
PMID- 22090902
TI - Bis[1-(4-chloro-benz-yl)pyridinium] bis-(1,2,5-thia-diazole-3,4-dithiol
ato)nickelate(II).
AB - The asymmetric unit of the salt, (C(12)H(11)ClN)(2)[Ni(C(2)N(2)S(3))(2)],
comprises one cation and a half of Ni(tdas)(2) (tdas = 1,2,5-thia-diazole-3,4
dithiol-ate) anion. The Ni(II) atom is located at a centre of inversion. The
Ni(II) atom has a square-planar coordination with Ni-S distances of 2.2052 (4)
and 2.1970 (5) A. In crystal, weak C-H?S and C-H?Ni contacts are observed between
the anions and cations.
PMID- 22090903
TI - catena-Poly[(dichloridocadmium)-di-MU-chlorido-[bis-(morpholinium-kappaO)cadmium]
di-MU-chlorido].
AB - In the title compound, [Cd(2)Cl(6)(C(4)H(10)NO)(2)](n), the coordination geometry
of each Cd(II) ion is distorted octa-hedral, but with quite different
coordination environments. One Cd(II) atom is coordinated by four Cl atoms and
two O atoms from two morpholinium ligands, while the other is coordinated by six
Cl atoms. Adjacent Cd(II) atoms are inter-connected alternately by paired
chloride bridges, generating a chain parallel to the a axis. Inter-chain N-H?Cl
inter-actions form a two-dimensional network.
PMID- 22090904
TI - {2,2'-[(2,2-Dimethylpropane-1,3-diyl-dinitrilo)bis(phenylmethylidyne)]di
phenolato}copper(II).
AB - The complete mol-ecule of the title complex, [Cu(C(31)H(28)N(2)O(2))], is
generated by the application of twofold symmetry; the Cu and CMe(2) atoms lie on
the axis. The geometry around the Cu(II) atom is distorted square-planar. The
dihedral angle between the two phenyl rings is 76.0 (3) degrees . The crystal
packing is stabilized by inter-molecular C-H?pi inter-actions.
PMID- 22090905
TI - {MU-N,N,N',N'-Tetra-kis[(diphenyl-phosphan-yl)meth-yl]benzene-1,4-diamine}-bis
[(2,2'-bipyrid-yl)copper(I)] bis-(tetra-fluoridoborate).
AB - In the title compound, [Cu(2)(C(10)H(8)N(2))(2)(C(58)H(52)N(2)P(4))](BF(4))(2),
the dinuclear cation lies on an inversion centre. The Cu(I) atom is coordinated
by two N atoms from a 2,2'-bipyridine ligand and two P atoms from an N,N,N',N'
tetra-kis-[(diphenyl-phos-phan-yl)meth-yl]benzene-1,4-diamine ligand in a
distorted tetra-hedral geometry. In the crystal, inter-molecular C-H?F hydrogen
bonds link the ions into layers parallel to [[Formula: see text]01]. pi-pi inter
actions [centroid-centroid distance = 3.668 (4) A] are also observed. One F atom
of the anion is disordered over two orientations with a refined occupancy ratio
of 0.675 (13):0.325 (13).
PMID- 22090906
TI - MU-Oxido-bis-[bis-(penta-fluoro-phenolato)(eta-penta-methyl-cyclo-penta-dien
yl)titanium(IV)].
AB - The dinuclear title complex, [Ti(2)(C(10)H(15))(2)(C(6)F(5)O)(4)O], features two
Ti(IV) atoms bridged by an O atom, which lies on an inversion centre. The Ti(IV)
atom is bonded to a eta(5)-penta-methyl-cyclo-penta-dienyl ring, two penta-fluoro
phenolate anions and to the bridging O atom. The environment around the Ti(IV)
atom can be considered as a distorted tetra-hedron. The cyclo-penta-dienyl ring
is disordered over two sets of sites [site occupancy = 0.824 (8) for the major
component].
PMID- 22090907
TI - Tetra-kis(acetonitrile-kappaN)lithium hexa-fluoridophosphate acetonitrile
monosolvate.
AB - In the title compound, [Li(CH(3)CN)(4)]PF(6).CH(3)CN, the asymmetric unit
consists of three independent tetra-hedral [Li(CH(3)CN)(4)](+) cations, three
uncoordinated PF(6) (-) anions and three uncoordinated CH(3)CN solvent mol
ecules. The three anions are disordered over two sites through a rotation along
one of the F-P-F axes. The relative occupancies of the two sites for the F atoms
are 0.643 (16):0.357 (16), 0.677 (10):0.323 (10) and 0.723 (13):0.277 (13). The
crystal used was a racemic twin, with approximately equal twin components.
PMID- 22090908
TI - Bis[2-(2-fur-yl)-1-(2-furylmeth-yl)-1H-benzimidazole-kappaN]diiodidocadmium.
AB - In the title complex, [CdI(2)(C(16)H(12)N(2)O(2))(2)], the Cd(II) atom is located
on a twofold rotation axis and is four-coordinated by two N atoms from symmetry
related 2-(2-fur-yl)-1-(2-furyl-meth-yl)-1H-benzimidazole ligands and two I atoms
in a distorted tetra-hedral configuration. The benzimidazole rings in adjacent
mol-ecules are parallel, with an average inter-planar distance of 3.486 A. The I
atom is disordered over two sites in a 0.85 (5):0.15 (5) ratio.
PMID- 22090909
TI - Tetra-ethyl-ammonium tri-MU-phenolato-bis-[tricarbonyl-manganate(I)].
AB - The title compound, (C(8)H(20)N)[Mn(2)(C(6)H(5)O)(3)(CO)(6)], was synthesized
from [Mn(CO)(3)(CH(3)CN)(3)]BF(4) and (C(8)H(20)N)(OC(6)H(5)). The binuclear
anion exhibits a pseudo-threefold symmetry and contains two six-coordinate Mn
atoms. Each metal atom is coordinated by three facially oriented CO ligands and
three doubly-bridging phenolate ligands. The average O-Mn-O bond angle is 74.9
(7) degrees in the Mn(2)O(3) metal-phenolate dimeric core, yielding a distorted
octa-hedron for each metal.
PMID- 22090910
TI - Poly[(MU-2-acet-oxy-benzoato)(2-acet-oxy-benzoato)-MU-aqua-mercury(II)].
AB - In the title compound, [Hg(C(9)H(7)O(4))(2)(H(2)O)](n), the Hg(II) ion is five
coordinated by three acetylsalicylate anions and water leading to the formation
of a coordination polymer extending parallel to (001). O-H?O and C-H?O hydrogen
bonds are effective in the stabilization of the crystal structure.
PMID- 22090911
TI - Bis(MU-4-amino-3,5-dimethyl-4H-1,2,4-triazole-kappaN:N)bis-(dibromidozinc).
AB - The centrosymmetric dimeric title complex, [Zn(2)Br(4)(C(4)H(8)N(4))(2)], is
isotypic with its [Zn(2)Cl(4)(C(4)H(8)N(4))(2)], [Zn(2)I(4)(C(4)H(8)N(4))(2)] and
[Co(2)Cl(4)(C(4)H(8)N(4))(2)] analogues. The zinc atom is bonded to two N atoms
belonging to triazole bridging rings and to two terminal bromide ligands, in a
geometry close to tetra-hedral. Weak N-H?Br hydrogen bonds, with the amine
functions as donor groups, are observed in the crystal structure, forming a three
dimensional supra-molecular network.
PMID- 22090912
TI - trans-Dichloridobis(3,5-dimethyl-pyridine-kappaN)(ethano-lato-kappaO)oxido
rhenium(V).
AB - The title compound, [Re(C(2)H(5)O)Cl(2)O(C(7)H(9)N)(2)], was crystallized from
ethanol. The crystal structure of this complex contains a Re(V) atom in a
slightly distorted octahedral coordination geometry with pairs of equivalent
ligands in trans positions. Adjacent complex mol-ecules are linked by weak C-H?Cl
hydrogen bonds. The crystal structure is additionally stabilized by pi-pi
stacking inter-actions between the aromatic rings with centroid-centroid
distances of 3.546 (4) A.
PMID- 22090913
TI - Poly[bis-[MU-1,4-bis-(imidazol-1-ylmeth-yl)benzene]-dichloridomanganese(II)].
AB - In the crystal structure of the title compound, [MnCl(2)(C(14)H(14)N(4))(2)](n),
the Mn(II) atom, lying on an inversion center, is coordinated by four N atoms
from four 1,4-bis-(imidazol-1-ylmeth-yl)benzene (bimb) ligands and two Cl(-)
anions in a distorted octa-hedral geometry. The bimb ligands bridge the Mn(II)
atoms, forming a two-dimensional polymeric complex, which is composed of a 52
membered [Mn(4)(bimb)(4)] ring with distances of 7.7812 (2) and 27.4731 (9) A
between opposite metal atoms. Weak C-H?pi inter-actions are present in the
crystal structure.
PMID- 22090915
TI - Bis(MU(2)-2-chloro-benzoato-kappaO:O')bis-[(2-chloro-benzoato-kappaO)(1,10
phenanthroline-kappaN:N')copper(II)] dihydrate.
AB - In the title compound, [Cu(2)(C(7)H(4)ClO(2))(4)(C(12)H(8)N(2))(2)].2H(2)O, the
two crystallographically independent dinuclear complex mol-ecules, A and B, have
different Cu?Cu separations, viz. 3.286 (1) A in A and 3.451 (1) A in B. Both
independent mol-ecules reside on inversion centres, so the asymmetric unit
contains a half-mol-ecule each of A and B and two water mol-ecules. Each Cu atom
has a square-pyramidal environment, being coordinated by two O atoms from two
bridging 2-chloro-benzoate ligands, one O atom from a monodentate 2-chloro
benzoate ligand and two N atoms from a 1,10-phenanthroline ligand. The water mol
ecules can also be considered as coordinating ligands, which complete the
coordination geometry up to distorted octa-hedral with elongated Cu-O distances,
viz. 3.024 (3) A in A and 2.917 (3) A in B. In the crystal, weak inter-molecular
C-H?O inter-actions contribute to the consolidation of the crystal packing.
PMID- 22090914
TI - catena-Poly[[(1,10-phenanthroline)zinc]-MU-2,2'-oxydibenzoato].
AB - In the title one-dimensional coordination polymer,
[Zn(C(14)H(8)O(5))(C(12)H(8)N(2))](n), the Zn(II) ion is in a distorted octa
hedral coordination geometry with four O atoms from two carboxyl-ate groups in
bidentate chelating modes and two N atoms from a 1,10-phenanthroline ligand. The
two terminal carboxyl-ate groups bind the Zn(II) ions, leading to a chain along
the c axis. Adjacent chains are further linked by inter-molecular pi-pi inter
actions with a shortest centroid-centroid distance of 3.586 (3) A, forming a two
dimensional supra-molecular architecture with (6,3)-network topology.
PMID- 22090916
TI - Tetra-kis(MU-4-tert-butyl-benzoato)-kappaO:O,O';kappaO,O':O';kappaO:O'-bis-[aqua
bis-(4-tert-butyl-benzoato-kappaO,O')(4-tert-butyl-benzoic acid
kappaO)praseodymium(III)].
AB - The reaction of praseodymium nitrate and 4-tert-butyl-benzoic acid (tBBAH) in
aqueous solution yielded the dinuclear title complex,
[Pr(2)(C(11)H(13)O(2))(6)(C(11)H(14)O(2))(2)(H(2)O)(2)], which has non
crystallographic C(i) symmetry. The two Pr(III) ions are linked by two bridging
and two bridging-chelating tBBA ligands, with a Pr?Pr separation of 4.0817 (9) A.
Each Pr(III) ion is nine-coordinated by one chelating tBBA ion, one monodentate
tBBAH ligand and one water mol-ecule in a distorted tricapped trigonal-prismatic
environment. The complex mol-ecules are linked into infinite chains along the c
axis by inter-molecular O-H?O hydrogen bonds.
PMID- 22090917
TI - Aqua-(cyanido-kappaC){6,6'-dimeth-oxy-2,2'-[o-phenyl-enebis(nitrilo-methanylyl
idene)]diphenolato-kappaO,N,N',O}cobalt(III) acetonitrile monosolvate.
AB - In the title complex, [Co(C(22)H(18)N(2)O(4))(CN)(H(2)O)].CH(3)CN, the Co(III)
ion is six-coordinated in a distorted octa-hedral environment defined by two N
atoms and two O atoms from a salen ligand in the equatorial plane and one O atom
from a water mol-ecule and one C atom from a cyanide group at the axial
positions. O-H?O hydrogen bonds connect adjacent complex mol-ecules into dimers.
C-H?N hydrogen bonds and pi-pi inter-actions between the benzene rings [centroid
centroid distances = 3.700 (2) and 3.845 (2) A] are also present.
PMID- 22090918
TI - {MU-1,2-Bis[bis(4-meth-oxy-phen-yl)phosphan-yl]-1,2-dimethyl-hydrazine
kappaP:P'}bis-[chloridogold(I)] tetra-hydro-furan disolvate.
AB - The title compound, [Au(2)Cl(2)(C(30)H(34)N(2)O(4)P(2))].2C(4)H(8)O, is formed
from a bidentate phosphine ligand complexed to two almost linearly coordinated
gold(I) atoms [P-Au-Cl = 175.68 (3) A]. The nuclei are 3.122 (2) A apart. The mol
ecule exhibits a twofold rotation axis.
PMID- 22090919
TI - Diaqua-bis-(N,N'-diethyl-nicotinamide-kappaN)bis-(4-fluoro-benzoato
kappaO)copper(II).
AB - The asymmetric unit of the title mononuclear Cu(II) complex,
[Cu(C(7)H(4)FO(2))(2)(C(10)H(14)N(2)O)(2)(H(2)O)(2)], contains one-half of the
mol-ecule. The Cu(II) ion is located on an inversion centre, and is coordinated
by two N atoms from two diethyl-nicotinamide ligands, two O atoms from two 4
fluoro-benzoate (PFB) ligands and two water mol-ecules in a distorted octa-hedral
geometry. In the PFB ligand, the carboxyl-ate group is twisted at an angle of
2.10 (14) degrees from the attached benzene ring. In the crystal structure,
inter-molecular O-H?O hydrogen bonds link mol-ecules related by translation along
the a axis into chains. Weak inter-molecular C-H?O hydrogen bonds and pi-pi inter
actions between the pyridine rings of neighbouring mol-ecules [centroid-to
centroid distance = 3.571 (2) A] further consolidate the crystal packing.
PMID- 22090920
TI - Poly[tetra-deca-aqua-tetra-kis-(MU(3)-imidazole-4,5-dicarboxyl-ato)hexa-MU(3)
sulfato-cobalt(II)hexa-samarium(III)].
AB - In the title three-dimensional compound,
[CoSm(6)(C(5)H(2)N(2)O(4))(4)(SO(4))(6)(H(2)O)(14)](n), the Co(II) ion is six
coordinated with two O atoms and two N atoms from two imidazole-4,5-dicarboxyl
ate ligands and two coordinated water mol-ecules, giving a slightly distorted
octa-hedral geometry. One Sm(III) ion is eight-coordinated in a bicapped trigonal
prismatic coordination geometry by four O atoms from two imidazole-4,5-dicarboxyl
ate ligands, two O atoms from two SO(4) (2-) anions and two coordinated water mol
ecules. The other two Sm(III) ions are nine-coordinated in a tricapped trigonal
prismatic coordination geometry; one of these Sm(III) ions is bonded to four O
atoms from two imidazole-4,5-dicarboxyl-ate ligands, three O atoms from three
SO(4) (2-) anions and two water O atoms, and the other Sm(III) ion is coordinated
by one O atom and one N atom from one imidazole-4,5-dicarboxyl-ate ligand, five O
atoms from three SO(4) (2-) anions, as well as two coordinated water mol-ecules.
The crystal structure is further stabilized by N-H?O, O-H?O, and C-H?O hydrogen
bonding inter-actions.
PMID- 22090921
TI - Tetra-MU-acetato-kappaO:O'-bis-{[2-methyl-sulfanyl-4-(pyridin-4-yl
kappaN)pyrimidine]-copper(II)}(Cu-Cu).
AB - The binuclear title compound, [Cu(2)(CH(3)CO(2))(4)(C(10)H(9)N(3)S)(2)],
comprises a Cu(2)(CH(3)CO(2))(4) paddle-wheel core axially bound by two 2-methyl
sulfanyl-4-(pyridin-4-yl)pyrimidine ligands. The complex mol-ecule has an
inversion center lying at the mid-point of the Cu-Cu bond.
PMID- 22090922
TI - Tetra-aqua-bis-{2-[4-(4-pyrid-yl)pyrimidin-2-ylsulfan-yl]acetato}-zinc.
AB - In the title compound, [Zn(C(11)H(8)N(3)O(2)S)(2)(H(2)O)(4)], the Zn(II) ion lies
on an inversion centre and is coordinated by four water mol-ecules and two N
atoms from two 2-[4-(4-pyrid-yl)pyrimidin-2-ylsulfan-yl]acetate (L) ligands in a
distorted octa-hedral geometry. In L, the pyridine and pyrimidine rings are
twisted at an angle of 11.2 (1) degrees . The coordinated water mol-ecules and
the acetate groups are involved in the formation of a three-dimensional hydrogen
bonded network, which consolidates the crystal packing.
PMID- 22090923
TI - Phenyl bis-(m-tolyl-amido)-phosphinate.
AB - The P atom of the title compound, C(20)H(21)N(2)O(2)P, has a distorted tetra
hedral configuration; the bond angles at P are in the range 96.11 (6)-117.32 (8)
degrees . The N atom exhibits sp(2) character. In the crystal, mol-ecules are
connected via N-H?O hydrogen bonds into bands along the a axis, consisting of
R(2) (2)(8) rings.
PMID- 22090924
TI - Ethyl 2-(5-cyclo-hexyl-3-methyl-sulfinyl-1-benzofuran-2-yl)acetate.
AB - In the title compound, C(19)H(24)O(4)S, the cyclo-hexyl ring adopts a chair
conformation. In the crystal, mol-ecules are linked by weak inter-molecular C-H?O
hydrogen bonds. The O atom of the sulfinyl group is disordered over two
orientations with site-occupancy factors of 0.875 (4) and 0.125 (4).
PMID- 22090925
TI - Methyl 4-[(5-chloro-pyrimidin-2-yl)carbamo-yl]benzoate.
AB - Mol-ecules of the title compound, C(13)H(10)ClN(3)O(3), form centrosymmetric
dimers via inter-molecular N-H?N hydrogen bonds generating an R(2) (2)(8) motif.
The dimers are further connected through an O?Cl-C halogen bond [O?Cl = 3.233 (1)
A and O?Cl-C = 167.33 (1) degrees ] into a chain along [110]. The secondary amide
group adopts a cis conformation. Weak C-H?N hydrogen bonds among the methyl
benzoate and pyrimidyl rings are also observed in the crystal structure.
PMID- 22090926
TI - 2-(4-Chloro-phen-yl)naphtho-[1,8-de][1,3,2]diaza-borinane.
AB - The title compound, C(16)H(12)BClN(2), is one in a series of diaza-borinanes,
derived from 1,8-diaminona-phthalene, featuring substitution at the 1, 2 and 3
positions in the nitro-gen-boron heterocycle. The structure deviates from
planarity, the torsion angle subtended by the p-chloro-phenyl ring relative to
the nitro-gen-boron heterocycle being -44-.3(3) degrees . The mol-ecules form
infinite chains with strong inter-actions between the vacant pz orbital of the B
atom and the pi-system of an adjacent mol-ecule. The distance between the B atom
and the 10-atom centroid of an adjacent naphthalene ring is 3.381 (4) A. One N-H
H atom is weakly hydrogen bonded to the Cl atom of an adjacent mol-ecule. This
combination of inter-molecular inter-actions leads to the formation of an
infinite two-dimensional network perpendic-ular to the c axis.
PMID- 22090927
TI - 2-[({[Bis(pyridin-2-yl)methylidene]hydrazinecarbonyl}hydrazinylidene)(pyridin-2
yl)methyl]pyridinium tetra-fluoro-borate.
AB - In the title compound, C(23)H(19)N(8)O(+).BF(4) (-), one pyridine N atom is
protonated. Two intra-molecular N-H?N hydrogen bonds occur. In the crystal, inter
molecular N-H?N hydrogen bond links neighboring C(23)H(19)N(8)O(+) units into
cyclic supra-molecular dimers while C-H?O hydrogen bonds link the
C(23)H(19)N(8)O(+) units into a two-dimensional supra-molecular network
structure.
PMID- 22090928
TI - Oxomemazine hydro-chloride.
AB - IN THE TITLE COMPOUND [SYSTEMATIC NAME: 3-(5,5-dioxo-phen-othia-zin-10-yl)-N,N,2
trimethyl-propanaminium chloride], C(18)H(23)N(2)O(2)S(+).Cl(-), the dihedral
angle between the two outer aromatic rings of the phenothia-zine unit is 30.5 (2)
degrees . In the crystal, the components are linked by N-H?Cl and C-H?Cl hydrogen
bonds and C-H?pi inter-actions.
PMID- 22090929
TI - 9-(4-Hy-droxy-phen-yl)-3,3,6,6-tetra-methyl-4,5,6,9-tetra-hydro-3H-xanthene
1,8(2H,7H)-dione.
AB - In the title compound, C(23)H(26)O(4), the two cyclo-hexene rings adopt envelope
conformations whereas the pyran ring adopts a boat conformation. In the crystal,
pairs of inter-molecular O-H?O hydrogen bonds link the mol-ecules into inversion
dimers.
PMID- 22090930
TI - (E)-N'-(5-Bromo-2-hy-droxy-benzyl-idene)-3-methyl-benzohydrazide.
AB - In the title mol-ecule, C(15)H(13)BrN(2)O(2), an intra-molecular O-H?N hydrogen
bond influences the mol-ecular conformation; the two benzene rings form a
dihedral angle of 13.6 (3) degrees . In the crystal, inter-molecular N-H?O
hydrogen bonds link the mol-ecules into chains along the a axis and weak inter
molecular C-H?O hydrogen bonds further link these chains into layers parallel to
the ac plane.
PMID- 22090931
TI - N-(2,5-Dimethyl-phen-yl)succinamic acid monohydrate.
AB - In the title compound, C(12)H(15)NO(3).H(2)O, the conformation of the N-H bond in
the amide segment is syn to the ortho-methyl group and anti to the meta-methyl
group in the benzene ring. Further, the conformations of the amide O and the
carbonyl O atom of the acid segment are anti to the adjacent methyl-ene H atoms.
The C=O and O-H bonds of the acid group are syn to one another. The structure
shows an inter-esting hydrogen-bonding pattern with the water mol-ecule forming
hydrogen bonds with three different mol-ecules of the compound. In the crystal,
mol-ecules are packed into infinite chains through inter-molecular O-H?O and N
H?O hydrogen bonds.
PMID- 22090932
TI - N-(2,6-Dichloro-phen-yl)succinamic acid.
AB - In the crystal of the title compound, C(10)H(9)Cl(2)NO(3), the conformations of
the amide O atom and the carbonyl O atom of the acid segment are anti to each
other and to the H atoms on the adjacent -CH(2) groups. The C=O and O-H bonds of
the acid group are syn to one another. In the crystal, mol-ecules are packed into
infinite chains through inter-molecular O-H?O and N-H?O hydrogen bonds.
PMID- 22090933
TI - 2-Amino-anilinium 2-carb-oxy-acetate.
AB - In the crystal structure of the title compound, C(6)H(9)N(2) (+).C(3)H(3)O(4) (
), all the amino H atoms are involved in inter-molecular N-H?O hydrogen bonds,
which link the ions into double chains parallel to [101]. In the anion, an intra
molecular O-H?O hydrogen bond is observed.
PMID- 22090934
TI - Ethyl 2-[(carbamoyl-amino)-imino]-propano-ate hemihydrate.
AB - The title compound, C(6)H(11)N(3)O(3).0.5H(2)O, has two independent mol-ecules
and one mol-ecule of water in the asymmetric unit. The crystal packing is
stabilized by inter-molecular N-H?N, O-H?O, N-H?O and C-H?O hydrogen bonds. These
inter-actions form a two-dimensional array in the ab plane with a zigzag motif
which has an angle close to 35 degrees between the zigzag planes. The hydrogen
bonding can be best described using the graph-set notation as N(1) = C(10)R(2)
(2)(10)R(2) (2)(8) and N(2) = R(6) (4)(20)R(2) (2)(8).
PMID- 22090935
TI - 9-[3-(Dimethyl-amino)-prop-yl]-2-trifluoro-meth-yl-9H-thioxanthen-9-ol.
AB - In the title compound, C(19)H(20)F(3)NOS, the dihedral angle between the mean
planes of the two benzene rings attached to the thioxanthene ring is 41.8 (7)
degrees ; the latter has a slightly distorted boat conformation. The F atoms are
disordered over three sets of sites [occupancy ratio = 0.564 (10):0.287
(10):0.148 (5)] and the methyl groups are disordered over two sets of sites
[occupancy ratio = 0.72 (4):0.28 (4)]. The crystal packing is stabilized by O-H?N
and C-H?S hydrogen bonds and weak C-H?Cg inter-actions.
PMID- 22090936
TI - (E)-Ethyl N'-(3-hy-droxy-benzyl-idene)hydrazinecarboxyl-ate dihydrate.
AB - The asymmetric unit of the title compound, C(10)H(12)N(2)O(3).2H(2)O, contains
two organic mol-ecules with similar conformations and four water mol-ecules. Each
organic mol-ecule is close to planar (r.m.s. deviations = 0.035 and 0.108 A) and
adopts a trans conformation with respect to its C=N bond. In the crystal, the
components are linked into a three-dimensional network by N-H?O, O-H?O, O-H?N and
C-H?O hydrogen bonds, some of which are bifurcated. An R(2) (2)(8) loop occurs
between adjacent organic mol-ecules.
PMID- 22090937
TI - (1E,4Z,6E)-5-Hy-droxy-1,7-bis-(2-meth-oxy-phen-yl)-1,4,6-hepta-trien-3-one.
AB - In the title compound, C(21)H(20)O(4), the central hepta-trienone unit is
approximately planar, with a maximum atomic deviation of 0.1121 (11) A; the two
benzene rings are twisted with respect to the hepta-trienone mean plane by 2.73
(5) and 29.31 (4) degrees . The mol-ecule exists in the enol form and the hy
droxy group forms an intra-molecular hydrogen bond with the neighboring carbonyl
group. Weak inter-molecular C-H?O hydrogen bonding is present in the crystal
structure.
PMID- 22090938
TI - (E)-N'-(4-Meth-oxy-benzyl-idene)-3-nitro-benzohydrazide.
AB - In the title compound, C(15)H(13)N(3)O(4), the two substituted benzene rings form
a dihedral angle of 5.0 (3) degrees . In the crystal, inter-molecular N-H?O
hydrogen bonds link mol-ecules into chains along the b axis.
PMID- 22090939
TI - Methyl 2-amino-5-bromo-benzoate.
AB - In the title compound, C(8)H(8)BrNO(2), the dihedral angle between the aromatic
ring and the methyl acetate side chain is 5.73 (12) degrees . The mol-ecular
conformation is stabilized by an intra-molecular N-H?O hydrogen bond, generating
an S(6) ring. In the crystal, mol-ecules are connected by N-H?O inter-actions,
generating zigzag chains running along the b-axis direction.
PMID- 22090940
TI - tert-Butyl N-((1S)-2-hy-droxy-1-{N'-[(E)-2-hy-droxy-4-meth-oxy-benzyl
idene]hydrazinecarbon-yl}eth-yl)carbamate.
AB - The mol-ecule of the title compound, C(16)H(23)N(3)O(6), is twisted about the
chiral C atom with the dihedral angle formed between the amide residues being
76.9 (3) degrees . Overall, the mol-ecule is curved with the terminal organic
groups lying to the same side. The conformation about the imine bond [1.291 (5)
A] is E and an intra-molecular O-H?N hydrogen bond generates an S(6) ring. In the
crystal, O-H?O and N-H?O hydrogen bonds involving the hy-droxy, amine and
carbonyl groups lead to the formation of supra-molecular layers, which stack
along the c-axis direction.
PMID- 22090941
TI - 2-Hy-droxy-methyl-1,3-dimethyl-imidazolium iodide.
AB - The crystal packing of the title compound, C(6)H(11)N(2)O(+).I(-), can be
described as inter-calated layers lying parallel to (010), with the iodide ions
located between the cations. A weak intra-molecular C-H?O hydrogen bond occurs
within the cation. In the crystal, inter-molecular O-H?I hydrogen bonds result in
the formation of a three-dimensional network and reinforce the cohesion of the
ionic structure.
PMID- 22090942
TI - 1-(4-Chloro-benzo-yl)-3-cyclo-hexyl-3-methyl-thio-urea.
AB - In the title compound, C(15)H(19)ClN(2)OS, the dihedral angle between the amide
and thio-urea fragments is 58.07 (17) degrees . The cyclo-hexane group adopts a
chair conformation and is twisted relative to the thio-urea fragment, forming a
dihedral angle of 87.32 (18) degrees . In the crystal, N-H?S hydrogen bond links
the mol-ecules into chains running parallel to the a-axis direction.
PMID- 22090943
TI - 3,3'',4,4''-Tetra-meth-oxy-1,1':4',1''-terphen-yl.
AB - The title mol-ecule, C(22)H(22)O(4), is centrosymmetric with an inversion centre
located at the centre of the benzene ring. The 3,4-dimeth-oxy-benzene fragment is
essentially planar [maximum deviation = 0.400 (2) A] and twisted relative to the
central benzene ring, forming a dihedral angle of 21.25 (7) degrees . In the
crystal, C-H?O hydrogen bonds link the mol-ecules into a two-dimensional
polymeric structure lying parallel to (100).
PMID- 22090944
TI - 2-Amino-anilinium 6-carb-oxy-picolinate monohydrate.
AB - In the title compound, C(6)H(9)N(2) (+).C(7)H(4)NO(4) (-).H(2)O, one amino group
of diamino-benzene is protonated while one carb-oxy group of pyridine-2,6-dicarb
oxy-lic acid is deprotonated. In the anion, the CO(2) and CO(2)H groups make
dihedral angles of 4.0 (5) and 8.7 (4) degrees with the pyridine ring. In the
crystal, extensive N-H?O, N-H?N and O-H?O hydrogen bonds occur between anions,
cations and water mol-ecules.
PMID- 22090945
TI - 5,5'-[(2,4-Dichloro-phen-yl)methyl-ene]bis-(2,2-dimethyl-1,3-dioxane-4,6-dione).
AB - The title compound, C(19)H(18)Cl(2)O(8), was prepared by the reaction of 2,2
dimethyl-1,3-dioxane-4,6-dione and 2,4-dichloro-benzaldehyde in ethanol. The two
1,3-dioxane rings exhibit boat conformations. In the crystal, mol-ecules are
linked by weak inter-molecular C-H?O and C-H?Cl hydrogen bonds, forming chains
parallel to the a axis.
PMID- 22090946
TI - (E)-N'-(2-Meth-oxy-benzyl-idene)-3-nitro-benzohydrazide.
AB - In the title compound, C(15)H(13)N(3)O(4), the two substituted benzene rings form
a dihedral angle of 10.9 (3) degrees . In the crystal, inter-molecular C-H?O and
N-H?O hydrogen bonds link mol-ecules into chains running parallel to [101].
PMID- 22090947
TI - 4-Dimethyl-amino-N'-(2-meth-oxy-benzyl-idene)benzohydrazide.
AB - In the title mol-ecule, C(17)H(19)N(3)O(2), the dihedral angle between the two
benzene rings is 14.05 (15) degrees . In the crystal, mol-ecules are linked
through inter-molecular N-H?O hydrogen bonds, forming chains along b.
PMID- 22090948
TI - Bis(2-hy-droxy-phen-yl)methanone.
AB - In the title compound, C(13)H(10)O(3), a benzophenone derivative, the least
squares planes defined by the C atoms of the 2-hy-droxy-phenyl rings inter-sect
at an angle of 45.49 (3) degrees . The substituents on the aromatic systems are
both orientated towards the central O atom. Intra- as well as inter-molecular O
H?O hydrogen bonds are observed, the latter giving rise to the formation of
centrosymmetric dimers. The closest centroid-centroid distance between two pi
systems is 3.7934 (7) A.
PMID- 22090949
TI - 1-(4-Methyl-phenyl-sulfon-yl)-5-phenyl-4,5-dihydro-1H-pyrazole.
AB - The title compound, C(16)H(16)N(2)O(2)S, was synthesized by the reaction of 5
phenyl-4,5-dihydro-1H-pyrazole and 4-methyl-benzene-1-sulfonyl chloride. The five
membered pyrazoline ring is nearly planar, with a miximum deviation of 0.078 (2)
A.
PMID- 22090950
TI - 1,4-Bis[(2-ethyl-1H-benzimidazol-1-yl)meth-yl]benzene.
AB - In the title mol-ecule, C(26)H(26)N(4), the central benzene ring forms dihedral
angles of 89.9 (2) and 85.4 (2) degrees with the two benzimidazole rings.
PMID- 22090951
TI - rac-2-Amino-pyridinium cis-2-carb-oxy-cyclo-hexane-1-carboxyl-ate.
AB - In the structure of the title compound, C(5)H(7)N(2) (+).C(8)H(11)O(4) (-), the
cis anions associate through head-to-tail carb-oxy-lic acid-carboxyl O-H?O
hydrogen bonds [graph set C(7)], forming chains which extend along c and are
inter-linked through the carboxyl groups, forming cyclic R(2) (2)(8) associations
with the pyridinium and an amine H-atom donor of the cation. Further amine
carboxyl N-H?O inter-actions form enlarged centrosymmetric rings [graph set R(4)
(4)(18)] and extensions down b, giving a three-dimensional structure.
PMID- 22090952
TI - 6-Hy-droxy-2,5,7,8-tetra-methyl-3,4-dihydro-2H-1-benzopyran-2-carbonitrile, from
synchrotron data.
AB - The crystal structure of the title compound, C(14)H(17)NO(2), solved and refined
against synchrotron diffraction data, contains one formula unit in an asymmetric
unit. In the crystal, mol-ecules form right-handed helices located at the 2(1)
screw axis parallel to the a-axis direction, generated by O-H?N hydrogen bonding
between the hy-droxy group and carbonitrile group of an adjacent mol-ecule.
PMID- 22090953
TI - 2-(4-Bromo-phen-yl)-3-(4-hy-droxy-phen-yl)-1,3-thia-zolidin-4-one.
AB - In the title compound, C(15)H(12)BrNO(2)S, the dihedral angle between the two
aromatic rings is 87.81 (8) degrees . The five-membered thia-zolidine ring has an
envelope conformation, with the S atom displaced by 0.4545 (7) A from the mean
plane of the other four ring atoms. The crystal structure exhibits O-H?O, C-H?O,
C-H?Br and C-H? pi inter-actions.
PMID- 22090954
TI - 4,4'-Di-tert-butyl-2,2'-dipyridinium dichloride.
AB - In the title compound, C(18)H(26)N(2) (2+).2Cl(-), the complete dication is
generated by crystallographic inversion symmetry; both N atoms are protonated and
engaged in strong and highly directional N-H?Cl hydrogen bonds. Additional weak C
H?Cl contacts promote the formation of a tape along ca. [110]. The crystal
structure can be described by the parallel packing of these tapes. The crystal
studied was a non-merohedral twin with twin law [-1 0 0, 0 -1 0, -0.887 0.179 1]
and the final BASF parameter refining to 0.026 (2) .
PMID- 22090955
TI - N-Hy-droxy-pyridine-4-carboxamide.
AB - The title compound, C(6)H(6)N(2)O(2), is approximately planar with an r.m.s.
deviation for the non-H atoms of 0.052 A. In the crystal, a two-dimensional array
in the bc plane is stabilized by O-H?N and N-H?O hydrogen bonds.
PMID- 22090956
TI - 2-Hy-droxy-2,3,5,10,11,11a-hexa-hydro-1H-pyrrolo-[2,1-c][1,4]benzodiazepine-5,11
dione.
AB - The seven-membered ring of the title compound, C(12)H(12)N(2)O(3), which is fused
with the phenyl-ene ring, adopts a boat-shaped conformation (with the methine C
atom as the prow and the phenyl-ene C atoms as the stern); the H atom on the
methine linkage exists in an axial position. The five-membered ring that is fused
with the seven-membered ring adopts an envelope conformation (with the C atom
bearing the hy-droxy substituent representing the flap) [the deviation from the
plane defined by the other four atoms is 0.200 (7) A in one mol-ecule and 0.627
(5) A in the other]. The two independent mol-ecules are disposed about a pseudo
center of inversion and are connected by a pair of N-H?O hydrogen bonds. Adjacent
dimers are linked by a pair of O-H?O hydrogen bonds, generating a chain running
along the b axis.
PMID- 22090957
TI - 4,8-Dimeth-oxy-furo[2,3-b]quinoline (gamma-fagarine).
AB - The title mol-ecule, C(13)H(11)NO(3), a natural compound extracted from
Phellodendron chinense, exhibits a near planar framework: the mean deviations
from the furo[2,3-b]quinoline ring system and from the whole mol-ecule (not
including the H atoms) are 0.006 and 0.062 A, respectively.
PMID- 22090958
TI - Bis(8-methyl-2,8-dicarba-closo-dodeca-boran-2-yl) tris-elenide.
AB - In the title compound, C(6)H(26)B(20)Se(3), the geometry around the central Se
atom is V-shaped, with the Se-Se-Se angle being 105.60 (4) degrees . The Se-Se
bond lengths are consistent with single covalent bonds.
PMID- 22090959
TI - N-Ethyl-4-methyl-N-(3-methyl-phen-yl)benzene-sulfonamide.
AB - The title compound, C(16)H(19)NO(2)S, crystallizes with two crystallographically
independent mol-ecules in the asymmetric unit in which the dihedral angles
between the planes defined by the aromatic rings are 35.3 (2) and 42.5 (2)
degrees . In the crystal, inter-molecular C-H?O hydrogen bonds stabilize the
packing.
PMID- 22090960
TI - Ethyl 3-(4-chloro-phen-yl)-1-(2-oxo-2-phenyl-eth-yl)-1H-pyrazole-5-carboxyl-ate.
AB - In the title compound, C(20)H(17)ClN(2)O(3), the dihedral angles between the
pyrazole ring and the substituted and unsubstituted benzene rings are 3.64 (13)
and 81.15 (17) degrees , respectively. Mol-ecules are connected via three pairs
of weak hydrogen bonds into a centrosymmetric dimer. The crystal structure is
stabilized by inter-molecular C-H?O and C-H?pi inter-actions.
PMID- 22090961
TI - 3-Hy-droxy-N'-[(E)-3-pyridyl-methyl-idene]-2-naphtho-hydrazide.
AB - The title compound, C(17)H(13)N(3)O(2), displays an E configuration about the C=N
bond. The mean planes of the pyridine and benzene rings make a dihedral angle of
31.2 (2) degrees . An intra-molecular O-H?O hydrogen bond is observed. In the
crystal, inter-molecular N-H?N hydrogen bonding links the mol-ecules into a chain
along [101].
PMID- 22090962
TI - Methyl (2Z)-2-(2-fluoro-4-meth-oxy-benzyl-idene)-5-(4-meth-oxy-phen-yl)-7-methyl
3-oxo-2,3-dihydro-5H-[1,3]thia-zolo[3,2-a]pyrimidine-6-carboxyl-ate.
AB - The asymmetric unit of the title compound, C(24)H(21)FN(2)O(5)S, consists of two
crystallographically independent mol-ecules. In each mol-ecule, the central
dihydro-pyrimidine ring is significantly puckered and adopts a conformation which
is best described as an inter-mediate between a boat and a screw boat. The least
squares planes of the dihydro-pyrimidine rings are almost coplanar with the
fluoro-substituted benzene rings, making dihedral angles of 9.04 (7) and 6.68 (7)
degrees , and almost perpendicular to the meth-oxy-substituted benzene rings with
dihedral angles of 89.23 (7) and 88.30 (7) degrees . In the mol-ecular structure,
S(6) ring motifs are formed by C-H?O and C-H?S hydrogen bonds. In the crystal,
mol-ecules are linked into a three-dimensional network by inter-molecular C-H?O
and C-H?F hydrogen bonds. The crystal structure is further stabilized by a C-H?pi
inter-action.
PMID- 22090963
TI - (5E)-5-(4-Meth-oxy-benzyl-idene)-2-(piperidin-1-yl)-1,3-thia-zol-4(5H)-one.
AB - In the title compound, C(16)H(18)N(2)O(2)S, the piperidine ring adopts a chair
conformation. The central 4-thia-zolidinone ring makes dihedral angles of 12.01
(7) and 51.42 (9) degrees , respectively, with the benzene ring and the least
squares plane of the piperidine ring. An intra-molecular C-H?S hydrogen bond
stabilizes the mol-ecular structure and generates an S(6) ring motif. In the
crystal, mol-ecules are linked into a tape along the c axis by inter-molecular C
H?O hydrogen bonds.
PMID- 22090964
TI - Redetermination and absolute configuration of pruniflorone M monohydrate.
AB - THE TITLE XANTHONE KNOWN AS PRUNIFLORONE M (SYSTEMATIC NAME: (2R)-5,10-dihy-droxy
2-hy-droxy-methyl-1,1-dimethyl-1H-furo[2,3-c]xanthen-6-one), crystallized in a
monohydrate form, C(18)H(16)O(6).H(2)O. It was isolated from the green fruits of
Cratoxylum formosum ssp. pruniflorum. The structure of the title compound has
been reported previously [Boonnak et al. (2010 ?). Aust. J. Chem. 63, 1550-1556],
but we report here the absolute configuration determined using Cu Kalpha
radiation. There are two crystallograpically independent mol-ecules in the
asymmetric unit, which differ slightly in the bond angles. The hy-droxy-methyl
substituents at position 2 of the furan rings of both pruniflorone M mol-ecules
adopt R configurations. In both mol-ecules, the three rings of the xanthone
skeleton are approximately coplanar, with an r.m.s. deviation of 0.0124 (2) A for
one mol-ecule and 0.0289 (2) A for the other, and the furan ring adopts an
envelope conformation. In the crystal, mol-ecules of pruniflorone M and water are
linked into a two-dimensional network by O-H?O hydrogen bonds and weak C-H?O
inter-actions. The crystal structure is further consolidated by pi-pi inter
actions with centroid-centroid distances in the range 3.5987 (13)-3.7498 (14) A.
Short C?C [3.378 (3) A] and O?O [2.918 (3) A] contacts are also observed.
PMID- 22090965
TI - Ethyl 1-benzyl-3-(4-bromo-phen-yl)-1H-pyrazole-5-carboxyl-ate.
AB - In the title compound, C(19)H(17)BrN(2)O(2), the pyrazole ring makes dihedral
angles of 88.00 (16) and 5.78 (13) degrees with the phenyl and bromo-phenyl
rings, respectively. In the crystal, mol-ecules are linked by weak inter
molecular C-H?O hydrogen bonds.
PMID- 22090966
TI - 9-Phenyl-3,6-bis-(4,4,5,5-tetra-methyl-1,3,2-dioxaborolan-2-yl)-9H-carbazole.
AB - In the title compound, C(30)H(35)B(2)NO(4), the carbazole skeleton is essentially
planar (r.m.s. deviation for all non-H atoms = 0.035 A), and is oriented at a
dihedral angle of 65.0 (3) degrees with respect to the adjacent phenyl ring.
PMID- 22090967
TI - 2,2,6,6-Tetra-methyl-4-oxopiperidin-1-ium 4-chloro-3-nitro-benzoate.
AB - The title salt, C(9)H(18)NO(+).C(7)H(3)ClNO(4) (-), was obtained as an unexpected
product of the reaction of 4-chloro-3-nitro-benzoyl isothio-cyanate with
pyrrolidine. The six-membered ring of the 4-oxopiperidinium cation adopts a chair
conformation. In the crystal structure, two cations and three anions are linked
together by inter-molecular N-H?O and C-H?O hydrogen bonds and arranged
diagonally along the ac face.
PMID- 22090968
TI - 2,4,6-Trimethyl-anilinium 2-carb-oxy-ethano-ate.
AB - The anion of the title molecular salt, C(9)H(14)N(+).C(3)H(3)O(4) (-), features
an intra-molecular O-H?O hydrogen bond. In the crystal, inter-molecular N-H?O
inter-actions link each cation to three different anions.
PMID- 22090969
TI - 3-(4-Amino-3-ethyl-5-sulfanyl-idene-4,5-dihydro-1H-1,2,4-triazol-1-yl)-3-(2
chloro-phen-yl)-1-phenyl-propan-1-one.
AB - In the title mol-ecule, C(19)H(19)ClN(4)OS, the 1,2,4-triazole ring forms
dihedral angles of 86.0 (2) and 65.6 (2) degrees with the phenyl and chloro
phenyl rings, respectively. In the crystal, inter-molecular N-H?S and N-H?O
hydrogen bonds link mol-ecules into centrosymmetric dimers, which are further
linked into chains in [001] via weak C-H?pi inter-actions.
PMID- 22090970
TI - Bis(4-pyrid-yl) disulfide-2,2'-[(p-phenyl-enebis(-oxy)]diacetic acid (1/1).
AB - The asymmetric unit of the title 1:1 co-crystal,
C(10)H(8)N(2)S(2).C(10)H(10)O(6), comprises two half-mol-ecules, the bis-(4-pyrid
yl) disulfide having twofold rotational symmetry and the 2,2'-[(p-phenyl-enebis(
oxy)]diacetic acid having crystallographic inversion symmetry. In the disulfide
mol-ecule, the dihedral angle between the two pyridine rings is 86.8 (1) degrees
, while the carboxyl groups of the substituted quinone lie essentially in the
plane of the benzene ring [dihedral angle = 5.3 (1) degrees ]. In the crystal,
the components are linked via inter-molecular O-H?N hydrogen bonds into zigzag
chains which extend along c and are inter-linked through C-H?pi associations.
PMID- 22090971
TI - 5-(Pyridin-4-ylmeth-yl)-1H-pyrazolo-[3,4-d]pyrimidin-4(5H)-one.
AB - In the title compound, C(11)H(9)N(5)O, the pyrazolo-pyrimidin-4-one ring system
is almost planar, with a maximum deviation of 0.0546 (13) A for the O atom. The
crystal packing is stabilized by inter-molecular N-H?N, C-H?O and C-H?N hydrogen
bonds. In addition, pi-pi stacking is found between the pyridine ring and the
pyrazolo-pyrimidin-4-one ring systems, with centroid-centroid distances in the
range 3.9627 (12)-4.6781 (12) A.
PMID- 22090972
TI - 3,8-Dimethyl-quinazoline-2,4(1H,3H)-dione.
AB - In the title compound, C(10)H(10)N(2)O(2), all non-H atoms are approximately co
planar with an r.m.s. deviation of 0.016 A. In the crystal, mol-ecules are linked
into inversion dimers by pairs of N-H?O hydrogen bonds. Chains along [010] are
buiilt up by pi-pi inter-actions [centroid-centroid distance = 3.602 (1) A]
between the benzene and piperazine rings of adjacent mol-ecules.
PMID- 22090973
TI - 2-(4-Iodo-phen-oxy)acetamide.
AB - The mol-ecule of the title compound, C(8)H(8)INO(2), amide-typical resonance
shortens the nominal C-N single bond to 1.322 (7) A. In the crystal, hydrogen
bonds involving both nitro-gen-bound H atoms as well as C-H?O contacts connect
the mol-ecules into double layers approximately perpendicular to the
crystallographic b axis. No pi-stacking is apparent in the crystal structure.
PMID- 22090974
TI - 4,7,13,18-Tetra-oxa-1,10-diazo-nia-bicyclo-[8.5.5]icosane bis-(hexa-fluorido
phosphate).
AB - The asymmetric unit of the title structure, C(14)H(30)N(2)O(4) (2+).2PF(6) (-),
contains the anion and half of the cation, the latter being completed by a
crystallographic twofold axis. The cation has a cage structure with the ammonium
H atoms pointing into the cage. These H atoms are shielded from inter-molecular
inter-actions and form only intra-molecular contacts. There are short inter
molecular C-H?F inter-actions in the structure, but no conventional inter
molecular hydrogen bonds.
PMID- 22090975
TI - 3,3'-Di-n-propyl-1,1'-[p-phenyl-enebis(methyl-ene)]diimidazolium dibromide.
AB - The asymmetric unit of the title compound, C(20)H(28)N(4) (2+).2Br(-), consists
of half a 3,3'-di-n-propyl-1,1'-[p-phenyl-enenis(methyl-ene)]diimidazolium cation
and a bromide anion. The cation is located on an inversion center and adopts an
?AAA? trans conformation. In the crystal, the cation is linked to the anions via
weak C-H?Br hydrogen bonds.
PMID- 22090976
TI - N-[(E)-4-Chloro-benzyl-idene]-2,4-dimethyl-aniline.
AB - The title mol-ecule, C(15)H(14)ClN, exists in a trans configuration with respect
to the C=N bond [1.2813 (16) A]. The dihedral angle between the benzene rings is
52.91 (6) degrees . The crystal structure is stabilized by weak inter-molecular C
H?pi inter-actions.
PMID- 22090977
TI - 2-[(E)-(2,4-Dimethyl-phen-yl)imino-meth-yl]phenol.
AB - The asymmetric unit of the title compound, C(15)H(15)NO, contains two independent
mol-ecules, both of which exist in trans configurations with respect to the C=N
bonds [1.278 (2) and 1.279 (2) A]. In each mol-ecule, intra-molecular O-H?N
hydrogen bonds generate S(6) ring motifs. In one mol-ecule, the benzene rings
form a dihedral angle of 13.38 (9) degrees , while in the other mol-ecule the
dihedral angle is 30.60 (10) degrees . In the crystal, the two independent mol
ecules are linked via weak inter-molecular C-H?O hydrogen bonds.
PMID- 22090978
TI - 2-[(E)-(2,4,6-Trichloro-phen-yl)imino-meth-yl]phenol.
AB - The title mol-ecule, C(13)H(8)Cl(3)NO, exists in a trans configuration with
respect to the C=N bond [1.278 (2) A]. The benzene rings form a dihedral angle of
24.64 (11) degrees . The mol-ecular structure is stabilized by an intra-molecular
O-H?N hydrogen bond, which generates an S(6) ring motif. In the crystal, pi-pi
stacking inter-actions [centroid-centroid distances = 3.6893 (14) A] are
observed.
PMID- 22090979
TI - 2-(m-Tol-yloxy)benzoic acid.
AB - In the crystal structure of the title compound, C(14)H(12)O(3), the mol-ecules
form classical O-H?O hydrogen-bonded carb-oxy-lic acid dimers. The dihedral angle
between the two rings is 80.9 (3) degrees .
PMID- 22090980
TI - Methyl 2-{[(2-fur-yl)(3-methyl-5-oxo-1-phenyl-4,5-dihydro-1H-pyrazol-4-yl
idene)meth-yl]amino}-acetate.
AB - In the title compound, C(18)H(17)N(3)O(4), the amino group of the glycine methyl
ester fragment is involved in an intra-molecular N-H?O hydrogen bond. The phenyl
and furyl rings form dihedral angles of 10.20 (4) and 54.56 degrees ,
respectively, with the pyrazole ring. In the crystal, mol-ecules related by
translation along the b axis are linked into chains via weak inter-molecular C
H?O hydrogen bonds.
PMID- 22090981
TI - 2,2-Dimethyl-5-(2,3,4-trimeth-oxy-benzyl-idene)-1,3-dioxane-4,6-dione.
AB - The title compound, C(16)H(18)O(7), was prepared by the reaction of 2,2-dimethyl
1,3-dioxane-4,6-dione and 2,3,4-trimeth-oxy-benzaldehyde. The 1,3-dioxane ring is
in a slightly distorted boat conformation. The crystal structure is stabilized by
weak inter-molecular C-H?O hydrogen bonds.
PMID- 22090982
TI - 1,2-Bis(4-nitro-benz-yl)diselane.
AB - The title compound, C(14)H(12)N(2)O(4)Se(2), is not chiral, but the mol-ecules
assume a chiral conformation in the solid state and crystallize as an aggregate.
The central C-Se-Se-C torsion angle is 90.4 (2) degrees , while the two Se-Se-C-C
fragments assume gauche conformations with values of -59.4 (5) and 67.5 (4)
degrees . The dihedral angle between the two benzene rings is 80.74 (14) degrees
.
PMID- 22090983
TI - (S)-2-[(S,Z)-3-Bromo-1-nitro-4-phenyl-but-3-en-2-yl]cyclo-hexa-none.
AB - In the crystal structure of the title compound, C(16)H(18)BrNO(3), the two
stereogenic centres both have an S configuration. The cyclo-hexyl ring adopts a
chair conformation. In the crystal, mol-ecules are linked by weak N-O?Br contacts
[O?Br = 3.289 (4) A].
PMID- 22090984
TI - 1-Cyano-N-(2,4,5-trichloro-phen-yl)cyclo-propane-1-carboxamide.
AB - In the title compound, C(11)H(7)Cl(3)N(3)O, the dihedral angle between the
benzene and cyclo-propane rings is 85.8 (2) degrees . In the crystal, mol-ecules
are linked by C-H?O inter-actions, generating C(5) chains propagating in the a
axis direction.
PMID- 22090985
TI - Methyl 6-azido-6-de-oxy-alpha-d-galactoside.
AB - The structure of the title compound, C(7)H(13)N(3)O(5), was solved using data
from a multiple fragment crystal. The galactoside ring adopts a (4)C(1) chair
conformation. In the crystal, the molecules are linked by strong O-H?O hydrogen
bonds, which build linkages around the screw axis of the cell in a similar way to
the iodo analogue. These C-5 and C-6 packing motifs expand to R(2) (2)(10), C(2)
(2)(7) and C(2) (2) (2)(8) motifs, as found in closely related compounds.
PMID- 22090986
TI - 3-{1-[4-(2-Methyl-prop-yl)phen-yl]eth-yl}-4-phenyl-1H-1,2,4-triazole-5(4H)
thione.
AB - In the title compound, C(20)H(23)N(3)S, the central 1,2,4-triazole ring makes
dihedral angles of 69.76 (9) and 81.69 (8) degrees , respectively, with the
phenyl and benzene rings. In the crystal, mol-ecules are linked into a
centrosymmetric dimer by a pair of inter-molecular N-H?S hydrogen bonds,
generating an R(2) (2)(8) ring motif.
PMID- 22090987
TI - 4-{[(E)-(3-Phenyl-1H-pyrazol-4-yl)methyl-idene]amino}-1H-1,2,4-triazole-5(4H)
thione.
AB - In the title compound, C(12)H(10)N(6)S, a weak intra-molecular C-H?S hydrogen
bond stabilizes the mol-ecular conformation. The pyrazole and triazole rings form
a dihedral angle of 17.82 (8) degrees . The mol-ecule adopts an E configuration
with respect to the central C=N double bond. In the crystal, inter-molecular N
H?N and N-H?S hydrogen bonds link mol-ecules into chains propagating in
[20[Formula: see text]].
PMID- 22090988
TI - 2,2'-Bipyridine-cyclo-pentane-1,2,3,4-tetra-carb-oxy-lic acid (1/1).
AB - The asymmetric unit of the title compound, C(10)H(8)N(2).C(9)H(10)O(8), contains
a half-molecule of 2,2'-bipyridine and a half-molecule of 1,2,3,4
cyclopentanetetracarboxylic acid, both components being completed by
crystallographic inversion symmetry. In the crystal, the mol-ecules are assembled
into chains extending along [010] by O-H?N hydrogen bonds; adjacent chains are
linked by O-H?O hydrogen bonds into a three-dimensional network.
PMID- 22090989
TI - Diethyl 2-{[(4-meth-oxy-3-pyrid-yl)amino]-methyl-idene}malonate.
AB - In the title mol-ecule, C(14)H(8)N(2)O(5), the amino group is involved in the
formation an intra-molecular N-H?O hydrogen bond. In the crystal, weak inter
molecular C-H?O and C-H?N hydrogen bonds link the mol-ecules into ribbons along
the b axis.
PMID- 22090990
TI - 3-(3-Meth-oxy-benzo-yl)-1,1-diphenyl-thio-urea.
AB - The thiono and carbonyl groups in the title compound, C(21)H(18)N(2)O(2)S, adopt
an anti disposition with respect to the central C-N bond. The diphenyl-amine
rings are twisted relative to each other by a dihedral angle of 82.55 (10)
degrees . The 3-meth-oxy-benzoyl fragment is twisted relative to one of the
diphenyl-amine rings, forming a dihedral angle of 74.04 (9) degrees . In the
crystal, pairs of inter-molecular N-H?S hydrogen bonds link the mol-ecules into
centrosymmetric dimers, forming columns parallel to the a axis.
PMID- 22090991
TI - 6-(4-Chloro-phen-yl)-2-(4-meth-oxy-phen-yl)-6,7-dihydro-4H-pyrazolo-[5,1
c][1,4]oxazine.
AB - In the title compound, C(19)H(17)ClN(2)O(2), the pyrazole ring is almost planar
with a maximum deviation of 0.009 (3) A and makes a dihedral angle of 8.96 (9)
degrees with the oxazine ring. The dihedral angles between the pyrazole ring and
the chlorine- and meth-oxy-substituted benzene rings are 50.95 (8) and 13.24 (9)
degrees , respectively. An inter-molecular C-H?N hydrogen bond links the mol
ecules into infinite chains along the a axis. The crystal structure is further
stabilized by C-H?pi inter-actions.
PMID- 22090992
TI - Ethyl-triphenyl-phospho-nium bromide dihydrate.
AB - In the crystal structure of the title hydrated bromide salt, C(20)H(20)P(+).Br(
).2H(2)O, O-H?Br and O-H?O hydrogen bonds as well as C-H?Br contacts connect the
different components into a three-dimensional network. In the cation, the
aromatic rings make dihedral angles of 55.24 (5), 76.16 (4) and 85.68 (4) degrees
.
PMID- 22090993
TI - 1-Diazo-naphthalen-2(1H)-one.
AB - The mol-ecule of the title compound, C(10)H(6)N(2)O, is nearly planar [maximum
deviation = 0.030 (1) A]. The CN(2) moiety is almost linear, with a C-N-N angle
of 175.50 (14) degrees . A single inter-molecular C-H?O hydrogen bond is observed
in the crystal structure. A pi-pi inter-action is also observed with the shortest
distance being 3.6832 (12) A between the the centroids of the six-membered rings.
PMID- 22090994
TI - Clostebol acetate.
AB - The title compound, C(21)H(29)ClO(3) [systematic name (8R,9S,10R,13S,14S,17S)-4
chloro-3-oxoandrost-4-en-17beta-yl acetate], is a 4-chloro derivative of
testosterone, used as an anabolic androgenic agent or applied topically in
ophthalmological and dermatological treatments. The absolute configurations at
positions 8, 9, 10, 13, 14 and 17 were established by refinement of the Flack
parameter as R, S, R, S, S, and S, respectively. Rings B and C of the steroid
ring system adopt chair conformations, ring A has a half-chair conformation,
while ring D is in a C(13) envelope conformation. Ring B and C, and C and D are
trans fused. In the crystal, molecules are linked by a weak C-H?O interaction.
PMID- 22090995
TI - 1,3-Bis(4-fluoro-phen-yl)-N,N'-(propane-1,3-diyl-idene)dihydroxyl-amine.
AB - The title compound, C(15)H(12)F(2)N(2)O(2), crystallizes with two mol-ecules (A
and B) in the asymmetric unit. Both aromatic rings of both mol-ecules are
disordered over two orientations [occupancy ratios of 0.768 (3):0.232 (3) and
0.770 (3):0.230 (3) for mol-ecule A and 0.789 (3):0.211 (3) and 0.789 (3):0.211
(3) for mol-ecule B]. The dihedral angles between the planes of the major and
minor components of the disordered aromatic rings are 72.0 (4) and 71.2 (4)
degrees for mol-ecule A, and 70.2 (4) and 71.5 (2) degrees for mol-ecule B. In
the crystal, both mol-ecules form inversion dimers with R(2) (2)(6) ring motifs
via pairs of inter-molecular O-H?N hydrogen bonds. The dimers are linked, forming
zigzag C(7) chains along the c axis. Weak C-H?pi inter-actions help to
consolidate the packing.
PMID- 22090996
TI - (E)-Methyl N'-[(1H-indol-3-yl)methyl-idene]hydrazinecarboxyl-ate 0.25-hydrate.
AB - The asymmetric unit of the title compound, C(11)H(11)N(3)O(2).0.25H(2)O, contains
two independent organic mol-ecules and a water mol-ecule, which lies on a twofold
rotation axis. The side chains of the two mol-ecules have slightly different
orientations, the C=N-N-C torsion angle being -163.03 (15) degrees in one and
177.52 (14) degrees in the other, with each adopting a trans configuration with
respect to the C=N bond. In the crystal, mol-ecules are linked into chains
extending along b by N-H?O, O-H?N and O-H?O hydrogen bonds and in addition, four
inter-molecular C-H?pi inter-actions are present.
PMID- 22090997
TI - 2-(5-Cyclo-hexyl-3-methyl-sulfanyl-1-benzofuran-2-yl)acetic acid.
AB - In the title compound, C(17)H(20)O(3)S, the cyclo-hexyl ring adopts a chair
conformation. In the crystal, the carboxyl groups are involved in inter-molecular
O-H?O hydrogen bonds, which link the mol-ecules into centrosymmetric dimers.
These dimers are further stabilized by weak inter-molecular C-H?O hydrogen bonds.
In addition, the crystal structure also exhibits aromatic pi-pi inter-actions
between the furan rings of adjacent mol-ecules [centroid-centroid distance =
3.505 (2) A, inter-planar distance = 3.385 (2) A and slippage = 0.909 (2) A], and
inter-molecular C-H?pi inter-actions.
PMID- 22090998
TI - 4,7,13,18-Tetra-oxa-1,10-diazo-nia-bicyclo-[8.5.5]icosane hexa-fluorido-silicate.
AB - The asymmetric unit of the title molecular salt, C(14)H(30)N(2)O(4) (2+).SiF(6)
(2-), contains half of both the anion and the cation, both ions being completed
by a crystallographic twofold axis passing through the Si atom. The cation has a
cage structure with the ammonium H atoms pointing into the cage. These H atoms
are shielded from inter-molecular inter-actions and form only intra-molecular
contacts. There are short inter-molecular C-H?F inter-actions in the structure,
but no conventional inter-molecular hydrogen bonds.
PMID- 22090999
TI - trans-3,3',4,5'-Tetra-meth-oxy-stilbene.
AB - The title compound, C(18)H(20)O(4), was synthesized by a Wittig-Horner reaction
of diethyl 3,4-dimeth-oxy-benzyl-phosphate and 3,5-dimeth-oxy-benzaldehyde. In
the crystal, the dihedral angle between the two aromatic rings is 2.47 (12)
degrees . All the meth-oxy groups are almost coplanar with the aromatic ring to
which they are attached [C-C-O-C torsion angles = -2.8 (3), -5.2 (4), -176.3 (2)
and -178.0 (2) degrees ].
PMID- 22091000
TI - 1-Chloro-1-[(4-meth-oxy-phen-yl)hydrazinyl-idene]propan-2-one.
AB - The non-H atoms of the title compound, C(10)H(11)ClN(2)O(2), lie nearly on a
plane (r.m.s. deviation = 0.150 A), and the C=N double bond has a Z
configuration. In the crystal, adjacent mol-ecules are linked by an N-H?O(carbon
yl) hydrogen bond, forming a chain running along [201].
PMID- 22091001
TI - 1-Chloro-1-[(4-chloro-phen-yl)hydrazinyl-idene]propan-2-one.
AB - The non-H atoms of the title compound, C(9)H(8)Cl(2)N(2)O, lie nearly on a plane
(r.m.s. deviation = 0.110 A), and the C=N double bond has a Z configuration. In
the crystal, adjacent mol-ecules are linked by an N-H?O(carbon-yl) hydrogen bond,
forming a chain running along [100].
PMID- 22091002
TI - 1-Chloro-1-[(4-nitro-phen-yl)hydrazinyl-idene]propan-2-one.
AB - The non-H atoms of the title compound, C(9)H(8)ClN(3)O(3), lie approximately on a
plane (r.m.s. deviation = 0.111 A), and the C=N double bond has a Z
configuration. In the crystal, adjacent mol-ecules are linked by an N-H?O(carbon
yl) hydrogen bond, forming a chain running along [101].
PMID- 22091003
TI - 1-Chloro-1-[(4-methyl-phen-yl)hydrazinyl-idene]propan-2-one.
AB - The asymmetric unit of the title compound, C(10)H(11)ClN(2)O, contains two mol
ecules. The non-H atoms of each mol-ecule lie approximately on a plane (r.m.s.
deviations = 0.062 and 0.110 A), and the C=N double bond has a Z-configuration in
both independent mol-ecules. In the crystal, adjacent mol-ecules are linked by N
H?O(carbon-yl) hydrogen bonds, forming chains running along [100].
PMID- 22091004
TI - 2-(4-Fluoro-phen-yl)-1-phenyl-1H-imidazo[4,5-f][1,10]phenanthroline monohydrate.
AB - In the title compound, C(25)H(15)FN(4).H(2)O, the fused ring system is
essentially planar [maximum deviation of 0.0822 (14) A]. The imidazole ring makes
dihedral angles of 76.83 (7) and 32.22 (7) degrees with the phenyl group
attached to nitro-gen and the fluoro-benzene group to carbon, respectively. The
dihedral angle between the two phenyl rings is 72.13 (7) degrees . Inter
molecular O-H?N, O-H?F, C-H?F, C-H?O and C-H?N hydrogen bonds are found in the
crystal structure.
PMID- 22091005
TI - N-Benzoyl-N-(3-methyl-phen-yl)-O-[2-(2-nitro-phen-yl)acet-yl]hydroxyl-amine.
AB - In the title mol-ecule, C(22)H(18)N(2)O(5), the nitro-substituted ring makes a
dihedral angle of 81.9 (1) degrees with the benzoyl ring and a dihedral angle of
12.1 (1) degrees with the methyl-substituted ring.
PMID- 22091006
TI - Ethyl 2-[(carbamothioyl-amino)-imino]-propano-ate.
AB - The title compound, C(6)H(11)N(3)O(2)S, consists of a roughly planar mol-ecule
(r.m.s deviation from planarity = 0.077 A for the non-H atoms) and has the S atom
in an anti position to the imine N atom. This N atom is the acceptor of a
strongly bent inter-nal N-H?N hydrogen bond donated by the amino group. In the
crystal, mol-ecules are arranged in undulating layers parallel to (010). The mol
ecules are linked via inter-molecular amino-carboxyl N-H?O hydrogen bonds,
forming chains parallel to [001]. The chains are cross-linked by N(carbazone)-H?S
and C-H?S inter-actions, forming infinite sheets.
PMID- 22091007
TI - 5-Methyl-1,2,3,3a-tetra-hydro-benzo[e]pyrrolo-[2,1-b][1,3]oxazepin-10(5H)-one.
AB - The asymmetric unit of the title compound, C(13)H(15)NO(2), the main product of a
photoreaction, contains two crystallographically independent mol-ecules. In both
mol-ecules, the conformation of the seven-membered ring is twist sofa and that of
the five-membered rings is envelope. In the crystal, mol-ecules are linked by
weak inter-molecular C-H?O hydrogen bonds.
PMID- 22091008
TI - N-(2,4-Dinitro-phen-yl)-1,3-dimeth-oxy-isoindolin-2-amine.
AB - In the title compound, C(16)H(16)N(4)O(6), the planes of the isoindole and
dinitro-benzene groups make a dihedral angle between of 84.15 (8) degrees . The N
atom of the isoindole group is displaced by 0.2937 (3) A from the plane through
the remaining atoms. An intra-molecular N-H?O inter-action occurs. In the
crystal, inversion dimers linked by pairs of N-H?O hydrogen bonds occur.
PMID- 22091009
TI - Bis(dimethyl-ammonium) 2,2'-(1,3,6,8-tetra-oxo-2,7-diaza-pyrene-2,7-di
yl)diacetate.
AB - The asymmetric unit of title compound, 2C(2)H(8)N(+).C(18)H(8)N(2)O(8) (2-),
comprises one crystallographically independent dimethyl-ammonium cation and half
of a 2,2'-(1,3,6,8-tetra-oxo-2,7-diaza-pyrene-2,7-di-yl)diacetate dianion. The
anion lies on an inversion centre and the two carboxyl-ate groups are in trans
positions based on the naphthaleneteracarb-oxy-lic diimide group. The crystal
packing is stabilized by N-H?O hydrogen bonds between cations and anions, as well
as by pi-pi inter-actions between the naph-thaleneteracarb-oxy-lic diimide groups
[centroid-centroid distance = 4.812 (3) A].
PMID- 22091010
TI - 2-Amino-6-(pyrrolidin-1-yl)-4-p-tolyl-pyridine-3,5-dicarbonitrile.
AB - In the title compound, C(18)H(17)N(5), the pyrrolidine ring adopts an envelope
conformation. The pyrrolidine ring is disordered over two sets of sites with
occupancy factors of 0.648 (6) and 0.352 (6). The dihedral angles between the
pyrrolidine and pyridine rings are 14.6 (3) degrees for the major component and
16.2 (6) degrees for the ninor component. The crystal structure is stabilized by
inter-molecular N-H?N and C-H?N inter-actions.
PMID- 22091011
TI - 3-(2-Meth-oxy-naphthalen-1-yl)-2-benzofuran-1(3H)-one.
AB - The asymmetric unit of the title compound, C(19)H(14)O(3), contains two
crystallographically independent mol-ecules in which the dihedral angles between
the naphthalene and benzofuran ring systems are 76.49 (7) and 86.17 (7) degrees .
In the crystal, mol-ecules are linked by inter-molecular C-H?O hydrogen-bonding
inter-actions into chains running parallel to the a axis. In addition, the
crystal packing is stabilized by C-H?pi inter-actions.
PMID- 22091012
TI - exo-4-[(1H-Benzimidazol-2-yl)meth-yl]-10-oxa-4-aza-tricyclo-[5.2.1.0]decane-3,5
dione.
AB - In the title compound, C(16)H(15)N(3)O(3), the dihedral angle between the
approximately planar benzimidazolyl group (r.m.s. deviation = 0.010 A) and the
pyrrolidine ring is 78.20 (6) degrees . The C-C-N bond angle of the bridging
CH(2) group is 112.14 (16) degrees . In the crystal, mol-ecules are linked via N
H?N hydrogen bonds, forming infinite chains parallel to [101] and [10[Formula:
see text]].
PMID- 22091013
TI - 3,5-Bis(4-meth-oxy-phen-yl)-4,5-dihydro-isoxazole.
AB - In the title compound, C(17)H(17)NO(3), the five-membered isoxazoline ring adopts
an envelope conformation with the chiral C atom at the flap position and 0.133
(2) A out of the mean plane formed by the other four atoms. The two benzene rings
form dihedral angles of 6.05 (5) and 81.52 (5) degrees with the C-C-N-O plane of
the isoxazoline ring. The crystal structure is stabilized by weak C-H?O hydrogen
bonds and C-H?pi inter-actions.
PMID- 22091014
TI - N-(3-Chloro-phen-yl)succinimide.
AB - In the title compound, C(10)H(8)ClNO(2), the chloro-benzene and the essentially
planar (r.m.s. deviation = 0.030 A) pyrrolidine ring are tilted by 59.5 (1)
degrees with respect to one another.
PMID- 22091015
TI - 2-(5-Fluoro-7-methyl-3-methyl-sulfanyl-1-benzofuran-2-yl)acetic acid.
AB - The title compound, C(12)H(11)FO(3)S, was prepared by alkaline hydrolysis of
ethyl 2-(5-fluoro-7-methyl-3-methyl-sulfanyl-1-benzofuran-2-yl)acetate. In the
crystal, the carboxyl groups are involved in inter-molecular O-H?O hydrogen
bonds, which link the mol-ecules into centrosymmetric dimers.
PMID- 22091016
TI - N-(2-Hy-droxy-benz-yl)adamantan-1-aminium bromide.
AB - There are two independent ion pairs in the asymmetric unit of the title compound,
C(17)H(24)NO(+).Br(-). In the crystal, the ions are linked by inter-molecular N
H?Br and O-H?Br hydrogen bonds.
PMID- 22091017
TI - Diethyl [(9-anthr-yl)(4-methyl-anilino)meth-yl]phospho-nate.
AB - The title compound, C(26)H(28)NO(3)P, crystallized with two independent mol
ecules in the asymmetric unit. The structural features (bond lengths and angles)
of the two mol-ecules are almost identical. The inter-planar angle between the
anthracene and toluidine rings is similar in the two mol-ecules, with values of
82.92 (5) and 80.70 (5) degrees . In the crystal, both molecules form inversion
dimers linked by pairs of N-H?O hydrogen bonds. Three of the four ethyl groups
are disordered over two sets of sites, the major components having occupancies of
0.748 (15), 0.77 (4) and 0.518 (19).
PMID- 22091018
TI - 2-(Pyridin-2-yl-amino)-pyridinium thio-cyanate acetonitrile monosolvate.
AB - The title compound, C(10)H(10)N(3) (+).NCS(-).CH(3)CN, is the acetonitrile
solvate of the thio-cyanate salt of protonated dipyridin-2-yl-amine. Protonation
occurs at one of the pyridine N atoms. The mol-ecular geometry around the central
N atom is essentially planar (sum of angles = 359.89 degrees ). In the crystal, N
H?N hydrogen bonds, as well as C-H?S contacts link the different residues into
chains along the c-axis direction. Inter-action between aromatic systems gives
rise to pi-stacking, the shortest distance between two pi-systems being 3.6902
(6) A. Both the protonated and the non-protonated pyridyl groups are involved in
the latter inter-action.
PMID- 22091019
TI - 2-[(E)-4-(Dimethyl-amino)-benzyl-idene]indan-1-one.
AB - In the title compound, C(18)H(17)NO, the dihydro-indene ring system is
approximately planar, with a maximum deviation of 0.041 (2) A. This ring system
is almost coplanar with the benzene ring, making a dihedral angle of 5.22 (9)
degrees . In the crystal, inter-molecular C-H?O hydrogen bonds link the mol
ecules into chains along the b axis.
PMID- 22091020
TI - (1S*,3S*,8S*,10S*)-10-Fluoro-15-oxa-tetra-cyclo-[6.6.1.0.0]penta-deca-5,12-dien-3
ol.
AB - The title compound, C(14)H(17)FO(2), was obtained from anti-4a,9a:8a,10a-diep-oxy
1,4,4a,5,8,8a,9,9a,10,10a-deca-hydro-anthra-cene via tandem hydrogen-fluoride
mediated epoxide ring-opening and transannular oxacyclization. With the two cyclo
hexene rings folded towards the oxygen bridge, the title tetra-cyclic fluoro
alcohol mol-ecule displays a conformation remin-iscent of a pagoda. The crystal
packing is effected via inter-molecular O-H?O hydrogen bonds, which link the mol
ecules into a zigzag chain along the b axis.
PMID- 22091021
TI - 1,3-Diallyl-6-bromo-1H-imidazo[4,5-b]pyridin-2(3H)-one.
AB - In the mol-ecule of the title compound, C(12)H(12)BrN(3)O, the fused-ring system
is essentially planar, the largest deviation from the mean plane being 0.0148 (3)
A. The two allyl groups are nearly perpendicular to the imidazo[4,5-b]pyridine
plane [C-C-N-C torsion angles of 81.6 (4) and -77.2 (4) degrees ] and point in
the same direction. The planes through the atoms forming each allyl group are
nearly perpendicular to the imidazo[4,5-b]pyridin-2-one system, as indicated by
the dihedral angles between them of 80.8 (5) and 73.6 (5) degrees .
PMID- 22091022
TI - 1,1-Dibenzyl-3-(4-fluoro-benzo-yl)thio-urea.
AB - In the title compound, C(22)H(19)FN(2)OS, the 2-fluoro-benzoyl group adopts a
trans conformation with respect to the thiono S atom across the N-C bond. In the
crystal, inter-molecular N-H?S, C-H?S and C-H?O hydrogen bonds link the mol
ecules, forming a two-dimensional network parallel to (101).
PMID- 22091023
TI - (9S,13R,14S)-7,8-Didehydro-4-(4-fluoro-benz-yloxy)-3,7-dimeth-oxy-17-methyl
morphinan-6-one sesquihydrate.
AB - In the title sinomenine derivative, C(26)H(28)FNO(4).1.5H(2)O, the dihedral angle
between the two aromatic rings is 55.32 (6) degrees . The N-containing ring has
an approximate chair conformation, while other two rings have approximate
envelope and half-chair conformations. One water mol-ecule is located on a
twofold symmetry axis. In the crystal, the water mol-ecules form O-H?O and O-H?N
hydrogen bonds, bridging symmetry-related main mol-ecules.
PMID- 22091024
TI - Methyl 3-(4-isopropyl-phen-yl)-1-phenyl-3,3a,4,9b-tetra-hydro-1H-chromeno[4,3
c]isoxazole-3a-carboxyl-ate.
AB - In the title compound, C(27)H(27)NO(4), the five-membered isoxazole ring adopts
an envelope conformation and the six-membered pyran ring adopts a half-chair
conformation. The dihedral angle between the mean planes of the isoxazole ring
and the chromene ring system is 54.95 (4) degrees .
PMID- 22091025
TI - Methyl 6-(4-chloro-phen-yl)-2,4-dimethyl-1,3-dioxo-1,2,3,4,6,6a,7,12b-octa-hydro
chromeno[4',3':4,5]pyrano[2,3-d]pyrimidine-6a-carboxyl-ate.
AB - In the title compound, C(24)H(21)ClN(2)O(6), the two fused six-membered pyran
rings adopt half-chair conformations. The dihedral angle between the pyrimidine
ring and the chloro-phenyl ring is 51.55 (3) degrees . In the crystal, mol-ecules
are linked by pairs of weak inter-molecular C-H?O hydrogen bonds, forming
inversion dimers. A C-H?pi inter-action is also observed.
PMID- 22091026
TI - Tetra-ethyl-ammonium bicarbonate trihydrate.
AB - In the title compound, C(8)H(20)N(+).CHO(3) (-).3H(2)O, the bicarbon-ate anion,
which has a small mean deviation from the plane of 0.0014 A, fully utilises its
three O and one H atom to form various O-H?O hydrogen bonds with the three water
mol-ecules in the asymmetric unit, generating a hydrogen-bonded layer, which
extends along (10[Formula: see text]). The tetra-ethyl-ammonium cations, as the
guest species, are accommodated between every two neighboring layers,
constructing a sandwich-like structure with an inter-layer distance of 7.28 A.
PMID- 22091027
TI - 2,2-Diphenyl-acetamide.
AB - In the title compound, C(14)H(13)NO, which has two mol-ecules in the asymmetric
unit, the dihedral angles between the mean planes of the benzene rings are 84.6
(7) and 85.0 (6) degrees . N-H?O hydrogen bonds [forming R(2) (2)(8) ring motifs]
and C-H?O hydrogen bonds dominate the crystal packing, forming zigzag chains
parallel to the a axis. In addition, weak inter-molecular C-H?pi inter-actions
are observed.
PMID- 22091028
TI - Methyl 2-(4-hy-droxy-benzo-yl)benzoate.
AB - In the title compound, C(15)H(12)O(4), the dihedral angle between the benzene
rings is 64.0 (6) degrees . In the crystal, mol-ecules are linked by O-H?O
hydrogen bonds, forming C(8) chains propagating in [10[Formula: see text]] and
the packing is reinforced by weak C-H?O inter-actions.
PMID- 22091029
TI - 2-Phenyl-naphtho-[1,8-de][1,3,2]diaza-borinane.
AB - The title compound, C(16)H(13)BN(2), is one compound in a series of diaza
borinanes featuring substitution at the 1, 2 and 3 positions in the nitro-gen
boron heterocycle. The title compound is slightly distorted from planarity, with
a dihedral angle of 9.0 (5) degrees between the mean planes of the naphthalene
system and the benzene ring. The m-carbon atom of the benzene ring exhibits the
greatest deviation of 0.164 (2) A from the 19-atom mean plane defined by all non
H atoms. The two N-B-C-C torsion angles are 6.0 (3) and 5.6 (3) degrees . In the
crystal, mol-ecules are linked by pi-pi inter-actions into columns, with a
distance of 3.92 (3) A between the naphthalene ring centroids. Adjacent pi
stacked columns, co-linear with the b-axis, are linked by C-H?pi inter-actions.
PMID- 22091030
TI - 3,4-Dihy-droxy-phenethyl acetate.
AB - In the title compound, C(10)H(12)O(4), the dihedral angle between the acetate
group and the aromatic ring is 20.47 (10) degrees . In the crystal, mol-ecules
are linked by O-H?O hydrogen bonds, forming [001] chains. Weak C-H?O inter
actions consolidate the packing.
PMID- 22091031
TI - 2,4,6-Trimethyl-anilinium chloro-acetate.
AB - In the crystal structure of the title compound, C(9)H(14)N(+).C(2)H(2)ClO(2) (-),
inter-molecular N-H?O inter-actions link the mol-ecules into a one-dimensional
linear structure.
PMID- 22091032
TI - 3-Allyl-6-bromo-1H-imidazo[4,5-b]pyridin-2(3H)-one.
AB - In the mol-ecule of the title compound, C(9)H(8)BrN(3)O, the fused-ring system is
almost planar, the largest deviation from the mean plane being 0.008 (3) A. The
plane through the atoms forming the allyl group is roughly perpendicular to the
imidazo[4,5-b]pyridin-2-one system, as indicated by the dihedral angle between
them of 70.28 (11) degrees . In the crystal, each mol-ecule is linked to its
symmetry equivalent about the center of inversion by a pair of strong N-H?O
hydrogen bond, forming inversion dimers.
PMID- 22091033
TI - (E)-4-Chloro-N-(2,4,6-trimethyl-benzyl-idene)aniline.
AB - In the title compound, C(16)H(16)ClN, the dihedral angle between the benzene
rings is 24.61 (13) degrees . In the crystal, only van der Waals inter-actions
occur between neighbouring mol-ecules.
PMID- 22091034
TI - (E)-2-(2-Formyl-phen-oxy-meth-yl)-3-phenyl-prop-2-ene-nitrile.
AB - In the title compound, C(17)H(13)NO(2), the dihedral angle between the benzene
and the phenyl ring is 65.92 (7) degrees . The carbonitrile side chain is almost
linear, the C-C-N angle being 175.55 (14) degrees . The crystal structure is
stabilized by inter-molecular C-H?O inter-actions.
PMID- 22091035
TI - 2-Cyano-N'-(2-hy-droxy-3-meth-oxy-benzyl-idene)acetohydrazide.
AB - The title compound, C(11)H(11)N(3)O(3), was obtained by the reaction of 3-meth
oxy-salicyl-aldehyde with cyano-acetohydrazide in methanol. There is an intra
molecular O-H?N hydrogen bond in the mol-ecule. In the crystal, mol-ecules are
linked by N-H?O hydrogen bonds, generating chains running along the b axis.
PMID- 22091036
TI - 2-Cyano-N'-(5-hy-droxy-2-nitro-benzyl-idene)acetohydrazide monohydrate.
AB - The title compound, C(10)H(8)N(4)O(4).H(2)O, was obtained by the reaction of 5-hy
droxy-2-nitro-benzaldehyde with cyano-acetohydrazide in methanol. The non-H atoms
of the hydrazone molecule are approximately coplanar, with a mean deviation from
the least-squares plane of 0.056 A. In the crystal, mol-ecules are linked by N
H?O, O-H?N and O-H?O hydrogen bonds, generating a three-dimensional network.
PMID- 22091037
TI - 6-Nitro-2,3-dihydro-1H-pyrrolo-[2,1-c][1,4]benzodiazepine-5,11(10H,11aH)-dione.
AB - In the two mol-ecules of the asymmetric unit of the title compound,
C(12)H(11)N(3)O(4), the seven-membered diazepine ring adopts a boat conformation
(with the two phenyl-ene C atoms representing the stern and the methine C atom
the prow). The five-membered pyrrole ring, which has an envelope conformation,
makes dihedral angles of 60.47 (10) and 54.69 (9) degrees with the benzene ring
of the benzodiazepine unit in the two mol-ecules. In the crystal, inter-molecular
N-H?O hydrogen bonds and pi-pi stacking inter-actions [centroid-centroid distance
= 3.8023 (7)-3.8946 (7) A] lead to the formation of a three-dimensional
framework.
PMID- 22091038
TI - 2-Ethyl-N-[(5-nitro-thio-phen-2-yl)methyl-idene]aniline.
AB - In the title compound, C(13)H(12)N(2)O(2)S, the dihedral angle between the
benzene and thio-phene rings is 36.72 (8) degrees . An inter-molecular C-H?pi
inter-action contributes to the stability of the crystal structure.
PMID- 22091039
TI - Meth-yl(phen-yl)phosphinic acid.
AB - The crystal structure of the title compound, C(7)H(9)O(2)P, displays O-H?O
hydrogen bonding , which links individual mol-ecules related via the c-glide
plane and translational symmetry along the crystallographic b-axis direction into
continuous chains.
PMID- 22091040
TI - Ethyl [(benzyl-aza-nium-yl)(2-hy-droxy-phen-yl)meth-yl]phospho-nate.
AB - The title compound, C(16)H(20)NO(4)P, crystallizes as a zwitterion. In the mol
ecule, the two aromatic rings form a dihedral angle of 55.2 (1) degrees . In the
crystal, inter-molecular N-H?O and O-H?O hydrogen bonds link the mol-ecules into
columns propagating in [010].
PMID- 22091041
TI - 2-[2-(4-Meth-oxy-phen-yl)-2-oxoeth-yl]malononitrile.
AB - The title compound, C(12)H(10)N(2)O(2), was obtained unintentionally during the
synthesis of 2-amino-5-(4-meth-oxy-phen-yl)furan-3-carbonitrile. In the crystal,
weak inter-mol-ecular C-H?N and C-H?pi inter-actions link the mol-ecules into
columns propagating in [010].
PMID- 22091042
TI - Morpholin-4-ium morpholine-4-carbo-dithio-ate.
AB - The title compound, C(4)H(10)NO(+).C(5)H(8)NOS(2) (-), is built up of a
morpholinium cation and a dithio-carbamate anion. In the crystal, two
structurally independent formula units are linked via N-H?S hydrogen bonds,
forming an inversion dimer, with graph-set motif R(4) (4)(12).
PMID- 22091043
TI - 1,4-Dibromo-naphthalene-2,3-diol.
AB - In the title compound (r.m.s. deviation for the non-H atoms = 0.020 A),
C(10)H(6)Br(2)O(2), an intra-molecular O-H?O hydrogen bond generates an S(6)
ring. In the crystal, the same H atom also forms an inter-molecular O-H?O
hydrogen bond, generating a C(2) chain propagating in [100]. The other O-H
hydrogen forms a weak O-H?pi inter-action, and short Br?Br contacts [3.5972 (9)
A] also occur.
PMID- 22091044
TI - 1-(2-Naphth-yl)-3-phenyl-3-(4,5,6,7-tetra-hydro-1,2,3-benzoselenadiazol-4
yl)propan-1-one.
AB - In the title compound, C(25)H(22)N(2)OSe, the fused six-membered cyclo-hexene
ring of the 4,5,6,7-tetra-hydro-1,2,3-benzoselenadiazole group adopts a near half
chair conformation and the five-membered 1,2,3-selenadiazole ring is essentially
planar (r.m.s. deviation = 0.004 A). There are weak inter-molecular C-H?O and C
H?pi inter-actions in the crystal structure. Inter-molecular pi-pi stacking is
also observed between the naphthyl units, with a centroid-centroid distance of
3.529 (15) A.
PMID- 22091045
TI - 1,5-Anhydro-2-de-oxy-1,2-C-dichloro-methyl-ene-3,4,6-tri-O-(4-meth-oxy-benz-yl)-d
glycero-d-gulo-hexitol.
AB - The pyranosyl ring in the title compound, C(31)H(34)Cl(2)O(7), adopts a twist
boat conformation. The 4-meth-oxy-benzyl groups are located in equatorial
positions with the meth-oxy groups nearly coplanar with their respective rings
[dihedral angles of 0.2 (3) and 9.4 (2) degrees ]. The aromatic rings adopt
orientations enabling them to participate in C-H?pi inter-actions with
neighboring meth-oxy groups. The crystal structure is additionally stabilized by
weak C-H?O inter-actions.
PMID- 22091046
TI - 1,1,2,2-Tetra-kis(1,3-benzoxazol-2-yl)ethene.
AB - The title compound, C(30)H(16)N(4)O(4), reveals [Formula: see text]
crystallographic and mol-ecular symmetry and accordingly the asymmetric unit
comprises one half-mol-ecule. The dihedral angle between the planes of the two
geminal benzoxazole rings is 74.39 (5) degrees . The packing features weak C-H?N
and pi-pi inter-actions [centroid-centroid distance = 3.652 (1) A].
PMID- 22091047
TI - 3-Methyl-4-{[(3-{[(3-methyl-5-oxo-1-phenyl-4,5-dihydro-1H-pyrazol-4-yl
idene)(phen-yl)meth-yl]amino-meth-yl}benz-yl)amino](phen-yl)methyl-idene}-1
phenyl-1H-pyrazol-5(4H)-one.
AB - The complete mol-ecule of the title compound, C(42)H(36)N(6)O(2), is generated by
a crystallographic twofold axis with two C atoms of the central phenyl group
lying on the axis. In the independent part of the mol-ecule, one amino group is
involved in an intra-molecular N-H?O hydrogen bond, and the two adjacent phenyl
rings are twisted from the plane of the pyrazolone ring with dihedral angles of
6.82 (3) and 88.32 (6) degrees . The crystal packing exhibits no classical inter
molecular contacts.
PMID- 22091048
TI - 5'-Methyl-sulfanyl-4'-oxo-7'-phenyl-3',4'-dihydro-1'H-spiro-[cyclo-hexane-1,2'
quinazoline]-8'-carbonitrile dimethyl-formamide monosolvate.
AB - In the title compound, C(21)H(21)N(3)OS.C(3)H(7)NO, the carbonitrile mol-ecule is
built up of two fused six-membered rings and one six-membered ring linked through
a spiro C atom. The 1,3-diaza ring adopts an envelope conformation and the cyclo
hexane ring adopts a chair conformation. The dihedral angle between the aromatic
rings is 46.7 (3) degrees . In the crystal, the components are linked by N-H?O
hydrogen bonds.
PMID- 22091049
TI - 1-(2-Hy-droxy-eth-yl)-4-{3-[(E)-2-(trifluoro-meth-yl)-9H-thioxanthen-9-yl
idene]prop-yl}piperazine-1,4-diium bis-(3-carb-oxy-prop-2-enoate).
AB - In the title salt, C(23)H(27)F(3)N(2)OS(+).2C(4)H(3)O(4) (-), a non-merohedral
twin [ratio of the twin components = 0.402 (1):0.598 (1)], the -CF(3) group is
disordered over two sets of sites with occupancy factors in the ratio 0.873
(2):0.127 (2). The dihedral angle between the two outer aromatic rings of the 9H
thioxanthene unit, whose thio-pyran ring has a screw-boat conformation, is 33.01
(9) degrees . The diprotonated piperazine ring adopts a chair conformation. In
the crystal, inter-molecular O-H?O, N-H?O and C-H?O hydrogen bonds between
neighboring mol-ecules form zigzag chains along the a axis and contribute to the
stabilization of the packing.
PMID- 22091050
TI - 2-Chloro-N-(3,4-dimethyl-phen-yl)benzamide.
AB - In the title compound, C(15)H(14)ClNO, the conformation of the N-H bond is anti
to the meta-methyl group in the aniline ring, while that of the C=O bond is anti
to the ortho-chloro group in the benzoyl ring. The mean planes through the two
benzene rings make a dihedral angle of 80.8 (2) degrees . In the crystal, mol
ecules are linked by inter-molecular N-H?O hydrogen bonds, forming column-like
chains along the b axis.
PMID- 22091051
TI - 2,2-Dichloro-1-(3,3,6-trimethyl-9-oxo-1,5-diaza-bicyclo-[4.3.0]nonan-5
yl)ethanone.
AB - In the title mol-ecule, C(12)H(18)Cl(2)N(2)O(2), the six-membered ring is in a
chair conformation and the five-membered ring is in an envelope conformation. In
the crystal, weak inter-molecular bifurcated (C-H)(2)?O hydrogen bonds connect
mol-ecules into chains along [010].
PMID- 22091052
TI - 4-(1H-Benzimidazol-2-ylmeth-oxy)-3-meth-oxy-benzaldehyde tetra-hydrate.
AB - In the title compound, C(16)H(14)N(2)O(3).4H(2)O, the dihedral angle between the
mean planes of the benzimidazole ring system and benzene ring is 2.9 (1) degrees
. The aldehyde group is disordered over two sets of sites with refined
occupancies of 0.559 (4) and 0.441 (4). In the crystal, extensive inter-molecular
O-H?O, O-H?N and N-H?O hydrogen bonds in concert with weak pi-pi stacking inter
actions [centroid-centroid distances = 3.6104 (9), 3.6288 (9) and 3.9167 (10) A]
create a three-dimensional network.
PMID- 22091053
TI - (E)-Methyl N'-(2,4,5-trimeth-oxy-benzyl-idene)hydrazinecarboxyl-ate.
AB - The title mol-ecule, C(12)H(16)N(2)O(5), adopts a trans configuration with
respect to the C=N bond. In the crystal, inter-molecular N-H?O hydrogen bonds
link the mol-ecules into chains in [001], and weak inter-molecular C-H?O inter
actions further link the chains into corrugated layers parallel to the bc plane.
PMID- 22091054
TI - 4-[3-(Benzyl-amino)-2-hy-droxy-prop-yl]-2,6-di-tert-butyl-phenol.
AB - In the title compound, C(24)H(35)NO(2), the planes of the two aromatic rings form
a dihedral angle of 72.76 (4) degrees . In the crystal, mol-ecules are linked by
O-H?O and O-H?N hydrogen-bond inter-actions, forming an extended two-dimensional
framework parallel to the ab plane.
PMID- 22091055
TI - A second monoclinic polymorph of 6-amino-1,3-dimethyl-5-[(E)-2-(methyl-sulfan
yl)benzyl-idene-amino]-pyrimidine-2,4(1H,3H)-dione.
AB - A new monoclinic form of the title compound, C(14)H(16)N(4)O(2)S, has been
identified unexpectedly during an attempt to synthesize a coordination compound.
The heterocyclic ring is essentially planar (r.m.s. deviation = 0.005 A) and
makes a dihedral angle of 8.77 (5) degrees with the benzene ring. This is in
contrast to 12.24 (7) degrees reported for the first monoclinic polymorph
[Booysen et al. (2011 ?). Acta Cryst. E67, o1592]. An intra-molecular N-H?S
hydrogen bond is observed. In the crystal, inter-molecular N-H?O hydrogen bonds
link the mol-ecules into zigzag chains along the b axis. The closest distance
between the centroids of symmetry-related heterocyclic rings is 3.5161 (6) A.
PMID- 22091056
TI - (Z)-2-(4-tert-Butyl-phen-yl)-1-(4-chloro-1-ethyl-3-methyl-1H-pyrazol-5-yl)-2
cyano-vinyl pivalate.
AB - In the title compound, C(24)H(30)ClN(3)O(2), the dihedral angle between the
benzene and pyrazole rings is 56.86 (7) degrees . The C=C bond is significantly
twisted, as indicated by the dihedral angle of 12.26 (1) degrees between the two
sets of three atoms linked by the double bond.
PMID- 22091057
TI - 3,3'-(Ethane-1,2-di-yl)bis-(6-methyl-3,4-dihydro-2H-1,3-benzoxazine).
AB - The asymmetric unit of the title compound, C(20)H(24)N(2)O(2), contains one half
mol-ecule, which is completed by inversion symmetry. In the crystal, mol-ecular
chains are formed through non-classical C-H?O hydrogen bonds, formed between
axial H atoms of the oxazine ring and a O atom of a neighboring mol-ecule.
PMID- 22091058
TI - 4-(4-{[(2-Phenyl-quinazolin-4-yl)-oxy]methyl}-1H-1,2,3-triazol-1-yl)butan-1-ol
hemihydrate.
AB - The title compound, C(21)H(21)N(5)O(2).0.5H(2)O, has two fused six-membered rings
linked to a benzene ring and to a triazole ring, which is connected to a butanol
group. The quinazoline ring forms a dihedral angle of 7.88 (8) degrees with the
benzene ring, while the triazole ring is approximately perpendicular to the
benzene ring and to the quinazoline system, making dihedral angles of 84.38 (10)
and 76.55 (8) degrees , respectively. The stereochemical arrangement of the
butanol chain, with a C-C-C-C torsion angle of 178.34 (19) degrees , corresponds
to an anti-periplanar conformation. However the position of the -OH group is
split into two very close [O-O = 0.810(3) A] positions of equal occupancy. The
crystal structure features O-H?N and O-H?O hydrogen bonds, building an infinite
three-dimensional network. The water molecule is located on a half-filled general
position.
PMID- 22091059
TI - 1-(2-Ureidoeth-yl)quinolinium tetra-phenyl-borate.
AB - In the cation of the title salt, C(12)H(14)N(3)O(+).C(24)H(20)B(-), the dihedral
angle between the quinoline ring and the mean plane of the urea fragment is 61.51
(5) degrees . In the crystal, the cations inter-act through weak C-H?O hydrogen
bonding, forming a zigzag chain along the c-axis direction; the cations and
anions are involved in weak inter-molecular C-H?pi and N-H?pi inter-actions as
donors and acceptors, respectively.
PMID- 22091060
TI - N-(2-Amino-3,5-dibromo-benz-yl)-N-methyl-cyclo-hexan-1-aminium p
toluenesulfonate.
AB - The title compound, C(14)H(21)Br(2)N(2) (+).C(7)H(7)O(3)S(-), features a salt of
protonated bromhexine, a pharmaceutical used in the treatment of respiratory
disorders, and the p-toluenesulfonate anion. The crystal packing is stabilized by
inter-molecular N-H?O, N-H?Br and C-H?O hydrogen bonds.
PMID- 22091061
TI - 4,4'-Bipyridine-pyridine-3,5-dicarb-oxy-lic acid (3/4).
AB - In the title compound, 3C(10)H(8)N(2).4C(7)H(5)NO(4), the asymmetric unit
contains two mol-ecules of pyridine-3,5-dicarb-oxy-lic acid and one mol-ecule of
4,4'-bipyridine in general positions together with one mol-ecule of 4,4'
bipyridine lying across a centre of inversion, thus giving a 4:3 molar ratio of
pyridine-3,5-dicarb-oxy-lic acid to 4,4'-bipyridine. The dihedral angle between
the bipyridine rings on general positions is 21.2 (2) degrees . These mol-ecular
units are linked by O-H?N hydrogen bonds forming an extended two-dimensional
framework in the crystal.
PMID- 22091062
TI - 1-Phenyl-isatin.
AB - In the title compound, C(14)H(9)NO(2), the phenyl ring makes a dihedral angle of
50.59 (5) degrees with the mean plane of the isatin fragment. In the crystal,
mol-ecules are linked through weak inter-molecular C-H?O hydrogen bonds. The
crystal structure also exhibits two slipped pi-pi inter-actions between the
benzene rings of neighbouring mol-ecules [centroid-centroid distance = 3.968 (3)
A, inter-planar distance = 3.484 (3) A and slippage = 1.899 (3) A], and between
the phenyl rings of neighbouring mol-ecules [centroid-centroid distance = 3.968
(3) A, inter-planar distance = 3.638 (3) A and slippage = 1.584 (3) A].
PMID- 22091063
TI - (8aRS)-8,8a-Dihydro-furo[3,2-f]indolizine-6,9(4H,7H)-dione.
AB - The title compound, C(10)H(9)NO(3), is a chiral mol-ecule with one stereogenic
carbon atom, but which crystallizes as a racemate in the centrosymmetric space
group P2(1)/n. The central six-membered ring of the indolizine moiety adopts a
definite envelope conformation, while the conformation of the oxopyrrolidine ring
is close to that of a flat-envelope with a maximum deviation of 0.352 (1) A for
the flap atom.
PMID- 22091064
TI - 1-(4-Fluoro-phen-yl)-2-(1H-imidazol-1-yl)ethanone.
AB - In the title compound, C(11)H(9)FN(2)O, the dihedral angle between the rings is
87.50 (4) degrees . In the crystal, inter-molecular C-H?N hydrogen bonds link the
mol-ecules in a stacked arrangement along the c axis.
PMID- 22091065
TI - 5-(Pyridin-2-yl)-3,3'-bi(1H-1,2,4-triazole).
AB - In the title mol-ecule, C(9)H(7)N(7), the two triazole rings are twisted by an
angle of 3.8 (5) degrees ; the central triazole ring is twisted by 32.3 (6)
degrees with respect to the pyridyl ring. The crystal packing consists of layers
generated by inter-molecular N-H?N hydrogen bonds.
PMID- 22091066
TI - 4-Hydrazinyl-idene-1-methyl-3H-2lambda,1-benzothia-zine-2,2-dione.
AB - In the title compound, C(9)H(11)N(3)O(2)S, the thia-zine ring adopts a half-chair
conformation. In the crystal structure N-H?N hydrogen bonds connect two mol
ecules into a centrosymmetric dimer, forming an R(2) (2)(6) ring motif. These
dimers are further connected into chains by N-H?O and C-H?O hydrogen bonds.
PMID- 22091067
TI - 3-Hy-droxy-pyridinium-2-carboxylate.
AB - Comparable to many amino acids, the title compound, C(6)H(5)NO(3), is a
substitution product of picolinic acid. The mol-ecule shows approximate non
crystallographic C(s) symmetry. Like many amino acids, the mol-ecule is present
in its zwitterionic state. Intra- as well as inter-molecular hydrogen bonds are
observed, the latter connecting the mol-ecules into zigzag chains along the
crystallographic b axis. An inter-molecular C-C distance of only 3.368 (2) A
exclusively involving carbon atoms of aromatic rings (centroid-centroid
separation = 3.803 A) is indicative of pi-pi inter-actions connecting the mol
ecules into stacks along the crystallographic a axis.
PMID- 22091068
TI - 7-Meth-oxy-1-(4-nitro-benzo-yl)naph-thalen-2-yl 4-nitro-benzoate.
AB - In the title compound, C(25)H(16)N(2)O(8), the dihedral angle between the
naphthalene ring system and the benzene ring of the nitro-phenyl ketone unit is
82.64 (7) degrees . The bridging ester O-C(=O)-C plane makes dihedral angles of
42.12 (8) and 11.47 (9) degrees , respectively, with the naphthalene ring system
and the benzene ring of the nitro-phenyl ester unit. In the crystal, two types of
weak inter-molecular C-H?O inter-actions are observed.
PMID- 22091069
TI - N,N-Bis(diphenyl-phosphan-yl)cyclo-butanamine.
AB - In the title compound, C(28)H(27)NP(2), the N atom adopts an almost planar
geometry with the two P atoms and the C atom attached to it, with a distance of
0.066 (2) A between the N atom and the C/P/P plane. The distorted trigonal
pyramidal geometry of the N atom is further illustrated by bond angles ranging
between 115.22 (11) and 123.53 (8) degrees . Bond angles varying from 99.99 (9)
to 108.07 (9) degrees are indicative of the distorted pyramidal environment
around the P atoms. An intra-molecular C-H?P hydrogen bond occurs. In the
crystal, inter-molecular C-H?pi inter-actions link the mol-ecules into a supra
molecular network.
PMID- 22091070
TI - N-(5-Bromo-pyridin-2-yl)acetamide.
AB - The asymmetric unit of the title compound, C(7)H(7)BrN(2)O, contains two mol
ecules, in one of which the methyl H atoms are disorderd over two orientations in
a 0.57 (3):0.43 (3) ratio. The dihedral angles between the pyridine rings and the
acetamide groups are 7.27 (11) and 8.46 (11) degrees . In the crystal, mol-ecules
are linked by N-H?O and C-H?O hydrogen bonds generating bifurcated R(2) (1)(5)
ring motifs, which in turn lead to [110] chains.
PMID- 22091071
TI - 2-Amino-6-nitro-1,3-benzothia-zol-3-ium hydrogen sulfate.
AB - In the title molecular salt, C(7)H(6)N(3)O(2)S(+).HSO(4) (-), the 2-amino-6-nitro
1,3-benzothia-zole ring system is essentially planar [mean deviation = 0.0605 (4)
A]. In the crystal, N-H?O and O-H?O hydrogen-bonding inter-actions result in a
layer motif.
PMID- 22091072
TI - rac-Dimethyl [(9-anthr-yl)(4-methyl-anilino)meth-yl]phospho-nate.
AB - The title compound, C(24)H(24)NO(3)P, crystallizes as a racemate with two mol
ecules in the asymmetric unit. The structural features (bond lengths and angles)
of the two mol-ecules are almost identical. The dihedral angle between the
anthracene and toluidine rings is similar in the two mol-ecules, with values of
48.36 (9) and 51.15 (9) degrees . The methyl groups of one of the meth-oxy groups
in one mol-ecule is disordered over two sets of sites, the major component having
a site occupancy of 0.636 (3). In the crystal, both molecules are linked into
inversion dimers by pairs of N-H?O hydrogen bonds.
PMID- 22091073
TI - N,N'-Bis(2-chloro-benz-yl)-N''-(2,2,2-trichloro-acet-yl)phospho-ric triamide.
AB - The P atom in the title compound, C(16)H(15)Cl(5)N(3)O(2)P, exhibits a tetra
hedral coordination geometry and the phosphoryl and carbonyl groups are anti with
respect to one another. The dihedral angle between the benzene rings is 44.90
(15) degrees . One of the 2-chloro-benzyl-amido fragments is disordered over two
sets of sites with occupancies of 0.8823 (17) and 0.1177 (17). In the crystal,
adjacent mol-ecules are linked via N-H?O(P) and N-H?O(C) hydrogen bonds into an
extended chain running parallel to the a axis.
PMID- 22091074
TI - 2-Phenyl-imidazolium hemi(benzene-1,3-dicarboxyl-ate) monohydrate.
AB - The asymmetric unit of the title compound, C(9)H(9)N(2) (+).0.5C(8)H(4)O(4) (
).H(2)O, contains one 2-phenyl-imidazolium cation, half a benzene-1,3-dicarboxyl
ate anion and one water mol-ecule. In the crystal, components are connected by N
H?O and O-H?O hydrogen-bonding inter-actions into a three-dimensional network.
PMID- 22091075
TI - 2-[2-(4-Acetyl-phen-yl)hydrazinyl-idene]-1,3-diphenyl-propane-1,3-dione.
AB - In the title compound, C(23)H(18)N(2)O(3), the inter-planar angle between the
benzoyl units is 80.51 (6) degrees while the dihedral angles between the
hydrazinyl-idene and benzoyl groups are 43.43 (6) and 54.16 (6) degrees . In the
crystal, a strong resonance-assisted intra-molecular N-H?O hydrogen bond is
observed. The mol-ecules form an inversion dimer via a pair of weak C-H?O
hydrogen bonds and a pi-pi inter-action [centroid-centroid distance of 3.5719
(10) A]. These dimers are linked via weak C-H?O contacts, forming chains along
the b axis.
PMID- 22091076
TI - (5S)-3-Chloro-4-diallyl-amino-5-[(1R,2S,5R)-2-isopropyl-5-methyl-cyclo-hex
yloxy]furan-2(5H)-one.
AB - The title compound, C(20)H(30)ClNO(3), was obtained via a tandem asymmetric
Michael addition-elimination reaction of (5S)-3,4-dichloro-5-(l-menth-yloxy)
2(5H)-furan-one and diallyl-amine in the presence of potassium fluoride. The mol
ecular structure contains an approximately planar five-membered furan-one ring
[maximum atomic deviation = 0.0221 (3) A] and a six-membered ring adopting a
chair conformation.
PMID- 22091077
TI - (E)-Methyl 2-chloro-4-dicyclo-hexyl-amino-4-oxobut-2-enoate.
AB - In the title compound, C(17)H(26)ClNO(3), both cyclo-hexyl rings have chair
conformations. In the crystal, mol-ecules are linked by weak inter-molecular C
H?O hydrogen bonds.
PMID- 22091078
TI - 5,7-Dibromo-3-trifluoro-methyl-3,4-dihydro-acridin-1(2H)-one.
AB - In the title compound, C(14)H(8)Br(2)F(3)NO, the mol-ecule is disordered across
an approximate non-crystallographic mirror plane, which is in the plane of the
fused ring system [The tetrahedral C atom bearing the trifluormethyl substituent
is disordered with site occupancy factors of 0.80 (2) and 0.20 (2)]. In the
crystal, a one-dimensional stacking of mol-ecules involves inter-actions between
the pyridine ring and symmetry-related Br and O atoms of adjacent mol-ecules. The
stacking distance between the mean planes of adjacent mol-ecules is 3.395 (4) A.
PMID- 22091079
TI - 3-Cyclo-hexyl-sulfonyl-5-iodo-2,7-dimethyl-1-benzofuran.
AB - In the title compound, C(16)H(19)IO(3)S, the cyclo-hexyl ring adopts a chair
conformation. In the crystal, pairs of inter-molecular I?O contacts [3.269 (2) A]
link the mol-ecules into inversion dimers. These dimers are further stabilized by
a slipped pi-pi inter-action between the benzene and furan rings of adjacent mol
ecules [centroid-centroid distance = 3.701 (3) A, inter-planar distance = 3.372
(3) A and slippage = 1.525 (3) A].
PMID- 22091080
TI - 1,6-Bis(prop-2-yn-1-yl-oxy)naphthalene.
AB - The title compound, C(16)H(12)O(2), contains two prop-2-yn-1-yl-oxy groups
attached to a naphthalene ring system at the 1- and 6-positions. The crystal
packing includes an inter-molecular C-H?pi inter-action between a terminal
ethynyl H atom and an ethynyl group on a glide-related mol-ecule and another
inter-action between an O-atom-linked methyl-ene H and an ethynyl group of a
different glide-related mol-ecule.
PMID- 22091081
TI - 2,2-Dimethyl-N-(2-methyl-phenyl-sulfon-yl)acetamide.
AB - The asymmetric unit of the title compound, C(11)H(15)NO(3)S, contains two
independent mol-ecules in which the amide bonds show a trans conformation. The C
S-N-C torsion angles are -67.4 (2) and 63.8 (2) degrees in the two independent
mol-ecules. In one of the mol-ecules, a methyl group is disordered over two sets
of sites with a site-occupation factor of 0.661 (16) for the major occupany
component. In the crystal, mol-ecules are packed into chains running along [101]
through N-H?O(S) hydrogen bonds.
PMID- 22091082
TI - 6-Allyl-8-meth-oxy-3-phenyl-3,4-dihydro-2H-benzo[e][1,3]oxazine.
AB - In the title compound, C(18)H(19)NO(2), the allyl group is disordered over two
sets of sites [occupancy ratio 0.662 (4):0.338 (4)]. The dihedral angle between
the phenyl and benzene rings is 87.44 (10) degrees . The oxazinane ring adopts a
sofa conformation.
PMID- 22091083
TI - 2-Amino-3-carb-oxy-pyridinium nitrate.
AB - In the crystal structure of the title compound, C(6)H(7)N(2)O(2) (+).NO(3) (-),
the cations are linked via C-H?O hydrogen bonds, forming infinite chains running
along the b axis. These chains are further linked through N-H?O, O-H?O and C-H?O
hydrogen bonds to the nitrate anions, forming well-separated infinite planar
layers parallel to (001).
PMID- 22091084
TI - 3,3'-Dichloro-biphenyl-4,4'-diaminium sulfate.
AB - In the title compound, C(12)H(12)Cl(2)N(2) (2+).SO(4) (2-), the two rings are not
coplanar [dihedral angle = 48.7 (2) degrees ]. In the crystal, multiple N-H?O
hydrogen-bond inter-actions are found between the ammonium and sulfate groups.
PMID- 22091085
TI - (4R,11R)-9-(1-hydroxypropan-2-yl)-4,11-diphenyl-1,3,5,7,9-pentaazatri
cyclo[5.3.1.0]undecane-2,6-dithione.
AB - The asymmetric unit of the title compound, C(21)H(23)N(5)OS(2), contains two
independent chiral mol-ecules. The two phenyl rings of one mol-ecule form a
dihedral angle of 51.95 (7) degrees and the distance between their centroids is
4.345 (1) A. In the other mol-ecule, the phenyl rings form a dihedral angle of
58.79 (8) degrees with a ring centroid-centroid distance of 4.435 (2) A. An
intra-molecular O-H?N hydrogen bond occurs in each independent mol-ecule. The
crystal packing is stabilized by and inter-molecular N-H?O and N-H?S hydrogen
bonds and C-H?S inter-actions.
PMID- 22091086
TI - tert-Butyl 1-hy-droxy-piperidine-2-carboxyl-ate.
AB - The title compound, C(10)H(19)NO(3), is a disubstituted piperidine bearing
substituents in two equatorial positions. One of the substituents is a hy-droxy
group bound to nitro-gen and the second a tert-butyl ester group bound to the
carbon next to the endocyclic nitro-gen. Enanti-omers of the title compound form
hydrogen-bridged dimers across a center of inversion.
PMID- 22091087
TI - 1-Benzyl-3-[3-(naphthalen-2-yl-oxy)prop-yl]imidazolium hexa-fluoro-phosphate.
AB - In the title salt, C(23)H(23)N(2)O(+).PF(6) (-), the PF(6) (-) anion is highly
disordered (occupancy ratios of 0.35:0.35:0.3, 0.7:0.15:0.15, 0.7:0.3 and
0.35:0.35:0.15:0.15) with the four F atoms in the equatorial plane rotating about
the axial F-P-F bond. The mean plane of the imidazole ring makes dihedral angles
of 82.44 (17) and 14.39 (16) degrees , respectively, with the mean planes of the
benzene ring and the naphthalene ring system. The crystal structure is stabilized
by C-H?F hydrogen bonds. In addition, pi-pi [centroid-centroid distances = 3.7271
(19)-3.8895 (17) A] and C-H?pi inter-actions are observed.
PMID- 22091088
TI - N-(2-Chloro-phen-yl)-2-({5-[4-(methyl-sulfan-yl)benz-yl]-4-phenyl-4H-1,2,4
triazol-3-yl}sulfan-yl)acetamide.
AB - In the title mol-ecule, C(24)H(21)ClN(4)OS(2), the central 1,2,4-triazole ring
forms dihedral angles of 89.05 (9), 86.66 (9) and 82.70 (10) degrees with the
chloro-substituted benzene ring, the methyl-sulfanyl-substituted benzene ring and
the phenyl ring, respectively. In the crystal, mol-ecules are linked into sheets
parallel to (100) by inter-molecular N-H?N and weak C-H?O hydrogen bonds.
PMID- 22091089
TI - (E)-2-(4-Chloro-benzyl-idene)indan-1-one.
AB - In the title compound, C(16)H(11)ClO, the dihedral angle between the almost
planar dihydro-indene ring system (r.m.s. deviation = 0.009 A) and the chloro
benzene ring is 3.51 (14) degrees . In the crystal, mol-ecules are connected by C
H?O and weak C-H?Cl inter-actions, forming infinite layers parallel to (101).
PMID- 22091090
TI - (Z)-3alpha-(1,3-Dioxoisoindolin-2-yl)-17(20)-pregnene.
AB - The title compound, C(29)H(37)NO(2), crystallized with two independent mol-ecules
in an asymmetric unit in which the conformation of the cyclo-hexyl ring of the
pregnene moiety bonded to the 3alpha-(1,3-dioxoisoindolin-2-yl)- ring system
differs: in one mol-ecule it is in a chair conformation, while in the other it
exhibits a half-chair conformation. The other six-membered rings in the pregnene
moiety are in chair conformations and the five-membered rings are in envelope
forms in both mol-ecules. In both mol-ecules, the 3alpha-(1,3-dioxoisoindolin-2
yl)- ring systems are individually approximately planar, with r.m.s. devtaions
0.0148 and 0.0264 A. The structure is consolidated by inter-molecular C-H?O
hydrogen-bonding inter-actions involving the carbonyl O atoms and methyl, methyl
ene and methyl-idyne groups, resulting in a two-dimensional structure.
PMID- 22091091
TI - 1,3-Bis(pyridin-2-yl)-1H-benzimidazol-3-ium tetra-fluoridoborate.
AB - The asymmetric unit of the title compound, C(17)H(13)N(4) (+).BF(4) (-), contains
one half of the benzimidazolium cation and one half of the tetra-fluoridoborate
anion, with crystallographic mirror planes bis-ecting the mol-ecules. One F atom
of the tetra-fluoridoborate is equally disordered about a crystallographic mirror
plane. In the crystal, C-H?F inter-actions link the cations and anions into
layers parallel to (100). The crystal packing is further stabilized by F?pi
contacts involving the tetra-fluoridoborate anions and the five-membered rings
[F?centroid = 2.811 (2) A].
PMID- 22091092
TI - 2,4-Bis[(3-allyl-imidazolium-1-yl)meth-yl]mesitylene bis-(hexa
fluoridophosphate).
AB - In the title mol-ecular salt, C(23)H(30)N(4) (2+).2PF(6) (-), the central benzene
ring of the cation makes dihedral angles of 89.80 (8) and 85.23 (7) degrees with
the pendant imidazole rings. In the crystal, the cations and anions are linked by
numerous C-H?F hydrogen bonds, thereby forming a three-dimensional network.
PMID- 22091093
TI - 4-Methyl-1-[4-(methyl-sulfan-yl)benzyl-idene]thio-semicarbazide.
AB - The title compound, C(10)H(13)N(3)S(2), is roughly planar (r.m.s. deviation =
0.086 A). In the crystal, N-H?S hydrogen bonds link the mol-ecules into (001)
sheets.
PMID- 22091094
TI - 4-tert-Butyl-2-(4-tert-butyl-pyridin-2-yl)pyridinium nitrate.
AB - In the title compound, C(18)H(25)N(2) (+).NO(3) (-), the dihedral angle between
the pyridine rings is 19.06 (10) degrees . In the crystal, the ions are linked
into a three-dimensional network by N-H?O and C-H?O hydrogen-bonding inter
actions.
PMID- 22091095
TI - 2-(4-Chloro-phen-yl)-5-(cyclo-hex-1-en-1-yl)-3-(4-methyl-phenyl-sulfon-yl)-1
phenyl-imidazolidin-4-one.
AB - In the title compound, C(28)H(27)ClN(2)O(3)S, the central imidazolidine ring
adopts an envelope conformation with the C atom bearing the chloro-phenyl ring at
the flap. The geometry around the S atom is distorted tetra-hedral. Three methyl
ene groups of the cyclo-hexene ring are disordered over two sets of sites [site
occupancies = 0.562 (10) and 0.438 (10)]. The crystal packing is stabilized by C
H?pi inter-actions.
PMID- 22091096
TI - 2-(4-Iodo-phen-yl)-1,2,3,4-tetra-hydro-isoquinoline-1-carbonitrile.
AB - In the title compound, C(16)H(13)IN(2), the benzene ring of the tetra-hydro
isoquinoline moiety makes a dihedral angle of 45.02 (9) degrees with the benzene
ring of the 4-iodo-phenyl fragment. The N atom and the adjacent unsubstituted C
atom of the tetra-hydro-isoquinoline unit are displaced by 0.294 (2) and 0.441
(3) A, respectively, from the plane through the remaining eight C atoms. In the
crystal, pairs of adjacent mol-ecules are linked into dimers by weak inter
molecular C-H?pi inter-actions.
PMID- 22091097
TI - 5-Amino-1H-1,2,4-triazol-4-ium-3-carboxyl-ate hemihydrate.
AB - The asymmetric unit of the title compound, C(3)H(4)N(4)O(2).0.5H(2)O, comprises
two whole mol-ecules of 5-amino-1H-1,2,4-triazole-3-carb-oxy-lic acid in its
zwitterionic form (proton transfer occurs from the carb-oxy-lic acid group to the
N hetero-atom at position 1), plus one water mol-ecule of crystallization. The
organic moieties are disposed into supra-molecular layers linked by N-H?O and N
H?N hydrogen bonds parallel to the bc plane. Additional O-H?O and N-H?O hydrogen
bonds involving the water mol-ecules and the organic mol-ecules lead to the
formation of double-deck supra-molecular arrangements which are inter-connected
along the a axis via pi-pi stacking [centroid-centroid distance = 3.507 (3) A].
PMID- 22091098
TI - 4-Phenyl-1-(prop-2-yn-1-yl)-1H-1,5-benzodiazepin-2(3H)-one.
AB - 4-Phenyl-1H-1,5-benzodiazepin-2(3H)-one reacts in the pres-ence of a concentrated
aqueous solution of sodium hydroxide and a quaternary ammonium salt (as catalyst)
in benzene (phase transfer catalysis) with propargyl bromide, affording the title
benzodiazepine derivative, C(18)H(14)N(2)O. In the mol-ecule, the mean plane of
the propargyl substituent is almost perpendicular with that of the amide group
[dihedral angle = 87.81 (8) degrees ]. In the crystal, the molecules are linked
by C-H?O and C-H?N inter-actions.
PMID- 22091099
TI - N-(3-Chloro-phen-yl)-N'-(3-methyl-phen-yl)succinamide.
AB - The asymmetric unit of the title compound, C(17)H(17)ClN(2)O(2), contains one
half-mol-ecule with a center of inversion at the mid-point of the central C-C
bond. The amide N-H group is anti to the meta-chloro/methyl groups in the
adjacent benzene rings. The dihedral angle between the benzene ring and the NH
C(O)-CH(2) segment is 43.5 (1) degrees . In the crystal, inter-molecular N-H?O
hydrogen bonds link the mol-ecules into chains along the a axis. The methyl group
and the Cl atom occupy the same position and were treated in a disorder model
with site-occupation factors of 0.5 each.
PMID- 22091100
TI - 6-Bromo-4-hydrazinyl-idene-1-methyl-3H-2lambda,1-benzothia-zine-2,2-dione.
AB - In the title mol-ecule, C(9)H(10)BrN(3)O(2)S, the thia-zine ring has an envelope
conformation with the S atom at the flap. The geometry around the S atom is
distorted tetra-hedral. In the crystal, inversion dimers linked by pairs of N-H?N
hydrogen bonds occur, generating R(2) (2)(6) ring motifs. N-H?O hydrogen bonds
and C-H?O inter-actions connect the dimers, forming a three-dimentional network
structure.
PMID- 22091101
TI - 1-(2-Hy-droxy-eth-yl)-4-[3-(2-trifluoro-methyl-9H-thioxanthen-9-yl-idene)prop
yl]piperazine-1,4-diium dichloride: the dihydro-chloride salt of flupentixol.
AB - In the title compound, C(23)H(27)F(3)N(2)OS(+).2Cl(-), the piperazinediium ring
adopts a chair conformation. The dihedral angle between the two outer aromatic
rings of the 9H-thioxanthene unit is 40.35 (18) degrees . The F atoms in the
trifluoro-methyl group are disordered over two sets of sites with occupancies of
0.803 (6) and 0.197 (6). In the crystal, mol-ecules are connected by N-H?Cl, O
H?Cl C-H?O and C-H?Cl hydrogen bonds, forming chains propagating along [001].
There are also C-H?pi inter-actions present in the crystal structure.
PMID- 22091102
TI - Diethyl 2,6,11-trioxo-2,3-dihydro-1H-anthra[1,2-d]imidazole-1,3-diacetate.
AB - The title compound, C(23)H(20)N(2)O(7), consists of three fused six-membered
rings (A, B and C) and one five-membered ring (D), linked to two ethyl acetate
groups. The four fused rings are slightly folded around the O=C?C=O direction of
the anthraquinone system, with a dihedral angle of 3.07 (8) degrees between the
fused five- and six-membered rings (C and D) and the terminal ring (A). The
planes through the atoms forming each acetate group are nearly perpendicular to
the mean plane of the anthra[1,2-d]imidazole system, as indicated by the dihedral
angles between them of 79.94 (9) and 85.90 (9) degrees . The crystal packing
displays non-classical C-H?O hydrogen bonds.
PMID- 22091103
TI - Bis(benzimidazol-1-yl)methane dihydrate.
AB - The bis-(benzimidazol-1-yl)methane mol-ecule of the title compound,
C(15)H(12)N(4).2H(2)O, displays a trans conformation with a twofold axis running
through the methylene C atom. Two adjacent water mol-ecules are bonded to this
mol-ecule through O-H?N hydrogen bonds, forming a trimer. Adjacent trimers are
connected together via C-H?O inter-actions, forming a chain running along the b
axis direction. Two such chains are joined together via pi-pi inter-actions
[centroid-centroid distance = 3.556 (2) A], forming double chains, which are
connected via the water mol-ecules through C-H?O associations, forming a sheet
structure. The sheets are stacked on top of each other along the a-axis direction
and connected through O-H?O and C-H?O inter-actions, forming a three-dimensional
ABAB layer network structure.
PMID- 22091104
TI - 3-Benzyl-5-benzyl-idene-2-sulfanylidene-1,3-thia-zolidin-4-one.
AB - In the title mol-ecule, C(17)H(13)NOS(2), the essentially planar thia-zole ring
(r.m.s deviation 0.005 A) forms dihedral angles of 16.85 (8) degrees and 75.02
(8) degrees with the phenyl rings. The dihedral angle between the two phenyl
rings is 61.95 (9) degrees .
PMID- 22091105
TI - 2-(2-Chloro-6,7-dimethyl-quinolin-3-yl)-2,3-dihydro-quinolin-4(1H)-one.
AB - In the title mol-ecule, C(20)H(17)ClN(2)O, the dihedral angle between the mean
plane of the quinoline ring system and the benzene ring of the dihydro
quinolinone moiety is 57.84 (8) degrees . In the crystal, mol-ecules are linked
into centrosymmetric dimers via pairs of inter-molecular N-H?N hydrogen bonds.
These dimers are further stabilized by weak pi-pi stacking inter-actions between
pyridine rings with a centroid-centroid distance of 3.9414 (12) A.
PMID- 22091106
TI - (2E)-1-(5-Chloro-thio-phen-2-yl)-3-(2,4,5-trimeth-oxy-phen-yl)prop-2-en-1-one.
AB - In the title mol-ecule, C(16)H(15)ClO(4)S, the chloro-thio-phene and trimeth-oxy
phenyl rings make a dihedral angle of 31.12 (5) degrees . The C=C double bond
exhibits an E conformation. In the crystal, C-H?O inter-actions generate
bifurcated bonds, linking the mol-ecules into chains along the b axis.
PMID- 22091107
TI - 9-[(Furan-2-ylmeth-yl)amino]-5-(3,4,5-trimeth-oxy-phen-yl)-5,5a,8a,9-tetra-hydro
furo[3',4':6,7]naphtho-[2,3-d][1,3]dioxol-6(8H)-one.
AB - In title compound, C(27)H(27)NO(8), the dihydrofuran-2(3H)-one ring and the six
membered ring fused to it both display envelope conformations. The dihedral angle
between the benzene ring of the benzo[d][1,3]dioxole group and the other benzene
ring is 60.59 (2) degrees . In the crystal, weak inter-molecular C-H?O hydrogen
bonds link the mol-ecules into a three-dimensional network. The furan ring is
disordered over two sets of sites with occupancies of 0.722 (7) and 0.278 (7).
PMID- 22091108
TI - 5-(4-Chloro-benz-yl)-1H-tetra-zole.
AB - In the title compound, C(8)H(7)ClN(4), the phenyl and tetra-zole rings are
inclined at a dihedral angle of 67.52 (6) degrees . In the crystal, mol-ecules
are linked by an N-H?N hydrogen bond into a chain structure along [010]. pi-pi
inter-actions with centroid-centroid distances of 3.526 (1) A between adjacent
tetra-zole rings further link the chains, forming a ribbon structure.
PMID- 22091109
TI - 6-Chloro-N-methyl-N-phenyl-pyrimidine-4,5-diamine.
AB - In the title compound, C(11)H(11)ClN(4), the dihedral angle between the aromatic
rings is 66.47 (8) degrees . In the crystal, mol-ecules are linked by N-H?N
hydrogen bonds, generating C(5) chains propagating in [010]. Slipped aromatic pi
pi stacking between centrosymmetrically related pairs of pyrim-idine rings also
occurs [centroid-centroid separation = 3.7634 (12)A and slippage = 1.715 A].
PMID- 22091110
TI - 2,4,5-Tris(biphenyl-2-yl)-1-bromo-benzene.
AB - In the title compound, C(42)H(29)Br, the dihedral angles between the central
benzene ring and the three attached benzene rings are very similar, lying in the
range 52.65 (6)-57.20 (7) degrees . Of the dihedral angles between the rings of
the o-biphenyl substituents, two are similar [46.34 (7) and 47.35 (7) degrees ],
while the other differs significantly [64.17 (7) degrees ]. In the crystal, mol
ecules are linked into centrosymmetric dimers by two weak C-H?pi inter-actions.
PMID- 22091111
TI - 6-Bromo-1-methyl-4-[2-(4-methyl-benzyl-idene)hydrazinyl-idene]-3H-2lambda,1
benzothia-zine-2,2-dione.
AB - In the title compound, C(17)H(16)BrN(3)O(2)S, the two fused rings are twisted by
a dihedral angle of 6.61 (15) degrees . The thia-zine ring adopts a sofa
conformation. The toluene ring is oriented at dihedral angles of 15.5 (2) and
20.6 (2) degrees with respect to the bromo-benzene and thia-zine rings,
respectively. The benzyl-idene system is approximately planar [r.m.s. deviation =
0.0388 A]. In the cyrstal, weak inter-molecular C-H?O hydrogen bonds connects the
mol-ecules into a chain along the b axis.
PMID- 22091112
TI - N-(2,5-Dichloro-phen-yl)succinamic acid.
AB - In the title compound, C(10)H(9)Cl(2)NO(3), the conformation of the N-H bond in
the amide segment is syn with respect to the ortho-Cl atom and anti to the meta
Cl atom of the benzene ring. In the crystal, inter-molecular O-H?O and N-H?O
hydrogen bonds pack the mol-ecules into two types of chains along the a and b
axes, respectively, leading to an overall sheet structure. The acid group in the
side chain is disordered and was refined using a split model with site-occupation
factors of 0.60:0.40.
PMID- 22091113
TI - 1-[3-(Hy-droxy-meth-yl)phen-yl]-3-phenyl-urea.
AB - In the title compound, C(14)H(14)N(2)O(2), the dihedral angle between the benzene
rings is 23.6 (1) degrees . The H atoms of the urea NH groups are positioned syn
to each other. In the crystal, inter-molecular N-H?O and O-H?O hydrogen bonds
link the mol-ecules into a three-dimensional network.
PMID- 22091114
TI - [2,7-Dimeth-oxy-8-(2-naphtho-yl)naphthalen-1-yl](naphthalen-2-yl)methanone.
AB - The mol-ecule of the title compound, C(34)H(24)O(4), possesses
crystallographically imposed twofold C(2) symmetry. The two 2-naphthoyl groups at
the 1- and 8-positions of the central naphthalene ring are aligned almost anti
parallel [5.21 (5) degrees ]. The dihedral angle between the central 2,7-dimeth
oxy-naphthalene unit and the terminal naphthyl groups is 75.13 (4) degrees . In
the crystal, weak C-H?O hydrogen bonds and pi-pi stacking inter-actions [centroid
centroid and inter-planar distances are 3.6486 (8) and 3.3734 (5) A,
respectively] are observed.
PMID- 22091115
TI - 2,3-Bis(ethyl-sulfan-yl)-1,4,5,8-tetra-thia-fulvalene-6,7-dicarbonitrile.
AB - In the title compound, C(12)H(10)N(2)S(6), all non-H atoms, except for those in
the ethyl groups, lie in the same non-crystallographic plane, with a r.m.s.
deviation of 0.0366 (5) A. In the crystal structure, mol-ecules are linked
through weak C-H?N hydrogen bonds between methyl and cyano groups, forming
centrosymmetric dimers. The dimers are arranged along the a axis, due to inter
molecular N?S [3.337 (4) A] inter-actions.
PMID- 22091116
TI - Dimethyl 3,5-diethyl-1H-pyrrole-2,4-dicarboxyl-ate.
AB - The title pyrrole derivative, C(12)H(17)NO(4), consists of a pyrrole ring with
two diagonally attached meth-oxy-carbonyl groups and two diagonally attached
ethyl groups. The two carbonyl groups are approximately in the same plane as the
pyrrole ring, making dihedral angles of 3.50 (19) and 6.70 (19) degrees . In the
crystal, adjacent mol-ecules are assembled into dimers in a head-to-head mode by
pairs of inter-molecular N-H?O hydrogen bonds.
PMID- 22091117
TI - 3-(Diphenyl-methyl-idene)indolin-2-one.
AB - The title mol-ecule, C(21)H(15)NO, has an indoline-2-one and two benzene
substituent groups which are arranged in a propeller-like fashion around the
central C atom. The dihedral angle between the two benzene rings is 73.32 (16)
degrees and those between the benzene rings and the indoline-2-one group are
76.54 (14) and 67.69 (14) degrees . In the crystal, there is an inter-molecular N
H?O hydrogen-bonding inter-action, which links the mol-ecules into chains
extending along c.
PMID- 22091118
TI - 2,4-Dibromo-6-[(hydroxyimino)methyl]phenol.
AB - In the title compound, C(7)H(5)Br(4)NO(2), intra-molecular O-H?N hydrogen bonds
are observed. In the crystal structure, inter-molecular O-H?O hydrogen bonds link
the mol-ecules into dimers.
PMID- 22091119
TI - N-Benzyl-4-methyl-N-(4-methyl-phen-yl)benzene-sulfonamide.
AB - In the title mol-ecule, C(21)H(21)NO(2)S, the phenyl ring makes the dihedral
angles of 74.13 (11) and 80.16 (11) degrees with the two benzene rings, which
are inclined at an angle of 43.73 (10) degrees with respect to each other. In
the crystal, mol-ecules are linked by inter-molecular C-H?O hydrogen bonds along
the [010] direction. In addition, a weak C-H?pi (arene) inter-action is observed.
PMID- 22091120
TI - N,N'-Bis(4-chloro-phenyl-sulfon-yl)suberamide.
AB - The asymmetric unit of the title compound, C(20)H(22)Cl(2)N(2)O(6)S(2), contains
one half-mol-ecule with a center of symmetry at the mid-point of the central C-C
bond. The conformations of all the N-H, C=O and C-H bonds in the central amide
and aliphatic segments are anti to their adjacent bonds. The mol-ecule is bent at
the S atom with a C-SO(2)-NH-C(O) torsion angle of -80.6 (4) degrees . The
dihedral angle between the benzene ring and the SO(2)-NH-C(O)-CH(2)-CH(2)-CH(2)
segment is 79.5 (2) degrees . In the crystal, inter-molecular N-H?O(C) and N
H?O(S) hydrogen bonds link the mol-ecules into chains along the b axis.
PMID- 22091121
TI - 4-Chloro-N-(3,5-dimethyl-phen-yl)benzene-sulfonamide.
AB - The asymmetric unit of the title compound, C(14)H(14)ClNO(2)S, contains two
independent mol-ecules, which are twisted at the S atoms with C-SO(2)-NH-C
torsion angles of -69.4 (7) degrees and 66.0 (8) degrees . The sulfonyl and the
anilino benzene rings are tilted relative to each other by 49.0 (4) and 61.7 (3)
degrees in the two mol-ecules. In the crystal, the mol-ecules are linked into
chains by N-H?O hydrogen bonds.
PMID- 22091122
TI - 4-Methyl-N-[(Z)-3-(4-methyl-phen-ylsulfon-yl)-1,3-thia-zolidin-2-yl-idene]benzene
sulfonamide.
AB - In the crystal structure of the title compound, C(17)H(18)N(2)O(4)S(3), mol
ecules are connected into centrosymmetric dimers via weak inter-molecular C-H?pi
inter-actions. These dimers are further connected through a series of weak C-H?O
hydrogen bonds, while futher C-H?pi inter-actions involving the phenyl and thia
zoline rings are also observed. The thia-zolidine ring is twisted from the
benzene rings rings by dihedral angles of 79.1 (1) and 85.0 (1) degrees , while
the dihedral angle between two benzene rings is 76.0 (1) degrees .
PMID- 22091123
TI - 2-Chloro-methyl-1-methyl-1,3-benzimidazole.
AB - The title compound, C(9)H(9)ClN(2), was prepared from the reaction of N-methyl
benzene-1,2-diamine and 2-chloro-acetic acid in boiling 6 M hydro-chloric acid.
The benzimidazole unit is approximately planar, the largest deviation from the
mean plane being 0.008 (1) A. The Cl atom is displaced by 1.667 (2) A from this
plane. The methyl group is statistically disordered with equal occupancy.
PMID- 22091124
TI - Benzyl 3-[(E)-1-(pyrazin-2-yl)ethyl-idene]dithio-carbazate.
AB - The title compound, C(14)H(14)N(4)S(2), was obtained from a condensation reaction
of benzyl dithio-carbazate and acetyl-pyrazine. The asymmetric unit contains two
independent mol-ecules, in each of which the pyrazine ring and dithio-carbazate
unit are approximately co-planar, the r.m.s. deviations being 0.0304 and 0.0418
A. The mean plane is oriented with respect to the benzene ring at 49.22 (4)
degrees in one mol-ecule and at 69.76 (7) degrees in the other. In the crystal,
the mol-ecules are linked to each other via inter-molecular N-H?S hydrogen bonds,
forming centrosymmetric supra-molecular dimers.
PMID- 22091125
TI - Ethyl 2-amino-4-phenyl-4H-1-benzo-thieno[3,2-b]pyran-3-carboxyl-ate.
AB - The title heterocyclic compound, C(20)H(17)NO(3)S, was synthesized by
condensation of ethyl cyano-acetate with (Z)-2-benzyl-idenebenzo[b]thio-phen
3(2H)-one in the presence of a basic catalyst in ethanol. The phenyl and ester
groups make dihedral angles of 77.67 (6) and 8.52 (6) degrees , respectively,
with the benzothienopyran ring system [maximum r.m.s. deviation = 0.1177 (13) A].
In the crystal, centrosymmetric dimers are formed through pairs of N-H?O hydrogen
bonds between the amine and ester groups. Inter-molecular C-H?N hydrogen bonds
and C-H?pi inter-actions involving the thio-phene ring are also observed.
PMID- 22091126
TI - Benzyl 3-[(E)-2-nitro-benzyl-idene]dithio-carbazate.
AB - The title compound, C(15)H(13)N(3)O(2)S(2), was obtained from a condensation
reaction of benzyl dithio-carbazate and 2-nitro-benzaldehyde. In the mol-ecule,
the nearly planar dithio-carbazate fragment [r.m.s deviation = 0.0264 A] is
oriented at dihedral angles of 7.25 (17) and 74.09 (9) degrees with respect to
the two benzene rings. The nitro group is twisted by a dihedral angle of 22.4 (7)
degrees to the attached benzene ring. The nitro-benzene ring and dithio
carbazate fragment are located on the opposite sides of the C=N bond, showing an
E configuration. In the crystal, mol-ecules are linked via inter-molecular N-H?S
hydrogen bonds, forming centrosymmetric supra-molecular dimers. Weak C-H?pi inter
action is also observed in the crystal structure.
PMID- 22091127
TI - 14-Methoxy-2,16-dioxapentacyclo[7.7.5.0.0.0]henicosa-3(8),10,12,14-tetraene-7,20
dione.
AB - The title compound, C(20)H(20)O(5), was synthesized from the reaction between 3
methoxysalicaldehyde and 1,3-cyclo-hexa-nedione in the presence of palladium(II)
chloride. The two fused xanthene rings and one of the six-membered cyclo-hexane
rings adopt envelope conformations, while the other six-membered cyclo-hexane
ring is in a chair conformation. The mol-ecular packing is stabilized by weak
inter-molecular C-H?O inter-actions.
PMID- 22091128
TI - 4,6-Dimethyl-2-(naphthalen-1-yl)pyrimidine.
AB - The asymmetric unit of the title compound, C(16)H(14)N(2), contains two
independent mol-ecules in which the dihedral angles between the pyrimidine and
naphthaline rings are 38.20 (5) and 39.35 (5) degrees . Inter-molecular C-H?pi
contacts and pi-pi stacking inter-actions [centroid-centroid distances = 3.766
(1) and 3.792 (1) A] are present in the crystal structure.
PMID- 22091129
TI - 4-{[1-(4-Bromo-phen-yl)eth-yl]amino-meth-yl}phenol.
AB - The title compound, C(15)H(16)BrNO, obtained from a two-step reaction, was
prepared for use in transition metal chemistry as a phenolic ligand with bulky
substituents. Inter-molecular N-H?O and O-H?N hydrogen bonds are present in the
crystal structure.
PMID- 22091130
TI - (Acetoxy)(2-methylphenyl)methyl acetate.
AB - In the title compound, C(12)H(14)O(4), the two acet-oxy groups are inclined by
57.92 (5) degrees and 62.71 (6) degrees to the benzene ring. An inter-molecular
C-H?O inter-action involving the two acet-oxy groups generates a centrosymmetric
dimer via an R(2) (2)(16) ring motif.
PMID- 22091131
TI - (3S,12R,20S,24R)-20,24-Ep-oxy-dammarane-3,12,25-triol.
AB - In the title mol-ecule, C(30)H(52)O(4), the three six-membered rings are in chair
conformations, the cyclo-pentane ring is in an envelope form and the tetra-hydro
furan ring has a conformation inter-mediate between half-chair and sofa. In the
crystal, mol-ecules are linked by inter-molecular O-H?O hydrogen bonds into
helical chains along [100]. Two intra-molecular O-H?O hydrogen bonds are also
present. One C atom of the tetrahydrofuran ring and its attached H atoms are
equally disordered over two sets of sites.
PMID- 22091132
TI - (E)-2-[(2,4-Dihy-droxy-benzyl-idene)aza-nium-yl]-3-(1H-indol-3-yl)propano-ate
monohydrate.
AB - In the zwitterionic title compound, C(18)H(16)N(2)O(4).H(2)O, the dihedral angle
between the planes of the benzene and indole rings is 39.20 (8) degrees . An
intra-molecular N-H?O hydrogen bond generates an S(6) ring motif. In the crystal,
inter-molecular hy-droxy and water O-H?O(carboxyl-ate) and N(+)-H?O(carboxyl-ate)
and indole N-H?O(water) hydrogen bonds give a three-dimensional structure.
PMID- 22091133
TI - 4-(4-Chloro-phen-yl)-N-[(E)-4-(dimethyl-amino)-benzyl-idene]-1,3-thia-zol-2
amine.
AB - The title compound, C(18)H(16)ClN(3)S, adopts an extended mol-ecular structure.
The thia-zole ring is inclined by 9.2 (1) and 15.3 (1) degrees with respect to
the chloro-phenyl and 4-(dimethyl-amino)-phenyl rings, respectively, while the
benzene ring planes make an angle of 19.0 (1) degrees . A weak inter-molecular C
H?pi contact is observed in the crystal structure.
PMID- 22091134
TI - 4-Nitro-N-phthalyl-l-tryptophan.
AB - THE CRYSTAL STRUCTURE OF THE TITLE COMPOUND [SYSTEMATIC NAME: (2R)-3-(1H-indol-3
yl)-2-(4-nitro-1,3-dioxoisoindolin-2-yl)propanoic acid], C(19)H(13)N(3)O(6), an
analogue of epigenetic modulator RG108, is constrained by strong hydrogen bonds
between the indole N-H group and a carbonyl O atom of the phthalimide ring of a
symmetry-related mol-ecule, and between the protonated O atom of the carboxyl
group and a carbonyl O atom of the phthalimide ring. pi-pi stacking inter-actions
with centroid-centroid distances of 3.638 (1) and 3.610 (1) A are also observed
between indole and phthalimide rings.
PMID- 22091135
TI - 2-Hy-droxy-N-(2-hy-droxy-eth-yl)benzamide.
AB - In the title compound, C(9)H(11)NO(3), a derivative of salicyl-amide, the intra
cyclic C-C-C angles span the range 117.96 (13)-121.56 (14) degrees . An intra
molecular O-H?O hydro-gen bond occurs. In the crystal, inter-molecular O-H?O and
N-H?O hydrogen bonds occur and C-H?O contacts connect the mol-ecules into a three
dimensional network. The closest inter-centroid distance between two pi-systems
is 3.8809 (10) A.
PMID- 22091136
TI - (3-Amino-phen-yl)methanol.
AB - In the title compound, C(7)H(9)NO, a derivative of benzyl alcohol, the endocyclic
C-C-C angles are in the range 119.50 (12)-121.04 (12) degrees . In the crystal,
mol-ecules are linked by N-H?O hydrogen-bond inter-actions, forming an extended
two-dimensional framework parallel to ab. O-H?N inter-actions are also observed.
PMID- 22091137
TI - {8-[4-(Bromo-meth-yl)benzo-yl]-2,7-dimeth-oxy-naphthalen-1-yl}[4-(bromo-meth
yl)phen-yl]methanone.
AB - In the title compound, C(28)H(22)Br(2)O(4), the two 4-bromo-methyl-benzoyl groups
at the 1- and 8-positions of the naphthalene ring system are aligned almost anti
parallel, the benzene rings forming a dihedral angle of 2.94 (16) degrees . The
dihedral angles between the benzene rings and the naphthalene ring systems are
70.98 (13) and 72.89 (13) degrees . In the crystal, centrosymmetric-ally-related
mol-ecules are linked into dimeric units by inter-molecular C-H?O inter-actions.
PMID- 22091138
TI - [2-(4-Methylbenzoyl)phenyl](4-methylphenyl)methanone.
AB - The asymmetric unit of the title compound, C(22)H(18)O(2), contains one half-mol
ecule, the complete mol-ecule being generated by the operation of a
crystallographic twofold rotation axis. The carbonyl group and the two C atoms
attached to it forms inter-planar angles of 23.67 (7) degrees with the methyl
substituted phenyl ring and 50.74 (8) degrees with the central ring. In the
crystal, mol-ecules are linked into infinite chains along the b-axis direction by
inter-molecular C-H?O inter-actions, generating R(2) (2)(10) graph-set motifs.
PMID- 22091139
TI - Methyl 3-(1H-indol-3-yl)propano-ate.
AB - The mol-ecule of the title compound, C(12)H(13)NO(2), adopts an essentially
planar conformation (r.m.s. deviation = 0.057 A). In the crystal, the mol-ecules
are linked by inter-molecular N-H?O hydrogen bonds, generating chains along
[201].
PMID- 22091141
TI - N-(2,6-Dichloro-phen-yl)-4-methyl-benzamide.
AB - In the title compound, C(14)H(11)Cl(2)NO, the two aromatic rings are nearly
orthogonal to each other [dihedral angle 79.7 (1) degrees ], while the central
amide core -NH-C(=O)- is nearly coplanar with the benzoyl ring [N-C-C-C torsion
angles = -5.5 (3) and 1772. (2) degrees ]. In the crystal, inter-molecular N-H?O
hydrogen bonds link the mol-ecules into C(4) chains propagating in [001].
PMID- 22091140
TI - 21-Hy-droxy-pregna-1,4-diene-3,20-dione.
AB - The title compound, C(21)H(28)O(3), is a fungal transformed metabolite of
decoxycorticosterone acetate, consisting of four fused rings A, B, C and D. Ring
A is nearly planar, with a maximum deviation of 0.010 (3) A from the least
squares plane, while the trans-fused rings B and C adopt chair conformations. The
five-membered ring D is in an envelope conformation. The orientation of the side
chain is stabilized by an intramolecular O-H?O hydrogen bond. In the crystal,
adjecent mol-ecules are linked by C-H?O hydrogen bonds into extended zigzag
chains along the a axis.
PMID- 22091142
TI - A triclinic polymorph of 3-nitro-anilinium chloride.
AB - The asymmetric unit of the title compound, C(6)H(7)N(2)O(2) (+).Cl(-), contains
two independent ion pairs. A monoclinic form of the title compound with only one
ion pair in the asymmetric unit has been reported previously [Ploug-Sorensen &
Andersen (1986). Acta Cryst. C42, 1813-1815]. In the crystal of the title
compound, the components are linked into layers parallel to (001) by inter
molecular N-H?Cl hydrogen bonds, with alternating hydro-philic and hydro-phobic
regions.
PMID- 22091143
TI - 2-[(4-Chloro-anilino)meth-yl]phenol.
AB - In the title compound, C(13)H(12)ClNO, the dihedral angle between the two benzene
ring planes is 68.71 (8) degrees . In the crystal, mol-ecules are linked by pairs
of O-H?N hydrogen bonds into inversion dimers, which are further linked by
intermolecular N-H?O interactions into a chain running parallel to the a axis.
PMID- 22091144
TI - (E)-1-(4-Meth-oxy-phen-yl)-3-(3,4,5-trimeth-oxy-phen-yl)prop-2-en-1-one.
AB - The title compound, C(19)H(20)O(5), was synthesized by reaction of 4-meth-oxy
acetophenone and 3,4,5-trimeth-oxy-benzaldehyde. The aromatic rings form a
dihedral angle of 36.39 (7) degrees . Two intramolecular C-H?O hydrogen bonds
occur. The crystal packing features weak C-H?O inter-actions.
PMID- 22091145
TI - (1R,2R,3R,4S,5S)-3-Methyl-8-oxa-bicyclo-[3.2.1]oct-6-ene-2,4-diyl diacetate.
AB - The mol-ecule of the title compound, C(12)H(16)O(5), has crystallographically
imposed mirror symmetry with the mirror plane passing through the endocyclic O
atom and the mid-point of the double bond. In the crystal, mol-ecules are linked
by C-H?O hydrogen bonds, forming chains running along the a axis.
PMID- 22091146
TI - 3-Ethyl-4-methyl-1H-pyrazol-2-ium-5-olate.
AB - The title compound, C(6)H(10)N(2)O, is a zwitterionic pyrazole derivative. The
crystal packing is predominantly governed by a three-center iminium-amine N(+)
H?O(-)?H-N inter-action, leading to an undulating sheet-like structure lying
parallel to (100).
PMID- 22091147
TI - 3,8-Dimethyl-acenaphthyl-ene-1,2-dione.
AB - In the title compound, C(14)H(10)O(2), the acenaphthene-quinone core is
essentially planar, with an r.m.s. deviation of 0.0140 A. In the crystal, mol
ecules are connected by pi-pi stacking inter-actions [centroid-centroid distances
= 3.766 (3), 3.839 (3) and 3.857 (3) A], forming columns parallel to the a axis.
PMID- 22091148
TI - 4,4'-Dichloro-3,3',5,5'-tetra-methyl-2,2'-[(3aR,7aR/3aS,7aS)-2,3,3a,4,5,6,7,7a
octa-hydro-1H-1,3-benzimidazole-1,3-di-yl)bis-(methyl-ene)]diphenol.
AB - In the title compound, C(25)H(32)Cl(2)N(2)O(2), there are two intra-molecular O
H? N hydrogen-bonding inter-actions between the hy-droxy groups on the aromatic
rings and the two N atoms of the heterocyclic group. The cyclo-hexane ring adopts
a chair conformation and the imidazolidine unit to which it is fused has a
twisted envelope conformation. The asymmetric unit comprises one half-mol-ecule
which is completed by a twofold rotation axis. A C-H?O inter-action is observed
in the crystal structure.
PMID- 22091149
TI - 1,5-Bis[1-(4-meth-oxy-phen-yl)ethyl-idene]thio-carbonohydrazide.
AB - In the title mol-ecule, C(19)H(22)N(4)O(2)S, the two benzene rings form a
dihedral angle of 9.16 (13) degrees . In the crystal, pairs of weak inter
molecular C-H?S hydrogen bonds link the mol-ecules into centrosymmetric dimers,
which are further linked through weak C-H?O inter-actions into sheets parallel to
(012).
PMID- 22091150
TI - 1,5-Bis(4-meth-oxy-benzyl-idene)thio-carbonohydrazide methanol monosolvate.
AB - In the title compound, C(17)H(18)N(4)O(2)S.CH(3)OH, the two benzene rings in the
thio-carbonohydrazide mol-ecule form a dihedral angle of 22.42 (18) degrees .
Pairs of N-H?S hydrogen bonds link thio-carbonohydrazide mol-ecules into
centrosymmetric dimers. Methanol solvent mol-ecules serve as donors (O-H?S and O
H?N) and acceptors (N-H?O and C-H?O) of weak inter-molecular hydrogen bonds,
which link further these dimers into double ribbons along the b axis.
PMID- 22091151
TI - 2-[4-(4-Methylphenylsulfonyl)piperazin-1-yl]-1-(4,5,6,7-tetrahydrothieno[3,2
c]pyridin-5-yl)ethanone.
AB - In the title thienopyridine derivative, C(20)H(25)N(3)O(3)S(2), the piperazine
ring exhibits a chair conformation and the tetra-hydro-pyridine ring exhibits a
half-chair conformation. The folded conformation of the mol-ecule is defined by
the N-C-C-N torsion angle of -70.20 (2) degrees . Inter-molecular C-H?S and C
H?O hydrogen bonds help to establish the packing.
PMID- 22091152
TI - (E)-Ethyl 2-cyano-3-(1H-pyrrol-2-yl)acrylate.
AB - All the non-H atoms of the title compound, C(10)H(10)N(2)O(2), are nearly in the
same plane with a maximum deviation of 0.093 (1) A. In the crystal, adjacent mol
ecules are linked by pairs of inter-molecular N-H?O hydrogen bonds, generating
inversion dimers with R(2) (2)(14) ring motifs.
PMID- 22091153
TI - 1,3-Dibenzyl-5-chloro-1H-benzimidazol-2(3H)-one.
AB - In both independent mol-ecules of the title compound, C(21)H(17)ClN(2)O, the
aromatic rings of the benzyl substituents are located on opposite sides of the
benzimidazole ring systems. In one mol-ecule, the rings are aligned at 77.0 (1)
and 78.1 (1) degrees with respect to the fused-ring system, whereas in the other
mol-ecule the rings are aligned at 76.0 (1) and 76.9 (1) degrees . There is an
inter-molecular Cl?O contact of 3.086 (1) A.
PMID- 22091154
TI - 1,3-Bis[3-(1,3-dioxoisoindolin-2-yl)prop-yl]-1H-anthra[1,2-d]imidazole-2,6,11(3H)
trione.
AB - The title compound, C(37)H(26)N(4)O(7), is a 1H-anthra[2,1-d]imidazole-2,6,11(3H)
trione derivative having isoindolindionylpropyl substitutents attached to the
imidazole N atoms. The anthraquinone fragment is buckled, the dihedral angle
between the two benzene rings being 1.6 (1) degrees . The two isoindoline rings
of the substituents of the imidazole ring are positioned on opposite sides of the
five-membered ring; these are nearly mutually perpendicular [dihedral angle
between isoindoline rings = 88.3 (1) degrees ].
PMID- 22091155
TI - 1,3-Bis(naphthalen-2-ylmeth-yl)-1H-anthra[1,2-d]imidazole-2,6,11(3H)-trione.
AB - The title compound, C(37)H(24)N(2)O(3), is a 1H-anthra[2,1-d]imidazole-2,6,11(3H)
trione derivative having naphthyl-methyl substitutents attached to the imidazole
N atoms. The anthraquinone part of the mol-ecule is somewhat folded along the the
line connecting the carbonyl bonds. The dihedral angle between the two benzene
rings is 7.8 (1) degrees . The two naphthyl systems of the substituents of the
imidazole ring are positioned on the same side of the five-membered ring; these
are approximately coplanar, the dihedral angle between the napthyl systems being
4.3 (2) degrees .
PMID- 22091156
TI - (E)-N'-[4-(Dimethyl-amino)-benzyl-idene]-4-methyl-benzohydrazide methanol
monosolvate.
AB - In the title compound, C(17)H(19)N(3)O.CH(3)OH, the hydrazone mol-ecule exists in
a trans geometry with respect to the methyl-idene unit and the dihedral angle
between the two substituted benzene rings is 42.6 (2) degrees . In the crystal,
the components are linked through N-H?O and O-H?O hydrogen bonds, forming [100]
chains of alternating hydrazone and methanol mol-ecules.
PMID- 22091157
TI - N-[Amino-(azido)-meth-ylidene]-4-methyl-benzene-sulfonamide.
AB - In the title mol-ecule, C(8)H(10)N(5)O(2)S, the amino-(azido)-methyl and p
toluene-sulfonyl moieties are inclined almost at right angles with respect to
each other, making a dihedral angle of 83.49 (6) degrees . An intra-molecular N
H?O hydrogen bond gives rise to the formation of six-membered ring with graph-set
motif S(6). In the crystal, inter-molecular N-H?O hydrogen bonding is responsible
for the formation of dimers about inversion centers, which are linked through
another N-H?O inter-action along the b axis.
PMID- 22091158
TI - 5-Chloro-6-hy-droxy-7,8-dimethyl-chroman-2-one.
AB - In the title mol-ecule, C(11)H(11)ClO(3), the fused pyran ring adopts a half
chair conformation. In the crystal, inter-molecular O-H?O hydrogen bonds link mol
ecules into chains along [100]. These chains are inter-connected by weak inter
molecular C-H?O contacts which generate R(2) (2)(8) ring motifs, forming sheets
parallel to (001). Tetra-gonal symmetry generates an equivalent motif along b.
Furthermore, the sheets are linked along the c axis by offset pi-pi stacking
inter-actions involving the benzene rings of adjacent mol-ecules [with centroid
centroid distances of 3.839 (2) A], together with an additional weak C-H?O
hydrogen bond, resulting in an overall three-dimensional network.
PMID- 22091159
TI - N-tert-Butyl-2-methyl-propanamide.
AB - The title compound, C(8)H(17)NO, crystallizes with two independent mol-ecules in
the asymmetric unit. In the crystal, inter-molecular N-H?O hydrogen bonding is
observed between neighboring mol-ecules, forming continuous mol-ecular chains
along the c-axis direction.
PMID- 22091160
TI - 2,2'-(Propane-1,3-di-yl)bis-(2H-indazole).
AB - The title mol-ecule, C(17)H(16)N(4), is a bis-indazole crystallized in the rare
2H-tautomeric form. Indazole heterocycles are connected by a propane C(3) chain,
and the mol-ecule is placed on a general position, in contrast to the analogous
compound with a central C(2) ethane bridge, which was previously found to be
placed on an inversion center in the same space group. In the title mol-ecule,
indazole rings make a dihedral angle of 60.11 (7) degrees , and the bridging
alkyl chain displays a trans conformation, resulting in a W-shaped mol-ecule. In
the crystal, mol-ecules inter-act weakly through pi-pi contacts between inversion
related pyrazole rings, with a centroid-centroid separation of 3.746 (2) A.
PMID- 22091161
TI - (Z)-Ethyl 2-cyano-2-{2-[5,6-dimethyl-4-(thio-phen-2-yl)-1H-pyrazolo-[3,4
b]pyridin-3-yl]hydrazinylidene}acetate.
AB - In the title compound, C(17)H(16)N(6)O(2)S, an intra-molecular N-H?O inter-action
generates an S(6) ring. The pyridine ring makes a dihedral angle of 71.38 (11)
degrees with the thio-phene ring. In the crystal, mol-ecules are linked by a
pair of N-H?N hydrogen bonds, forming an inversion dimer. The dimers are stacked
in columns along the b axis through weak inter-molecular C-H?N hydrogen bonds.
PMID- 22091162
TI - (E)-2-[4-(Trifluoro-meth-oxy)benzyl-idene]indan-1-one.
AB - In the title compound, C(17)H(11)F(3)O(2), the dihydro-indene ring is
approximately planar with a maximum deviation of 0.024 (2) A and makes a dihedral
angle of 3.17 (8) A with the adjacent benzene ring. In the crystal, mol-ecules
are inter-connected by C-H?O inter-actions, forming an infinite chain along the c
axis.
PMID- 22091163
TI - (1,2-Dicarba-closo-dodeca-boran-yl)trimethyl-methanaminium iodide.
AB - The title compound, [1-(CH(3))(3)NCH(2)-1,2-C(2)B(10)H(11)](+).I(-) or
C(6)H(22)B(10)N(+).I(-), was obtained by the reaction of (1,2-dicarba-closo
dodeca-boran-yl)dimethyl-methanamine with methyl iodide. The asymmetric unit
contains two iodide anions and two (o-carboran-yl)tetra-methyl-ammonium cations.
The bond lengths and angles in the carborane cage are within normal ranges, but
the N-C(methyl-ene)-C(cage) angle is very large [120.2 (2) degrees ] because of
repulsion between the carborane and tetra-methyl-ammonium units. In the crystal,
ions are linked through C-H?I hydrogen bonds.
PMID- 22091164
TI - N-(2,4,6-Trichloro-phen-yl)maleamic acid.
AB - In the crystal structure of the title compound, C(10)H(6)Cl(3)NO(3), the
conformation of the amide bond is trans. The C=O and O-H bonds of the acid group
are in the relatively rare anti position to each other. This is a consequence of
the intra-molecular O-H?O hydrogen bond donated to the amide carbonyl group
stabilizing the mol-ecular structure. In the crystal, inter-molecular N-H?O
hydrogen bonds link the mol-ecules into zigzag chains along the c axis.
PMID- 22091165
TI - 3-[(1-Isobutyl-1H-imidazo[4,5-c]quinolin-4-yl)amino]-benzoic acid.
AB - In the title compound, C(21)H(20)N(4)O(2), the statistically planar 1H-limidazole
ring [maximum deviation = 0.003 (1) A] makes dihedral angles of 1.33 (9) and 8.23
(7) degrees , respectively, with the essentially planar fused pyridine ring
[maximum devation = 0.018 (1) A] and the pendant benzene ring, which is attached
to the pyridine ring by an -NH- group. An intra-molecular C-H?N inter-action,
which generates an S(6) ring, helps to estalish the mol-ecular conformation. In
the crystal, the mol-ecules are linked by N-H?O, C-H?O and O-H-N hydrogen bonds,
which generate bifurcated R(1) (2)(6) and R(2) (2)(9) ring motifs, resulting in
supra-molecular [001] chains. The crystal structure also features weak pi-pi
stacking [centroid-centroid distance = 3.5943 (9) A] and C-H?pi inter-actions.
PMID- 22091166
TI - (E)-2-[4-(Dimethyl-amino)-styr-yl]-1-methyl-pyridinium triiodide.
AB - The asymmetric unit of the title compound, C(16)H(19)N(2) (+).I(3) (-), contains
a (E)-2-[4-(dimethyl-amino)-styr-yl)-1-methyl-pyrid-in-ium cation and half each
of two triiodide anions. The complete triiodide anions are each generated by
inversion symmetry. The planar cation has all of its eighteen non-H atoms
situated on a mirror plane. In the crystal, the cations are stacked along the b
axis by pi-pi inter-actions with a centroid-centroid distance of 3.5757 (13) A.
The triiodide anions are located between the cations. The crystal structure is
further consolidated by short C?C [3.322 (9)-3.3952 (19) A] contacts.
PMID- 22091167
TI - (R)-Methyl {[(2-carb-oxy-bicyclo-[2.2.2]octan-1-yl)-ammonio]-methyl}-phos-phon
ate dichloro-methane 0.25-solvate.
AB - The carb-oxy-lic acid mol-ecule of the title compound,
C(11)H(20)NO(5)P.0.25CH(2)Cl(2), exists as a zwitterion with the H atom of the
phospho-nate group being transferred to the imine N atom. In the asymmetric unit,
there are two crystallographically independent acid mol-ecules adopting the same
absolute configuration and differing slightly in their geometrical parameters. In
each mol-ecule, the imino and carboxyl groups are connected via an intra
molecular N-H?O hydrogen bond. Inter-molecular O-H?O and N-H?O hydrogen bonds
induce the formation of layers parallel to the ab plane. The dichloro-methane
solvent mol-ecule, with a site occupancy of 0.5, is located between the layers.
PMID- 22091168
TI - Pyridine-2,3-diamine.
AB - The mol-ecule of the title pyridine derivative, C(5)H(7)N(3), shows approximately
non-crystallographic C(s) symmetry. Intra-cyclic angles cover the range 117.50
(14)-123.03 (15) degrees . In the crystal, N-H?N hydrogen bonds connect mol
ecules into a three-dimensional network. The closest inter-centroid distance
between two pi-systems occurs with the c-axis repeat at 3.9064 (12) A.
PMID- 22091169
TI - Benzyl N-(1-{N'-[(E)-2,3-dihy-droxy-benzyl-idene]hydrazinecarbon-yl}-2-hy-droxy
eth-yl)carbamate dihydrate.
AB - The organic mol-ecule in the title dihydrate, C(18)H(19)N(3)O(6).2H(2)O, adopts a
twisted U-shape with the major twists evident about the chiral C atom [the C-N-C
C torsion angle is -88.2 (4) degrees ] and about the oxygen-benzyl bond [C-O-C-C
= 74.2 (4) degrees ]. The conformation about the imine bond [1.290 (4) A] is E
and an intra-molecular O-H?N hydrogen bond helps to establish the near
coplanarity of the hy-droxy-benzene and hydrazine groups. The crystal packing
features O-H?O and N-H?O hydrogen bonds, leading to two-dimensional supra
molecular arrays in the ab plane with weak C-H?pi connections between the arrays.
PMID- 22091170
TI - (2Z)-3-(4-Chloro-anilino)-1-(5-hy-droxy-3-methyl-1-phenyl-1H-pyrazol-4-yl)but-2
en-1-one.
AB - With the exception of the terminal benzene rings, the atoms in the title
compound, C(20)H(18)ClN(3)O(2), are approximately coplanar (r.m.s. deviation =
0.0495 A). The benzene/chloro-benzene rings form dihedral angles of 3.02 (4) and
41.59 (5) degrees , respectively, with this plane. The hy-droxy, amino and
carbonyl groups all lie to the same side of the mol-ecule, enabling the formation
of intra-molecular O-H?O and N-H?O hydrogen bonds that close S(6) rings. The
configuration about the 2-butene bond is Z. Supra-molecular chains mediated by C
H?Cl inter-actions and aligned along the c axis are found in the crystal packing.
These assemble into layers that are connected by weak pi-pi inter-actions between
centrosymmetrically related chloro-benzene rings [3.8156 (9) A].
PMID- 22091171
TI - Resorcinol-triethyl-enediamine (1/1).
AB - The title co-crystal, C(6)H(12)N(2).C(6)H(6)O(2), is composed of neutral
resorcinol and triethyl-enediamine mol-ecules in which the resorcinol mol-ecules
came from the in situ deca-rboxylation of 2,4-dihy-droxy-benzoic acid. In the
crystal, the components are connected by O-H?N hydrogen bonds, forming a chain in
the b-axis direction.
PMID- 22091172
TI - 4,4,5,5-Tetra-methyl-1,3,2lambda-dioxa-phospho-lan-2-one.
AB - The five-membered ring in the title compound, C(6)H(13)O(3)P, exists in an
envelope conformation with one of the ring C atoms at the flap position. The
coordination geometry around the P atom is a distorted tetra-hedron. The crystal
structure is stabilized by several weak C-H?O and P-H?O hydrogen bonds, forming a
three-dimensional network.
PMID- 22091173
TI - N-(4-Chloro-phen-yl)-2,4-dimethyl-benzene-sulfonamide.
AB - Mol-ecules of the title compound, C(14)H(14)ClNO(2)S, are bent at the S atom with
a C-SO(2)-NH-C torsion angle of 57.7 (2) degrees . The benzene rings are rotated
relative to each other by 68.1 (1) degrees . In the crystal, N-H?O(S) hydrogen
bonds pack the mol-ecules into infinite chains parallel to the b axis.
PMID- 22091174
TI - N,N'-Bis(4-methyl-phenyl-sulfon-yl)-suberamide.
AB - In the crystal structure of the title compound, C(22)H(28)N(2)O(6)S(2), the
asymmetric unit contains one half mol-ecule with a center of symmetry at the mid
point of the central C-C bond. The conformations of all the N-H, C= O and C-H
bonds in the central amide and aliphatic segments are anti to their adjacent
bonds. The mol-ecule is bent at the S atom with an C-SO(2)-NH-C(O) torsion angle
of -76.4 (3) degrees . The dihedral angle between the benzene ring and the SO(2)
NH-C(O) segment in the two halves of the mol-ecule is 67.2 (1) degrees . In the
crystal, N-H?O(C) inter-molecular hydrogen bonds link the mol-ecules into chains
along the b axis.
PMID- 22091175
TI - 2-[(1,3-Benzodioxol-5-yl-methyl-idene)amino]-4,5,6,7-tetra-hydro-1-benzothio
phene-3-carbonitrile.
AB - The title compound, C(17)H(14)N(2)O(2)S, crystallizes with two roughly planar mol
ecules in the asymmetric unit, in which the dihedral angles between the 1,3
benzodioxole-5-carbaldehyde moiety and the heterocyclic five-membered ring are
3.76 (5) and 5.33 (12) degrees . In each mol-ecule, a short C-H?S contact
generates an S(5) ring. In the crystal, pairs of mol-ecules are linked by a weak
C-H?N inter-action, forming dimers.
PMID- 22091176
TI - 4-[(Anthracen-9-yl-methyl-idene)amino]-1,5-dimethyl-2-phenyl-1H-pyrazol-3(2H)
one.
AB - In the title compound, C(26)H(21)N(3)O, the phenyl ring of the 4-amino-anti
pyrine group and the heterocyclic five-membered ring along with its substituents,
except for the N-bound methyl group (r.m.s. deviation = 0.0027 A), form a
dihedral angle of 54.20 (5) degrees . Two S(6) ring motifs are formed due to
intra-molecular C-H?N and C-H?O hydrogen bonds. In the crystal, mol-ecules are
linked into supra-molecular chains along the a-axis direction via C-H?O contacts.
PMID- 22091177
TI - 2-Hy-droxy-N-(4-meth-oxy-benz-yl)-4-nitro-anilinium chloride.
AB - The crystal structure of the title compound, C(14)H(15)N(2)O(4) (+).Cl(-), can be
described as being composed of layers containing both cations and anions that are
staggered along [010]. Two types of the hydrogen bonds are observed, viz. cation
anion and cation-cation. The chloride anions are acceptors of the strong hydrogen
bonds donated by the secondary amine and the hy-droxy groups. The packing is also
stabilized by weak C-H?O inter-molecular hydrogen bonds. An intra-molecular N-H?O
inter-action also occurs.
PMID- 22091178
TI - 3-Amino-N'-(2-oxoindolin-3-yl-idene)benzohydrazide.
AB - The title compound, C(15)H(12)N(4)O(2), contains two substituted benzohydrazide
and indole rings linked via a C=N double bond. The dihedral angle between the
benzene ring and the indole ring system is 11.38 (10) degrees . The mol-ecular
structure is stabilized by an intra-molecular N-H?O hydrogen bond, forming a six
membered ring. The crystal structure is consolidated by inter-molecular N-H?O and
C-H?O inter-actions, which result in sheets.
PMID- 22091179
TI - p-Tolyl bis-(cyclo-hexyl-amido)-phosphinate.
AB - The P atom in the title mol-ecule, C(19)H(31)N(2)O(2)P, is in a distorted tetra
hedral configuration with the bond angles in the range 101.48 (10)-118.58 (9)
degrees . The N-H units have a syn orientation with respect to one another. In
the crystal, mol-ecules are connected via two different inter-molecular N-H?O(P)
hydrogen bonds into chains along the a axis in which the O atom of the P=O group
acts as a double acceptor.
PMID- 22091180
TI - 2,5-Bis[2-(4-methyl-phen-yl)ethyn-yl]benzyl methacrylate.
AB - In the title bis-tolane derivative, C(29)H(24)O(2), the central benzene ring
forms dihedral angles of 29.12 (9) and 26.46 (9) degrees with the other two
benzene rings. The dihedral angle between two terminal benzene rings is 55.58 (8)
degrees .
PMID- 22091181
TI - Diisopropyl-ammonium methane-sulfonate.
AB - The title molecular salt, C(6)H(16)N(+).CH(3)SO(3) (-), has been determined at
150 K. Two diisopropyl-ammonium cations (dipH) and two anions form N-H?O hydrogen
bonded cyclic dimers lying around centers of symmetry. Only two of the three O
atoms of the methane-sulfonate anion are involved in hydrogen bonding, resulting
in slightly longer S-O bond lengths. The title structure represents an example of
a sulfonate anion that is part of a hydrogen-bonding R(4) (4)(12) graph-set
motif, which is well known for related dipH acetates. Additionally, the Raman and
the IR spectroscopic data for the title compound are presented.
PMID- 22091182
TI - N-Acryloyl glycinamide.
AB - The mol-ecule of the title compound [systematic name: N-(carbamoylmeth-yl)prop-2
enamide], C(5)H(8)N(2)O(2), which can be radically polymerized to polymers with
thermoresponsive behavior in aqueous solution, consists of linked essentially
planar acryl-amide and amide segments [maximum deviations = 0.054 (1) and 0.009
(1) A] with an angle of 81.36 (7) degrees between their mean planes. In the
crystal, N-H?O hydrogen bonding leads to an infinite two-dimensional network
along (100).
PMID- 22091183
TI - Tetra-methyl-ammonium borohydride from powder data.
AB - In the crystal structure of the title compound, C(4)H(12)N(+).BH(4) (-), the
tetra-methyl-ammonium cations are situated on special positions with site
symmetry [Formula: see text]m2. The borohydride anions are situated on special
positions with 4mm site symmetry and show rotational disorder around the fourfold
axis.
PMID- 22091184
TI - 1-[(Z)-2-Phenyl-hydrazin-1-yl-idene]-1-(piperidin-1-yl)propan-2-one.
AB - A Z configuration about the imine bond [1.3025 (18) A] in the title compound,
C(14)H(19)N(3)O, allows for the formation of an intra-moleclar N-H?N hydrogen
bond between the hydrazone H and piperidine N atoms; the carbonyl group is
disposed to lie over the piperidine residue, which is in a chair form. A twist
between the terminal benzene ring and the hydrazine residue is seen [N-N-C-C
torsion angle = 163.81 (12) degrees ]. Helical supra-molecular chains along the c
axis mediated by N-H?O hydrogen bonds are the most prominent feature of the
crystal packing. The chains are connected into layers lying in the ac plane by
weak C-H?pi contacts involving two methyl-ene H atoms and an adjacent benzene
ring.
PMID- 22091185
TI - 2,13-Dibenzyl-5,16-diethyl-2,6,13,17-tetra-aza-tricyclo-[16.4.0.0]docosan-2-ium
nitrate.
AB - One of the tertiary amine atoms has been protonated in the title salt,
C(36)H(57)N(4) (+).NO(3) (-). The four N atoms of the macrocycle are almost
coplanar (r.m.s. deviation = 0.0053 A), a result correlated with the formation of
intra-molecular N-H?N and N-H?(N,N) hydrogen bonds. With respect to this plane,
the benzyl groups lie to either side; a similar arrangement pertains for the
cyclo-hexyl rings (each with a chair conformation). Helical supra-molecular
chains are evident in the crystal, whereby alternating cations and anions are
linked by C-H?O inter-actions. The chains are consolidated into supra-molecular
arrays in the ab plane via C-H?pi contacts involving both benzene rings.
PMID- 22091186
TI - N,N'-Bis[(E)-2,4,6-trimethyl-benzyl-idene]ethane-1,2-diamine.
AB - The title compound, C(22)H(28)N(2), which is a double imine derived from ethane
1,2-diamine and mesityl aldehyde, has crystallographic inversion symmetry, with
both C=N bonds E configured. The dihedral angle between the mesityl ring system
and the imide functional group is 23.89 (17) degrees .
PMID- 22091187
TI - 2-[(4-Formyl-phen-yl)(hy-droxy)meth-yl]acrylonitrile.
AB - In the title compound, C(11)H(9)NO(2), the mean planes formed by the phenyl and
acryl group are almost orthogonal to each other, with a dihedral angle of 88.61
(7) degrees . The carbonitrile side chain is almost linear, the C-C-N angle being
179.54 (16) degrees . In the crystal, mol-ecules are linked by inter-molecular O
H?O inter-actions into infinite chains running parallel to the b axis.
PMID- 22091188
TI - (2-Benzoyl-phen-yl)(3,4-dimethyl-phen-yl)methanone.
AB - In the title compound, C(22)H(18)O(2), the central benzene ring forms dihedral
angles of 76.0 (1) and 73.1 (1) degrees with the phenyl ring and dimethyl
substituted benzene ring, respectively. The carbonyl-group O atoms deviate
significantly from the phenyl ring and the dimethyl-substituted benzene ring [
0.582 (12) and 0.546 (12) A, respectively]. The crystal packing is stabilized by
C-H?pi inter-actions.
PMID- 22091189
TI - N-(3-Chloro-phen-yl)-2,4-dimethyl-benzene-sulfonamide.
AB - In the mol-ecule of the title compound, C(14)H(14)ClNO(2)S, the N-H bond is in a
syn position with respect to the meta-Cl atom in the aniline ring. The mol-ecule
is twisted about the N-S bond with a C-SO(2)-NH-C torsion angle of 44.55 (17)
degrees . The two aromatic rings are inclined relative to each other by 66.2 (1)
degrees . In the crystal, N-H?O hydrogen bonds link the mol-ecules into infinite
chains parallel to the b axis.
PMID- 22091190
TI - N,N'-Bis(4-chloro-phenyl-sulfon-yl)-adipamide.
AB - In the title compound, C(18)H(18)Cl(2)N(2)O(6)S(2), the asymmetric unit contains
half a mol-ecule with a center of symmetry at the mid-point of the central C-C
bond. The dihedral angle between the benzene ring and the SO(2)-NH-C(O) segment
in the two halves of the mol-ecule is 83.5 (2) degrees . In the crystal, N-H?O(S)
inter-molecular hydrogen bonds link the mol-ecules into infinite chains running
along the c axis. The O atom involved in the hydrogen bond has a longer S-O bond
than the other O atom bonded to S [1.403 (4) versus 1.361 (4) A].
PMID- 22091191
TI - (2-Hy-droxy-4-meth-oxy-phen-yl)(2-hy-droxy-phen-yl)methanone.
AB - The title compound, C(14)H(12)O(4), is an asymmetric substitution product of
benzophenone. Both hy-droxy groups are orientated towards the O atom of the keto
group. Intra-molecular as well as inter-molecular O-H?O hydrogen bonds can be
observed in the crystal structure, with the latter connecting the mol-ecules into
chains along the crystallographic b axis. C-H?O contacts [C?O = 3.3297 (18) A]
are also apparent. The closest centroid-centroid distance between two aromatic
systems is 4.9186 (9) A.
PMID- 22091192
TI - Heptane-1,7-diaminium sulfate mono-hydrate.
AB - The crystal structure of the title compound, C(7)H(20)N(2) (2+).SO(4) (2-).H(2)O,
is presented, with particular focus on the packing arrangement in the crystal
structure and selected hydrogen-bonding inter-actions that the compound forms.
The crystal structure exhibits parallel stacking of the diammonium dication in
its packing arrangement, together with inorganic-organic layering that is typical
of these n-alkyl-diammonium salts. An intricate three-dimensional hydrogen
bonding network exists in the crystal structure where the hydrogen bonds link the
cation and anion layers together through the sulfate anions and the water mol
ecules.
PMID- 22091193
TI - 5,5'-(Disulfanedi-yl)bis-(1-methyl-1H-tetra-zole).
AB - In the title mol-ecule, C(4)H(6)N(8)S(2), two tetra-zole rings linked by a
disulfide bridge form a dihedral angle of 71.32 (7) degrees [C-S-S-C torsion
angle = -80.51 (10) degrees ]. In the crystal, strong inter-molecular pi-pi inter
actions between the tetra-zole rings [centroid-centroid distance = 3.285 (3) A]
link pairs of mol-ecules into centrosymmetric dimers. Weak inter-molecular C-H?N
hydrogen bonds further link these dimers, related by translation in the [100]
direction, into columns.
PMID- 22091194
TI - Cyclo-hexyl-ammonium 4-meth-oxy-benzoate.
AB - In the crystal of the title molecular salt, C(6)H(14)N(+).C(8)H(7)O(3) (-),
strong N-H?O hydrogen bonds are formed between the ammonium H atoms and the
carboxyl-ate O atoms. The resulting supra-molecular structure is based on chains
running in the [010] direction. The dihedral angle between the -CO(2) group and
the benzene ring is 8.94 (17) degrees and the methoxy C atom deviates by 1.374 A
from the ring.
PMID- 22091195
TI - N-[2-(3,4-Dimeth-oxy-phen-yl)eth-yl]-N,4-dimethyl-benzene-sulfonamide.
AB - In the title compound, C(18)H(23)NO(4)S, the dihedral angle between the two
aromatic rings is 29.14 (7) degrees . The S atom has a distorted tetra-hedral
geometry [106.15 (9)-119.54 (10) degrees ]. The crystal structure exhibits weak C
H?O and pi-pi inter-actions.
PMID- 22091196
TI - Methyl 2-(3a,8a-dimethyl-4-oxodeca-hydro-azulen-6-yl)acrylate.
AB - The title compound, C(16)H(24)O(3), was synthesized from ilicic acid, which was
isolated from the aerial part of Inula viscosa- (L) Aiton [or Dittrichia viscosa-
(L) Greuter]. The asymmetric unit contains two independent mol-ecules, in each of
which the seven-membered ring shows a chair conformation, whereas the five
membered ring presents disorder. In the two molecules, three C atoms in the five
membered ring are disordered over two positions with site-occupancy factors of
0.53/0.47 and 0.83/0.17. The dihedral angle between the two rings is different in
the two mol-ecules [31.7 (3) and 47.7 (7) degrees ]. The crystal structure is
stabilized by weak inter-molecular C-H?O hydrogen-bond inter-actions.
PMID- 22091197
TI - N'-(3,4-Dimeth-oxy-benzyl-idene)-3,5-dihy-droxy-benzohydrazide methanol
monosolvate.
AB - In the title compound, C(16)H(16)N(2)O(5).CH(4)O, the two benzene rings in the
Schiff base mol-ecule form a dihedral angle of 17.1 (1) degrees . In the crystal,
inter-molecular O-H?O hydrogen bonds link the components into corrugated sheets
parallel to the (101) plane.
PMID- 22091198
TI - (R)-(+)-2-{[(3-Methyl-4-nitro-pyridin-2-yl)meth-yl]sulfin-yl}-1H-benzimidazole.
AB - The title compound, C(14)H(12)N(4)O(3)S, is an inter-mediate of Dexlansoprazole,
a proton pump inhibitor (PPI) mainly developed for anti-ulcer activity. The
absolute configuration of the title compound was determined as R. The crystal
structure reveals that the mol-ecules form chains along the b axis through N-H?N
and C-H?O hydrogen-bonded dimers. These chains are connected via weak C-H?O
hydrogen bonds.
PMID- 22091199
TI - 7-Benzyl-2-[(cyclo-propyl-meth-yl)amino]-3-phenyl-5,6,7,8-tetra-hydro
pyrido[4',3':4,5]thieno[2,3-d]pyrimidin-4(3H)-one.
AB - There are two independent mol-ecules in the asymmetric unit of the title
compound, C(26)H(26)N(4)OS. In each mol-ecule, the thienopyrimidine fused-ring
system is essentially planar with a maximum deviation of 0.0409 (18) for the N
atom. In one mol-ecule, this ring system forms diherdral angles of 84.8 (1) and
67.6 (1) degrees with the adjacent phenyl and benzyl rings, respectively, while
the corresponding angles in the other mol-ecule are 77.9 (1) and 66.5 (1) degrees
.
PMID- 22091200
TI - Eucomic acid methanol monosolvate.
AB - IN THE CRYSTAL STRUCTURE OF THE TITLE COMPOUND [SYSTEMATIC NAME: 2-hy-droxy-2-(4
hy-droxy-benz-yl)butane-dioic acid methanol monosolvate], C(11)H(12)O(6).CH(3)OH,
the dihedral angles between the planes of the carboxyl groups and the benzene
ring are 51.23 (9) and 87.97 (9) degrees . Inter-molecular O-H?O hydrogen-bonding
inter-actions involving the hy-droxy and carb-oxy-lic acid groups and the
methanol solvent mol-ecule give a three-dimensional structure.
PMID- 22091201
TI - 1,5-Dimethyl-3-oxo-2-phenyl-2,3-dihydro-1H-pyrazol-4-aminium chloride-thio-urea
(1/1).
AB - In the title compound, C(11)H(14)N(3)O(+).Cl(-).CH(4)N(2)S, the components are
connected into a two-dimensional polymeric structure parallel to (001) via N
H?Cl, N-H?O, N-H?S and C-H?S hydrogen bonds. The dihedral angle between the
phenyl and 2,3-dihydro-1H-pyrazole rings is 44.96 (7) degrees .
PMID- 22091202
TI - 1,2-Bis[5-(9-ethyl-9H-carbazol-3-yl)-2-methyl-thio-phen-3-yl]-3,3,4,4,5,5-hexa
fluoro-cyclo-pentene.
AB - The title compound, C(43)H(32)F(6)N(2)S(2), is a new symmetrical photochromic
diaryl-ethene derivative with 9-ethyl-carbazol-3-yl substituents. The mol-ecule
adopts a photoactive anti-parallel conformation [Irie (2000). Chem. Rev.100, 1685
1716; Kobatake et al. (2002). Chem. Commun. pp. 2804-2805], with a dihedral angle
between the mean planes of the two thio-phene rings of 56.23 (6) degrees . The
distance between the two reactive C atoms is 3.497 (3) A. In the crystal, two mol
ecules are associated through a pair of C-H?F inter-molecular hydrogen bonds,
forming a centrosymmetric dimer. Dimers are linked by weak pi-pi inter-actions
[centroid-centroid distance = 3.8872 (13) A], forming chains along the c axis.
PMID- 22091203
TI - 3-Hy-droxy-4-(3-hy-droxy-phen-yl)-2-quinolone monohydrate.
AB - In the title compound, also known as viridicatol monohydrate,
C(15)H(11)NO(3).H(2)O, the dihedral angle between the benzene ring and quinoline
ring system is 64.76 (5) degrees . An intra-molecular O-H?O hydrogen bond occurs.
The crystal structure is stabilized by classical inter-molecular N-H?O and O-H?O
hydrogen bonds and weak pi-pi inter-actions with a centroid-centroid distance of
3.8158 (10) A.
PMID- 22091204
TI - (E)-Methyl 3-(1H-indol-2-yl)acrylate.
AB - The title compound, C(12)H(11)NO(2), is close to being planar (r.m.s. deviation
for the non-H atoms = 0.033 A). In the crystal, mol-ecules are linked by N-H?O
hydrogen bonds, generating C(7) chains running along the b axis. A weak C-H?O
interaction helps to establish the packing.
PMID- 22091205
TI - N'-(5-Bromo-2-hy-droxy-benzyl-idene)-4-nitro-benzohydrazide methanol monosolvate.
AB - In the title compound, C(14)H(10)BrN(3)O(4).CH(4)O, the benzohydrazide mol-ecule
is nearly planar [maximum deviation = 0.110 (2) A]. The mean planes of the two
benzene rings make a dihedral angle of 8.4 (3) degrees . In the benzohydrazide
mol-ecule, there is an intra-molecular O-H?N hydrogen bond and the NH group is
hydrogen bonded to the methanol solvent mol-ecule. In the crystal, inter
molecular O-H?O hydrogen bonds involving the methanol solvent mol-ecule link the
benzohydrazide mol-ecules to form chains which propagate along the a axis.
PMID- 22091206
TI - 2,4-Dibromo-naphthalen-1-ol.
AB - In the essentially planar (r.m.s. deviation = 0.023 A) title compound,
C(10)H(6)Br(2)O, an intra-molecular O-H?Br hydrogen bond generates an S(5) ring.
In the crystal, mol-ecules are linked by an ?O-H?O-H?O- C(2) chain extending
along [100], which involves the same H atom that participates in the intra
molecular hydrogen bond. Aromatic pi-pi inter-actions [centroid-centroid
separation = 3.737 (4) A] help to consolidate the packing.
PMID- 22091207
TI - Methyl 2-{[(3-methyl-5-oxo-1-phenyl-4,5-dihydro-1H-pyrazol-4-yl-idene)(thio-phen
2-yl)meth-yl]amino}-3-phenyl-propionate.
AB - In the title compound, C(25)H(23)N(3)O(3)S, an intra-molecular N-H?O inter-action
generates an S(6) ring, which stabilizes the enamine-keto form of the compound.
This S(6) ring and the pyrazole ring are essentially coplanar, making a dihedral
angle of 1.49 (6) degrees . The bond lengths within the S(6) ring of the mol
ecule lie between classical single- and double-bond lengths, indicating extensive
conjugation. The structure exhibits a thienyl-ring flip disorder, with occupancy
factors in the ratio 64.7 (3):35.3 (3).
PMID- 22091208
TI - N-[Bis(morpholin-4-yl)phosphino-yl]-2-chloro-2,2-difluoro-acetamide.
AB - The asymmetric unit of the title compound, C(10)H(17)ClF(2)N(3)O(4)P, consists of
two independent mol-ecules in each of which the P atom adopts a distorted tetra
hedral environment with the P=O and N-H units in a syn orientation with respect
to one another. Both morpholine rings in one of the phospho-ramide mol-ecules are
disordered over two sets of sites, with site occupancies of 0.766 (7) and 0.234
(7) for one ring and 0.639 (10) and 0.361 (10) for the other. In the second
phospho-ramide mol-ecule, one of the NC(4)H(8)O moieties is disordered over two
sets of sites with site occupancies of 0.807 (6) and 0.193 (6). In the crystal,
pairs of inter-molecular N-H?O(P) hydrogen bonds form two independent
centrosymmetric dimers.
PMID- 22091209
TI - Phenyl bis-(morpholin-4-yl-amido)-phosphinate.
AB - In the title compound, C(14)H(23)N(4)O(4)P, the P atom is in a distorted tetra
hedral environment with bond angles in the range 96.87 (6)-119.86 (6) degrees .
The two morpholinyl groups adopt a chair conformation. The phenyl ring is
disordered over two sets of sites with equal occupancies [0.500 (2)]. In the
crystal, adjacent mol-ecules are linked via N-H?O hydrogen bonds into an extended
chain running parallel to the a axis. Only one of the amidate N-H groups is
involved in hydrogen bonding.
PMID- 22091210
TI - (2RS,3SR,10SR,11RS)-3,10-Diphen-oxy-18,21-dioxa-5,8-diaza-penta-cyclo
[20.4.0.0.0.0]hexa-cosa-1(26),12,14,16,22,24-hexa-ene-4,9-dione ethyl acetate
hemisolvate.
AB - In the title compound, C(34)H(30)N(2)O(6).0.5C(4)H(8)O(2), there are two mol
ecules in the asymmetric unit and the structure is stabilized by C-H?O inter
actions. The two nonsolvent mol-ecules of the asymmetric unit are linked together
by a weak C-H?O hydrogen bond. The ethyl acetate mol-ecule is present as a space
filler and does not participate in the hydrogen-bonding network.
PMID- 22091211
TI - (E)-4-Bromo-N-(2-chloro-benzyl-idene)-aniline.
AB - In the title Schiff base mol-ecule, C(13)H(9)BrClN, the dihedral angle between
the benzene rings is 49.8 (2) degrees and the mol-ecule has an E configuration
about the C=N bond. In the crystal, there are no directional interactions but
only van der Waals inter-molecular inter-action forces between neighbouring mol
ecules.
PMID- 22091212
TI - 1,3-Diisopropyl-4,5-dimethyl-imidazolium benzene-sulfonate.
AB - In the title salt, C(11)H(21)N(2) (+).C(6)H(5)O(3)S(-), which has two cation
anion pairs in the asymmetric unit, the two imidazolium cations are linked to two
separate acceptor O atoms of one of the benzene-sulfonate anions through aromatic
C-H?O hydrogen bonds, while the second anion is unassociated.
PMID- 22091213
TI - A monoclinic polymorph of (1E,5E)-1,5-bis-(2-hy-droxy-benzyl-idene)thio-carbono
hydrazide.
AB - The title compound, C(15)H(14)N(4)O(2)S, is a derivative of thio-ureadihydrazide.
In contrast to the previously reported polymorph (ortho-rhom-bic, space group
Pbca, Z = 8), the current study revealed monoclinic symmetry (space group
P2(1)/n, Z = 4). The mol-ecule shows non-crystallographic C(2) as well as
approximate C(s) symmetry. Intra-molecular bifurcated O-H?(N,S) hydrogen bonds,
are present. In the crystal, inter-molecular N-H?S hydrogen bonds and C-H?pi
contacts connect the mol-ecules into undulating chains along the b axis. The
shortest centroid-centroid distance between two aromatic systems is 4.5285 (12)
A.
PMID- 22091214
TI - 1',3',4',5',7',8'-Hexafluoro-1,1'',2,2'',3,3'',4,4''-octa-phenyl-2',6'-dihydro
dispiro-[cyclo-penta-1,3-diene-5,2'-naphthalene-6',5''-cyclo-penta-1'',3''-diene]
dichloro-methane monosolvate.
AB - The mol-ecule of the title compound, C(66)H(40)F(6).CH(2)Cl(2), is
centrosymmetric; the dihedral angle between the central fluorinated unit and the
cyclo-penta-diene ring is 88.36 (7) degrees . The dihedral angles between the
cyclo-penta-diene ring and the four surrounding phenyl rings are in the range
26.6 (1)-65.6 (1) degrees . Centrosymmetric cavities in the crystal structure are
populated by disordered dichloro-methane solvent mol-ecules.
PMID- 22091215
TI - 4-Hy-droxy-3-[(E)-3-phenyl-prop-2-eno-yl]-2H-chromen-2-one.
AB - In the title mol-ecule, C(18)H(12)O(4), the phenyl ring is twisted by 23.2 (1)
degrees from the mean plane of the chromene system. In the crystal, weak inter
molecular C-H?O hydrogen bonds link mol-ecules into zigzag chains extending in
the [010] direction. An intra-molecular O-H?O hydrogen bond is also present.
PMID- 22091216
TI - Climate change and consequences in the Arctic: perception of climate change by
the Nenets people of Vaigach Island.
AB - BACKGROUND: Arctic climate change is already having a significant impact on the
environment, economic activity, and public health. For the northern peoples,
traditions and cultural identity are closely related to the natural environment
so any change will have consequences for society in several ways. METHODS: A
questionnaire was given to the population on the Vaigach island, the Nenets who
rely to a large degree on hunting, fishing and reindeer herding for survival.
Semi-structured interviews were also conducted about perception of climate
change. RESULTS: Climate change is observed and has already had an impact on
daily life according to more than 50% of the respondents. The winter season is
now colder and longer and the summer season colder and shorter. A decrease in
standard of living was noticeable but few were planning to leave. CONCLUSION:
Climate change has been noticed in the region and it has a negative impact on the
standard of living for the Nenets. However, as of yet they do not want to leave
as cultural identity is important for their overall well-being.
PMID- 22091217
TI - The role of monocyte-lineage cells in human immunodeficiency virus persistence:
mechanisms and progress.
AB - Human immunodeficiency virus type 1 (HIV-1) persistence is a major barrier to the
successful treatment and eradication of acquired immunodeficiency syndrome
(AIDS). In addition to resting CD4(+) T cells, a significant long-lived
compartment of HIV-1 infection in vivo includes blood monocytes and tissue
macrophages. Studying HIV-1 persistence in monocyte-lineage cells is critical
because these cells are important HIV-1 target cells in vivo. Monocyte-lineage
cells, including monocytes, dendritic cells (DCs) and macrophages, play a
significant role in HIV-1 infection and transmission. These cells have been
implicated as viral reservoirs that facilitate HIV-1 latency and persistence. A
better understanding of HIV-1 interactions with monocyte-lineage cells can
potentially aid in the development of new approaches for intervention. This
minireview highlights the latest advances in understanding the role of monocyte
lineage cells in HIV-1 persistence and emphasizes new insights into the
mechanisms underlying viral persistence.
PMID- 22091218
TI - Successful implementation of a perioperative glycemic control protocol in cardiac
surgery: barrier analysis and intervention using lean six sigma.
AB - Although the evidence strongly supports perioperative glycemic control among
cardiac surgical patients, there is scant literature to describe the practical
application of such a protocol in the complex ICU environment. This paper
describes the use of the Lean Six Sigma methodology to implement a perioperative
insulin protocol in a cardiac surgical intensive care unit (CSICU) in a large
academic hospital. A preintervention chart audit revealed that fewer than 10% of
patients were admitted to the CSICU with glucose <200 mg/dL, prompting the
initiation of the quality improvement project. Following protocol implementation,
more than 90% of patients were admitted with a glucose <200 mg/dL. Key elements
to success include barrier analysis and intervention, provider education, and
broadening the project scope to address the intraoperative period.
PMID- 22091219
TI - Legumes: A component of a healthy diet.
PMID- 22091220
TI - Relationship between gamma-glutamyl transferase and glucose intolerance in first
degree relatives of type 2 diabetics patients.
AB - BACKGROUND: Considering that serum gamma-glutamyl transferase (GGT) activity
could reflect several different processes relevant to diabetes pathogenesis and
the increasing rate of type 2 diabetes worldwide, the aim of this study was to
assess the association between serum GGT concentrations and glucose intolerance,
in the first-degree relatives (FDR) of type 2 diabetic patients. METHODS: In this
descriptive study, 30-80 years old, non diabetic FDRs of type 2 diabetic patients
were studied. Serum GGT was measured by enzymatic photometry method in all
studied population. The relationship between GGT and glucose intolerance status
(normal, prediabetic and diabetics) was evaluated. RESULTS: During this study 551
non-diabetic FDRs of type 2 diabetic patients were studied. Mean of GGT was 25.3
+/- 12.1 IU/L. According to glucose tolerance test, 153 were normal and 217 and
181 were diabetic and prediabetic respectively. Mean of GGT in normal,
prediabetic and diabetic patients was 23.5 +/- 15.9 IU/L, 29.1 +/- 28.1 IU/L and
30.9 +/- 24.8 IU/L respectively (p = 0.000). The proportion of prediabetic and
diabetic patients was higher in higher quartile of GGT and there was a
significant correlation between GGT and BMI, HbA1c, FPG, cholesterol, LDL-C, and
triglyceride (p < 0.05). There was a significant relation between GGT and area
under the curve (AUC) of oral glucose tolerance test (p = 0.00). CONCLUSIONS:
Measurement of GGT in FDRs of type 2 diabetic patients may be useful in assessing
the risk of diabetes; those with chronically high levels of GGT should be
considered as high risk group for diabetes.
PMID- 22091221
TI - Change in functional bowel symptoms after prostatectomy: a case-control study.
AB - BACKGROUND: Irritable bowel syndrome (IBS) is a chronic functional bowel disorder
that up to 20% of the population is suffering from it. Also benign prostatic
hyperplasia (BPH) is a common problem that approximately 90 percent of men may be
affected by this condition until the eighth decade of their life. Prostatectomy
as a surgery and pelvic intervention can cause IBS. METHODS: It was a case
control study including 66 patients in 2 case groups and 66 patients in 2 control
groups. Case groups were patients who underwent open prostatectomy and
transurethral resection of the prostate (TURP) and control groups were patients
who were candidate for prostatectomy. RESULTS: Ten patients in case groups and
five patients in control groups had IBS. There was no significant difference in
IBS between control and case groups (p = 0.117). CONCLUSIONS: This is the first
forward study regarding bowel symptom changes following prostatectomy. The main
positive finding of this study is that open prostatectomy was followed by
significant increase in diarrhea and bowel habit alternation associated with
onset of abdominal pain. Specifically the change was found after open operation
but not after TURP. Prostatectomy whether in form of open or transurethral may
cause onset of abdominal discomfort and bowel habit change.
PMID- 22091222
TI - Detection and classification of cardiac ischemia using vectorcardiogram signal
via neural network.
AB - BACKGROUND: Various techniques are used in diagnosing cardiac diseases. The
electrocardiogram is one of these tools in common use. In this study
vectorcardiogram (VCG) signals are used as a tool for detection of cardiac
ischemia. METHODS: VCG signals used in this study were obtained form 60 patients
suspected to have ischemia disease and 10 normal candidates. Verification of the
ischemia had done by the cardiologist during strain test by the evaluation of
electrocardiogram (ECG) records and patient's clinical history. The recorder
device was Cardiax digital recorder system. The VCG signals were recorded in
Frank lead configuration system. RESULTS: Extracted ischemia VCG signals have
been configured with 22 features. Feature dimensionalities were reduced by the
use of Independent Components Analysis and Principal Component Analysis tools.
Results obtained from strain test indicated that among 60 subjects, 50 had
negative results and 10 had positive results. Ischemia detection of neural
network using VCG parameters indicates 86% accuracy. Classification result on
neural network using ECG ischemia detection parameters is 73% accurate.
Accumulative evaluation including VCG analysis and strain test indicates 90%
consistency. CONCLUSIONS: Regarding the obtained results in this study, VCG has
higher accuracy than ECG, so that in cases which ECG signal cannot provide
certain diagnosis of existence or non-existence of ischemia, VCG signal can help
in a wider range. We suggest the use of VCG as an auxiliary low cost tool in
ischemia detection.
PMID- 22091223
TI - Relationship between serum N-terminal Pro Brain Natriuretic Peptide (NT-Pro BNP)
level and the severity of coronary artery involvements.
AB - BACKGROUND: Rapid measuring of B-type natriuretic peptide (BNP) in the emergency
departments effectively results in evaluating patients with acute cardiac attacks
and has appeared to be a useful prognostic marker of cardiovascular risk. A
current study came to address the association between plasma N-terminal pro BNP
level and severity of coronary vessels' defects based on Gensini score in
patients with stable angina pectoris candidate for coronary angiography. METHODS:
The study population consisted of 92 consecutive patients with appearance of
stable angina and candidate for coronary angiography. All participants underwent
selective left and right coronary angiography. For BNP measurement and just
before the catheterization of left coronary, 5cc blood samples were drawn from
coronary. RESULTS: With respect to the role of N terminal pro BNP for predicting
severity of CAD based on Gensini scoring, linear regression analysis confirmed
that plasma BNP level was a strong predictor for CAD severity (p = 0.009) in the
presence of study cofounders. A significant correlation was also observed between
N terminal pro BNP and left ventricular ejection fraction, so that all patients
with left ventricular dysfunction (EF < 40%) had plasma N terminal pro BNP level
higher than 100 pg/ml. CONCLUSIONS: NT-pro BNP can be a good parameter for
predicting the severity of coronary vessels' involvement besides other diagnostic
tools. In all patients with left ventricular ejection fraction less than 40%,
plasma NT-pro BNP level was higher than 100 pg/ml.
PMID- 22091224
TI - High dose Senna or Poly Ethylene Glycol (PEG) for elective colonoscopy
preparation: a prospective randomized investigator-blinded clinical trial.
AB - BACKGROUND: The aim of this study was to determine the efficacy of two methods of
colon preparation for colon cleansing in a randomized controlled trial. METHODS:
In this prospective randomized investigator-blinded trial, consecutive
outpatients indicated for elective colonoscopy were randomized into two groups.
Patients in Senna group took 24 tablets of 11 mg Senna in two divided doses 24
hour before colonoscopy. In Poly Ethylene Glycol (PEG) group they solved 4
sachets in 4 liters of water the day before the procedure and were asked to drink
250 ml every 15 minutes. The overall quality of colon cleansing was evaluated
using the Aronchick scoring scale. Difficulty of the procedure, patients'
tolerance and compliance and adverse events were also evaluated. RESULTS: 322
patients were enrolled in the study. There was no significant difference in the
quality of colon cleansing, patients' tolerance, compliance and the difficulty of
the procedure between two groups (p > 0.05). The incidence of adverse effects was
similar between two groups except for abdominal pain that was more severe in
Senna group (p < 0.05) and nausea and vomiting that was more common in PEG group
(p < 0.05) CONCLUSIONS: In conclusion we deduce that Senna has the same efficacy
and patient's acceptance as Polyethylene glycol-electrolyte solution (PEG-ES) and
it could be prescribed as an alternative method for bowel preparation.
PMID- 22091225
TI - The association of hypertriglyceridemic waist phenotype with type 2 diabetes
mellitus among individuals with first relative history of diabetes.
AB - BACKGROUND: Antropometric measures with biochemical indicators have been used as
screening tools for metabolic abnormalities in adolescents and adults. A few
studies have assessed the relation of EWET (Enlarge waist Elevated triglyceride)
phenotype with diabetes, especially among individuals with first relative history
of diabetes. This study aimed to evaluate the association of EWET phenotype with
diabetes among individuals with family history of diabetes. METHODS:
Antropometric and biochemical measurments were evaluated in a population - based
cross - sectional study of 332 male and 991 female Isfahani adults aged 35-55
year. The EWET phenotype was defined as serum trigcylglycerol concentrations >=
150 mg/dl and concurrent waist circumference (WC) >= 88 cm in females and >= 102
cm in males. RESULTS: The prevalence of EWET phenotype was respectively 9.6% and
23.6% among male and female. Individuals with the phenotype had significantly
higher BMI and WHR (waist to hip ratio) as compared to other groups. After
control for age and physical activity, male with EWET phenotype were
significantly more likely to have high serum triglyceride levels (p < 0.001),
cholesterol (p < 0.001). Even after additional control for BMI, the significant
associations remained except for low HDL Cholestrol. Female with EWET phenotype
had significantly adverse metabolic risks as compared to other groups, either
before or after control for BMI (p < 0.001). Individuals with the phenotype were
more likely to have diabetes (both gender) and (IGT) Impaired Glucose Tolerance
(female only). CONCLUSIONS: Our results showed that EWET phenotype has
significantly associated with diabetes. This phenotype could be used for early
identification of diabetes and IGT.
PMID- 22091226
TI - The effect of Ramadan fasting on fetal growth and Doppler indices of pregnancy.
AB - BACKGROUND: The aim of this study was to determine the effect of Ramadan fasting
on fetal growth and Doppler in-dices of pregnancy. METHODS: Fifty two healthy
pregnant women of second or third trimester, 25 in fasting group and 27 in non
fasting group were included. Growth parameters including biparietal diameter
(BPD), femoral length (FL), abdominal circumference (AC), estimated fetal weight,
amniotic fluid index and also Doppler indices of both uterine and umbilical
arteries (including peak systolic and end diastolic velocity, systolic to
diastolic ratio, resistive and pulsatility indices) were evaluated by Gray scale
and colour Doppler imaging for each women two times, in the beginning and at the
end of Ramadan. RESULTS: Increases in BPD, FL, AC and fetal weight within one
month were similar in two groups. Amniotic fluid index also were similar in two
groups. There was not any statistically significant difference in abnormal
Doppler indices of uterine or umbilical artery between two groups. CONCLUSIONS:
The results of present study show that Ramadan fasting has no adverse effect on
fetal growth, amniotic fluid volume or maternofetal circulation.
PMID- 22091227
TI - IGF-I concentration and changes in critically ill patients.
AB - BACKGROUND: Insulin-like growth factor 1 (IGF-I) is an anabolic growth factor
that affects nitrogen balance and its changing trend is not clearly understood in
critically ill patients. This study was carried out to evaluate the association
between serum IGF-I levels and its changing trend in critically ill patients.
METHODS: In this nested case-control study, all consecutive patients admitted to
the medical ICU of Rasoul-e-Akram and Firuzgar hospital (Tehran, Iran) from
January through October 2008 were included. IGF1 concentration was measured
within the first 24h of ICU admission and the fourth, seventh and tenth day since
admission. Patients were followed until discharge from ICU or expiration.
RESULTS: The study population consisted of 90 patients (mean age: 58.01 +/-
22.56), 31 (34.4%) of who died and 59 (65.6%) were discharged. On admission, 43
patients (47.7%) had low IGF-I levels, whereas 47 (52.3%) had normal or high
levels. The concentration of IGF-I was not significantly different in every 4
measurements between expired and discharged patients. Significant decrease was
seen between first to fourth day IGF-I concentration (p = 0.005). Changing trend
was not statistically different in two groups of patients. CONCLUSIONS: There was
no relation between low IGF-I concentration on admission day and increased
adverse outcome, but overall these patients had lower IGF1. No clear association
was found between changing trend of IGF1 and mortality. Stress on admission time
may cause decreasing pattern of IGF-I in the first 4 days of admission.
PMID- 22091228
TI - Evaluation of hearing loss in juvenile insulin dependent patients with diabetes
mellitus.
AB - BACKGROUND: Diabetes mellitus is one of the most important epidemics of our era.
Complications of this disease are diverse and include retinopathy, nephropathy
and neuropathy. This study has been designed to evaluate hearing loss patterns in
young children suffering from IDDM and define risk factors for this complication.
METHODS: This descriptive analytic study includes 200 youngsters divided into two
groups: 100 patients in diabetic group and 100 healthy individual in control
group. Hearing thresholds are determined in 250, 500, 1000, 2000, 4000 and 8000
Hz and metabolic controls are evaluated as average of one year HbA1C, dividing
diabetic group into well control and poor control subgroups. RESULTS: Twenty one
out of 100 patients in diabetic group showed significant hearing loss. Hearing
loss is correlated with metabolic control, showing less loss in patients with
HbA1C less than 7.5%. Results showed that hearing loss is not related to sex of
patients but duration of disease (more or less than 5 years) affects degree of
hearing loss in some frequencies. CONCLUSIONS: Hearing loss in children suffering
from IDDM is sensorineural, bilateral and symmetrical and is related to the
duration of disease and state of metabolic control (HbA1C).
PMID- 22091229
TI - Helicobacter pylori as a zoonotic infection: the detection of H. pylori antigens
in the milk and faeces of cows.
AB - BACKGROUND: The prevalence of Helicobacter pylori infection, which may increase
the risk of gastritis, peptic ulcers, and cancer, has increased worldwide. This
number is estimated to be around 70-90% in developing countries and 25-50% in
developed countries. It is possible that the bacterium can be transmitted via
food and water as well as zoonotically and iatrogenically. Because of high
prevalence of this infection in Iran, the aim of this study is to examine whether
H. pylori infection might be transmitted from cow's milk and faeces. METHODS: The
existence of the H. pylori antibody and antigen was investigated in samples of
serum, milk, and faeces from 92 lactating Holstein cows in Shahrekord, Iran. The
H. pylori antigen and antibody were detected using ELISA and were confirmed by
PCR. RESULTS: It was found that out of 92 serum specimens, 25 (27%) of the cows
were positive for the H. pylori antibody and 67 specimens were negative. From
these 25 seropositive cows, 10 (40%) faeces samples and four (16%) milk samples
were antigen positive for H. pylori. Four of the antigen-positive milk specimens
were also antigen positive for faeces. The existence of the UreC gene was also
confirmed in positive samples of milk and faeces. CONCLUSIONS: There is a
possibility that cow's milk is a transmission mode in H. pylori infection and
faecal contamination and inappropriate management processes could transfer H.
pylori to humans. The awareness of the H. pylori epidemiology and its method of
distribution are necessary for public health measures and controlling the spread
of this bacterium. Further investigation with a greater sample number is
necessary to verify the ability of H. pylori transmission via milk consumption.
PMID- 22091230
TI - The effects of hypotension on differences between the results of simultaneous
venous and arterial blood gas analysis.
AB - BACKGROUND: Analysis of venous blood gas (VBG) can represent arterial blood gas
(ABG) analysis in patients with various diseases. The effects of hypotension on
differences between the results of simultaneous venous and arterial blood gas
analyses were reviewed. METHODS: This observational, cross-sectional study was
conducted from March to October 2010 in emergency departments of two university
hospitals in Tehran (Iran) on consecutive adult patients for whom ABG had been
indicated for diagnosis/treatment. Arterial and peripheral venous bloods were
simultaneously sampled with blood pressure measurement. The VBG-ABG amount of
difference regarding pH, HCO(3), PCO(2), PO(2), SO(2), and Base Excess (BE) was
compared between those with and without hypotension. RESULTS: During the study,
192 patients (51.6 +/- 23.6 years, 67.7% males) were entered into the hypotension
(n = 78) and normotensive groups (n = 114). The average VBG-ABG amount of
difference (95% limits of agreement) in the hypotension versus normotensive group
were -0.030 (-0.09 to 0.03) vs. -0.016 (-0.1 to 0.068) for pH (p = 0.01), 1.79 (
1.91 to 5.49) vs. 1.32 (-1.94 to 4.58) mEq/L for HCO(3) (p = 0.032), 2.69 (-20.43
to 25.81) vs. 2.03 (-7.75 to 11.81) mmHg for PCO(2) (p = 0.295), -35.97 (-130.17
to 58.23) vs. -32.65 (-104.79 to 39.49) mmHg for PO(2) (p = 0.293), -18.58 (
14.66 to 51.82) vs. -9.06 (-31.28 to 13.16) percent (p < 0.001) for SO(2), and
0.25 (-3.73 to 4.23) vs. 0.79 (-2.51 to 4.09) for BE (p = 0.036). CONCLUSIONS:
Hypotensive status is associated with an increase in the amount of difference
between VBG and ABG analysis regarding pH, HCO(3), and BE, though the amount of
increase does not seem to be clinically important. Studying the precise effects
of replacing ABG with VBG on the clinical decision-making and the following
outcomes is worth-while.
PMID- 22091231
TI - Effects of health belief model-based video training about risk factors on
knowledge and attitude of myocardial infarction patients after discharge.
AB - BACKGROUND: Ischemic heart diseases are the most common cardiovascular diseases.
This study aimed to assess the effects of video training about risk factors based
on health belief model on knowledge and attitude of myocardial infarction
patients after discharge. METHODS: This was a quasi-experimental study conducted
in 2010. Eighty patients were randomly assigned to either intervention or control
group. Data was collected by a researcher-made questionnaire. RESULTS: Study
results showed that the mean score of knowledge about disease, diet, physical
activity and perceived benefit, severity, and susceptibility after video training
was increased significantly. CONCLUSIONS: Using videos for educating myocardial
infarction patients is a useful method for preventing recurrence of the disease.
PMID- 22091233
TI - Bacterial meningitis: a new risk factor.
AB - BACKGROUND: The purpose of this study is to discuss a possible new risk factor
for the bacterial meningitis. METHODS: Cerebrospinal fluid collected from 270
patients was assayed. An enzyme immunosorbent assay for the detection of
Staphylococcal enterotoxins A to E was used. RESULTS: The results indicated that
the frequency of Coagulase Negative Staphylococci (CoNS) was 35 (20.46%). An
important finding of this research was that the CoNS isolates produced
enterotoxin C and D or enterotoxin C and E. CONCLUSIONS: This is the first report
of enterotoxin-producing Coagulase Negative Staphylococci isolated from CSF
patients. Therefore, these enterotoxins probably act as risk factors in the
bacterial invasion into central nervous system.
PMID- 22091232
TI - Irritable bowel syndrome in adults over 35 years in Shiraz, southern Iran:
prevalence and associated factors.
AB - BACKGROUND: The symptoms of irritable bowel syndrome (IBS) are common in the
general population. The aim of this population-based study was to determine the
prevalence of IBS and describe the associated factors including demographic, life
style and health-seeking behaviors in Shiraz city, southern Iran. METHODS: From
April to September 2004, 1978 subjects aged > 35 years old completed a validated
and reliable questionnaire on IBS. RESULTS: The prevalence rate of IBS was 10.9%,
higher in females, in 35-44 years old age group and among subjects eating fast
food (14.1%) but was lower in those taking more fruits and vegetables (10.5%).
The occurrence of anxiety, nightmare and restlessness was also significantly
higher in subjects with IBS. It had an association with psychological distress
and recurrent headaches but not with drinking tea/coffee, smoking or physical
activity. CONCLUSIONS: In our area, IBS was correlated with gender, age,
psychological distress, recurrent headaches and consumption of fast foods that
necessitate health planning programs by health policy makers.
PMID- 22091234
TI - Physician's acquittal of responsibility in Iranian statutes.
AB - The physician's acquittal has obsessed Iranian legislator's mind to a large
extent. This is exclusively observed in Iranian statuses and specifically in
Shi'ite school of though. Muslim jurists' opinions play a very important role in
enacting legal articles related to it. After reviewing the literature, the
authors tried to pick and collect common features of physician's responsibilities
and duties to introduce Iranian Acts with respect to the subject. Also, Iranian
Acts are analyzed and the challenging medical topics such as emergency situations
and infectious diseases are discussed.Iranian legislator didn't specify a kind of
physician's acquittal which received from the patient knowingly and is based on
his/her free will. There are also some medical and legal gaps. Patients are not
often informed of all exact and scientific information and results of their
treatments. Furthermore, the forms prepared to receive the patient's consent do
not provide what Iranian legislator meant.
PMID- 22091235
TI - A pulmonary aspergillosis case with fatal course in a patient with SIRS clinic.
AB - A 77-year-old male patient with a history of tuberculosis applied to emergency
service with complaints of confusion, shortness of breath, tachycardia,
hypothermia and hypotension. A bronchoalveolar lavage culture was collected
because a fungus ball was seen on repeat chest X-ray and thoracic CT of the
patient. Aspergillus fumigatus grew and voricona-zole treatment was started, but
the patient was lost from multiple organ failure (MOF). In diagnosis of patients
with SIRS clinic, causative factor may be aspergillus located in an old
tuberculosis cavity, and this may have a fatal course in an old patient having
previous pulmonary and systemic diseases.
PMID- 22091236
TI - A case report of cemento-ossifying fibroma presenting as a mass of the ethmoid
sinus.
AB - Cemento-ossifying fibroma is a lesion containing both fibrous and osseous
components. Such lesions include fibrous dysplasia, ossifying fibroma, cemento
ossifying fibroma and cementifying fibroma. Periodontal membrane is the origin of
fibro-osseous lesions other than fibrous dysplasia.Here a clinical case of a
young woman referred for evaluation of a mass in the right side of face between
eye and nose is presented. The first time she noticed the mass was 2 years ago
and was growing larger inwards. She was treated with surgical resection.In this
case of a cemento-ossifying fibroma, histological interpretation was critical,
and was the basis of correct treatment.
PMID- 22091237
TI - Myasthenia gravis as a presenting feature in a patient with lung cancer: A case
report.
AB - A male patient with muscle weakness had clinical findings of ptosis, diplopia,
proximal leg weakness, and positive repetitive nerve stimulation (RNS) test. He
demonstrated positive acetylcholine receptor antibody. This lung cancer patient
was presenting myasthenia gravis. The causal association between non-small-cell
lung cancer and non-thymomatous myasthenia gravis has not been clarified yet. To
date, there has been no evidence supporting the speculation that association of
myasthenia gravis with lung cancer might be one of the phenotypes of
paraneoplastic syndrome.
PMID- 22091238
TI - Fracture of ossified Achilles tendon.
PMID- 22091239
TI - Comparison of Generalized Estimating Equations and Quadratic Inference Functions
in superior versus inferior Ahmed Glaucoma Valve implantation.
AB - BACKGROUND: The celebrated generalized estimating equations (GEE) approach is
often used in longitudinal data analysis While this method behaves robustly
against misspecification of the working correlation structure, it has some
limitations on efficacy of estimators, goodness-of-fit tests and model selection
criteria The quadratic inference functions (QIF) is a new statistical methodology
that overcomes these limitations. METHODS: We administered the use of QIF and GEE
in comparing the superior and inferior Ahmed glaucoma valve (AGV) implantation,
while our focus was on the efficiency of estimation and using model selection
criteria, we compared the effect of implant location on intraocular pressure
(IOP) in refractory glaucoma patients We modeled the relationship between IOP and
implant location, patient's sex and age, best corrected visual acuity, history of
cataract surgery, preoperative IOP and months after surgery with assuming
unstructured working correlation. RESULTS: 63 eyes of 63 patients were included
in this study, 28 eyes in inferior group and 35 eyes in superior group The GEE
analysis revealed that preoperative IOP has a significant effect on IOP (p = 0
011) However, QIF showed that preoperative IOP, months after surgery and squared
months are significantly associated with IOP after surgery (p < 0 05) Overall,
estimates from QIF are more efficient than GEE (RE = 1 272). CONCLUSIONS: In the
case of unstructured working correlation, the QIF is more efficient than GEE
There were no considerable difference between these locations, our results
confirmed previously published works which mentioned it is better that glaucoma
patients undergo superior AGV implantation.
PMID- 22091240
TI - Acute and long term effects of grape and pomegranate juice consumption on
endothelial dysfunction in pediatric metabolic syndrome.
AB - BACKGROUND: This study aimed to determine the short- and long-term effects of
consumption of grape and pomegranate juices on markers of endothelial function
and inflammation in adolescents with metabolic syndrome (MetS). METHODS: In a non
pharmacologic randomized controlled trial, 30 individuals were randomly assigned
to two groups of drinking natural grape or pomegranate juice for 1 month.
Measurements of inflammatory factors [Hs-CRP, sE-selectin, sICAM-1, sVCAM, and
interleukin 6 (IL-6)] and flow-mediated dilation (FMD) were made at baseline, 4
hours after first juice consumption and after one month of juice consumption.
RESULTS: The percent changes of FMD were significant in both groups in the short-
and long-term. Hs-CRP had a nonsignificant decrease. sE selectin had a
significant decrease after 4 hours in total and in the pomegranate juice group,
followed by a significant decrease after 1 month in both groups. After 4 hours,
sICAM-1 significantly decreased in the pomegranate juice group, and after 1 month
it decreased in total and pomegranate juice group. Interleulkin-6 (IL-6) had a
significant constant decrease at 4-hour and 1-month measurements after drinking
pomegranate juice, and in both groups after 1 month. Significant negative
correlations of changes in sICAM-1 and sE-selectin with changes in FMD were found
in both periods of follow-up; and at 1 month for IL-6. CONCLUSIONS: Decline in
inflammation was associated with improvement in FMD without changes in
conventional risk factors. Daily consumption of natural antioxidants may improve
endothelial function in adolescents with MetS.
PMID- 22091241
TI - Metabolic syndrome and health-related quality of life in Iranian population.
AB - BACKGROUND: To investigate the association between Metabolic syndrome (MetS) and
Health related quality of life (QoL) in Iranian population. METHODS: We used data
from the post-intervention phase of Isfahan Healthy Heart Program (IHHP), a
community trial for cardiovascular disease (CVD) prevention and control. We
recruited 9570 healthy adults, aged >= 19 years who were randomly selected using
multistage random sampling method. World Health Organization QoL questionnaire
(WHOQOL-BREF) which contains 26 items was used to assess QoL. It assesses four
domains of QoL; Physical health, Psychological health, Social relationship and
Environmental issues. MetS was defined based on ATP III criteria. RESULTS: The
mean age of participants was 38.8+/-15.6 years (mean +/- SD) and the prevalence
of MetS was 22.5%. From all participant 18.2% were illiterate and 13.2% had
university educational level. Two way multivariate analyses of covariance
(MANCOVA) test after adjusting age showed significant difference between women
with and without Mets in regard to physical health and social relations domains,
while none of QoL domains was different in men with Mets in comparison to men
without it. CONCLUSIONS: After adjusting the role of socio-demographic factors as
components of QoL score, no association was observed between QoL domains and MetS
in men, while only social relations and physical health scores were higher in
women with Mets compared to those without Mets. Other variety of health-related
QoL assessment tools or definitions of MetS may show different relationship in
the Iranian socio-cultural context.
PMID- 22091242
TI - A novel prediction model for all cause emergency department visits in ischemic
heart disease.
AB - BACKGROUND: Ischemic heart disease (IHD) is the main cause of morbidity and
mortality worldwide, and a considerable part of these patients attend to
emergency departments, which increases the burden to these busy departments. The
aim of this study was to develop a prediction model enabling prediction of all
cause emergency department (ED) visits in patients with documented coronary
stenosis in a derivation set, and then to determine its accuracy in a validation
set. METHODS: In a prospective study at outpatient setting of Baqiyatallah
hospital, Tehran, Iran, 502 patients with IHD were followed for 6 months for
observing the outcome of ED visits for all causes. They were divided in two
random groups of derivation set (n = 335) and validation set (n = 167). In the
derivation set, to achieve an all cause ED visits prediction model, a prediction
model was reached by entering demographic data, clinical variables, somatic
comorbidity (Ifudu index), level of anxiety and depression (Hospital Anxiety
Depression Scale (HADS) questionnaire), and angina grade (WHO Rose Angina) to a
logistic regression. Then in the validation set, the sensitivity, specificity,
and the accuracy of that model was tested. RESULTS: A novel model for prediction
of all cause ED visits in IHD patients in six months was presented with gender,
anxiety, WHO angina grade and somatic comorbidity as inputs. Sensitivity,
specificity, and accuracy of the model were 63.0%, 68.6%, and 67.7%,
respectively. CONCLUSIONS: Testing and using the achieved model is suggested to
health care providers in other settings.
PMID- 22091243
TI - Coronary angiogenesis during experimental hypertension: is it reversible?
AB - BACKGROUND: We investigated coronary angiogenesis and serum vascular endothelial
growth factor (VEGF) and its soluble receptor-1 (sFlt-1) concentrations in two
kidney one-clip (2K1C) hypertensive rats before and after reversal of
hypertension. METHODS: THE ANIMAL GROUPS WERE: (i) sham-clipped for 12 weeks;
(ii) 2K1C for 12 weeks; (iii) sham-clipped for 12 weeks and unclipped for 12
weeks; and (iv) 2K1C for 12 weeks and unclipped for 12 weeks. Blood samples were
taken before experiments and after clipping and unclipping; capillary density was
also evaluated. RESULTS: Our results showed that blood pressure in hypertensive
animals was higher than sham group (175 +/- 10 vs. 110.3 +/- 11.3 mmHg; p <
0.05). Unclipping significantly reduced blood pressure in hypertensive rats (p <
0.05). Serum VEGF and sFlt-1 levels in hypertensive group were significantly
lower than sham group (VEGF: 74.36 +/- 5.85 vs. 104.07 +/- 7.75 pg/ml; sFlt-1:
426.67 +/- 25.74 vs. 690.76 +/- 41.14 pg/ml, respectively; p < 0.05). Unclipping
in hypertensive animals increased serum VEGF and sFlt-1 concentrations (VEGF:
93.65 +/- 8.61 vs. 74.36 +/- 5.85 pg/ml; sFlt-1: 742.05 +/- 79.23 vs. 426.67 +/-
25.74 pg/ml, respectively; p < 0.05). In hypertensive animals, capillary density
in the heart was higher than sham group, non-significantly (p > 0.05) and after
unclipping, it reached to sham group level. CONCLUSIONS: It seems that changes in
capillary density and serum VEGF and sFlt-1 concentrations in renovascular
hypertension are reversible by removing the cause of hypertension and it shows
the importance of early diagnosis and treatment of hypertension in clinical
condition.
PMID- 22091244
TI - Timing of puberty in Iranian girls according to their living area: a national
study.
AB - BACKGROUND: This study aimed to compare the timing of puberty between various
geographic locations and different ethnicities. METHODS: This national survey was
conducted in 20 provinces in Iran. Healthy Iranian girls were selected from
public schools using cluster random sampling. A total number of 30 clusters
including 7493 girls, aged 6.0-20 years, were selected. In order to compare
different areas, the national classification of the provinces based on climate,
ethnicity, geographic locations, and socioeconomic variables were used.
Accordingly, there are 11 regions in Iran. Analysis of variance was used to
compare the mean ages of menarche, pubarche, and thelarche in different regions.
RESULTS: Tehranian girls, with 11.99 +/- 1.35 years (mean +/- SD), had the lowest
age of menarche which was statistically significantly. The second region with
lowest age at menarche was Fars (12.40 +/- 1.27 years). The mean age at breast
bud stage (B2) was significantly lower in Ghazvin-Zanjan region (8.97 +/- 1.45
years). In Fars region, the mean age at B2 stage of breast development (11.01 +/-
1.88 years) was higher than other regions except for Mazendran-Guilan and Tehran
related cities. The mean age at public hair development at Tanner stage 2 (PH2)
in Kordestan-Lorestan-Ilam region (10.70 +/- 1.23 years) was significantly higher
than other regions. CONCLUSIONS: We found significant differences in the age of
pubertal stages of girls living in various regions with different ethnicity and
geographic characteristics. Considering the impact of pubertal age on general
health, more studies should be done about the lifestyle and environmental factors
affecting the onset of puberty.
PMID- 22091245
TI - Evaluation of pre lumbar puncture position on post lumbar puncture headache.
AB - BACKGROUND: The most common complication of lumbar puncture (LP) occurring in
over thirty percent of patients is headache. The position after lumbar puncture,
needle type and size, and volume of the extracted cerebrospinal fluid (CSF) have
been evaluated as contributory factors in occurrence of post lumbar puncture
headache (PLPH), but the position before lumbar puncture has not been evaluated.
METHODS: The occurrence of post lumbar puncture headache was evaluated in 125
patients undergoing lumbar puncture, divided randomly into sitting and lateral
decubitus groups in the following five days. Chi-square test was used for
statistical analysis. RESULTS: Thirty eight patients (30.4%) reported headache
after lumbar puncture in the two groups, and post lumbar puncture headache was
significantly lower in the lateral decubitus position (p = 0.001). There was no
significant difference between genders in the post lumbar puncture headache
occurrence (p = 0.767). CONCLUSIONS: Lumbar puncture in sitting position could
produce more post lumbar puncture headache in comparison with lateral decubitus
position.
PMID- 22091246
TI - Effects of silybum marianum on patients with chronic hepatitis C.
AB - BACKGROUND: Silymarin derived from silybum marianum (milk thistle), a flowering
member of the daisy family, may benefit liver function in people infected with
the hepatitis C virus. The aims of this pilot study were to assess the efficacy
and safety of silymarin on serum hepatitis C virus (HCV) RNA, serum
aminotransferases (ALT, AST) levels, liver fibrosis and well-being in patients
with chronic hepatitis C (CHC). METHODS: This prospective self-controlled trial
study was conducted from March to September 2006 at Department of
Gastroenterology, Isfahan University of Medical Sciences, Isfahan, Iran. 55
patients with HCV (10 female and 45 male) with a mean age of 31.8 +/- 6.4 years
(10-67 years) were participated in the study. Patients received 24 weeks of
silymarin (630 mg/day). Baseline virological biochemical, liver fibrosis (by a
serum fibrosis markers, including YKL-40 and Hyaluronic acid), and SF-36
questionnaire were performed with biochemical tests repeated at the end of the
treatment period. RESULTS: There was statistically difference in mean of ALT
(108.7 +/- 86.6 vs 70.3 +/- 57.7) before and after the treatment (p < 0.001). The
means of AST were 99.4 +/- 139.7 and 59.7 +/- 64.32 before and after the
treatment with statistically differences (p = 0.004). After the treatment, nine
patients were found with negative HCV-RNA (p = 0.004) and statistically
significant improvement in results of liver fibrosis markers were found only in
fibrosis group (p = 0.015). Quality of life was improved significantly (p <
0.001). CONCLUSIONS: This study indicated that in patients with CHC performing
silymarin (650 mg/day) for 6 months, improved serum HCV-RNA titer, serum
aminotransferases (ALT, AST), hepatic fibrosis and patient's quality of life.
More future studies are warranted.
PMID- 22091247
TI - Genotyping of peroxisome proliferator-activated receptor gamma (PPAR-gamma)
polymorphism (Pro12Ala) in Iranian population.
AB - BACKGROUND: The peroxisome proliferator-activated receptor-gamma (PPAR-gamma) is
a nuclear hormone receptor. It is predominantly expressed in adipose tissue and
as a receptor for thiazolidinediones, it has drawn attentions towards itself as a
key molecule to trigger pathways involving in some diseases such as cancers, type
2 diabetes, inflammations and osteoporosis. A proline changed to alanine in codon
12 of PPAR-gamma gene (Pro12Ala) has been known to be responsible for decreased
risk of type 2 diabetes. The aim of the present study is to investigate the
frequency of Pro12Ala polymorphism in PPAR-gamma in healthy Iranian population to
compare with other populations. Understanding this polymorphism may help us in
better diagnosis, prevention, and therapeutic approaches toward a better
management of diseases such as type 2 diabetes and osteoporosis. METHODS: 128
healthy volunteers were enrolled in this study. To determine single nucleotide
polymorphisms (SNPs), we did real time polymerase chain reaction (RT-PCR), using
TaqMan allelic discrimination assays. RESULTS: Genotype frequencies for PPAR
gamma gene Pro12Ala (rs1801282) polymorphism were 0.86 for CC, 0.14 for CG, 0.00
for GG while allelic frequencies were 0.93 and 0.0.07 for C and G, respectively.
CONCLUSIONS: There are statistical differences between the distribution of the
PPAR-gamma-2 Pro12Ala polymorphism in other populations and Iranian population.
PMID- 22091248
TI - Effect of soy phytoestrogen on metabolic and hormonal disturbance of women with
polycystic ovary syndrome.
AB - BACKGROUND: Phytoestrogens are a group of plants derived compounds with weekly
estrogen effect that appear to have protective effects on metabolic and hormonal
abnormalities of women with polycystic ovary syndrome (PCOS). So the aim of this
study was to investigate the effect of soy phytoestrogens on reproductive
hormones and lipid profiles in PCOS women. METHODS: In this quasi-randomized
trial, 146 subjects with PCOS were divided into two groups; the experimental
group who received Genistein (Bergamon, Italy) 18 mg twice a day orally and the
control group that received similar capsules with cellulose for 3 months.
Hormonal features and lipid profiles were measured before and after 3 months of
supplement therapy. RESULTS: After 3 months of supplement therapy there were no
statistically significant differences in high density lipoprotein cholesterol
(HDL) and follicle stimulating hormone (FSH) serum levels in Genistein and
placebo group before and after treatment; however serum levels of luteinizing
hormone (LH), triglyceride (TG), low density lipoprotein cholesterol (LDL),
dehydroepiandrostrone sulfate (DHEAS) and testosterone were significantly
decreased after 3 months therapy in Genistein group. CONCLUSIONS: Genistein
consumption may prevent cardiovascular and metabolic disorders in PCOS patients
by improving their reproductive hormonal and lipid profiles.
PMID- 22091249
TI - Successful prevention of tunneled, central catheter infection by antibiotic lock
therapy using cefotaxime.
AB - BACKGROUND: Chronic hemodialysis patients frequently require vascular access
through central venous catheters (CVCs). The most significant complication of
these catheters is infection. This risk can be lowered by the use of an
antibiotic-Heparin lock. This study focuses on hemodialysis patients using
Tunneled-cuffed catheters (TCC), to assess the rate of catheter-related
infections (CRI) in catheter-restricted filling with Cefotaxime and Heparin in
end stage renal disease patients. METHODS: A double-blind randomized study was
conducted to compare 5000 U/ml Heparin plus10 mg/ml cefotaxime (CE/HS) as
catheter-lock solutions, with Heparin (5000 U/ml) alone. A total of 30 patients
with end-stage renal disease and different etiologies, were enrolled for chronic
hemodialysis with permanent catheters from December 2009 to March 2010. These
patients were randomly assigned to two groups of 15 members. Blood samples were
collected for culture, sensitivity, and colony count, from the catheter lumen and
the peripheral vein. CRI was considered as the end point. RESULTS: The rate of
CRI was significantly lower in the cefotaxime group versus control group (p <
0.001). No exit site infection was occurred in both groups. Infection-free
survival rates at 180 days were 100% for the CE/HS group, and 56% for the HS
group. CONCLUSIONS: Antibiotic lock therapy using cefotaxime reduces the risk of
CRI in hemodialysis patients.
PMID- 22091250
TI - Situation analysis of local ethical committees in medical sciences in Iran.
AB - BACKGROUND: Local ethical committees in medical sciences in Iran were established
in 1999 in order to assess and evaluate the observance of ethical standards
throughout the universities and research centers. The purpose of this study is to
analyze the situation of local ethical committees in order to develop research
ethics guideline. METHODS: For this cross-sectional study which has been
conducted with the support of WHO, 40 local ethical committees in all
universities of medical sciences were evaluated by use of determined
questionnaires. RESULTS: In this study, 40 universities of medical sciences
participated; all of them have established local ethical committees. Each
committee has 5 to 11 members and in more than 80% cases, written guidelines for
selecting the committee's members are available. The minimum number of members
for official session is at least 3 and replacement of absent members, did not
take place in more than 85% of the committees. Informed consent in 95% of these
local ethical committees is available. In all committees, researches regarding
the use of human subjects are under ethical consideration. In half of the local
ethical committees, penalties for non-compliance with the regulations are
considered. The average number of research project evaluated in last session of
these committees was 15.2 and the committees in 50% of cases have provided ethics
training specifically for their members. CONCLUSIONS: Policymakers should develop
a standard guideline for local ethical committees in medical science universities
in Iran.
PMID- 22091251
TI - Evaluation of breast self-examination program using Health Belief Model in female
students.
AB - BACKGROUND: Breast cancer has been considered as a major health problem in
females, because of its high incidence in recent years. Due to the role of breast
self-examination (BSE) in early diagnosis and prevention of morbidity and
mortality rate of breast cancer, promoting student knowledge, capabilities and
attitude are required in this regard. This study was conducted to evaluation BSE
education in female University students using Health Belief Model. METHODS: In
this semi-experimental study, 243 female students were selected using multi-stage
randomized sampling in 2008. The data were collected by validated and reliable
questionnaire (43 questions) before intervention and one week after intervention.
The intervention program was consisted of one educational session lasting 120
minutes by lecturing and showing a film based on HBM constructs. The obtained
data were analyzed by SPSS (version11.5) using statistical paired t-test and
ANOVA at the significant level of alpha = 0.05. RESULTS: 243 female students aged
20.6 +/- 2.8 years old were studied. Implementing the educational program
resulted in increased knowledge and HBM (perceived susceptibility, severity,
benefit and barrier) scores in the students (p <= 0.01). Significant increases
were also observed in knowledge and perceived benefit after the educational
program (p <= 0.05). ANOVA statistical test showed significant difference in
perceived benefit score in students of different universities (p = 0.05).
CONCLUSIONS: Due to the positive effects of education on increasing knowledge and
attitude of university students about BSE, the efficacy of the HBM in BSE
education for female students was confirmed.
PMID- 22091252
TI - Comparative study of two anesthesia methods according to postoperative
complications and one month mortality rate in the candidates of hip surgery.
AB - BACKGROUND: Surgery for hip fractures can be done under general or neuraxial
anesthesia. This study aimed to compare these two methods concerning their
postoperative complications, duration of operation, hospitalization and the
mortality rate within a period of one month after surgery. METHODS: 400 patients
aged more than 30 years old and scheduled for elective operative fixation of
fractured hip, randomly enrolled in two equal groups of general (GA) and
neuraxial (NA) anesthesia. Hemoglobin level was measured before and 6 hours, 2, 3
and 5 days after the surgery. The intra and postoperative blood loss, duration of
surgery, the severity of pain at the time of discharge from recovery and at the
2(nd), 3(rd) and 5(th) postoperative days were recorded. Statistical analysis was
performed using SPSS version 12.0 by Mann-Whitney, chi-square, and t tests. P <
0.05 was considered significant. RESULTS: Both groups were similar regarding age,
weight, and gender ratio. There was no significant difference in baseline
hemoglobin, duration of surgery, length of hospitalization before surgery and the
type of surgery. The mean of intraoperative blood loss and visual analogue score
(VAS) in recovery and at the 3(rd) postoperative day, and also the length of
hospitalization after surgery were significantly less in neuraxial anesthesia
group. Both groups showed a significant decrease in hemoglobin values on the
2(nd) and 3(rd) postoperative days comparing to the baseline (p < 0.001).
CONCLUSIONS: The morbidity and mortality rates of patients undergoing hip surgery
were similar in both methods of anesthesia, but postoperative pain, blood loss,
and duration of hospitalization were more in patients undergoing general
anesthesia.
PMID- 22091253
TI - An alternative management procedure after inadvertent dural puncture.
AB - BACKGROUND: Accidental dural puncture during epidural blockade is a rare
complication. Since postdural puncture headache (PDPH) is the most frequent
complication, a wisely management method will also reduce the incidence of PDPH.
METHODS: Five patients who had inadvertent dural puncture during the epidural
procedure before hip or knee arthroplasty were included in this study. After
dural puncture we drew back the epidural needle and placed an epidural catheter
into the epidural space. RESULTS: Epidural anesthesia and postoperative epidural
analgesia have been provided successfully in all cases. 20% showed PDPH.
CONCLUSIONS: These results demonstrate the effectiveness of management skills
after inadvertent dural punctures.
PMID- 22091254
TI - Obesity predictors in people with chronic spinal cord injury: an analysis by
injury related variables.
AB - BACKGROUND: Despite an elevated obesity risk in people with spinal cord injury
(SCI), investigation on the effects of age, obesity predictors, and injury
related factors is yet to be unknown within the SCI population. METHODS: Obesity
predictors were measured in 162 patients. RESULTS: 27.5% of the participants were
overweight and 5.6% of them were obese. Mean BMI was different between patients
with tetraplegia and paraplegia (p < 0.01). More than 20% of participants had
central obesity, significantly patients with higher age and time since injury.
CONCLUSIONS: Significant positive relationship was found between level of injury
and BMI. Participants with higher age and time since injury had higher waist
circumference.
PMID- 22091256
TI - Intervention in the learning process of second year medical students.
AB - BACKGROUND: It has been demonstrated that educational programs that focus on
study skills could improve learning strategies and academic success of university
students. Due to the important role of such supportive programs aimed at the
fresh students, this survey was carried out to investigate the effectiveness of
an optional course of learning and study skills on learning and study skills of
second year medical students. METHODS: This quasi-experimental research was
performed on 32 eligible medical students in Isfahan University of Medical
Sciences, who chose the optional course of learning and study skills. Both of
intervention and control groups completed Learning and Study Strategies Inventory
(LASSI) at the beginning and the end of semester. Students in the intervention
group studied different components of reading and learning skills using team
working. Their final scores were calculated based on written reports on
application of study skills in exams (portfolio), self-evaluation form and their
progress in LASSI test. The mean differences of scores before and after
intervention in each of ten test scales were compared between two groups.
RESULTS: The results showed that the mean difference scores in attitude, time
management, information processing, main ideas selection, study aids and self
testing scales were significantly higher in the intervention group (p < 0.05 for
all). CONCLUSIONS: This optional course successfully improved learning strategies
in the corresponding classroom activities. However, there was no improvement in
the motivational scale which is tightly related to the educational success.
Therefore, the implementation of educational programs with an emphasis on meta
cognitional aspects of learning is recommended.
PMID- 22091255
TI - Takotsubo cardiomyopathy or broken heart syndrome: A review article.
AB - Stress-induced cardiomyopathy or Takotsubo cardiomyopathy is a recently
increasing diagnosed disease showed by transient apical or mid left ventricular
dilation and dysfunction. This sign is similar to acute myocardial infarction but
without significant coronary artery stenosis and intra coronary clots. On the
other hand there are important and essential differences in their management.
Consequently, our physicians should know about its pathophysiology, diagnosis and
treatment.
PMID- 22091257
TI - Thrombotic Thrombocytopenic Purpura associated with Clopidogrel: a case report
and review of the literature.
AB - Thrombotic Thrombocytopenic Purpura (TTP) is a life threatening, multisystem
disease characterized by thrombocytopenia, microangiopathic hemolytic anemia,
neurological changes, renal failure, and fever. These signs and symptoms are
thought to be caused by microthrombi, composed of agglutinated platelets and
fibrin, which deposit in the arterioles and capillaries without mediation by an
inflammatory process. TTP can occur in the first two weeks of initiation of
Clopidogrel therapy. Early signs of TTP may be a skin reaction, which may precede
the onset of TTP or it may be other type of purpura or neurological changes. We
report the clinical and laboratory findings in a 67 years old female patient in
whom TTP developed soon after treatment with 40 mg/day oral Clopidogrel after 8
days. She developed thrombocytopenia (platelets count 12000 /mm3). Her clinical
signs and symptoms were fever (39.6C), bleeding from the nose and gum, large skin
bruises (purpura and ecchymoses), neurological changes including hallucinations,
bizarre behavior, altered mental status (fluctuating), headache, and renal
dysfunction. Physicians should be aware of the possibility early onset of this
syndrome when initiate Clopidogrel treatment.
PMID- 22091258
TI - Intracranial foreign body granuloma simulating brain tumor: a case report.
AB - Intracranial foreign body granulomas are rarely reported. Clinical symptoms
caused by foreign body granulomas can be noticed from months to many years after
surgical procedure. The most common reported etiology is suture material. A 45
year-old woman was presented with grand mal epilepsy. She was operated for brain
tumor 19 years ago. In CT scan, a round radio-dense mass resembling a tumor at
anterior fossa was seen. She underwent craniotomy and resected a granuloma with
cotton fibers surrounded by yellow capsule without residual or recurrent tumor.
Granuloma can mimic intracranial meningioma and special attention should be paid
not to leave cotton pledgets during operations.
PMID- 22091259
TI - Effects of low dose methotrexate on relapsing-remitting multiple sclerosis in
comparison to Interferon beta-1alpha: A randomized controlled trial.
AB - BACKGROUND: Methotrexate, a toxic antimetabolite that limits cellular
reproduction by acting as an antagonist to folic acid, has been used to control
autoimmune disease with different results. The aim of this study was to evaluate
the effectiveness of low dose Methotrexate in the relapsing-remitting multiple
sclerosis (RRMS). METHODS: Eighty patients with definite RRMS aged 15 to 55 years
were randomly allocated to receive a 12-month treatment course of either oral
Methotrexate (7.5 mg/week) or intramuscular Interferon beta-1alpha (30 MUg/week).
Response to treatment was assessed at 12 months after start of therapy. RESULTS:
The results of the study demonstrated significant reduction in relapse rate in
both groups (p < 0.01). In 40 patients treated by Methotrexate, the mean value
(SD) of relapse rate decreased from 1.75 (0.74) to 0.97 (0.83) (p < 0.01).
Correspondingly, the mean value (SD) of relapse rate in patients treated by
Interferon beta-1alpha decreased from 1.52 (0.59) to 0.57 (0.78) (p < 0.01).
Decrease of relapse rate in Interferon beta-1alpha group was more than that in
the other group (p = 0.06). CONCLUSIONS: This study suggests that although
treatment with Methotrexate may significantly reduce relapse rate and slow
progression of disease in patients with RRMS, its efficacy is less than
Interferon beta-1alpha and it may be better used as add-on therapy.
PMID- 22091260
TI - Comparing the outcomes of open surgical procedure and percutaneously peritoneal
dialysis catheter (PDC) insertion using laparoscopic needle: A two month follow
up study.
AB - BACKGROUND: This study was performed to compare the outcomes of open surgical
procedure and percutaneously peritoneal dialysis catheter (PDC) insertion using
laparoscopic needle. METHODS: This randomized clinical trial study was conducted
in the Nephrology Department in Noor Hospital, Isfahan, Iran between 2009 and
2010. 64 uremic patients were randomized into two study groups using random
allocation software. Thirty four catheters were inserted percutaneously (P group)
and 30 catheters were placed surgically (S group). Collected information included
demographic data, body mass index, and cause of renal disease, duration of
operation and length of hospitalization. Outcomes were considered as mechanical
and infectious complications. RESULTS: There were no significant differences in
age, gender, the mean of body mass index, having history of hemodialysis,
diabetes mellitus, hypertension, ischemic heart disease, and length of
hospitalization. Hemopenitoneom was more frequent in S group than P group (13.3%
versus 3.2%; p < 0.0001). There was no significant difference between two groups
in early peritonitis, early leakage, hernia, hollow viscous perforation, catheter
obstruction, and malpositioning and the time of peritoneal dialysis onset.
Outflow failure and the exit site infection were more frequent in S group than P
group (p < 0.0001). Mean of the operative time was longer in S group than P group
(27.70 +/- 2.79 minutes versus 10.48 +/- 1.91 minutes, p < 0.001). CONCLUSIONS:
Percutaneous catheter insertion has fewer rate of complications and is less time
consuming in comparison with surgical method.
PMID- 22091261
TI - Effects of daily milk supplementation on improving the physical and mental
function as well as school performance among children: results from a school
feeding program.
AB - BACKGROUND: School feeding programs are important interventions for improving the
nutritional status of students. Therefore, this study was conducted to evaluate
the effects of milk supplementation on physical, mental and school performance of
students. METHODS: This case-control population-based intervention was conducted
on 469 students from 4 schools in a medium socio-economic status region in
Tehran. The schools were chosen by Iranian ministry of education and training and
they were allocated in case and control groups randomly. All the students in the
first to third classes in the intervention schools were daily consumed sterilized
and homogenized milk for three months (250 ml each). Anthropometric measurements
were done according to the standard methods. For evaluating the mental function,
the Raven's Coloured Progressive Matrices (CPM) and Wechsler Intelligence Scale
for children (verbal, non-verbal, total Intelligent Quotient) were conducted on
students. School performance was assessed by grade-point averages of each
student. RESULTS: The weight of children was significantly different between
control and intervention group at the end of the study among girls (23.0 +/- 3.8
vs. 23.8 +/- 4.3 kg; p < 0.05). Psychological tests' scores were significantly
different between the control and the intervention groups (p < 0.05) at the end
of the trial among boys. The grade-point average was significantly different at
the end of the trial between the intervention and the control group among girls
(p < 0.05). CONCLUSIONS: School feeding programs focus on milk supplementation
had beneficial effects on the physical function and school performances
specifically among girls in Iran.
PMID- 22091262
TI - The efficacy of preventive parasternal single injection of bupivacaine on
intubation time, blood gas parameters, narcotic requirement, and pain relief
after open heart surgery: A randomized clinical trial study.
AB - BACKGROUND: Postsurgical pain usually results in some complications in the
patients. This study has tried to investigate the effects of parasternal single
injection of bupivacaine on postoperative pulmonary and pain consequences in
patients after open heart surgery. METHODS: In a prospective double blind
clinical study, 100 consenting patients undergoing elective open heart surgery
were randomized into two groups. In case group, bupivacaine was injected at both
sides of sternum, immediately before sternal closure. In the control group, no
intervention was performed. Then, the patients were investigated regarding
intubation period, length of ICU stay, arterial blood gas (ABG) parameters,
morphine requirement, and their severity of postoperative pain using a visual
analogue scale (VAS) device. RESULTS: No differences were found between the two
groups regarding to age, sex, pump time, operation time, and body mass index and
preoperative cardiac ejection fraction. Mean intubation length in case group was
much shorter than that in control group. Mean PaO(2) in case group was lower in
different checking times in postoperative period. The patients in the case group
needed less morphine compared to those in the control group during the 24-hour
observation period in the ICU. Finally, mean VAS scores of pain in case group
were significantly lower than those in control group at 6, 12, and 24 hours
postoperatively. CONCLUSIONS: Patients' pain relief by parasternal single
injection of bupivacaine in early postoperative period can facilitate earlier
ventilator weaning and tracheal extubation after open heart surgery as well as
achieving lower pain scores and narcotic requirements.
PMID- 22091263
TI - Comparing angiography features of inferior versus anterior myocardial infarction
regarding severity and extension in a cohort of Iranian patients.
AB - BACKGROUND: The location of acute myocardial infarction (MI) is an important
prognostic factor for risk stratification of patients with first ST-segment
elevation MI (STEMI). The main goal of this study was to compare the severity and
extension of coronary involvement in inferior and anterior MI. METHODS: This
study reviewed angiographic reports of 579 patients with a first anterior wall
STEMI and 690 with a first inferior STEMI that were referred to Tehran Heart
Center between March 2004 and September 2007. The number of coronary vessels
involvement and the presence of left main lesion were determined based on
angiography reports. The Gensini score was also calculated for each patient from
the coronary arteriogram. RESULTS: Incidence of left main lesion was similar
between the two groups. Although coronary arteries involvement according to
Gensini score was more severe in anterior wall MI group compared with inferior
wall MI group, the number of involved coronary arteries was significantly higher
in the inferior MI patients. Recommendation of coronary artery bypass grafting,
percutaneous coronary intervention (PCI) or medical treatment were the same for
both groups; however, patients with anterior MI were treated more with primary
PCI. CONCLUSIONS: According to our angiography database, despite anterior wall MI
is associated with more severity of coronary artery disease; inferior wall MI is
more extent with regard to the number of involved coronary vessels. Location of
MI can predict the severity and extension of infarction.
PMID- 22091264
TI - The effect of omega-3 on the serum visfatin concentration in patients with type
II diabetes.
AB - BACKGROUND: Visfatin is an adipocytokine which is secreted from adipose tissue
and can affect on the diabetes inflammatory reaction and also serum lipids level.
On the other hand, Omega-3 can also prevent formation of insulin resistance. In
the present study, the effect of Omega-3 on the serum visfatin concentration was
evaluated. METHODS: 71 women with type II diabetes were randomly assigned to the
group that took Omega-3 capsules or control group with placebo capsules. In the
first step, study subjects filled a questionnaire collecting their age, height,
weight, waist circumference, and hip circumference. Also their blood samples were
taken for blood tests. In the second step, the intervention was done for 8 weeks
and in the third step the aforementioned were collected again. In the blood
samples visfatin and lipid profiles (low density lipoprotein [LDL], high density
lipoprotein [HDL], triglyceride [TG], and cholesterol), glucose and HbA1c were
measured. RESULTS: There was no significant difference in serum visfatin level
between Omega-3 and placebo groups before the intervention (p = 0.14), while
after the intervention, the mean serum visfatin level in the Omega-3 group was
significantly higher (p < 0.001). In addition, the mean difference between the
serum visfatin level before and after the intervention in both groups was
significant (p < 0.001). CONCLUSIONS: This study showed an increase in visfatin
level following consuming Omega-3 fats but according to controversial issues on
insulin-like function of visfatin, the effects of Omega-3 on diabetes should be
studied more in further studies.
PMID- 22091265
TI - Seroepidemiology of Toxoplasma gondii infection in Isfahan province, central
Iran: A population based study.
AB - BACKGROUND: Toxoplasmosis is a worldwide infection. Most studies on toxoplasmosis
are in women in child bearing ages. We conducted an epidemiological survey on the
population of the Isfahan Province to identify characteristics of the individuals
associated with seropositivity. METHODS: In a cross sectional study, 599 serum
samples were randomly collected for seroepidemiology of Hepatitis A and E, and
were also used for titration of IgG anti-toxoplasma gondii antibody by a
commercial enzyme-linked immunosorbent assay (ELISA) kit. Data were analyzed
using SPSS software(15). Chi square and Fisher exact tests were employed to
examine antibody status in different age, gender, education and residency groups.
RESULTS: The overall prevalence was 41.4% (248/599). There was a statistical
significant association between seroprevalence with age, education, and gender (p
< 0.05) but not with residency state. The peak age for acquisition of the
infection in females was 20-29 and in males 20-39 years old. CONCLUSIONS: Results
of current study showed a moderate prevalence of toxoplasma gondii infection.
However higher seroconversion rate in active social and reproductive ages,
females and low educated individuals necessitate active preventive programs in
these high risk groups.
PMID- 22091266
TI - Influence of the timing of cardiac catheterization and amount of contrast media
on acute renal failure after cardiac surgery.
AB - BACKGROUND: There is limited data about the influence of timing of cardiac
surgery in relation to diagnostic angiography and/or the impact of the amount of
contrast media used during angiography on the occurance of acute renal failure
(ARF). Therefore, in the present study the effect of the time interval between
diagnostic angiography and cardiac surgery and also the amount of contrast media
used during the diagnostic procedure on the incidence of ARF after cardiac
surgery was investigated. METHODS: Data of 1177 patients who underwent different
types of cardiac surgeries after cardiac catheterization were prospectively
examined. The influence of time interval between cardiac catheterization and
surgery as well as the amount of contrast agent on postoperative ARF were
assessed using multivariable logistic regression. RESULTS: The patients who
progressed to ARF were more likely to have received a higher dose of contrast
agent compared to the mean dose. However, the time interval between cardiac
surgery and last catheterization was not significantly different between the
patients with and without ARF (p = 0.05). Overall, postoperative peak creatinine
was highest on day 0, then decreased and remained significantly unchanged after
this period. Overall prevalence of acute renal failure during follow-up period
had a changeable trend and had the highest rates in days 1 (53.57%) and 6
(52.17%) after surgery. Combined coronary bypass and valve surgery were the
strongest predictor of postoperative ARF (OR: 4.976, CI = 1.613-15.355 and p =
0.002), followed by intra-aortic balloon pump insertion (OR: 6.890, CI = 1.482
32.032 and p = 0.009) and usage of higher doses of contrast media agent (OR:
1.446, CI = 1.033-2.025 and p = 0.031). CONCLUSIONS: Minimizing the amount of
contrast agent has a potential role in reducing the incidence of postoperative
ARF in patients undergoing cardiac surgery, but delaying cardiac surgery after
exposure to these agents might not have this protective effect.
PMID- 22091267
TI - Effect of nasal beclomethasone spray in the treatment of otitis media with
effusion.
AB - BACKGROUND: Antimicrobials treatment of Otitis media (OM) reduces some
complications, but some of chronic complications, and specially otitis media with
effusion (OME), seem to increase. Theoretically the usage of nasal corticosteroid
sprays may prevent this problem by reducing the local inflammation around the
eustachian tube. So, this study aimed to evaluate the role of nasal
corticosteroid spray as an adjuvant for the treatment of OME. METHODS: In a
randomized, prospective clinical trial, 2 groups of 46 subjects who had OME were
recruited. A questionnaire containing patients' characters, history, complaints,
otologic examinations, and the report of tympanometries was filled for all before
and after treatment. We administered a period of amoxicillin and a decongestant
for both group and nasal beclomethasone spray only for case group. RESULTS:
Thirty five of cases (76.1%) and 22 (47.8%) of controls had an improvement in
their symptoms or the quality of hearing (p = 0.005). Partial remission was the
most common finding in 52.2% of the patients in the case group but for control
group there was no change (p = 0.024). The higher improvement in the tympanic
retraction in the case group was significant (p < 0.05). A significant better
tympanometric result has showed in the treatment of left ear in the patients of
the case group (p = 0.038) but not for right ear (p = 0.136). CONCLUSIONS: We
concluded that the administration of nasal beclomethasone spray as an adjuvant
for the treatment of OME not only improved the results treatment but also
increased the resolution of symptoms and the patients' quality of hearing.
PMID- 22091268
TI - Efficacy of desmopressin in treatment of nocturia in elderly men.
AB - BACKGROUND: Nocturia may be due to urological and non-urological diseases and
some of the possible underlying non-urological diseases may be life-threatening.
We investigated the efficacy and safety of lowest dose of oral desmopressin in
treatment of nocturia in elderly men. METHODS: 60 old men referring to urology
clinic of Imam Reza hospital in Tehran, Iran from 2008-2009 for treatment of
nocturia were included in a double-blind placebo-controlled study. Patients were
randomly divided into 2 study groups (30 patients in each group). Care was taken
to match the patients of the 2 groups by age and clinical criteria. They
complained of about 2 voids per night. We divided the patients into 2 study
groups. Patients belonging to group A (n = 30) received placebo and patients of
group B (n = 30) received 0.1 mg desmopressin at bed time for 8 weeks. Patients
were assessed after 4 and 8 weeks of treatment. The means were compared using
paired sample t-test and chi-square test for time of nocturia before and after
treatments and also between the two groups. ANOVA test was used for assessement
of statistical differences between outcomes of the two groups. RESULTS: Mean
number of nocturia before and after receiving desmopressin were 2.6 and 1.6
respectively which differed significantly (p < 0.001). Mean number of nocturia
before and after receiving placebo were 2.5 and 2.3 respectively with no
significant difference (p = 0.344). After 4 weeks of treatment with desmopressin,
17 patients (56.7%) had less than 2 voids, 5 patients (16.7%) had 2 voids and 8
(26.7%) had more than 2 voids per night (p < 0.05). After 8 weeks, patients were
evaluated and it was noticed that in group B, 4 patients (13.3%) had 2 voids, 24
(80%) had less than 2 voids and 2 patients (6.7%) had more than 2 voids per night
(p = 0.004). CONCLUSIONS: Oral administration of desmopressin is an effective and
well-tolerated treatment for nocturia in elderly men.
PMID- 22091269
TI - Spinal anesthesia versus general anesthesia for elective lumbar spine surgery: A
randomized clinical trial.
AB - BACKGROUND: Either general or regional anesthesia can be used for lumbar disk
surgery. The common anesthetic technique is general anesthesia (GA). The aim of
this study was to compare the intra and postoperative outcomes of spinal
anesthesia (SA) with GA in these patients. METHODS: Seventy-two patients were
enrolled in the study. They were randomized into two groups with 37 patients in
GA Group and 35 ones in SA Group. The heart rate (HR), mean arterial pressure
(MAP), blood loss, surgeons satisfaction with the operating conditions, the
severity of postoperative pain based on visual analogue scale (VAS) and analgesic
use were recorded. RESULTS: The mean blood loss was significantly less in the SA
Group compared to GA Group (p < 0.05). Intraoperative maximum blood pressure and
heart rate changes were significantly less in SA Group (p < 0.05). The surgeons
satisfaction was significantly more in the SA Group (p < 0.05). The number of
patients who used postoperative analgesic as well as postoperative mean VAS was
significantly less in SA Group in comparison with GA group (p < 0.05 for both).
CONCLUSIONS: Our study showed that SA was superior to GA in providing
postoperative analgesia and decreasing blood loss while maintained better
perioperative hemodynamic stability without increasing adverse side effects.
PMID- 22091270
TI - The relationship between parameters of static and dynamic stability tests.
AB - BACKGROUND: Stability is often described to be static (quiet standing) and
dynamic (maintaining a stable position while undertake a prescribed movement).
Many researchers have used only static tests to evaluate the stability of normal
and handicapped subjects. However, it is important to evaluate the stability of
subjects while undertaking various tasks (dynamic stability). It is not currently
clear whether static balance can predict dynamic balance or not. Therefore, the
aim of this research was to investigate the relationship between parameters of
static and dynamic stability tests. METHODS: The current clinical trial study was
carried out in the Bioengineering Unit of Strathclyde University during 2008 and
2009. The normal subjects with no history of musculoskeletal disorders from staff
and students of the Unit were selected in this study. Twenty-five normal subjects
were recruited to participate in this research project. They were asked to stand
on a force plate in quiet standing and while undertaking various hand tasks. The
functional stability of the subjects was measured while transverse and vertical
reaching tasks were undertaken. The correlation between various parameters of
stability in quiet standing and functional hand tasks was evaluated using Pearson
correlation. RESULTS: There was no significant correlation between static and
dynamic stability parameters. The Pearson correlation coefficients for all
parameters regarding the static and dynamic tests were less than 0.46.
CONCLUSIONS: As there was no correlation between stability parameters in quiet
standing and while performing various hand tasks, it is not practical to discuss
ability of the subjects to control their balance while undertaking various hand
tasks based on static balance ability.
PMID- 22091271
TI - Comparing immune response of intradermal low dose versus intramuscular high dose
of hepatitis B vaccination in hemodialysis patients.
AB - BACKGROUND: Hepatitis B is the most important cause of cirrhosis in developing
countries. Hemodialysis patients are susceptible to infection due to repeated
contact with dialysis machines and blood products. The aim of this study was to
compare the efficacy of intradermal low dose with intramuscular high dose
hepatitis B vaccination in hemodialysis patients. METHODS: In a cross-sectional
study on 24 hemodialysis patients that not responded to conventional method of
vaccination in this center (double dose in 0, 1 and 6 months) and have antibody
titer less than 10 mu/ml were enrolled to intramuscular or intradermal group,
randomly. In intradermal (ID) group 10 MUg (0.5 ml) recombinant vaccine, every 2
weeks to 6 months and in intramuscular (IM) group 40 MUg (2 ml) at 0, 1, 2, and 6
months were prescribed and antibody titer were checked after 1 and 3 months of
the end of vaccination. RESULTS: Mean HBS antibody titer in patients was 4.4 +/-
3.1 mu/ml at the beginning of study (minimum: 1.1 mu/ml and maximum: 9.2 mu/ml)
and after 1 month and 3 months, mean HBS antibody were 190.4 +/- 59 and 223.3 +/-
83.9, respectively (p < 0.001). After one month, in intradermal and intramuscular
groups, mean HBS antibody was 198.8 +/- 75.6 mu/ml and 181.2 +/- 61.8 mu/ml,
respectively (p = 0.5) and after 3 months it was 230 +/- 76 mu/ml and 216.2 +/-
94.3 mu/ml, respectively (p = 0.83). CONCLUSIONS: Antibody titer was high (> 50
mu/ml) in two groups after 1 and 3 months of vaccination and no significant
difference was found between the 2 groups. Therefore, two methods of vaccination
(high dose IM and low dose SC) are equally effective and the selection of
vaccination method is based on health policy.
PMID- 22091272
TI - Designing a health equity audit model for Iran in 2010.
AB - BACKGROUND: Health equity audit, as an alternative solution, is a process by
which local partners systematically review inequalities in the patients' health,
their access to appropriate services and health system outputs. Then, necessary
activities needed in order to have more equitable services are agreed on and
these concurrences become the executive scheme and action initiates. Therefore,
it is pivotal for health care organizations to pay special attention to this
important topic. The objective of the current study was to review the health
equity audit model in different countries to gather viewpoints of various
involved groups in health sector, particularly health experts, and to offer a
practical and appropriate model for health equity audit in Iran. METHODS: This
study adopted applied research approach in two phases. In the first step, this
study conducted theoretical health equity audit models in the texts; the
experiences of other countries were studied and the most appropriate model for
Iranian health system was selected. In the second step, this study employed the
Delphi technique. According to the Delphi technique the questionnaire applied in
order to gather data and then, the final model was extracted. RESULTS: Agreeable
topics, performing agencies, 6 equity audit stages, and equity indicators under 3
main parts with 16 sub-sections were elaborated and viewpoints of Iranian experts
in the above fields were gathered and presented as the proposed health equity
audit model for Iran. CONCLUSIONS: This study reviewed the model of health equity
audit for UK and provided a comparative model for health system of Iran with
respect to the opinions of academic experts.
PMID- 22091273
TI - Childhood obesity and parks and playgrounds: A review of issues of equality,
gender and social support.
AB - The childhood obesity has been a growing concern over the last decade all over
the world. Built environmental characteristics such as parks and playgrounds
serves as a reference point for physical activity in children. The equality
issues related to ethnicity, Social Economic Status (SES), gender and social
support have been related with both physical activity and presence and quality of
parks and playgrounds. However, only limited studies have addressed these issues
in children. The current paper is a general enumerative review that would
discusses the above issues with respect to obesity in all age groups, giving
particular emphasis to childhood obesity. The importance of this review is to
further explore the importance and highlight the findings related to these
issues, so that future original studies could be planned keeping these
associations in mind.
PMID- 22091274
TI - Evidence-based history taking under "time constraint".
AB - Physicians all through the world visit patients under time limitations. The most
important troubled clinical skill under "time constraint" is the diagnostic
approach. In this situation, clinicians need some diagnostic approaches to reduce
both diagnostic time and errors. It seems that highly experienced physicians
utilize some special tactics in this regard. Evidence-based medicine (EBM) as a
relatively new paradigm for clinical practice stresses on using research
evidences in diagnostic evaluations. The authors aimed to evaluate experts'
strategies and assess what EBM can add to these tactics. They reviewed diagnostic
strategies of some veteran internists in their busy outpatient clinics and
proposed an evidence-based diagnostic model engaging clinical experience and
research evidence. It appears that every clinician utilizes a set of "key
pointer" questions for decision-making. In addition to use of evidence-based
resources for making differential diagnosis and estimating utility of various
diseases, clinicians should use "key pointers" with significant likelihood ratios
and from independent systems to reduce time and errors of history taking.
Clinical trainees can improve their practice by constructing their own set of
pointers from valid research evidences. Using this diagnostic model, EBM can help
physicians to struggle against their "time constraint".
PMID- 22091275
TI - Huge retroperitoneal liposarcoma.
AB - Liposarcoma are one of the common soft tissue sarcomas of adulthood which are
remarkable because of their frequently large size. We report a case with an
extremely large well-differentiated retroperitoneal liposarcoma that weighted 32
kilograms. The patient had relapse about one year later and two recurrent tumors
were successfully excised.
PMID- 22091276
TI - Metastatic medullary thyroid carcinoma: A case report.
AB - Medullary thyroid carcinoma accounts for 4% of thyroid carcinoma and originates
from parafollicular cells, secreting calcitonin and carcinoembryonic antigen
(CEA). Conventional radiographic modalities such as Computerized Tomography (CT),
Magnetic Resonance Imaging (MRI), and Ultrasonography (U/S), are used for
detecting recurrences following total thyroidectomy. However, metastatic disease
frequently escapes detection by the above modalities, even when its presence is
suggested by persistently elevated serum calcitonin levels. In this paper, we
report a case of medullary thyroid carcinoma in a 40 year-old woman who had whole
body octreotide scintigraphy to evaluate and detect the origin of calcitonin and
CEA secretion.
PMID- 22091277
TI - Malignant endometrial polyps: Report of two cases and review of literature with
emphasize on recent advances.
AB - Endometrial polyps are common pathologic findings in gynecologic pathology
practice. Although malignant changes in these lesions are uncommon, numerous
studies confirmed this association especially with endometrial serous and clear
cell carcinoma. Two cases of malignant endometrial polyps in association with
presumed precursor lesion in one of them are presented.
PMID- 22091278
TI - Theory based health education: Application of health belief model for Iranian
patients with myocardial infarction.
PMID- 22091279
TI - Fish oil increases atherosclerosis and hepatic steatosis, although decreases
serum cholesterol in Wistar rat.
AB - BACKGROUND: It is known that fish oil consumption decreases incidence of
cardiovascular disease. However, some studies showed that it increases
atherosclerosis as it does not get completely metabolized by the liver. The aim
of the present study was to investigate the effects of fish oil on aortic
atherosclerosis, hepatic steatosis and serum lipids in rats. METHODS: Twenty
pregnant Wistar rats were fed with a fish oil-containing diet or standard diet
(containing soy bean oil) during pregnancy and lactation and the pups were weaned
onto the same diet. Fasting blood samples, hepatic and aortic specimens were
taken from pups on day 70 postnatal. Data were analyzed with SPSS software, using
t-test, Mann-Whitney test and Spearman correlation coefficient. Values of p <
0.05 were considered significant. RESULTS: Medians for fatty streak in aorta of
fish oil fed and soy bean oil fed pups were 1.00 and 0.00, respectively, and P
value was 0.042. Also, medians for ductular cell hyperplasia of liver in fish oil
fed and soy bean oil fed pups were 1.00 and 0.00, respectively, and P value was
0.014. Total cholesterol in pups fed with fish oil was 52.20 mg/dl and in pups
fed with soy bean oil was 83.90 mg/dl (p < 0.00) and for low density lipoprotein
cholesterol (LDL-C) values were 8.79 mg/dl and 13.16 mg/dl, respectively (p =
0.031). CONCLUSIONS: According to the results of the present study, a diet which
provided 15.9% of energy from fish oil as the only source of dietary fat, induced
aortic atherosclerosis as well as hepatic steatosis in Wistar rat, although it
decreased total cholesterol and LDL-C.
PMID- 22091280
TI - Thoracic computerized tomographic (CT) findings in 2009 influenza A (H1N1) virus
infection in Isfahan, Iran.
AB - BACKGROUND: Pandemic 2009 H1N1 influenza A virus arrived at Isfahan in August
2009. The virus is still circulating in the world. The abnormal thoracic
computerized tomographic (CT) scan findings vary widely among the studies of 2009
H1N1 influenza. We evaluated the thoracic CT findings in patients with 2009 H1N1
virus infection to describe findings compared to previously reported findings,
and to suggest patterns that may be suggestive for 2009 influenza A (H1N1) in an
appropriate clinical setting. METHODS: Retrospectively, the archive of all
patients with a diagnosis of 2009 H1N1 influenza A were reviewed, in Al-Zahra
Hospital in Isfahan, central Iran, between September 23(rd) 2009 to February
20(th) 2010. Out of 216 patients with confirmed 2009 influenza A (H1N1) virus, 26
cases with abnormal CT were enrolled in the study. Radiologic findings were
characterized by the type and pattern of opacities and zonal distribution.
RESULTS: Patchy infiltration (34.6%), lobar consolidation (30.8%), and
interstitial infiltration (26.9%) with airbronchogram (38.5%) were the
predominant findings in our patients. Bilateral distribution was seen in 80.8% of
the patients. Only one patient (3.8%) showed ground-glass opacity, predominant
radiographic finding in the previous reports and severe acute respiratory
syndrome (SARS). CONCLUSIONS: The most common thoracic CT findings in pandemic
H1N1 were patchy infiltration, lobar consolidation, and interstitial infiltration
with airbronchogram and bilateral distribution. While these findings can be
associated with other infections; they may be suggestive to 2009 influenza A
(H1N1) in the appropriate clinical setting. Various radiographic patterns can be
seen in thoracic CT scans of the influenza patients. Imaging findings are
nonspecific.
PMID- 22091281
TI - The evaluation of Tetanus-diphtheria (Td) vaccine impacts on immune response to
hepatitis B (HB) vaccine in non-responder dialysis patients.
AB - BACKGROUND: The Hepatitis B (HB) vaccine response in hemodialysis patients is
less than healthy individuals. Different strategies have been taken into account
to improve the response rate. This study aimed to evaluate the effect of tetanus
and diphtheria (Td) vaccine as an adjuvant therapy to HB vaccination. METHODS:
Sixty three end-stage renal disease patients were recruited on dialysis that were
older than 18 years and had passed at least 3 doses of HB vaccination schedule,
and had HBS antibody (Ab) with titer less than 10 IU/L. The patients were divided
into two groups; A (30 patients) and B (33 patients). Both of the groups received
a 3-dose HB vaccination schedule of 40 MU g intramuscularly in the left deltoid
muscle at 0, 1 and 6 months. Group A also received Td vaccine intramuscularly
simultaneous with the first dose of HB vaccine. HBS Ab was measured in periods of
1 and 6 months after completion of the vaccination. RESULTS: One month after
completion of the vaccination, group A had better but not significant response
rate (96%) than group B (83.9%) (p > 0.05); in addition, after 6 month there was
no difference between the two groups (87.5% vs. 83.3%) (p > 0.05). Patients with
HCV infection had lower response rate than patients who did not have HCV
infection (33.3% vs. 92.5%) (p < 0.05). Age had negative effect on immune
response to HB vaccination (r = -0.339; p = 0.005). CONCLUSIONS: The use of Td
vaccine concurrent with HB vaccination may increase the response rate in non
responder individuals; however, it seems it does not have any role in the
persistence of immune response. Age and HCV infection negatively affected the
response to HB vaccination in dialysis patients.
PMID- 22091282
TI - The effects of bare metal versus drug-eluting stent implantation on circulating
endothelial cells following percutaneous coronary intervention.
AB - BACKGROUND: The purpose of this study was to compare the effects of bare metal
stents (BMS) and drug-eluting stents (DES) implantation on circulating
endothelial cells (CECs) which have been proposed as cellular markers of
endothelial dysfunction following percutaneous coronary intervention (PCI).
Recently, it has been established that DES further reduce restenosis and
revascularization rate compared to bare metal stents in elective procedures.
However, its benefits are compromised by the stent-related thrombosis events.
METHODS: 22 patients who were candidate of PCI were included in this study. The
patients underwent DES implantation (n = 11) or BMS implantation (n = 11). In all
patients the numbers of CECs were determined before and a week after stent
implantation using flow cytometry and the obtained data were compared within and
between groups by paired and unpaired Student's t-test, respectively. CECs were
defined as cells negative for CD45 (FITC) and highly double positive for CD146
(PE) and CD34 (PE-Cy5) expression. RESULTS: There were no significant differences
in the baseline levels of CECs between two groups (p = 0.96). Stent implantation
led to a significant increase in CECs compared with the preprocedural levels in
the BMS group (p = 0.005) whereas there was a significant decrease in CEC numbers
in DES group (p < 0.001). One week after stent implantation CECs count in BMS
group was significantly higher compared to DES group (p < 0.001). CONCLUSIONS:
The results indicate that patients undergoing DES implantation were subjected to
less endothelial injury than patients receiving BMS as indicated by CEC
enumeration.
PMID- 22091283
TI - Target-controlled infusion of remifentanil with propofol or desflurane under
bispectral index guidance: quality of anesthesia and recovery profile.
AB - BACKGROUND: Our objective was to examine the clinical properties of two
anesthetic regimens, propofol target-controlled infusion (TCI), or desflurane
using remifentanil TCI under bispectral index (BIS) guidance during ear, nose,
and throat (ENT) procedures. METHODS: FORTY CONSENTING PATIENTS WHO SCHEDULED FOR
ENT PROCEDURES WERE PROSPECTIVELY STUDIED AND WERE INCLUDED IN ONE OF THE TWO
GROUPS: TCI group or desflurane (DES) group. General anesthesia was induced with
3 ng mL(-1) and 4 MUg mL(-1) effect site concentrations (Ce) of remifentanil and
propofol, respectively, with TCI system. After intubation, while propofol
infusion was continued in the TCI group, it was ceased in the DES group and
desflurane with an initial delivered fraction of 6% was administered. The Ce of
propofol infusion and inspired fraction of desflurane was adjusted in order to
keep BIS as 50 +/- 10. RESULTS: General mean values of mean arterial pressure
(MAP) and heart rate (HR) for the TCI group was significantly higher than DES
group (89.3 mmHg and 72.4 bpm vs. 77.1 mmHg and 69.5 bpm). Early emergence from
anesthesia did not significantly differ between the groups. The rate of patients'
Aldrete score (ARS) to reach 10 was found to be 100% at the 15(th) min in both
groups. CONCLUSIONS: Bispectral index guided combinations of remifentanil TCI
either with propofol TCI or desflurane anesthetic regimens are both suitable for
patients undergoing ENT surgery. The lower blood pressure in the remifentanil TCI
with desflurane anesthetic regimens may be a significant advantage.
PMID- 22091284
TI - The effect of impregnated autogenous epidural adipose tissue with bupivacaine,
methylprednisolone acetate or normal saline on postoperative radicular and low
back pain in lumbar disc surgery under spinal anesthesia; A randomized clinical
trial study.
AB - BACKGROUND: Low Back Pain (LBP) and radicular leg pain (RLP) after lumbar disc
surgery are great challenges that prevent patients and neurosurgeons in making a
surgical decision. By spinal anesthesia, LBP and RLP diminish up to 2 to 3 hours
postoperatively. The aim of this study was to determine the effect of impregnated
epidural adipose tissue (EAT) with bupivacaine or methylprednisolone acetate on
reduction of late postoperative pain after spinal anesthesia. METHODS: This study
was performed on lumbar disc herniation surgery under spinal anesthesia. Sixty
six patients entered our study who were divided into three groups, EAT
impregnated with bupivacaine (group 1), methylprednisolone acetate (group2) and
normal saline (control group). The LBP and RLP were evaluated during the first 24
hours postoperatively and 14 days later by visual analogue scale (VAS). RESULTS:
Of 66 patients, 53% were female and 47% male. The average (SE) LBP in the first 6
hours after surgery based on VAS were 1.59 +/- 0.90 in group one, 2.36 +/- 2.38
in group 2 and 3.09 +/- 1.41 in control group but the VAS for RLP in this period
were 1.95 +/- 1.13, 1.31 +/- 1.39 and 2.40 +/- 1.09, respectively. The average
LBP and RLP did not show any differences after 14 days postoperatively.
CONCLUSIONS: According to our data bupivacaine was effective on LBP relief and
steroid was effective on RLP relief during the first 12 hours after surgery.
PMID- 22091285
TI - The relationship between type D personality and perceived social support in
myocardial infarction patients.
AB - BACKGROUND: Type D personality is based on two global and stable personality
traits, including negative affectivity (NA) and social inhibition (SI). The aim
of this study was to examine the relationship between type D personality and
perceived social support in post myocardial infarction (MI) patients. METHODS:
One hundred seventy six consecutive patients following MI admitted to the cardiac
care unit (CCU) of nine hospitals in Isfahan, Iran from April to September 2006
were selected based on the inclusive and exclusive criteria. The patients
completed the Persian version of type D personality scale and the Persian version
of multidimensional scale of perceived social support (MSPSS). Also, demographic
and medical questionnaire was completed for each patient. Chi-squared test, t
test and MANOVA were used to analyze the data. RESULTS: The findings indicated
that 35.8% patients (35.8 %) were classified as type D. The results of MANOVA
showed that type D patients were significantly different from non-type D patients
(F = 8.72, p = 0.0001) on MSPSS scores and on all dimensions including family
subscale (F = 11.52, p = 0.001), friends subscale (F= 16.16, p = 0.0001) and
significant others subscale (F = 5.04, p = 0.026). CONCLUSIONS: Type D
personality substantially affects the way MI patients perceive availability of
social support from different sources including family, friends, and significant
others. One implication of this finding may be to develop tailor-made
interventions for MI patients with type D.
PMID- 22091286
TI - Correlation of spot urine protein-creatinine ratio with 24-hour urinary protein
in type 2 diabetes mellitus patients: A cross sectional study.
AB - BACKGROUND: Diabetic nephropathy is the major cause for chronic renal failure
(CRF) and proteinuria is an independent risk factor for end stage renal disease.
Hence, early identification and quantification of proteinuria is of prime
importance in the diagnosis and management. METHODS: This study was conducted
amongst 42 diabetic subjects from HSK hospital, Bagalkot. Twenty four-hour urine
protein and random urine protein to creatinine ratio (P:C) was determined.
Pearson's correlation, sensitivity, specificity, positive and negative predictive
values were determined using 24-hour urinary protein as a gold standard for spot
urine P:C ratio. ROC curve and area under curve was also determined using SPSS
(11.5) software. All the results were expressed in mean+/-SD. RESULTS: Forty two
diabetes mellitus patients participated in this study. The average of 24 hour
urinary protein was 1.6 +/- 1.7 gm/day. The spot urine P:C ratio was 1.27 +/-
1.55. There was a positive correlation between 24 hours urinary protein and spot
urine P:C ratio (r = 0.925, p < 0.0001). The area under the ROC curve for urine
P:C ratio at various cutoff was 0.947 (95% confidence interval: 0.831-0.992, p <
0.0001). The sensitivity and specificity was 80.65% and 100% respectively at P:C
ratio cutoff of 0.3. CONCLUSIONS: The random urine P:C ratio predicts the amount
of 24-hour urinary protein excretion with high accuracy. Hence it can be used as
a faster diagnostic substitute for 24-hour urinary protein estimation.
PMID- 22091287
TI - The impact of generic form of Clopidogrel on cardiovascular events in patients
with coronary artery stent: results of the OPCES study.
AB - BACKGROUND: To compare the early and late cardiovascular events as well as side
effects of Osvix, a generic form of Clopidogrel versus Plavix regimens in
patients with chronic stable angina, undergoing bare metal stent (BMS) or drug
eluting stent (DES) placement, this study was carried out. METHODS: A total of
442 patients with chronic stable angina who were scheduled for elective
percutaneous coronary intervention (PCI) were included in a randomized, double
blind, multi-centric clinical trial being performed in 6 distinct university
hospitals in 5 cities of Iran from March 2007 to November 2009. Baseline,
demographic and history of risk factors were recorded using the patients' medical
charts. Stenting procedure was performed via transfemoral approach using low
osmolar contrast agents. Patients underwent BMS or DES placements based on the
physician selection and were randomly assigned to Osvix or Plavix groups.
Patients were followed by telephone in 0 and 6 months intervals regarding the
major adverse cardiovascular events (MACE) including death, myocardial
infarction, in-stent thrombosis, stroke, target lesion revascularization, and
target vascular revascularization. Angina episodes, bleeding, liver enzymes,
neutrophils and platelets count were also assessed in these intervals. RESULTS:
There was not any significant difference between these two groups regarding the
baseline characteristics. In the DES group, the 6-month mortality rate and the
incidence of MACE in Osvix and Plavix groups were 0.9% and 1.9% (p = 0.61) and
1.8% and 4.9% (p = 0.26), respectively. During the follow up period after DES or
BMS placement, there wasn't any significant difference regarding neutrophil and
platelet counts or liver enzymes between study groups. CONCLUSIONS: Using Osvix
and Plavix are followed by similar major cardiovascular events and side-effect
profile in patients undergoing PCI.
PMID- 22091288
TI - Postoperative residual block in postanesthesia care unit more than two hours
after the administration of a single intubating dose of atracurium.
AB - BACKGROUND: Residual neuromuscular blockade continues to be a clinical problem
after surgical procedures. The purpose of this study was to determine the
incidence of residual paralysis in the postanesthesia care unit (PACU) after a
single intubating dose of twice of the 95% estimated dose (ED95) of a
nondepolarizing muscle relaxant with an intermediate duration of action. METHODS:
Two hundred and sixteen patients scheduled for elective surgery under general
anaesthesia requiring tracheal intubation were included in the study. They
received a single intubating dose of intravenous atracurium (0.5 mg/kg) to
facilitate tracheal intubation. At the end of surgery, if train of four (TOF)
ratio was <= 0.9, neostigmine 40 MUg/kg intravenously was given. If TOF-ratio was
>= 0.9, no neostigmine was given. Also, in awake patients with TOF > 0.9,
residual neuromuscular paralysis was evaluated by using clinical tests such as
head lift test and tongue depressor test. RESULTS: TOF was less than 0.9 in 48
(22.2%) patients while after 120 minutes, no patients had TOF less than 0.9. Of
33 patients whose operation lasted less than 120 minutes, 4 patients had TOF less
than 0.9 at the end of surgery. There was no case of hypoventilation or hypoxia
at PACU. The incidence of negative value in clinical tests was high. CONCLUSIONS:
Our study gave the impression that more than two hours between the administration
of a single intubating dose of an intermediate-acting nondepolarizing muscle
relaxant (atracurium) and arrival to the PACU can probably guarantee the lack of
a residual paralysis.
PMID- 22091289
TI - Belonging to a peer support group enhance the quality of life and adherence rate
in patients affected by breast cancer: A non-randomized controlled clinical
trial.
AB - BACKGROUND: Breast cancer is the most common cancer in women. It seems that
breast cancer patients benefit from meeting someone who had a similar experience.
This study evaluated the effect of two kinds of interventions (peer support and
educational program) on quality of life in breast cancer patients. METHODS: This
study was a controlled clinical trial on women with non-metastatic breast cancer.
The patients studied in two experimental and control groups. Experimental group
took part in peer support program and control group passed a routine educational
program during 3 months. The authors administered SF-36 for evaluating the
quality of life pre-and post intervention. Also, patient's adherence was assessed
by means of a simple checklist. RESULTS: Two groups were similar with respect of
age, age of onset of the disease, duration of having breast cancer, marital
status, type of the treatment receiving now, and type of the received surgery. In
the control group, there were statistically significant improvements in body
pain, role-physical, role-emotional and social functioning. In experimental
group, role-physical, vitality, social functioning, role-emotional and mental
health showed significant improvement. Vitality score and mental health score in
experimental group was significantly higher than that of the control group, both
with p < 0.001. Also, it was shown that adherence was in high levels in both
groups and no significant difference was seen after the study was done.
CONCLUSIONS: According to the results of this study, supporting the patients with
breast cancer by forming peer groups or by means of educational sessions could
improve their life qualities.
PMID- 22091290
TI - Depression and perceived social support from family in Turkish patients with
chronic renal failure treated by hemodialysis.
AB - BACKGROUND: Dialysis patients experience psychosocial problems, such as anxiety,
depression, social isolation, loneliness, helplessness, and hopelessness. All of
these psychosocial problems can increase patients' need for holistic care,
including attention to the person's environment and receiving support from
family. If dialysis patients are better supported and cared for, these negative
consequences might be prevented or at least decreased. This study was performed
to determine the perceived social support from family and depression level of
hemodialysis patients. METHODS: In this study, descriptive design was used. Data
were collected during structured interviews in an outpatient clinic using a
questionnaire. The questionnaire aimed to determine the patients' descriptive
characters and the scores of Beck Depression Inventory and Perceived Social
Support from Family Scales. In data evaluation, descriptive statistics, Student's
t tests, Kruskal Wallis tests, Mann-Whitney U tests and Pearson product moment
correlations were used. RESULTS: The mean depression score was very high (23.2 +/
10.5). Significant differences were found between employment status and level of
depressive symptoms. The mean level of perceived social support from family was
15.23 +/- 5.37. There were no statistically significant differences between all
the variables for the level of perceived social support from family. Perceived
social support from family was negatively correlated with depression.
CONCLUSIONS: The results of this study indicate that Turkish hemodialysis
patients experience depression. However, patients who were dissatisfied with
their social relationships had higher depression scores.
PMID- 22091291
TI - Elevated plasma platelet-activating factor acetylhydrolase activity and its
relationship to the presence of coronary artery disease.
AB - BACKGROUND: Platelet-activating factor acetylhydrolase (PAF-AH) is a circulating
enzyme that has an important role in the development of coronary artery disease
(CAD). The correlations between PAF-AH and CAD are controversial. Furthermore,
the differences of the enzyme levels between patients with stable and unstable
CAD are not fully determined. The purpose of this study was to evaluate plasma
PAF-AH levels and its association with the presence of CAD and some clinical risk
factors in the patients. METHODS: This case-control study included 50 control
subjects without CAD, 50 stable CAD patients and 50 unstable CAD patients with
angiographically documented CAD. Plasma PAF-AH activity was determined by a
commercial kit. The inflammatory markers, high sensitivity C-reactive protein
(hsCRP) and oxidized low density lipoprotein (ox-LDL), and lipid profile were
also measured. Comparisons of biochemical risk factors among all groups were
performed by one way ANOVA. The association of PAF-AH activity with the presence
of CAD was analyzed by multiple logistic regression. RESULTS: Plasma PAF-AH
activity levels were higher in unstable CAD patients (0.040 +/- 0.012
MUmol/min/ml) than in stable CAD patients (0.032 +/- 0.010 MUmol/min/ml) and
control subjects (0.026 +/- 0.009 MUmol/min/ml) (p < 0.01). Plasma PAF-AH
activity was also independently associated with the presence of CAD (p< 0.01).
CONCLUSIONS: Plasma PAF-AH activity levels were highly increased in unstable and
stable CAD patients as compared to control subjects and may be a useful biomarker
for CAD prediction.
PMID- 22091292
TI - Asymptomatic falciparum malaria and intestinal helminths co-infection among
school children in Osogbo, Nigeria.
AB - BACKGROUND: Malaria and intestinal helminths are parasitic diseases causing high
morbidity and mortality in most tropical parts of the world, where climatic
conditions and sanitation practices favor their prevalence. The aim of this study
was to determine the prevalence and possible impact of falciparum malaria and
intestinal helminths co-infection among school children in Kajola, Osun state,
Nigeria. METHODS: Fresh stool and blood samples were collected from 117 primary
school children age range 4-15 years. The stool samples were processed using both
Kato-Katz and formol-ether concentration techniques and microscopically examined
for intestinal parasitic infections. Blood was collected by finger prick to
determine malaria parasitemia using thick film method; and packed cell volume
(PCV) was determined by hematocrit. Univariate analysis and chi-square
statistical tests were used to analyze the data. RESULTS: The prevalence of
Plasmodium falciparum, intestinal helminth infections, and co-infection of
malaria and helminth in the study were 25.6%, 40.2% and 4.3%, respectively. Five
species of intestinal helminths were recovered from the stool samples and these
were Ascaris lumbricoides (34.2%), hookworm (5.1%), Trichuris trichiura (2.6%),
Diphyllobothrium latum (0.9%) and Trichostrongylus species (0.9%). For the co
infection of both malaria and intestinal helminths, females (5.9%) were more
infected than males (2.0%) but the difference was not statistically significant
(p = 0.3978). Children who were infected with helminths were equally likely to be
infected with malaria as children without intestinal helminths [Risk Ratio (RR) =
0.7295]. Children with A. lumbricoides (RR = 1.359) were also likely to be
infected with P. falciparum as compared with uninfected children. CONCLUSIONS:
Asymptomatic falciparum malaria and intestinal helminth infections do co-exist
without clinical symp-toms in school children in Nigeria.
PMID- 22091293
TI - Evaluating the effectiveness of local dexamethasone injection in pregnant women
with carpal tunnel syndrome.
AB - BACKGROUND: Most of the medical treatments for carpal tunnel syndrome (CTS) have
focused on suppressing the inflammatory process. An injection of dexamethasone
acetate might provide the necessary cellular and humoral mediators to induce a
healing cascade. Dexamethasone is a water-soluble steroid which is safe to be
used in the third trimester, especially as a local treatment. The aim of this
study was to evaluate the effectiveness of 4 mg dexamethasone acetate injection
to treat carpal tunnel syndrome in pregnancy period. METHODS: Twenty pregnant
women with CTS were recruited using strict inclusion and exclusion criteria. All
the patients had been injected with 4 mg of dexamethasone acetate and 0.5 ml
lidocaine 1% under the carpal tunnel. Pain intensity (based on visual analog
scale or VAS) and electro physiologic parameters of median nerve (transcarpal
median sensory nerve conduction velocity (SNCV), distal motor latency (DML) and
distal sensory latency (DSL) were recorded before and 3 weeks after the
injection. RESULTS: The average pain scores before and 3 weeks after the
dexamethasone acetate injection was 8.70 +/- 0.92 and 4.30 +/- 0.76 respectively
(p < 0.005). In addition, transcarpal SNCV of median nerve was 33.7 +/- 6.3 m/s
and 24.5 +/- 6.8 m/s (p = 0.001); DML of median nerve was 5.16 +/- 1.04 ms and
4.70 +/- 0.53 ms (p = 0.001) and DSL of median nerve was 4.84 +/- 0.77 ms and 4.2
+/- 0.6 ms (p = 0.001), respectively. CONCLUSIONS: After dexamethasone acetate
injection, pain intensity and electrophysiological parameters were significantly
improved. This study offered encouraging results for an alternative minimally
invasive treatment for CTS in pregnant women.
PMID- 22091294
TI - Health research priority setting in Iran: Introduction to a bottom up approach.
AB - BACKGROUND: Priority setting is one of the major issues in the health research
system and no health system can afford to pay for every research they want to do,
particularly in developing countries, so we decided to set the national main
areas of the health research priorities. METHODS: In this study, according to
Essential National Health Research (ENHR) strategy and with cooperation of all
the Iranian universities of medical sciences and other stakeholders, the national
health research priorities were extracted. RESULTS: The number of research
priorities collected from the universities of medical sciences was 6723.
Seventeen percent of the research priorities were related to basic science, 78
percent applied science, and 5 percent were related to developmental type.
According to epidemiological classification, 50% of the research priorities were
in descriptive form. In this process, 9 main extracted areas consist of
communicable diseases, non-communicable disease, Health System Research,
pharmaceutical sciences and Industry, basic science, traditional medicine and
herbal medicine, nutrition, environmental health, and dentistry. And then for
each area, five main projects were defined. CONCLUSIONS: In the Health Research
System, the participatory priority setting is the main function based on needs
assessment.
PMID- 22091295
TI - Spontaneous isolated celiac artery dissection.
AB - Dyspepsia with mild, stabbing epigastric discomfort without history of trauma is
a very common symptom that emergency physicians see in their daily practice.
Vascular emergencies, mostly the aortic dissection and aneurysm, are always
described in the differential diagnosis with persistent symptoms. Isolated celiac
artery dissection occurring spontaneously is a very rare diagnosis. The
involvement of branch vessels is generally observed and patients show various
clinical signs and symptoms according to the involved branch vessel. Here we are
presenting a case with spontaneous isolated celiac artery dissection, without any
branch vessel involvement or visceral damage, detected by computed tomography
scans taken on admission.
PMID- 22091296
TI - Pamidronate infusion improved two cases of intractable seronegative rheumatoid
arthritise.
AB - Pamidronate is a bisphosphonate derivative that can inhibit bone resorption by
actions on osteoclasts and increase bone density in spite of treatment with
steroids. This drug has the anti-inflammatory effect by increase apoptosis of
monocytes. 5-10 percent of rheumatoid arthritis patients is seronegative and may
be resistant to conventional disease modifying anti rheumatic drugs (DMARDs).
Intravenous (IV) pamidronate can be effective in disease control in seronegative
rheumatoid arthritis. We report two cases of seronegative and drug resistant
rheumatoid arthritis that favorably responds to pamidronate.
PMID- 22091297
TI - Choriocarcinoma of the breast; a case report and review of literatures.
AB - Choriocarcinoma is an extremely rare pathology among breast malignancies. It is
introduced by two distinct terms in the literatures: breast cancer with
choriocarcinomatous features and metastatic choriocarcinoma to the breast. In
this case report, the history, physical examination, laboratory findings, imaging
studies, and pathological findings of breast choriocarcinoma in a 41-year-old
woman are described and previous literatures about choriocarcinoma in the breast
are reviewed.
PMID- 22091298
TI - Comment on Attari et al: Spinal anesthesia versus general anesthesia for elective
lumbar spine surgery: randomized clinical trial.
PMID- 22091299
TI - Hypoadiponectinemia in obese subjects with type II diabetes: A close association
with central obesity indices.
AB - BACKGROUND: Adiponectin is an adipocyte secreted protein with important
biological functions Hypoadiponectinemia is associated with obesity, insulin
resistance, and type II diabetes This study aimed to evaluate serum adiponectin
level in obese subjects with type II diabetes and its correlation with metabolic
parameters METHODS: This cross-sectional study was performed on 40 obese subjects
with type II diabetes and 40 non-diabetic obese control subjects Fasting lipid
profile was measured by the enzymatic methods The NycoCard HbA1c protocol was
used to measure HbAlc The Serum adiponectin, insulin and glucose levels were
measured using an enzyme immunoassay and glucose oxidase methods respectively
RESULTS: Type II diabetes was associated with hypoadiponectinemia, in both men
and women Serum adiponectin level in non-diabetic subjects (6.44 +/- 2.47 MUg/ml)
was significantly higher than diabetics (4.55 +/- 1.88 MUg/ml) Furthermore, serum
adiponectin concentration in females was significantly higher than males in non
diabetics (7.18 +/- 2.68 vs 5.61 +/- 0.57) and diabetic groups (5.18 +/- 2.08 vs
3.99 +/- 1.5) There was a negative and significant correlation between serum
adiponectin level with waist (r = -0.451, p = 0.003), waist to hip ratio (r =
0.404, p = 0.01) and BMI (r = - 0.322, p = 0.042) and a positive correlation with
HDL (r = 0.337, p = 0.034) in non-diabetic group In diabetic group, there was
only found a negative correlation between adiponectin and waist size (r = -0.317,
p = 0.046) CONCLUSIONS: Obesity and type II diabetes are associated with low
serum adiponectin concentration.
PMID- 22091300
TI - Impact of health belief modification on intention to make smoke free home among
pregnant women.
AB - BACKGROUND: This study examined the effects of health education on modification
of health belief and intention among pregnant women to have smoke free home.
METHODS: In this randomized controlled study, 91 pregnant women completed the
study in two groups. Intervention group was educated about the harms of
environmental tobacco smoke (ETS) exposure. The Health Belief Model (HBM) was a
framework for analyzing the beliefs. After 10-12 weeks, the HBM constructs and
weekly ETS exposure at home were compared between the two groups. RESULTS: After
performing educational program, the subjects in intervention group perceived more
susceptibility and severity and reported lower weekly ETS exposure at home than
subjects in control group; but, the self efficacy and perceived barrier were not
different. The relationships between HBM constructs and weekly ETS exposure were
significant; but, there was no significant difference in point prevalence of
having smoke free home. CONCLUSIONS: This study indicated that the health
education about ETS exposure can modify health belief and reduce EST exposure
among pregnant women, but cannot affect the self efficacy and perceived barrier.
To have smoke free home, they need to increase their self efficacy.
PMID- 22091301
TI - Genetic variation in the association of air pollutants with a biomarker of
vascular injury in children and adolescents in Isfahan, Iran.
AB - BACKGROUND: Some experimental studies revealed that exposure to air pollution
increases the expression of tissue factor (TF) in atherosclerotic lesions. We
aimed to investigate the role of TF +5466A>G (rs3917643) polymorphism in the
association of air pollution on serum levels of TF as a biomarker of vascular
injury in children. METHODS: This cross-sectional study was conducted among 110
children, consisting of 58 (52.8%) girls and 52 (47.2%) boys with a mean age of
12.7 +/- 2.3 years, living in Isfahan, Iran. Enzyme-linked immunosorbent assay
were used for measurement of serum TF. Genotype of +5466A>G (rs3917643)
polymorphism was determined by the polymerase chain reaction-restriction length
fragment polymorphism (PCR-RFLP) method. RESULTS: We identified 2 individuals
with +5466AG genotype and 108 homozygous for the +5466A allele (no +5466GG
homozygotes). The mean pollution standards index (PSI) value was at moderate
level, the mean particular matter measuring up to 10 MUm (PM(10)) was more than
twice the normal level. Multiple linear regression analysis showed that after
adjustment for confounding factors (weight status, dietary and physical activity
pattern), serum TF level had significant relationship with PSI (beta: 0.55, SE:
0.07, p<0.000) and PM(10) (beta: 0.51, SE: 0.03, p=0.001). CONCLUSIONS: In spite
of similar genetic polymorphism of TF, air pollutants might have an independent
association with systemic inflammatory and coagulation responses. The harmful
effects of air pollutants on the first stages of atherosclerosis in the pediatric
age group should be underscored in primordial and primary prevention of chronic
diseases.
PMID- 22091302
TI - The effectiveness of cognitive behavioral stress management training on mental
health, social interaction and family function in adolescents of families with
one Human Immunodeficiency Virus (HIV) positive member.
AB - BACKGROUND: This study evaluated stress management training to improve mental
health, social interaction and family function among adolescents of families with
one Human Immunodeficiency Virus (HIV) positive member. METHODS: There were 34
adolescents (13-18 years old) with at least one family member living with HIV
from whom finally 15 attended the study and participated in 8 weekly sessions of
stress management training. The tests used in this study were: Strengths and
Difficulties Questionnaire (self and parent report), General Health Questionnare
28 (GHQ-28) and Family Assessment Device (FAD), conducted before, after and three
months after the intervention. The collected data were analyzed by repeated
measure test using SPSS software (Version 18.0). RESULTS: Adolescents with one
HIV positive family member showed high level of emotional problem (40%) and
conduct problem (33.3%). There was a significant difference between before, after
and 3months after intervention based on GHQ-28 mean scores and FAD mean sores (p
< 0.001). There was a significant difference between mean scores of peers'
relationship based on SDQ (self report and parents report forms) before and after
intervention, but there was no significant difference between mean scores of pro
social behavior based on SDQ (self report and parents report forms) in all three
stages (before, after and three months after intervention). CONCLUSIONS: Stress
management training is effective in improving mental health, family function and
social interaction among adolescents living with parents infected with HIV/AIDS.
PMID- 22091303
TI - Diagnostic performance of electrocardiography in the assessment of significant
coronary artery disease and its anatomical size in comparison with coronary
angiography.
AB - BACKGROUND: Current study addressed the predictive value of 12-lead
electrocardiogram (ECG) in patients with suspected coronary artery disease (CAD).
METHODS: Four hundred consecutive patients with new onset of chest pain were
studied. A resting standard 12-lead ECG was recorded and all patients underwent
coronary angiography. RESULTS: ECG correctly detected significant stenosis in 176
out of 400 patients with an overall sensitivity per patient of 51.5% and
specificity per patient of 66.1%. Based on artery analysis, ECG had the highest
and lowest sensitivity for the detection of involvement in LAD (37.3%) and RCA
(25.8%), respectively. ROC curve analysis showed that ECG changes were not good
indicators of coronary arteries involvement with areas under the ROC curves 0.586
(for LAD artery), 0.524 (for RCA artery) and 0.530 (for LCX artery). CONCLUSIONS:
ECG has low partial sensitivity and specificity for predicting coronary artery
stenosis with accuracy ranged 58.5 to 62.0 percent based on coronary artery
analysis.
PMID- 22091304
TI - Clients' satisfaction with primary health care in Tehran: A cross-sectional study
on Iranian Health Centers.
AB - BACKGROUND: Primary health care system is the basic core of public service
provision in Iran. This study aimed to assess clients' satisfaction with primary
health care in Tehran, the capital of Iran, as a metropolitan. METHODS: Through a
cross-sectional study in 2009-10, four urban primary health care clinics were
selected through stratified random sampling. Four hundred participants were
interviewed in the selected clinics about their satisfaction with the primary
health care services and setting. Six domains of satisfaction including
accessibility to services, continuity of care, humaneness of staff,
comprehensiveness of care, provision of health education and effectiveness of
services were calculated from selected variables. The descriptive statistics, chi
square and t-tests were used when appropriate. RESULTS: The mean age of users of
health services was 31.3 years (SD=9.6). Thirteen percent of participants were
male. The most common reasons for asking health services reported as vaccination,
family planning and children care. Overall, primary health care services were
suitable for eighty percent of the participants. The mean and standard deviation
for Access to services was 2.11 (SD=3.44), continuity of care was -0.35
(SD=3.49), humanness of staff was 3.93 (SD=5.70), comprehensiveness of care was
0.53 (SD=3.66), provision of health educational materials was -1.45 (SD=3.64) and
effectiveness of services was 4.30 (SD=7.47). CONCLUSIONS: Primary health care is
a comprehensive and suitable strategy to provide health services in public
health. Package of services in primary health care may affect clients'
satisfaction. Using family doctors may improve the indices.
PMID- 22091305
TI - The prevalence of metabolic syndrome and insulin resistance according to the
phenotypic subgroups of polycystic ovary syndrome in a representative sample of
Iranian females.
AB - BACKGROUND: Polycystic ovary syndrome (PCOS) is associated with metabolic
abnormalities which are also parts of metabolic syndrome (MetS). It is debated
whether all women with PCOS should be screened for MetS and Insulin resistance
(IR), since they may vary in terms of PCOS phenotype, ethnicity and age. This
large scale study aimed to determine the prevalence of MetS among Iranian women
diagnosed with different phenotypic subgroups of PCOS based on the Rotterdam
criteria. METHODS: This study was conducted from January 2006 to June 2008 in
Isfahan, Iran. The study population comprised females diagnosed with PCOS
referred to the infertility clinic. The subjects were divided into for subgroups
according to different phenotypes of PCOS based on the Rotterdam criteria. They
underwent metabolic screening according to NCEP ATP III guidelines and IR
screening based on homeostasis model assessment (HOMA) of insulin resistance.
RESULTS: The prevalence of MetS and IR were 24.9% and 24.3%, respectively. A
significant difference in the prevalence of MetS was documented between
anovulatory women having PCOS with or without hyperandrogenism (23.1% and 13.9%,
respectively; P = 0.001). Likewise, in PCOS women with hyperandrogenism, the MetS
prevalence differed among those with or without polycystic ovary (23.1% and
63.8%, respectively; P = 0.001). CONCLUSIONS: The prevalence of MetS and IR
varies between the phenotypic subgroups of PCOS. Hyperandrogenemia PCOS
phenotypes of Iranian women, in particular those without sonographic polycystic
ovary, are highly at risk of MetS and IR.
PMID- 22091306
TI - Comparative evaluation of different values of bispectral index in determination
of the appropriate level of anesthesia for tracheal intubation during
inhalational induction of anesthesia in pediatrics.
AB - BACKGROUND: Induction of anesthesia is the most crucial period of general
anesthesia. Inhalational induction is the most commonly used technique in
pediatric anesthesia. Tracheal intubation can be done after reaching the deep
levels of anesthesia. The depth of anesthesia is often difficult to be judged.
Bispectral index is a measure of the effects of anesthesia on brain. This study
was designed to evaluate the efficacy of bispectral index (BIS) in clarifying
suitable depth of anesthesia for tracheal intubation during inhalational
induction of anesthesia. METHODS: In a clinical trial, ninety patients, ASA I &
II, 1 to 6 years old, scheduled for elective surgery were enrolled into the
study. After starting BIS monitoring, patients randomly were divided into three
groups. Then, anesthesia was induced by gradual increase of halothane in 50%
mixture of oxygen (O(2)) and N(2)O and continued until target BIS (60 +/- 2, 50
+/- 2 and 40 +/- 2) achieved. After tracheal intubation, the duration of
laryngoscopy and the presence of laryngospasm, bronchospasm, laryngoscopy
failure, the movement of extremities and the changes in SpO2 and BIS were
recorded. The data were analyzed by chi-square and ANOVA at 0.05 level of
significance. RESULTS: BIS could not determine the appropriate level of
anesthesia for tracheal intubation in this setting. There were no differences in
laryngoscopy duration and the occurrence of laryngospasm, bronchospasm,
laryngoscopy failure, extremity movement and awakening time among three groups.
CONCLUSIONS: These results confirmed that there was no significant difference in
the incidence of complications related to intubation at different BIS values
(from 60 to 40) during inhalation induction, and probably to achieve an adequate
or more reliable depth of anesthesia, the lower values of BIS is required.
PMID- 22091307
TI - Antihyperlipidemic activities of Pleurotus ferulae on biochemical and
histological function in hypercholesterolemic rats.
AB - BACKGROUND: Pleurotus ferulae is an edible mushroom has been widely used for
nutritional and medicinal purposes. Irrespective of the medicinal importance or
therapeutic potentials of P. ferulae, there have not been studies on anti
hyperlipidemic properties. Therefore, the present study investigates the effects
of dietary P. ferulae fruiting bodies on plasma and feces biochemical and on the
liver histological status in hypercholesterolemic rats. METHODS: Six weeks old
female Sprague-Dawley albino rats were divided into three groups of 10 rats each.
Then biochemical and histological examinations were performed. RESULTS: Feeding
of a diet containing 5% P. ferulae fruiting bodies to hypercholesterolemic rat
reduced plasma total cholesterol, triglyceride, low-density lipoprotein (LDL),
total lipid, phospholipids, and LDL/high-density lipoprotein ratio by 30.02,
49.31, 71.15, 30.23, 21.93, and 65.31%, respectively. Mushroom also significantly
reduced body weight in hypercholesterolemic rats. However, it had no adverse
effects on plasma albumin, total bilirubin, direct bilirubin, creatinin, blood
urea nitrogen, uric acid, glucose, total protein, calcium, sodium, potassium,
chloride, inorganic phosphate, magnesium, and enzyme profiles. Feeding mushroom
increased total lipid and cholesterol excretion in feces. The plasma lipoprotein
fraction, separated by agarose gel electrophoresis, indicated that P. ferulae
significantly reduced plasma beta and pre-beta-lipoprotein, while increased the
alpha-lipoprotein. A histological study of hepatic cells by conventional
hematoxylin-eosin and oil red O staining showed normal findings for mushroom-fed
hypercholesterolemic rats. CONCLUSIONS: The present study suggests that 5% P.
ferulae diet supplement provides health benefits, at least partially, by acting
on the atherogenic lipid profile in hypercholesterolemic rats.
PMID- 22091308
TI - Evaluation of accuracy of Euroscore risk model in prediction of perioperative
mortality after coronary bypass graft surgery in Isfahan.
AB - BACKGROUND: This study aimed to evaluate the accuracy of Euroscore (European
System for Cardiac Operative Risk Evaluation) in predicting perioperative
mortality after cardiac surgery in Iranian patient population. METHODS: Data on
1362 patients undergoing coronary bypass graft surgery (CABG) from 2007 to 2009
were collected. Calibration was assessed by Hosmer-Lemeshow goodness-of-fit. Area
under the curve (AUC) was used to assess score validity. Odds ratios were
measured to evaluate the predictive value of each risk factor on mortality rate.
RESULTS: The overall perioperative in hospital mortality was 3.6% whereas the
Euroscore predicted a mortality of 3.96%. Euroscore model fitted well in the
validation databases. The mean AUC was 66%. Mean length of intensive care unit
(ICU) stay was 2.5 +/- 2.5 days. Among risk factors, only left ventricular
dysfunction, age and neurologic dysfunction were found to be related to mortality
rate. CONCLUSIONS: Euroscore did not have acceptable discriminatory ability in
perioperative in hospital mortality in Iranian patients. It seems that
development of a local mortality risk scores corresponding to our patients
epidemiologic characteristics may improve prediction of outcome.
PMID- 22091309
TI - The effect of psychiatric symptoms on the internet addiction disorder in
Isfahan's University students.
AB - BACKGROUND: Internet addiction disorder is an interdisciplinary phenomenon and it
has been studied from different viewpoints in terms of various sciences such as
medicine, computer, sociology, law, ethics, and psychology. The aim of this study
was to determine the association of psychiatric symptoms with Internet addiction
while controlling for the effects of age, gender, marital status, and educational
levels. It is hypothesized, that high levels of Internet addiction are associated
with psychiatric symptoms and are specially correlated with obsessive-compulsive
disorder symptoms. METHODS: In a cross-sectional study, a total number of 250
students from Isfahan's universities were randomly selected. Subjects completed
the demographic questionnaire, the Young Diagnostic Questionnaire (YDQ) and the
Symptom Checklist-90-Revision (SCL-90-R). Data was analyzed using the multiple
logistic regression method. RESULTS: There was an association between psychiatric
symptoms such as somatization, sensitivity, depression, anxiety, aggression,
phobias, and psychosis with exception of paranoia; and diagnosis of Internet
addiction controlling for age, sex, education level, marital status, and type of
universities. CONCLUSIONS: A great percentage of youths in the population suffer
from the adverse effects of Internet addiction. It is necessary for psychiatrists
and psychologists to be aware of the mental problems caused by Internet
addiction.
PMID- 22091310
TI - Association between sleep duration and metabolic syndrome in a population-based
study: Isfahan Healthy Heart Program.
AB - BACKGROUND: Recent epidemiologic studies have found that self-reported sleep
duration is associated with components of metabolic syndrome (MS) such as
obesity, diabetes and hypertension. This relation may be under influence of
regional factors in different regions of the world. The association of sleep
duration and MS in a sample of Iranian people in the central region of Iran was
investigated in this study. METHODS: This cross-sectional study was conducted as
a part of the Isfahan Healthy Heart Program (IHHP). A total of 12492 individuals
aged over 19 years, 6110 men and 6382 women entered the study. Definition of
National Cholesterol Education Program was used to define MS. Sleep duration was
reported by participants. Relation between sleep duration with MS was examined
using categorical logistic regression in two models; unadjusted and adjusted for
age and sex. RESULTS: In our study, 23.5 % of participants had MS. Compared with
sleep duration of 7-8 hours per night; sleep duration of less than 5 hours was
associated with a higher odds ratio for MS. This association remained significant
even after adjustment for age and sex (OR: 1.52; 95%CI: 1.33-1.74). However,
sleep duration of 9 hours or more showed a protective association with MS (OR:
0.79; 95%CI: 0.68-0.94). CONCLUSIONS: There was a positive relation between sleep
deprivation and MS and its components. This relation was slightly affected by sex
and age.
PMID- 22091311
TI - DNA-methyltransferase 3B 39179 G > T polymorphism and risk of sporadic colorectal
cancer in a subset of Iranian population.
AB - BACKGROUND: Epigenetic event is a biological regulation that influences the
expression of various genes involved in cancer. DNA methylation is established by
DNA methyltransferases, particularly DNAmethyltransferase 3B (DNMT3B). It seems
to play an oncogenic role in the creation of abnormal methylation during
tumorigenesis. The polymorphisms of the DNMT3B gene may influence DNMT3B activity
in DNA methylation and increase the susceptibility to several cancers. These
genetic polymorphisms have been studied in several cancers in different
populations. METHODS: In this study, we performed a case-control study with 125
colorectal cancer patients and 135 cancer-free controls to evaluate the
association between DNMT3B G39179T polymorphism (rs1569686) in the promoter
region and the risk of sporadic colorectal cancer. Up to now, few studies have
investigated the role of this gene variant in sporadic colorectal cancer with no
familial history. The genotypes of DNMT3B G39179T polymorphism was analyzed by
PCR-RFLP. RESULTS: We found that compared with G allele carriers, statistically
the DNMT3B TT genotype (%34) was significantly associated with increased risk of
colorectal cancer (adjusted OR, 3.993, 95% CI, 1.726-9.238, P = 0.001). Compared
with DNMT3B TT genotype, the GT and GG genotypes had lower risk of developing
sporadic colorectal cancer (OR = 0.848, 95% CI = 0.436-1.650). CONCLUSIONS: Our
findings were consistent with that of previously reported case-control studies
with colorectal cancer. These results suggest that the DNMT3B G39179T
polymorphism influences DNMT3B expression, thus contributing to the genetic
susceptibility to colorectal cancer. Further mechanistic studies are needed to
unravel the causal molecular mechanisms.
PMID- 22091312
TI - Primary bone lymphoma: a clinicopathological retrospective study of 28 patients
in a single institution.
AB - BACKGROUND: Primary bone lymphoma (PBL) is a rare disease and distinct
clinicopathological entity. The optimal treatment strategy is still unclear.
Because of rarity of PBL, we report our institute experience in PBL
clinicopathological feature and treatment results. METHODS: 28 patients diagnosed
with PBL were referred to Omid Hospital, cancer research center (CRC), between
March 2001 and February 2009. Immunophenotype studies on 16 out of 28
pathological blocks were performed. We analyzed disease free survival (DFS) and
overall survival (OS) rates. RESULTS: 14 patients with PBL were analyzed
retrospectively. 17 patients (60.7%) were male and 11 (39.3%) were female with a
median age of 41 years (range: 11-79). Long bones were the most primarily site of
involvement (71%). 26 (93%) patients had diffuse large B cell lymphoma and 2 (7%)
had small lymphoblastic lymphoma. One (3%) patient received radiation alone, 18
(66%) cases received combined modality (chemotherapy + radiotherapy) and 8 (30%)
received only chemotherapy during their treatment period. The median follow up
was 18 months (range: 1-82). Mean DFS was 51 months (range: 37-66). Overall
survival (OS) was 54 months (range: 40-68). OS was significantly better in the
chemoradiotherapy group compared with other two groups (64 versus 27 months,
respectively, p=0.014). DFS was also significantly better in combined modality
arm compared with other two groups (64 versus 21 months, respectively, p=0.003).
CONCLUSIONS: In spite of small number of patients reported in this study,
combined modality treatment (chemotherapy and radiotherapy) was shown to be
useful as an effective treatment strategy in PBL.
PMID- 22091313
TI - Prevalence of underweight, overweight and obesity in preschool children of
Tehran, Iran.
AB - BACKGROUND: It is reported that prevalence of overweight and obesity have
increased in all age groups, but little is known about prevalence of overweight
and obesity in preschool children. Therefore, the purpose of this study was to
survey the prevalence of underweight, overweight and obesity in 3-6 year-old
Tehranian children in 2009-2010. METHODS: This cross-sectional study was
performed on a total of 756 (378 boys and 378 girls) preschool children aged 3-6.
Subjects were selected through stratified sampling from 5 geographic regions of
Tehran (east, west, north, south, and center). Body weight and height were
measured directly. Underweight, overweight and obesity was defined as Body Mass
Index (BMI) <= 5(th) percentile (underweight), 5(th) to 85(th) percentile (normal
weight), 85(th) to 95(th) percentile (overweight), and > 95(th) percentile
(obesity); based on recommendation of Centers for Disease Control (CDC) in 2000.
RESULTS: Findings showed that the prevalence of underweight, overweight and
obesity was 4.77%, 9.81% and 4.77% in boys and 4.77%, 10.31% and 4.49% in girls,
respectively. CONCLUSIONS: Our findings showed a relatively high prevalence of
overweight and obesity in Tehranian preschool children that is a serious problem.
This result can be used in clinical setting and preventive programs.
PMID- 22091314
TI - Anesthesia in multiple sclerosis and obstructive sleep apnea: case report and
literature review.
AB - While patients with obstructive sleep apnea (OSA) or multiple sclerosis (MS) are
at high risk of developing postoperative complications, both of them have special
anesthetic considerations in intraoperative and postoperative periods. A careful
preoperative evaluation, use of the optimal anesthetic regimen and close
postoperative care is essential for these patients. Rarity of coexistence of both
obstructive sleep apnea and multiple sclerosis in a surgical patient necessitates
careful anesthetic management. We here report anesthetic management of a female
patient with OSA and MS who underwent anesthesia three times for surgery and
review the literature.
PMID- 22091315
TI - A case report of Gorlin-Goltz syndrome as a rare hereditary disorder.
AB - Gorlin-Goltz syndrome is an autosomal dominant and a rare hereditary disease.
Diagnosis of this syndrome is based on major and minor criteria. We report a
Gorlin-Goltz syndrome in a 25-year-old male who was presented with progressive
pain of maxilla and mandible over 5 years. The pain was diffuse and compatible
with expansile cyst in alveolar ridges on panoramic radiography. In physical
examination, he had coarse face and prognathism. Computer tomography of face
revealed two expansile maxillary and one mandibular cyst. Calcification of entire
length in falx and tentorium were detected in bone window.
PMID- 22091316
TI - Ossifying fibroma of the ethmoid sinus: Report of a rare case and review of
literature.
AB - Ossifying fibroma (OF) is a benign fibro-osseous lesion which was first described
by Menzel in 1872. It is commonly seen in the head and neck regions and
represents an aggressive pattern when the midface and paranasal sinuses are
involved. We report a 36 years old white woman with OF in the right ethmoid
sinus. Computed Tomography (CT) scan images showed a hyperdense mass. Transnasal
endoscopic resection was performed and histopathological examination confirmed
the diagnosis of OF. The present case is notable because involvement of the
ethmoid sinus is rare in this condition with only 48 cases reported in the
literature until June 2011.
PMID- 22091317
TI - Treatment of Lymphedema Praecox through Low Level Laser Therapy (LLLT).
AB - A 15-year-old girl with right lower extremity lymphedema praecox was treated
through Low Level Laser Therapy (LLLT), by means of a GaAs and GaAlAs diodes
laser-therapy device. Treatment sessions were totally 24, each cycle containing
12 every other day 15-minute sessions, and one month free between the cycles. The
treatment was achieved to decrease the edema and no significant increase in
circumference of involved leg was found following three months after the course
of treatment. Although LLLT can be considered a beneficial treatment for
Lymphedema Praecox, any definite statement around its effectiveness needs more
studies on more cases.
PMID- 22091318
TI - Knowledge and practice in association with self-medication of nutrient
supplements, herbal and chemical pills among women based on Health Belief Model.
PMID- 22091319
TI - Post-coupling strategy enables true receptor-targeted nanoparticles.
AB - A key goal of our research is the targeted delivery of functional
biopharmaceutical agents of interest, such as small interfering RNA (siRNA), to
selected cells by means of receptor-mediated nanoparticle technologies. Recently,
we described how pH-triggered, PEGylated siRNA-nanoparticles (pH triggered siRNA
ABC nanoparticles) were able to mediate the passive targeting of siRNA to liver
cells in vivo. In addition, PEGylated siRNA nanoparticles enabled for long-term
circulation (LTC siRNA-ABC nanoparticles, LEsiRNA nanoparticles) were shown to do
the same to tumour cells in vivo. Further gains in the efficiency of siRNA
delivery are expected to require active targeting with nanoparticles targeted for
delivery and cellular uptake by means of attached biological ligands. Here we
report on the development of a new synthetic chemistry and a bioconjugation
methodology that allows for the controlled formulation of PEGylated nanoparticles
which surface-present integrin-targeting peptides unambiguously and so enable
integrin receptor-mediated cellular uptake. Furthermore, we present delivery data
that provide a clear preliminary demonstration of physical principles that we
propose should underpin successful, bonefide receptor-mediated targeted delivery
of therapeutic and/or imaging agents to cells.
PMID- 22091320
TI - Refinement on surgical technique: role of magnification.
PMID- 22091321
TI - Abdominal Wall Reconstruction Using De-epithelialized Dermal Flap: A New
Technique.
AB - BACKGROUND: Although autogenous materials have been used in abdominal wall
hernioplasty for a long time, the introduction of prosthetic materials diminished
their popularity. However, these materials may be expensive, inappropriate or
unavailable. The aim of this study is to determine the place of de-epithelialized
dermal flap in the reconstruction of abdominal wall hernias. MATERIALS AND
METHODS: A five-year prospective, descriptive analysis of eligible patients with
difficult abdominal wall hernias closed with de-epithelialized dermal flap in a
Nigerian Tertiary Health Institution, from January 2001 to December 2005.
RESULTS: Over the five-year period, 37 patients were recruited into the study.
There were 11 males and 26 females, giving a male: female ratio of 1: 2.4. The
ages ranged from 8 months to 47 years (mean = 12.6 years). The defects consisted
of 15 incisional hernias, 12 intermuscular/inferior lumbar hernias, nine healed
exomphalos major and two giant umbilical hernias. The size of the hernia defects
ranged from 4.5 cm to13cm (mean = 6.4 cm). Three patients had bowel resection and
end-to-end anastomosis, in addition to the flap reconstruction. Morbidity was
minimal and included skin dimpling in 11 patients, seroma in three, and wound
infection in two patients. Neither recurrence of herniation nor mortality was
recorded during the period of follow-up, which ranged from three months to 4.5
years (mean = nine months). CONCLUSION: The results suggest that this is a useful
technique that can easily be applied in many centers with minimal resources. It
is cheap, effective and associated with minimal morbidity.
PMID- 22091322
TI - Hip Hemiarthroplasty for Femoral Neck Fractures Using the Modified Stracathroc
approach - Short Term Results in Twenty-six Patients.
AB - BACKGROUND AND OBJECTIVE: The Stracathro approach to the hip is a modification of
the lateral approach, which was popularized by Hays and McLauchlan. It has a high
safety profile and a low rate of hip dislocation. However, the need for osteotomy
increases blood loss, risk of intraoperative fracture, and postoperative
heterotopic calcification. In sub-Saharan Africa, where traditional healers
dabble in the treatment of all musculoskeletal conditions, extensive soft tissue
contractures and disuse osteoporosis arise and further complicate the lateral
approach. The objective of this article is to highlight modifications made to the
stracathro approach and present the short-term results in a group of 26 patients,
who had hemiarthroplasty using this technique. MATERIALS AND METHODS: All
patients presenting with subcapital or transcervical fracture of the femoral neck
after the age of 50 years were offered hemiarthroplasty using the modified
Stracathro approach, with follow-ups for a period ranging from 28 - 84 months.
RESULTS: A majority (23 out of 26 - 88.5%) of patients presented late for the
treatment, due to the patronage of traditional bonesetters. In spite of the soft
tissue contractures and osteoporosis associated with late presentation, there was
no case of intraoperative fractures. The patients had good hip abduction
postoperatively. In addition, there was no intraoperative nerve or vascular
injury. CONCLUSION: The short-term results in this group of patients showed that
the modified Stracathro approach was safe and useful in hemiarthroplasty, for
patients with soft tissue contracture and osteoporosis.
PMID- 22091323
TI - Using 'Catheter a Fentes' for Management of Childhood Hydrocephalus: A
Prospective Study of Ninety-six Cases.
AB - OBJECTIVE: To evaluate the management of childhood hydrocephalus using the
'catheter a fentes' as a ventriculoperitoneal shunt. MATERIALS AND METHODS: A
prospective study from January 2003 to January 2004 was carried out in the
Neurosurgery Department of the National Hospital Niamey (Niger-Republic). Ninety
six infants with hydrocephalus, between the ages of 1 to 22 months were treated
with 'catheter a fentes' as a ventriculoperitoneal shunt. RESULTS: Ninety-six
infants with hydrocephalus, between the ages of 1 and 22 months were included in
this study, over a period of 31 months; 53% of the infants were females. The
symptoms evolved over three months in 89.55% of the cases (n = 85). Hydrocephalus
was post-infective in 51% of the cases, associated with spina bifida in 32% of
the cases, neonatal bleeding in 7.2% of the cases; brain abnormalities were found
in 6.2%, and tumor in 3.1% of the cases. The head circumference was greater than
2SD in all cases; 87.53% of the infants had psychomotor retardation (less than 80
QD according to the Lezine score). Ventricular dilation was triventricular in
17.70% and tetra ventricular in 82.29% of the cases. 'Catheter a fentes' models
of high pressure, medium pressure, and low pressure were used. Hydrodynamic
complications (hyperdrainage, obstruction, underdrainage) occurred in 7.9% of the
cases, and these were handled with simple observation in follow-up clinics. The
average regression of head circumference three months postoperatively, for all
the three models of 'catheter a fentes,' was 3.73 cm. CONCLUSION: This study
shows that the usage of 'catheter a fentes' for treatment of childhood
hydrocephalus gives satisfactory results.
PMID- 22091324
TI - The level of fibula osteotomy and incidence of peroneal nerve palsy in proximal
tibial osteotomy.
AB - OBJECTIVES: The level of fibular osteotomy has a role in the incidence of
peroneal nerve palsy (PNP). This study aims to compare the prevalence of PNP
among patients who had fibular osteotomy at two different levels. MATERIALS AND
METHODS: Sixty-nine limbs in fifty-two patients had valgus osteotomy of the
proximal tibia and fibular osteotomy at two different levels - the proximal half
(Group 1) and distal half (Group 2). The results of these were compared. RESULTS:
The incidence of peroneal nerve palsy was 23.6 and 3.2% in Groups 1 and 2,
respectively (P < 0.025). CONCLUSION: This study supports the choice of the
distal half for fibular osteotomy.
PMID- 22091325
TI - Pentalogy of cantrell: a report of three cases.
AB - Pentalogy of Cantrell is a rare upper midline syndrome that may present in
association with anomalies outside the torso. The pentad - the supraumbilical
body wall defect, sternal defect, deficiency of the anterior diaphragm, defect of
the diaphragmatic pericardium, and the intracardiac anomalies - was first
described by Cantrell et al., in 1958. The defect is said to be more common in
males, and survival is dependent on the cardiac malformations and on the degree
of completeness of the syndrome. We report three cases of Cantrell's pentalogy
managed in our unit. Two of the patients were females and one a male. All were
seen at peripheral health centers before being referred to us. Age at
presentation for the girls was 18 hours and 36 hours, respectively, the boy
presented at the age of six weeks. All of their parents were unschooled manual
workers. All patients presented with a defect in the supraumbilical body wall,
bifid sternum, and a visible cardiac impulse. We were unable to do
echocardiography to rule out intracardiac anomalies in the three patients. The
thin membranous covering of the epigastrium in the female patients was managed
conservatively. Both female patients were discharged against medical advice as
requested by their parents, due to financial constraints. The male patient was
lost to follow up after two clinic visits. A multidisciplinary approach to the
management of this syndrome is recommended.
PMID- 22091326
TI - Solitary giant neurofibroma of the scalp with calvarial defect in a child.
AB - Neurofibroma of the scalp are mostly multiple as part of neurofibromatosis or
other phakomatosis. De novo solitary types are less common and rarely erode the
skull, unlike the intracranial counterpart. Skull erosion has been reported in
adults with longstanding plexiform neurofibromas. We report a giant neurofibroma
on the scalp of a five-year-old boy, managed in our center. Although this
condition is a rare entity, it should be anticipated and the treatment strategy
should include repair of the skull defect.
PMID- 22091327
TI - Rachipagus: a report of two cases - thoracic and lumbar.
AB - We present two cases of rachipagus in two male infants and review the literature
on this anomaly. These infants were from consanguineous marriages and cases of
twins were reported in their families. In the first case it was a limb attached
to the lower lumbar region with a rudimentary posterior arch. At the junction
there was a lipomeningocele. Anatomical dissection of the limb identified the
bones of the lower limb. In the second case, the parasites were joints of the
upper limb that were attached to the chest by rudimentary posterior arches. In
both cases there was only one spinal canal and a single spinal cord. Except the
spina bifida in the first case no other malformation was diagnosed. The parasites
were successfully excised. The two patients are well at one year of follow-up.
Rachipagus is a rare embryogenic malformation with a good prognosis in the
absence of associated congenital anomalies.
PMID- 22091328
TI - Unusual giant prostatic urethral calculus.
AB - Giant vesico-prostatic urethral calculus is uncommon. Urethral stones rarely form
primarily in the urethra, and they are usually associated with urethral
strictures, posterior urethral valve or diverticula. We report a case of a 32
year-old man with giant vesico-prostatic (collar-stud) urethral stone presenting
with sepsis and bladder outlet obstruction. The clinical presentation,
management, and outcome of the giant prostatic urethral calculus are reviewed.
PMID- 22091329
TI - Giant retroperitoneal lipoma in an infant.
AB - Retroperitoneal lipomas have remained the essentially rare tumors seen in
clinical practice. The tumors are rarer in children, with very few reported cases
in surgical literature worldwide. We are reporting the case of a six-month-old
child who presented with a giant retroperitoneal lipoma that was successfully
managed by complete excision. There has been no recurrence noticed during follow
up.
PMID- 22091330
TI - Unusual cutaneous metastatic follicular thyroid carcinoma.
AB - Follicular thyroid carcinoma (FTC) is the second most common thyroid cancer (TCs)
after papillary carcinoma, but it is ranked first in producing distant metastases
among TCs. It accounts for 10 - 20% of all thyroid malignancies and is most often
seen in patients over 40 years of age. Distant metastases at the time of
diagnosis are reported in 11 - 20% of the patients and may be the reason for
presentation. There have been less than 30 reported cases of cutaneous metastases
from FTC in the English Literature, a majority affecting the scalp. We present an
unusual aggressive, hypervascular FTC in a 58-year-old man with a previous
diagnosis of multinodular goiter. The difficulty in gaining his acceptability of
orthodox management resulted in the development of multiple giant scalp and right
facial metastatic masses associated with lytic calvarial destruction and the
involvement of frontal and right maxillary sinuses. These imposed serious
challenges in managing him in a resource-poor community.
PMID- 22091331
TI - Mayer-rokitansky-kuster-hauser syndrome: surgical management of two cases.
AB - The Mayer-Rokitansky-Kuster-Hauser (MRKH) Syndrome is a rare anomaly
characterized by congenital aplasia of the uterus and vagina in women showing
normal development of secondary sexual characters and normal 44 XX karyotype. We
report our experience in the management of two patients with congenital absence
of the vagina due to the MRKH syndrome. The first case was a 24-year-old student,
who presented with primary amenorrhea, uterovaginal agenesis, right pelvi
ureteric junction obstruction, and left renal agenesis. The second patient was a
24-year-old housewife, who presented with primary amenorrhea and inability to
achieve penetrative sexual intercourse. She had vaginal atresia and a grossly
hypoplastic uterus. Both had successful sigmoid colovaginoplasty and are sexually
active. Vaginal reconstruction using the sigmoid colon saw an immediate and
satisfactory outcome in both patients.
PMID- 22091332
TI - The use of cyanoacrylate in surgical anastomosis: an alternative to microsurgery.
AB - To present anastomosis with cyanoacrylate as a cheap, simple, fast, and available
technique for anastomosis in urological, vascular, gynecological, and general
surgical procedures. This method may in the future be a good alternative to
microsurgery, particularly in centers where facilities are unavailable and the
financial implication is unbearable for the patient. Cyanoacrylate is an adhesive
or glue that is available in different chemical forms ranging from
ethylcyanoacrylate (superglue) to Isobutylcyanoacrylate and octylcyanoacrylate
(dermerbond), which is in clinical use. Anastomosis with cyanoacrylate requires
the application of stay sutures, a luminal stent and the subsequent application
of the adhesive. The adhesives with lower molecular weights produce a rigid and
patent region of anastomosis, while the higher molecular compounds produce a
consistency close to the normal tissue. This technique presents a surgical method
that is socially, culturally, and ethically acceptable, which is affordable to a
larger majority of patients in our subregion. Cyanoacrylate anastomosis may in
the future present a fast, convenient, simple, and affordable option in the
treatment of patients requiring anastomosis. In our subregion where the socio
cultural, psychological, and economic burden of failed anastomosis is high,
associated with the low per capital income, this may be a novel option for the
management of urogynecological, vascular, neurosurgical, and general surgical
procedures requiring either microscopic or macroscopic anastomosis.
PMID- 22091333
TI - Endoscopic and external surgical approach to paranasal sinus mucocele.
AB - Mucoceles of the paranasal sinuses are not common, but usually present as cystic
lesions causing facial asymmetry requiring surgery. Hitherto surgical attempts at
excision were external surgical approaches with the use of stents to drain the
frontoethmoidal sinus, if they were the principal sinus involved, for a variable
period. Recent advances in endoscopic sinus surgery have made the endoscopic
approach to surgically manage paranasal sinus mucoceles the new trend. A total of
18 patients were seen over a ten-year period with paranasal sinus mucocele; 14
cases were managed through the external approach, while four were consecutively
managed endoscopically. The endoscopic approach in the surgical management of
mucoceles of the paranasal sinuses and the external approach are discussed in
this article with the intent of showing the advantages of the two approaches,
with more emphasis on the endoscopic approach and caution that should the
endoscopic approach prove difficult, reversal to the external approach should be
undertaken immediately, so as to avoid unnecessary complications.
PMID- 22091334
TI - Clinicopathological relationship between fibrocystic disease complex and breast
cancer: a case report.
PMID- 22091335
TI - The advantages of lateral tarsal strip procedure.
PMID- 22091336
TI - The correction of involutional entropion of eyelid by lateral strip procedure.
AB - AIM: To determine cosmetic and functional outcome following lateral strip
procedure (LSP) for involutional entropion. MATERIALS AND METHODS: This study was
a prospective analysis of 15 patients (20 eyelids) of involutional entropion, who
needed surgical repair. After thorough evaluation, the surgical treatment (LSP)
was done in all 15 patients. RESULTS: Cosmetic and functional outcome was
excellent in all cases following LSP. No complications and recurrence were
encountered in any case. CONCLUSIONS: LSP is simple, physiologic, easy and quick
to perform as OPD procedure for involutional entropion under local anesthesia
without hospitalization by a general ophthalmologist.
PMID- 22091337
TI - Peri-vesical fat interposition flap reinforcement in high vesico-vaginal
fistulas.
AB - BACKGROUND AND AIM: The urinary bladder becomes small, contracted and is
associated with excess pelvic fat in long standing cases of vesico-vaginal
fistulas (VVFs). The aim of this new technique was to use this excess pelvic fat
for harvesting an interposition flap. MATERIALS AND METHODS: An interposition
flap of peri-vesical fat was raised from the anterior, superior and posterior
surfaces of the urinary bladder and was interposed between the right angle closed
vaginal vault and the urinary bladder to strengthen the repair. This technique
was used in two patients of VVFs. RESULTS: Both the patients had successful
outcome and were able to retain sufficient quantity of urine at 3 months follow
up. CONCLUSIONS: Peri-vesical fat flap proved an effective interposition flap in
the repairs of VVFs in selected cases.
PMID- 22091338
TI - Reverse Transrectal Stapling Technique Using the EEA Stapler: An Alternative
Approach in Difficult Reversal of Hartmann's Procedure.
AB - The introduction of circular end-to-end stapling devices (CEEA OR EEA stapler)
into colorectal surgery have revolutionised anastomotic techniques. The EEA
stapler is generally regarded as an instrument that is safe, reliable, and simple
to operate. Despite it's popularity, very little information is available
regarding the technical difficulties encountered during surgery. The routine
technique to perform an end-to-end circular colonic anastomosis is to introduce
the instrument distally through the anus (transrectal/transanal approach) and
attach it to the anvil which is purse stringed at the distal end of the proximal
bowel to be anastomosed. Two cases of reversal of Hartmann's procedure for
perforated diverticulitis are described in the present study, where difficulty
was experienced while using the EEA stapler in the routine method. Hence, an
alternative reverse technique which was used is presented.
PMID- 22091339
TI - Spontaneous recanalization of complete internal carotid artery: a clinical
reminder.
AB - Spontaneous recanalization of atherothrombotic extracranial cerebral arteries is
rare vis-a-vis recanalization of intracranial vessels. The time course is
unknown. The question is the advisability and timing of surgery in a recanalized
vessel. We describe a patient with spontaneous recanalization of a totally
occluded left Internal Carotid Artery (ICA) who was monitored with periodic
imaging and in time had partial recanalization of the ICA. We believe patients
with total ICA occlusion with return of function should be followed up with
periodic carotid ultrasound, Magnetic Resonance Angiography (MRA) or CT
angiography, and when appropriate, be candidates for carotid vascular
interventions.
PMID- 22091340
TI - Tuberculosis verrucosa cutis developing over a keloid: a rare presentation.
AB - Cutaneous tuberculosis can present as either primary or secondary infection, or
it can be associated with systemic tuberculosis. It can present with unusual
clinical and histological features causing delay in diagnosis and treatment.
Tuberculosis verrucosa cutis occurs as a single verrucous lesion over exposed
areas of the body along with inflammatory borders and discharging sinus. Here, we
are presenting a rare case of tuberculosis verrucosa cutis developing over a
keloid. There is no report of such case in literature so far.
PMID- 22091341
TI - Fetus-in-fetu: A Rare Congenital Anomaly.
AB - Two cases of fetus-in-fetu, on which we performed surgery in 2003 and 2006, are
being reported. Both the cases presented with a lump in the abdomen. Radiology
confirmed the diagnosis. The lumps were found in the retroperitoneum and
successfully excised. Because of the rarity of the condition, these two cases are
being reported with relevant salient features and are discussed in the light of
available literature.
PMID- 22091342
TI - Plexiform neurofibroma: a rare tumor of submandibular salivary gland.
AB - A 15-year-old boy presented with swelling in the submandibular region. X-ray of
the swollen part showed faint radio opaque shadow. A provisional diagnosis of
sialadenitis with sialolithiasis was made. Excised mass was reported
histopathologically as plexiform neurofibroma of submandibular salivary
gland.Plexiform neurofibroma of the salivary gland is a rare benign tumor often
present in the parotid gland. It is very rare in submandibular salivary gland. It
is a slow growing, locally infiltrating tumor.
PMID- 22091343
TI - Imperforate hymen presenting as acute urinary retention in a 14-year-old nigerian
girl.
AB - Acute urinary retention in adolescent females is rare, just like imperforate
hymen. We present a case of acute urinary retention secondary to imperforate
hymen in a 14-year-old Nigerian girl. Its diagnosis and treatment are discussed
with a brief review of literature. We highlight the need for a thorough
evaluation in the female patient presenting with acute urinary retention, and
also the need to provide better health facilities in rural areas in developing
countries such as ours.
PMID- 22091344
TI - Extensive supratentorial hemorrhages following posterior fossa meningioma
surgery.
AB - Remote supratentorial hematoma soon after posterior fossa surgery for the removal
of a space-occupying lesion is a rare but dramatic and dreaded complication,
carrying significant morbidity and mortality. A 47-year-old woman presented with
headache of 1-year duration that worsened over last 2 months, progressive ataxia
of 2 months' duration, blurring and diminution of vision of 2 months' duration
and forgetfulness of 2 months' duration. Fundus showed bilateral papille dema,
and visual acuity was 6/9 in both eyes. She had left-sided cerebellar signs.
There were no focal motor or sensory neurological deficits. MRI brain with
contrast showed a large posterior fossa tumor with obstructive hydrocephalus. The
patient underwent left paramedian suboccipital craniectomy in prone position with
left side up. In the immediate postoperative period, the patient did no recover
from anesthesia and was persistently drowsy. Immediate repeat CT scan showed
diffuse subarachnoid hemorrhage spread all over the bilateral cerebral
hemispheres with diffuse cerebral edema. The patient recovered with conservative
management without deficits. This case stresses the importance of early
postoperative CT scan and optimal management of the hemorrhage for good outcome.
PMID- 22091345
TI - Bilateral biconvex frontal chronic subdural hematoma mimicking extradural
hematoma.
AB - Chronic subdural hematoma (CSDH) is one of the most common clinical entities
encountered in daily neurosurgical practice. The advent of computed tomography
(CT) has made a major impact on the radiological diagnosis of CSDH. Although
unilateral chronic isodense subdural hematomas as a result of indirect signs of a
space-occupying lesion are easily recognizable on CT, bilateral CSDH may cause
considerable difficulty, particularly when it is biconvex in shape as discussed
in the present case. A judicious use of magnetic resonance imaging will help in
making the diagnosis and for the management of such lesions.
PMID- 22091346
TI - Surgicel as an unusual cause of prolonged drainage.
PMID- 22091347
TI - Oral biopsy: a dental gawk.
PMID- 22091348
TI - Biological characterization of Bothrops marajoensis snake venom.
AB - This study describes the effects of Bothrops marajoensis venom (Marajo lancehead)
on isolated neuromuscular preparations of chick biventer cervicis (CBC) and mouse
phrenic nerve-diaphragm (PND). At low concentrations (1ug/ml for CBC and 5ug/ml
for PND), the venom exhibited a neuromuscular blocking without any damaging
effect on the muscle integrity. At higher concentration (20MUg/ml for PND),
together with the neuromuscular blockade, there was a moderate myonecrosis. The
results show differences between mammalian and avian preparations in response to
venom concentration; the avian preparation was more sensitive to venom neurotoxic
effect than the mammalian preparation. The possible presynaptic mechanism
underlying the neuromuscular blocking effect was reinforced by the observed
increase in MEPPs at the same time (at 15min) when the facilitation of twitch
tension occurred. These results indicate that the B. marajoensis venom produced
neuromuscular blockade, which appeared to be presynaptic at low concentrations
with a postsynaptic component at high concentrations, leading to muscle oedema.
These observations demand the fractionation of the crude venom and
characterization of its active components for a better understanding of its
biological dynamics.
PMID- 22091349
TI - Purification of a phospholipase A(2) from Daboia russelii siamensis venom with
anticancer effects.
AB - Venom phospholipases A2 (PLA(2)) are associated with neurotoxic, myotoxic,
cardiotoxic, platelet aggregation, and edema activities. A PLA(2) (Drs-PLA(2))
was purified from Daboia russelii siamensis venom by a two-step purification
procedure consisting of size-exclusion, followed by anion exchange high
performance liquid chromatography (HPLC). The molecular weight of the Drs-PLA(2)
was 13,679Da, which was determined by MALDI-TOF mass spectrometry. Its N-terminal
amino acid sequence was homologous to basic PLA(2)s of viperid snake venoms. The
Drs-PLA(2) had indirect hemolytic and anticoagulant activities, cytotoxic
activity with a CC(50) of 65.8nM, and inhibited SK-MEL-28 cell migration with an
IC(50) of 25.6nM. In addition, the Drs-PLA(2) inhibited the colonization of
B16F10 cells in lungs of BALB/c mice by ~65%.
PMID- 22091351
TI - Laparoscopic treatment of a rare right diaphragmatic rupture with small bowel
herniation after blunt thoracic trauma.
AB - Blunt traumatic diaphragmatic rupture (BTDR) is a life-threatening condition with
an incidence from 0,8%-1,6% in blunt trauma, mostly located on the left side. The
main prognostic factors are severe side injuries and the delay of diagnosis. We
present a rare case of a 68-year-old female, with an isolated right diaphragm
rupture. The diagnosis was done with a delay of 4 days by thoracic radiographs,
which showed a herniation of small bowel into the right thoracic cavity. A
reposition of the small bowel and a closure of the diaphragmatic defect by
running suture were carried out laparoscopicly. Although large prospective
studies concerning the outcome of laparoscopic approach to right BTDR are still
missing, we could show, that laparoscopy can be performed safely in right
traumatic diaphragm rupture.
PMID- 22091350
TI - Extracellular NM23 Signaling in Breast Cancer: Incommodus Verum.
AB - The notion that breast cancers can survive in an individual patient in a dormant
state only to grow as metastatic disease in the future, is in our view
incontrovertibly established. Convincing too is the evidence that surgery to
remove the primary tumor often terminates dormancy resulting in accelerated
relapses. Accepting that many deaths due to breast cancer might be averted were
we to understand the cellular mechanisms underlying escape from dormancy, we have
examined the extracellular signals produced by breast cancers derived from women
with metastatic breast disease. In this perspective, we explore the role of
extracellular nucleotide signaling that we have proposed constitutes a
pathological axis from the transformed tumor cell to the endothelium in the
service of intravasation, dissemination, extravasation and angiogenesis. A role
for the dinucleotide kinase NM23/NDPK (nucleoside diphosphate kinase) secreted by
breast tumor cells in the generation of signals that stimulate vascular
leakiness, anti-thrombosis, endothelial migration and growth, constitutes a
mechanistic basis for escape from latency and offers putative therapeutic targets
for breast cancer management not previously appreciated.
PMID- 22091352
TI - The Relationship between Tests of Neurocognition and Performance on a
Laparoscopic Simulator.
AB - Objective. To estimate if there is a relationship between the results of tests of
neurocognition and performance on a laparoscopic surgery simulator. Methods and
Materials. Twenty participants with no prior laparoscopic experience had baseline
cognitive tests administered (Trail Making Test, Part A and B (TMT-A and TMT-B),
Grooved Peg Board Test, Symbol Digit Modalities Test, Symbol Digit Recall Test,
and Stroop Interference Test), completed a demographic questionnaire, and then
performed laparoscopy using a simulator. We correlated the results of cognitive
tests with laparoscopic surgical performance. Results. One cognitive test
sensitive to frontal lobe function, TMT-A, significantly correlated with
laparoscopic surgical performance on the simulator (correlation coefficient of
0.534 with P < .05). However, the correlation between performance and other
cognitive tests (TMT-B, Grooved Peg Board Test, Symbol Digit Modalities Test,
Symbol Digit Recall Test, and Stroop Interference Test) was not statistically
significant. Conclusion. Laparoscopic performance may be related to measures of
frontal lobe function. Neurocognitive tests may predict motor skills abilities
and performance on laparoscopic simulator.
PMID- 22091353
TI - Laparoscopic cholecystectomy combined using miniaturised instruments in
transgastric gall bladder removal: performed on 63 patients.
AB - Background. The laparoscopic cholecystectomy is a perfectly codified surgical
procedure. The development of recent innovative and experimental surgical
techniques Natural Orifice transluminal endoscopic surger (N.O.T.E.S.) which
reduces the abdominal wall trauma leads us to develop a combined procedure of a
standard dissection using miniaturised instruments already existing on the market
(3 and 5 mm wide) and a gall bladder removal through a short gastrotomy Natural
Orifice Specimen Extraction (N.O.S.E.). Methods. Our objective was to evaluate
the safety, the feasibility, and the reproducibility of our new approach. After
reviewing existing products on the market and a feasibility study, we put in
place a protocol in our structure for patients on whom the procedure was
performed. We carried out a gall bladder removal by a short gastrotomy, located
on the anterior gastric wall, which then reduced the abdominal wall trauma and
allowed them to resume normal physical activity quickly without risk of trocar
site hernia. Results. We performed the procedure described in this paper on 63
patients, between April 2008 and July 2009. There were 14 men and 49 women with
an average age of 46.8 years (ranging from 28 to 77) and an average BMI of 27.2.
30 patients had at least one gallstone larger than 10 mm. There was no
postoperative gastric or abdominal wall complication and a fast recovery for all
the patients in our study. Conclusions. This procedure is feasible, reproducible,
with good results and minimal abdominal wall trauma. It is also safer than
N.O.T.E.S. and endoscopic clipping and recovery, allowing normal physical
activity, fast and, without risk of incisional hernia.
PMID- 22091354
TI - The learning curve for a fetal cardiac intervention team.
AB - Objectives. Multiple technical difficulties are encountered when a
multidisciplinary team of subspecialists begins a minimally-invasive fetal
cardiac interventional program. We describe the learning curve. Study Design. Ten
pregnant sheep underwent ultrasound-guided balloon valvuloplasty of the aortic
valve. Team members and their roles remained constant through the trial. The time
between needle insertion and entrance of the left ventricle at the aortic root
was recorded. F-test was used to assess significance (P <= .05). Results. The
time required to accurately position the needle tip at the aortic root decreased
significantly over the course of the trial, from 12 minutes with the first
attempt to one minute with the last (P = .003). Conclusion. A significant
learning curve is encountered when a multidisciplinary team begins a minimally
invasive fetal cardiac intervention program. However, technical proficiency can
be achieved with practice. Institutions interested in developing such a program
should consider practice in an animal model before proceeding to the human fetus.
PMID- 22091355
TI - Endoscopic resection and topical 5-Fluorouracil as an alternative treatment to
craniofacial resection for the management of primary intestinal-type sinonasal
adenocarcinoma.
AB - Introduction. Intestinal-type adenocarcinoma of the sinonasal tract is very rare
and is responsible for less than 4% of tumours of the sinuses. Craniofacial
resection has been the mainstay of treatment for many years; however, techniques
for endoscopic resection are constantly being developed. Discussion. The use of
transnasal endoscopic resection (TER) and topical chemotherapy applications as an
alternative to cranio-facial resection (CFR) is discussed. TER offers advantages
over CFR in terms of fewer intra-operative complications and an improved cosmetic
outcome. Survival and metastatic rates are similar between both procedures.
Patients with locally invasive tumours are better managed with CFR. Topical
applications of 5-Fluorouracil has been shown to be effective in increasing
survival in patients with sino-nasal malignancy. Conclusion. Trans-nasal
endoscopic resection and topical 5-Fluorouracil could potentially offer an
acceptable alternative treatment to the standard of cranio-facial resection. This
should be investigated in trials with a longer followup period than this paper in
order to directly compare the two treatment modalities.
PMID- 22091356
TI - A novel technique of uterine manipulation in laparoscopic pelvic oncosurgical
procedures: "the uterine hitch technique".
AB - Aim. To describe a new technique of uterine manipulation in laparoscopic
management of pelvic cancers. Material and Methods. We used a novel uterine hitch
technique in 23 patients from May 2008 to October 2008. These patients underwent
pelvic oncologic surgery including laparoscopic radical hysterectomy (n = 7),
laparoscopic anterior resection (n = 4), laparoscopic abdominoperineal resection
(n = 3), laparoscopic posterior exenteration (n = 4), or laparoscopic anterior
exenteration (n = 5). The uterus was hitched to the anterior abdominal.wall by
either a single suture in the fundus or by sutures through the round ligaments.
Results. The uterine hitch technique was successfully accomplished in all
procedures. It was performed in less than 5 minutes in all cases. It obviated the
need for vaginal manipulation. An extra port for retraction could be avoided.
There were no intraoperative complications. Conclusion. A practical, cheap and
reproducible method for uterine manipulation, during pelvic oncologic surgery is
described. It improves the stability of the uterus and also obviates the need for
keeping an additional assistant for vaginal manipulation in any of the
procedures.
PMID- 22091357
TI - Laparoendoscopic Single-Site Surgery (LESS) for a Large Ovarian Tumour: First
Clinical Case Report.
AB - Objective. To report the feasibility of removing a 10 cm ovarian fibroma via a
laparoendoscopic single-site trocar through trans-umbilical access. Design. Case
report. Setting. Teaching and research hospital. Patient. A 64-year-old patient
affected by a large 10 cm ovarian tumour. Intervention(s). Bilateral salpingo
oophorectomy a large 10 cm ovarian tumour, using a laparoendoscopic single-site
approach with a Covidien SILS multitrocar access device and standard laparoscopic
instruments. Main Outcome Measure(s). Conversion to standard laparoscopic
technique or laparotomy, estimated blood loss, operative time , extent of
scarring, occurrence of intra- and perioperative surgical complications,
technical adequacy, and clinical outcome. Result(s). No conversion to standard
laparoscopic technique or laparotomy, and no intraoperative or postoperative
complications were observed. Total operative time was 99 minutes. The patient was
discharged home on postoperative day one. Conclusion(s). Laparoendoscopic single
site bilateral salpingo-oophorectomy of a large ovarian tumour is feasible with
standard laparoscopic instruments. It is safe and effective, with good results in
terms of excellent cosmesis and minimal postoperative pain.
PMID- 22091358
TI - Preoperative parathyroid needle localization: a minimally invasive novel
technique in reoperative settings.
AB - Background. Reoperative parathyroid surgery for primary hyperparathyroidism can
be challenging. Numerous preoperative localization techniques have been employed
to facilitate a more focused surgical exploration. This paper describes a novel,
minimally invasive, and highly successful method of parathyroid localization.
Methods. Patients with recurrent or persistent primary hyperparathyroidism
underwent parathyroidectomy following CT scan or ultrasound-guided wire
localization of the parathyroid. Accurate placement was confirmed by fine-needle
aspiration with immunocytochemistry or PTH washout. The guide wire was left in
situ to guide surgical excision of the gland. Curative resection was established
by monitoring intact serum PTH levels after excision of the adenoma. Results. All
ten patients underwent successful redo-targeted parathyroidectomy. Nine of the
ten patients were discharged on the day of surgery. One patient was observed
overnight due to transient postoperative hypocalcemia, which resolved with
calcium supplementation. Conclusion. Placement of a localization wire via
preoperative high-resolution ultrasound or CT can expedite reoperative
parathyroid surgery. It allows identification of parathyroid adenoma via a
minimally invasive approach, especially in cases where a sestamibi scan is
inconclusive.
PMID- 22091359
TI - Single-Incision Laparoscopic Liver Resection for Colorectal Metastasis through
Stoma Site at Time of Reversal of Diversion Ileostomy: A Case Report.
AB - Minimally invasive surgical techniques for liver tumors are gaining increased
acceptance as an alternative to traditional resections by laparotomy. In this
article we describe a laparoscopic liver resection of a metastatic lesion in a
patient primarily operated for colorectal cancer. The resection was conducted as
a single port procedure through the stoma aperture at time of reversal of the
diversion ileostomy. Sigle incision liver resections may be less traumatic than
conventional laparoscopy and could be applied in selected patients with both
benign and malignant liver tumors.
PMID- 22091360
TI - An Effective Approach to Improving Day-Case Rates following Laparoscopic
Cholecystectomy.
AB - Background. Day-case laparoscopic cholecystectomy (LC) is a safe and cost
effective treatment for gallstones. In 2006, our institution recorded an 86%
laparoscopic, 10% day-case, and 5% readmission rate. A gallbladder pathway was
therefore introduced in 2007 with the aim of increasing daycase rates. Methods.
Patients with symptomatic gallstones, proven on ultrasound, were referred to a
specialist-led clinic. Those suitable for surgery were consented, preassessed,
and provided with a choice of dates. All defaulted to day case unless deemed
unsuitable due to comorbidity or social factors. Results. The number of
cholecystectomies increased from 464 in 2006 to 578 in 2008. Day-case rates in
2006, 2007, 2008, and June 2009 were 10%, 20%, 30%, and 61%, respectively.
Laparoscopic and readmission rates remained unchanged. Conversion rates for
elective cholecystectomy fell from 6% in 2006 to 3% in 2009. Conclusions.
Development of a gallbladder pathway increased day-case rates sixfold without an
associated increase in conversion or readmission rates.
PMID- 22091361
TI - Percutaneous ventricular assist devices: new deus ex machina?
AB - The development of ventricular assist devices has broadened the means with which
one can treat acute heart failure. Percutaneous ventricular assist devices (pVAD)
have risen from recent technological advances. They are smaller, easier, and
faster to implant, all important qualities in the setting of acute heart failure.
The present paper briefly describes the functioning and assets of the most common
devices used today. It gives an overview of the current evidence and indications
for left ventricular assist device use in cardiogenic shock and high-risk
percutaneous coronary intervention. Finally, extracorporeal life support devices
are dealt with in the setting of hemodynamic support.
PMID- 22091362
TI - Arthroscopic optical coherence tomography in diagnosis of early arthritis.
AB - Osteoarthritis (OA) is a progressive, debilitating disease that is increasing in
prevalence. The pathogenesis of OA is likely multifactorial but ultimately leads
to progressive breakdown of collagen matrix and loss of chondrocytes. Current
clinical modalities employed to evaluate cartilage health and diagnose
osteoarthritis in orthopaedic surgery include, radiography, MRI, and arthroscopy.
While these assessment methods can show cartilage fissuring and loss, they are
limited in ability to diagnose cartilage injury and degeneration prior breakdown
of the articular surface. An improved clinical ability to detect subsurface
cartilage pathology is important for development and testing of chondroprotective
and chondrorestorative treatments because the pathological changes following
surface breakdown are generally considered to be irreversible. Optical Coherence
Tomography (OCT), is a novel, non-destructive imaging technology capable of near
real time cross-sectional images of articular cartilage at high resolutions
comparable to low power histology. This review discusses a series of bench to
bedside studies supporting the potential use of OCT for enhanced clinical
diagnosis and staging of early cartilage injury and degeneration. OCT was also
found to be useful as a translations research tool to assist in clinical
evaluation of novel quantitative MRI technologies for non-invasive evaluation of
articular cartilage.
PMID- 22091363
TI - Evaluation of clinical outcome after laparoscopic antireflux surgery in clinical
practice: still a controversial issue.
AB - Background. Laparoscopic antireflux surgery has shown to be effective in
controlling gastroesophageal reflux (GERD). Yet, a universally accepted
definition and evaluation for treatment success/failure in GERD is still
controversial. The purpose of this paper is to assess if and how the outcome
variables used in the different studies could possibly lead to an homogeneous
appraisal of the limits and indications of LARS. Methods. We analyzed papers
focusing on the efficacy and outcome of LARS and published in English literature
over the last 10 years. Results. Symptoms scores and outcome variables reported
are dissimilar and not uniform. The most consistent parameter was patient's
satisfaction (mean satisfaction rate: 88.9%). Antireflux medications are not a
trustworthy outcome index. Endoscopy and esophageal manometry do not appear very
helpful. Twenty-four hours pH metry is recommended in patients difficult to
manage for recurrent typical symptoms. Conclusions. More uniform symptoms scales
and quality of life tools are needed for assessing the clinical outcome after
laparoscopic antireflux surgery. In an era of cost containment, objective
evaluation tests should be more specifically addressed. Relying on patient's
satisfaction may be ambiguous, yet from this study it can be considered a
practical and simple tool.
PMID- 22091364
TI - Rectal atresia-operative management with endoscopy and transanal approach: a case
report.
AB - The aim of this study is to present the technique and outcome of the management
of a newborn child with rectal atresia. A girl born with rectal atresia was
diagnosed during physical examination and confirmed with X-ray. The anatomic
appearance of the external anus, and lower pelvis was normal. The rectal ending
was located 2 cm cranial from the anus and the distance between the rectal
endings was 2 cm. A colostomy was established. At the age of five months the
child was operated on with a rectal anastomosis using the endoscopic and
transanal approach. Closure of the colostomy was performed at the age of ten
months. The rectal anastomosis was treated with rectal dilatation weekly in order
to avoid stricture. The patient was faecally continent at followup one and three
months postoperatively. In conclusion, the endoscopic and transanal approach is
an alternative to other surgical techniques in the management of rectal atresia.
PMID- 22091366
TI - Arthroscopic subtalar arthrodesis after a calcaneus fracture covered with a
forearm flap.
AB - Surgical treatment of intraarticular calcaneal fractures is often associated with
postoperative wound problems. Soft tissue necrosis, bone loss and uncontrollable
infection are a challenge for the surgeon and amputation may in some cases be the
ultimate solution. A free flap can be very helpful to cover a significant soft
tissue defect and help in fighting the infection. However, the free flap
complicates the surgical approach if subtalar arthrodesis and bone reconstruction
are needed. This study demonstrates the value of an arthroscopic technique to
resect the remaining articular cartilage in preparation for subtalar arthrodesis
and bone grafting. This approach avoids compromising the soft tissues and
minimizes damage to the free flap.
PMID- 22091365
TI - Single-Incision Cholecystectomy in about 200 Patients.
AB - Background and Aims. We describe our experience of performing transumbilical
single-incision laparoendoscopic cholecystectomy as standard procedure for acute
and chronic gallbladder diseases. Methods. Between September 2008 and March 2010,
220 patients underwent laparoscopic single-incision surgery. A single port was
used for 196 patients and two conventional 5 mm and one 10 mm port in 24 cases.
All operations were performed with straight instruments. Results. Single-incision
surgery was successfully performed in 215 patients (98%). Three patients (1.4%)
required conversion to a three-port technique and two patients (0.9%) to an open
procedure. Average age of 142 women (65%) and 78 men (35%) was 47 years (range:
15-89), average ASA status 2 (range: 1-3) and BMI 28 (range: 15-49). Mean
operative time was 62 minutes (range: 26-174) and 57 patients (26%) had
histopathological signs of acute cholecystitis. Eleven patients (5%) developed to
surgery-related complications and nine (4%) of these required a reoperation. The
mean followup was 331.5 (range: 11-590) days. Conclusion. Transumbilical single
incision cholecystectomy is a feasible and safe new approach for routine
cholecystectomy. After a short learning curve, operation time and complication
rate are comparable with standard multiport operation. In addition, most cases of
acute cholecystitis can be performed with this technique.
PMID- 22091367
TI - Technical progress in single-incision laparoscopic cholecystectomy in our initial
experience.
AB - Single-incision laparoscopic cholecystectomy (SILC) has rapidly spread throughout
the world because of its low invasiveness and because it is a scarless procedure.
Various surgical methods of performing SILC are present in each institute;
however, it is necessary to develop a standardized procedure that we can perform
safely, such as the conventional 4-port laparoscopic cholecystectomy (LC). The
SILC experiment in our institute was started by use of the commercial SILS Port
and changed from a 3-port method via an umbilicus to a 2-port method to improve
some problems. Although none of the conversions to conventional 4-port LC and
also none of the complications such as bile duct injury occurred in each method,
the 2-port method functioned best and was also economical. However, it is most
important to adopt strict criteria and select the patients suitable for SILC to
demonstrate SILC safety same as 4-port LC.
PMID- 22091369
TI - Dual-Source CT Angiography of Peripheral Arterial Stents: In Vitro Evaluation of
22 Different Stent Types.
AB - Purpose. To test different peripheral arterial stents using four image
reconstruction approaches with respect to lumen visualization, lumen attenuation
and image noise in dual-source multidetector row CT (DSCT) in vitro. Methods and
Materials. 22 stents (nitinol, steel, cobalt-alloy, tantalum, platinum alloy)
were examined in a vessel phantom. All stents were imaged in axial orientation
with standard parameters. Image reconstructions were obtained with four different
convolution kernels. To evaluate visualization characteristics of the stent, the
lumen diameter, intraluminal density and noise were measured. Results. The mean
percentage of the visible stent lumen diameter from the nominal stent diameter
was 74.5% +/- 5.7 for the medium-sharp kernel, 72.8% +/- 6.4 for the medium,
70.8% +/- 6.4 for the medium-smooth and 67.6% +/- 6.6 for the smooth kernel. Mean
values of lumen attenuation were 299.7HU +/- 127 (medium-sharp), 273.9HU +/- 68
(medium), 270.7HU +/- 53 (medium-smooth) and 265.8HU +/- 43. Mean image noise
was: 54.6 +/- 6.3, 20.5 +/- 1.7, 16.3 +/- 1.7, 14.0 +/- 2 respectively.
Conclusion. Visible stent lumen diameter varies depending on stent type and scan
parameters. Lumen diameter visibility increases with the sharpness of the
reconstruction kernel. Smoother kernels provide more realistic density
measurements inside the stent lumen and less image noise.
PMID- 22091370
TI - Intraoperative three-dimensional imaging in selective decompression for lumbar
spinal stenosis: a useful tool in theory but also in everyday practice?
AB - Background. We conducted a pilot study to investigate the value of an Iso-C3D
imaging system in determining the extent of decompression of lumbar spinal
stenosis during surgery. We now address the question whether this imaging has
become a routine tool. Material and Methods. Ten patients who underwent
unilateral decompression for lumbar spinal stenosis were intraoperatively
examined using the Iso-C3D imaging system. Four years after this study, we
investigated whether this intraoperative imaging modality is still being used.
Results. Evaluable images were intraoperatively obtained for all patients. In two
cases, the surgical procedure was changed on the basis of the images. Myelography
did not provide any additional information. In the four years following the
study, this intraoperative imaging technique has not been used again. Conclusion.
Intraoperative imaging using the Iso-C3D system provides additional safety. It,
however, has not become established as a routine procedure.
PMID- 22091368
TI - Porcine and canine von Willebrand factor and von Willebrand disease: hemostasis,
thrombosis, and atherosclerosis studies.
AB - Use of animal models of inherited and induced von Willebrand factor (VWF)
deficiency continues to advance the knowledge of VWF-related diseases: von
Willebrand disease (VWD), thrombotic thrombocytopenic purpura (TTP), and coronary
artery thrombosis. First, in humans, pigs, and dogs, VWF is essential for normal
hemostasis; without VWF bleeding events are severe and can be fatal. Second, the
ADAMTS13 cleavage site is preserved in all three species suggesting all use this
mechanism for normal VWF multimer processing and that all are susceptible to TTP
when ADAMTS13 function is reduced. Third, while the role of VWF in atherogenesis
is debated, arterial thrombosis complicating atherosclerosis appears to be VWF
dependent. The differences in the VWF gene and protein between humans, pigs, and
dogs are relatively few but important to consider in the design of VWF-focused
experiments. These homologies and differences are reviewed in detail and their
implications for research projects are discussed. The current status of porcine
and canine VWD are also reviewed as well as their potential role in future
studies of VWF-related disorders of hemostasis and thrombosis.
PMID- 22091371
TI - Percutaneous transfistulous interventions for intractable pancreatic fistula.
AB - THREE TECHNIQUES FOR THE TREATMENT OF INTRACTABLE PANCREATIC FISTULA:
percutaneous transfistulous pancreatic duct drainage (PTPD), percutaneous
transfistulous pancreatojejunostomy (PTPJ), and percutaneous transfistulous
pancreatic duct embolization (PTPE) are presented as treatment options for
intractable pancreatic fistula. PTPD is effective for most cases of intractable
fistula that communicate with the main pancreatic duct. However, PTPD itself is
not enough in some specific cases. PTPJ and PTPE are applicable in such cases.
PMID- 22091372
TI - Correlation between Rotator Cuff Tears and Systemic Atherosclerotic Disease.
AB - The purpose of this study was to investigate the association of aortic arch
calcification, a surrogate marker of atherosclerosis, with rotator cuff
tendinosis and tears given the hypothesis that decreased tendon vascularity is a
contributing factor in the etiology of tendon degeneration. A retrospective
review was performed to identify patients ages 50 to 90 years who had a shoulder
MRI and a chest radiograph performed within 6 months of each other. Chest
radiographs and shoulder MRIs from 120 patients were reviewed by two sets of
observers blinded to the others' conclusions. Rotator cuff disease was classified
as tendinosis, partial thickness tear, and full thickness tear. The presence or
absence of aortic arch calcification was graded and compared with the MRI
appearance of the rotator cuff. The tendon tear grading was positively correlated
with patient age. However, the tendon tear grading on MRI was not significantly
correlated with the aorta calcification scores on chest radiographs. Furthermore,
there was no significant correlation between aorta calcification severity and
tendon tear grading. In conclusion, rotator cuff tears did not significantly
correlate with aortic calcification severity. This suggests that tendon ischemia
may not be associated with the degree of macrovascular disease.
PMID- 22091373
TI - Technique of Peritoneal Catheter Placement under Fluroscopic Guidance.
AB - Peritoneal catheters are mainly used for peritoneal dialysis in patients with end
stage renal disease. Other uses of this catheter include intraperitoneal
chemotherapy and gene therapy for ovarian cancer and draining of uncontrolled
refractory ascites in patients with liver cirrhosis. Traditionally, surgeons
place most of these peritoneal catheters either by laparoscopy or open
laparotomy. We detail our percutaneous approach to placing peritoneal catheters
using fluoroscopic guidance. We emphasize the use of additional ultrasound
guidance, including gray scale and color Doppler ultrasound, to determine the
safest puncture site and to guide the initial needle puncture in order to avoid
bowel perforation and injury to epigastric artery. We present our experience in
placing peritoneal catheters using this technique in 95 patients with various
indications. Fluoroscopic guided percutaneous placement of peritoneal catheters
is a safe, minimally invasive, and effective alternative to open surgical or
laparoscopic placement.
PMID- 22091375
TI - Current perspectives on percutaneous vertebroplasty: current
evidence/controversies, patient selection and assessment, and technique and
complications.
AB - Osteoporotic-associated vertebral compression fractures are a major public health
concern, dwarfing even hip fractures in incidence in the United States. These
fractures carry a significant morbidity and mortality burden and also represent a
major growing source of consumption of scarce heath resources. Percutaneous
vertebroplasty remains a commonly used and safe technique for the symptomatic
treatment of vertebral compression fractures, both osteoporotic- and neoplastic
induced. By carefully selecting appropriate patients who are referred promptly,
vertebroplasty can provide significant and durable pain relief over traditional
conservative therapy. Recent controversies surrounding the evidence for
vertebroplasty in osteoporotic-associated vertebral compression fractures are
reviewed. A comprehensive step-by-step practical guide to performing
vertebroplasty is then described. A brief description of patient selection,
workup, as well as complications is also provided.
PMID- 22091374
TI - Interventional radiology and the care of the oncology patient.
AB - Interventional Radiology (IR) is occupying an increasingly prominent role in the
care of patients with cancer, with involvement from initial diagnosis, right
through to minimally invasive treatment of the malignancy and its complications.
Adequate diagnostic samples can be obtained under image guidance by percutaneous
biopsy and needle aspiration in an accurate and minimally invasive manner. IR
techniques may be used to place central venous access devices with well
established safety and efficacy. Therapeutic applications of IR in the oncology
patient include local tumour treatments such as transarterial chemo-embolisation
and radiofrequency ablation, as well as management of complications of malignancy
such as pain, organ obstruction, and venous thrombosis.
PMID- 22091376
TI - Thoracic radionecrosis following repeated cardiac catheterization.
AB - Radiodermatitis is a known complication in patients having undergone
radiotherapy. It usually appears 2 to 5 years after irradiation. We are reporting
on a case of radiodermatitis that occurred within months after coronary
dilatation and stenting. It started with painful swelling, followed by a typical
appearance on the skin surface. Histological finding confirmed the diagnosis.
However, magnetic resonance imaging showed changes in the subcutaneous tissue
extending into the ribs. A radical debridement was performed including removal of
a partially necrotic 4th rib. The defect was closed with a latissimus dorsi
transposition flap. Our findings are compared with the literature reports.
PMID- 22091377
TI - Malignant Cerebral Edema following CT Myelogram Using Isovue-M 300 Intrathecal
Nonionic Water-Soluble Contrast: A Case Report.
AB - Lumbar myelogram utilizing nonionic contrast is a commonly performed procedure to
identify spinal pathology. Complication rates are low. Cerebral edema has been
shown to occur following intrathecal injection of ionic contrast; however, no
current literature has documented this complication relating to the ubiquitously
used nonionic contrast medium. We report a case of a patient who developed
malignant cerebral edema following a lumbar myelogram with Isovue-M 300 nonionic
water-soluble intrathecal contrast. We believe this is the first reported case of
cerebral edema resulting from the use of a nonionic contrast.
PMID- 22091378
TI - Myelography in the Age of MRI: Why We Do It, and How We Do It.
AB - Myelography is a nearly ninety-year-old method that has undergone a steady
development from the introduction of water-soluble contrast agents to CT
myelography. Since the introduction of magnetic resonance imaging into clinical
routine in the mid-1980s, the role of myelography seemed to be constantly less
important in spinal diagnostics, but it remains a method that is probably even
superior to MRI for special clinical issues. This paper briefly summarizes the
historical development of myelography, describes the technique, and discusses
current indications like the detection of CSF leaks or cervical root avulsion.
PMID- 22091379
TI - Esophageal inlet patch.
AB - An inlet patch is a congenital anomaly consisting of ectopic gastric mucosa at or
just distal to the upper esophageal sphincter. Most inlet patches are largely
asymptomatic, but in problematic cases complications related to acid secretion
such as esophagitis, ulcer, web and stricture may occur. The diagnosis of inlet
patch is strongly suggested on barium swallow where the most common pattern
consists of two small indentations on the wall of the esophagus. The diagnosis of
inlet patch is confirmed via endoscopy with biopsy. At endoscopy, the lesion
appears salmon-coloured and velvety and is easily distinguished from the normal
grey-white squamous epithelium of the esophagus. The prominent margins correlate
with the radiological findings of indentations and rim-like shadows on barium
swallow. Histopathology provides the definitive diagnosis by demonstrating
gastric mucosa adjacent to normal esophageal mucosa. No treatment is required for
asymptomatic inlet patches. Symptomatic cases are treated with proton pump
inhibitors to relieve symptoms related to acid secretion. Strictures and webs are
treated with serial dilatation and should be biopsied to rule out malignancy.
PMID- 22091380
TI - MR Angiography of Peripheral Arterial Stents: In Vitro Evaluation of 22 Different
Stent Types.
AB - Purpose. To evaluate stent lumen visibility of a large sample of different
peripheral arterial (iliac, renal, carotid) stents using magnetic resonance
angiography in vitro. Materials and Methods. 21 different stents and one
stentgraft (10 nitinol, 7 316L, 2 tantalum, 1 cobalt superalloy, 1 PET + cobalt
superalloy, and 1 platinum alloy) were examined in a vessel phantom (vessel
diameters ranging from 5 to 13 mm) filled with a solution of Gd-DTPA. Stents were
imaged at 1.5 Tesla using a T1-weighted 3D spoiled gradient-echo sequence. Image
analysis was performed measuring three categories: Signal intensity in the stent
lumen, lumen visibility of the stented lumen, and homogeneity of the stented
lumen. The results were classified using a 3-point scale (good, intermediate, and
poor results). Results. 7 stents showed good MR lumen visibility (4x nitinol, 2x
tantalum, and 1x cobalt superalloy). 9 stents showed intermediate results (5x
nitinol, 2x 316L, 1x PET + cobalt superalloy, and 1x platinum alloy) and 6 stents
showed poor results (1x nitinol, and 5x 316L). Conclusion. Stent lumen visibility
varies depending on the stent material and type. Some products show good lumen
visibility which may allow the detection of stenoses inside the lumen, while
other products cause artifacts which prevent reliable evaluation of the stent
lumen with this technique.
PMID- 22091381
TI - The risk of radiation exposure to the eyes of the interventional pain physician.
AB - It is widely accepted that the use of medical imaging continues to grow across
the globe as does the concern for radiation safety. The danger of lens opacities
and cataract formation related to radiation exposure is well documented in the
medical literature. However, there continues to be controversy regarding actual
dose thresholds of radiation exposure and whether these thresholds are still
relevant to cataract formation. Eye safety and the risk involved for the
interventional pain physician is not entirely clear. Given the available
literature on measured radiation exposure to the interventionist, and the
controversy regarding dose thresholds, it is our current recommendation that the
interventional pain physician use shielded eyewear. As the breadth of
interventional procedures continues to grow, so does the radiation risk to the
interventional pain physician. In this paper, we attempt to outline the risk of
cataract formation in the scope of practice of an interventional pain physician
and describe techniques that may help reduce them.
PMID- 22091382
TI - MR Imaging of Prostate Cancer: Diffusion Weighted Imaging and (3D) Hydrogen 1 (H)
MR Spectroscopy in Comparison with Histology.
AB - Purpose. To evaluate retrospectively the impact of diffusion weighted imaging
(DWI) and (3D) hydrogen 1 ((1)H) MR-spectroscopy (MRS) on the detection of
prostatic cancer in comparison to histological examinations. MATERIALS AND
METHODS: 50 patients with suspicion of prostate cancer underwent a MRI
examination at a 1.5T scanner. The prostate was divided into sextants. Regions of
interest were placed in each sextant to evaluate the apparent diffusion
coefficient (ADC)-values. The results of the DWI as well as MRS were compared
retrospectively with the findings of the histological examination. Sensitivity
and specificity of ADC and metabolic ratio (MET)-both separately and in
combination-for identification of tumor tissue was computed for variable
discrimination thresholds to evaluate its receiver operator characteristic (ROC).
An association between ADC, MET and Gleason score was tested by the non
parametric Spearman rho-test. Results. The average ADC-value was 1.65 +/-
0.32mm(2)/s * 10(-3) in normal tissue and 0.96+/-0.24 mm(2)/s * 10(-3) in tumor
tissue (mean +/- 1 SD). MET was 0.418 +/- 0.431 in normal tissue and 2.010 +/-
1.649 in tumor tissue. The area under the ROC curve was 0.966 (95%-confidence
interval 0.941-0.991) and 0.943 (0.918-0.968) for DWI and MRS, respectively.
There was a highly significant negative correlation between ADC-value and the
Gleason score in the tumor-positive tissue probes (n = 62, rho = -0.405, P =
.001). MRS did not show a significant correlation with the Gleason score (rho =
0.117, P = .366). By using both the DWI and MRS, the regression model provided
sensitivity and specificity for detection of tumor of 91.9% and 98.3%,
respectively. Conclusion. The results of our study showed that both DWI and MRS
should be considered as an additional and complementary tool to the T2-weighted
MRI for detecting prostate cancer.
PMID- 22091383
TI - Differential effects of alpha-particle radiation and x-irradiation on genes
associated with apoptosis.
AB - This study examined differential effects of alpha-(alpha-) particle radiation and
X-rays on apoptosis and associated changes in gene expression. Human monocytic
cells were exposed to alpha-particle radiation and X-rays from 0 to 1.5 Gy. Four
days postexposure, cell death was measured by flow cytometry and 84 genes related
to apoptosis were analyzed using real-time PCR. On average, 33% of the cells were
apoptotic at 1.5 Gy of alpha-particle radiation. Transcript profiling showed
statistical expression of 15 genes at all three doses tested. Cells exposed to X
rays were <5% apoptotic at ~1.5 Gy and induced less than a 2-fold expression in 6
apoptotic genes at the higher doses of radiation. Among these 6 genes, Fas and
TNF-alpha were common to the alpha-irradiated cells. This data suggests that
alpha-particle radiation initiates cell death by TNF-alpha and Fas activation and
through intermediate signalling mediators that are distinct from X-irradiated
cells.
PMID- 22091384
TI - Advances in imaging for atrial fibrillation ablation.
AB - Over the last fifteen years, our understanding of the pathophysiology of atrial
fibrillation (AF) has paved the way for ablation to be utilized as an effective
treatment option. With the aim of gaining more detailed anatomical
representation, advances have been made using various imaging modalities, both
before and during the ablation procedure, in planning and execution. Options have
flourished from procedural fluoroscopy, electroanatomic mapping systems,
preprocedural computed tomography (CT), magnetic resonance imaging (MRI),
ultrasound, and combinations of these technologies. Exciting work is underway in
an effort to allow the electrophysiologist to assess scar formation in real time.
One advantage would be to lessen the learning curve for what are very complex
procedures. The hope of these developments is to improve the likelihood of a
successful ablation procedure and to allow more patients access to this
treatment.
PMID- 22091385
TI - Osteoid osteoma: can impedance levels in radiofrequency thermocoagulation predict
recurrence?
AB - Objective. To evaluate rise in impedance during percutaneous radiofrequency
thermocoagulation (PRFTC) of osteoid osteomas as a predictor of local recurrence.
Design and Patients. A prospective study of 23 patients (24 PRFTC procedures)
with minimum of 2.25-year followup (average 3.3 years). Average age 19.6 years
(range 4-44), sex ratio 15 : 8 (male : female), 16 nondiaphyseal, 7 diaphyseal.
Results. In 19 procedures, an increase in impedance was measured-no recurrences
have occurred in this group to date. In 5 procedures, no increase in impedance
was seen (3 non-diaphyseal, 2 diaphyseal), and 1 recurrence has been seen in this
group to date. This difference is statistically significant with a P value of
.05.
PMID- 22091386
TI - Clinical outcome of intra-arterial embolization for treatment of patients with
pelvic trauma.
AB - Purpose. To analyse the technical success of pelvic embolization in our
institution and to assess periprocedural hemodynamic status and
morbidity/mortality of all pelvic trauma patients who underwent pelvic
embolization. Methods. A retrospective analysis of patients with a pelvic
fracture due to trauma who underwent arterial embolization was performed.
Clinical data, pelvic radiographs, contrast-enhanced CT-scans, and angiographic
findings were reviewed. Subsequently, the technical success and peri-procedural
hemodynamic status were evaluated and described. Results. 19 trauma patients with
fractures of the pelvis underwent arterial embolization. Initially, 10/19
patients (53%) were hemodynamically unstable prior to embolization. Technical
success of embolization was 100%. 14/19 patients (74%) were stable after
embolization, and treatment success was high as 74%. Conclusion. Angiography with
subsequent embolization should be performed in patients with a pelvic fracture
due to trauma and hemodynamic instability, after surgical intervention or with a
persistent arterial blush indicative of an active bleeding on CT.
PMID- 22091388
TI - Signatures of drug sensitivity in nonsmall cell lung cancer.
AB - We profiled receptor tyrosine kinase pathway activation and key gene mutations in
eight human lung tumor cell lines and 50 human lung tumor tissue samples to
define molecular pathways. A panel of eight kinase inhibitors was used to
determine whether blocking pathway activation affected the tumor cell growth. The
HER1 pathway in HER1 mutant cell lines HCC827 and H1975 were found to be highly
activated and sensitive to HER1 inhibition. H1993 is a c-MET amplified cell line
showing c-MET and HER1 pathway activation and responsiveness to c-MET inhibitor
treatment. IGF-1R pathway activated H358 and A549 cells are sensitive to IGF-1R
inhibition. The downstream PI3K inhibitor, BEZ-235, effectively inhibited tumor
cell growth in most of the cell lines tested, except the H1993 and H1650 cells,
while the MEK inhibitor PD-325901 was effective in blocking the growth of KRAS
mutated cell line H1734 but not H358, A549 and H460. Hierarchical clustering of
primary tumor samples with the corresponding tumor cell lines based on their
pathway signatures revealed similar profiles for HER1, c-MET and IGF-1R pathway
activation and predict potential treatment options for the primary tumors based
on the tumor cell lines response to the panel of kinase inhibitors.
PMID- 22091387
TI - Urine glycoprotein profile reveals novel markers for chronic kidney disease.
AB - Chronic kidney disease (CKD) is a significant public health problem, and
progression to end-stage renal disease leads to dramatic increases in morbidity
and mortality. The mechanisms underlying progression of disease are poorly
defined, and current noninvasive markers incompletely correlate with disease
progression. Therefore, there is a great need for discovering novel markers for
CKD. We utilized a glycoproteomic profiling approach to test the hypothesis that
the urinary glycoproteome profile from subjects with CKD would be distinct from
healthy controls. N-linked glycoproteins were isolated and enriched from the
urine of healthy controls and subjects with CKD. This strategy identified several
differentially expressed proteins in CKD, including a diverse array of proteins
with endopeptidase inhibitor activity, protein binding functions, and acute
phase/immune-stress response activity supporting the proposal that inflammation
may play a central role in CKD. Additionally, several of these proteins have been
previously linked to kidney disease implicating a mechanistic role in disease
pathogenesis. Collectively, our observations suggest that the human urinary
glycoproteome may serve as a discovery source for novel mechanism-based
biomarkers of CKD.
PMID- 22091389
TI - The application of a three-step proteome analysis for identification of new
biomarkers of pancreatic cancer.
AB - We searched for novel tumor markers of pancreatic cancer by three-step serum
proteome analysis. Twelve serum abundant proteins were depleted using
immunoaffinity columns followed by fractionation by reverse-phase high
performance liquid chromatography. Proteins in each fraction were separated by
two-dimensional gel electrophoresis. Then the gel was stained by Coomassie
Brilliant Blue. Protein spots in which the expression levels were significantly
different between cancer and normal control were identified by LC-MS/MS. One
hundred and two spots were upregulated, and 84 spots were downregulated in serum
samples obtained from patients with pancreatic cancers, and 58 proteins were
identified by mass spectrometry. These candidate proteins were validated using
western blot analysis and enzyme-linked immunosorbent assay (ELISA). As a result
of these validation process, we could confirm that the serum levels of
apolipoprotein A-IV, vitamin D-binding protein, plasma retinol-binding protein 4,
and tetranectin were significantly decreased in patients with pancreatic cancer.
PMID- 22091390
TI - Proteomic Approach to Evaluate Mechanisms That Contribute to Food Allergenicity:
Comparative 2D-DIGE Analysis of Radioallergosorbent Test Positive and Negative
Patients.
AB - Proteomic profiles of RAST(+) subjects with severe food allergies and RAST(-)
subjects were compared using 2D-DIGE analysis to obtain candidate biomarkers
specific to food allergies. Our analysis highlighted 52 proteins that were
differentially expressed between the RAST(+) and RAST(-) groups of which 37 were
successfully identified that include chondroitin sulfates, zinc finger proteins,
C-type lectins, retinoic acid binding proteins, heat shock proteins, myosin,
cytokines, mast cell expressed proteins, and MAP kinases. Biological network
analysis tool Metacore revealed that most of these regulated proteins play a role
in immune tolerance, hypersensitivity and modulate cytokine patterns inducing a
Th2 response that typically results in IgE-mediated allergic response which has a
direct or indirect biological link to food allergy. Identifying unique biomarkers
associated with certain allergic phenotypes and potentially cross-reactive
proteins through bioinformatics analyses will provide enormous insight into the
mechanisms that underlie allergic response in patients with food allergies.
PMID- 22091391
TI - A bayesian model averaging approach to the quantification of overlapping peptides
in an maldi-tof mass spectrum.
AB - In a high-resolution MALDI-TOF mass spectrum, a peptide produces multiple peaks,
corresponding to the isotopic variants of the molecules. An overlap occurs when
two peptides appear in the vicinity of the mass coordinate, resulting in the
difficulty of quantifying the relative abundance and the exact masses of these
peptides. To address the problem, two factors need to be considered: (1) the
variability pertaining to the abundances of the isotopic variants (2) extra
information content needed to supplement the information contained in data. We
propose a Bayesian model for the incorporation of prior information. Such
information exists, for example, for the distribution of the masses of peptides
and the abundances of the isotopic variants. The model we develop allows for the
correct estimation of the parameters of interest. The validity of the modeling
approach is verified by a real-life case study from a controlled mass
spectrometry experiment and by a simulation study.
PMID- 22091392
TI - Allelic Diversity of Major Histocompatibility Complex Class II DRB Gene in Indian
Cattle and Buffalo.
AB - The present study was conducted to study the diversity of MHC-DRB3 alleles in
Indian cattle and buffalo breeds. Previously reported BoLA-DRB exon 2 alleles of
Indian Zebu cattle, Bos taurus cattle, buffalo, sheep, and goats were analyzed
for the identities and divergence among various allele sequences. Comparison of
predicted amino acid residues of DRB3 exon 2 alleles with similar alleles from
other ruminants revealed considerable congruence in amino acid substitution
pattern. These alleles showed a high degree of nucleotide and amino acid
polymorphism at positions forming peptide-binding regions. A higher rate of
nonsynonymous substitution was detected at the peptide-binding regions,
indicating that BoLA-DRB3 allelic sequence evolution was driven by positive
selection.
PMID- 22091393
TI - Glycolysis in the african trypanosome: targeting enzymes and their subcellular
compartments for therapeutic development.
AB - Subspecies of the African trypanosome, Trypanosoma brucei, which cause human
African trypanosomiasis, are transmitted by the tsetse fly, with transmission
essential lifecycle stages occurring in both the insect vector and human host.
During infection of the human host, the parasite is limited to using glycolysis
of host sugar for ATP production. This dependence on glucose breakdown presents a
series of targets for potential therapeutic development, many of which have been
explored and validated as therapeutic targets experimentally. These include
enzymes directly involved in glucose metabolism (e.g., the trypanosome
hexokinases), as well as cellular components required for development and
maintenance of the essential subcellular compartments that house the major part
of the pathway, the glycosomes.
PMID- 22091394
TI - Glucose-6-phosphate dehydrogenase of trypanosomatids: characterization, target
validation, and drug discovery.
AB - In trypanosomatids, glucose-6-phosphate dehydrogenase (G6PDH), the first enzyme
of the pentosephosphate pathway, is essential for the defense of the parasite
against oxidative stress. Trypanosoma brucei, Trypanosoma cruzi, and Leishmania
mexicana G6PDHs have been characterized. The parasites' G6PDHs contain a unique
37 amino acid long N-terminal extension that in T. cruzi seems to regulate the
enzyme activity in a redox-state-dependent manner. T. brucei and T. cruzi G6PDHs,
but not their Leishmania spp. counterpart, are inhibited, in an uncompetitive
way, by steroids such as dehydroepiandrosterone and derivatives. The Trypanosoma
enzymes are more susceptible to inhibition by these compounds than the human
G6PDH. The steroids also effectively kill cultured trypanosomes but not
Leishmania and are presently considered as promising leads for the development of
new parasite-selective chemotherapeutic agents.
PMID- 22091395
TI - Protein methylation and stress granules: posttranslational remodeler or innocent
bystander?
AB - Stress granules contain a large number of post-translationally modified proteins,
and studies have shown that these modifications serve as recruitment tags for
specific proteins and even control the assembly and disassembly of the granules
themselves. Work originating from our laboratory has focused on the role protein
methylation plays in stress granule composition and function. We have
demonstrated that both asymmetrically and symmetrically dimethylated proteins are
core constituents of stress granules, and we have endeavored to understand when
and how this occurs. Here we seek to integrate this data into a framework
consisting of the currently known post-translational modifications affecting
stress granules to produce a model of stress granule dynamics that, in turn, may
serve as a benchmark for understanding and predicting how post-translational
modifications regulate other granule types.
PMID- 22091397
TI - Target Identification and Intervention Strategies against Kinetoplastid Protozoan
Parasites.
PMID- 22091396
TI - The Role of Protein Arginine Methylation in mRNP Dynamics.
AB - In eukaryotes, messenger RNA biogenesis depends on the ordered and precise
assembly of a nuclear messenger ribonucleoprotein particle (mRNP) during
transcription. This process requires a well-orchestrated and dynamic sequence of
molecular recognition events by specific RNA-binding proteins. Arginine
methylation is a posttranslational modification found in a plethora of RNA
binding proteins responsible for mRNP biogenesis. These RNA-binding proteins
include both heterogeneous nuclear ribonucleoproteins (hnRNPs) and
serine/arginine-rich (SR) proteins. In this paper, I discuss the mechanisms of
action by which arginine methylation modulates various facets of mRNP biogenesis,
and how the collective consequences of this modification impart the specificity
required to generate a mature, translational- and export-competent mRNP.
PMID- 22091398
TI - Cryptolepine-Induced Cell Death of Leishmania donovani Promastigotes Is Augmented
by Inhibition of Autophagy.
AB - Leishmania donovani are the causative agents of visceral leishmaniasis worldwide.
Lack of vaccines and emergence of drug resistance warrants the need for improved
drug therapy and newer therapeutic intervention strategies against leishmaniasis.
In the present study, we have investigated the effect of the natural
indoloquinoline alkaloid cryptolepine on L. donovani AG83 promastigotes. Our
results show that cryptolepine induces cellular dysfunction in L. donovani
promastigotes, which leads to the death of this unicellular parasite.
Interestingly, our study suggest that cryptolepine-induced cell death of L.
donovani is counteracted by initial autophagic features elicited by the cells.
For the first time, we show that autophagy serves as a survival mechanism in
response to cryptolepine treatment in L. donovani promastigotes and inhibition of
autophagy causes an early increase in the amount of cell death. This study can be
exploited for designing better drugs and better therapeutic strategies against
leishmaniasis in future.
PMID- 22091399
TI - Bioinformatic Analysis of Leishmania donovani Long-Chain Fatty Acid-CoA Ligase as
a Novel Drug Target.
AB - Fatty acyl-CoA synthetase (fatty acid: CoA ligase, AMP-forming; (EC 6.2.1.3))
catalyzes the formation of fatty acyl-CoA by a two-step process that proceeds
through the hydrolysis of pyrophosphate. Fatty acyl-CoA represents bioactive
compounds that are involved in protein transport, enzyme activation, protein
acylation, cell signaling, and transcriptional control in addition to serving as
substrates for beta oxidation and phospholipid biosynthesis. Fatty acyl-CoA
synthetase occupies a pivotal role in cellular homeostasis, particularly in lipid
metabolism. Our interest in fatty acyl-CoA synthetase stems from the
identification of this enzyme, long-chain fatty acyl-CoA ligase (LCFA) by
microarray analysis. We found this enzyme to be differentially expressed by
Leishmania donovani amastigotes resistant to antimonial treatment. In the present
study, we confirm the presence of long-chain fatty acyl-CoA ligase gene in the
genome of clinical isolates of Leishmania donovani collected from the disease
endemic area in India. We predict a molecular model for this enzyme for in silico
docking studies using chemical library available in our institute. On the basis
of the data presented in this work, we propose that long-chain fatty acyl-CoA
ligase enzyme serves as an important protein and a potential target candidate for
development of selective inhibitors against leishmaniasis.
PMID- 22091400
TI - Experimental Chemotherapy for Chagas Disease: A Morphological, Biochemical, and
Proteomic Overview of Potential Trypanosoma cruzi Targets of Amidines Derivatives
and Naphthoquinones.
AB - Chagas disease (CD), caused by Trypanosoma cruzi, affects approximately eight
million individuals in Latin America and is emerging in nonendemic areas due to
the globalisation of immigration and nonvectorial transmission routes. Although
CD represents an important public health problem, resulting in high morbidity and
considerable mortality rates, few investments have been allocated towards
developing novel anti-T. cruzi agents. The available therapy for CD is based on
two nitro derivatives (benznidazole (Bz) and nifurtimox (Nf)) developed more than
four decades ago. Both are far from ideal due to substantial secondary side
effects, limited efficacy against different parasite isolates, long-term therapy,
and their well-known poor activity in the late chronic phase. These drawbacks
justify the urgent need to identify better drugs to treat chagasic patients.
Although several classes of natural and synthetic compounds have been reported to
act in vitro and in vivo on T. cruzi, since the introduction of Bz and Nf, only a
few drugs, such as allopurinol and a few sterol inhibitors, have moved to
clinical trials. This reflects, at least in part, the absence of well-established
universal protocols to screen and compare drug activity. In addition, a large
number of in vitro studies have been conducted using only epimastigotes and
trypomastigotes instead of evaluating compounds' activities against intracellular
amastigotes, which are the reproductive forms in the vertebrate host and are thus
an important determinant in the selection and identification of effective
compounds for further in vivo analysis. In addition, due to pharmacokinetics and
absorption, distribution, metabolism, and excretion characteristics, several
compounds that were promising in vitro have not been as effective as Nf or Bz in
animal models of T. cruzi infection. In the last two decades, our team has
collaborated with different medicinal chemistry groups to develop preclinical
studies for CD and investigate the in vitro and in vivo efficacy, toxicity,
selectivity, and parasite targets of different classes of natural and synthetic
compounds. Some of these results will be briefly presented, focusing primarily on
diamidines and related compounds and naphthoquinone derivatives that showed the
most promising efficacy against T. cruzi.
PMID- 22091401
TI - Evasion of Host Defence by Leishmania donovani: Subversion of Signaling Pathways.
AB - Protozoan parasites of the genus Leishmania are responsible for causing a variety
of human diseases known as leishmaniasis, which range from self-healing skin
lesions to severe infection of visceral organs that are often fatal if left
untreated. Leishmania donovani (L. donovani), the causative agent of visceral
leishmaniasis, exemplifys a devious organism that has developed the ability to
invade and replicate within host macrophage. In fact, the parasite has evolved
strategies to interfere with a broad range of signaling processes in macrophage
that includes Protein Kinase C, the JAK2/STAT1 cascade, and the MAP Kinase
pathway. This paper focuses on how L. donovani modulates these signaling pathways
that favour its survival and persistence in host cells.
PMID- 22091402
TI - Screening the MayBridge Rule of 3 Fragment Library for Compounds That Interact
with the Trypanosoma brucei myo-Inositol-3-Phosphate Synthase and/or Show
Trypanocidal Activity.
AB - Inositol-3-phosphate synthase (INO1) has previously been genetically validated as
a drug target against Trypanosoma brucei, the causative agent of African sleeping
sickness. Chemical intervention of this essential enzyme could lead to new
therapeutic agents. Unfortunately, no potent inhibitors of INO1 from any organism
have been reported, so a screen for potential novel inhibitors of T. brucei
INO1was undertaken. Detection of inhibition of T. brucei INO1 is problematic due
to the nature of the reaction. Direct detection requires differentiation between
glucose-6-phosphate and inositol-3-phosphate. Coupled enzyme assays could give
false positives as potentially they could inhibit the coupling enzyme. Thus, an
alternative approach of differential scanning fluorimetry to identify compounds
that interact with T. brucei INO1 was employed to screen ~670 compounds from the
MayBridge Rule of 3 Fragment Library. This approach identified 38 compounds,
which significantly altered the T(m) of TbINO1. Four compounds showed
trypanocidal activity with ED50s in the tens of micromolar range, with 2 having a
selectivity index in excess of 250. The trypanocidal and general cytotoxicity
activities of all of the compounds in the library are also reported, with the
best having ED50S of ~20 MUM against T. brucei.
PMID- 22091403
TI - Identification and characterization of genes involved in leishmania pathogenesis:
the potential for drug target selection.
AB - Identifying and characterizing Leishmania donovani genes and the proteins they
encode for their role in pathogenesis can reveal the value of this approach for
finding new drug targets. Effective drug targets are likely to be proteins
differentially expressed or required in the amastigote life cycle stage found in
the patient. Several examples and their potential for chemotherapeutic disruption
are presented. A pathway nearly ubiquitous in living cells targeted by anticancer
drugs, the ubiquitin system, is examined. New findings in ubiquitin and ubiquitin
like modifiers in Leishmania show how disruption of those pathways could point to
additional drug targets. The programmed cell death pathway, now recognized among
protozoan parasites, is reviewed for some of its components and evidence that
suggests they could be targeted for antiparasitic drug therapy. Finally, the
endoplasmic reticulum quality control system is involved in secretion of many
virulence factors. How disruptions in this pathway reduce virulence as evidence
for potential drug targets is presented.
PMID- 22091404
TI - MiR-146a in Immunity and Disease.
AB - MicroRNAs (miRNAs) are regulatory molecules able to influence all aspects of the
biology of a cell. They have been associated with diseases such as cancer, viral
infections, and autoimmune diseases, and in recent years, they also emerged as
important regulators of immune responses. MiR-146a in particular is rapidly
gaining importance as a modulator of differentiation and function of cells of the
innate as well as adaptive immunity. Given its importance in regulating key
cellular functions, it is not surprising that miR-146a expression was also found
dysregulated in different types of tumors. In this paper, we summarize recent
progress in understanding the role of miR-146a in innate and adaptive immune
responses, as well as in disease.
PMID- 22091405
TI - Databases and bioinformatics tools for the study of DNA repair.
AB - DNA is continuously exposed to many different damaging agents such as
environmental chemicals, UV light, ionizing radiation, and reactive cellular
metabolites. DNA lesions can result in different phenotypical consequences
ranging from a number of diseases, including cancer, to cellular malfunction,
cell death, or aging. To counteract the deleterious effects of DNA damage, cells
have developed various repair systems, including biochemical pathways responsible
for the removal of single-strand lesions such as base excision repair (BER) and
nucleotide excision repair (NER) or specialized polymerases temporarily taking
over lesion-arrested DNA polymerases during the S phase in translesion synthesis
(TLS). There are also other mechanisms of DNA repair such as homologous
recombination repair (HRR), nonhomologous end-joining repair (NHEJ), or DNA
damage response system (DDR). This paper reviews bioinformatics resources
specialized in disseminating information about DNA repair pathways, proteins
involved in repair mechanisms, damaging agents, and DNA lesions.
PMID- 22091406
TI - A perspective on the emergence of sialic acids as potent determinants affecting
leishmania biology.
AB - Leishmaniasis caused by Leishmania sp. has a wide range of manifestations from
cutaneous to the deadly visceral form. They shuttle between the invertebrate and
vertebrate hosts as promastigotes and amastigotes having adaptations for
subverting host immune responses. Parasite-specific glycoconjugates have served
as important determinants influencing parasite recognition, internalization,
differentiation, multiplication, and virulence. Despite the steady progress in
the field of parasite glycobiology, sialobiology has been a less traversed domain
of research in leishmaniasis. The present paper focuses on identification,
characterization, and differential distribution of sialoglycotope having the
linkage-specific 9-O-acetylated sialic acid in promastigotes of different
Leishmania sp. causing different clinical ramifications emphasizing possible role
of these sialoglycotopes in infectivity, virulence, nitric oxide resistance, and
host modulation in Leishmania spp. asserting them to be important molecules
influencing parasite biology.
PMID- 22091407
TI - Nucleotide Excision Repair in Caenorhabditis elegans.
AB - Nucleotide excision repair (NER) plays an essential role in many organisms across
life domains to preserve and faithfully transmit DNA to the next generation. In
humans, NER is essential to prevent DNA damage-induced mutation accumulation and
cell death leading to cancer and aging. NER is a versatile DNA repair pathway
that repairs many types of DNA damage which distort the DNA helix, such as those
induced by solar UV light. A detailed molecular model of the NER pathway has
emerged from in vitro and live cell experiments, particularly using model systems
such as bacteria, yeast, and mammalian cell cultures. In recent years, the
versatility of the nematode C. elegans to study DNA damage response (DDR)
mechanisms including NER has become increasingly clear. In particular, C. elegans
seems to be a convenient tool to study NER during the UV response in vivo, to
analyze this process in the context of a developing and multicellular organism,
and to perform genetic screening. Here, we will discuss current knowledge gained
from the use of C. elegans to study NER and the response to UV-induced DNA
damage.
PMID- 22091409
TI - Copper and its complexes in medicine: a biochemical approach.
AB - The fundamental role of copper and the recognition of its complexes as important
bioactive compounds in vitro and in vivo aroused an ever-increasing interest in
these agents as potential drugs for therapeutic intervention in various diseases.
The vast array of information available for their bioinorganic properties and
mode of action in several biological systems, combined with the new opportunities
offered by the flourishing technologies of medicinal chemistry, is creating an
exciting scenario for the development of a novel generation of highly active
drugs with minimized side effects which could add significantly to the current
clinical research and practice. In this paper we attempt to summarize all the
available-to-date information on these issues.
PMID- 22091410
TI - Structure and Function of the Small MutS-Related Domain.
AB - MutS family proteins are widely distributed in almost all organisms from bacteria
to human and play central roles in various DNA transactions such as DNA mismatch
repair and recombinational events. The small MutS-related (Smr) domain was
originally found in the C-terminal domain of an antirecombination protein, MutS2,
a member of the MutS family. MutS2 is thought to suppress homologous
recombination by endonucleolytic resolution of early intermediates in the
process. The endonuclease activity of MutS2 is derived from the Smr domain.
Interestingly, sequences homologous to the Smr domain are abundant in a variety
of proteins other than MutS2 and can be classified into 3 subfamilies. Recently,
the tertiary structures and endonuclease activities of all 3 Smr subfamilies were
reported. In this paper, we review the biochemical characteristics and structures
of the Smr domains as well as cellular functions of the Smr-containing proteins.
PMID- 22091408
TI - Use of antimony in the treatment of leishmaniasis: current status and future
directions.
AB - In the recent past the standard treatment of kala-azar involved the use of
pentavalent antimonials Sb(V). Because of progressive rise in treatment failure
to Sb(V) was limited its use in the treatment program in the Indian subcontinent.
Until now the mechanism of action of Sb(V) is not very clear. Recent studies
indicated that both parasite and hosts contribute to the antimony efflux
mechanism. Interestingly, antimonials show strong immunostimulatory abilities as
evident from the upregulation of transplantation antigens and enhanced T cell
stimulating ability of normal antigen presenting cells when treated with Sb(V) in
vitro. Recently, it has been shown that some of the peroxovanadium compounds have
Sb(V)-resistance modifying ability in experimental infection with Sb(V) resistant
Leishmania donovani isolates in murine model. Thus, vanadium compounds may be
used in combination with Sb(V) in the treatment of Sb(V) resistance cases of kala
azar.
PMID- 22091411
TI - Arsenic biotransformation as a cancer promoting factor by inducing DNA damage and
disruption of repair mechanisms.
AB - Chronic exposure to arsenic in drinking water poses a major global health
concern. Populations exposed to high concentrations of arsenic-contaminated
drinking water suffer serious health consequences, including alarming cancer
incidence and death rates. Arsenic is biotransformed through sequential addition
of methyl groups, acquired from s-adenosylmethionine (SAM). Metabolism of arsenic
generates a variety of genotoxic and cytotoxic species, damaging DNA directly and
indirectly, through the generation of reactive oxidative species and induction of
DNA adducts, strand breaks and cross links, and inhibition of the DNA repair
process itself. Since SAM is the methyl group donor used by DNA
methyltransferases to maintain normal epigenetic patterns in all human cells,
arsenic is also postulated to affect maintenance of normal DNA methylation
patterns, chromatin structure, and genomic stability. The biological processes
underlying the cancer promoting factors of arsenic metabolism, related to DNA
damage and repair, will be discussed here.
PMID- 22091412
TI - Role of cAMP Signaling in the Survival and Infectivity of the Protozoan Parasite,
Leishmania donovani.
AB - Leishmania donovani, while invading macrophages, encounters striking shift in
temperature and pH (from 22 degrees C and pH 7.2 to 37 degrees C and pH 5.5),
which act as the key environmental trigger for differentiation, and increases
cAMP level and cAMP-mediated responses. For comprehensive understanding of cAMP
signaling, we studied the enzymes related to cAMP metabolism. A stage-specific
and developmentally regulated isoform of receptor adenylate cyclase (LdRACA)
showed to regulate differentiation-coupled induction of cAMP. The soluble
acidocalcisomal pyrophosphatase, Ldvsp1, was the major isoform regulating cAMP
level in association with LdRACA. A differentially expressed soluble cytosolic
cAMP phosphodiesterase (LdPDEA) might be related to infection establishment by
shifting trypanothione pool utilization bias toward antioxidant defense. We
identified and cloned a functional cAMP-binding effector molecule from L.
donovani (a regulatory subunit of cAMP-dependent protein kinase, LdPKAR) that may
modulate metacyclogenesis through induction of autophagy. This study reveals the
significance of cAMP signaling in parasite survival and infectivity.
PMID- 22091413
TI - The Role of miRNAs as Key Regulators in the Neoplastic Microenvironment.
AB - The neoplastic microenvironment has been recognized to play a critical role in
the development of cancer. Although a large body of evidence has established the
importance of the cancer microenvironment, the manners of crosstalk between it
and the cancer cells still remains unclear. Emerging mechanisms of communication
include microRNAs (miRNAs). miRNAs are small noncoding RNA molecules that are
involved in the posttranscriptional regulation of mRNA. Both intracellular and
circulating miRNAs are differentially expressed in cancer and some of these
alterations have been correlated with clinical patient outcomes. The role of
miRNAs in the tumor microenvironment has only recently become a focus of
research, however. In this paper, we discuss the influence of miRNAs on the tumor
microenvironment as it relates to cancer progression. We conclude that miRNAs are
a critical component in understanding invasion and metastasis of cancer cells.
PMID- 22091414
TI - Peptide Inhibition of Topoisomerase IB from Plasmodium falciparum.
AB - Control of diseases inflicted by protozoan parasites such as Leishmania,
Trypanosoma, and Plasmodium, which pose a serious threat to human health
worldwide, depends on a rather small number of antiparasite drugs, of which many
are toxic and/or inefficient. Moreover, the increasing occurrence of drug
resistant parasites emphasizes the need for new and effective antiprotozoan
drugs. In the current study, we describe a synthetic peptide, WRWYCRCK, with
inhibitory effect on the essential enzyme topoisomerase I from the malaria
causing parasite Plasmodium falciparum. The peptide inhibits specifically the
transition from noncovalent to covalent DNA binding of P. falciparum
topoisomerase I, while it does not affect the ligation step of catalysis. A
mechanistic explanation for this inhibition is provided by molecular docking
analyses. Taken together the presented results suggest that synthetic peptides
may represent a new class of potential antiprotozoan drugs.
PMID- 22091415
TI - Antiproliferative, Ultrastructural, and Physiological Effects of Amiodarone on
Promastigote and Amastigote Forms of Leishmania amazonensis.
AB - Amiodarone (AMIO), the most frequently antiarrhythmic drug used for the
symptomatic treatment of chronic Chagas' disease patients with cardiac
compromise, has recently been shown to have also specific activity against fungi,
Trypanosoma cruzi and Leishmania. In this work, we characterized the effects of
AMIO on proliferation, mitochondrial physiology, and ultrastructure of Leishmania
amazonensis promastigotes and intracellular amastigotes. The IC(50) values were
4.21 and 0.46 MUM against promastigotes and intracellular amastigotes,
respectively, indicating high selectivity for the clinically relevant stage. We
also found that treatment with AMIO leads to a collapse of the mitochondrial
membrane potential (DeltaPsim) and to an increase in the production of reactive
oxygen species, in a dose-dependent manner. Fluorescence microscopy of cells
labeled with JC-1, a marker for mitochondrial energization, and transmission
electron microscopy confirmed severe alterations of the mitochondrion, including
intense swelling and modification of its membranes. Other ultrastructural
alterations included (1) presence of numerous lipid-storage bodies, (2) presence
of large autophagosomes containing part of the cytoplasm and membrane profiles,
sometimes in close association with the mitochondrion and endoplasmic reticulum,
and (3) alterations in the chromatin condensation and plasma membrane integrity.
Taken together, our results indicate that AMIO is a potent inhibitor of L.
amazonensis growth, acting through irreversible alterations in the mitochondrial
structure and function, which lead to cell death by necrosis, apoptosis and/or
autophagy.
PMID- 22091416
TI - Cardiac tumors.
AB - Cardiac tumors represent a relatively rare, yet challenging diagnosis. Secondary
tumors are far more frequent than primary tumors of the heart. The majority of
primary cardiac tumors is benign in origin, with primary malignant tumors
accounting for 25% of cases. Metastatic tumors usually arise from lung, breast,
renal cancer, melanomas, and lymphomas. Clinical manifestations of cardiac tumors
depend on the size and location of the mass and the infiltration of adjacent
tissues rather than the type of the tumor itself. Echocardiography is the main
diagnostic tool for the detection of a cardiac mass. Other imaging modalities (C
MRI, C-CT, 3D Echo) may offer further diagnostic information and the
establishment of the diagnosis is made with histological examination. Management
depends on the type of the tumor and the symptomatology of the patient.
PMID- 22091417
TI - Controversies in the management of papillary thyroid cancer revisited.
AB - The debate on the appropriate treatment of patients with papillary thyroid cancer
(PTC) has persisted for several decades. The main controversies focus on the
extent of surgery, the timing of central neck dissection, and the indications for
radioactive iodine ablation. These controversies continue, for the most part, due
to the good prognosis of PTC patients and the questionable effect these treatment
modalities have on patient survival. This paper addresses these three
controversies and the role of molecular tumor markers in the appropriate
treatment selection.
PMID- 22091419
TI - Serum Natrium Determines Outcome of Treatment of Advanced GIST with Imatinib: A
Retrospective Study of 80 Patients from a Single Institution.
AB - Treatment with tyrosine kinase inhibitors (TKIs) has drastically improved overall
survival (OS) of patients with advanced GIST. The aim of this study is to
evaluate the results of treatment with different TKIs on advanced GIST and
identify prognostic factors for OS. The medical records of all patients treated
at the Department of Oncology, Aarhus University Hospital were retrospectively
reviewed. Between 2001 and 2009, 80 patients with advanced GIST were treated with
imatinib as first-line therapy. The median OS was 44 months (95% CI 31-56), and
the 5-year OS was 40%. Since 2005, 32 patients were treated with sunitinib as 2nd
line therapy. The median time to progression was 9 months (95% CI: 3-13 months),
and the 3-year OS was 30%. The data illustrate that data from large multicenter
studies are reproducible in a single sarcoma centre. This retrospective study
pointed to low serum sodium at the start of imatinib as a possible prognostic
factor affecting OS.
PMID- 22091418
TI - Pathway profiling and rational trial design for studies in advanced stage
cervical carcinoma: a review and a perspective.
AB - Multiple genetic abnormalities will have occurred in advanced cervical cancer and
multiple targeting is likely to be needed to control tumor growth. To date,
dominant therapeutic targets under scrutiny for cervical cancer treatment have
been EGFR pathway and angiogenesis inhibition as well as anti-HPV vaccines. The
potentially most effective targets to be blocked may be downstream from the
membrane receptor or at the level of the nucleus. Alterations of the pathways
involved in DNA repair and in checkpoint activations, as well as the specific
site of HPV genome integration, appear worth assessing. For genetic mutational
analysis, complete exon sequencing may become the norm in the future but at this
stage frequent mutations (that matter) can be verified by PCR analysis. A precise
documentation of relevant alterations of a large spectrum of protein biomarkers
can be carried out by reverse phase protein array (RPPA) or by multiplex
analysis. Clinical decision-making on the drug(s) of choice as a function of the
biological alteration will need input from bio-informatics platforms as well as
novel statistical designs. Endpoints are yet to be defined such as the loss (or
reappearance) of a predictive biomarker. Single or dual targeting needs to be
explored first in relevant preclinical animal and in xenograft models prior to
clinical deployment.
PMID- 22091420
TI - Morbidity and mortality of cytoreductive surgery with hyperthermic
intraperitoneal chemotherapy: national cancer institute, Mexico city, Mexico.
AB - Peritoneal carcinomatosis (PC) is generally considered a lethal disease, with a
poor prognosis. Cytoreductive surgery (CRS) with hyperthermic intraperitoneal
chemotherapy (HIPEC) has emerged as a new approach for peritoneal surface
disease. This study investigated the early experience with this combined modality
treatment at a single institute. From January 2007 to March 2010, 24 patients
were treated After aggressive CS, with HIPEC (cisplatin 25 mg/m(2)/L and
mitomycin C 3.3 mg/m(2)/L was administered for 90-minutes at 40.5 degrees C).
These data suggest that aggressive CRS with HIPEC for the treatment of PC may
result in low mortality and acceptable morbidity. Rigorous patient selection,
appropriate and prudent operative procedures were associated with encouraging
results in our experience.
PMID- 22091421
TI - A very unusual presentation of metastatic colon cancer.
AB - This case highlights two very rare complications of metastatic colorectal
carcinoma. It describes a 59 year old female with both cutaneous and endometrial
metastases from colorectal carcinoma. While both of these presentations are very
unusual, they highlight the need to be vigilant about the detection of metastatic
complications during follow up.
PMID- 22091422
TI - A new classification and clinical predictivity for some naevus variants.
AB - Background. The incidence of cutaneous melanoma is rapidly increasing in Europe.
Active research is directed toward the identification of naevi as a risk factor.
Objective. The aim of our case-control study was to observe different numbers of
moles and different mole typology associations in order to evaluate clinical
predictivity and to establish a new classification for some naevus variants.
Methods. A case-control study was carried out, enrolling 64 cases affected by
melanoma and 183 controls, between October 2009 and February 2011. Each patient
was interviewed and subjected to clinical examination. The resulting data were
analysed using the statistical elaboration program SPSS 16.0. Results. The
association of target naevus with other variants increases the degree of risk
(target + small brown Odds Ratio 5.25; confidence interval 1.8-15.4); (target +
small brown + small black + large brown odds ratio 5.0; confidence interval 1.1
22.4). Therefore, other variants and/or other variant combinations do not
significantly increase risk. Conclusion. People presenting two naevus variants in
association with other naevus variants seem to run a major risk. The general
nonuniformity of the whole naevus panorama should be carefully considered.
PMID- 22091423
TI - Predictors of nonsentinel nodal involvement to aid intraoperative decision making
in breast cancer patients with positive sentinel lymph nodes.
AB - Background. Up to 60% of patients with a positive sentinel lymph node (SLN) have
no additional nodal involvement and do not benefit from completion axillary lymph
node dissection (ALND). We aim to identify factors predicting for non-SLN
involvement and to validate the MSKCC nomogram and Tenon score in our population.
Methods. Retrospective review was performed of 110 consecutive patients with
positive SLNs who underwent ALND over an 8-year period. Results. Fifty patients
(45%) had non-SLN involvement. Non-SLN involvement correlated positively with the
number of positive SLNs (P = 0.04), macrometastasis (P = 0.01), and inversely
with the total number of SLNs harvested (P = 0.03). The MSKCC nomogram and Tenon
score both failed to perform as previously reported. Conclusions. The MSKCC
nomogram and Tenon score have limited value in our practice. Instead, we
identified three independent predictors, which are more relevant in guiding the
intraoperative decision for ALND.
PMID- 22091425
TI - Spermatic cord metastasis of primary hepatocellular carcinoma presenting as an
inguinal mass: a case report.
AB - Most spermatic cord masses are benign, and malignant spermatic cord tumors are
uncommon. Spermatic cord metastases originating from hepatocellular carcinoma
(HCC) have not been previously reported in the English language literature as
determined by a PubMed search. We report a male patient who presented with a
painful palpable mass in the right inguinal area. The patient was diagnosed with
HCC in 2004 and undertook a nonsurgical approach to control the cancer. A radical
orchiectomy was performed, and the pathological report showed metastatic HCC in
the spermatic cord. The patient received palliative radiation therapy because of
a positive surgical margin. No recurrence was noted after 6 months of followup.
PMID- 22091424
TI - Use of pattern recognition analysis to identify underlying relationships of
Doxorubicin derivatives optimized for breast cancer treatment.
AB - Introduction. Treatment of breast cancer includes surgery, drugs (hormone therapy
and chemotherapy), and radiation. A discussion of eight drug constructs for the
treatment of breast cancer, derived through application of in silico optimized
molecular properties and substituent substitution, are analyzed using pattern
recognition techniques. Methods and Materials. Determined properties of these
eight compounds (inclusive of doxorubicin) showed a Log P varying from 0.567 to
4.137, rotatable bonds from 5 to 12, polar surface area from 195.1 A(2) to 206.1
A(2), and water solubility from 0.00873 mg/L to 390 mg/L. Analysis of similarity
(ANOSIM), hierarchical cluster analysis, and neighbor-joining cluster analysis
elucidated relationships among the drugs that are useful for pharmaceutical
consideration. Results and Discussion. Although the new derivatives share the
same parent scaffold (doxorubicin), elucidation by analysis of similarity
(ANOSIM) indicates that these assorted compounds are substantially distinct. The
number of oxygen and nitrogen atoms (hydrogen bond acceptors) remained constant
at 12 for compounds. Although violations of the Rule of five remained constant at
three for all compounds, the variation of Log P and water solubility offers
potentially beneficial medicinal activity for this group of anticancer agents
that may enhance the antitumor activity of these anthracycline antibiotics.
Hierarchical cluster analysis results clearly differentiated the parent
doxorubicin from all higher molecular weight analogs. This outcome is confirmed
with the use of neighbor-joining cluster analysis. Conclusion. By utilizing in
silico optimization with pattern recognition analysis, potentially advantageous
analogs can be elucidated from known effective pharmaceuticals.
PMID- 22091426
TI - Incidentally detected squamous cell carcinoma of renal pelvis in patients with
staghorn calculi: case series with review of the literature.
AB - Squamous cell carcinoma of the renal pelvis is a rare neoplasm, often unsuspected
clinically due to its rarity and ambiguous clinical and radiological features,
and hence patients present at advanced stages resulting in poor prognosis. We
report here four cases of incidentally diagnosed primary renal squamous cell
carcinoma, treated at our hospital over a short span of one year, and review the
relevant literature. Mean age of the patients (3 males, 1 female) was 60 years.
All suffered from staghorn stones. Interestingly, renal carcinoma was unsuspected
clinically in all patients. In one case, a computerised tomography scan showed a
suspicious nodule. All underwent nephrectomy for nonfunctioning kidney. In just
two cases, tumor was identified on gross examination, while the other two only
showed thickened pelvis. Our series emphasises the need for pelvicalyceal biopsy
during treatment for long-standing nephrolithiasis, and thorough sampling of the
renal pelvis in nephrectomy specimen of such patients.
PMID- 22091427
TI - Prognostic Significance of the Number of Removed and Metastatic Lymph Nodes and
Lymph Node Ratio in Breast Carcinoma Patients with 1-3 Axillary Lymph Node(s)
Metastasis.
AB - We evaluated the prognostic significance of lymph node ratio (LNR), number of
metastatic lymph nodes divided by number of removed nodes in 924 breast carcinoma
patients with 1-3 metastatic axillary lymph node(s). The most significant LNR
threshold value separating patients in low- and high-risk groups with significant
survival difference was 0.20 for disease-free survival (P < 0.001), 0.30 for
locoregional recurrence-free survival (P < 0.001), and 0.15 for distant
metastasis-free survival (P < 0.001), and the patients with lower LNR had better
survival. All three LNR threshold values had independent prognostic significance
in Cox analysis (P < 0.001 for all three of them). In conclusion, LNR is a useful
tool in separating breast carcinoma patients with 1-3 metastatic lymph node(s)
into low- and high-risk prognostic groups.
PMID- 22091428
TI - Study of Estrogen Receptor and Progesterone Receptor Expression in Breast Ductal
Carcinoma In Situ by Immunohistochemical Staining in ER/PgR-Negative Invasive
Breast Cancer.
AB - Background. To our knowledge, the hormone receptor status of noncontiguous ductal
carcinoma in situ (DCIS) occurring concurrently in ER/PgR-negative invasive
cancer has not been studied. The current study was undertaken to investigate the
ER/PgR receptor status of DCIS of the breast in patients with ER/PgR-negative
invasive breast cancer. Methods. We reviewed the immunohistochemical (IHC)
staining for ER and PgR of 187 consecutive cases of ER/PgR-negative invasive
breast cancers, collected from 1995 to 2002. To meet the criteria for the study,
we evaluated ER/PgR expression of DCIS cancer outside of the invasive breast
cancer. Results. A total of 37 cases of DCIS meeting the above criteria were
identified. Of these, 16 cases (43.2%) showed positive staining for ER, PgR, or
both. Conclusions. In our study of ER/PgR-negative invasive breast cancer we
found that in 8% of cases noncontiguous ER/PR-positive DCIS was present. In light
of this finding, it may be important for pathologists to evaluate the ER/PgR
status of DCIS occurring in the presence of ER/PgR-negative invasive cancer, as
this subgroup could be considered for chemoprevention.
PMID- 22091429
TI - Multiple endocrine neoplasia 2a presenting with pheochromocytoma and pituitary
macroadenoma.
AB - Multiple Endocrine Neoplasia type 2A (MEN-2a) is a rare disease associated with
tumors of endocrine organs. Presentation most commonly is with medullary thyroid
cancer and infrequently with other complaints. Pituitary adenoma has been seen
coincidentally with this disease very rarely. Presented is a case of coincident
MEN-2a with a symptomatic pituitary adenoma and an asymptomatic pheochromocytoma.
A brief review is also provided.
PMID- 22091430
TI - Association of epidermal growth factor receptor mutations with metastatic
presentations in non-small cell lung cancer.
AB - We performed this retrospective study to assess the association of epidermal
growth factor receptor (EGFR) with metastatic presentations in advanced non-small
cell lung cancer (NSCLC). The data from 125 patients with stage III or IV NSCLC
were analyzed. We detected EGFR mutations in 36 NSCLC patients. EGFR mutations
were predominant in never-smokers (P < .001), patients with adenocarcinomas (P <
.001), and female patients (P < .001). When the metastatic sites were analyzed,
pleural metastases were associated with a high incidence of EGFR mutations (P =
.028). Particularly, pleural metastases with minimal effusion (PMME) were
associated with EGFR mutational status (P = .001). Patients with N3 lesions were
less likely to harbor EGFR mutations (P = .033). On multivariate analysis, N3
lesions (P = .017) and PMME (P < .001) remained significant factors for EGFR
mutations. EGFR mutations may be associated with different presentations of
pleural and N3 nodal metastases.
PMID- 22091431
TI - Ten-year survival after liver resection for colorectal metastases: systematic
review and meta-analysis.
AB - Background. Liver resection in metastatic colorectal cancer is proved to result
in five-year survival of 25-40%. Several factors have been investigated to look
for prognostic factors stratifications such as resection margins, node
involvement in the primary disease, and interval between the primary disease and
liver metastases. Methods. We searched MEDLINE and EMBASE for studies that
reported ten-year survival. Metaanalysis was performed to analyse the effect of
recognised prognostic factors on cure rate for colorectal metastases. The meta
analysis was performed according to Ottawa-Newcastle method of analysis for
nonrandomised trials and according to the guidelines of the PRISMA. Results.
Eleven studies were included in the analysis, which showed a ten-year survival
rate of 12-36%. Factors that have favourable impact are clear resection margin,
low level of CEA, single metastatic deposit, and node negative disease. The only
factor that excluded patients from cure is the positive status of the resection
margin. Conclusion. Predicted ten-year survival after liver resection for
colorectal metastases varies from 12 to 36%. Only positive resection margins
resulted in no 10-year survivors. No patient can be excluded from consideration
for liver resection so long the result is negative margins.
PMID- 22091433
TI - Evaluating smoking cessation interventions and cessation rates in cancer
patients: a systematic review and meta-analysis.
AB - Background. Tobacco smoking cessation interventions in the oncology population
are an important part of comprehensive treatment plan. Objectives. To evaluate
through a systematic review smoking cessation interventions and cessation rates
in cancer patients. Search Strategy. The literature was searched using Medline,
EMBASE, and the Cochrane Library (inception to November 2010) by three
independent review authors. Selection Criteria. Studies were included if tobacco
smoking cessation interventions were evaluated and patients were randomized to
usual care or an intervention. The primary outcome measure was cessation rates.
Data Collection and Analysis. Two authors extracted data independently for each
paper, with disagreements resolved by consensus. Main Results. The systematic
review found eight RCTs investigating smoking cessation interventions in the
oncology patient population. Pooled relative risks were calculated from two
groups of RCTs of smoking cessation interventions based on followup duration. In
both groups, the pooled relative risk did not suggest a statistically significant
improvement in tobacco cessation compared to usual care. Conclusions. Our review
demonstrates that recent interventions in the last decade which are a combination
of non-pharmacological and pharmacological approaches yield a statistically
significant improvement in smoking cessation rates compared to usual care.
PMID- 22091434
TI - Downregulation of the adenosine a2b receptor by RNA interference inhibits
hepatocellular carcinoma cell growth.
AB - To investigate the biological effect of adenosine A2b receptor (A2bR) on the
human hepatocellular carcinoma cell line HepG2, three A2bR siRNA constructs were
transiently transfected into HepG2 cells. The results showed that A2bR siRNA
reduced the levels of A2bR mRNA and protein. In order to further detect the
function of A2bR, we established a stable hepatocellular carcinoma cell line
(HepG2) expressing siRNA targeting the adenosine A2b receptor. Targeted RNAi
significantly inhibited tumor cell growth in vitro, and flow cytometry (FCM)
showed that significantly more cells expressing A2bR siRNA were in the G0/G1
phase compared to the untransfected group ((89.56% +/- 3.15%) versus (56.19% +/-
1.58%), P < 0.01). These results indicated that silencing the expression of
adenosine A2b receptor in HepG2 cells can suppress cell growth effectively by
blocking the cell cycle. Downregulation of adenosine A2b receptor gene expression
with RNA interference could be a new approach to hepatocellular carcinoma
therapy.
PMID- 22091435
TI - Prepubertal daidzein exposure enhances mammary gland differentiation and
regulates the expression of estrogen receptor-alpha and apoptotic proteins.
AB - Mechanism of chemoprevention by daidzein (500 MUg/g bwt) was examined by
injecting it subcutaneously at 16th, 18th, and 20th day postpartum, followed by
counting of terminal end buds (TEBs), terminal ducts (TDs), and lobules and
immunohistochemistry of ER-alpha, Bcl2, Bax, and caspase-3. DNA fragmentation was
also analysed to measure the apoptosis level. Estradiol benzoate (EB) (500 ng/g
bwt) and dimethyl sulphoxide (DMSO) were used as reference and vehicle,
respectively. Observations show a significant enhancement of mammary gland
differentiation at postnatal day 21 (PND21) as well as PND50. There was a
significant decrease of ER-alpha expression at PND21 and increase in its
expression at PND50, in daidzein-treated animals. The ratio of expression of Bcl
2 to Bax proteins increased at PND50 the same whereas, it decreased at PND50 due
to daidzein. An increased expression of caspase-3 and DNA fragmentation was also
seen due to daidzein at PND50. The mammary gland of EB-treated animals showed
response a somewhat similar to that of daidzein-treated animals.
PMID- 22091432
TI - Innovative Therapies against Human Glioblastoma Multiforme.
AB - Glioblastoma multiforme is the most invasive and aggressive brain tumor in
humans, and despite the latest chemical and radiative therapeutic approaches, it
is still scarcely sensitive to these treatments and is generally considered an
incurable disease. This paper will focus on the latest approaches to the
treatment of this cancer, including the new chemicals such as proautophagic drugs
and kinases inhibitors, and differentiating agents. In this field, there have
been opening new perspectives as the discovery of possible specific targets such
as the EGFRvIII, a truncated form of the EGF receptor. Antibodies against these
targets can be used as proapoptotic agents and as possible carriers for
chemicals, drugs, radioisotopes, and toxins. In this paper, we review the
possible mechanism of action of these therapies, with particular attention to the
combined use of toxic substances (for example, immunotoxins) and
antiproliferative/differentiating compounds (i.e., ATRA, PPARgamma agonists). All
these aspects will be discussed in the view of progress clinical trials and of
possible new approaches for directed drug formulations.
PMID- 22091436
TI - Novel strategy with gemcitabine for advanced pancreatic cancer.
AB - 5-fluorouracil (5-FU) is widely used in chemotherapy for gastric and colorectal
cancer, but gemcitabine (GEM), and not 5-FU, is approved as a standard drug for
use in pancreatic cancer. Interindividual variation in the enzyme activity of the
GEM metabolic pathway can affect the extent of GEM metabolism and the efficacy of
GEM chemotherapy. Human equilibrative nucleoside transporter 1 (hENT1) is
recognized as a major transporter of GEM into cells. In addition, a factor that
activates hENT1 is the inhibition of thymidylate synthase (TS), one of the 5-FU
metabolic enzymes; TS inhibition mediates depleting intracellular nucleotide
pools, resulting in the activation of the salvage pathway mediated through hENT1.
In this paper, the role of 5-FU in GEM-based chemotherapy for pancreatic cancer
is discussed with special emphasis on enzymes involved in the 5-FU and GEM
metabolic pathways and in the correlation between GEM responsiveness and the
expression of 5-FU and GEM metabolic enzymes.
PMID- 22091437
TI - Screening for Prostate Cancer by Digital Rectal Examination and PSA Determination
in Senegal.
AB - Objectives. The goal of our study was to investigate the prevalence of prostate
cancer in an unselected population of Senegalese men. Patients and Methods. We
conducted the study over two years (2008 and 2009) on an unselected population of
572 Senegalese men, aged 35 and older. The following parameters have been
investigated: the subject's age, the presence or absence of urination disorders,
the family's history of prostate cancer or prostate surgery, the aspects of the
prostate on digital rectal examination (DRE), the total PSA level, and the
outcomes of the prostate biopsies. Data entry was performed with Epi Info 6
software and was analyzed and recorded using Excel software. We performed mean
and frequency calculations. Results. The mean age of our patients was 65.5 years,
with extremes of 38 and 93 years. Age groups from 50 to 59 and from 60 to 69 were
the most represented. DRE was normal in the age group from 35 to 39, and only one
patient in the age group from 40 to 49 had a prostate nodule. PSA level was
greater than or equal to 4 ng/mL in 66 cases. A total of 5.4% patients had a PSA
level greater than or equal to 10 ng/mL. Only two patients in the age group from
40 to 49 had a PSA level greater than 4 ng/mL. Of the 72 biopsies we performed,
prostatic adenocarcinoma was found in 30.6% of the cases. It is the only type of
prostate cancer we found in our series. The cases of prostate cancer were mostly
observed in the age groups from 60 to 69 and from 70 to 79. No cases were
detected for ages younger than 50. DRE gave indications of possible
adenocarcinoma in 27.30% cases. Its sensitivity was 27%, while its positive
predictive value was estimated at 35%. Of all positive biopsies, 4.5% had a PSA
level between 0 and 3.9 ng/mL. In this case, the sensitivity of PSA was 95.5%,
and the positive predictive value was 31.8%. High-grade intraepithelial
neoplasiae were observed in 21 cases. Conclusion. Prostate cancer is frequent in
Senegal, and screening remains the best way for early diagnosis.
PMID- 22091438
TI - In colorectal liver metastases, the presence of extrahepatic disease correlates
with the pathology of the primary tumour.
AB - Background. FDG-PET scan detects extrahepatic metastases in 20% of patients with
colorectal liver metastases but it is reported to have approximately 16% false
negative rates. Patients and Methods. Patients who had PET scan for metastatic
colorectal cancer at Westmead Hospital between March 2006 and March 2010 were
reviewed retrospectively. The results of PET scan were correlated with tumour
characteristics that were thought to affect the overall prognosis. Results.
Degree of tumour differentiation and vascular invasion were significantly
predictive for the presence of extrahepatic disease on PET scan, also did the
level of CEA. Conclusion. The detection of extrahepatic disease in colorectal
liver metastases correlates with the biologic behaviour of the primary tumour.
Poorly differentiated tumours and those with lymphovascular invasion behave in
aggressive fashion and likely to have wide-spread metastases. This should be
considered when contemplating liver resection for colorectal metastases.
PMID- 22091440
TI - Incidental Parathyroid Disease during Thyroid Surgery: Should We Remove Them?
AB - Aim. Despite an incidence of parathyroid "incidentalomas" of 0.2%-4.5%, only
approximately 135 cases have been reported in the literature. We present eight
patients in whom an incidental abnormal parathyroid gland was found during
routine thyroid surgery. We have reviewed the literature and postulate whether
these glands could represent further evidence of a preclinical stage of primary
hyperparathyroidism. Methods. A retrospective analysis of all 236 thyroid
operations performed by a single surgeon was performed to identify patients in
whom abnormal parathyroid tissue was removed at surgery. Results. 8/236 patients
(3.39%) had a single macroscopically abnormal parathyroid gland removed and sent
for analysis. Seven patients were found to have histological evidence of a
parathyroid adenoma or hyperplasia. None of the patients had abnormal serum
calcium detected preoperatively. Postoperatively, four patients had normal
calcium, three had temporary hypocalcaemia and one refused followup. No patients
had recurrent laryngeal nerve impairment. Conclusions. Despite the risk of
removing a histologically normal gland, we believe that when parathyroid
"incidentalomas" are found during surgery they should be excised and sent for
histological analysis. We have found this to be a safe procedure with minimal
morbidity to the patient. As the natural history of primary hyperparathyroidism
is better understood, these glands found in normocalcaemic patients may in fact
represent the early or preclinical phase of the disease. By removing them at the
original operation, the patient is saved redo neck surgery with its high
complication rate as or when clinically apparent primary hyperparthryoidism
develops in the future.
PMID- 22091439
TI - A systematic review of randomized controlled interventions for parents' distress
in pediatric leukemia.
AB - Objective. This review aims to summarize the existing evidence concerning
interventions towards reducing stress in parents with a child with leukemia and
their effect in child and family wellbeing. Methods. A systematic review strategy
was conducted using MEDLINE covering the period January 1980 to June 2010.
Results. Seven randomized controlled trials met the inclusion criteria including
in total 1045 parents participants. A variety of cognitive-behavioral
interventions problem-solving skills training programs have been used for
managing distress in parents and children. Outcome measures are assessed by self
report, observer report, behavioral/psychological, and physiological measures.
The most prominent methodological problems were the marked heterogeneity in
stress measurement and the relative absence of proper measurement and adjustment
of moderating and mediating factors. The largest effect has been obtained by
combined cognitive-behavioral interventions with promising but limited evidence
for several other psychological interventions. Conclusions. Recommendations for
future RCTs are provided, and particular attention to the quality of trial design
and reporting is highlighted.
PMID- 22091441
TI - Morphological analysis of optical coherence tomography images for automated
classification of gastrointestinal tissues.
AB - The impact of digestive diseases, which include disorders affecting the
oropharynx and alimentary canal, ranges from the inconvenience of a transient
diarrhoea to dreaded conditions such as pancreatic cancer, which are usually
fatal. Currently, the major limitation for the diagnosis of such diseases is
sampling error because, even in the cases of rigorous adherence to biopsy
protocols, only a tiny fraction of the surface of the involved gastrointestinal
tract is sampled. Optical coherence tomography (OCT), which is an interferometric
imaging technique for the minimally invasive measurement of biological samples,
could decrease sampling error, increase yield, and even eliminate the need for
tissue sampling provided that an automated, quick and reproducible tissue
classification system is developed. Segmentation and quantification of
ophthalmologic pathologies using OCT traditionally rely on the extraction of
thickness and size measures from the OCT images, but layers are often not
observed in nonopthalmic OCT imaging. Distinct mathematical methods, namely
Principal Component Analysis (PCA) and textural analyses including both spatial
textural analysis derived from the two-dimensional discrete Fourier transform
(DFT) and statistical texture analysis obtained independently from center
symmetric autocorrelation (CSAC) and spatial grey-level dependency matrices
(SGLDM), have been previously reported to overcome this problem. We propose an
alternative approach consisting of a region segmentation according to the
intensity variation along the vertical axis and a pure statistical technique for
feature quantification, i.e. morphological analysis. Qualitative and quantitative
comparisons with traditional approaches are accomplished in the discrimination of
freshly-excised specimens of gastrointestinal tissues to exhibit the feasibility
of the proposed method for computer-aided diagnosis (CAD) in the clinical
setting.
PMID- 22091442
TI - Enhanced video indirect ophthalmoscopy (VIO) via robust mosaicing.
AB - Indirect ophthalmoscopy (IO) is the standard of care for evaluation of the
neonatal retina. When recorded on video from a head-mounted camera, IO images
have low quality and narrow Field of View (FOV). We present an image fusion
methodology for converting a video IO recording into a single, high quality, wide
FOV mosaic that seamlessly blends the best frames in the video. To this end, we
have developed fast and robust algorithms for automatic evaluation of video
quality, artifact detection and removal, vessel mapping, registration, and multi
frame image fusion. Our experiments show the effectiveness of the proposed
methods.
PMID- 22091443
TI - Fast macro-scale transmission imaging of microvascular networks using KESM.
AB - Accurate microvascular morphometric information has significant implications in
several fields, including the quantification of angiogenesis in cancer research,
understanding the immune response for neural prosthetics, and predicting the
nature of blood flow as it relates to stroke. We report imaging of the whole
mouse brain microvascular system at resolutions sufficient to perform accurate
morphometry. Imaging was performed using Knife-Edge Scanning Microscopy (KESM)
and is the first example of this technique that can be directly applied to
clinical research. We are able to achieve ~ 0.7MUm resolution laterally with 1MUm
depth resolution using serial sectioning. No alignment was necessary and contrast
was sufficient to allow segmentation and measurement of vessels.
PMID- 22091444
TI - Automated detection and cell density assessment of keratocytes in the human
corneal stroma from ultrahigh resolution optical coherence tomograms.
AB - Keratocytes are fibroblast-like cells that maintain the optical clarity and the
overall health of the cornea. The ability to measure precisely their density and
spatial distribution in the cornea is important for the understanding of corneal
healing processes and the diagnostics of some corneal disorders. A novel
computerized approach to detection and counting of keratocyte cells from ultra
high resolution optical coherence tomography (UHR-OCT) images of the human
corneal stroma is presented. The corneal OCT data is first processed using a
state-of-the-art despeckling algorithm to reduce the effect of speckle on
detection accuracy. A thresholding strategy is then employed to allow for
improved delineation of keratocyte cells by suppressing similarly shaped features
in the data, followed by a second-order moment analysis to identify potential
cell nuclei candidates. Finally, a local extrema strategy is used to refine the
candidates to determine the locations and the number of keratocyte cells. Cell
density distribution analysis was carried in 3D UHR-OCT images of the human
corneal stroma, acquired in-vivo. The cell density results obtained using the
proposed novel approach correlate well with previous work on computerized
keratocyte cell counting from confocal microscopy images of human cornea.
PMID- 22091445
TI - Changes to lipid droplet configuration in mCMV-infected fibroblasts: live cell
imaging with simultaneous CARS and two-photon fluorescence microscopy.
AB - We have performed multimodal imaging of live fibroblast cells infected by murine
cytomegalovirus (mCMV). The infection process was monitored by imaging the two
photon fluorescence signal from a GFP-expressing strain of mCMV, whilst changes
to lipid droplet configuration were observed by CARS imaging. This allowed us to
identify three visually distinct stages of infection. Quantitative analysis of
lipid droplet number and size distributions were obtained from live cells, which
showed significant perturbations across the different stages of infection. The
CARS and two-photon images were acquired simultaneously and the experimental
design allowed incorporation of an environmental control chamber to maintain cell
viability. Photodamage to the live cell population was also assessed.
PMID- 22091446
TI - Increasing the field-of-view of dynamic cardiac OCT via post-acquisition
mosaicing without affecting frame-rate or spatial resolution.
AB - Optical coherence tomography (OCT) allows imaging dynamic structures and fluid
flow within scattering tissue, such as the beating heart and blood flow in murine
embryos. For any given system, the frame rate, spatial resolution, field-of-view
(FOV), and signal-to-noise ratio (SNR) are interconnected: favoring one aspect
limits at least one of the others due to optical, instrumentation, and software
constraints. Here we describe a spatio-temporal mosaicing technique to
reconstruct high-speed, high spatial-resolution, and large-field-of-view OCT
sequences. The technique is applicable to imaging any cyclically moving structure
and operates on multiple, spatially overlapping tiled image sequences (each
sequence acquired sequentially at a given spatial location) and effectively
decouples the (rigid) spatial alignment and (non-rigid) temporal registration
problems. Using this approach we reconstructed full-frame OCT sequences of the
beating embryonic rat heart (11.5 days post coitus) and compared it to direct
imaging on the same system, demonstrating a six-fold improvement of the frame
rate without compromising spatial resolution, FOV, or SNR.
PMID- 22091447
TI - Split operator method for fluorescence diffuse optical tomography using
anisotropic diffusion regularisation with prior anatomical information.
AB - Fluorescence diffuse optical tomography (fDOT) is an imaging modality that
provides images of the fluorochrome distribution within the object of study. The
image reconstruction problem is ill-posed and highly underdetermined and,
therefore, regularisation techniques need to be used. In this paper we use a
nonlinear anisotropic diffusion regularisation term that incorporates anatomical
prior information. We introduce a split operator method that reduces the
nonlinear inverse problem to two simpler problems, allowing fast and efficient
solution of the fDOT problem. We tested our method using simulated, phantom and
ex-vivo mouse data, and found that it provides reconstructions with better
spatial localisation and size of fluorochrome inclusions than using the standard
Tikhonov penalty term.
PMID- 22091448
TI - Evaluation of a spectrally resolved scattering microscope.
AB - A scattering microscope was developed to investigate single cells and biological
microstructures by light scattering measurements. The spectrally resolved part of
the setup and its validation are shown in detail. The analysis of light scattered
by homogenous polystyrene spheres allows the determination of their diameters
using Mie theory. The diameters of 150 single polystyrene spheres were determined
by the spectrally resolved scattering microscope. In comparison, the same
polystyrene suspension stock was investigated by a collimated transmission setup.
Mean diameters and standard deviations of the size distribution were evaluated by
both methods with a statistical error of less than 1nm. The systematic errors of
both devices are in agreement within the measurement accuracy.
PMID- 22091449
TI - In vivo evaluation of demyelination and remyelination in a nerve crush injury
model.
AB - Nerves of the peripheral nervous system have, to some extent, the ability to
regenerate after injury, particularly in instances of crush or contusion
injuries. After a controlled crush injury of the rat sciatic nerve, demyelination
and remyelination are followed with functional assessments and imaged both ex
vivo and in vivo over the course of 4 weeks with video-rate coherent anti-Stokes
Raman scattering (CARS) microscopy. A new procedure compatible with live animal
imaging is developed for performing histomorphometry of myelinated axons. This
allows quantification of demyelination proximal and remyelination distal to the
crush site ex vivo and in vivo respectively.
PMID- 22091450
TI - [Validation of the Argentine version of the Mood Disorder Questionnaire].
AB - Bipolar Disorder (BD) is a severe and recurrent medical illness with relevant
impact on quality of life and functioning for patients. However, we still have
significant deficits on its detection. One of the ways to improve the accurate
recognition of the disease consists on the administration of screening tools such
as the Mood Disorder Questionnaire (MDQ). The main aim of this investigation was
to validate the Argentine version of the MDQ and determine the optimal cut off
for outpatients who suffer from mood disorders in our country. The total sample
consisted of 354 adult outpatients with diagnosis of BD type I, II and NOS, and
Unipolar - Major Mood Disorders (MDD), enrolled between 2007 and 2008, from 11
sites of Argentina. The MDQ positively detected 53.6% of BD patients and 96.6% of
MDD patients. The best cut off for our sample was established in 5 points for
item 1 of the MDQ. By deleting the item 3 of the questionnaire, the performance
was significantly improved. In conclusion, the Argentine version of the MDQ has
demonstrated the same ability for detecting patients with BD of the English
original version on a psychiatric population.
PMID- 22091451
TI - [Some criminological and psychopathologic reflexions about serial crimes].
AB - This article reviews the concept of serial crime, including murder as well as any
action for the purpose of inflicting bodily harm upon any person. It
characterizes three types of multi-murderers: serial killers, mass murderers, and
spree killers. These offenders often have a specific (ritual) behavior that is
idiosyncratic and repeated on each crime, which allows the psychological
profiling of the murderer. Examples, a psychopathological background, and a
description of both their criminal behavior and dynamics are provided for each of
these criminals. They are further classified according to their different
motivations: psychotic, prophetic or enlightened, pleasure, secondary or
pecuniary profits, power or control. Finally, the author shares his personal
experience over 20 years in the assessment of sexual offenders.
PMID- 22091452
TI - [Legal approach of antisocial personality disorders].
AB - We mention the different terms used over time to describe those behavioral
disorders where prevailing selfishness, brutality, insensitivity,
irresponsibility, manipulation and lack of empathy. It takes into account the
biological, psychological and environmental theories. It refers to the importance
of mirror neurons and its value in relation to the understanding of feelings. The
criteria for the diagnosis, stressing the differences between psychopathic
personalitya and antisocial personality disorder. Some sentences from different
courts with various criteria are transcribed, for the apportionment or insanity
of cases whose diagnosis is the psychopathic personality. Culminating with the
legal approach of this type of personalities, from a civil and criminal
perspective.
PMID- 22091453
TI - [Filicide. Killer mothers].
AB - This paper aims to conduct a literature review of filicide and its various forms,
placing the emphasis on the perpetrators, particularly in maternal filicide and
the incidence of mental disorders in the perpetrators. It addresses the issue
from the perspective of forensic psychiatrists, with input from a synthesis of
two clinical trials of women charged for this crime, in which it arrived at the
diagnosis of psychosis in one case and Munchausen syndrome in the other.
PMID- 22091454
TI - [Vicissitudes of hospitalization and emergency in a Prison Psychiatric hospital].
AB - This paper evaluates the Reform of the Psychiatrist Central Ward for Men (Unit 20
of the Federal Prison System). The aim is to study the process of adopting a new
paradigm for medically assisting psychiatric prisoner-patients and its
consequences. It concludes that the medical condition of a psychiatric prisoner
should be clarified and referred to the Department of Forensic Medicine by a
Criminal Court straightaway, and that the Department of Forensic Medicine should
act more promptly. It also suggests the relative reduction in working hours of
both teams.
PMID- 22091455
TI - [An approximation to the concepts of autonomy and integrity in clinical
practice].
AB - This paper is about the interplay between autonomy and integrity in individuals
in the context of the doctor-patient relationship. It analyses the concepts of
autonomy, competence and rationality, related to the notion of individual
integrity. It further provides a practical guide for the assessment of competence
levels to be used by training doctors and therapists.
PMID- 22091456
TI - [Psychiatric hospitalization for mental illness: past, present and future].
AB - The use of psychiatric hospitalization for mental illness has evolved through
Modernity. In the last century, indefinite and involuntary committal was a
widespread practice but has now become an extraordinary and short-term
therapeutic recourse. Even though law experts, doctors and other mental health
professionals agree on the benefits of this shift, in practice there are
disagreements rooted in the shortcomings of health service providers. The current
medical and legal criteria for hospitalization of patients with mental disorders
should move away from the concept of endangerment and embrace therapeutic
procedures and social care. New contemporary challenges, such as drugs and
violence, require the implementation of a social strategy that is more
comprehensive than medical treatment. This article presents a series of case
studies describing the circumstances that led to the hospitalization of mental
health patients, mostly in the city of Buenos Aires.
PMID- 22091457
TI - [The first contribution of Psychiatry to Legal Medicine in Argentina].
PMID- 22091458
TI - Characterization of a novel Entamoeba histolytica strain from Burkina Faso,
Africa, possessing a unique hexokinase-2 gene.
AB - An Entamoeba histolytica strain (BF-841 cl1) that originated from Burkina Faso,
Africa presented with novel, polymorphic genotypes of the serine-rich E.
histolytica protein and the anodic hexokinase-2 (HXK-2) isoenzyme band, which
showed less electrophoretic mobility than that of an E. histolytica reference
strain [HM-1:IMSS cl6 (zymodeme (Z)-II)] by starch gel electrophoresis and
isoelectric focusing (IEF). The HXK-2 gene of BF-841 cl1 had amino acid
variations at four positions compared to the sequence of HM-1:IMSS cl6. These
variations were absent from the sequences of four other E. histolytica strains
with different zymodemes [KU27 (Z-II), SAW1627 (Z-IIa-), SAW755CR clB (Z-XIV),
and KU2 (Z-XIX)]. The results of IEF showed no difference in the substrate
specificity of HXK (HXK-1 and HXK-2) between BF-841 cl1 and the three reference
E. histolytica strains (HM-1:IMSS cl6, SAW755 clB, and KU27). It was also
confirmed that BF-841 cl1 was able to form liver abscesses in Syrian hamsters.
PMID- 22091459
TI - Experimental evaluation of xenodiagnosis to detect trypanosomes at low
parasitaemia levels in infected hosts.
AB - In Human African Trypanosomosis (HAT) endemic areas, there are a number of
subjects that are positive to serological tests but in whom trypanosomes are
difficult to detect with the available parasitological tests. In most cases and
particularly in West Africa, these subjects remain untreated, thus posing a
fundamental problem both at the individual level (because of a possible lethal
evolution of the disease) and at the epidemiological level (since they are
potential reservoirs of trypanosomes). Xenodiagnosis may constitute an
alternative for this type of cases. The objective of this study was to update the
use of xenodiagnosis to detect trypanosomes in infected host characterized by low
parasitaemia levels. This was carried out experimentally by infecting cattle and
pigs with Trypanosoma congolense and T. brucei gambiense respectively, and by
feeding tsetse flies (Glossina morsitans submorsitans and G. palpalis gambiensis,
from the CIRDES colonies) on these animals at a time when the observed blood
parasitaemia were low or undetectable by the classical microscopic
parasitological tests used for the monitoring of infected animals. Our results
showed that: i) the G. p. gambiensis colony at CIRDES could not be infected with
the T. b. gambiense stocks used; ii) midgut infections of G. m. submorsitans were
observed with both T. congolense and T. b. gambiense; iii) xenodiagnosis remains
positive even at very low blood parasitaemia for both T. congolense and T. b.
gambiense; and iv) to implement T. b. gambiense xenodiagnosis, batches of 20 G.
m. submorsitans should be dissected two days after the infective meal. These
results constitute a first step toward a possible implementation of xenodiagnosis
to better characterize the parasitological status of seropositive individuals and
the modalities of parasite transmission in HAT foci.
PMID- 22091460
TI - [Entomological survey in the historical focus of human African trypanosomiasis of
Bendje (Gabon)].
AB - The situation of human African trypanosomiasis (sleeping sickness) is poorly
known in Gabon. Most of the historical foci have not been investigated for more
than 15 years. Few cases are passively recorded from the historical focus of
Bendje; they involved mainly fishermen but determining their contamination site
is difficult because of their mobility due to their activity. The presence of
these cases in that focus could favour its reactivation if the vector is still
there. In order to assess a potential transmission risk in that area, an
entomological survey has been carried out in it. Traps were set up during four
days in different habitats used by humans during their daily activities. Three
species of tsetse flies (Glossina palpalis palpalis, G. pallicera newsteadi and
G. caliginea) were caught and two species of trypanosomes (Trypanosoma vivax and
T. brucei s.l.) were identified by PCR. These results suggest the presence of an
animal transmission cycle. Human-flies contact was confirmed in all type of
habitats but no transmission was quantified in the mangrove.
PMID- 22091461
TI - [Comparative safety study of two commercialised vaccines against canine
babesiosis induced by Babesia canis].
AB - The safety of two vaccines available on the French market against canine
babesiosis - Nobivac Piro(r) (NP) and Pirodog(r) (P) - have been evaluated. Their
local, general and biochemical impacts have been compared in a controlled
experimental study. Three groups were used: a control group (T) and two groups
vaccinated twice at 21 days interval. All dogs presented moderate local reaction.
However, either clinical and biological parameters showed that the NP group
presented a significantly more intense reaction at the injection site compared to
the P group. No statistical difference has been revealed between the groups P and
T evolutions.
PMID- 22091462
TI - Study of the sustained speed of kill of the combination of fipronil/amitraz/(S)
methoprene and the combination of imidacloprid/permethrin against Dermacentor
reticulatus, the European dog tick.
AB - The sustained speed of kill against Dermacentor reticulatus of two topical
combinations, one containing fipronil/amitraz/(S)-methoprene and the other,
imidacloprid/permethrin, was evaluated in dogs. Two treated groups and one
untreated control group of eight adult Beagle dogs each were randomly formed
based on pre-infestation rates and bodyweight. Each treatment was administered
topically once on Day 0, according to the recommended label dose and instructions
for use. All dogs were infested with 50 adult unfed D. reticulatus starting on
Day 1, then weekly, for a total of five weeks. While most studies determine tick
efficacy at 48 hours (h), in this study, all remaining ticks were counted and
categorized 24 h following each infestation. The numbers of ticks (living or
dead) that remained attached on treated dogs were compared to those on the
control animals. The percent reduction of attached ticks (disruption of
attachment) at 24 h on dogs treated with fipronil/amitraz/(S)-methoprene remained
above 92% for four weeks. The reduction of attached ticks at 24 h on dogs treated
with imidacloprid/permethrin did not reach 80% during the entire study. The
number of ticks attached at 24 h was significantly (p<0.05) lower in the
fipronil/amitraz/(S)-methoprene group than in the imidacloprid/permethrin group
in assessments on Days 2, 15, 22, 29 and 36. When assessing efficacy based upon
live ticks on treated versus control dogs, fipronil/amitraz/(S)-methoprene 24 h
efficacy was above 95% for four weeks, decreasing to 77.8% at Day 36. The 24 h
efficacy of imidacloprid/permethrin ranged from 56.2% to 86.7% through Day 29,
never achieving 90% throughout the study. The 24-hour efficacy of
fipronil/amitraz/(S)-methoprene was significantly (p<0.05) higher than
imidacloprid/permethrin at all time points, including Day 36.
PMID- 22091463
TI - Comparative efficacy on dogs of a single topical treatment with the pioneer
fipronil/(S)-methoprene and an oral treatment with spinosad against
Ctenocephalides felis.
AB - In the study reported here, the pioneer fipronil/(S)-methoprene topical product
(Frontline(r) Plus, Merial Limited, Duluth, GA) was compared to the oral spinosad
product (Comfortis(r) Elanco, Greenfield, IN) for efficacy against adult fleas
and preventing egg production. The product presentations, doses and labelling
were the one applicable in the USA. Using a standard protocol, 200 cat fleas of
mixed sex were applied to dogs on Days 1, 7, 14, 21, 28, 35, and 42. Dogs were
combed to remove fleas 24 hours post-infestation, the fleas were counted,
collected, and then reapplied to each dog following completion of their
respective count. At 48 hours post-infestation, comb counts were performed and
fleas were removed. No fleas were collected from any dog in the fipronil/(S)
methoprene group at any 24 or 48 hours post-infestation assessment throughout the
six weeks study, yielding a preventive efficacy of 100%. For the spinosad
treatment, efficacy was 100% at 24 hours and 48 hours through Day 16, and
thereafter declined. The results observed in the spinosad-treated dogs were
highly variable between animals. At the 24 and 48 hours counts following the Day
21 infestation, only five of eight spinosad-treated dogs (62.5%) were flea-free.
Following the Day 28 infestation, spinosad efficacy fell to 85% and 89%, for the
24 hours and 48 hours counts, and only two dogs (25%) were flea free, compared to
100% flea-free dogs in the fipronil/(S)-methoprene group. No fleas were collected
from the fipronil/(S)-methoprene treated dogs throughout the entire study,
therefore, no eggs were collected at any time from any dog in the group. However,
in the spinosad group adult fleas were found on dogs starting on Day 21 and by
Day 30, 42 eggs were collected from one dog that had 107 adult fleas counted at
48 hours. At Day 37 and Day 49, more than 100 eggs were collected from each dog
in the spinosad-treated and control groups.
PMID- 22091464
TI - Antileishmanial activity of a formulation of 2-n-propylquinoline by oral route in
mice model.
AB - 2-n-propylquinoline is presently a drug-candidate for the treatment of visceral
leishmaniosis in pre-clinical development. As this compound is in an oily state,
it needs to be formulated and the objectives of this study are: to prepare a
formulation; to demonstrate that the new salted formulation did not alter the
activity of the active ingredient; and finally, that this activity was quite good
compared to the reference oral drug, miltefosine. Therefore, a 2-n
propylquinoline formulation, as camphorsulfonic salt, was prepared and
characterised. On the Leishmania donovani / Balb/c mice model, a treatment by
oral route at 60 mmoles/kg/day for ten consecutive days with this formulation was
compared to 2-n-propylquinoline alone and to miltefosine, the oral reference
drug. The salt formulation did not alter the activity of the 2-n-propylquinoline.
The formulation reduced the parasite burden of 76% compared to 89% for
miltefosine (not significant). The characteristics of this formulation results in
a suitable drugability of 2-n-propylquinoline for further studies.
PMID- 22091465
TI - Record of Phlebotomus (Transphlebotomus) mascittii Grassi, 1908 and Phlebotomus
(Larroussius) chadlii Rioux, Juminer & Gibily, 1966 female in Algeria.
AB - We report for the first time the presence of Phlebotomus mascittii and the female
of Phlebotomus chadlii in Algeria. These two species were collected during an
entomological study conducted in endemic visceral leishmaniasis focus from the
north part of the country, Kabylia.
PMID- 22091466
TI - Recovery of DNA of Giardia intestinalis cysts from surface water concentrates
measured with PCR and real time PCR.
AB - The most important restriction for the detection in water samples is the low
concentration of Giardia intestinalis cysts, additional difficulty is the
presence of PCR inhibitors. We have carried out trials in order to assess the
sensitivity of semi-nested PCR and TaqMan real time PCR on the basis of DNA
extracted from G. intestinalis cysts coming from spiked environmental and
distilled water samples, filtrated with the use of Filta-Max(r) equipment (1623
Method). Removal of inhibitors was carried out with addition of BSA in different
concentrations. During the filtration and concentration of water samples, losses
of cysts have been recorded. Moreover, addition of BSA to the PCR and real time
PCR mix increases the sensitivity of reaction. The optimal concentration of BSA
for semi-nested PCR was 15 and 20 ng/MUl, whereas for real time PCR 5 ng/MUl.
PMID- 22091467
TI - Toxoplasma gondii in wild and domestic animals from New Caledonia.
AB - Samples (serum or meat juice) collected from 205 animals in New Caledonia in
April 2009 were tested for antibodies against Toxoplasma gondii by ELISA using
the multi-species ID Screen(r) Toxoplasmosis Indirect kit (IDVET, Montpellier).
Antibodies to T. gondii were detected in 2% (1/49) of the pigs, in 3.3% (1/30) of
the cattle, in 13.8% (4/29) of Rusa deers, in 16% (4/25) of the horses, in 32.8%
(21/64) of the dogs, and in 50% (4/8) of cats. Statistically, no significant
difference was observed between T. gondii seroprevalence and age or sex. No
survey on the prevalence of T. gondii in animals has ever been conducted in New
Caledonia and this is the first serological evidence of T. gondii in Rusa deer
(Cervus timorensis russa). These results indicate an important circulation of T.
gondii exists in the animal populations of New Caledonia. In view of humans being
exposed, it is advisable to insist on sanitary education and on respect for good
hygienic and food practice.
PMID- 22091468
TI - Seroprevalence of Neospora caninum in dairy cattle from north-west and centre of
Romania.
AB - Neosporosis is a disease that mainly affects cattle in both dairy and beef herds.
The main definitive host of this parasite is the dog. Since 1984 and its first
description a large number of data were published worldwide on this parasite. In
Romania, the research regarding this parasite is limited. The purpose of this
study was to determine the seroprevalence of Neospora caninum infection in dairy
cattle from six regions in north-western Romania and to evaluate the intensity of
infection in different animals groups. A total number of 901 samples (862 sera
from adult cows and 39 sera from calves) were collected from dairy farms and were
screened for the presence of specific IgG anti-bodies using an enzyme-linked
immunosorbent assay (ELISA). The overall seroprevalence for neosporosis was
34.6%. In adult cows and calves seroprevalences reached 34.8% (300/862) and 30.8%
for calves (12/39) respectively. In cattle which had previously aborted,
seroprevalence was 40.9%. These results indicate that N. caninum infection is
widespread among animals reared in dairy systems from Romania and a program for
farmer training and a strategy for reducing the economic impact of the disease
are needed.
PMID- 22091469
TI - Sensitive electrochemical immunosensor array for the simultaneous detection of
multiple tumor markers.
AB - A novel electrochemical immunosensor array for the simultaneous detection of
multiple tumor markers was developed by incorporating electrochemically
addressing immobilization and one signal antibody strategy. As a proof-of
principle, an eight-electrode array including six carbon screen-printed working
electrodes was used as a base array for the analysis of two important tumor
markers, carcinoembryonic antigen (CEA) and alpha-fetoprotein (AFP) and a
horseradish peroxidase-labeled antibody was employed as a signal antibody. The
immunosensor in the array was fabricated in sequence by covalently coupling the
capture antibody onto the surface of the desired working electrode, which was
firstly electrochemically addressably grafted with an aminophenyl group by
reduction of in situ generated aminophenyl diazonium cation generated from p
phenylenediamine, using glutaraldehyde as cross-linker. This allowed the
selective immobilization of the capture antibody at the desired position on a
single array via an electrochemical operation. The immunoassay in sandwich mode
was performed by specifically binding the targets, second antibodies and one
signal antibody to the immunosensor array. The result showed that the steady
current density was directly proportional to the concentration of target CEA/AFP
in the range from 0.10 to 50 ng mL(-1) with a detection limit of 0.03 ng mL(-1)
for CEA and 0.05 ng mL(-1) for AFP (S/N = 3), respectively. This work
demonstrates that the employment of an electrochemically addressing method for
the fabrication of an immunosensor array and one signal antibody is a promising
approach for the determination of multiple tumor markers in clinical samples.
PMID- 22091484
TI - Successful aging: development and testing of a multidimensional model using data
from a large sample of older australians.
AB - OBJECTIVES: To develop a multidimensional statistical model that could assess the
contribution of, and interrelationships between, measures likely to contribute to
an individual's successful aging, defined as aging well across a number of
dimensions. DESIGN: Cross-sectional. SETTING: Data collected from 8,841
Australians aged 16 to 85 during the 2007 National Survey of Mental Health and
Well-Being conducted by the Australian Bureau of Statistics. PARTICIPANTS: Two
thousand two hundred eighty-six survey participants aged 61 to 85. MEASUREMENTS:
Self-assessed physical and mental health, quality of life, and cognition
constitute the outcome factor: successful aging. Attributes measuring past and
current mental and physical health, social measures, and health behaviors were
considered for inclusion as predictor measures. Results of the final model
allowed calculation of individual weighted successful aging scores. RESULTS: The
final model closely fitted responses from participants and men and women
separately. Factors measuring mental and physical health and social support
contributed significantly and independently to successful aging. Health
behaviors, measuring extent of physical exercise and not smoking, contributed to
successful aging in addition to their association with physical health. On
average, those scoring in the highest decile of the successful aging measure
reported having two chronic health conditions, indicating that such conditions do
not necessarily preclude high levels of well-being in older individuals.
CONCLUSION: This model developed from a large sample of older individuals
identified factors worth targeting in future social and health policy initiatives
for this age group. It also indicates that chronic illness is not necessarily a
barrier to successful aging.
PMID- 22091485
TI - Robust monomer-distribution biosignatures in evolving digital biota.
AB - Because organisms synthesize component molecules at rates that reflect those
molecules' adaptive utility, we expect a population of biota to leave a
distinctive chemical signature on its environment that is anomalous given the
local (abiotic) chemistry. We observe the same effect in the distribution of
computer instructions used by an evolving population of digital organisms, and we
characterize the robustness of the evolved signature with respect to a number of
different changes in the system's physics. The observed instruction abundance
anomaly has features that are consistent over a large number of evolutionary
trials and alterations in system parameters, which makes it a candidate for a non
Earth-centric life diagnostic.
PMID- 22091486
TI - The O/OREOS mission: first science data from the Space Environment Survivability
of Living Organisms (SESLO) payload.
AB - We report the first telemetered spaceflight science results from the orbiting
Space Environment Survivability of Living Organisms (SESLO) experiment, executed
by one of the two 10 cm cube-format payloads aboard the 5.5 kg Organism/Organic
Exposure to Orbital Stresses (O/OREOS) free-flying nanosatellite. The O/OREOS
spacecraft was launched successfully to a 72 degrees inclination, 650 km Earth
orbit on 19 November 2010. This satellite provides access to the radiation
environment of space in relatively weak regions of Earth's protective
magnetosphere as it passes close to the north and south magnetic poles; the total
dose rate is about 15 times that in the orbit of the International Space Station.
The SESLO experiment measures the long-term survival, germination, and growth
responses, including metabolic activity, of Bacillus subtilis spores exposed to
the microgravity, ionizing radiation, and heavy-ion bombardment of its high
inclination orbit. Six microwells containing wild-type (168) and six more
containing radiation-sensitive mutant (WN1087) strains of dried B. subtilis
spores were rehydrated with nutrient medium after 14 days in space to allow the
spores to germinate and grow. Similarly, the same distribution of organisms in a
different set of microwells was rehydrated with nutrient medium after 97 days in
space. The nutrient medium included the redox dye Alamar blue, which changes
color in response to cellular metabolic activity. Three-color transmitted
intensity measurements of all microwells were telemetered to Earth within days of
each of the 48 h growth experiments. We report here on the evaluation and
interpretation of these spaceflight data in comparison to delayed-synchronous
laboratory ground control experiments.
PMID- 22091487
TI - Who values information from a health plan Internet-based decision tool and why: a
demographic and utilization analysis.
AB - OBJECTIVES: The aim of this study was to investigate factors associated with
utilization of health plan Internet-based decision tools. DATA SOURCES AND STUDY
SETTING: Enrollment, claims, plan design, and web transaction data during 2008
provided by a national health insurer for 253,398 subscribers from 919 employers.
STUDY DESIGN: Multivariate models of the effects of demographic, health,
employer, and plan benefit design characteristics on the use of the tool and its
individual function categories. DATA EXTRACTION METHODS: Subscribers, who were
either an individual member or a family, were included if at least one family
member had 12 months of coverage in 2008. Members older than 65 and those with
multiple insurance carriers were excluded. PRINCIPAL FINDINGS: Higher education,
higher income, younger age, female gender, higher co-morbidity risk, prevalence
of chronic conditions, Caucasian race, and English as the primary language were
positively associated with using the tool. Plan benefit characteristics such as
free preventive coverage, higher deductible, moderate coinsurance rate, family
coverage, and enrollment in health savings accounts were also associated with
higher likelihood of using the tool. CONCLUSIONS: Insurers provide consumers
information on cost efficiency, quality, and wellness through Internet-based
decision tools, but more effort is needed to reach certain demographics.
PMID- 22091488
TI - Positive intelligence illusions: on the relation between intellectual self
enhancement and psychological adjustment.
AB - The relation between self-enhancement and psychological adjustment has been
debated for over 2 decades. This controversy is partly due to the variety of
approaches implicated in the assessment of mainly self-enhancement but also
psychological adjustment. We adopted a face-valid approach by statistically
removing actual intellectual ability variance from self-rated intellectual
ability variance. Study 1 (N = 2,048), a concurrent Internet investigation,
provided initial insight into the relation between intellectual self-enhancement
and psychological adjustment. Study 2 (N = 238), a longitudinal round-robin
investigation, allowed a closer examination of the dynamic processes underlying
this relation. Self-enhancement was positively linked to multiple indicators of
intrapersonal and interpersonal adjustment, and predicted rank-order increases in
adjustment over time. The links between intellectual self-enhancement and
intrapersonal adjustment were mediated by self-esteem. Finally, the interpersonal
costs and benefits of self-enhancement systematically varied depending on
methodology.
PMID- 22091489
TI - Resection of Grade III cranial horn tears of the equine medial meniscus alter the
contact forces on medial tibial condyle at full extension: an in-vitro cadaveric
study.
AB - OBJECTIVE: To evaluate the magnitude and distribution of joint contact pressure
on the medial tibial condyle after grade III cranial horn tears of the medial
meniscus. STUDY DESIGN: Experimental study. ANIMALS: Cadaveric equine stifles (n
= 6). METHODS: Cadaveric stifles were mounted in a materials testing system and
electronic pressure sensors were placed between the medial tibial condyle and
medial meniscus. Specimens were loaded parallel to the longitudinal axis of the
tibia to 1800 N at 130 degrees , 140 degrees , 150 degrees , and 160 degrees
stifle angle. Peak pressure and contact area were recorded from the contact maps.
Testing was repeated after surgical creation of a grade III cranial horn tear of
the medial meniscus, and after resection of the simulated tear. RESULTS: In the
intact specimens, a significantly smaller contact area was observed at 160
degrees compared with the other angles (P < .05). Creation of a grade III
cranial horn tear in the medial meniscus did not significantly alter the pressure
or contact area measurements at any stifle angle compared with intact specimens
(P > .05). Resection of the tear resulted in significantly higher peak pressures
in the central region of the medial tibial condyle at a stifle angle of 160
degrees relative to the intact (P = .026) and torn (P = .012) specimens.
CONCLUSIONS: Resection of grade III cranial horn tears in the medial meniscus
resulted in a central focal region of increased pressure on the medial tibial
condyle at 160 degrees stifle angle.
PMID- 22091490
TI - Association between serum cholesterol and noncardiovascular mortality in older
age.
AB - OBJECTIVES: To clarify the association between cholesterol and noncardiovascular
mortality and to evaluate how this association varies across age groups. DESIGN:
Prospective population-based cohort study. SETTING: Rotterdam, the Netherlands.
PARTICIPANTS: Adults aged 55 to 99 (N = 5,750). MEASUREMENTS: Participants were
evaluated for total cholesterol and subfractions and followed for mortality for a
median of 13.9 years. Total cholesterol and its subfractions were evaluated in
relation to noncardiovascular mortality. Cox regression analyses were conducted
in the total sample and within age-groups (55-64, 65-74, 75-84, >=85). RESULTS:
Age- and sex-adjusted analyses showed that each 1-mmol/L increase in total
cholesterol was associated with an approximately 12% lower risk of
noncardiovascular mortality (hazard ratio (HR) = 0.88, 95% confidence interval
(CI) = 0.84-0.92, P < .001). Age group-specific analyses demonstrated that this
association reached significance after the age of 65 and increased in magnitude
across each subsequent decade. This was driven largely by non-high-density
lipoprotein cholesterol (non-HDL-C) (HR = 0.89, 95% CI 0.85-0.93, P < .001) and
was partly attributable to cancer mortality. Conversely, HDL-C was not
significantly associated with noncardiovascular mortality (HR = 0.92, 95% CI 0.79
1.07, P = .26). CONCLUSION: Higher total cholesterol was associated with a lower
risk of noncardiovascular mortality in older adults. This association varied
across the late-life span and was stronger in older age groups. Further research
is required to examine the mechanisms underlying this association.
PMID- 22091491
TI - Relationship between vitamin D and hyperglycemia in older people from a
nationally representative population survey.
AB - OBJECTIVES: To investigate the association between vitamin D levels and
hyperglycemia in a national community sample of older people. DESIGN: Cross
sectional, nationally representative sample. SETTING: Community. PARTICIPANTS:
Two thousand thirty-eight noninstitutitionalized adults aged 65 and older taking
part in the Health Survey for England 2005. MEASUREMENTS: Serum 25-hydroxy
vitamin D (25(OH) D) levels, glycosylated hemoglobin (HbA1c), and covariates:
age, sex, social class, season of examination, use of vitamin supplements, and
physical health status. RESULTS: Hyperglycemia was independently associated with
low vitamin D levels (odds ratio (OR) = 2.30, 95% confidence interval (CI) = 1.20
4.42 for 25(OH)D <25.0 nmol/L and OR = 2.09, 95% CI = 1.22-3.58 for 25(OH)D 25.0
49.9 nmol/L) but not for 25(OH)D between 50.0 and 74.9 nmol/L (OR = 1.49, 95% CI
= 0.85-2.62). CONCLUSION: The higher-than-expected co-occurrence of low vitamin D
levels and hyperglycemia (HbA1c >= 6.5%) are important public health concerns for
older populations living in northern latitudes because both are common, and both
have substantial adverse health consequences. Ensuring adequate vitamin D levels
may help reverse the increasing trend in the development of diabetes mellitus and
related complications in older people.
PMID- 22091494
TI - Quality of care provided in a special needs plan using a nurse care manager
model.
AB - OBJECTIVES: To comprehensively evaluate the quality of care provided in special
needs plans (SNPs; Medicare Advantage plans that aim to provide specialized care
for complex older adults) and specifically the nurse care management model in the
community setting. DESIGN: We adapted 107 process-of-care quality measures across
12 conditions from the Assessing Care of Vulnerable Elders set to obtain a
clinically detailed evaluation of the quality of care received by complex older
enrollees in a dual eligible Evercare SNP. We abstracted 13 months of primary
care medical records to delineate quality of care provided by physicians and
whether there was value added from the nurse care manager model. SETTING: Dual
eligible Evercare SNP located in central Florida. PARTICIPANTS: Two-hundred
thirty-one vulnerable older enrollees in the SNP who had complex disease.
RESULTS: Based on physician medical records alone, the 231 high-risk participants
(mean age 77, 67% women) received recommended care for 53% of 5,569 evaluated
clinical circumstances, ranging from 12% for end-of-life care to 78% for diabetes
mellitus. In fewer than 40% of these clinical circumstances was recommended care
provided for dementia, falls, and urinary incontinence. In a second analysis
accounting for care provided by both the Evercare nurse and the physician,
recommended care was provided to patients in 69% of the 5,684 evaluated clinical
circumstances. CONCLUSION: Comprehensive quality measurement applied to
vulnerable older adults enrolled in one mature SNP showed that the Evercare nurse
model addresses important deficits in physician care for geriatric conditions.
Such measurement should be applied to other SNP models and to compare SNP care
with that for complex, older, fee-for-service Medicare cohorts.
PMID- 22091492
TI - Serum 25-hydroxyvitamin D and physical function in older adults: the
Cardiovascular Health Study All Stars.
AB - OBJECTIVES: To examine the association between 25-hydroxyvitamin D (25(OH)D) and
physical function in adults of advanced age. DESIGN: Cross-sectional and
longitudinal analysis of physical function over 3 years of follow-up in the
Cardiovascular Health Study All Stars. SETTING: Forsyth County, North Carolina;
Sacramento County, California; Washington County, Maryland; and Allegheny County,
Pennsylvania. PARTICIPANTS: Community-dwelling adults aged 77 to 100 (N = 988).
MEASUREMENTS: Serum 25-hydroxyvitamin D 25(OH)D), Short Physical Performance
Battery (SPPB), and grip and knee extensor strength assessed at baseline.
Mobility disability (difficulty walking half a mile or up 10 steps) and
activities of daily living (ADLs) disability were assessed at baseline and every
6 months over 3 years of follow-up. RESULTS: Almost one-third (30.8%) of
participants were deficient in 25(OH)D (<20 ng/mL). SPPB scores were lower in
those with deficient 25(OH)D (mean (standard error) 6.53 (0.24)) than in those
with sufficient 25(OH)D (>=30 ng/mL) (7.15 (0.25)) after adjusting for
sociodemographic characteristics, season, health behaviors, and chronic
conditions (P = .006). Grip strength adjusted for body size was also lower in
those with deficient 25(OH)D than in those with sufficient 25(OH)D (24.7 (0.6) kg
vs 26.0 (0.6) kg, P = .02). Participants with deficient 25(OH)D were more likely
to have prevalent mobility (OR = 1.44, 95% confidence interval (CI)) = 0.96-2.14)
and ADL disability (OR = 1.51, 95% CI = 1.01-2.25) at baseline than those with
sufficient 25(OH)D. Furthermore, participants with deficient 25(OH)D were at
greater risk of incident mobility disability over 3 years of follow-up (hazard
ratio = 1.56, 95% CI = 1.06-2.30). CONCLUSION: Vitamin D deficiency was common
and was associated with poorer physical performance, lower muscle strength, and
prevalent mobility and ADL disability in community-dwelling older adults.
Moreover, vitamin D deficiency predicted incident mobility disability.
PMID- 22091493
TI - Categorizing the effect of comorbidity: a qualitative study of individuals'
experiences in a low-vision rehabilitation program.
AB - OBJECTIVES: To identify generalizable ways that comorbidity affects older adults'
experiences in a health service program directed toward an index condition and to
develop a framework to assist clinicians in approaching comorbidity in the
design, delivery, and evaluation of such interventions. DESIGN: A qualitative
data content analysis of interview transcripts to identify themes related to
comorbidity. SETTING: An outpatient low-vision rehabilitation program for macular
disease. PARTICIPANTS: In 2007/08, 98 individuals undergoing low-vision
rehabilitation and their companions provided 624 semistructured interviews that
elicited perceptions about barriers and facilitators of successful program
participation. RESULTS: The interviews revealed five broad themes about
comorbidity: (i) "good days, bad days," reflecting participants' fluctuating
health status during the program because of concurrent medical problems; (ii)
"communication barriers." which were sometimes due to participant impairments and
sometimes situational; (iii) "overwhelmed," which encompassed pragmatic and
emotional concerns of participants and caregivers; (iv) "delays," which referred
to the tendency of comorbidities to delay progress in the program and to confer
added inconvenience during lengthy appointments; and (v) value of companion
involvement in overcoming some barriers imposed by comorbid conditions.
CONCLUSION: This study provides a taxonomy and conceptual framework for
understanding consequences of comorbidity in the experience of individuals
receiving a health service. If confirmed in individuals receiving interventions
for other index diseases, the framework suggests actionable items to improve care
and facilitate research involving older adults.
PMID- 22091495
TI - Differences in mortality associated with dementia in U.S. blacks and whites.
AB - OBJECTIVES: To test the hypothesis that the geographic pattern of mortality with
dementia coded on the death certificate varies according to race and that racial
differences vary according to geography in the United States. DESIGN: Analysis of
the U.S. multiple-cause-of-death files for 1999 to 2004. SETTING: United States.
PARTICIPANTS: Decedents with dementia coded as underlying or contributing cause
of death on the death certificate. MEASUREMENTS: Age-adjusted death rates for
U.S. Census geographic divisions for blacks and whites aged 65 and older.
RESULTS: From 1999 to 2004, the U.S. age-adjusted annual death rate per 100,000
for dementia was 628 in blacks and 647 in whites. The difference between rates in
blacks and whites ranged from -130 deaths per 100,000 (-36%) in the Middle
Atlantic region to +55 (+8%) in the South Atlantic division. Blacks had higher
rates in three divisions and whites in five. In the Middle Atlantic and in the
United States as a whole, blacks were relatively more likely to receive a
diagnosis of unspecified dementia or senility (66%) than Alzheimer's disease
(30%) than whites (58% and 41%, respectively). CONCLUSION: Although overall rates
were similar, geographic variation in racial differences in rates of death with
dementia occurred between U.S. regions. Further research is needed to assess
geographic and racial variation in artifacts of certification versus biological
variation as possible causes of variation to enhance utility of mortality data
for disease monitoring and health-disparities research.
PMID- 22091496
TI - Subsyndromal delirium in older long-term care residents: incidence, risk factors,
and outcomes.
AB - OBJECTIVES: To determine the incidence of, risk factors for, and outcomes of
subsyndromal delirium (SSD) in older long-term care (LTC) residents and,
secondarily, to explore the use of a more-restrictive definition of SSD. DESIGN:
Cohort study with repeated weekly assessments for up to 6 months. SETTING: Seven
LTC facilities in Montreal and Quebec City, Canada. PARTICIPANTS: One hundred
four LTC residents aged 65 and older and free of delirium core symptoms at
baseline. MEASUREMENTS: The Mini-Mental State Examination (MMSE), Confusion
Assessment Method (CAM), Delirium Index (DI), Hierarchic Dementia Scale (HDS),
and Barthel Index (BI) were completed at baseline. The MMSE, CAM, and DI were
repeated weekly for 6 months. SSD1 required one or more CAM core symptoms; SSD2,
a more-restrictive definition, required two or more CAM core symptoms. Outcomes
at 6 months were decline on the MMSE, HDS, and BI; mortality; and a composite
outcome. RESULTS: Sixty-eight of 104 residents had SSD1. In survival analysis,
the incidence was 5.2 (95% confidence interval (CI) = 4.1-6.7) per 100 person
weeks of follow-up. In multivariate analysis, risk factors were male sex and more
severe cognitive impairment at baseline. The differences in outcomes between
residents with and without SSD1 were small and not statistically significant.
SSD2 had a lower incidence (1.3, 95% CI = 0.9-1.9), similar risk factors, and
statistically significantly worse cognitive outcomes. CONCLUSION: SSD2 appears to
be a clinically important disorder in older LTC residents. Despite limited
statistical power, these findings have potentially important implications for
clinical practice and research in LTC settings.
PMID- 22091497
TI - The feasibility of inpatient geriatric assessment for older adults receiving
induction chemotherapy for acute myelogenous leukemia.
AB - OBJECTIVES: To test the feasibility and utility of a bedside geriatric assessment
(GA) to detect impairment in multiple geriatric domains in older adults
initiating chemotherapy for acute myelogenous leukemia (AML). DESIGN: Prospective
observational cohort study. SETTING: Single academic institution. PARTICIPANTS:
Individuals aged 60 and older with newly diagnosed AML and planned chemotherapy.
MEASUREMENTS: Bedside GA was performed during inpatient exmination for AML. GA
measures included the modified Mini-Mental State Examination; Center for
Epidemiologic Studies Depression Scale; Distress Thermometer, Pepper Assessment
Tool for Disability (includes self- reported activities of daily living (ADLs),
instrumental ADLs, and mobility questions); Short Physical Performance Battery
(includes timed 4-m walk, chair stands, standing balance); grip strength, and
Hematopoietic Cell Transplantation Comorbidity Index. RESULTS: Of 54 participants
(mean age 70.8 +/- 6.4) eligible for this analysis, 92.6% completed the entire GA
battery (mean time 44.0 +/- 14 minutes). The following impairments were detected:
cognitive impairment, 31.5%; depression, 38.9%; distress, 53.7%; impairment in
ADLs, 48.2%; impaired physical performance, 53.7%; and comorbidity, 46.3%. Most
were impaired in one (92.6%) or more (63%) functional domains. For the 38
participants rated as having good performance status according to standard
oncologic assessment (Eastern Cooperative Oncology Performance Scale score <=1),
impairments in individual GA measures ranged from 23.7% to 50%. Significant
variability in cognitive, emotional, and physical status was detected even after
stratification according to tumor biology (cytogenetic risk group
classification). CONCLUSION: Inpatient GA was feasible and added new information
to standard oncology assessment, which may be important for stratifying
therapeutic risk in older adults with AML.
PMID- 22091498
TI - Staging the severity of chronic obstructive pulmonary disease in older persons
based on spirometric Z-scores.
AB - OBJECTIVES: Among older persons, the use of spirometric Z-scores as calculated by
the Lambda-Mu-Sigma (LMS) method has a strong scientific rationale for
establishing a diagnosis of chronic obstructive pulmonary disease (COPD), but its
clinical validity in staging COPD severity is not yet known. The current study
has therefore evaluated the association between LMS-staged COPD and health
outcomes, in two separate cohorts of older persons. DESIGN: Longitudinal cohort
study. SETTING: The Cardiovascular Health Study (CHS, N = 3,248) and the Third
National Health and Nutrition Examination Survey (NHANES-III, N = 1,354).
PARTICIPANTS: Community-living white participants aged 65 to 80. MEASUREMENTS:
Using spirometric data, COPD was staged as mild, moderate, or severe based on LMS
derived Z-scores. Clinical validity was then evaluated according to all-cause
mortality, respiratory symptoms (chronic bronchitis, dyspnea, or wheezing), and
moderate to severe dyspnea (available in CHS only). RESULTS: In CHS, the LMS
staging of COPD as mild, moderate, and severe was associated with mortality
(adjusted HR (aHR) = 1.50, 95% confidence interval (CI) = 1.15-1.94; aHR = 1.31,
95% CI = 1.03-1.67; and aHR = 2.00, 95% CI = 1.70-2.36, respectively) and with
respiratory symptoms (adjusted OR (aOR) = 1.69, 95% CI = 1.12-2.56; aOR = 1.87,
95% CI = 1.28-2.73; and aOR = 3.99, 95% CI = 2.91-5.48, respectively). Also in
CHS, moderate and severe, but not mild, LMS-staged COPD was associated with
moderate to severe dyspnea (aOR = 2.16, 95% CI = 1.24-3.75; aOR = 3.98, 95% CI =
2.77-5.74; and aOR = 0.84, 95% CI = 0.35-2.01, respectively). Similar
associations were found for mortality and respiratory symptoms in NHANES-III,
except mild severity was not associated with mortality (aHR = 0.93, 95% CI = 0.62
1.40). CONCLUSION: In white older persons, the spirometric staging of COPD
severity based on LMS-derived Z-scores was associated with several clinically
relevant health outcomes. These results support the use of the LMS method for
staging the severity of COPD in older populations.
PMID- 22091500
TI - Emergency department visits by nursing home residents in the United States.
AB - OBJECTIVES: To characterize emergency department (ED) use by nursing home
residents in the United States. DESIGN: Analysis of the National Hospital
Ambulatory Medical Care Survey. SETTING: U.S. EDs from 2005 to 2008.
PARTICIPANTS: Individuals visiting U.S. EDs stratified according to nursing home
residency. MEASUREMENTS: All ED visits by nursing home residents were identified.
The demographic and clinical characteristics and ED resource utilization, length
of stay, and outcomes of nursing home residents and nonresidents were compared.
RESULTS: During 2005 to 2008, nursing home residents accounted for 9,104,735 of
475,077,828 U.S. ED visits (1.9%; 95% confidence interval (CI) = 1.8-2.1%). The
annualized number of ED visits by nursing home residents was 2,276,184. Most
nursing home residents were older (mean age 76.7, 95% CI = 75.8-77.5), female
(63.3%), and non-Hispanic white (74.8%). Nursing home residents were more likely
to have been discharged from the hospital in the prior 7 days (adjusted odds
ratio (aOR = 1.4, 95% CI = 1.1-1.9), to present with fever (aOR = 1.9, 95% CI =
1.5-2.4) or hypotension (systolic blood pressure <=90 mmHg, aOR = 1.8, 95% CI =
1.5-2.2), and to receive diagnostic tests (OR = 1.9, 95% CI = 1.6-2.2), imaging
(OR = 1.5, 95% CI = 1.3-1.7), or procedures (OR = 1.6, 95% CI = 1.4-1.7) in the
ED. Almost half of nursing home residents visiting the ED were admitted to the
hospital. Nursing home residents were more likely to be admitted to the hospital
(aOR = 1.8, 95% CI = 1.6-2.0) and to die (aOR = 2.3, 95% CI = 1.6-3.3).
CONCLUSION: Nursing home residents account for more than 2.2 million ED visits
annually in the United States. Nursing home residents have greater medical acuity
and complexity. These observations highlight the national challenges of
organizing and delivering ED care to nursing home residents in the United States.
PMID- 22091499
TI - Lower extremity nerve function, calf skeletal muscle characteristics, and
functional performance in peripheral arterial disease.
AB - OBJECTIVES: To determine whether poor lower extremity nerve function is
associated with less-favorable calf muscle characteristics and greater functional
impairment in people with and without peripheral arterial disease (PAD). DESIGN:
Cross-sectional. SETTING: Three Chicago-area medical centers. PARTICIPANTS: Four
hundred thirteen participants with PAD (ankle-brachial index (ABI) < 0.90) and
255 without. MEASUREMENTS: Electrodiagnostic testing of the peroneal nerve was
performed. Calf muscle cross-sectional area and percentage fat were measured
using computed tomography at 66.7% of the distance between the distal and
proximal tibia. Six-minute walk performance was measured. RESULTS: Adjusting for
age, sex, race, ABI, leg symptoms, smoking, physical activity, comorbidities, and
other covariates, lower peroneal nerve conduction velocity (NCV) was associated
with lower calf muscle area (first quartile 4,770.3 mm(2) , fourth quartile 5,571
mm(2) , P < .001) and poorer 6-minute walk distance (first quartile 989.2 feet,
fourth quartile 1,210.8 feet, P < .001) in participants without diabetes mellitus
with PAD. Lower peroneal NCV was associated with lower calf muscle area (first
quartile 5,166.0 mm(2) , fourth quartile 6,003.8 mm(2) , P = .01) and poorer 6
minute walk distance (first quartile 866.4 feet, fourth quartile 1,082.5 feet, P
= .01) in participants with diabetes mellitus and PAD as well. In participants
without PAD, lower peroneal NCV was not associated with lower calf muscle area
but was associated with poorer 6-minute walk distance only in participants
without diabetes mellitus (first quartile 1,317.0 feet, fourth quartile 1,570.4
feet, P-trend < .001). CONCLUSION: Lower peroneal nerve function is associated
with smaller calf muscle area and greater functional impairment in individuals
with PAD. Future study is needed to determine whether improving peroneal NCV
prevents loss of calf muscle and functional decline in people with PAD.
PMID- 22091501
TI - Sustaining clinical programs during difficult economic times: a case series from
the Hospital Elder Life Program.
AB - OBJECTIVES: To explore strategies used by clinical programs to justify operations
to decision-makers using the example of the Hospital Elder Life Program (HELP),
an evidence-based, cost-effective program to improve care for hospitalized older
adults. DESIGN: Qualitative study design using 62 in-depth, semistructured
interviews conducted with HELP staff members and hospital administrators between
September 2008 and August 2009. SETTING: Nineteen HELP sites in hospitals across
the United States and Canada that had been recruiting patients for at least 6
months. PARTICIPANTS: HELP staff and hospital administrators. MEASUREMENTS:
Participant experiences sustaining the program in the face of actual or perceived
financial threats, with a focus on factors they believe are effective in
justifying the program to decision-makers in the hospital or health system.
RESULTS: Using the constant comparative method, a standard qualitative analysis
technique, three major themes were identified across interviews. Each focuses on
a strategy for successfully justifying the program and securing funds for
continued operations: interact meaningfully with decision-makers, including
formal presentations that showcase operational successes and informal means that
highlight the benefits of HELP to the hospital or health system; document day-to
day, operational successes in metrics that resonate with decision-maker
priorities; and garner support from influential hospital staff that feed into
administrative decision-making, particularly nurses and physicians. CONCLUSION:
As clinical programs face financially challenging times, it is important to find
effective ways to justify their operations to decision-makers. Strategies
described here may help clinically effective and cost-effective programs sustain
themselves and thus may help improve care in their institutions.
PMID- 22091502
TI - Risk of fractures requiring hospitalization after an initial prescription for
zolpidem, alprazolam, lorazepam, or diazepam in older adults.
AB - OBJECTIVES: To determine whether zolpidem is a safer alternative to
benzodiazepines. DESIGN: Retrospective cohort study. SETTING: Community based.
PARTICIPANTS: Health maintenance organization members with an initial
prescription for zolpidem (n = 43,343), alprazolam (n = 103,790), lorazepam (n =
150,858), or diazepam (n = 93,618). MEASUREMENTS: Zolpidem and benzodiazepine
prescriptions were identified from pharmacy databases. Rates of nonvertebral
fractures and hip fractures requiring hospitalization were compared before and
after an initial prescription for each treatment, adjusting for confounders using
doubly robust estimation. RESULTS: In patients aged 65 and older, the rates of
nonvertebral fractures and dislocations were similar in the pre- treatment
intervals. The rate ratios (RRs) for the 90-day posttreatment interval relative
to the pretreatment interval were 2.55 (95% confidence interval (CI) = 1.78-3.65;
P < .001) for zolpidem, 1.14 (95% CI = 0.80-1.64; P = .42) for alprazolam, 1.53
(95% CI = 1.23-1.91; P < .001) for lorazepam, and 1.97 (95% CI = 1.22-3.18; P =
.01) for diazepam. The ratio of RRs (RRR)-the RR in the posttreatment period
adjusted for the corresponding RR in the pretreatment period-were 2.23 (95% CI =
1.36-3.66; P = .006) for zolpidem relative to alprazolam, 1.68 (95% CI = 1.12
2.53; P = .02) for zolpidem relative to lorazepam, and 1.29 (95% CI = 0.72-2.30;
P = .32) for zolpidem relative to diazepam. The RRs decreased with time from the
initial prescription (trend P < .001), as would be expected if the association is
causal. CONCLUSION: In older adults, the risk of injury with zolpidem exceeded
that with alprazolam and lorazepam and was similar to that with diazepam. If the
associations are causal, then the high incidence of these fractures implies that
these treatment induce a substantial number of fractures and consequential costs.
Further study of the association is imperative.
PMID- 22091503
TI - Use of opioids or benzodiazepines and risk of pneumonia in older adults: a
population-based case-control study.
AB - OBJECTIVES: To examine whether use of opioids or benzodiazepines is associated
with risk of community-acquired pneumonia in older adults. DESIGN: Population
based case-control study. SETTING: An integrated healthcare delivery system.
PARTICIPANTS: Community-dwelling, immunocompetent adults aged 65 to 94 from 2000
to 2003. Presumptive pneumonia cases were identified from health plan automated
data and validated through medical record review. Two controls were selected for
each case with pneumonia, matched on age, sex, and calendar year. MEASUREMENTS:
Information about opioid and benzodiazepine use came from computerized pharmacy
data. Information on covariates including comorbid illnesses and functional and
cognitive status came from medical record review and electronic health data.
RESULTS: One thousand thirty-nine validated cases of pneumonia and 2,022 matched
controls were identified. One hundred forty-four (13.9%) cases and 161 (8.0%)
controls used prescription opioids (adjusted odds ratio (OR) = 1.38, 95%
confidence interval (CI) = 1.08-1.76 vs nonuse). Risk was highest for opioids
categorized as immunosuppressive based on immunological studies (OR = 1.88, 95%
CI = 1.26-1.79 vs nonuse), whereas for nonimmunosuppressive opioids the OR was
1.23 (95% CI = 0.89-1.69). Risk was highest in the first 14 days of use (OR =
3.24, 95% CI = 1.64-6.39 vs nonuse). For long-acting opioids, the OR was 3.43
(95% CI = 1.44-8.21) versus nonuse, whereas for short-acting opioids, it was 1.27
(95% CI = 0.98-1.64). No greater risk was seen for current benzodiazepine use
compared to nonuse (OR = 1.08, 95% CI = 0.80-1.47). CONCLUSION: Use of opioids
but not benzodiazepines was associated with pneumonia risk. The differences in
risk seen for different opioid regimens warrant further study.
PMID- 22091504
TI - Cognitive complaints, depressive symptoms, and cognitive impairment: are they
related?
AB - OBJECTIVES: To examine whether concurrent depressive symptoms and self- and
informant-reported cognitive impairments are related to cognitive complaints.
DESIGN: Longitudinal aging study of the relationship between depressive symptoms,
reported cognitive impairments, and cognitive complaints. Mixed-effects
regression models were used to determine whether scores on the Center for
Epidemiologic Studies Depression Scale (CES-D) and Clinical Dementia Rating Scale
(CDR) predicted cognitive complaints. The Cognitive Failures Questionnaire (CFQ)
assessed cognitive complaints. SETTING: A community-dwelling sample in Baltimore,
Maryland. PARTICIPANTS: One hundred five cognitively normal older individuals
with a mean baseline age of 75 followed for an average of 4 years. MEASUREMENTS:
The CES-D measured depressive symptoms. The CDR Sum of Boxes (CDR-SB) measured
self- and informant-reported impairment, and the CFQ measured cognitive
complaints. RESULTS: Greater depressive symptoms and reported impairments are
associated with higher CFQ scores. In addition, there was a significant
interaction between depressive symptoms and reported impairment. Specifically,
individuals without reported cognitive impairment had the strongest association
between depressive symptoms and cognitive complaints. Finally, reported
impairments interact with baseline age, suggesting that the relationship between
reported impairments and cognitive complaints is strongest in individuals younger
than 80. CONCLUSION: These findings confirm a relationship between reported
cognitive impairment and cognitive complaints in older individuals and highlight
the extent to which age and depressive symptoms account for variation in
complaints. These factors should be considered when interpreting cognitive
complaints in a clinical setting.
PMID- 22091505
TI - Chronic physical conditions in older adults with mental illness and/ or substance
use disorders.
AB - OBJECTIVES: To examine the association between mental illness and chronic
physical conditions in older adults and investigate whether co-occurring
substance use disorders (SUDs) are associated with greater risk of chronic
physical conditions beyond mental illness alone. DESIGN: A retrospective cross
sectional study. SETTING: Medicare and Medicaid programs in Massachusetts.
PARTICIPANTS: Massachusetts Medicare and Medicaid members aged 65 and older as of
January 1, 2005 (N = 679,182). MEASUREMENTS: Diagnoses recorded on Medicare and
Medicaid claims were used to identify mental illness, SUDs, and 15 selected
chronic physical conditions. RESULTS: Community-dwelling older adults with mental
illness or SUDs had higher adjusted risk for 14 of the 15 selected chronic
physical conditions than those without these disorders; the only exception was
eye diseases. Moreover, those with co-occurring SUDs and mental illness had the
highest adjusted risk for 11 of these chronic conditions. For residents of long
term care facilities, mental illness and SUDs were only moderately associated
with the risk of chronic physical conditions. CONCLUSION: Community-dwelling
older adults with mental illness or SUDs, particularly when they co-occurred, had
substantially greater medical comorbidity than those without these disorders. For
residents of long-term care facilities, the generally uniformly high medical
comorbidity may have moderated this relationship, although their high prevalence
of mental illness and SUDs signified greater healthcare needs. These findings
strongly suggest the imminent need for integrating general medical care, mental
health services, and addiction health services for older adults with mental
illness or SUDs.
PMID- 22091506
TI - The cholesterol conundrum.
PMID- 22091507
TI - Do vitamin D levels influence the risk of diabetes mellitus and play a role in
healthier aging?
PMID- 22091508
TI - Handing down memories of Jesse Owens.
PMID- 22091509
TI - Unilateral acute pyelonephritis associated with a neglected pessary.
PMID- 22091510
TI - Common but critical sensation in older adults.
PMID- 22091511
TI - A false diabetic foot ulcer.
PMID- 22091512
TI - An uncommon cause of upper gastrointestinal bleeding, blister pack drug.
PMID- 22091513
TI - Prevention of chronic obstructive pulmonary disease exacerbation by angiotensin
converting enzyme inhibitors in individuals with impaired swallowing.
PMID- 22091514
TI - Shelter-acquired pneumonia after a catastrophic earthquake in Japan.
PMID- 22091515
TI - Population-based smoking trends in older adults: the Minnesota Heart Survey.
PMID- 22091516
TI - Strong association between polycythemia and glucose intolerance in older adults
living at high altitudes in the Andes.
PMID- 22091517
TI - Association between high variability of gait speed and mild cognitive impairment:
a cross-sectional pilot study.
PMID- 22091518
TI - Improving office detection of asymptomatic dementia.
PMID- 22091519
TI - Structured history taking of medication use reveals iatrogenic harm due to
discrepancies in medication histories in hospital and pharmacy records.
PMID- 22091520
TI - Is frailty associated with cardiovascular drug use?
PMID- 22091521
TI - Telomere length in old age and cholesterol across the life course.
PMID- 22091522
TI - Defining palliative care competencies in Canadian geriatric medicine subspecialty
training.
PMID- 22091523
TI - End-of-life and formal and informal care use of community-dwelling older adults
with different levels of physical disability.
PMID- 22091524
TI - Social support and mortality: if you're sick, friends can't save you.
PMID- 22091525
TI - Elderly: a term to avoid or to embrace?
PMID- 22091526
TI - The aging paradox: how old is old and all that jazz?
PMID- 22091527
TI - Cholinesterase inhibitor initiation in hospital setting.
PMID- 22091528
TI - Individualized nutritional intervention during and after hospitalization: the
nutrition intervention study clinical trial.
PMID- 22091530
TI - Noncovalently functionalized multiwalled carbon nanotubes by chitosan-grafted
reduced graphene oxide and their synergistic reinforcing effects in chitosan
films.
AB - Water-soluble chitosan-grafted reduced graphene oxide (CS-rGO) sheets are
successfully synthesized via amidation reaction and chemical reduction. CS-rGO
possesses not only remarkable graphitic property but also favorable water
solubility, which is found to be able to effectively disperse multiwalled carbon
nanotubes (MWCNTs) in acidic solutions via noncovalent interaction. The
efficiency of CS-rGO in dispersing MWCNTs is tested to be higher than that of
plain graphene oxide (GO) and a commercial surfactant, sodium dodecyl sulfate
(SDS). With incorporation of 1 wt % CS-rGO dispersed MWCNTs (CS-rGO-MWCNTs), the
tensile modulus, strength and toughness of the chitosan (CS) nanocomposites can
be increased by 49, 114, and 193%, respectively. The reinforcing and toughening
effects of CS-rGO-MWCNTs are much more prominent than those of single-component
fillers, such as MWCNTs, GO, and CS-rGO. Noncovalent pi-pi interactions between
graphene sheets and nanotubes and hydrogen bonds between grafted CS and the CS
matrix are responsible for generating effective load transfer between CS-rGO
MWCNTs and the CS matrix, causing the simultaneously increased strength and
toughness of the nanocomposites.
PMID- 22091531
TI - Fluoride as a probe for H-bonding interactions in the active site of heme
proteins: the case of Thermobifida fusca hemoglobin.
AB - The structural and functional properties of the active site of the bacterial
hemoglobin from Thermobifida fusca are largely determined by three polar amino
acids: TrpG8, TyrCD1, and TyrB10. We have exploited the availability of a
combinatorial set of mutants, in each of which these three amino acids have been
singly, doubly, or triply replaced by a Phe residue, to perform a detailed study
on H-bonding interactions between the protein and heme-bound fluoride. By
appropriate choice of the excitation conditions, nu(Fe-F) stretching bands have
been detected in the resonance Raman spectra. In the wild-type protein and one of
the mutants, two nu(Fe-F) bands have been observed and assigned to the presence
of two protein conformers where fluoride is singly or doubly H-bonded.
Furthermore, by plotting the CT1 charge-transfer transition energy vs the nu(Fe
F) wavenumbers, an empirical correlation has been found. The data are well fitted
by a straight line with a positive slope. The position along the correlation line
can be considered as a novel, general spectroscopic indicator of the extent of H
bonding in the active site of heme proteins. In agreement with the spectroscopic
results, we have observed that the rate of ligand dissociation in stopped-flow
kinetic measurements progressively increases upon substitution of the H-bonding
amino acids. Molecular dynamics simulations have been performed on the fluoride
complexes of native and mutated forms, indicating the prevalent interactions at
the active site. All the techniques yield evidence that TrpG8 and TyrCD1 can form
strong H bonds with fluoride, whereas TyrB10 plays only a minor role in the
stabilization of the ligand.
PMID- 22091532
TI - Ribose 5-phosphate glycation reduces cytochrome c respiratory activity and
membrane affinity.
AB - Spontaneous glycation of bovine heart cytochrome c (cyt c) by the sugar ribose 5
phosphate (R5P) weakens the ability of the heme protein to transfer electrons in
the respiratory pathway and to bind to membranes. Trypsin fragmentation studies
suggest the preferential sites of glycation include Lys72 and Lys87/88 of a
cationic patch involved in the association of the protein with its respiratory
chain partners and with cardiolipin-containing membranes. Reaction of bovine cyt
c with R5P (50 mM) for 8 h modified the protein in a manner that weakened its
ability to transfer electrons to cytochrome oxidase by 60%. An 18 h treatment
with R5P decreased bovine cyt c's binding affinity with cardiolipin-containing
liposomes by an estimated 8-fold. A similar weaker binding of glycated cyt c was
observed with mitoplasts. The reversal of the effects of R5P on membrane binding
by ATP further supports an A-site modification. A significant decrease in the
rate of spin state change for ferro-cyt c, thought to be due to cardiolipin
insertion disrupting the coordination of Met to heme, was found for the R5P
treated cyt c. This change occurred to a greater extent than what can be
explained by the permanent attachment of the protein to the liposome. Turbidity
changes resulting from the multilamellar liposome fusion that is readily promoted
by cyt c binding were not seen for the R5P-glycated cyt c samples. Collectively,
these results demonstrate the negative impact that R5P glycation can have on
critical electron transfer and membrane association functions of cyt c.
PMID- 22091533
TI - Functional neural correlates of psychometric schizotypy: an fMRI study of
antisaccades.
AB - Dimensional models of psychosis assume a continuum between schizotypy and
schizophrenia. However, little is known about the overlap in brain functional
alterations between schizotypy and schizophrenia. Fifty-four healthy volunteers
underwent functional magnetic resonance imaging during an antisaccade task, a
measure of cognitive control known to be impaired in schizophrenia, and a
prosaccade task. Higher positive schizotypy was correlated with higher
antisaccade error rates. Associations between reduced blood oxygenation level
dependent signal and higher schizotypy were found during antisaccades in the
putamen, thalamus, cerebellum, and visual cortex and during prosaccades in the
visual cortex, supplementary eye field, and posterior intraparietal sulcus. These
findings show that increased schizotypy is associated with decreased antisaccade
performance and reduced brain function in regions also affected in schizophrenia,
therefore providing evidence of neurocognitive and neurophysiological overlap
between schizotypy and schizophrenia.
PMID- 22091534
TI - A randomized study of aspiration and sclerotherapy versus laparoscopic deroofing
in management of symptomatic simple renal cysts.
AB - PURPOSE: To perform a prospective randomized study to evaluate aspiration and
sclerotherapy vs. laparoscopic deroofing in the management of symptomatic simple
renal cysts. PATIENTS AND METHODS: Forty patients with symptomatic simple renal
cysts were randomized to treatment either by ultrasonography-guided aspiration
and sclerotherapy (group A-20 patients) or by laparoscopic deroofing (group B-20
patients). Two patients in group A and one patient in group B had a parapelvic
cyst. Patients were evaluated by urine analysis, serum creatinine level,
coagulation profile, ultrasonography, and CT urography. In group A patients,
after aspiration, 1% polidocanol in a volume equivalent to 10% of cyst volume was
instilled. In group B patients, laparoscopic deroofing was performed. All
patients were followed up by ultrasonography up to 1 year after treatment.
RESULTS: In group A, aspiration and sclerotherapy was performed on an outpatient
basis, and none of the patients needed postoperative analgesia. Eighteen of 20
patients had complete regression; two of these had parapelvic cyst. Partial
regression with relief of pain was noted in one patient, whereas treatment failed
in one patient. None of the patients had any significant complication and none
required analgesia. All the patients were discharged two hours after the
procedure. In group B, laparoscopic deroofing was successfully performed in 19 of
20 patients. Laparoscopic deroofing could not be performed in one patient with
parapelvic cyst because of failure of access. The mean analgesic requirement was
285 +/- 57.98 (200-400) mg tramadol, and average hospital stay was 2.1 +/- 0.32
(2-3) days. CONCLUSION: Percutaneous aspiration and sclerotherapy with
polidocanol is an effective, safe, and minimally invasive therapeutic option for
symptomatic simple renal cysts, with equal efficacy and lower morbidity and
hospital stay in comparison with laparoscopic deroofing.
PMID- 22091535
TI - Cognitive functioning predicts driver safety on road tests 1 and 2 years later.
AB - OBJECTIVES: To describe longitudinal changes in mean level and evaluate rank
order stability in potential predictors of driving safety (visual sensory, motor,
visual attention, and cognitive functioning) and safety errors during an 18-mile
on-road driving test in older adults and to evaluate the relative predictive
power of earlier visual sensory, motor, visual attention, and cognitive
functioning on future safety errors, controlling for earlier driving capacity.
DESIGN: Three-year longitudinal observational study. SETTING: Large teaching
hospital in the Midwest. PARTICIPANTS: One hundred eleven neurologically normal
older adults (60-89 at baseline). MEASUREMENTS: Safety errors based on video
review of a standard 18-mile on-road driving test served as the outcome measure.
A comprehensive battery of tests on the predictor side included visual sensory
functioning, motor functioning, cognitive functioning, and a measure of useful
field of view. RESULTS: Longitudinal changes in mean levels of safety errors and
cognitive functioning were small from year to year. Relative rank-order stability
between consecutive assessments was moderate in overall safety errors and
moderate to strong in visual attention and cognitive functioning. Although
prospective bivariate correlations between safety errors and predictors ranged
from fair to moderate, only functioning in the cognitive domain predicted future
driver performance 1 and 2 years later in multivariate analyses. CONCLUSION:
Normative aging-related declines in driver performance as assessed using on-road
tests emerge slowly. Even in the presence of conservative controls, such as
previous driving ability, age, and visual sensory and motor functioning,
cognitive functioning predicted future on-road driving performance 1 and 2 years
later.
PMID- 22091536
TI - Persistent enhancement of functional MRI responsiveness to sensory stimulation
following repeated seizures.
AB - PURPOSE: Neural reorganization and interictal behavioral anomalies have been
documented in people with epilepsy and in animal seizure models. Alterations in
behavior could be due to somatosensory dysfunction. This study was designed to
determine whether seizures can lead to changes in somatosensory representations
and whether those changes are persistent. METHODS: Twice-daily seizures were
elicited by delivering 1 s of electrical stimulation through carbon fiber
electrodes implanted in both the corpus callosum and sensorimotor neocortex of
young adult male Long-Evans rats until a total of 20 seizures were elicited.
Either 1-3 days or 3-5 weeks following the last seizure, functional magnetic
resonance imaging (MRI) was used to image the brain during electrical stimulation
of each forepaw independently. KEY FINDINGS: Forepaw stimulation in control rats
resulted in a focused and contralateral fMRI signal in the somatosensory
neocortex. Rats that had repeated seizures had a 151% increase in the number of
voxels activated in the contralateral hemisphere 1-3 days after the last seizure
and a 166% increase at 3-5 weeks after the last seizure. The number of voxels
activated in response to forepaw stimulation was positively correlated with the
duration of the longest seizure experienced by each rat. The intensity of the
activated voxels was not significantly increased at either time interval from the
last seizure. SIGNIFICANCE: The increased area of activation in somatosensory
cortex, which is persistent at 3-5 weeks, is consistent with previous
observations of larger motor maps following seizures. Seizure-induced changes in
the functioning of sensory cortex may also contribute to interictal behavioral
anomalies.
PMID- 22091537
TI - Development of neutralizing antibody responses in muskellunge, Esox masquinongy
(Mitchill), experimentally exposed to viral haemorrhagic septicaemia virus
(genotype IVb).
AB - A complement-dependent 50% plaque neutralization test was used to assess the
neutralizing antibody response in sera of muskellunge, Esox masquinongy,
experimentally infected with viral haemorrhagic septicaemia virus (VHSV, genotype
IVb) by immersion. Groups of muskellunge were challenged with varying
concentrations of VHSV: Group 1 with 10(2) plaque-forming units (pfu) mL(-1) ,
Group 2 with 4 * 10(3) pfu mL(-1) , Group 3 with 10(5) pfu mL(-1) and Group 4
with 0 pfu mL(-1) . The fish were held at a temperature of 11 +/- 1 degrees C
and were sampled over a 20-week period. Neutralizing antibodies were not detected
in sera of any of the negative control fish throughout the study. Low
neutralizing titres were detected in Groups 1-3 by 6 days post-infection (p.i.).
Neutralizing titres of >=80 [corrected]. were not detected again until 3, 4 and 7
weeks p.i. for Groups 2, 3 and 1, respectively, with peak titres for those groups
occurring 16, 11 and 17 weeks p.i., respectively. VHSV was detected in serum for
up to 11 weeks p.i. Results of this study show that survivors can be detected by
a serological technique, despite being virus negative. This may benefit the
investigation of VHSV IVb distribution in the Great Lakes and the study of host
immune responses to this emerging sublineage.
PMID- 22091538
TI - Microalgae in the postgenomic era: a blooming reservoir for new natural products.
AB - Bacteria, fungi, algae and higher plants are the most prolific producers of
natural products (secondary metabolites). Compared to macroalgae, considerably
fewer natural products have been isolated from microalgae, which offer the
possibility of obtaining sufficient and well-defined biological material from
laboratory cultures. Interest in microalgae is reinforced by large-scale data
sets from genome sequencing projects and the development of genetic tools such as
transformation protocols. This review highlights what is currently known about
the biosynthesis and biological role of natural products in microalgae, with
examples from isoprenoids, complex polyketides, nonribosomal peptides,
polyunsaturated fatty acids and oxylipins, alkaloids, and aromatic secondary
metabolites. In addition, we introduce a bioinformatic analysis of available
genome sequences from totally 16 microalgae, belonging to the green and red
algae, heterokonts and haptophytes. The results suggest that the biosynthetic
potential of microalgae is underestimated and many microalgal natural products
remain to be discovered.
PMID- 22091539
TI - Income and reproductive motivation.
AB - Abstract It is often assumed that family size and income would be positively
related if unwanted births among the less advantaged were prevented. But this
assumption rests on a prior expectation that family-size preferences bear a
direct relation to income in modern societies. Data on such reproductive
preferences in relation to economic status from 13 studies in the United States
dating between 1936 and 1966 do not support the notion of a positive association
between reproductive preferences and income. Only when Catholics are considered
is there even a U-shaped relation between family-size desires and income. These
results cast doubt on the notion that the economic theory of demand for consumer
durables is relevant to reproductive motivation. Rather, the data lend credence
to the idea that significant non-economic influences associated with prosperity
depress family-size desires among the well-to-do. Only if these influences are
specifically weakened by a counter-force (such as Catholicism) do wealthier
people show a preference for somewhat larger families. In no case, however, are
the Catholic/non-Catholic differences in reproductive preference large. Moreover,
no economic group, even among non-Catholics, prefers very small families.
PMID- 22091540
TI - Malaria eradication and its effect on mortality levels.
AB - Abstract It is argued in this article that malaria eradication was only one of
a group of factors which were responsible for the lowering of mortality levels in
Ceylon in the years after 1946. The magnitude of the contribution made by these
other factors has not generally been taken into account since they took effect
during the period of malaria eradication, but since some of them were carried out
in a few of the endemic malarial areas during the pre-eradication period, some
attempt to measure them can be made of their impact on mortality levels. Further
the effect of malaria eradication on mortality levels in the absence of these
other measures is also studied by examining the case of Guatemala where in spite
of malaria eradication the decline in mortality levels has not been so
significant as in Ceylon.
PMID- 22091541
TI - The interaction of demand and supply and its effect on the female labour force in
the United States.
AB - Abstract In both 1900 and 1940 young women and unmarried women formed the most
important component of the female labour force. By 1960, however, older women and
married women had replaced them. An explanation for this shift is sought in
divergent trends in the demand for female labour and in the supply of the kind of
female workers typical of the 1900-40 period. Three series of estimates of the
demand for female labour are compared to six series of estimates of the supply of
different types of women. It was found that all three series of estimates of
demand showed a rising demand for female workers in the 1900 to 1960 period.
However, the number of young women (those aged 18-34) and of unmarried women,
aged 18-64 was actually declining in the 1940 to 1960 period. As a consequence,
the supply of such women was well below our estimates of demand in 1960. The
maintenance and continued expansion of the female labour force in the 1940 to
1960 period rested, therefore, on the greater utilization of married women and
women over the age of 35. As a consequence, the age and marital-status
composition of the female labour force has undergone considerable changes in the
1940 to 1960 period.
PMID- 22091542
TI - Population and social structure in a Bedfordshire parish: The Cardington listing
of inhabitants, 1782.
AB - Abstract This paper describes some of the main social and demographic
characteristics of a Bedfordshire parish in the second half of the eighteenth
century. It is based on an analysis of the 'Listing of Inhabitants' of Cardington
in 1782, and on the use of the parish registers. The listing does not allow an
analysis of the entire population of the parish. Its most serious deficiency is
the failure to give sufficient detail for the upper social strata of the parish
population, viz. the residents of 'farm tenements' and a small number of other
properties likewise poorly documented. In the main, the data given in the article
refer only to the residents of 'cottage tenements'. They represent the majority
of the parish population, but omit the small group at the top of village society.
For the 'cottage tenement' population a number of conclusions are drawn. Within
this population there was an overall excess of females over males, but the excess
was slight, and the number and proportion of males and females in each age-group
balanced quite closely. 43-44% of the population of known age were less than 15
years old, and almost half the population were aged between 16 and 60 years. An
analysis of marital status tentatively suggests that adult celibacy was rare. The
average number of residents per 'cottage' household was only a little higher than
the average size of family, confirming that only a small proportion of households
contained more than one family. Household and family size may have been larger
among craftsmen than labourers, with the households and families of the former
containing more resident offspring than those of the latter. About one in every
three marriages was either a broken marriage or are-marriage. A reconstitution
of certain 'cottage tenement' families tentatively suggests an average of over
five baptisms per family. Yet there were only two resident offspring per family
in 1782. The difference may be explained by the high level of infant and child
mortality, with one-third of all baptised children failing to reach the age of 15
years, and by the high degree of population mobility, albeit over short
distances.
PMID- 22091543
TI - Some factors associated with Urban-Rural fertility differentials in Mexico.
AB - Abstract Warren C. Robinson and others have presented strong evidence that a
substantial portion of the variation in urban-rural fertility differentials is
attributable to variations in infant and child mortality when the child-woman
ratio is the index of fertility. This paper focuses on the contributions of
several additional factors in accounting for variations in urban-rural fertility
differentials. 1960 census data for 23 urban and rural areas in Mexico are
investigated by means of correlation analysis. City growth and literacy
differentials are found to be significantly related to the size of the urban
rural fertility (child-woman ratio) differential, but their effect appears to be
indirect, and brought about by their association with urban-rural differentials
in the sex ratio at the reproductive ages, age at marriage, and the percentage
married. The latter three factors are positively related to the size of the urban
rural fertility differential. City growth is inversely related to the magnitude
of the fertility differential. This analysis suggests that changes in (urban)
population composition may favour higher as well as lower urban fertility and
thus affect the size of the urban-rural fertility differential. If this is true,
it would appear that urbanization does not necessarily lead to lower total
fertility (at least in its early stages), but may lead to the modification of
certain demographic characteristics which formerly favoured lower urban
fertility. The long-run effects of urbanization are more difficult to assess, but
it is suggested that migrants to urban areas may require several generations to
manifest lower fertility. This would constitute an additional factor favouring
higher urban fertility. The possible contribution of changing mortality
conditions is also considered.
PMID- 22091545
TI - Notes.
PMID- 22091547
TI - Assessment of the mutagenicity of aromatic amines from theoretical structural
parameters: a hierarchical approach.
AB - Abstract A hierarchical approach has been used in this paper in predicting the
mutagenicity/non-mutagenicity of a set of 127 chemicals from their molecular
descriptors. The set of descriptors consisted of topostructural and topochemical
parameters, experimental properties like log P, and quantum chemical indices
calculated using a semi-empirical method. The results show that a combination of
topostructural and topochemical molecular descriptors explain most of the
variance in the experimental data. The addition of physical properties or quantum
chemical parameters did not make any significant improvement in the predictive
power of the models.
PMID- 22091548
TI - Chemical sub-structural cluster expansions for molecular properties.
AB - Abstract The correlation of different molecular sub-structures with various
molecular properties has a long history, of over a century. And currently such
structural characterizations still remain of central interest in chemistry. Thus
a general formalism to analyze a property or activity in terms of sub-structural
contributions is of interest, and is pursued here. The approach may indeed be
viewed as a formalization and extension of standard bond-energy ideas as arise
even in introductory chemistry courses. The present formalism allows for: * a
more complete and comprehensive formulation, with higher-order corrections to
achieve greater accuracy; * a more general form for the class of sub-structures
appearing in the expansion, thereby allowing more general (e.g.,
"multiplicative") properties to be expanded; and * a more general form for the
expansion functions, thereby allowing more rapid convergence rates for the
expansions. An illustrative example for the structure/property correlation of
conjugated-hydrocarbon pi-energy is made. Some comments on the use for describing
bio-activities, and in particular toxicities, are made.
PMID- 22091549
TI - A novel electron-conformational approach to molecular modeling for QSAR by
identification of pharmacophore and anti-pharmacophore shielding.
AB - Abstract A novel method of pharmacophore identification and activity prediction
in structure-activity (structure-property) relationships is worked out as an
essential extension and improvement of previous publications. In this method each
conformation of the molecular systems in the training set of the SAR problem is
presented by both electronic structure and geometry parameters arranged in a
matrix form. Multiple comparisons of these matrices for the active and inactive
compounds allows one to separate a smaller number of matrix elements that are
common for all the active compounds and are not present in the same arrangement
in the inactive ones. This submatrix of activity represents the pharmacophore
(Pha). By introducing the Anti-Pharmacophore Shielding (APS) defined as
molecular groups and competing charges outside the Pha that hinder the proper
docking of the Pha with the bioreceptor, the procedure of Pha identification is
essentially reduced to the treatment of a smaller number of simplest in structure
most active and inactive compounds. A simple empirical scheme is suggested to
estimate the APS numerically, while the contributions of different conformations
of the same compound are taken into account by means of Boltzmann distribution.
This enables us to make approximate quantitative predictions of activities. In
application to rice blast activity we reached an approximately 100% (within
experimental error) prediction probability of the activity qualitatively (yes,
no), and with r (2) = 70% quantitatively.
PMID- 22091550
TI - Calculation of octanol/water partition coefficients for pesticides: a comparative
study.
AB - Abstract On the basis of a set of 593 experimental octanol/water partition
coefficients (log P) for pesticides, the simulation performances of two models
using computable descriptors are compared. The back propagation neural network
model designed from autocorrelation descriptors (SAR QSAR Environ. Res. (1997),
7, 151-172) compares favorably with the AFC model (J. Pharm. Sci. (1995), 84, 83
92) using atom/fragment contributions and correction factors.
PMID- 22091551
TI - Editorial board page for "SAR and QSAR in Environmental Research", Volume 10,
Number 2-3.
AB - Abstract This is a scanned image of the original Editorial Board page(s) for
this issue.
PMID- 22091552
TI - Preface.
PMID- 22091553
TI - Sequential Stevens-Johnson syndrome and photo-recall phenomenon.
PMID- 22091554
TI - Increasing the number of irrelevant stimuli increases ability to detect
countermeasures to the P300-based Complex Trial Protocol for concealed
information detection.
AB - We previously found that simultaneously executing a mental countermeasure and an
explicit required response impairs reaction time (RT)-based detection of
countermeasure use in a P300- based concealed information test. To address this
issue, we increased the numbers of irrelevant stimuli to eight, and manipulated
the proportions of to-be-countered irrelevant stimuli from 25% to 50% to 75% in
three groups. RESULTS: Based on P300 data, 100% of the simple guilty (no
countermeasure use) and 92% of the innocent subjects were correctly identified as
having or not having concealed information. In the countermeasure groups,
detection rates varied from 71% to 92% across the different groups. Notably, in
the present study with eight irrelevant items, simultaneous countermeasure use
was indicated by elevated RT in the 50% and 75% countermeasure proportion groups,
which it was not, previously, with 50% (two) countermeasures and four
irrelevants.
PMID- 22091555
TI - Potential limitations in the use of KillerRed for fluorescence microscopy.
AB - KillerRed, a bright red fluorescent protein, is a genetically encoded
photosensitizer, which generates radicals and hydrogen peroxide upon green light
illumination. The protein is a potentially powerful tool for selective light
induced protein inactivation and cell killing, and can also be used to study
downstream effects of locally increased levels of reactive oxygen species. The
initial aim of this study was to investigate whether or not KillerRed-mediated
reactive oxygen species production inside peroxisomes could trigger the
sequestration of these organelles into autophagosomes. Green fluorescent protein
tagged microtubule-associated protein 1 light chain 3 was used as autophagosome
marker. We observed that KillerRed also emits weak green fluorescence upon
excitation at 480 nm, and this may lead to erroneous data interpretation in
conditions where green fluorophores are used. We discuss this potential pitfall
of KillerRed for biological imaging and formulate recommendations to avoid
misinterpretation of the data.
PMID- 22091556
TI - Keeping it simple: flowering plants tend to retain, and revert to, simple leaves.
AB - * A wide range of factors (developmental, physiological, ecological) with
unpredictable interactions control variation in leaf form. Here, we examined the
distribution of leaf morphologies (simple and complex forms) across angiosperms
in a phylogenetic context to detect patterns in the directions of changes in leaf
shape. * Seven datasets (diverse angiosperms and six nested clades, Sapindales,
Apiales, Papaveraceae, Fabaceae, Lepidium, Solanum) were analysed using maximum
likelihood and parsimony methods to estimate asymmetries in rates of change among
character states. * Simple leaves are most frequent among angiosperm lineages
today, were inferred to be ancestral in angiosperms and tended to be retained in
evolution (stasis). Complex leaves slowly originated ('gains') and quickly
reverted to simple leaves ('losses') multiple times, with a significantly greater
rate of losses than gains. Lobed leaves may be a labile intermediate step between
different forms. The nested clades showed mixed trends; Solanum, like the
angiosperms in general, had higher rates of losses than gains, but the other
clades had higher rates of gains than losses. * The angiosperm-wide pattern could
be taken as a null model to test leaf evolution patterns in particular clades, in
which patterns of variation suggest clade-specific processes that have yet to be
investigated fully.
PMID- 22091557
TI - A novel injectable in situ forming poly-DL-lactide and DL-lactide/glycolide
implant containing lipospheres for controlled drug delivery.
AB - One of the greatest challenges in in situ forming implant (ISFI) systems by
polymer precipitation is the large burst release during the first 1-24 hours
after implant injection. The aim of this study was to decrease the burst-release
effect of a water-soluble model drug, donepezil HCl, with a molecular weight of
415.96 Da, from in situ forming implants using a novel in situ implant containing
lipospheres (ISILs). In situ implant suspensions were prepared by dispersing
cetyl alcohol and glyceryl stearate lipospheres in a solution of poly-DL-lactide
(PDL) or DL-lactide/glycolide copolymer (PDLG). Also, in situ implant solutions
were prepared using different concentrations of PDL or PDLG solutions in N-methyl
2-pyrrolidone (NMP). Triacetin and Pluronic L121 were used to modify the release
pattern of donepezil from the in situ implant solutions. In vitro release,
rheological measurement, and injectability measurement were used to evaluate the
prepared in situ implant formulae. It was found that ISIL decreased the burst
effect as well as the rate and extent of drug release, compared to lipospheres,
PDL, and PDLG in situ implant. The amount of drug released in the first day was
37.75, 34.99, 48.57, 76.3, and 84.82% for ISIL in 20% PDL (IL-1), ISIL in 20%
PDLG (IL-2), lipospheres (L), 20% PDL ISFI (I5), and 20% PDLG ISFI (I8),
respectively. The prepared systems showed Newtonian flow behavior. ISIL (IL-1 and
IL-2) had a flow rate of 1.94 and 1.40 mL/min, respectively. This study shows the
potential of using in situ implants containing lipospheres in controlling the
burst effect of ISFI.
PMID- 22091558
TI - Pre-operative and intraoperative determinants for prolonged ventilation following
adult cardiac surgery.
AB - BACKGROUND: Prolonged ventilation is a serious complication after cardiac
surgery, but few risk prediction models exist. Our objectives were to develop a
specific risk prediction model based on pre-operative variables, to identify
whether selected intraoperative variables could improve prediction, and to
compare our model with the EuroSCORE. METHODS: Data from 5027 patients undergoing
open-heart surgery in 2000-2007 were used for logistic regression model
development. Internal validation was performed by bootstrapping. Discrimination
and calibration were assessed with areas under the receiver operating
characteristic curve (AUC) and the Hosmer-Lemeshow test. Our pre-operative model
was compared with predictions based on the additive and logistic EuroSCORE.
RESULTS: Age, previous cardiac surgery, peripheral arterial disease, left
ventricular hypertrophy, chronic pulmonary disease, renal insufficiency, pre
operative hemoglobin concentration, urgent or emergency operation, and operation
other than isolated coronary artery bypass grafting were identified as pre
operative predictors for prolonged ventilation (model I). Discrimination and
accuracy were excellent (AUC: 0.848 and shrinkage factor: 94%). Calibration was
good (Hosmer-Lemeshow test: P = 0.43). Inclusion of a few intraoperative
variables somewhat improved the model, increasing shrinkage factors (96%) and
discrimination ability (AUC model II = 0.870 and model III = 0.875 for two
alternative such models). Our pre-operative model showed better performance than
the logistic or additive EuroSCORE. CONCLUSIONS: The pre-operative risk
prediction model for prolonged ventilation with easily obtainable variables in
routine clinical work performed well and was only slightly improved by inclusion
of intraoperative variables. Performance was better than with the EuroSCORE.
PMID- 22091559
TI - Physician practices and readiness for medical home reforms: policy, pitfalls, and
possibilities.
AB - OBJECTIVE: To determine the proportion of physician practices in the United
States that currently meets medical home criteria. DATA SOURCE/STUDY SETTING:
2007 and 2008 National Ambulatory Medical Care Survey. STUDY DESIGN: We mapped
survey items to the National Committee on Quality Assurance's (NCQA's) medical
home standards. After awarding points for each "passed" element, we calculated a
practice's infrastructure score, dividing its cumulative total by the number of
available points. We identified practices that would be recognized as a medical
home (Level 1 [25-49 percent], Level 2 [50-74 percent], or Level 3
[infrastructure score >=75 percent]) and examined characteristics associated with
NCQA recognition. RESULTS: Forty-six percent (95 percent confidence interval
[CI], 42.5-50.2) of all practices lack sufficient medical home infrastructure.
While 72.3 percent (95 percent CI, 64.0-80.7 percent) of multi-specialty groups
would achieve recognition, only 49.8 percent (95 percent CI, 45.2-54.5 percent)
of solo/partnership practices meet NCQA standards. Although better prepared than
specialists, 40 percent of primary care practices would not qualify as a medical
home under present criteria. CONCLUSION: Almost half of all practices fail to
meet NCQA standards for medical home recognition.
PMID- 22091560
TI - Passion for a cause, passion for a creed: on ideological passion, identity
threat, and extremism.
AB - Passion energizes and directs both peaceful and violent ideologically inspired
movements. The type of ideological passion that underlies people's political or
religious commitment was proposed to moderate the effect of social identity
threatening circumstances on their choice of activist tactics. Ideological
passion was defined as a strong inclination toward a loved, valued, and self
defining cause, ideology, or group in which people invest considerable time and
energy. Harmonious ideological passion was expected to promote peaceful activism
and nonviolence partly because it is anchored in a strong and secure sense of
identity-one that facilitates nondefensiveness in identity-threatening
circumstances. Obsessive ideological passion, in contrast, was expected to
engender hatred and aggressive extremism in identity-threatening circumstances
partly because it is anchored in a strong, but insecure, sense of identity.
Results from 2 studies, conducted with nationalist activists (N = 114) and devout
Muslims (N = 111), supported these hypotheses. Implications for the
motivation/passion and intergroup literatures are discussed.
PMID- 22091561
TI - Relationship between patient safety and hospital surgical volume.
AB - OBJECTIVE: To examine the relationship between hospital volume and in-hospital
adverse events. DATA SOURCES: Patient safety indicator (PSI) was used to identify
hospital-acquired adverse events in the Nationwide Inpatient Sample database in
abdominal aortic aneurysm, coronary artery bypass graft, and Roux-en-Y gastric
bypass from 2005 to 2008. STUDY DESIGN: In this observational study, volume
thresholds were defined by mean year-specific terciles. PSI risk-adjusted rates
were analyzed by volume tercile for each procedure. PRINCIPAL FINDINGS: Overall,
hospital volume was inversely related to preventable adverse events. High-volume
hospitals had significantly lower risk-adjusted PSI rates compared to lower
volume hospitals (p < .05). CONCLUSION: These data support the relationship
between hospital volume and quality health care delivery in select surgical
cases. This study highlights differences between hospital volume and risk
adjusted PSI rates for three common surgical procedures and highlights areas of
focus for future studies to identify pathways to reduce hospital-acquired events.
PMID- 22091562
TI - Conservative versus arthroscopic management for medial coronoid process disease
in dogs: a prospective gait evaluation.
AB - OBJECTIVE: To investigate, using objective gait analysis, the long-term outcome
of dogs with medial coronoid process disease (MCPD) treated with conservative
management (CM) versus arthroscopic treatment (AT). STUDY DESIGN: Prospective
clinical trial. ANIMALS: Dogs (n = 20) with unilaterally confirmed MCPD. METHODS:
Eleven dogs were treated arthroscopically with removal of coronoid fragments and
burring of any associated chondromalacic cartridge and 9 dogs were managed
conservatively. All dogs were administered a 6-week course of oral tepoxalin on
enrollment. Inverse dynamics gait analysis was performed at initial presentation
and at 4, 8, 26, and 52 weeks. The gait variables analyzed were elbow moment
(EM), elbow power (EP), total support moment (TSM), and total support moment
ratio (TSMR) as a measure of forelimb asymmetry. RESULTS: Affected peak EM
increased from 0.58 to 0.76 Nm/kg in the AT dogs, and from 0.66 to 0.81 Nm/kg in
the CM dogs and there was no significant difference between groups. Affected peak
EP increased marginally in the AT dogs, but was unchanged in the CM dogs and
there was no significant difference between groups. TSM increased from 1.49 to
1.92 Nm/kg in the AT dogs and from 1.52 to 2.06 Nm/kg in the CM dogs and there
was no significant difference between groups. TSMR was statistically different
between treatment groups at 1 (P = .003) and 2 months (P = .048) with the AT
group more asymmetric and hence more lame. TSMR at 12 months was 0.83 (AT) and
0.86 (CM) implying a failure of return to soundness by either group. CONCLUSIONS:
AT dogs had increased mechanical asymmetry at 4 and 8 weeks compared to the CM
group revealing surgery worsened limb function. There was no significant
difference in mechanical symmetry between groups at 26 and 52 weeks.
PMID- 22091563
TI - Once-yearly zoledronic acid in older men compared with women with recent hip
fracture.
AB - OBJECTIVES: To assess the efficacy of once-yearly zoledronic acid (ZOL) 5 mg in
increasing bone mineral density (BMD) in men with a recent hip fracture
participating in the Health Outcomes and Reduced Incidence with Zoledronic Acid
Once- Yearly Recurrent Fracture Trial and to compare the efficacy with that in
women from the same study. DESIGN: Randomized, placebo-controlled, double-blind
trial. SETTING: International multicenter. PARTICIPANTS: Five hundred and eight
men and 1,619 women within 90 days of surgical repair of low-trauma hip fracture
in the same study (for comparison). INTERVENTION: Once-yearly intravenous (IV)
ZOL 5 mg (n = 248) or placebo (n = 260), loading dose of vitamin D, daily
calcium, and vitamin D supplements. MEASUREMENT: Changes in BMD. RESULTS:
Percentage change from baseline in total hip BMD at Months 12 and 24 was
significantly higher with ZOL than with placebo (between-group difference, 2.0%,
P = .003, and 3.8%, P = .002, respectively). Percentage change from baseline in
femoral neck BMD at Month 24 was significantly higher with ZOL than with placebo
(3.8%, P = .003). The BMD benefit was comparable with that observed in women in
this study. New clinical fractures occurred in 36 (7.1%) participants (ZOL, n =
16; placebo, n = 20; P = .64). The ZOL safety profile was comparable with that of
placebo, with no significant differences in cardiovascular or long-term renal
function and a trend toward lower mortality in ZOL-treated men. CONCLUSION: Once
yearly IV ZOL 5 mg increases bone mass at the hip and femoral neck in men within
90 days of repair of a low-trauma hip fracture. Increases were of a similar
magnitude to those observed in women in the same study.
PMID- 22091564
TI - Building a delirium network.
PMID- 22091565
TI - E Pluribus Unum.
PMID- 22091566
TI - Delirium: a strategic plan to bring an ancient disease into the 21st century.
PMID- 22091567
TI - The importance of delirium: economic and societal costs.
AB - Although a number of studies have documented the negative clinical and economic
consequences of delirium, interventions to prevent and treat delirium are
infrequently implemented. The importance of delirium may continue to be
underestimated until its societal and economic effects are documented. The
current article outlines the existing literature related to long-term sequelae
and costs associated with delirium and stresses the importance of such research
in prompting recognition, prevention, and treatment efforts that could reduce the
effect of delirium and improve quality of life for older adults and their
caregivers.
PMID- 22091568
TI - The Johns Hopkins Delirium Consortium: a model for collaborating across
disciplines and departments for delirium prevention and treatment.
AB - Delirium is an important syndrome affecting inpatients in various hospital
settings. This article focuses on multidisciplinary and interdepartmental
collaboration to advance efforts in delirium clinical care and research. The
Johns Hopkins Delirium Consortium, which includes members from the disciplines of
nursing, medicine, rehabilitation therapy, psychology, and pharmacy within the
departments and divisions of anesthesiology, geriatrics, oncology, orthopedic
surgery, psychiatry, critical care medicine, and physical medicine and
rehabilitation at the Johns Hopkins Hospital and Johns Hopkins Bayview Medical
Center, is one model of such collaboration. This article describes the process
involved in developing functional collaboration around delirium and highlights
projects, opportunities, and challenges resulting from them.
PMID- 22091569
TI - Delirium and sedation recognition using validated instruments: reliability of
bedside intensive care unit nursing assessments from 2007 to 2010.
AB - OBJECTIVES: To describe the reliability and sustainability of delirium and
sedation measurements of bedside intensive care unit (ICU) nurses. DESIGN:
Prospective cohort study. SETTING: A tertiary care academic medical center.
PARTICIPANTS: Five hundred ten ICU patients from 2007 to 2010; 627 bedside
nurses. MEASUREMENTS: Bedside nurses and well-trained reference-rater research
nurses independently measured delirium and sedation levels in routine care.
Bedside nurses were instructed to use the Confusion Assessment Method for the
Intensive Care Unit (CAM-ICU) every 12 hours to measure delirium and the Richmond
Agitation-Sedation Scale (RASS) every 4 hours to measure sedation. CAM-ICU and
RASS assessment agreement were computed using weighted kappa statistics across
the entire population and subgroups (e.g., ICU type). Sensitivity and specificity
of bedside nurse identification of delirium were calculated to understand sources
of discordance. RESULTS: Six thousand one hundred ninety-eight CAM-ICU and 6,880
RASS measurement pairs obtained on 3,846 patient-days. For CAM-ICU measurements,
agreement between bedside and research nurses was substantial (weighted kappa =
0.67, 95% confidence interval (CI) = 0.66-0.70) and stable over 3 years of data
collection. RASS measures also demonstrated substantial agreement (weighted kappa
= 0.66, 95% CI = 0.64-0.68), which was stable across all years of data
collection. The sensitivity of delirium nurse assessments was 0.81 (95% CI = 0.78
0.83), and the specificity was 0.81 (95% CI = 0.78-0.85). CONCLUSION: Bedside
nurse measurements of delirium and sedation are sustainable and reliable sources
of information. These measures can be used for clinical decision-making, quality
improvement, and quality measurement activities.
PMID- 22091570
TI - Biomarkers for delirium--a review.
AB - To improve delirium recognition and care, numerous serum biomarkers have been
investigated as potential tools for risk stratification, diagnosis, monitoring,
and prognostication of delirium. The literature was reviewed, and no evidence was
found to support the clinical use of any delirium biomarker, although certain
biomarkers such as S-100 beta and insulin-like growth factor-1 and inflammatory
markers have shown some promising results that need to be evaluated in future
studies with appropriate sample size, prospective designs, and in a more
generalizable population.
PMID- 22091571
TI - Vulnerability: the crossroads of frailty and delirium.
AB - Frailty and delirium, although seemingly distinct syndromes, both result in
significant negative health outcomes in older adults. Frailty and delirium may be
different clinical expressions of a shared vulnerability to stress in older
adults, and future research will determine whether this vulnerability is age
related, pathological, genetic, environmental, or most likely, a combination of
all of these factors. This article explores the clinical overlap of frailty and
delirium, describes possible pathophysiological mechanisms linking the two, and
proposes research opportunities to further knowledge of the interrelationships
between these important geriatric syndromes. Frailty, a diminished ability to
compensate for stressors, is generally viewed as a chronic condition, whereas
delirium is an acute change in attention and cognition, but there is a developing
literature on transitions in frailty status around acute events, as well as on
delirium as a chronic, persistent condition. If frailty predisposes an individual
to delirium, and delirium delays recovery from a stressor, then both syndromes
may contribute to a downward spiral of declining function, increasing risk, and
negative outcomes. In addition, frailty and delirium may have shared
pathophysiology, such as inflammation, atherosclerosis, and chronic nutritional
deficiencies, which will require further investigation. The fields of frailty and
delirium are rapidly evolving, and future research may help to better define the
interrelationship of these common and morbid geriatric syndromes. Because of the
heterogeneous pathophysiology and presentation associated with frailty and
delirium, typical of all geriatric syndromes, multicomponent prevention and
treatment strategies are most likely to be effective and should be developed and
tested.
PMID- 22091572
TI - Antipsychotics in the treatment of delirium in older hospitalized adults: a
systematic review.
AB - OBJECTIVES: To examine evidence of the efficacy of antipsychotics in the
treatment of delirium in older hospitalized adults. DESIGN: Systematic literature
review. SETTING: Hospital. PARTICIPANTS: Older adults with delirium.
MEASUREMENTS: The MEDLINE (January 1980-December 2010) and Cochrane Databases
were searched using the keywords "delirium" and "antipsychotics." References of
review articles were reviewed to identify additional studies. Study selection
criteria included prospective design, more than 10 participants (in treatment
arms), mean age 60 and older, standardized criteria for diagnosing delirium, and
validated delirium rating scales for reporting outcomes. RESULTS: Thirteen
articles met selection criteria: six single-agent and seven comparison studies.
Of these, eight (62%) had fewer than 25 participants in treatment arms, 10 (77%)
recruited participants from psychiatry referrals, and eight (62%) did not clearly
describe their screening methods. All single-agent studies were open-label
studies. Of the comparison studies, five (71%) used randomization, but only one
of these (a placebo-controlled study) used adequate allocation concealment
methods, and only one other study (comparing two antipsychotics) described a
double-blind method in detail. In the only placebo-controlled study (which was
stopped early), no statistically significant differences in mean delirium
severity scores were found at individual time points (Days 2, 3, 4, 7, 10). The
other 12 studies reported improvements in delirium severity or resolution of
delirium based on cutoff scores of the scales, but it is not clear from any of
these studies what the natural course of delirium would have been without use of
antipsychotics. CONCLUSION: Because of severe methodological limitations, the
studies in this review do not support the use of antipsychotics in the treatment
of delirium in older hospitalized adults. Additional well-designed randomized
placebo-controlled trials are needed.
PMID- 22091573
TI - Association between prescribing of anticholinergic medications and incident
delirium: a cohort study.
AB - OBJECTIVES: To describe the association between anticholinergic medications and
incident delirium in hospitalized older adults with cognitive impairment and to
test the hypothesis that anticholinergic medications would increase the risk of
incident delirium. DESIGN: Observational cohort study. SETTING: Urban public
hospital in Indianapolis, Indiana. PARTICIPANTS: One hundred forty-seven
participants aged 65 and older with cognitive impairment who screened negative
for delirium at the time of admission to a general medical ward. MEASUREMENTS:
Cognitive function at the time of admission was assessed using the Short Portable
Mental Status Questionnaire (SPMSQ). Anticholinergic medication orders between
the time of admission and the final delirium assessment were evaluated.
Anticholinergic medication orders were identified using the Anticholinergic
Cognitive Burden Scale. Delirium was assessed using the Confusion Assessment
Method. RESULTS: Fifty-seven percent of the cohort received at least one order
for possible anticholinergic medications, and 28% received at least one order for
definite anticholinergic medications. The incident rate for delirium was 22% of
the entire cohort. After adjusting for age, sex, race, baseline SPMSQ score, and
Charlson Comorbidity Index, the odds ratio (OR) for developing delirium in those
with orders for possible anticholinergic medications was 0.33 (95% confidence
interval (CI) = 0.10-1.03). The OR for developing delirium among those with
orders for definite anticholinergic medications was 0.43 (95% CI = 0.11-1.63).
CONCLUSION: The results did not support the hypothesis that prescription of
anticholinergic medications increases the risk of incident delirium in
hospitalized older adults with cognitive impairment. This relationship needs to
be established using prospective study designs with medication dispensing data to
improve the performance of predictive models of delirium.
PMID- 22091574
TI - Pilot randomized trial of donepezil hydrochloride for delirium after hip
fracture.
AB - OBJECTIVES: To determine whether donepezil hydrochloride can reduce the
prevalence and severity of delirium in older adults undergoing hip fracture
repair. DESIGN: Pilot double-masked randomized placebo-controlled trial. SETTING:
Large academic medical center. PARTICIPANTS: Sixteen individuals aged 70 and
older with hip fracture. INTERVENTION: Donepezil 5 mg or placebo was randomly
allocated and initiated within 24 hours of surgery, preoperatively or
postoperatively. Daily treatment was continued for 30 days or until side effects
or the clinical situation required termination. MEASUREMENTS: All outcomes were
ascertained masked to treatment status. Information on drug tolerability and
safety was obtained from the participant, nurse, and medical record. Delirium
presence and severity were measured during daily hospital interviews and at 2, 4,
and 6 weeks after surgery after a standardized assessment using the Confusion
Assessment Method (CAM) and the Memorial Delirium Assessment Scale (MDAS).
RESULTS: Participants in the donepezil and placebo arms had similar baseline
characteristics. Participants in the donepezil arm experienced significantly more
side effects. In longitudinal models, there were no significant differences
between the donepezil and placebo arms with regard to delirium presence over time
(odds ratio = 0.9, 95% confidence interval (CI) = 0.4-2.3) or delirium severity
over time (effect size = -0.2 on 30-point MDAS scale, 95%CI = -1.5-1.2).
CONCLUSION: Participants randomized to donepezil had no significant improvement
in delirium presence or severity but experienced more side effects. Overall,
sufficient evidence was not found from this pilot study to warrant a definitive
Phase III trial.
PMID- 22091575
TI - Validation of a medical record-based delirium risk assessment.
AB - OBJECTIVES: To improve identification of patients at high risk for delirium, this
study developed a chart abstraction tool for delirium risk and validated the tool
against clinical expert diagnosis of delirium. DESIGN: Prospective cohort study.
SETTING: Tertiary Veterans Affairs hospital in New England. PARTICIPANTS: One
hundred veterans admitted to the medical service. MEASUREMENTS: While admitted,
each participant underwent serial assessments for delirium by a clinical expert.
Using the four criteria of a validated delirium prediction rule (cognitive
impairment, sensory deficit, severe illness, and dehydration), chart review terms
were selected for each criterion, and delirium risk was the sum of criteria
present (range: 0-4; 4 = worst). After discharge, a nurse blinded to the expert's
diagnosis completed the chart tool. RESULTS: The participants were mostly male
(94%) and older (mean age 81 +/- 7), and 23% developed overall delirium (14%
incident). The rate of overall delirium was 11% in participants with zero risk
factors, 18% in those with one or two, and 50% in those with three or four (P =
.01; c-statistic 0.65, 95% confidence interval (CI) = 0.54-0.76). For incident
delirium, the rates were 11%, 13%, and 25%, respectively (P = .53; c-statistic
0.56, 95% CI = 0.42-0.74). Discharge to a rehabilitation center or nursing home
increased with increasing delirium risk (0%, 18%, 60%, P = .02). CONCLUSION: A
chart abstraction tool was effective at identifying overall delirium risk but not
incident delirium risk. Although the tool cannot replace clinical assessment and
diagnosis of delirium, the use of this tool as an educational, clinical, or
quality measurement aid warrants additional study.
PMID- 22091576
TI - Matching the environment to patients with delirium: lessons learned from the
delirium room, a restraint-free environment for older hospitalized adults with
delirium.
AB - Delirium is associated with several negative outcomes and is not always
preventable. Current practices for the management of older hospitalized adults
with delirium, such as one-on-one sitters, antipsychotic medications, and
physical restraints, have limited effectiveness or potential health risks. An
alternative management model, called the Delirium Room (DR), is a four-bed
patient room (within an Acute Care for Elders (ACE) Unit) that provides 24-hour
nursing care, emphasizes nonpharmacological approaches, and is completely free of
physical restraints. This article is based on 13 years of experience at two
hospitals. The authors have found that a restraint-free environment can be
achieved; "tolerate, anticipate, and don't agitate" (the T-A-DA method) are the
core principles of the nonpharmacological approach that go beyond the traditional
strategies of management (such as reorientation); based on observational data, it
appears that negative outcomes associated with delirium, such as loss of
function, longer hospital stay, and greater mortality, can be decreased to levels
seen in individuals without delirium; and based on limited data, it appears that
the rate of falls is at least not higher in the DR than in the ACE unit overall.
The limitations of the DR model include lack of randomized controlled trials and
the inability to determine which component of the model provides its benefits.
PMID- 22091577
TI - Postoperative delirium and functional decline after noncardiac surgery.
AB - OBJECTIVES: To determine whether delirium after noncardiac surgery is associated
with functional decline 3 months postoperatively. DESIGN: Secondary analysis of a
prospective study. SETTING: Thirteen hospitals in eight countries. PARTICIPANTS:
One thousand two hundred eighteen individuals aged 60 and older undergoing
noncardiac surgery. MEASUREMENTS: Participants were interviewed before surgery
and 3 months postoperatively using six items pertaining to social and independent
function. Functional decline was determined according to a loss in function in at
least one item at the 3-month assessment from baseline. Postoperatively, a
trained interviewer assessed delirium daily using a standardized battery. The
primary outcome of this analysis was an examination of the risk of functional
decline with delirium. RESULTS: Of the 948 participants who completed functional
assessment at 3 months, 20% (n = 189) had a decline in function. In unadjusted
analysis, postoperative delirium increased the odds of functional decline (odds
ratio (OR) = 2.4, 95% confidence interval (CI) = 1.4-4.2). After adjustment for
age, sex, education, cognition, and surgery duration, delirium remained
associated with functional decline (OR = 2.1, 95% CI = 1.2-3.8). CONCLUSION:
Although considered an acute event, delirium can have lasting functional
consequences. Clinicians should give strong consideration to preoperative
delirium risk assessment, delirium prevention strategies, and delirium
surveillance programs after noncardiac surgery.
PMID- 22091578
TI - Theory of mind through the ages: older and middle-aged adults exhibit more errors
than do younger adults on a continuous false belief task.
AB - Theory of mind (ToM), or the ability to understand mental states, is a
fundamental aspect of social cognition. Previous research has documented marked
advances in ToM in preschoolers, and declines in ToM in older-aged adults. In the
present study, younger (n=37), middle-aged (n=20), and older (n=37) adults
completed a continuous false belief task measuring ToM. Middle-aged and older
adults exhibited more false belief bias than did younger adults, irrespective of
language ability, executive function, processing speed, and memory. The authors
conclude that ToM declines from younger to older adulthood, independent of age
related changes to domain-general cognitive functioning.
PMID- 22091579
TI - Age differences in valence judgments of emotional faces: the influence of
personality traits and current mood.
AB - Previous research on emotion processing revealed a positivity bias that
progressively evolves across the adult age range. This study obtained gradual
valence judgments of emotional faces across the adult age span, to see whether
this positivity bias persists when positive and negative stimuli are matched for
arousal; and whether bias relates to personality traits or to current mood. With
increasing age subjects judged negative and neutral faces less negatively.
Further, younger participants scoring high in "agreeableness" and
"conscientiousness" scales showed a positivity bias when judging positive faces,
suggesting an association of the positivity effect with trait variables.
PMID- 22091580
TI - The contribution of set switching and working memory to sentence processing in
older adults.
AB - This study evaluates the involvement of switching skills and working-memory
capacity in auditory sentence processing in older adults. The authors examined
241 healthy participants, aged 55 to 88 years, who completed four
neuropsychological tasks and two sentence-processing tasks. In addition to age
and the expected contribution of working memory, switching ability, as measured
by the number of perseverative errors on the Wisconsin Card Sorting Test, emerged
as a strong predictor of performance on both sentence-processing tasks.
Individuals with both low working-memory spans and more perseverative errors
achieved the lowest accuracy scores. These findings are consistent with
compensatory accounts of successful performance in older age.
PMID- 22091581
TI - Older adults capitalize on contextual information to guide search.
AB - Much has been learned about the age-related cognitive declines associated with
the attentional processes that utilize perceptual features during visual search.
However, questions remain regarding the ability of older adults to use scene
information to guide search processes, perhaps as a compensatory mechanism for
declines in perceptual processes. The authors had younger and older adults search
pseudorealistic scenes for targets with strong or no spatial associations. Both
younger and older adults exhibited reaction time benefits when searching for a
target that was associated with a specific scene region. Eye movement analyses
revealed that all observers dedicated most of their time to scanning target
consistent display regions and that guidance to these regions was often evident
on the initial saccade of a trial. Both the benefits and costs related to
contextual information were larger for older adults, suggesting that this
information was relied on heavily to guide search processes towards the target.
PMID- 22091582
TI - Context processing in aging: older mice are impaired in renewal of extinguished
fear.
AB - Fear conditioning, extinction, and renewal were evaluated in adult (6-month-old)
and aging (17-month-old) male C57Bl/6 mice. Mice were subjected to five tone
shock trials and later exposed to 150 tone-alone trials. Thereafter, all mice
showed little fear in the extinction context. Adult mice demonstrated return of
fear in a distinct context (renewal) but aging mice did not. Aging mice showed
normal shock sensitivity, tone fear learning, and extinction. Aging mice thus
exhibited a very selective deficit in the contextual gating of extinguished fear.
This contextual gating deficit may reflect age-related pathology in the
hippocampus and prefrontal cortex.
PMID- 22091584
TI - Experimental methods and prediction with COSMO-RS to determine partition
coefficients in complex surfactant systems.
AB - Surfactant-based separation processes are a promising alternative to conventional
organic solvent processes. A crucial parameter to describe the efficiency of such
processes is the partition coefficient between the surfactant aggregates
(micelles) and the aqueous bulk phase. In this work, several experimental methods
to determine these partition coefficients (micellar liquid chromatography,
micellar enhanced ultrafiltration, and cloud point extraction) are evaluated and
compared. In addition, these results are compared to predictions with the
thermodynamic model COSMO-RS. In particular, systems with the nonionic surfactant
TritonX-100 are studied. The partition equilibria of various solutes (pyrene,
naphthalene, phenanthrene, phenol, 3-methoxyphenol, and vanillin) and the
influence of different additives (alcohols) are investigated. All experimental
methods show very good reproducibility. Moreover, the results from different
methods are in good agreement, supplementing one another concerning the
temperature ranges. Notably, the COSMO-RS model is capable of predicting
partition coefficients between micelles and water in the investigated temperature
range and at different alcohol concentrations. The results demonstrate the
potential of the model COSMO-RS to facilitate the selection of optimized process
parameters for a given separation problem. By predicting partition equilibria in
multicomponent systems, the selection of surfactant, temperature, and appropriate
additives can be facilitated.
PMID- 22091585
TI - Guided transport of water droplets on superhydrophobic-hydrophilic patterned Si
nanowires.
AB - We present a facile method to fabricate hydrophilic patterns in superhydrophobic
Si nanowire (NW) arrays for guiding water droplets. The superhydrophobic Si NW
arrays were obtained by simple dip-coating of dodecyltrichlorosilane (DTS). The
water contact angles (CAs) of DTS-coated Si NW arrays drastically increased and
saturated at the superhydrophobic regime (water CA >= 150 degrees ) as the
lengths of NWs increased. The demonstrated superhydrophobic surfaces show an
extreme water repellent property and small CA hysteresis of less than 7 degrees ,
which enable the water droplets to easily roll off. The wettability of the DTS
coated Si NW arrays can be converted from superhydrophobic to hydrophilic via UV
enhanced photodecomposition of the DTS, and such wettability conversion was
reproducible on the same surfaces by repeating the DTS coating and
photodecomposition processes. The resulting water guiding tracks were
successfully demonstrated via selective patterning of the hydrophilic region on
superhydrophobic Si NW arrays, which could enable water droplets to move along
defined trajectories.
PMID- 22091586
TI - Stepwise effective molarities in porphyrin oligomer complexes: preorganization
results in exceptionally strong chelate cooperativity.
AB - Complexes of zinc porphyrin oligomers with multivalent ligands can be denatured
by adding a large excess of a monodentate ligand, such as quinuclidine. We have
used denaturation titrations to determine the stabilities of the complexes of a
cyclic zinc-porphyrin hexamer with multidentate ligands with two to six pyridyl
coordination sites. The corresponding complexes of linear porphyrin oligomers
were also investigated. The results reveal that the stepwise effective molarities
(EMs) for the third through sixth intramolecular coordination events with the
cyclic hexamer are extremely high (EM = 10(2)-10(3) M), whereas the values for
the linear porphyrin oligomers are modest (EM ~ 0.05 M). The speciation profiles
for the denaturation reactions demonstrate that intermediate species are not
significantly populated and that these equilibria are well described by a highly
cooperative two-state model.
PMID- 22091587
TI - Identification of mitochondrial electron transport chain-mediated NADH radical
formation by EPR spin-trapping techniques.
AB - The mitochondrial electron transport chain (ETC) is a major source of free
radical production. However, due to the highly reactive nature of radical species
and their short lifetimes, accurate detection and identification of these
molecules in biological systems is challenging. The aim of this investigation was
to determine the free radical species produced from the mitochondrial ETC by
utilizing EPR spin-trapping techniques and the recently commercialized spin-trap,
5-(2,2-dimethyl-1,3-propoxycyclophosphoryl)-5-methyl-1-pyrroline N-oxide
(CYPMPO). We demonstrate that this spin-trap has the preferential quality of
having minimal mitochondrial toxicity at concentrations required for radical
detection. In rat heart mitochondria and submitochondrial particles supplied with
NADH, the major species detected under physiological pH was a carbon-centered
radical adduct, indicated by markedly large hyperfine coupling constant with
hydrogen (a(H) > 2.0 mT). In the presence of the ETC inhibitors, the carbon
centered radical formation was increased and exhibited NADH concentration
dependency. The same carbon-centered radical could also be produced with the NAD
biosynthesis precursor, nicotinamide mononucleotide, in the presence of a
catalytic amount of NADH. The results support the conclusion that the observed
species is a complex I derived NADH radical. The formation of the NADH radical
could be blocked by hydroxyl radical scavengers but not SOD. In vitro experiments
confirmed that an NADH-radical is readily formed by hydroxyl radical but not
superoxide anion, further implicating hydroxyl radical as an upstream mediator of
NADH radical production. These findings demonstrate the identification of a novel
mitochondrial radical species with potential physiological significance and
highlight the diverse mechanisms and sites of production within the ETC.
PMID- 22091588
TI - Orienting to external versus internal regions of space: consequences of attending
in advance versus after the fact.
AB - We examined effects of knowing where to attend to-be-remembered information in
advance versus after the fact. Participants performed a visuospatial short-term
memory task with orienting cues that appeared before or after a memory display
and reported whether a probe item had appeared on the cued side. Event-related
potentials (ERPs) were recorded for cues, memory displays, and probes.
Performance was better in precued versus postcued conditions. ERPs to orienting
cues and memory displays were lateralized in relation to the direction of
attention in precued but not postcued conditions. ERPs to recognition probes were
lateralized, but this was similar between pre- and postcued conditions. Results
suggest that we can orient visuospatial attention outwardly to external events
and inwardly to remembered events alike, but knowing where to attend information
in advance gives a bigger boost to brain and behavior.
PMID- 22091589
TI - Developing predictive biomarkers for dementia of Parkinson's disease.
PMID- 22091590
TI - Charting the path for early diagnosis and prevention of Alzheimer's disease.
PMID- 22091592
TI - Eslicarbazepine acetate for partial-onset seizures.
AB - Eslicarbazepine acetate (ESL), a new voltage-gated sodium channel blocker that is
chemically related to carbamazepine and partially metabolized to oxcarbazepine,
has attracted attention as results of previous Phase II and III studies
demonstrated and confirmed efficacy and tolerability of ESL 800 and 1200 mg once
daily as add-on therapy for adult patients with drug-resistant partial-onset
seizures. In children, efficacy data point towards a dose-dependent decrease in
seizure frequency and tolerability analyses showed a low incidence of mild drug
related adverse effects at 5 and 15 mg/kg/day. The most frequently reported
adverse effects were dizziness, somnolence, headache, diplopia, nausea and
vomiting. The convenience of once-daily dosing and a short/simple titration
regimen in combination with a comparative efficacy and tolerability profile might
promote ESL as a valid alternative to the current adjunctive antiepileptic drug
therapy armamentarium for drug-resistant partial seizures in adults. Since
clinical trials in children and adolescents on ESL efficacy and safety are
ongoing and data already published are far from conclusive, the therapeutic value
of ESL in this special population has to be established in the near future.
PMID- 22091593
TI - Treating multiple sclerosis with natalizumab.
AB - Natalizumab is the first monoclonal antibody approved for the treatment of
relapsing multiple sclerosis. Pivotal trials demonstrated the efficacy of
natalizumab on clinical and paraclinical measures of disease activity and
disability progression. Although a direct comparison has not been performed yet,
natalizumab seems to be more efficacious than the currently available
immunomodulant drugs, such as IFN-beta and glatiramer acetate. Despite its
efficacy, the occurrence of an increased risk of progressive multifocal
leukoencephalopathy with the treatment, raises concerns about its widespread use
in multiple sclerosis patients. This paper provides an overview of the most
relevant results from the Phase I-IV studies on natalizumab and highlights the
challenges addressed to minimize and manage its adverse events in clinical
practice.
PMID- 22091594
TI - Lithium treatment in amyotrophic lateral sclerosis: do we have enough trials?
AB - Amyotrophic lateral sclerosis (ALS) is a rapidly progressive neurodegenerative
disorder; riluzole is marginally effective, and as a consequence a large number
of trials are regularly reported. Lithium raised marked enthusiasm based on the
report of a pilot study that suggested very positive results. Two previous trials
were negative, applying a different methodology. The reviewed article reports the
results of a third trial using a historical control group. In this trial, lithium
was detrimental to ALS progression. Two more trials testing lithium in ALS are in
progress. This study is discussed in the context of the great competitive effort
that was derived from the unsupported hope created by a false-positive
preliminary study.
PMID- 22091595
TI - Translational neuroscience in pediatric bipolar disorder.
AB - While controversial and often confounded with other forms of psychopathology,
recent studies have shown that bipolar disorder (BD) is on the rise in children
and adolescents. Research has made important strides in advancing our
understanding of the phenomenology, neural underpinnings and treatment outcomes
for BD youths. However, there is an increasing need to unite these domains to
identify potential neural effects and predictors of treatment outcome. Pavuluri
et al. have conducted such a study, evaluating the neural effects of divalproex
or risperidone for pediatric BD. The future is likely to bring more of such
studies, potentially resulting in a biomarker augmented approach to the diagnosis
and treatment of pediatric BD.
PMID- 22091597
TI - Metal attenuating therapies in neurodegenerative disease.
AB - The clinical and pathological spectrum of neurodegenerative diseases is diverse,
although common to many of these disorders is the accumulation of misfolded
proteins, with oxidative stress thought to be an important contributing mechanism
to neuronal damage. As a corollary, transition metal ion dyshomeostasis appears
to play a key pathogenic role in a number of these maladies, including the most
common of neurodegenerative diseases. In this review, studies spanning a wide
variety of neurodegenerative disorders are presented with their involvement of
transition metals compared and contrasted, including more detailed treatise in
relation to Alzheimer's disease, Parkinson's disease and prion diseases. For each
of these diseases, a discussion of the evolving scientific rationale for the
development of therapies aimed at ameliorating the detrimental effects of
transition metal dysregulation, including results from various human trials, is
then provided.
PMID- 22091598
TI - Problems and controversies in status epilepticus: a review and recommendations.
AB - Status epilepticus (SE) is a neurologic emergency that require immediate vigorous
treatment in order to prevent serious morbidity or even death. Several
investigators have suggested that the underlying etiology is the primary
determinant of outcome. We believe that this may be true in aggressively treated
SE, but not when the treatment is less than optimal. In this article, we will
discuss the factors that have been implicated in affecting SE outcomes, and
argue, on the basis of both human and experimental animal data, that aggressive
treatment is necessary and appropriate for all presentations of SE in order to
maximize the probability of a successful outcome even when the etiology suggests
a poor prognosis.
PMID- 22091599
TI - The use of recently approved antiepileptic drugs: use with caution, use in
refractory patients or use as first-line indications?
AB - Approximately 50% of patients with newly diagnosed epilepsy achieve immediate
remission, and up to 50% enter terminal remission with first-generation
antiepileptic drugs. However, 20-30% of cases are still refractory to current
treatments. This population is the target of newer antiepileptic drugs and other
compounds in development. The licensing of newer antiepileptic drugs represents
an advance in the development of more manageable products and the control of
several disturbing adverse drug reactions of the older compounds. However,
despite the development of several new antiepileptic drugs, the efficacy and
tolerability of drug treatment of epilepsy has not substantially improved in
terms of effectiveness and risk-benefit and cost-benefit profiles. Newer
antiepileptic drugs are, at best, equivalent in efficacy to their predecessors,
but some of them are more manageable and better tolerated. However, the use of a
first-generation compound at low doses in newly diagnosed patients is still
preferable because the disease can be as well-controlled and the incidence of
intolerable side effects is minimized. Newer generation compounds should be used
as alternative treatments in patients who are nonresponding to first-generation
drugs and in those for whom these drugs are contraindicated or poorly tolerated.
As an exception, some new-generation drugs are a valuable option in the presence
of comorbidities known to respond to these products or in patients with selected
epilepsy syndromes. In light of the heterogeneity and the complexity of the
mechanisms underlying epileptic seizures, the future of drug development will be
the discovery of drugs efficacious for the treatment of selected epilepsy
syndromes or, more specifically, targeting genetic defects leading to molecular
abnormalities.
PMID- 22091596
TI - Pathways towards an effective immunotherapy for Parkinson's disease.
AB - Immunizations that target specific types of immune responses are used commonly to
prevent microbial infections. However, a range of immune responses may prove
necessary to combat the ravages of neurodegenerative diseases. The goal is to
eliminate the 'root' cause of neurodegenerative disorders, misfolded aggregated
proteins, while harnessing adaptive immune responses to promote neural repair.
However, immunization strategies used to elicit humoral immune responses against
aberrant brain proteins have yielded mixed success. While specific proteins can
be cleared, the failures in halting disease progression revolve, in measure,
around adaptive immune responses that promote autoreactive T cells and, as such,
induce a meningoencephalitis, accelerating neurodegeneration. Thus, alternative
approaches for protein clearance and neural repair are desired. To this end, our
laboratories have sought to transform autoreactive adaptive immune responses into
regulatory neuroprotective cells in Parkinson's disease. In this context,
induction of immune responses against modified brain proteins serves to break
immunological tolerance, while eliciting adaptive immunity to facilitate neuronal
repair. How to harness the immune response in the setting of Parkinson's disease
requires a thorough understanding of the role of immunity in human disease and
the ways to modify such immune responses to elicit therapeutic gain. These are
discussed in this review.
PMID- 22091600
TI - Memory problems in dementia: adaptation and coping strategies and psychosocial
treatments.
AB - Memory problems are generally quite prominent in dementia and they have a
significant impact on everyday functioning. Medication developed for Alzheimer's
disease, for example, acetylcholinesterase inhibitors, can slow down the increase
of cognitive impairment for a while. In addition to pharmacotherapy, psychosocial
treatment methods are also used, some of which have a positive effect on
cognition, for example, cognitive rehabilitation, cognitive stimulation therapy
and movement therapy. However, more research is needed. This article first
describes the consequences of memory problems on the everyday life of people with
dementia and summarizes research findings on how people with dementia experience
and cope with their illness. We then discuss the most frequently applied
psychosocial treatments for cognitive problems in dementia.
PMID- 22091601
TI - Molecular PET imaging in multicenter Alzheimer's therapeutic trials: current
trends and implementation strategies.
AB - Current therapeutic approaches for Alzheimer's disease (AD) have evolved to
target specific molecular biological, metabolic and neuropathologic hallmarks of
the disease. Since these approaches are hypothesized to be most effective at the
earliest stages of the degenerative process, the ability to accurately detect and
monitor progression of AD pathology and metabolic changes in vivo may accelerate
the discovery and development of disease-modifying drugs. The use of molecular
imaging biomarkers can not only enhance diagnostic accuracy and facilitate
patient selection/stratification, but can also serve as key outcomes for clinical
trials. In this review, we discuss emerging trends in the use of PET in AD drug
development and provide a roadmap for harnessing its promise in multicenter
clinical trials.
PMID- 22091603
TI - Efficacy, tolerability, and pharmacokinetics of oxcarbazepine oral loading in
patients with epilepsy.
AB - The rapid achievement of effective levels of antiepileptic drugs (AEDs) is
required in patients with epilepsy who have a higher risk of seizures, and oral
loading of AEDs may be an important consideration in these patients. We performed
the present study to investigate the efficacy and tolerability of oral loading of
oxcarbazepine in patients with recurrent seizures, or after temporary
discontinuation of AEDs for diagnostic or presurgical evaluation of epilepsy.
Forty adult patients were studied and oxcarbazepine was administered orally at a
single loading dosage of 30 mg/kg. The plasma levels of oxcarbazepine and its
active metabolite, 10,11-dihydro-10-hydroxy-carbazepine (monohydroxy derivative,
MHD), were measured, and clinical assessment of adverse events was performed at
2, 4, 6, 8, 10, 12, 16, and 24 h after oral loading of oxcarbazepine.
Approximately two-thirds of patients reached effective levels of MHD 2 h after
receiving the oral loading, and all patients reached effective levels 4 h after
oxcarbazepine administration. Most patients maintained therapeutic MHD levels for
at least 16 h. Almost half of the patients experienced adverse events, but all
were mild to moderate in severity and resolved spontaneously within 24 h. Our
study shows that oral loading of oxcarbazepine is an effective and well-tolerated
method for rapidly achieving therapeutic levels of MHD in patients with epilepsy,
and is a useful option in selected patients with recurrent seizures, or after
temporary discontinuation of AEDs.
PMID- 22091604
TI - Review of "depression in the elderly-simulated patient small group activity".
AB - This activity teaches knowledge and skills regarding the assessment and
management of geriatric depression to second-year medical students. Students
actively participate in a standardized patient scenario with a "collateral
source," which the authors define as a relative, friend, neighbor, healthcare
power of attorney, or healthcare provider of a cognitively impaired patient who
can provide additional history that might be more accurate than that obtained
from the patient. Students also discuss proposed physical examination items,
formulate a management plan with assistance from a handout on geriatric
depression and facilitation by a faculty member, and receive feedback from the
standardized patient and a "collateral source." This activity is designed to take
c approximately 2 hours to administer to small groups of learners and emphasizes
that depression often presents atypically in older adults.
PMID- 22091605
TI - Pharmacokinetic assessment of ketanserin in the horse.
AB - The purpose of this study was to determine the pharmacokinetics (PK) of the 5
HT(2A) receptor antagonist ketanserin in healthy adult horses, and to develop a
computational model that could be used to optimize dosing. Plasma concentrations
of ketanserin were determined using liquid chromatography with mass spectrometry
after single and multiple intravenous administration in the horse. A two
compartment linear pharmacokinetic model described the plasma concentration-time
profile of ketanserin after single and multiple doses in healthy horses; the
terminal half-life was 11.5 h; steady-state volume of distribution was 10.5 L/kg;
AUC was 115 ng . h/mL; and clearance was 0.87 L/h/kg. Model simulations followed
by the examination in three healthy horses suggest 0.3 mg/kg q.8 h exhibited
linear PK and produced consistent systemic blood concentrations of ketanserin
above 3 ng/mL.
PMID- 22091609
TI - Recent fertility declines in Hong Kong: the role of the changing age structure.
AB - Abstract The birth rate in Hong Kong fell rapidly from 1961 to 1966: from 35.5
in 1961 to 25.8 in 1966 1 These rates are based on corrected estimates of
population and of births made after the 1966 census. They differ somewhat from
rates published before that, because the earlier rates had not been adjusted for
some underregistration of births and had been calculated on larger population
bases than proved to be justified by the census. - a decline of27%. Such a
decline deserves special attention, because there are only a few examples of such
trends in poor, high-fertility populations since World War II. We have just begun
to find evidence of such declines in a few of the other rapidly developing
countries of Asia: Taiwan, Korea, Singapore, and Malaysia.
PMID- 22091610
TI - Son survivorship motivation and family size in India: A computer simulation.
AB - Abstract Many sociologists and demographers have argued that the comparatively
large families of six to eight children found in rural India to-day result from
ignorance of family planning methods, from tradition, and from passive
indecision; and that large reductions in the Indian growth rate to Western levels
would occur spontaneously if each Indian family were given access to birth
control facilities, materials and information. On the other hand, it has been
maintained that large family sizes are functional for rural families in India and
other developing countries; and that they result from conscious or unconscious
choice based upon enlightened self-interest.
PMID- 22091611
TI - Fertility and nuptiality changes in Spain from the late 18th to the early 20th
century.
AB - Abstract 3.1. A regional approach often reveals features of population trends
not evident in national data. We have already pointed out that the 1768 census
followed the ecclesiastical sub-divisions of the country; therefore its
territorial data are not comparable with those derived from later enumerations.
The 1787 and 1797 censuses, on the other hand, were based on civil sub-divisions,
which can be compared, when aggregated, with later censuses of the modern
statistical era.
PMID- 22091606
TI - Mechanisms of Toxoplasma gondii persistence and latency.
AB - Toxoplasma gondii is an obligate intracellular protozoan parasite that causes
opportunistic disease, particularly in immunocompromised individuals. Central to
its transmission and pathogenesis is the ability of the proliferative stage
(tachyzoite) to convert into latent tissue cysts (bradyzoites). Encystment allows
Toxoplasma to persist in the host and affords the parasite a unique opportunity
to spread to new hosts without proceeding through its sexual stage, which is
restricted to felids. Bradyzoite tissue cysts can cause reactivated toxoplasmosis
if host immunity becomes impaired. A greater understanding of the molecular
mechanisms orchestrating bradyzoite development is needed to better manage the
disease. Here, we will review key studies that have contributed to our knowledge
about this persistent form of the parasite and how to study it, with a focus on
how cellular stress can signal for the reprogramming of gene expression needed
during bradyzoite development.
PMID- 22091612
TI - Changing vital rates and age distributions.
AB - Abstract In a time of rapid change in birth and death rates demographers need
to know the consequences of such changes for age distribution. Does the fall in
death rates tend to make the age distribution older? It certainly enables
individuals to grow older, but for population aggregates the effect depends on
the ages at which mortality improves. Coale, Stolnitz, Schwarz, Lorimer, the
United Nations and other writers have investigated trends in age-specific birth
and death rates. In particular they have demonstrated that the falling mortality
which is now nearly universal does not generally make the population older and
sometimes makes it younger. The present article contributes a technique for
further examination of this phenomenon.
PMID- 22091613
TI - Determinants of birth intervals and their means.
AB - Abstract 1. The duration of post-partum infecundity; 2. Fecundability; 3.
Duration of pregnancy.
PMID- 22091614
TI - Return migration from Canada to Britain.
AB - Abstract Statistics of migrants returning from Canada to Britain and re
registering for national insurance purposes are compared with labour force
immigrants entering Canada between 1956 and 1965. Short and long-term indices are
calculated which suggest that return migration has been increasing since 1960. A
sample survey carried out in 1962-63 distinguishes three types of returning
migrant: (a) quasi-migrants who originally planned to return to Britain; (b)
permanent repatriates who originally intended to settle in Canada but now expect
to remain in Britain; (c) transilient migrants who exhibit a high propensity to
move backwards and forwards between two or more countries without becoming
permanently rooted in anyone. The demographic, economic and social
characteristics of the three types are described. A further comparison is made
between migrants who plan to settle in Britain, those who intend to come back
again to Canada, and those who are uncertain of their future plans or who intend
to move on to a third country.
PMID- 22091615
TI - A note on the population of Iran, 1900-1966.
AB - Abstract The first national census of Iran was held in November 1956, and the
second in November 1966. Prior to 1956 the only official population records that
existed were figures for an urban headcount (held between June 1939 and August
1941) and the statistics of the Civil Registration Office (C.R.O.), which started
operations in 1928.
PMID- 22091616
TI - A note of correction with reference to parameters of the menstrual cycle and the
efficiency of rhythm methods of contraception.
AB - Abstract Owing to a misunderstanding in regard to the data sheets, all the
references to the pre- and post-ovulatory phases in Dr. Marshall's data in my
paper should be interchanged. The following is a list of conclusions which may be
drawn from that study: 1. Although the Farris Test is probably less efficient
than had formerly been thought, there is no evidence that it is biased. 2.
Within individual histories, pre-ovulatory phase variance usually exceeds post
ovulatory phase variance. 3. The variance of mean pre-ovulatory phase exceeds
that of mean post-ovulatory phase among a group of women. 4. Within a group
of women, there seems to be no appreciable correlation between mean pre-ovulatory
and mean post-ovulatory phase. 5. It is possible that there is a true
underlying positive correlation between pre- and post-ovulatory phases within a
single menstrual history. 6. The standard error of the RB.T. test was
estimated to exceed ?6 days. 7. The variances of the phases within individual
histories are probably positively correlated, so it seems that in general a
variable post-ovulatory phase is not favourable to the outcome of the practice of
rhythm.
PMID- 22091619
TI - Acquired bilateral melanosis of the neck in perimenopausal women.
AB - BACKGROUND: Acquired bilateral patchy or mottled pigmentation of the neck has
occasionally been observed. OBJECTIVE: To investigate the clinical and
histopathological characteristics of this pigmentation. METHODS: Fourteen
patients were included in the study. Patch and photopatch tests, and laboratory
tests including serum hormonal evaluation were performed. Skin biopsies were
performed on lesional skin and perilesional normal skin. RESULTS: All the
patients were women and all were perimenopausal. The lesions were characterized
by bilateral, symmetrical, brown-to-grey patchy or mottled pigmentation on the
lateral neck. There were positive photopatch results in some cases, but their
relevance was doubtful. All laboratory findings were within the normal ranges.
The histological findings showed marked accumulation of pigment in the dermis
with perivascular lymphocytic infiltration. A significantly higher expression of
melanogenesis-associated proteins and an increased number of melanocytes were
observed in the epidermis of the lesional skin. The melanin-bearing cells in the
dermis were stained with factor XIIIa or CD68, but the majority of these cells
were identified as factor XIIIa+ dermal dendrocytes. Some brown pigments were
mixed with light brown or golden brown pigment that was positive in iron
staining. CONCLUSIONS: These cases seem to represent a continuum of Riehl
melanosis. However, the principal distribution of the pigmentation is a
distinguishing feature. Any consistent predisposing factors were not established,
but there may be a role for subclinical injury or inflammation as possible
causative factors for development of the pigmentation.
PMID- 22091621
TI - Physiological and perceptual responses to affect-regulated exercise in healthy
young women.
AB - The purpose of the study was to objectively measure the exercise intensity
associated with affective responses of "good" and "fairly good." In Study 1, 8
active females completed 20 min of affect-regulated exercise to feel "good" or
"fairly good" (order counterbalanced) followed by an intensity replication
session. On-line gas analysis was used during the replication session to measure
the physiological cost of exercising. In Study 2, 10 females completed either 3
trials of exercise to feel "good" (n = 5) or 3 trials to feel "fairly good" (n =
5). Each trial consisted of an affect-regulated session followed by a replication
session. Across studies, the intensity to feel "fairly good" was significantly
higher than to feel "good." Both intensities lay close to ventilatory threshold.
The results add to evidence that women can use affect to regulate intensity and
exercise at an intensity that would confer fitness and health benefits if
maintained.
PMID- 22091622
TI - An extension of the functional cerebral systems approach to hostility: a capacity
model utilizing a dual concurrent task paradigm.
AB - Regulatory control of emotions and expressive fluency (verbal or design) have
historically been associated with the frontal lobes. Moreover, research has
demonstrated the importance of cerebral laterality with a prominent role of the
right frontal regions in the regulation of negative affect (anger, hostility) and
in the fluent production of designs rather than verbal fluency. In the present
research, participants identified with high and with low levels of hostility were
evaluated on a design fluency test twice in one experimental session. Before the
second administration of the fluency test, each participant underwent
physiological (cold pressor) stress. It was hypothesized that diminished right
frontal capacity in high-hostile men would be evident through lowered performance
on this cognitive stressor. Convergent validity of the capacity model was
supported wherein high-hostile men evidenced reduced delta magnitude over the
right frontal region after exposure to the physiological stressor but failed to
maintain consistent levels of right cerebral activation across conditions. The
results suggest an inability for high-hostile men to maintain stable levels of
cerebral activation after exposure to physiological and cognitive stress.
Moreover, low-hostiles showed enhanced cognitive performance on the design task
with lower levels of arousal (heightened delta magnitude). In contrast, reduced
arousal yielded increased executive deficits in high-hostiles as evidenced
through increased perseverative errors on the design fluency task.
PMID- 22091623
TI - Pharmaceutical price controls and minimum efficacy regulation: evidence from the
United States and Italy.
AB - OBJECTIVE: This article examines the relationship between drug price and drug
quality and how it varies across two of the most common regulatory regimes in the
pharmaceutical market: minimum efficacy standards (MES) and a mix of MES and
price control mechanisms (MES + PC). DATA SOURCES: Our primary data source is the
Tufts-New England Medical Center-Cost Effectiveness Analysis Registry which have
been merged with price data taken from MEPS (for the United States) and AIFA (for
Italy). STUDY DESIGN: Through a simple model of adverse selection we model the
interaction between firms, heterogeneous buyers, and the regulator. PRINCIPAL
FINDINGS: The theoretical analysis provides two results. First, an MES regime
provides greater incentives to produce high-quality drugs. Second, an MES + PC
mix reduces the difference in price between the highest and lowest quality drugs
on the market. CONCLUSION: The empirical analysis based on United States and
Italian data corroborates these results.
PMID- 22091624
TI - Sphingosine kinase-signaling pathway: a possible therapeutic target for post
operative cognitive dysfunction.
PMID- 22091625
TI - Immunological response to Parthenium hysterophorus in Indian Patients with
Parthenium sensitive atopic dermatitis.
AB - Parthenium hysterophorus is the leading cause of airborne contact dermatitis, a
type IV hypersensitivity reaction in India. Though there are reports of it
causing type-I hypersensitivity in atopic individuals in the form of allergic
rhinitis and asthma, there is very little information on its role in pathogenesis
of atopic dermatitis (AD), another predominately type I hypersensitivity. In the
present study, we evaluated the presence of immediate hypersensitivity to P.
hysterophorus in patients with AD and evaluated the in vitro immunological
response of P. hysterophorus SPT positive AD patients to stimulation with P
hysterophorus allergen. In 70 patients (age 15-45 years) with AD and 70 healthy
controls, who were patch test negative to P. hysterophorus, immediate
hypersensitivity to P hysterophorus was determined by skin prick test (SPT). In
SPT positive patients with AD and SPT negative controls, the absolute eosinophil
count (AEC), the total serum IgE and Parthenium specific IgE were determined and
PBMC proliferation assay to Parthenium pollen using tritiated thymidine
incorporation was done. The IL-4, IL-10, IL-2 and IFN-gamma from stimulated PBMCs
culture supernatant was also quantified using sandwich ELISA in both groups of
patients. Twenty-five (35.7%) of 70 patients with AD had a positive SPT to
Parthenium, compared to 3 (4.3%) of controls. The mean AEC, the mean total IgE
and Parthenium specific IgE were significantly elevated in SPT positive AD
patients vis-a-vis SPT negative controls. Similarly in the Parthenium specific
PBMCs proliferation assay, the stimulation index as well as the Th2 cytokine (IL
4 and IL-10) profile were significantly elevated in SPT positive AD patients vis
a-vis SPT negative controls but there was no difference in the Th1 cytokine (IL-2
and IFN-gamma) profile. Our study suggests that a third of patients with AD
demonstrated a type I hypersensitivity to P. hysterophorus with a Th2 biased
cytokine profile (IL-4 and IL-10) in culture supernatant of Parthenium stimulated
PBMCs in these patients.
PMID- 22091626
TI - A narrower scope or a clearer lens for personality? Examining sources of
observers' advantages over self-reports for predicting performance.
AB - Emerging studies have shown that observers' ratings of personality predict
performance behaviors better than do self-ratings. However, it is unclear whether
these predictive advantages stem from (a) use of observers who have a frame of
reference more closely aligned with the criterion ("narrower scope") or (b)
observers having greater accuracy than targets themselves ("clearer lens"). In a
primary study of 291 raters of 97 targets, we found predictive advantages even
when observers were personal acquaintances who knew targets only outside of the
work context. Integrating these findings with previous meta-analyses showed that
colleagues' unique perspectives did not predict incrementally beyond commonly
held trait perceptions across all raters (except for openness) and that self
raters who overestimate their agreeableness and conscientiousness perform worse
on the job. Broadly, our results suggest that observers have clearer lenses for
viewing targets' personality traits, and we discuss the theoretical implications
of these findings for studying and measuring personality.
PMID- 22091627
TI - Nurse staffing and deficiencies in the largest for-profit nursing home chains and
chains owned by private equity companies.
AB - OBJECTIVE: To compare staffing levels and deficiencies of the 10 largest U.S. for
profit nursing home chains with five other ownership groups and chain staffing
and deficiencies before and after purchase by four private equity (PE) companies.
DATA SOURCES: Facilities for the largest for-profit chains were identified
through Internet searches and company reports and matched with federal secondary
data for 2003-2008 for each ownership group. STUDY DESIGN: Descriptive statistics
and generalized estimation equation panel regression models examined staffing and
deficiencies by ownership groups in the 2003-2008 period, controlling for
facility characteristics, resident acuity, and market factors with state fixed
effects. PRINCIPAL FINDINGS: The top 10 for-profit chains had lower registered
nurse and total nurse staffing hours than government facilities, controlling for
other factors. The top 10 chains received 36 percent higher deficiencies and 41
percent higher serious deficiencies than government facilities. Other for-profit
facilities also had lower staffing and higher deficiencies than government
facilities. The chains purchased by PE companies showed little change in staffing
levels, but the number of deficiencies and serious deficiencies increased in some
postpurchase years compared with the prepurchase period. CONCLUSIONS: There is a
need for greater study of large for-profit chains as well as those chains
purchased by PE companies.
PMID- 22091628
TI - Incidence of serious upper and lower gastrointestinal events in older adults with
and without Alzheimer's disease.
AB - OBJECTIVES: To estimate and compare the incidence of serious upper and lower
gastrointestinal (GI) events in individuals aged 65 and older with and without
Alzheimer's disease (AD). DESIGN: Retrospective cohort study. SETTING:
PharMetrics, a large population-based health insurance claims database was used
for the study. PARTICIPANTS: Individuals aged 65 and older with a diagnosis of AD
(International Classification of Diseases, Ninth Revision, Clinical Modification
Code 331.0) were identified between January 1, 2003, and December 31, 2006, using
the PharMetrics database. The control cohort consisted of a random sample of
health plan enrollees matched to the AD cohort according to age, sex, location,
and index year in a 1:1 ratio. MEASURES: The outcomes of interest were serious GI
events, including ulceration, perforation, and bleeding in the upper or lower GI
tract. RESULTS: Twenty-seven thousand seventy-six individuals with AD were
identified. Approximately 66% of them were age 80 and older, and 65% were female.
Participants with AD had higher incidence of serious GI events (upper GI: AD vs
non-AD: 27.4 vs 17.1/1000 person-years, HR = 1.49, 95%CI = 1.34-1.65; lower GI:
AD vs non-AD: 9.4 vs 6.9/1000 person-years, HR = 1.26, 95%CI = 1.06-1.48). The
association was also present in participants without a history of GI bleeding
(upper GI: HR = 1.54, 95%CI = 1.37-1.73; lower GI: HR = 1.37, 95%CI = 1.14-1.64).
CONCLUSION: Participants with AD had higher incidence of serious upper and lower
GI events, compared to those without AD. Physicians should recognize the high
risk of serious GI events that exists in individuals with AD.
PMID- 22091629
TI - Use of the PleuralPort device for management of pleural effusion in six dogs and
four cats.
AB - OBJECTIVE: To describe the placement technique, complications, and outcomes
associated with use of the PleuralPort device for management of pleural effusion
in dogs and cats. STUDY DESIGN: Case Series. ANIMALS: Six dogs and 4 cats.
METHODS: Medical records of all animals with pleural effusion managed with the
PleuralPort device were reviewed. Data regarding signalment, fluid analysis,
placement technique, duration of function, duration of implantation,
complications, and outcome were collected. Owners and referring veterinarians
were contacted for follow-up information. RESULTS: Nine animals had chylous
effusion and 1 dog had pleural carcinomatosis. Eleven ports were placed with 1
cat receiving bilateral ports. Four animals developed complications. One cat
developed pneumothorax immediately after implantation and was euthanatized. In 2
dogs and 1 cat, the ports obstructed. The 6 remaining animals had functioning
ports at time of death or resolution of effusion and no longer required use of
the port. No significant port migration, irritation, or infection of the device
was reported. Excluding the cat with pneumothorax, median duration of port
function was 20 days (range 1-391), and median duration of port implantation was
391 days (range 6-723). CONCLUSIONS: The PleuralPort device is a feasible option
for the management of pleural effusion in dogs and cats.
PMID- 22091630
TI - The identification of frailty: a systematic literature review.
AB - An operational definition of frailty is important for clinical care, research,
and policy planning. The literature on the clinical definitions, screening tools,
and severity measures of frailty were systematically reviewed as part of the
Canadian Initiative on Frailty and Aging. Searches of MEDLINE from 1997 to 2009
were conducted, and reference lists of retrieved articles were pearled, to
identify articles published in English and French on the identification of
frailty in community-dwelling people aged 65 and older. Two independent reviewers
extracted descriptive information on study populations, frailty criteria, and
outcomes from the selected papers, and quality rankings were assigned. Of 4,334
articles retrieved from the searches and 70 articles retrieved from the pearling,
22 met study inclusion criteria. In the 22 articles, physical function, gait
speed, and cognition were the most commonly used identifying components of
frailty, and death, disability, and institutionalization were common outcomes.
The prevalence of frailty ranged from 5% to 58%. Despite significant work over
the past decade, a clear consensus definition of frailty does not emerge from the
literature. The definition and outcomes that best suit the unique needs of the
researchers, clinicians, or policy-makers conducting the screening determine the
choice of a screening tool for frailty. Important areas for further research
include whether disability should be considered a component or an outcome of
frailty. In addition, the role of cognitive and mood elements in the frailty
construct requires further clarification.
PMID- 22091631
TI - Fabrication of Au-Pd core-shell heterostructures with systematic shape evolution
using octahedral nanocrystal cores and their catalytic activity.
AB - By using octahedral gold nanocrystals with sizes of approximately 50 nm as the
structure-directing cores for the overgrowth of Pd shells, Au-Pd core-shell
heterostructures with systematic shape evolution can be directly synthesized.
Core-shell octahedra, truncated octahedra, cuboctahedra, truncated cubes, and
concave cubes were produced by progressively decreasing the amount of the gold
nanocrystal solution introduced into the reaction mixture containing
cetyltrimethylammonium bromide (CTAB), H(2)PdCl(4), and ascorbic acid. The core
shell structure and composition of these nanocrystals has been confirmed. Only
the concave cubes are bounded by a variety of high-index facets. This may be a
manifestation of the release of lattice strain with their thick shells at the
corners. Formation of the [CTA](2)[PdBr(4)] complex species has been identified
spectroscopically. Time-dependent UV-vis absorption spectra showed faster Pd
source consumption rates in the growth of truncated cubes and concave cubes,
while a much slower reduction rate was observed in the generation of octahedra.
The concave cubes and octahedra were used as catalysts for a Suzuki coupling
reaction. They can all serve as effective and recyclable catalysts, but the
concave cubes gave higher product yields with a shorter reaction time attributed
to their high-index surface facets. The concave cubes can also catalyze a wide
range of Suzuki coupling reactions using aryl iodides and arylboronic acids with
electron-donating and -withdrawing substituents.
PMID- 22091632
TI - Combined E7-dendritic cell-based immunotherapy and human sodium/iodide symporter
radioiodine gene therapy with monitoring of antitumor effects by bioluminescent
imaging in a mouse model of uterine cervical cancer.
AB - Using a uterine cervical cancer cell line expressing human papillomavirus (HPV)
16 E7 antigen and bioluminescent imaging (BLI), we evaluated the therapeutic
potential of combined immunotherapy using transfected dendritic cells (DC-E7) and
human sodium/iodide symporter (hNIS) radioiodine gene therapy in a xenograft
animal cancer model. Dendritic cells expressing either E7 antigen (DC-E7) or no
insert (DC-no insert) were made for immunization materials, and murine uterine
cervical cancer cell line coexpressing E7, firefly luciferase, hNIS, and EGFP
genes (TC-1/FNG) were prepared for the animal tumor model. C57BL/6 mice were
divided into five therapy groups (phosphate-buffered saline [PBS], DC-no insert,
DC-E7, I-131, and DC-E7+I-131 groups). Single therapy with either DC-E7 or I-131
induced greater retardation in tumor growth compared with PBS or DC-no insert
groups, and it resulted in some tumor-free mice (DC-E7 and I-131 groups, 40% and
20%, respectively). Combination therapy with DC-E7 and I-131 dramatically
inhibited tumor growth, thus causing complete disappearance of tumors in all
mice, and these effects were further confirmed by BLI in vivo. In conclusion,
complete disappearance of the tumor was achieved with combined DC-E7 vaccination
and hNIS radioiodine gene therapy in a mouse model with E7-expressing uterine
cervical cancer, and serial BLIs successfully demonstrated antitumor effects in
vivo.
PMID- 22091633
TI - Desktop study of occupational exposure judgments: do education and experience
influence accuracy?
AB - This study examines the impact of several experience and education determinants
on exposure judgment accuracy. The study used desktop assessments performed on
several different tasks with different exposure profiles to identify correlations
between determinants and judgment accuracy using logistic regression models. The
exposure judgments were elicited from industrial hygienists with varying levels
of experience, education, and training. Videos and written and oral information
about the exposure tasks were presented to all participants as they documented a
series of qualitative and quantitative exposure judgment probabilities in four
exposure categories. Participants (n = 77) first documented their qualitative and
then their quantitative exposure assessments after receiving the series of
sampling data points. Data interpretation tests and training in simple rules-of
thumb for data interpretation were also given to each participant to investigate
the impact of data interpretation skills on exposure judgment accuracy. Logistic
regression analysis indicated "years of exposure assessment experience" (p <
0.05), "highest EHS degree" (p < 0.05), and a participant's "data interpretation
test score" (p < 0.05) directly impacted qualitative exposure judgment accuracy.
Logistic regression models of quantitative judgment accuracy showed positive
correlation with "greater than 10 years of exposure assessment experience" (p <
0.05), "highest EHS degree" (p < 0.05), a participant's "data interpretation test
score" (p < 0.001), rules-of-thumb data interpretation training (p < 0.001), and
the number of sample data points available for a judgment (p < 0.005). Analyzing
judgments in subsets for participants with less or more than 10 years' experience
indicated additional correlations with Certified Industrial Hygienist and
Certified Safety Professional certifications, total number of task exposure
assessments, and career number of air surveys. The correlation of qualitative and
quantitative exposure judgment accuracy with "greater than 10 years experience"
supports similar research findings from other fields. The results of this study
indicate that several determinants of experience, education, and training, in
addition to the availability of sampling data, significantly impact the accuracy
of exposure assessments. The findings also suggest methods for enhancing exposure
judgment accuracy through statistical tools, mathematical exposure modeling, and
specific training.
PMID- 22091634
TI - Heat, electricity, or transportation? The optimal use of residual and waste
biomass in Europe from an environmental perspective.
AB - The optimal use of forest energy wood, industrial wood residues, waste wood,
agricultural residues, animal manure, biowaste, and sewage sludge in 2010 and
2030 was assessed for Europe. An energy system model was developed comprising 13
principal fossil technologies for the production of heat, electricity, and
transport and 173 bioenergy conversion routes. The net environmental benefits of
substituting fossil energy with bioenergy were calculated for all approximately
1500 combinations based on life cycle assessment (LCA) results. An optimization
model determines the best use of biomass for different environmental indicators
within the quantified EU-27 context of biomass availability and fossil energy
utilization. Key factors determining the optimal use of biomass are the
conversion efficiencies of bioenergy technologies and the kind and quantity of
fossil energy technologies that can be substituted. Provided that heat can be
used efficiently, optimizations for different environmental indicators almost
always indicate that woody biomass is best used for combined heat and power
generation, if coal, oil, or fuel oil based technologies can be substituted. The
benefits of its conversion to SNG or ethanol are significantly lower. For non
woody biomass electricity generation, transportation, and heating yield almost
comparable benefits as long as high conversion efficiencies and optimal
substitutions are assured. The shares of fossil heat, electricity, and
transportation that could be replaced with bioenergy are also provided.
PMID- 22091635
TI - Thermodynamic properties of arsenic compounds and the heat of formation of the As
atom from high level electronic structure calculations.
AB - Structures, vibrational frequencies, atomization energies at 0 K, and heats of
formation at 0 and 298 K are predicted for the compounds As(2), AsH, AsH(2),
AsH(3), AsF, AsF(2), and AsF(3) from frozen core coupled cluster theory
calculations performed with large correlation consistent basis sets, up through
augmented sextuple zeta quality. The coupled cluster calculations involved up
through quadruple excitations. For As(2) and the hydrides, it was also possible
to examine the impact of full configuration interaction on some of the
properties. In addition, adjustments were incorporated to account for
extrapolation to the frozen core complete basis set limit, core/valence
correlation, scalar relativistic effects, the diagonal Born-Oppenheimer
correction, and atomic spin orbit corrections. Based on our best theoretical
D(0)(As(2)) and the experimental heat of formation of As(2), we propose a revised
0 K arsenic atomic heat of formation of 68.86 +/- 0.8 kcal/mol. While generally
good agreement was found between theory and experiment, the heat of formation of
AsF(3) was an exception. Our best estimate is more than 7 kcal/mol more negative
than the single available experimental value, which argues for a re-examination
of that measurement.
PMID- 22091636
TI - Pore development in carbonized hemoglobin by concurrently generated MgO template
for activity enhancement as fuel cell cathode catalyst.
AB - Various carbon materials with a characteristic morphology and pore structure have
been produced using template methods in which a carbon-template composite is once
formed and the characteristic features derived from the template are generated
after the template removal. In this study, hemoglobin, which is a natural
compound that could be abundantly and inexpensively obtained, was used as the
carbon material source to produce a carbonaceous noble-metal-free fuel cell
cathode catalyst. Magnesium oxide was used as the template concurrently generated
with the hemoglobin carbonization from magnesium acetate mixed with hemoglobin as
the starting material mixture to enable pore development for improving the
activity of the carbonized hemoglobin for the cathodic oxygen reduction. After
removal of the MgO template, the substantially developed pores were generated in
the carbonized hemoglobin with an amorphous structure observed by total-electron
yield X-ray absorption. The extended X-ray absorption fine structure at the Fe-K
edge indicated that Fe was coordinated with four nitrogen atoms (Fe-N(4) moiety)
in the carbonized hemoglobin. The oxygen reduction activity of the carbonized
hemoglobin evaluated using rotating disk electrodes was dependent on the pore
structure. The highly developed pores led to an improved activity.
PMID- 22091637
TI - A highly reactive mononuclear non-heme manganese(IV)-oxo complex that can
activate the strong C-H bonds of alkanes.
AB - A mononuclear non-heme manganese(IV)-oxo complex has been synthesized and
characterized using various spectroscopic methods. The Mn(IV)-oxo complex shows
high reactivity in oxidation reactions, such as C-H bond activation, oxidations
of olefins, alcohols, sulfides, and aromatic compounds, and N-dealkylation. In C
H bond activation, the Mn(IV)-oxo complex can activate C-H bonds as strong as
those in cyclohexane. It is proposed that C-H bond activation by the non-heme
Mn(IV)-oxo complex does not occur via an oxygen-rebound mechanism. The
electrophilic character of the non-heme Mn(IV)-oxo complex is demonstrated by a
large negative rho value of -4.4 in the oxidation of para-substituted
thioanisoles.
PMID- 22091638
TI - Dissecting the structure, thermodynamic stability, and aggregation properties of
the A25T transthyretin (A25T-TTR) variant involved in leptomeningeal amyloidosis:
identifying protein partners that co-aggregate during A25T-TTR fibrillogenesis in
cerebrospinal fluid.
AB - Deposition of amorphous aggregates and fibrils of transthyretin (TTR) in
leptomeninges and subarachnoid vessels is a characteristic of leptomeningeal
amyloidosis (LA), a currently untreatable cerebral angiopathy. Herein, we report
the X-ray structure of the A25T homotetramer of TTR, a natural mutant described
in a patient with LA. The structure of A25T-TTR is indistinguishable from that of
wild-type TTR (wt-TTR), indicating that the difference in amyloidogenicity
between A25T-TTR and wt-TTR cannot be ascribed to gross structural differences.
Using pressure-induced dissociation of the tetramer, we show that A25T-TTR is 3
kcal/mol less stable than L55P-TTR, the most aggressive mutant of TTR described
to date. After incubation for 15 days at 37 degrees C (pH 7.3), A25T-TTR forms
mature amyloid fibrils. To mimic the environment in which TTR aggregates, we
investigated aggregation in cerebrospinal fluid (CSF). Unlike L55P-TTR, A25T-TTR
rapidly forms amyloid aggregates in CSF that incorporated several protein
partners. Utilizing a proteomics methodology, we identified 19 proteins that
copurified with A25T-TTR amyloid fibrils. We confirmed the presence of proteins
previously identified to be associated with TTR aggregates in biopsies of TTR
amyloidosis patients, such as clusterin, apolipoprotein E, and complement
proteins. Moreover, we identified novel proteins, such as blood coagulation
proteins. Overall, our results revealed the in vitro characterization of TTR
aggregation in a biologically relevant environment, opening new avenues of
investigation into the molecular mechanisms of LA.
PMID- 22091639
TI - On the resistance to extinction of fear conditioned to angry faces.
AB - The present study investigated whether, like fear conditioned to pictures of
snakes and spiders, fear conditioned to angry faces resists extinction even after
verbal instruction and removal of the shock electrode. Participants were trained
in a differential Pavlovian fear conditioning procedure with angry face or happy
face conditional stimuli (CSs). Prior to extinction, half the participants in
each group were informed that no more unconditional stimuli would be presented
and the shock electrode was removed. In the absence of this manipulation,
participants showed resistance to extinction after training with angry face CSs,
but not after training with happy face CSs. Instructed extinction and electrode
removal abolished fear conditioning regardless of the emotion expressed by the CS
faces. This finding suggests that fear conditioned to angry faces, like fear
conditioned to racial out-group faces, is more malleable than fear conditioned to
snakes and spiders.
PMID- 22091640
TI - Estimating changes in public health following implementation of hazard analysis
and critical control point in the United States broiler slaughter industry.
AB - A common approach to reducing microbial contamination has been the implementation
of a Hazard Analysis and Critical Control Point (HACCP) program to prevent or
reduce contamination during production. One example is the Pathogen Reduction
HACCP program implemented by the U.S. Department of Agriculture's Food Safety and
Inspection Service (FSIS). This program consisted of a staged implementation
between 1996 and 2000 to reduce microbial contamination on meat and poultry
products. Of the commodities regulated by FSIS, one of the largest observed
reductions was for Salmonella contamination on broiler chicken carcasses.
Nevertheless, how this reduction might have influenced the total number of
salmonellosis cases in the United States has not been assessed. This study
incorporates information from public health surveillance and surveys of the
poultry slaughter industry into a model that estimates the number of broiler
related salmonellosis cases through time. The model estimates that-following the
56% reduction in the proportion of contaminated broiler carcasses observed
between 1995 and 2000-approximately 190,000 fewer annual salmonellosis cases
(attributed to broilers) occurred in 2000 compared with 1995. The uncertainty
bounds for this estimate range from approximately 37,000 to 500,000 illnesses.
Estimated illnesses prevented, due to the more modest reduction in contamination
of 13% between 2000 and 2007, were not statistically significant. An analysis
relating the necessary magnitude of change in contamination required for
detection via human surveillance also is provided.
PMID- 22091641
TI - The characterization and comparison of Staphylococcus aureus by antibiotic
susceptibility testing, enterobacterial repetitive intergenic consensus
polymerase chain reaction, and random amplified polymorphic DNA-polymerase chain
reaction.
AB - Thirty-five of Staphylococcus aureus isolated from food raw materials and
workers' hands in a noshery were characterized using antibiotic susceptibility
testing, enterobacterial repetitive intergenic consensus-polymerase chain
reaction (ERIC-PCR), and random amplified polymorphic DNA (RAPD)-PCR. As a
similarity threshold of 90%, ERIC-PCR classified 35 S. aureus isolates into 28
ERIC types with discrimination indexes (D) of 0.984, while RAPD-PCR grouped 35 S.
aureus isolates into five clusters (A-E) showing 19 RAPD types with D of 0.949.
Four resistance patterns were observed with D of 0.826. A comparison of
characterization of S. aureus indicated a clear correlation between ERIC-PCR and
RAPD-PCR or resistance patterns in some strains. It was concluded that ERIC-PCR
method could be used for genetic diversity of S. aureus and tracing the sources
of it from the food chain.
PMID- 22091642
TI - Methodology of photic stimulation revisited: updated European algorithm for
visual stimulation in the EEG laboratory.
AB - Intermittent photic stimulation (IPS) is a common procedure performed in the
electroencephalography (EEG) laboratory in children and adults to detect abnormal
epileptogenic sensitivity to flickering light (i.e., photosensitivity). In
practice, substantial variability in outcome is anecdotally found due to the many
different methods used per laboratory and country. We believe that
standardization of procedure, based on scientific and clinical data, should
permit reproducible identification and quantification of photosensitivity. We
hope that the use of our new algorithm will help in standardizing the IPS
procedure, which in turn may more clearly identify and assist monitoring of
patients with epilepsy and photosensitivity. Our algorithm goes far beyond that
published in 1999 (Epilepsia, 1999a, 40, 75; Neurophysiol Clin, 1999b, 29, 318):
it has substantially increased content, detailing technical and logistical
aspects of IPS testing and the rationale for many of the steps in the IPS
procedure. Furthermore, our latest algorithm incorporates the consensus of
repeated scientific meetings of European experts in this field over a period of 6
years with feedback from general neurologists and epileptologists to improve its
validity and utility. Accordingly, our European group has provided herein updated
algorithms for two different levels of methodology: (1) requirements for defining
photosensitivity in patients and in family members of known photosensitive
patients and (2) requirements for tailored studies in patients with a clear
history of visually induced seizures or complaints, and in those already known to
be photosensitive.
PMID- 22091645
TI - Time-course effects of St John's wort on the pharmacokinetics of cyclosporine in
dogs: interactions between herbal extracts and drugs.
AB - To clarify the interaction between St John's wort (SJW) and cyclosporine (CsA) in
dogs, the pharmacokinetics of CsA before and during the repeated administration
of SJW were analyzed. In the SJW group, SJW (300 mg) was given orally to four
dogs every 24 h for 14 days. A single dose of CsA (5 mg/kg) was given orally 7
days before and 7 and 14 days after the initiation of the repeated administration
of SJW. In the Control group, a single dose of CsA (5 mg/kg) was given orally to
four other dogs in accordance with that in the SJW group. Blood samples from both
groups were collected, and whole-blood concentrations of CsA were determined
using high-performance liquid chromatography with UV detection. The maximum whole
blood concentration and AUC(0-infinity) of the SJW group were significantly lower
and the CL(tot) /F and V(d) /F were significantly higher than those in the
Control group 7 and 14 days after the initiation of repeated SJW. Thus, repeated
administrations of SJW affect the pharmacokinetic profiles of CsA in dogs.
Further studies are necessary to elucidate the mechanisms of interaction between
SJW and CsA in dogs.
PMID- 22091646
TI - Virus hazards from food, water and other contaminated environments.
AB - Numerous viruses of human or animal origin can spread in the environment and
infect people via water and food, mostly through ingestion and occasionally
through skin contact. These viruses are released into the environment by various
routes including water run-offs and aerosols. Furthermore, zoonotic viruses may
infect humans exposed to contaminated surface waters. Foodstuffs of animal origin
can be contaminated, and their consumption may cause human infection if the
viruses are not inactivated during food processing. Molecular epidemiology and
surveillance of environmental samples are necessary to elucidate the public
health hazards associated with exposure to environmental viruses. Whereas
monitoring of viral nucleic acids by PCR methods is relatively straightforward
and well documented, detection of infectious virus particles is technically more
demanding and not always possible (e.g. human norovirus or hepatitis E virus).
The human pathogenic viruses that are most relevant in this context are
nonenveloped and belong to the families of the Caliciviridae, Adenoviridae,
Hepeviridae, Picornaviridae and Reoviridae. Sampling methods and strategies,
first-choice detection methods and evaluation criteria are reviewed.
PMID- 22091649
TI - Infant mortality and fertility in three Bavarian villages: An analysis of family
histories from the 19th century.
AB - Abstract Family history data derived from the records of three parishes in
Bavaria provide evidence for several important demographic questions when
analysed in conjunction with information concerning the prevalent breast-feeding
practices. The results suggest strongly that breast feeding can prolong birth
intervals substantially. The evidence concerning the independent influence of
infant deaths on subsequent birth intervals is inconclusive. It is clear,
however, that even if such an influence did exist it was relatively small,
compared to the effect of lactation. In addition the results do not lend support
to the hypothesis that couples experiencing low child mortality practised family
limitation more than couples experiencing high child mortality. In all three
parishes, however, fertility appeared to influence infant mortality. Infants born
after short intervals were subject to considerably higher mortality risks than
infants following longer intervals.
PMID- 22091650
TI - Birth control and regional differentials in the Soviet Union.
AB - Abstract Following the territorial division pointed out by Urlanis into those
parts of the Soviet Union where birth control is practised and where it is not as
widespread, it is possible to ascertain the importance of different factors
bearing on fertility within each region. This study was based on data obtained
from the 1959 USSR Census of Population including information on social and
demographic characteristics of the urban and rural populations for over 150
political-administrative areas. In Central Asia, Azerbaidjan and Armenia, outside
the region of birth control, the level of fertility depends mainly on the
proportion of women who are married and also on their social position measured by
the discrepancy in the educational status of men and women. In contrast, the
birth control region of a relatively low level of fertility in urban areas, for
example, displays the characteristic of women's educational achievement most
strongly associated with the child-woman ratio. Except for the rural areas in
this region, the present study fails to support the popular hypothesis that
economic dependency of women is directly related to fertility.
PMID- 22091651
TI - Altitude, fertility and mortality in Andean countries.
AB - Abstract Using the census data for Peru, Bolivia and Ecuador, previous writers
have investigated some possible determinants of inter-regional differences in
fertility; language spoken, female participation rates, and altitude. This paper
points out the many sources of inaccuracy in the census data used. It argues that
the indicators of unusually low fertility in the highland, predominantly
Indianspeaking areas fail to control effectively for the very high levels of
infant mortality in these regions. Fragmentary survey results give some
indication of the scale of infant mortality, and appear to refute the idea that
fertility is exceptionally low in areas of high altitude. In an attempt to
explain why such high mortality rates persist in the Andean region the main
health problems of Bolivia are examined. It seems that the causes are economic
and social, rather than physiological. Unfortunately a change of policy which
reduced death rates would produce grave new social problems.
PMID- 22091652
TI - A study on the demographic impact of an IUD programme.
AB - Abstract This paper discusses and presents data obtained through various
studies and surveys on the effect of the IUD contraceptive programme in Taiwan.
It has been demonstrated that the fertility of IUD acceptors before first
acceptance was 58 % higher than that of married women in general and that, after
acceptance, it declined by about 76%. The corresponding fertility decline among
married women in general was only about %. Acceptors had had more recent births,
as indicated by their shorter 'open interval' of 20.7 months, compared with 374
months among the women in the KAP survey sample. If the fertility of IUD
acceptors had declined at the same rate as that of married women in general in
the absence of IUD, the insertion of about 4 IUDs would probably prevent one live
birth in the following year. Observation over a longer period, however, is needed
to determine the demographic effect of IUD. Data on fertility control practice
after termination, type of termination of pregnancies after first acceptance,
life-table rates by various socio-demographic characteristics of acceptors, and
the 'life expectancy' of the first segment of IUD are also presented.
PMID- 22091653
TI - Determinants of rural-urban migration in Ghana.
AB - Abstract In the 39 years between the 1921 and 1960 censuses, urban population
in Ghana multiplied by nine while the population of the whole country only
trebled. The major factor in urban growth was rural-urban migration and the
reproduction of the migrants. In 1963 a survey consisting of a systematic
sample of households in 45 rural centres, randomly chosen in Local Authority
Areas selected in accord with the regional rural population distribution,
reconstituted the rural population so as to include current migrants in the towns
as well as those remaining in rural areas. For analysis 13,748 respondents were
divided into 14 categories by ruralurban migration behaviour. At the same time a
survey of urban population provided a check on rural-urban migration data.
study of the propensity to migrate from rural to urban areas shows that this
increases with the closeness of the rural area to a large town, the population
size of the rural centre, the economic well-being of the rural household, the
number of relatives already in the urban area, the individual's level of
education, larger family size and probably lower birth rank, as well as
exhibiting specific age and sex patterns. It is shown that only a minor role is
played by occupation, conjugal condition and number of dependants. Various
interrelations between these factors are discussed, and attention is given to the
special importance of education in partially or wholly determining some of the
other factors. Census data are used to demonstrate the effect of rural-urban
migration in concentrating persons with certain characteristics in the urban
areas.
PMID- 22091654
TI - Reflections on the demographic conditions needed to establish a U.S. stationary
population growth.
AB - Abstract It is assumed that in the long run U.S. population growth will have to
cease, as otherwise life will become physically impossible. Various hypothetical
possibilities of achieving such a type of development are investigated.
Alternatives of reproduction rate trends are considered in terms of alternatives
of interactions of assumed age-specific fertility and mortality trends and these
are computed and evaluated. The various computations then indicate the nature of
childbearing attitudes and behaviour, which the 'average population' would have
to adopt in order to achieve the desired stationary population growth after a
certain period of time. On the other hand the results presented in the paper also
indicate that a certain population growth (of the order of 30-50%) and change in
age structure is inevitable in the coming 5-10 decades given the initial
childbearing behaviour.
PMID- 22091655
TI - Observations on the relationship between frequency and timing of intercourse and
the probability of conception.
AB - Abstract Several aspects of stochastic models as they relate to the monthly
probability of conception are explored. In particular a method of obtaining the
required probability distributions proceeding from a rather general description
of human behaviour is presented. The number of acts of intercourse per month is
considered as a random variable rather than being taken as a fixed constant. The
pattern of intercourse is characterized mathematically. The construction of the
probability function is presented and illustrated numerically. he Weibull
distribution is shown to illustrate considerable flexibility in describing these
differing patterns of intercourse quantitatively. The resulting probabilities of
conception vary considerably under changing parameter values even when the
expected number of acts of intercourse is taken to be nearly equal. The relevance
of these considerations is discussed.
PMID- 22091656
TI - The Mathematics of the menstrual cycle.
AB - Abstract Attempts have been made to rebut criticisms by Professor Potter of a
rhythm method of contraception described by the author. There is a correlation
between the lengths of adjacent cycles within the menstrual histories of some
women.
PMID- 22091659
TI - Eltrombopag named patient programme for patients with chronic immune
thrombocytopenia.
PMID- 22091662
TI - Kernel current source density method.
AB - Local field potentials (LFP), the low-frequency part of extracellular electrical
recordings, are a measure of the neural activity reflecting dendritic processing
of synaptic inputs to neuronal populations. To localize synaptic dynamics, it is
convenient, whenever possible, to estimate the density of transmembrane current
sources (CSD) generating the LFP. In this work, we propose a new framework, the
kernel current source density method (kCSD), for nonparametric estimation of CSD
from LFP recorded from arbitrarily distributed electrodes using kernel methods.
We test specific implementations of this framework on model data measured with
one-, two-, and three-dimensional multielectrode setups. We compare these methods
with the traditional approach through numerical approximation of the Laplacian
and with the recently developed inverse current source density methods (iCSD). We
show that iCSD is a special case of kCSD. The proposed method opens up new
experimental possibilities for CSD analysis from existing or new recordings on
arbitrarily distributed electrodes (not necessarily on a grid), which can be
obtained in extracellular recordings of single unit activity with multiple
electrodes.
PMID- 22091663
TI - Quantifying statistical interdependence, part III: N > 2 point processes.
AB - Stochastic event synchrony (SES) is a recently proposed family of similarity
measures. First, "events" are extracted from the given signals; next, one tries
to align events across the different time series. The better the alignment, the
more similar the N time series are considered to be. The similarity measures
quantify the reliability of the events (the fraction of "nonaligned" events) and
the timing precision. So far, SES has been developed for pairs of one-dimensional
(Part I) and multidimensional (Part II) point processes. In this letter (Part
III), SES is extended from pairs of signals to N > 2 signals. The alignment and
SES parameters are again determined through statistical inference, more
specifically, by alternating two steps: (1) estimating the SES parameters from a
given alignment and (2), with the resulting estimates, refining the alignment.
The SES parameters are computed by maximum a posteriori (MAP) estimation (step
1), in analogy to the pairwise case. The alignment (step 2) is solved by linear
integer programming. In order to test the robustness and reliability of the
proposed N-variate SES method, it is first applied to synthetic data. We show
that N-variate SES results in more reliable estimates than bivariate SES. Next N
variate SES is applied to two problems in neuroscience: to quantify the firing
reliability of Morris-Lecar neurons and to detect anomalies in EEG synchrony of
patients with mild cognitive impairment. Those problems were also considered in
Parts I and II, respectively. In both cases, the N-variate SES approach yields a
more detailed analysis.
PMID- 22091661
TI - Response activation impairments in schizophrenia: evidence from the lateralized
readiness potential.
AB - Previous research has demonstrated deficits in preresponse motor activity in
schizophrenia, as evidenced by a reduced lateralized readiness potential (LRP).
The LRP deficit could be due to increased activation of the incorrect response
(e.g., failure to suppress competition) or to reduced activation of the correct
response (e.g., a low-level impairment in response preparation). To distinguish
these possibilities, we asked whether the LRP impairment is increased under
conditions of strong response competition. We manipulated the compatibility of
stimulus-response mappings (Experiment 1) and the compatibility of the target
with flankers (Experiment 2). In both experiments, the patient LRP was reduced as
much under conditions of low response competition as under high competition.
These results are incompatible with a failure of patients to suppress competition
and are instead consistent with a deficit in activating the correct response.
PMID- 22091664
TI - Noise tolerance of attractor and feedforward memory models.
AB - In short-term memory networks, transient stimuli are represented by patterns of
neural activity that persist long after stimulus offset. Here, we compare the
performance of two prominent classes of memory networks, feedback-based attractor
networks and feedforward networks, in conveying information about the amplitude
of a briefly presented stimulus in the presence of gaussian noise. Using Fisher
information as a metric of memory performance, we find that the optimal form of
network architecture depends strongly on assumptions about the forms of
nonlinearities in the network. For purely linear networks, we find that
feedforward networks outperform attractor networks because noise is continually
removed from feedforward networks when signals exit the network; as a result,
feedforward networks can amplify signals they receive faster than noise
accumulates over time. By contrast, attractor networks must operate in a signal
attenuating regime to avoid the buildup of noise. However, if the amplification
of signals is limited by a finite dynamic range of neuronal responses or if noise
is reset at the time of signal arrival, as suggested by recent experiments, we
find that attractor networks can outperform feedforward ones. Under a simple
model in which neurons have a finite dynamic range, we find that the optimal
attractor networks are forgetful if there is no mechanism for noise reduction
with signal arrival but nonforgetful (perfect integrators) in the presence of a
strong reset mechanism. Furthermore, we find that the maximal Fisher information
for the feedforward and attractor networks exhibits power law decay as a function
of time and scales linearly with the number of neurons. These results highlight
prominent factors that lead to trade-offs in the memory performance of networks
with different architectures and constraints, and suggest conditions under which
attractor or feedforward networks may be best suited to storing information about
previous stimuli.
PMID- 22091666
TI - Nondegenerate piecewise linear systems: a finite Newton algorithm and
applications in machine learning.
AB - We investigate Newton-type optimization methods for solving piecewise linear
systems (PLSs) with nondegenerate coefficient matrix. Such systems arise, for
example, from the numerical solution of linear complementarity problem, which is
useful to model several learning and optimization problems. In this letter, we
propose an effective damped Newton method, PLS-DN, to find the exact (up to
machine precision) solution of nondegenerate PLSs. PLS-DN exhibits provable
semiiterative property, that is, the algorithm converges globally to the exact
solution in a finite number of iterations. The rate of convergence is shown to be
at least linear before termination. We emphasize the applications of our method
in modeling, from a novel perspective of PLSs, some statistical learning problems
such as box-constrained least squares, elitist Lasso (Kowalski & Torreesani,
2008), and support vector machines (Cortes & Vapnik, 1995). Numerical results on
synthetic and benchmark data sets are presented to demonstrate the effectiveness
and efficiency of PLS-DN on these problems.
PMID- 22091667
TI - Intrinsic adaptation in autonomous recurrent neural networks.
AB - A massively recurrent neural network responds on one side to input stimuli and is
autonomously active, on the other side, in the absence of sensory inputs. Stimuli
and information processing depend crucially on the quality of the autonomous
state dynamics of the ongoing neural activity. This default neural activity may
be dynamically structured in time and space, showing regular, synchronized,
bursting, or chaotic activity patterns. We study the influence of nonsynaptic
plasticity on the default dynamical state of recurrent neural networks. The
nonsynaptic adaption considered acts on intrinsic neural parameters, such as the
threshold and the gain, and is driven by the optimization of the information
entropy. We observe, in the presence of the intrinsic adaptation processes, three
distinct and globally attracting dynamical regimes: a regular synchronized, an
overall chaotic, and an intermittent bursting regime. The intermittent bursting
regime is characterized by intervals of regular flows, which are quite
insensitive to external stimuli, interceded by chaotic bursts that respond
sensitively to input signals. We discuss these findings in the context of self
organized information processing and critical brain dynamics.
PMID- 22091668
TI - Simple modification of Oja rule limits L1-norm of weight vector and leads to
sparse connectivity.
AB - This letter describes a simple modification of the Oja learning rule, which
asymptotically constrains the L1-norm of an input weight vector instead of the L2
norm as in the original rule. This constraining is local as opposed to commonly
used instant normalizations, which require the knowledge of all input weights of
a neuron to update each one of them individually. The proposed rule converges to
a weight vector that is sparser (has more zero weights) than the vector learned
by the original Oja rule with or without the zero bound, which could explain the
developmental synaptic pruning.
PMID- 22091669
TI - Computational advantages of reverberating loops for sensorimotor learning.
AB - When we learn something new, our brain may store the information in synapses or
in reverberating loops of electrical activity, but current theories of motor
learning focus almost entirely on the synapses. Here we show that loops could
also play a role and would bring advantages: loop-based algorithms can learn
complex control tasks faster, with exponentially fewer neurons, and avoid the
problem of weight transport. They do all this at a cost: in the presence of long
feedback delays, loop algorithms cannot control very fast movements, but in this
case, loop and synaptic mechanisms can complement each other-mixed systems
quickly learn to make accurate but not very fast motions and then gradually speed
up. Loop algorithms explain aspects of consolidation, the role of attention, and
the relapses that are sometimes seen after a task has apparently been learned,
and they make further predictions.
PMID- 22091670
TI - Spiking neural P systems with astrocytes.
AB - In a biological nervous system, astrocytes play an important role in the
functioning and interaction of neurons, and astrocytes have excitatory and
inhibitory influence on synapses. In this work, with this biological inspiration,
a class of computation devices that consist of neurons and astrocytes is
introduced, called spiking neural P systems with astrocytes (SNPA systems). The
computation power of SNPA systems is investigated. It is proved that SNPA systems
with simple neurons (all neurons have the same rule, one per neuron, of a very
simple form) are Turing universal in both generative and accepting modes. If a
bound is given on the number of spikes present in any neuron along a computation,
then the computation power of SNPA systems is diminished. In this case, a
characterization of semilinear sets of numbers is obtained.
PMID- 22091671
TI - Noninvertibility, chaotic coding, and chaotic multiplexity of synaptically
modulated neural firing.
AB - Widely accepted neural firing and synaptic potentiation rules specify a cross
dependence of the two processes, which, evolving on different timescales, have
been separated for analytic purposes, concealing essential dynamics. Here, the
morphology of the firing rates process, modulated by synaptic potentiation, is
shown to be described by a discrete iteration map in the form of a thresholded
polynomial. Given initial synaptic weights, a firing activity is triggered by
conductance. Elementary dynamic modes are defined by fixed points, cycles, and
saddles of the map, building blocks of the underlying firing code. Showing
parameter-dependent multiplicity of real polynomial roots, the map is proved to
be noninvertible. The incidence of chaos is then implied by the parameter
dependent existence of snap-back repellers. The highly patterned geometric and
statistical structures of the associated chaotic attractors suggest that these
attractors are an integral part of the neural code. It further suggests the
chaotic attractor as a natural mechanism for statistical encoding and temporal
multiplexing of neural information. The analytic findings are supported by
simulation.
PMID- 22091672
TI - European long-term care programs: lessons for community living assistance
services and supports?
AB - OBJECTIVE: To uncover lessons from abroad for Community Living Assistance
Services and Supports (CLASS), a federally run voluntary public long-term care
(LTC) insurance program created under the Accountable Care Act of 2010. DATA
SOURCES: Program administrators and policy researchers from Austria, England,
France, Germany, and the Netherlands. STUDY DESIGN: Qualitative methods focused
on key parameters of cash for care: how programs set benefit levels; project
expenditures; control administrative costs; regulate the use of benefits; and
protect workers. DATA COLLECTION/EXTRACTION METHODS: Structured discussions were
conducted during an international conference of LTC experts, followed by personal
meetings and individual correspondence. PRINCIPAL FINDINGS: Germany's self
financing mandate and tight targeting of benefits have resulted in a solvent
program with low premiums. Black markets for care are likely in the absence of
regulation; France addresses this via a unique system ensuing legal payment of
workers. CONCLUSIONS: Programs in the five countries studied have lessons, both
positive and negative, relevant to CLASS design.
PMID- 22091673
TI - IgE antibody detection in the diagnosis of hypersensitivity to neuromuscular
blocking agents.
PMID- 22091674
TI - Imaging of T cells expressing chimeric antigen receptors.
PMID- 22091675
TI - Reprogramming intestinal immunity is the answer to induced pathogenic
inflammation.
PMID- 22091676
TI - Acute graft-versus-host disease.
PMID- 22091678
TI - MKP-1 signaling translates innate signals into adaptive responses.
PMID- 22091679
TI - Mycophenolate mofetil for the induction and maintenance treatment of lupus
nephritis.
AB - Mycophenolate mofetil (MMF) inhibits purine synthesis by inhibiting inosine-5'
monophosphate dehydrogenase. Since 1995, it has been approved in the USA for the
prevention of allograft rejection in solid organ transplant patients. In the last
two decades, it has been frequently used as an immunosuppressive therapy for
numerous autoimmune conditions including lupus nephritis. Management of lupus
nephritis has been advanced by well-designed randomized clinical trials
establishing MMF as a viable alternative to established therapies such as pulse
intravenous cyclophosphamide in selected patients. This article outlines the
pharmacologic properties of MMF and summarizes recent randomized clinical trials
in lupus nephritis.
PMID- 22091680
TI - Optimizing tumor-targeting chimeric antigen receptor T cells in B-cell lymphoma
patients.
AB - Evaluation of: Savoldo B, Ramos CA, Liu E et al. CD28 costimulation improves
expansion and persistence of chimeric antigen receptor-modified T cells in
lymphoma patients. J. Clin. Invest. 121(5), 1822-1826 (2011). Chimeric antigen
receptor (CAR)-T cells are promising antitumor immunotherapies. However, there
are limited reports of persistence, tumor-homing and clinical efficacy in cancer
patients. Savoldo and colleagues used a novel approach to compare the use of
first- and second-generation tumor-specific CAR-T cells in six B-cell lymphoma
patients. They provide one of the first comparisons in human subjects and
demonstrate the superiority of second-generation CAR-T cells to expand, survive
and home to the tumor site.
PMID- 22091682
TI - Novel therapies in melanoma.
AB - The incidence of cutaneous melanoma is on the rise worldwide despite increasing
awareness and vigilance towards prevention by the lay public and health
professionals. Melanoma is easily curable by surgical excision when detected
early, but it is nearly incurable when discovered in its later stages owing to
resistance to treatment. Unfortunately, treatment options traditionally used in
melanoma have not shown a survival benefit. However, as the understanding of
tumor biology and metastatic growth evolves, new therapeutic options for
metastatic melanoma have shown impressive survival benefit. The blockade of
cytotoxic T-lymphocyte antigen 4 (CTLA-4) by use of the monoclonal antibody,
ipilimumab (YervoyTM, Bristol-Myers Squibb), produces favorable antitumor immune
system responses and was recently approved by the US FDA for use in patients with
advanced melanoma. In addition, targeting components of the MAPK pathway have
also demonstrated survival advantage in patients with BRAF-mutated melanoma and
vemurafenib (ZelborafTM, Plexxikon/Roche) was approved by the FDA in August 2011
for the first-line treatment of both metastatic and unresectable melanomas for
patients whose tumors have V600E mutations in the BRAF gene.
PMID- 22091681
TI - Use of allogeneic NK cells for cancer immunotherapy.
AB - Controversy exists as to the role that the immune system plays in cancer therapy.
While the immune system has been proposed to scavenge the body to prevent
microscopic transformation from forming cancer, it has been difficult to mount
its potential of shrinking established tumors. NK cells are components of the
innate immune system. They can recognize targets without prior sensitization,
making them ideal candidates to manipulate for therapeutic use against cancer.
Initially, autologous NK cells were directed against tumors but it was realized
that NK cells that recognize self cells are inhibited. More encouraging advances
have been made with allogeneic NK cell therapy in clinical trials to overcome
this limitation. In this article, we present developments in NK cell adoptive
immunotherapy for hematologic and solid tumor malignancies.
PMID- 22091684
TI - Sirolimus in solid organ transplantation: current therapies and new frontiers.
AB - Sirolimus (SRL) is a mammalian target of rapamycin inhibitor, which provides an
immunosuppressive effect by inhibiting cell cycle progression. The encouraging
results of combined SRL-cyclosporine therapy paved the way to further
immunosuppressant combinations. Although SRL is relatively non-nephrotoxic when
administered as monotherapy, it pharmacodynamically enhances the toxicity of
calcineurin inhibitors. Other side effects may include hyperlipidemia and
myelosuppression and less commonly wound healing impairment, proteinuria, edema
and pneumonitis. Surprisingly, SRL also showed encouraging properties as an
antiatherogenic and antineoplastic, opening a large spectrum of new potential
applications. Whether SRL can be used safely over the long term with low doses of
calcineurin inhibitors requires further study. The use of SRL as a corticosteroid
sparing agent also remains to be proven in controlled trials.
PMID- 22091683
TI - Stromal cell-based immunotherapy in transplantation.
AB - Organs are composed of parenchymal cells that characterize organ function and
nonparenchymal cells that are composed of cells in transit, as well as tissue
connective tissue, also referred to as tissue stromal cells. It was originally
thought that these tissue stromal cells provided only structural and functional
support for parenchymal cells and were relatively inert. However, we have come to
realize that tissue stromal cells, not restricted to in the thymus and lymphoid
organs, also play an active role in modulating the immune system and its response
to antigens. The recognition of these elements and the elucidation of their
mechanisms of action have provided valuable insight into peripheral immune
regulation. Extrapolation of these principles may allow us to utilize their
potential for clinical application. In this article, we will summarize a number
of tissue stromal elements/cell types that have been shown to induce
hyporesponsiveness to transplants. We will also discuss the mechanisms by which
these stromal cells create a tolerogenic environment, which in turn results in
long-term allograft survival.
PMID- 22091685
TI - Developing immunologic tolerance for transplantation at the fetal stage.
AB - Given the shortage of human organs for transplantation, the waiting lists are
increasing annually and consequently so is the time and deaths during the wait.
As most immune suppression therapy is not antigen specific and the risk of
infection tends to increase, scientists are looking for new options for
immunosuppression or immunotolerance. Tolerance induction would avoid the
complications caused by immunosupressive drugs. As such, taking into account the
experience with autoimmune diseases, one strategy could be immune modulation
induced changes in T-cell cytokine secretion or antigen therapy; however, most
clinical trials have failed. Gene transfer of MHC genes across species may be
used to induce tolerance to xenogenic solid organs. Other options are induction
of central tolerance by the establishment of mixed chimerism through
hematopoietic stem cell transplantation and the induction of 'operational
tolerance' through immunodeviation involving dendritic or Tregs. I propose that,
as the recognition and tolerance of proteins takes place in the thymus, this
organ should be the main target for immunotolerance research protocols even as
early as during the fetal development.
PMID- 22091687
TI - Is low anxiety part of the psychopathy construct?
AB - Low anxiety has traditionally been considered a feature of psychopathy, but there
has been mixed research support for this conceptualization. We investigated the
PPI-R-SF Stress Immunity subscale (a measure of low anxiety) in relation to 2
widely used self-report psychopathy scales in a sample of 346 undergraduate
students. Results indicated that PPI-R-SF Stress Immunity was nearly unrelated to
other indicators of psychopathy, showing a near-zero loading on the common
psychopathy factor defined jointly by the scales of the PPI-R-SF and SRP-III.
Stress Immunity also showed a pattern of personality and temperament correlates
much different from those of other psychopathy subscales. Finally, Stress
Immunity had a slight negative correlation with self-reports of diverse
antisocial acts. These results suggest that despite its historical importance in
the conceptualization of psychopathy, low anxiety is likely not a core feature of
psychopathy.
PMID- 22091688
TI - Differences in well-being between GPs, medical specialists, and private
physicians: the role of psychosocial factors.
AB - OBJECTIVE: To examine the well-being differences among physicians working in
different health care sectors and to test whether psychosocial stressors account
for these differences. The well-being indicators used were psychological
distress, self-rated health, and work ability. DATA SOURCES/STUDY SETTING: A
total of 2,841 randomly selected Finnish physicians (response rate 57 percent)
returned the postal questionnaire, of which 2,047 (1,241 women) fulfilled all the
participant criteria. STUDY DESIGN: This is a cross-sectional questionnaire
study. PRINCIPAL FINDINGS: General practitioners and medical specialists
experienced lower well-being than private physicians and this difference was
partly explained by differences in psychosocial stressors. CONCLUSIONS: General
practitioners and medical specialists report more problems in well-being than
private physicians. It is of particular importance to be aware of the sector
specific difficulties in work environments.
PMID- 22091689
TI - Predicting nursing home adherence to a clinical trial intervention: lessons for
the conduct of cluster randomized trials.
AB - OBJECTIVES: To describe factors predictive of nursing home (NH) adherence to a
clinical trial intervention. DESIGN: Post hoc analysis of a cluster randomized
trial (CRT) evaluating a structured communication intervention to improve nurse
physician telephone communication in NHs. SETTING: NH. PARTICIPANTS: All eligible
licensed nursing staff in all participating NHs. MEASUREMENTS: Adherence was
defined as active participation for at least 3 months of the 12-month trial. NH
characteristics hypothesized to affect trial outcomes (profit status, bed size,
nursing staff time, NH quality, and leadership turnover) were measured a priori.
The association between intervention adherence, NH characteristics and
preintervention questionnaire response rate was examined. RESULTS: Of 13
intervention NHs, seven adhered to the intervention. Three factors differentiated
adherent from nonadherent NHs: director of nursing turnover (nonadherent NHs 50%
vs adherent NHs 0%, P = .03); Centers for Medicare and Medicaid Services (CMS)
nurse staffing rating (range: 1-5) (nonadherent NHs mean 3.7 +/- 0.5 vs adherent
NHs mean 4.3 +/- 0.5), P = .048); and questionnaire response rate (nonadherent
NHs 15.6 +/- 10.0% vs adherent NHs 34.2 +/- 12.1%, P = .02). Profit status, bed
size, and number of NH deficiencies on state surveys were not significantly
associated with intervention adherence. CONCLUSION: CMS nurse staffing rating,
leadership turnover, and questionnaire response rate are associated with
adherence to a CRT intervention. Pretrial evaluation of NH staffing rating by CMS
and of response to a questionnaire can help investigators improve trial
efficiency by screening for NHs likely to adhere to a CRT intervention.
PMID- 22091690
TI - Prospective comparison of cisterna chyli ablation to pericardectomy for treatment
of spontaneously occurring idiopathic chylothorax in the dog.
AB - OBJECTIVE: Prospective comparison of cisterna chyli ablation (CCA) or
pericardectomy (PC) for chylothorax. STUDY DESIGN: Randomized prospective study.
SUBJECT POPULATION: Dogs with idiopathic chylothorax (n= 23). METHODS: Dogs were
treated by thoracic duct ligation (TDL) with either CCA (n = 12) or PC (n = 11).
Long-term outcomes, intraoperative central venous pressures (CVPs) and
pericardial histology were assessed. Dogs with persistent chylothorax were
offered retreatment by the alternative procedure. RESULTS: Ten (83%) dogs treated
by CCA-TDL and 6 (60%) treated by PC-TDL resolved their chylothorax. Retreatment
in 4 dogs resulted in resolution in 2 dogs and 2 perioperative deaths. Four dogs
developed nonchylous effusions; 2 of which resolved after initiating steroid
therapy, 1 of which was unsuccessfully treated by PC, and 1 continues to be
managed by thoracocentesis 6.5 years later. CVPs were normal in most dogs and
unaffected by PC. On histology, pericardial tissues had extensive external
surface fibrosis with mild inflammation. On follow-up (<= 6.5 years), no
recurrence of pleural effusions occurred after initial resolution. CONCLUSIONS:
CCA-TDL appears to offer improved outcomes over historical results with TDL.
Results with PC-TDL were more variable for unknown reasons. Venous pressure
measurements did not support the hypothesis that venous hypertension was involved
in chylothorax or response to therapy in these dogs.
PMID- 22091691
TI - Target family-directed exploration of scaffolds with different SAR profiles.
AB - The scaffold concept is widely applied in chemoinformatics and medicinal
chemistry to organize bioactive compounds according to common core structures or
associate compound classes with specific biological activities. A variety of
scaffold analyses have been carried out to derive statistics for scaffold
distributions, generate structural organization schemes, or identify scaffolds
that preferentially occur in given compound activity classes. Herein we further
extend scaffold analysis by identifying scaffolds that display defined SAR
profiles consisting of multiple properties. A structural relationship-based
scaffold network has been designed as the basic data structure underlying our
analysis. From network representations of scaffolds extracted from compounds
active against 32 different target families, scaffolds with different SAR
profiles have been extracted on the basis of decision trees that capture
structural and functional characteristics of scaffolds in different ways. More
than 600 scaffolds and 100 scaffold clusters were assigned to 10 SAR profiles.
These scaffold sets represent different activity and target selectivity profiles
and are provided for further SAR investigations including, for example, the
exploration of alternative analog series for a given target of target family or
the design of novel compounds on the basis of scaffold(s) with desired SAR
profiles.
PMID- 22091692
TI - A new multimodal geriatric discharge-planning intervention to prevent emergency
visits and rehospitalizations of older adults: the optimization of medication in
AGEd multicenter randomized controlled trial.
AB - OBJECTIVES: To determine whether a new multimodal comprehensive discharge
planning intervention would reduce emergency rehospitalizations or emergency
department (ED) visits for very old inpatients. DESIGN: Six-month prospective,
randomized (Zelen design), parallel-group, open-label trial. SETTING: Six acute
geriatric units (AGUs) in Paris and its surroundings. PARTICIPANTS: Six hundred
sixty-five consecutive inpatients aged 70 and older (intervention group (IG) n =
317; control group (CG) n = 348). INTERVENTION: Intervention-dedicated
geriatricians different from those in the study centers implemented the
intervention, which targeted three risk factors for preventable readmissions and
consisted of three components: comprehensive chronic medication review, education
on self-management of disease, and detailed transition-of-care communication with
outpatient health professionals. MEASUREMENTS: Emergency hospitalization or ED
visit 3 and 6 months after discharge, as assessed by telephone calls to the
participant, the caregiver, and the general practitioner and confirmed with the
hospital administrative database. RESULTS: Twenty-three percent of IG
participants were readmitted to hospital or had an ED visit 3 months after
discharge, compared with 30.5% of CG participants (P = .03); at 6 months, the
proportions were 35.3% and 40.8%, respectively (P = .15). Event-free survival was
significantly higher in the IG at 3 months (hazard ratio (HR) = 0.72, 95%
confidence interval (CI) = 0.53-0.97, P = .03) but not at 6 months (HR = 0.81,
95% CI = 0.64-1.04, P = .10). CONCLUSION: This intervention was effective in
reducing rehospitalizations and ED visits for very elderly participants 3 but not
6 months after their discharge from the AGU. Future research should investigate
the effect of this intervention of transitional care in a larger population and
in usual acute and subacute geriatric care.
PMID- 22091694
TI - A brief response.
PMID- 22091695
TI - Perceptuo-acoustic assessment of prosodic impairment in dysarthria.
AB - Dysprosody was studied in four groups of male subjects: subjects with amyotrophic
lateral sclerosis (ALS) and mild intelligibility impairment, subjects with ALS
and a more severe intelligibility loss, subjects with cerebellar disease, and
neurologically normal controls. Dysprosody was assessed with perceptual ratings
and acoustic measures pertaining to the regulation of duration, f(0), and
intensity within tone units of conversational samples. Intelligibility reduction
and prosodic disturbance were not necessarily equally impaired in all subjects,
and it is concluded that these are complementary indices of severity of
dysarthria. Compared to the neurologically normal control group, the clinical
groups tended to decrease the overall duration of tone units, produce fewer words
in a tone unit, and use smaller variations in f(0). Recommendations are offered
for the assessement of.
PMID- 22091696
TI - Speaking rate, conversational speech acts, interruption, and linguistic
complexity of 20 pre-school stuttering and non-stuttering children and their
mothers.
AB - This is the second in a series of reports concerning stuttering pre-school
children enrolled in a longitudinal study; the first was Ryan (1992).
Conversational samples of 20 stuttering and 20 non-stuttering pre-school children
and their mothers were analysed for speaking rate, conversational speech acts,
interruption, and linguistic complexity. Between-group analyses revealed few
differences between either the two children or two mother groups. Within-group
analyses indicated differences that involved conversational speech acts and
linguistic complexity. Most stuttering occurred on statements (M = 32.3%
stuttered) and questions (M = 20.9% stuttered). Stuttered and disfluent sentences
had higher Developmental Sentence Scoring (DSS) (Lee, 1974) scores (M = 10.9,
12.9, respectively) than fluent sentences (M = 7.6). Multiple correlation
analyses indicated that speaking rate of mothers (0.561) and normal disfluency of
children (0.396) were major predictor variables.
PMID- 22091697
TI - A phonological system at 2 years after cochlear implantation.
AB - This report is a description of a developing phonological system as manifested in
the productions of a prelingually deafened child approximately 2 years after
fitting with a Nucleus 22-Channel Multi-Electrode Cochlear Implant. A probe list
consisting of 23 proper nouns familiar to the child was used to elicit samples of
her speech; stimulus materials consisted of photographs of those persons (friends
and family members) whose names were included in the probe list. Analysis of the
child's productions addressed the composition of the phonetic inventory of
consonants and vowels and the presence of syllable structure and other
phonotactic constraints. Results indicated a rich inventory of speech sound
segments (among both consonants and vowels) and a lack of stringent constraints
on syllable structure and consonants permitted in specified word positions. A
further comparative analysis of correspondences with the ambient language showed
a number of patterns that are also common in the speech of children with normal
hearing.
PMID- 22091699
TI - Moving toward the circular economy: the role of stocks in the Chinese steel
cycle.
AB - As the world's largest CO(2) emitter and steel producer, China has set the
ambitious goal of establishing a circular economy which aims at reconciling
economic development with environmental protection and sustainable resource use.
This work applies dynamic material flow analysis to forecast production,
recycling, and iron ore consumption in the Chinese steel cycle until 2100 by
using steel services in terms of in-use stock per capita as driver of future
development. The whole cycle is modeled to determine possible responses of the
steel industry in light of the circular economy concept. If per-capita stock
saturates at 8-12 tons as evidence from industrialized countries suggests,
consumption may peak between 2015 and 2020, whereupon it is likely to drop by up
to 40% until 2050. A slower growing in-use stock could mitigate this peak and
hence reduce overcapacity in primary production. Old scrap supply will increase
substantially and it could replace up to 80% of iron ore as resource for steel
making by 2050. This would require advanced recycling technologies as
manufacturers of machinery and transportation equipment would have to shift to
secondary steel as well as new capacities in secondary production which could,
however, make redundant already existing integrated steel plants.
PMID- 22091700
TI - In situ synthesis of polymer-modified mesoporous carbon CMK-3 composites for CO2
sequestration.
AB - Here we report carbon-based composites polyethylenimine-mesocarbon (PEI-CMK-3)
and polyvinylamine-mesocarbon (PVA-CMK-3) that can be used to capture and rapidly
release CO(2). CO(2) uptake by the synthesized composites was determined using a
gravimetric method at 30 degrees C and 1 atm; the 39% PEI-CMK-3 composite had
~12 wt % CO(2) uptake capacity and the 37% PVA-CMK-3 composite had ~13 wt % CO(2)
uptake capacity. A desorption temperature of 75 degrees C was sufficient for
regeneration. The CO(2) uptake was the same when using 10% CO(2) in a 90% CH(4),
C(2)H(6), and C(3)H(8) mixture, underscoring this composite's efficacy for CO(2)
sequestration from natural gas.
PMID- 22091701
TI - Mechanism of S-oxygenation by a cysteine dioxygenase model complex.
AB - In this work, we present the first computational study on a biomimetic cysteine
dioxygenase model complex, [Fe(II)(LN(3)S)](+), in which LN(3)S is a tetradentate
ligand with a bis(imino)pyridyl scaffold and a pendant arylthiolate group. The
reaction mechanism of sulfur dioxygenation with O(2) was examined by density
functional theory (DFT) methods and compared with results obtained for cysteine
dioxygenase. The reaction proceeds via multistate reactivity patterns on
competing singlet, triplet, and quintet spin state surfaces. The reaction
mechanism is analogous to that found for cysteine dioxygenase enzymes (Kumar, D.;
Thiel, W.; de Visser, S. P. J. Am. Chem. Soc. 2011, 133, 3869-3882); hence, the
computations indicate that this complex can closely mimic the enzymatic process.
The catalytic mechanism starts from an iron(III)-superoxo complex and the attack
of the terminal oxygen atom of the superoxo group on the sulfur atom of the
ligand. Subsequently, the dioxygen bond breaks to form an iron(IV)-oxo complex
with a bound sulfenato group. After reorganization, the second oxygen atom is
transferred to the substrate to give a sulfinic acid product. An alternative
mechanism involving the direct attack of dioxygen on the sulfur, without
involving any iron-oxygen intermediates, was also examined. Importantly, a
significant energetic preference for dioxygen coordinating to the iron center
prior to attack at sulfur was discovered and serves to elucidate the function of
the metal ion in the reaction process. The computational results are in good
agreement with experimental observations, and the differences and similarities of
the biomimetic complex and the enzymatic cysteine dioxygenase center are
highlighted.
PMID- 22091702
TI - Estrogen-anchored pH-sensitive liposomes as nanomodule designed for site-specific
delivery of doxorubicin in breast cancer therapy.
AB - The present investigation reports the development of nanoengineered estrogen
receptor (ER) targeted pH-sensitive liposome for the site-specific intracellular
delivery of doxorubicin (DOX) for breast cancer therapy. Estrone, a bioligand,
was anchored on the surface of pH-sensitive liposome for drug targeting to ERs.
The estrone-anchored pH-sensitive liposomes (ES-pH-sensitive-SL) showed fusogenic
potential at acidic pH (5.5). In vitro cytotoxicity studies carried out on ER
positive MCF-7 breast carcinoma cells revealed that ES-pH-sensitive-SL
formulation was more cytotoxic than non-pH-sensitive targeted liposomes (ES-SL).
The flow cytometry analysis confirmed significant enhanced uptake (p < 0.05) of
ES-pH-sensitive-SL by MCF-7 cells. Intracellular delivery and nuclear
localization of the DOX was confirmed by fluorescence microscopy. The mechanism
for higher cytotoxicity shown by estrone-anchored pH-sensitive liposomal-DOX was
elucidated using reactive oxygen species (ROS) determination. The in vivo
biodistribution studies and antitumor activities of formulations were evaluated
on tumor bearing female Balb/c mice followed by intravenous administration. The
ES-pH-sensitive-SL efficiently suppressed the breast tumor growth in comparison
to both ES-SL and free DOX. Serum enzyme activities such as LDH and CPK levels
were assayed for the evaluation of DOX induced cardiotoxicity. The ES-pH
sensitive-SL accelerated the intracellular trafficking of encapsulated DOX, thus
increasing the therapeutic efficacy. The findings support that estrone-anchored
pH-sensitive liposomes could be one of the promising nanocarriers for the
targeted intracellular delivery of anticancer agents to breast cancer with
reduced systemic side effects.
PMID- 22091703
TI - A 1,3-dihydro-1,3-azaborine debuts.
AB - We present the first synthesis and characterization of a 1,3-dihydro-1,3
azaborine, a long-sought BN isostere of benzene. 1,3-Dihydro-1,3-azaborine is a
stable structural motif with considerable aromatic character as evidenced by
structural analysis and its reaction chemistry. Single crystal X-ray analysis
indicates bonding consistent with significant electron delocalization. 1,3
Dihydro-1,3-azaborines also undergo nucleophilic substitutions at boron and
electrophilic aromatic substitution reactions. In view of the versatility and
impact of aromatic compounds in the biomedical field and in materials science,
the present study further expands the available chemical space of arenes via
BN/CC isosterism.
PMID- 22091704
TI - Necessary and sufficient conditions for equality of sibling and parent-offspring
risk of a disease endophenotype in case families.
AB - A large number of linkage and association studies of complex diseases focus on
analysis of a more common or more easily measured disease endophenotype. The
motivation for this approach is that there is a pleiotropic locus common to both
the disease and the endophenotype and that this locus is a major genetic
determinant of the endophenotype. In this paper, we determine the conditions
under which the risk of the endophenotype in siblings of affected probands with
disease equals the risk of the endophenotype in the offspring (parents) of
affected parents (offspring) with disease. In doing so we prove that this
equality holds if and only if the penetrance of either the endophenotype or the
disease (but not necessarily both) is additive.
PMID- 22091705
TI - On the relationship between temperament, metacognition, and anxiety: independent
and mediated effects.
AB - The present study examined the relations between temperamental traits
distinguished in regulative theory of temperament, state anxiety, and
metacognition as postulated in self-regulatory executive function (S-REF) theory
of emotional disorder. Data analysis (n=315) consisted of independent and
mediated effect analyses. Of the six traits, briskness, emotional reactivity and
perseveration correlated significantly with both state anxiety and metacognitions
(emotional reactivity and perseveration correlated positively, and briskness -
negatively). These traits were predictors of state anxiety. Metacognition
predicted state anxiety and relationships were independent of temperament. A
mediating effect of metacognition was confirmed for the general index as well as
negative and positive belief subscales. The findings support the metacognitive
model of psychopathology and suggest that temperament is associated with
metacognitions implicated in psychopathology and may have both direct and
metacognitively mediated effects on anxiety.
PMID- 22091709
TI - Psychological sweating from glabrous and nonglabrous skin surfaces under
thermoneutral conditions.
AB - Recent experiments revealed psychological sweating to be a ubiquitous phenomenon
in passively heated individuals. Since heating potentiates sweating, and since
most research into psychological sweating was not conducted in this thermal
state, these observations required thermoneutral verification. Thermoneutral
subjects performed mental arithmetic (at 26(o) C) with psychological sweating
evaluated from nine sites (ventilated capsules, skin conductance). Discharged
sweating was evident from three glabrous sites (P < .05). However, significant
sweating was evident from two nonglabrous surfaces (P < .05), and skin
conductance increased at the volar and dorsal finger surfaces (P < .05). Each of
these changes occurred while core and skin temperatures remained stable (P >
.05). These thermoneutral observations further refute the proposition that
psychological sweating in humans is restricted to the glabrous skin surfaces.
PMID- 22091708
TI - Newly diagnosed epileptic seizures: focus on an elderly population on the French
island of Reunion in the Southern Indian Ocean.
AB - PURPOSE: To describe seizure types and risk factors among elderly people with
newly diagnosed epileptic seizures living on La Reunion, a French Island in the
Southern Indian Ocean. METHODS: We describe an elderly population with newly
diagnosed epileptic seizures using data from the EPIREUN study conducted between
July 1, 2004 and June 30, 2005. The methodology is described in detail in the
EPIREUN study report (Mignard et al., 2009). KEY FINDINGS: There were 153 single
unprovoked seizures (84.1%); their incidence was 278.1 [95% confidence interval
(CI) 237.4-325.9] per 100,000. The incidence of newly diagnosed epilepsy was
125.4 (95% CI, 99.1-158.8) per 100,000. Twenty-eight acute symptomatic seizures
occurred (15.4%); the incidence was 50.9 (95% CI 35.1-73.7) per 100,000. The
annual incidence of newly diagnosed epileptic seizure in the elderly was 330.8
(95% CI 286.1-382.6) per 100,000: 403.0 (95% CI 328.5-494.3) per 100,000 in men
and 279.6 (95% CI, 227.4-343.8) per 100,000 in women. Sex had a significant (p =
0.014) effect on incidence: elderly men had a risk ratio of 1.44 compared to
women of developing a newly diagnosed epileptic seizure. The etiology of single
unprovoked seizure was as follows: stroke, 77 cases (50.3%); cryptogenic, 36
(23.5%); alcoholism, 10 (6.6%); a combination of several causes such as
polypathology, 9 (5.9%); degenerative disease, 6 (4.0%); HIV infection, 2 (2.0%),
and undetermined causes (2.7%). Most patients (170; 93.4%) were hospitalized, and
110 (60.8%) were treated. Among patients treated, 49 (44.5%) were given sodium
valproate, 25 (22.7%) benzodiazepines, 12 (10.9%) phenytoin, 9 (8.2%)
lamotrigine, 8 (7.3%) Trileptal, and 7 (6.4%) gabapentin. SIGNIFICANCE: Our
findings show that the incidences of newly diagnosed epileptic seizures and newly
diagnosed epilepsy were high in the elderly population of La Reunion. These
incidences were significantly higher in men than in women. These results may be
attributable to the high incidence of cerebrovascular diseases and comorbidities
in this population.
PMID- 22091711
TI - From individual cell motility to collective behaviors: insights from a
prokaryote, Myxococcus xanthus.
AB - In bird flocks, fish schools, and many other living organisms, regrouping among
individuals of the same kin is frequently an advantageous strategy to survive,
forage, and face predators. However, these behaviors are costly because the
community must develop regulatory mechanisms to coordinate and adapt its response
to rapid environmental changes. In principle, these regulatory mechanisms,
involving communication between individuals, may also apply to cellular systems
which must respond collectively during multicellular development. Dissecting the
mechanisms at work requires amenable experimental systems, for example,
developing bacteria. Myxococcus xanthus, a Gram-negative delatproteobacterium, is
able to coordinate its motility in space and time to swarm, predate, and grow
millimeter-size spore-filled fruiting bodies. A thorough understanding of the
regulatory mechanisms first requires studying how individual cells move across
solid surfaces and control their direction of movement, which was recently
boosted by new cell biology techniques. In this review, we describe current
molecular knowledge of the motility mechanism and its regulation as a lead-in to
discuss how multicellular cooperation may have emerged from several layers of
regulation: chemotaxis, cell-cell signaling, and the extracellular matrix. We
suggest that Myxococcus is a powerful system to investigate collective principles
that may also be relevant to other cellular systems.
PMID- 22091712
TI - Deaths from violence in Britain: A tentative secular survey.
AB - Abstract In recent years about 7,500 persons have been killed annually in road
accidents in Britain. This 'slaughter on the roads' represents a mortality rate
of around 150 per million persons living per annum (henceforth, pMa). 1 The vast
majority of deaths occurred in accidents involving motor vehicles, 2 of which
there were approximately 14 million in Britain in 1968. 3 Four decades ago the
number ofmotor vehicles was less than one-quarter ofthat to-day (in 1934, 2.4
million): nevertheless, in the 1930's the mortality rate from motor vehicle
accidents was almost identical with that of to-day. Clearly, though road traffic
has increased and more persons expose themselves to the risks, with much longer
exposure for some, man's mastery over the motor vehicle, social as well as
technical, has correspondingly increased in recent decades. Individual vehicles
are much less likely to be involved in fatal accidents, and for those who travel
in vehicles (though conceivably not for pedestrians) the roads are no more
dangerous, despite the increased traffic.
PMID- 22091710
TI - A typical preparation of Francisella tularensis O-antigen yields a mixture of
three types of saccharides.
AB - Tularemia is a severe infectious disease in humans caused by the Gram-negative
bacterium Francisella tularensis (Ft). Because of its low infectious dose, high
mortality rate, and the threat of its large-scale dissemination in weaponized
form, development of vaccines and immunotherapeutics against Ft is essential. Ft
lipopolysaccharide (LPS), which contains the linear graded-length saccharide
component O-antigen (OAg) attached to a core oligosaccharide, has been reported
as a protective antigen. Purification of LPS saccharides of defined length and
composition is necessary to reveal the epitopes targeted by protective
antibodies. In this study, we purified saccharides from LPS preparations from
both the Ft subspecies holarctica live vaccine strain (LVS) and the virulent Ft
subspecies tularensis SchuS4 strain using liquid chromatography. We then
characterized the fractions using high-resolution mass spectrometry and tandem
mass spectrometry. Three types of saccharides were observed in both the LVS and
SchuS4 preparations: two consisting of OAg tetrasaccharide repeats attached to
one of two core oligosaccharide variants and one consisting of tetrasaccharide
repeats only (coreless). The coreless OAg oligosaccharides were shown to contain
Qui4NFm (4,6-dideoxy-4-formamido-D-glucose) at the nonreducing end and QuiNAc (2
acetamido-2,6-dideoxy-O-D-glucose) at the reducing end. Purified homogeneous
preparations of saccharides of each type will allow mapping of protective
epitopes in Ft LPS.
PMID- 22091713
TI - A Marxian-Malthusian view of poverty and development.
AB - Abstract The names of Marx and Malthus are often linked in disjunction but
never in conjunction. Nevertheless, the thesis argued in this paper is that the
two historically dominant theories of poverty, the Marxian and the Malthusian,
are not inconsistent, but complementary; that a union of the two yields a basic
fourfold typology of social classes by differential ownership of property and
differential fertility; that this typology can also be viewed as a way of
disaggregating the meaningless average of 'GNP per head' in a way which gives
social content (i.e. a distributional dimension) to the concept; that the
typology provides more satisfactory definitions of 'development' and
'overpopulation'; and that these four categories are improved, or usefully
supplemented, by replacing the flowof income by the stock of wealth in each case.
Also the universality of the typology is discussed along with some preliminary
empirical considerations.
PMID- 22091714
TI - The influence of fluctuations in economic conditions on fertility and marriage
rates, Australia, 1920-21 to 1937-38 and 1946-47 to 1966-67.
AB - Abstract It has been widely observed that both cross-sectionally and secularly
there has been a negative relationship between income or level of living and the
family size of married females. 1 At the same time the relationship between
economic indicators and current fertility with a lag of one or more years over
the business cycle has been found to be strongly positive. In fact the
persistence of the latter relationship over a wide area and varying periods led
Dr. Thomas to remark that it has been one of the most firmly based empirical
findings in any of the social sciences.
PMID- 22091715
TI - Factors affecting fertility of natives and migrants in urban Brazil.
AB - Abstract Modernization is expected to change the patterns of population growth
of developing countries. The primary reason explaining the fast rate of
population growth of these nations has been established as that of the reductions
of mortality! which marks the first stage of demographic transition. The second
stage in this process is the reduction in fertility which counterbalances lowered
mortality and therefore reduces the rate of population growth. This latter phase
has been achieved in modern industrial societies.
PMID- 22091716
TI - Migration as a means of population control.
AB - Abstract The more crowded West Indian islands lose citizens each year through
emigration, and they are small enough for an appreciable relief of population
pressure to be thereby afforded. Each year some of the inhabitants of Java move
to Sumatra and elsewhere under official sponsorship, part of the rationale for
the policy being the relief of population pressure; a recent definitive study
covers the movement in detail.
PMID- 22091717
TI - Ages at menarche and menopause: Some mediaeval authorities.
AB - Abstract It is well known that the duration of the fecund period in the female
has not been constant, in the last couple of centuries at least. Tanner(1) has
assembled the best menarche statistics currently available for Western peoples in
the nineteenth and twentieth centuries, and has concluded that the average age at
menarche has fallen fairly consistently, at a rate of four months per decade,
since c. 1830. This puts menarche somewhere between 15+ and 17+ in the second
quarter of the nineteenth century, against equivalent figures of 12+ and 14 in
the mid-twentieth. For earlier periods Tanner's methods cannot be applied because
of the lack of clinical data of the order he requires; but Backman, in a more
general survey, concluded that in classical antiquity the time of menarche was
fairly constant at around the age of fourteen and probably remained at about that
age until the beginning of the modern era, But c. 1500, or earlier, a retardation
of menarche began throughout Europe ... By the end of the eighteenth century this
process of retardation had produced the very high figure of 17.5-18 years, at
least in northern Europe. In the early nineteenth century, perhaps c. 1830, there
began a progressive decline in the age of menarche, which now seems to be
levelling out at around the age of 14.0-14.5.
PMID- 22091718
TI - Estimates of population size and growth from the 1952-54 and 1961 censuses of the
Kingdom of Nepal.
AB - Abstract The Census of Nepal conducted in 1961 conforms to the generally
accepted requirements for a national census. Some doubt could be raised about the
previous census as part of the country was enumerated in 1952 and part in 1954.
More serious doubts still could be entertained about earlier censuses, including
their very existence, though there are persistent rumours that they were carried
out every ten years or so since about the middle of the previous century. We have
only been able to lay our hands on some data in respect of four earlier censuses:
those of 1911, 1920, 1930 and 1941. Little more is available than population
totals for some of the component areas from these four censuses, and even then
the boundaries and coverage of the component parts are uncertain.
PMID- 22091719
TI - Child-spacing differentials for white and non-white couples according to
educational level of attainment for the 1/1000 sample of the United States
population in 1960.
AB - Abstract Despite previous research on the relationship between husband's or
wife's level of educational attainment and childbearing and child spacing,
relatively few data exist on couples' combined educational attainment which
compares whites and non-whites. Further, to date a systematic exploration of
available information contained on the 1/1,000 sample of the United States
population in 1960 has not been undertaken. This paper utilizes these data and
investigates the time intervals between marriage and first birth and between
subsequent events to determine what relationships exist between parity and child
spacing for white and non-white couples by level of educational attainment.
PMID- 22091720
TI - A cost-benefit model of rural to urban migration in Taiwan.
AB - Abstract Migration is a form of human behaviour which has lent itself to
careful measurement for a relatively long period of time. In 1885 Ravenstein set
forth certain empirical laws concerning the relationship of migration to age and
distance which have held up to the present. Since then an abundance of migration
data has enabled social scientists to develop more precise models relating the
volume or rate of migration to characteristics of the migrants or of the areas of
origin and destination. Prominent among these models are the gravity model, the
intervening opportunities model, a gravity type model including wage rates and
unemployment rates developed by Lowry, and the Cornell mobility model.
PMID- 22091721
TI - Plague mortality rates by age and sex in the parish of St. Botolph's without
Bishopsgate, London, 1603.
AB - Abstract The Bills of Mortality for London were instituted at least as early as
1528 but only a few figures survive before the extant annual series that begins
in 1603. Ages at death, even in broad groups of ages, are not generally available
until 1728, which is more than 50 years too late to give us any inkling of the
ages, if any, at which people were specially susceptible to plague. There are
reports, it is true, that suggest that children suffered from plague more
severely than adults, at least on certain occasions (as in 1361 or 1418 for
example), but nothing more precise. The legend of the Pied Piper of Hamelin may
be a folk-memory of a plague (possibly in 1284) that killed mainly the children
of the town, for the connection between infestation of rats and the loss of
children suggests some kind of plague outbreak. Pollitzer concludes that no
particular age group is specially liable to plague, and attributes all
differences observed to different risks of exposure. The determinants of the
severity of an outbreak would therefore be the environmental details and social
customs.
PMID- 22091722
TI - Those alleged pitfalls.
AB - Abstract The following comments apply only to those remarks of Professor
Leibenstein that can be treated very briefly.
PMID- 22091723
TI - A final remark on pitfalls.
AB - Abstract I agree that criticism is relatively easy. Further, I should like to
repeat that the contributions made by Enke and others in calculating cost-benefit
estimates have been stimulating. However, at a time when the so-called
'population explosion' has become a hot topic in the mass media and elsewhere
there is some danger of overselling the results. To me it seems that relatively
little criticism has been ventured in the past. Of course, I cannot prove this,
but I suspect that most readers have a bias (as I do) in favour of birth control,
and we seldom feel compelled to ferret out definciencies in procedures that lead
to results which are in accord with out tastes.
PMID- 22091726
TI - Targeting post-translational modifications on tau as a therapeutic strategy for
Alzheimer's disease.
AB - Alzheimer's disease (AD) is a neurodegenerative disorder that causes early memory
impairment, followed by profound progressive cognitive decline, and eventually
death. Neurofibrillary tangles (NFTs) are one of the histopathological hallmarks
of AD. NFTs are deposits of insoluble aggregates of the microtubule-binding
protein tau, left behind following neuronal loss. Intracellular aggregates of
tau, either in soluble or insoluble forms, are thought to disrupt cellular
machinery and synaptic function and ultimately lead to neuronal death. As the
ultimate pathological endpoint in AD is neuronal loss, there is significant
interest in understanding the causes of tau aggregation and deposition in the
brain as a potential therapeutic avenue for AD. Post-translational modifications
on tau are thought to be an important regulatory mechanism that may contribute to
the propensity of tau to aggregate and form NFTs. In addition to phosphorylation,
numerous other post-translational modifications have been observed on tau
protein. The mechanisms that cause aggregation of tau are unknown, but it is
likely that post-translational modifications other than phosphorylation also
regulate this process. This review will discuss several post-translational
modifications of tau and their roles in modulation of tau function and
aggregation in AD.
PMID- 22091727
TI - Characterization of an mGluR2/3 negative allosteric modulator in rodent models of
depression.
AB - There is growing evidence suggesting that antagonists of group II metabotropic
glutamate receptors (mGluR2/3) exhibit antidepressant-like properties in several
preclinical models of depression. However, all those studies have been performed
using competitive group II non-selective orthosteric antagonists. In this study
we extensively characterized a group II selective negative allosteric modulator
(4-[3-(2,6-Dimethylpyridin-4-yl)phenyl]-7-methyl-8-trifluoromethyl-1,3
dihydrobenzo[b][1,4]diazepin-2-one, namely RO4491533, Woltering et al., 2010) in
several in vitro biochemical assays and in vivo models of depression. In vitro,
RO4491533 completely blocked the glutamate-induced Ca(2+) mobilization and the
glutamate-induced accumulation in [(35)S]GTP(gammaS) binding in cells expressing
recombinant human or rat mGluR2 and in native tissues. Results from Schild plot
experiments and reversibility test at the target on both cellular and membrane
based assays confirmed the negative allosteric modulator properties of the
compound. RO4491533 was equipotent on mGluR2 and mGluR3 receptors but not active
on any other mGluRs. RO4491533 has acceptable PK properties in mice and rats, is
bioavailable following oral gavage (F = 30%) and brain-penetrant (CSF conc/total
plasma conc ratio = 0.8%). RO4491533 appeared to engage the central mGluR2 and
mGluR3 receptors since the compound reversed the hypolocomotor effect of an
mGluR2/3 orthosteric agonist LY379268 in a target-specific manner, as did the
group II orthosteric mGluR2/3 antagonist LY341495. RO4491533 and LY341495 dose
dependently reduced immobility time of C57Bl6/J mice in the forced swim test.
Also, RO4491533 and LY341495 were active in the tail suspension test in a line of
Helpless (H) mice, a putative genetic model of depression. These data suggest
that mGluR2/3 receptors are viable targets for development of novel
pharmacotherapies for depression.
PMID- 22091728
TI - Pharmacological validation of candidate causal sleep genes identified in an N2
cross.
AB - Despite the substantial impact of sleep disturbances on human health and the many
years of study dedicated to understanding sleep pathologies, the underlying
genetic mechanisms that govern sleep and wake largely remain unknown. Recently,
the authors completed large-scale genetic and gene expression analyses in a
segregating inbred mouse cross and identified candidate causal genes that
regulate the mammalian sleep-wake cycle, across multiple traits including total
sleep time, amounts of rapid eye movement (REM), non-REM, sleep bout duration,
and sleep fragmentation. Here the authors describe a novel approach toward
validating candidate causal genes, while also identifying potential targets for
sleep-related indications. Select small-molecule antagonists and agonists were
used to interrogate candidate causal gene function in rodent sleep
polysomnography assays to determine impact on overall sleep architecture and to
evaluate alignment with associated sleep-wake traits. Significant effects on
sleep architecture were observed in validation studies using compounds targeting
the muscarinic acetylcholine receptor M3 subunit (Chrm3) (wake promotion),
nicotinic acetylcholine receptor alpha4 subunit (Chrna4) (wake promotion),
dopamine receptor D5 subunit (Drd5) (sleep induction), serotonin 1D receptor
(Htr1d) (altered REM fragmentation), glucagon-like peptide-1 receptor (Glp1r)
(light sleep promotion and reduction of deep sleep), and calcium channel, voltage
dependent, T type, alpha 1I subunit (Cacna1i) (increased bout duration of slow
wave sleep). Taken together, these results show the complexity of genetic
components that regulate sleep-wake traits and highlight the importance of
evaluating this complex behavior at a systems level. Pharmacological validation
of genetically identified putative targets provides a rapid alternative to
generating knock out or transgenic animal models, and may ultimately lead towards
new therapeutic opportunities.
PMID- 22091729
TI - Phenotypic variability in a large Czech family with a dynamin 2-associated
Charcot-Marie-Tooth neuropathy.
AB - Mutations in the Dynamin 2 gene (DNM2) cause autosomal dominant centronuclear
myopathy or autosomal dominant (AD) Charcot-Marie-Tooth (CMT) disease. Here the
authors report one large Czech family with 15 members affected with an AD CMT
phenotype of extraordinary variability. Genetic linkage analysis using SNP arrays
revealed a locus of about 9.6 Mb on chromosome 19p13.1-13.2. In this critical
interval, 373 genes were located. The only gene herein known to be associated
with an intermediate type of CMT was Dynamin 2 (DNM2). Subsequent sequence
analysis of the DNM2 gene in the index patient revealed a novel missense mutation
p.Met580Thr. This missense mutation segregated with the neuropathy, indicating
the causal character of this mutation. The phenotype of CMT in this family shows
mild to moderate impairment with relatively preserved upper limbs and a very
broad range of the onset of clinical symptoms from an early onset around the age
of 12 to the late onset during the fifth decade. Electrophysiology showed an
intermediate type of peripheral neuropathy. The motor median nerve conduction
velocity varied from 36 m/s to normal values with signs of asymmetrical affection
of peripheral nerves. No additional symptoms such as cranial nerve involvement,
cataract, and signs of neutropenia or myopathy syndrome were observed in any
member of the family yet. The progression was slow with no loss of ambulation.
The authors suggest that the characterization of clinical variability in a single
family may help to direct the genetic analysis directly to the rarely observed
DNM2 mutations.
PMID- 22091730
TI - An interacting multiple model filter-based autofocus strategy for confocal time
lapse microscopy.
AB - Gene expression and other cellular processes are stochastic, thus their study
requires observing multiple events in multiple cells. Therefore, confocal
microscopy cell imaging has recently gained much interest. In time-lapse imaging,
adjustments are needed at short intervals to compensate for focus drift. There
are several automated methods for this purpose. In general, before acquiring
higher resolution images, software-based autofocus algorithms require a set of
low-resolution images along the z-axis to determine the plane for which a
predefined focusing function is maximized. These algorithms require 10-100 z
slices each time, and there is no fixed number or upper limit of required z
slices that ensures optimal focusing. The higher is this number, the stronger is
photo bleaching, hampering the feasibility of long-time series measurements. We
propose a new focusing strategy in time-lapse imaging. The algorithm relies on
the nature and predictability of the focus drift. We first show that the focus
drift curve is predictable within a small error bound in standard experimental
setups. We, then, exploit the interacting multiple model filter algorithm to
predict the drift at time, t, based on the measurement at time t-1. This allows a
drastic reduction of the number of required z-slices for focus drift correction,
largely overcoming the problem of photo bleaching. In addition, we propose a new
set of functions for focusing in time-lapse imaging, derived from preexisting
ones. We demonstrate the method's efficiency in time-lapse imaging of Escherichia
coli cells expressing MS2d-GFP tagged RNA molecules.
PMID- 22091731
TI - Bilobetin ameliorates insulin resistance by PKA-mediated phosphorylation of
PPARalpha in rats fed a high-fat diet.
AB - BACKGROUND AND PURPOSE: The amelioration of insulin resistance by bilobetin is
closely related to its hypolipidaemic effect. The aim of the present study was to
determine the insulin-sensitizing mechanism of bilobetin by elucidating its
effect on lipid metabolism. EXPERIMENTAL APPROACH: Rats fed a high-fat diet were
treated with bilobetin for either 4 or 14 days before applying a
hyperinsulinaemic-euglycaemic clamp. Triglyceride and fatty acids labelled with
radioactive isotopes were used to track the transportation and the fate of lipids
in tissues. The activity of lipid metabolism-related enzymes and beta-oxidation
rate were measured. Western blot was used to investigate the phosphorylation,
translocation and expression of PPARalpha in several tissues and cultured cells.
The location of amino acid residues subjected to phosphorylation in PPARalpha was
also studied. KEY RESULTS: Bilobetin ameliorated insulin resistance, increased
the hepatic uptake and oxidation of lipids, reduced very-low-density lipoprotein
triglyceride secretion and blood triglyceride levels, enhanced the expression and
activity of enzymes involved in beta-oxidation and attenuated the accumulation of
triglycerides and their metabolites in tissues. Bilobetin also increased the
phosphorylation, nuclear translocation and activity of PPARalpha accompanied by
elevated cAMP level and PKA activity. Threonine-129-alanine and/or serine-163
alanine mutations on the PPARalpha genes and PKA inhibitors prevented the effects
of bilobetin on PPARalpha. However, cells overexpressing PKA appeared to
stimulate the phosphorylation, nuclear translocation and activity of PPARalpha.
CONCLUSIONS AND IMPLICATIONS: Bilobetin treatment ameliorates hyperlipidaemia,
lipotoxicity and insulin resistance in rats by stimulating PPARalpha-mediated
lipid catabolism. PKA activation is crucial for this process.
PMID- 22091732
TI - Costs of addressing heroin addiction in Malaysia and 32 comparable countries
worldwide.
AB - OBJECTIVE: Develop and apply new costing methodologies to estimate costs of
opioid dependence treatment in countries worldwide. DATA SOURCES/STUDY SETTING:
Micro-costing methodology developed and data collected during randomized
controlled trial (RCT) involving 126 patients (July 2003-May 2005) in Malaysia.
Gross-costing methodology developed to estimate costs of treatment replication in
32 countries with data collected from publicly available sources. STUDY DESIGN:
Fixed, variable, and societal cost components of Malaysian RCT micro-costed and
analytical framework created and employed for gross-costing in 32 countries
selected by three criteria relative to Malaysia: major heroin problem, geographic
proximity, and comparable gross domestic product (GDP) per capita. PRINCIPAL
FINDINGS: Medication, and urine and blood testing accounted for the greatest
percentage of total costs for both naltrexone (29-53 percent) and buprenorphine
(33-72 percent) interventions. In 13 countries, buprenorphine treatment could be
provided for under $2,000 per patient. For all countries except United Kingdom
and Singapore, incremental costs per person were below $1,000 when comparing
buprenorphine to naltrexone. An estimated 100 percent of opiate users in Cambodia
and Lao People's Democratic Republic could be treated for $8 and $30 million,
respectively. CONCLUSIONS: Buprenorphine treatment can be provided at low cost in
countries across the world. This study's new costing methodologies provide tools
for health systems worldwide to determine the feasibility and cost of similar
interventions.
PMID- 22091733
TI - Exposure to acute stress is associated with attenuated sweet taste.
AB - This study examined the effects of stress on taste perception. Participants (N =
38; 21 women) completed two laboratory sessions: one stress (public speaking,
math, and cold pressor) and one control rest session. The taste perception test
was conducted at the end of each session and included rating the intensity and
pleasantness of sweet, salty, sour, and savory solutions at suprathreshold
concentrations. Cardiovascular, hormonal, and mood measures were collected
throughout the sessions. Participants showed the expected changes in
cardiovascular, hormonal, and mood measures in response to stress. Reported
intensity of the sweet solution was significantly lower on the stress day than on
the rest day. Cortisol level poststress predicted reduced intensity of salt and
sour, suggesting that stress-related changes in adrenocortical activity were
related to reduced taste intensity. Results indicate that acute stress may alter
taste perception, and ongoing research investigates the extent to which these
changes mediate effects of stress on appetite.
PMID- 22091734
TI - Forces applied to the maxillary incisors by video laryngoscopes and the Macintosh
laryngoscope.
AB - BACKGROUND: Modern video laryngoscopes (VLSs) provide a superior view of the
glottis, facilitating easier intubations. This study evaluates the forces applied
to the maxillary incisors when using various VLSs and a Macintosh blade. METHODS:
Fifty consecutive surgery patients were randomly assigned to receive laryngoscopy
from a pair of four blades investigated in the study - the VLS GlideScope((r))
(Verathon Inc., Bothell, WA, USA), V-MacTM Storz((r)) (Karl Storz, Tuttlingen,
Germany), and McGrathTM (Aircraft Medical, Edinburgh, United Kingdom); and the
classic Macintosh blade also from Storz((r)) (Karl Storz). An endotracheal tube
(ETT) was brought into position anterior to the vocal cords, with actual
intubation carried out only with the second of the laryngoscopes. Sensors
measured the forces directly applied to the patient's maxillary incisors while
inserting the ETT. Other common metrics of intubation difficulty (e.g. Mallampati
grade, Cormack-Lehane grade, and time) were also recorded. RESULTS: Only one
patient was not intubated within the standard study parameters and was converted
to the hospital protocols for difficult intubations. The forces applied to the
maxillary incisors were significantly greater with the Macintosh blade compared
with all VLSs. There were no differences between the VLSs with regard to the
forces. Patient characteristics, including Mallampati grade, were not predictive
of the forces applied. CONCLUSIONS: All VLSs considered were safer for the
patient than was the Macintosh blade in terms of the forces applied to the
maxillary teeth, time, number of insertion attempts, and view achieved of the
glottic arch. There is a small, but significant, difference in the time and
number of insertion attempts required during laryngoscopy with the different
VLSs. There was no difference in the forces applied. The geometry of the
respective blades may be an important component in the ease of laryngoscopy.
PMID- 22091735
TI - Interaction terms in nonlinear models.
AB - OBJECTIVES: To explain the use of interaction terms in nonlinear models. STUDY
DESIGN: We discuss the motivation for including interaction terms in multivariate
analyses. We then explain how the straightforward interpretation of interaction
terms in linear models changes in nonlinear models, using graphs and equations.
We extend the basic results from logit and probit to difference-in-differences
models, models with higher powers of explanatory variables, other nonlinear
models (including log transformation and ordered models), and panel data models.
EMPIRICAL APPLICATION: We show how to calculate and interpret interaction effects
using a publicly available Stata data set with a binary outcome. Stata 11 has
added several features which make those calculations easier. LIMDEP code also is
provided. CONCLUSIONS: It is important to understand why interaction terms are
included in nonlinear models in order to be clear about their substantive
interpretation.
PMID- 22091736
TI - Modified ear canal ablation and lateral bulla osteotomy for management of otitis
media in an alpaca.
AB - OBJECTIVE: To report surgical treatment of severe otitis media in an alpaca by a
modification of a subtotal ear canal ablation and lateral bulla osteotomy
technique used in dogs. STUDY DESIGN: Case report. ANIMALS: An 11-week-old female
alpaca cria. METHODS: The cria had a 2-week history of right otitis media,
nonresponsive to medical treatment, as well as right facial nerve paralysis, and
a melting corneal ulcer of the right eye. Otitis media was confirmed by computed
tomography. Right subtotal ear canal ablation and lateral bulla osteotomy were
performed using a modification of a technique reported in dogs. RESULTS: There
were no surgical complications and the alpaca was discharged from the hospital 5
days later. At 10 months, moderate motor function had been restored to the pinna
with the ear standing partially erect. The otitis had resolved, and the alpaca
was reportedly well integrated into the herd. CONCLUSION: Subtotal ear canal
ablation and lateral bulla osteotomy, a technique modified from that performed in
dogs, were successful in providing complete clinical resolution of otitis media
in an alpaca.
PMID- 22091737
TI - Quantification of subfamily I.2.C catechol 2,3-dioxygenase mRNA transcripts in
groundwater samples of an oxygen-limited BTEX-contaminated site.
AB - Low dissolved oxygen concentration of subsurface environments is a limiting
factor for microbial aromatic hydrocarbon degradation, and to date, there are
only a limited number of available reports on functional genes and microbes that
take part in the degradation of aromatic hydrocarbons under hypoxic conditions.
Recent discoveries shed light on the prevalence of subfamily I.2.C catechol 2,3
dioxygenases in petroleum hydrocarbon contaminated hypoxic groundwaters, and
their considerable environmental importance was suggested. Here, we report on a
Hungarian aromatic hydrocarbon (methyl-substituted benzene derivatives, mostly
xylenes) contaminated site where we investigated this presumption. Groundwater
samples were taken from the center and the edge of the contaminant plume and
beyond the plume. mRNA transcripts of subfamily I.2.C catechol 2,3-dioxygenases
were detected in considerable amounts in the contaminated samples by qPCR
analysis, while activity of subfamily I.2.A, which includes the largest group of
extradiol dioxygenases described by culture-dependent studies and thought to be
widely distributed in BTEX-contaminated environments, was not observed. Bacterial
community structure analyses showed the predominance of genus Rhodoferax
related species in the contaminated samples.
PMID- 22091738
TI - Community aging in place, advancing better living for elders: a bio-behavioral
environmental intervention to improve function and health-related quality of life
in disabled older adults.
AB - OBJECTIVES: To determine effect size and acceptability of a multicomponent
behavior and home repair intervention for low-income disabled older adults.
DESIGN: Prospective randomized controlled pilot trial. SETTING: Participants'
homes. PARTICIPANTS: Forty low-income older adults with difficulties in one or
more activities of daily living (ADLs) or two or more instrumental activities of
daily living (IADLs). INTERVENTION: The Community Aging in Place, Advancing
Better Living for Elders (CAPABLE), coordinated occupational therapy, nursing,
and handyman visits, was compared with attention-control visits. The intervention
consisted of up to six visits with an occupational therapist, up to four visits
with a nurse, and an average of $1,300 in handyman repairs and modifications.
Each intervention participant received all components of the intervention
clinically individualized to risk profile and goals. Each attention-control
participant received the same number of visits as the intervention participants,
involving sedentary activities of their choice. MEASUREMENT: PRIMARY OUTCOME:
difficulty in performing ADLs and IADLs. SECONDARY OUTCOMES: health-related
quality of life and falls efficacy. RESULTS: Thirty-five of 40 adults (87%)
completed the 6-month trial, and 93% and 100% of the control and intervention
group, respectively, stated that the study benefited them. The intervention group
improved on all outcomes. When comparing mean change in the intervention group
with mean change in the control group from baseline to follow-up, the CAPABLE
intervention had effect sizes of 0.63 for reducing difficulty in ADLs, 0.62 for
reducing difficulty in IADLs, 0.89 for quality of life, and 0.55 for falls
efficacy. CONCLUSION: The CAPABLE intervention was acceptable to participants and
feasible to provide and showed promising results, suggesting that this
multicomponent intervention to reduce disability should be evaluated in a larger
trial.
PMID- 22091739
TI - Adolescent substance use groups: antecedent and concurrent personality
differences in a longitudinal study.
AB - This study attempted to extend Shedler and Block's (1990) influential study,
which found that adolescent drug experimenters had the healthiest personality
functioning compared to abstainers and frequent users. Using a prospective
design, we examined the relationship between antecedent and concurrent
personality and age-18 substance use in a community sample of 1,298 twins (96%
Caucasian, 49% male). Personality measures at ages 11 and 18 assessed positive
emotionality (agentic and communal), negative emotionality, and constraint.
Substance use groups-abstainers, experimenters, and problem users-were created at
age 18. Age-18 substance use groups differed in age-11 and age-18 constraint such
that problem users were lower than experimenters, who were lower than abstainers.
Age-18 substance use groups did not differ in age-18 positive emotionality.
However, abstainers were significantly lower than experimenters in communal
positive emotionality, whereas female abstainers scored higher in agentic
positive emotionality than female experimenters, who scored higher than female
problem users. Experimenters were significantly lower in negative emotionality
than problem users. Our findings are inconsistent with the notion that
experimenters had the healthiest personality functioning and instead suggest
different strengths and weaknesses for each group. Future studies should examine
agentic and communal positive emotionality separately.
PMID- 22091741
TI - Relationship between current psychological symptoms and future risk of asthma
outcomes: a 12-month prospective cohort study.
AB - BACKGROUND: Subjects with asthma are more susceptible to psychological symptoms,
but it is uncertain whether psychological symptoms are linked to future risk of
asthma outcomes. OBJECTIVE: To investigate the relationship between current
psychological symptoms and future risk of asthma outcomes. METHODS: We conducted
a prospective cohort study with a 12-month follow-up period of 297 patients with
asthma. Psychological symptoms, lung function, asthma control test, and Asthma
Quality of Life Questionnaire at baseline were assessed. Asthma outcomes
including exacerbations, unplanned visits, emergency visits, hospital admissions,
intensive care unit admissions, and length of hospital stays were monitored
monthly. The time to the first asthma outcomes was analyzed. Furthermore, the
association between psychological symptoms and future risk of asthma outcomes was
calculated as adjusted relative risk (RR) using logistic regression models.
RESULTS: The asthma patients were assigned to one of three groups: neither
anxiety nor depression symptoms (NAD, n = 102), either anxiety or depression
symptoms (A/D, n = 68), or anxiety and depression symptoms (AD, n = 120).
Logistic regression models indicated that asthma patients in the AD group, but
not the A/D group, had an increased adjusted RR for unplanned visits and
emergency visits (RR = 2.33, 95% confidence interval (CI) = [1.50, 3.61]; and RR
= 3.13, 95% CI = [1.90, 5.17], respectively). The time to the first asthma
outcomes including exacerbations, unplanned visits, and emergency visits was
shorter in patients with psychological symptoms than those without (all p <
.001). CONCLUSION: Current psychological symptoms, especially anxiety combined
with depression, independently predict the future risk of asthma outcomes. Ting
Zhou and Lan Wang contributed equally to this study.
PMID- 22091740
TI - Psychosocial outcomes are related to asthma control and quality of life in
pregnant women with asthma.
AB - BACKGROUND: Little is known about the psychosocial impact and perceived
teratogenic (fetal harm due to medication) risks of asthma treatment
(inhaled/oral corticosteroids and beta-agonist) during pregnancy. AIMS: To assess
the perception of asthma control, quality of life (QoL), and perceived risks of
therapy in pregnant women with asthma. METHODS: Pregnant women with asthma (n =
125) were recruited between 12 and 20 weeks gestation. QoL (generic: Short Form
12 Health Survey v1, and asthma specific: Asthma Quality of Life Questionnaire
Marks (AQLQ-M)) and psychological variables were assessed using the Perceived
Control of Asthma Questionnaire (PCAQ), the Brief Illness Perception
Questionnaire, and the Six-Item Short-Form State Trait Anxiety Inventory (STAI
6). Women's perceptions of the teratogenic risks of asthma therapy were also
assessed and analyzed for adherence to maintenance inhaled corticosteroids
(ICSs), poor asthma control, and QoL. RESULTS: Women reported good QoL (median
AQLQ-M total score/maximum score = 0.88/10), moderate ability to deal with asthma
symptoms (mean PCAQ score = 42.6/55), positive beliefs about their asthma and low
anxiety (median STAI score = 26.7/80). Perceived teratogenic risks for asthma
drugs were excessive and class dependent. Women perceived there was a 42%
teratogenic risk for oral corticosteroid, a 12% risk for ICSs, and a 5% risk with
short-acting beta-agonist. Illness beliefs, emotional response to illness (p =
.030), age >= 30 years (p = .046), and maintenance ICS use (p = .045) were
significantly associated with uncontrolled asthma, while maintenance ICS use (p =
.023), illness beliefs, consequences (p = .044), timeline (p = .016), and
emotional response (p = .015) and anxiety (p <= .0001) were significantly
associated with reduced QoL. CONCLUSIONS: In pregnancy, women with asthma
experience good QoL but overestimate teratogenic risks of asthma medication.
Maintenance ICS use, illness beliefs, and anxiety are associated with impaired
QoL and asthma control.
PMID- 22091742
TI - Concomitant asthma medication use by patients receiving omalizumab 2003-2008.
AB - OBJECTIVE: To examine patterns of omalizumab use in the first 5 years of its
availability. METHODS: Our study comprised a series of descriptive retrospective
cohort analyses using healthcare claims data. The study population comprised
patients of any age who had omalizumab claims in the 5 years after 1 July 2003,
and we created five 1-year cohorts from this population. Each cohort included
patients continuously enrolled for at least 12 months with >=2 omalizumab claims
during the year. Cohorts contained between 302 and 1382 unique omalizumab users,
and over 99% of patients with an omalizumab claim had at least one asthma
diagnosis. RESULTS: In all years, the specialty most commonly seen in conjunction
with the initial omalizumab prescription was allergy/immunology. In all years,
omalizumab was used in conjunction with three or more additional classes of
asthma medications at least 70% of the time and with five or more classes at
least 33% of the time; the proportion of patients filling omalizumab
prescriptions who had no other concomitant classes of asthma medications varied
from 4% to 8%. The most common pattern of asthma medication treatment in all
years was omalizumab with combination steroids/long-acting beta-agonist inhaler,
a leukotriene receptor antagonist, a short-acting beta-agonist inhaler, and at
least one course of oral corticosteroids. CONCLUSIONS: In this study of a large
sample of commercial health insurance claims covering the first 5 years after
approval of omalizumab, we found that omalizumab was infrequently used as a
single agent or without concomitant inhaled corticosteroids, and most omalizumab
prescriptions came from specialist physicians.
PMID- 22091743
TI - Comparison of asthma control criteria: importance of spirometry.
AB - AIMS: To compare the measurements of asthma control using Canadian Thoracic
Society (CTS) Asthma Management Consensus Summary and Global Initiative for
Asthma (GINA) guidelines composite indices with and without spirometry. METHODS:
Asthma control parameters were extracted from electronic medical records (EMRs)
of patients >=6 years old at two primary care sites. Asthma control ratings
calculated according to CTS and GINA criteria were compared. RESULTS: Data were
available from 113 visits by 93 patients, aged 6-85 years (38.7 +/- 24.8; mean +/
SD). The proportion of visits at which individuals' asthma was completely
controlled was 22.1% for CTS symptoms only and 9.7% for CTS with spirometry (p <
.01); and 17.7% versus 14.1% for GINA symptoms only versus symptoms with
spirometry (p = .125). CONCLUSIONS: Asthma control ratings using GINA and CTS
criteria are discordant in more than half of the patients deemed "in control" by
at least one scale. Differences in the spirometry criterion threshold are
primarily responsible for this discordance. Failure to include spirometry as part
of the control index consistently overestimates asthma control and may
underestimate future risk of exacerbations.
PMID- 22091744
TI - Relationship between exercise-induced bronchospasm (EIB) and asthma control test
(ACT) in asthmatic children.
AB - OBJECTIVE: Evaluate the relationship between Asthma Control TestTM (ACT) and
exercise-induced bronchospasm (EIB) in 81 asthmatic children. METHODS: EIB was
assessed in every patient by Balke protocol and asthma control was evaluated by
ACT. Patients were divided into three groups: Group A (30 patients) with complete
asthma control (ACT score = 25), Group B (37 patients) with partial asthma
control (ACT score = 21-24), and Group C (14 patients) with poor asthma control
(ACT score < 20). RESULTS: About 36% (11/30) of patients in Group A (with
complete asthma control) tested positive for EIB, whereas 21% (8/37) in Group B
(with partial asthma control) and 28% (4/14) in Group C (with poor asthma
control) exhibited EIB. The percentage of positive EIB was very similar between
the three groups with no differences between controlled, partially controlled,
and uncontrolled asthma. Statistical evaluation by chi(2)-test between complete
(ACT score = 25) and not complete asthma control (ACT score < 24) confirmed a
statistically significant difference (p < .01) between the obtained data.
CONCLUSIONS: It must be stated that ACT alone is not sufficient to evaluate
asthma control in children correctly because it fails to detect EIB in a
significant percentage of subjects.
PMID- 22091746
TI - Putting evidence for diabetes care into practice.
AB - The amount of research and technology related to diabetes mellitus has grown
dramatically over the past few decades. This knowledge has led to many advances
in the detection and treatment of prediabetes and diabetes and the prevention of
their related complications. However, there continues to be a distinct gap
between these advances and the medical care of patients with diabetes. This
article focuses on translational research findings that address the causes of
this gap and that attempt to find methods to close this gap at the level of the
provider, healthcare system, and patient. The research findings are discussed in
terms of elements of the chronic care model originally proposed by Wagner et al.
to help optimize the care of patients with any chronic disease such as diabetes.
PMID- 22091745
TI - Electrospun fibers with plasmid bFGF polyplex loadings promote skin wound healing
in diabetic rats.
AB - Deep or chronic skin wounds are difficult to heal spontaneously due to the lack
of scaffold to guide cell growth and reduced levels and activities of endogenous
growth factors. Emulsion electrospinning process integrated with DNA condensation
techniques indicated potentials to gradually release DNA, but no attempt has been
made to clarify the advantages in promoting tissue regeneration and wound
recovery. In this study, polyplexes of basic fibroblast growth factor-encoding
plasmid (pbFGF) with poly(ethylene imine) were incorporated into electrospun
fibers with a core-sheath structure, and poly(ethylene glycol) was included into
the fiber sheath to allow a sustained release of pbFGF for 4 weeks. In vitro
tests on mouse embryo fibroblasts indicated that pbFGF-loaded fibrous mats
enhanced cell proliferation by the autocrine bFGF, and an effective cell
transfection proceeded for over 28 days. Skin wounds were created in the dorsal
area of diabetic rats for in vivo evaluation of skin regeneration after being
covered with pbFGF-loaded fibrous mats. The gradual pbFGF release revealed
significantly higher wound recovery rate with improved vascularization, enhanced
collagen deposition and maturation, complete re-epithelialization and formation
of skin appendages. The above results demonstrate the potential use of pbFGF
loaded electrospun fibrous mats to accelerate the healing of skin ulcers for
patients with diabetic mellitus.
PMID- 22091747
TI - Does in vitro fertilisation increase type 2 diabetes and cardiovascular risk?
AB - Since the first in-vitro fertilisation (IVF) birth in 1978, the number of
children born by assisted reproductive technologies (ART) continues to increase
worldwide. However, the safety issues surrounding these procedures remain
controversial, and the long term impact on human health is unknown. There is
emerging evidence to indicate that IVF may predispose individuals to increased
incidence of obesity, elevated blood pressure, fasting glucose and triglycerides
and subclinical hypothyroidism. However, few studies have been conducted to date
and the underlying mechanisms are unclear. This review will summarize the
existing evidence in animal models and in humans, and will discuss epigenetic
alterations, which may link manipulation of the pre-implantation embryo with
increased risk of the later development of obesity, insulin resistance, type 2
diabetes and cardiovascular disease in offspring. Since these diseases are the
leading cause of mortality and can be delayed or prevented by lifestyle
modification, prospective follow up studies in IVF born adults are now urgently
required to determine the degree of risks utilizing gold standard measures in
human and animal models.
PMID- 22091748
TI - Diabetes and periodontal diseases: interplay and links.
AB - The association between diabetes and periodontal diseases is well-established.
Diabetes is a risk factor for periodontal disease, with diabetic patients
exhibiting an increased prevalence, extent and severity of gingivitis and perio-
dontitis compared to healthy adults. Several mechanisms involved in the
pathogenesis of diabetes have also been associated with periodontal disease
progression. It is recognized today that there is a bidirectional relationship
between diabetes and periodontal disease, with recent research showing that
periodontal disease may affect the metabolic control of diabetes in diabetic
patients. In this review, we present the current knowledge of the interplay
between periodontal diseases and diabetes through the evaluation of randomized
control and longitudinal cohort studies published in the past 15 years. Current
data support the conclusion that diabetic patients are at increased risk for
periodontal diseases, and that patients with poorly controlled diabetes are at
risk for severe periodontitis. This results in the destruction of oral connective
tissue and generalized bone loss, leading ultimately to tooth loss. Although the
effect of periodontal disease on glycemic control in type 1 diabetic patients is
controversial, evidence does show a direct correlation between periodontal health
and glycemic control in type 2 diabetic patients. Furthermore, several studies
have demonstrated the beneficial effect of periodontal treatment on metabolic
control of type 2 diabetic patients.
PMID- 22091749
TI - Development and mechanistic investigation of a highly efficient iridium(V) silyl
complex for the reduction of tertiary amides to amines.
AB - The cationic Ir(III) acetone complex (POCOP)Ir(H)(2)(acetone)(+) (POCOP = 2,6
bis(di-tert-butylphosphinito)phenyl) was shown to catalyze the reduction of a
variety of tertiary amides to amines using diethylsilane as reductant.
Mechanistic studies established that a minor species generated in the reaction,
the neutral silyl trihydride Ir(V) complex (POCOP)IrH(3)(SiEt(2)H), was the
catalytically active species. High concentrations of this species could be
conveniently generated by treatment of readily available (POCOP)IrHCl with tert
butoxide in the presence of Et(2)SiH(2) under H(2). Thus, using this mixture in
the presence of a trialkylammonium salt, a wide array of tertiary amides,
including extremely bulky substrates, are rapidly and quantitatively reduced to
tertiary amines under mild conditions with low catalyst loading. A detailed
mechanistic study has been carried out and intermediates identified. In brief,
(POCOP)IrH(3)(SiEt(2)H) reduces the amide to the hemiaminal silyl ether that, in
the presence of a trialkylammonium salt, is ionized to the iminium ion, which is
then reduced to the tertiary amine by Et(2)SiH(2). Good functional group
compatibility is demonstrated, and a high catalyst stability has provided
turnover numbers as high as 10,000.
PMID- 22091750
TI - Improving decision-making for feeding options in advanced dementia: a randomized,
controlled trial.
AB - OBJECTIVES: To test whether a decision aid improves quality of decision-making
about feeding options in advanced dementia. DESIGN: Cluster randomized controlled
trial. SETTING: Twenty-four nursing homes in North Carolina. PARTICIPANTS:
Residents with advanced dementia and feeding problems and their surrogates.
INTERVENTION: Intervention surrogates received an audio or print decision aid on
feeding options in advanced dementia. Controls received usual care. MEASUREMENTS:
Primary outcome was the Decisional Conflict Scale (range: 1-5) measured at 3
months; other main outcomes were surrogate knowledge, frequency of communication
with providers, and feeding treatment use. RESULTS: Two hundred fifty-six
residents and surrogate decision-makers were recruited. Residents' average age
was 85; 67% were Caucasian, and 79% were women. Surrogates' average age was 59;
67% were Caucasian, and 70% were residents' children. The intervention improved
knowledge scores (16.8 vs 15.1, P < .001). After 3 months, intervention
surrogates had lower Decisional Conflict Scale scores than controls (1.65 vs
1.90, P < .001) and more often discussed feeding options with a healthcare
provider (46% vs 33%, P = .04). Residents in the intervention group were more
likely to receive a dysphagia diet (89% vs 76%, P = .04) and showed a trend
toward greater staff eating assistance (20% vs 10%, P = .08). Tube feeding was
rare in both groups even after 9 months (1 intervention vs 3 control, P = .34).
CONCLUSION: A decision aid about feeding options in advanced dementia reduced
decisional conflict for surrogates and increased their knowledge and
communication about feeding options with providers.
PMID- 22091751
TI - Laparotomy versus laparoscopy for the treatment of adnexal masses during
pregnancy.
AB - BACKGROUND: Laparoscopy has been highlighted as an effective surgical modality
for diverse pelvic organ diseases. However, its surgical and obstetric efficacy
has not been fully confirmed in pregnant women because of the absence of a large
comparative study. The objective of this study was to compare outcomes between
laparotomy and laparoscopic surgery for adnexal masses during pregnancy. METHODS:
A retrospective analysis of 262 pregnant women who underwent laparotomy or
laparoscopic surgery for adnexal masses between 2000 and 2009 was performed.
RESULTS: Of the 262 women, 174 (66.4%) underwent laparotomy and 88 (33.6%)
underwent laparoscopic surgery for adnexal masses. The laparoscopy group had a
significantly shorter mean operative time (60.7 +/- 27.1 vs 69.7 +/- 24.4 min, P
= 0.002) and mean hospital stay (4.7 +/- 1.7 vs 6.6 +/- 1.3 days, P < 0.001) than
the laparotomy group. In multivariate analysis, there was no significant
difference between laparoscopy and laparotomy group in obstetric outcomes,
including preterm delivery and miscarriage rate, after adjusting for confounding
factors, such as gestational age at surgery, emergency surgery and mass size.
CONCLUSIONS: The laparoscopic approach appears to offer a suitable alternative to
laparotomy, which, in our setting, was associated with shorter operative times
and hospital stays than laparotomy.
PMID- 22091759
TI - Grouping mechanisms in response preparation investigated with event-related brain
potentials.
AB - Preliminary information about responses facilitates performance, especially when
the information can be grouped into stimulus-response sets, for example, into
fingers belonging to the same hand. Here, we studied the mechanisms of supposedly
fast and automatic exogenous as compared to slow and controlled endogenous
grouping of same-hand fingers. As compared to endogenous cuing, exogenous cuing
facilitated reaction times and induced larger amplitudes of the contingent
negative variation, but did not show any advantage in amplitude or latency of the
lateralized readiness potential or in the magnitude of current source density
over the motor cortices. Similarly, the stimulus preceding negativity did not
seem to be a plausible explanation for the observed effect. Therefore, at least
one functional mechanism underlying exogenous stimulus-response grouping appears
to be the facilitation of central response programming.
PMID- 22091758
TI - The structure, dynamics, and binding of the LA45 module pair of the low-density
lipoprotein receptor suggest an important role for LA4 in ligand release.
AB - The low-density lipoprotein receptor (LDLR), the primary receptor for cholesterol
uptake, binds ligands through its seven LDL-A modules (LAs). We present nuclear
magnetic resonance (NMR) and ligand binding measurements on the fourth and fifth
modules of the LDLR (LA45), the modules critical for ApoE binding, at
physiological pH. Unlike LA5 and all other modules in LDLR, LA4 has a very weak
calcium affinity, which probably plays a critical role in endosomal ligand
release. The NMR solution structure of each module in the LA45 pair only showed
minor differences compared to the analogous domains in previously determined
crystal structures. The 12-residue linker connecting the modules, though slightly
structured through an interaction with LA4, is highly flexible. Although no
intermodule nuclear Overhauser effects were detected, chemical shift
perturbations and backbone dynamics suggest cross talk between the two modules.
The ligand affinity of both modules is enhanced when the two are linked. LA4 is
more flexible than LA5 and remains so even in the module pair, which likely is
related to its weaker calcium binding affinity.
PMID- 22091760
TI - State affect and emotion-focused coping: examining correlated change and
causality.
AB - The purpose of the study was to verify whether two kinds of emotion-focused
coping, namely strategies aimed at reducing negative emotions (palliative coping
[PC]) and strategies aimed at inducing positive emotions (salutary coping [SC])
are related longitudinally to relevant affective states. Positive and negative
state affects were assessed among 133 cardiac patients, along with coping
strategies at three time points: a few days after myocardial infarction, one and
6 months later. Due to SC stability, the correlated change with affect was
estimated only for PC, but the directionality was examined in all four affect
coping pairs. For uncomplementary pairs, the models with diagonal paths equal to
zero fitted the data best. For the first complementary pair, i.e., negative
affect-PC, reciprocal influences were revealed with both starting points and the
amount of decline positively correlated. For the second pair, i.e., positive
affect-SC, strategies consequently increased affect, whereas affect decreased SC,
but only at first lag. Concluding, PC may be a behavioral manifestation of
negative affect rather than reflective goal-oriented efforts. Although the
relation between SC and positive affect is more complex, it still supports the
idea of distinctiveness within the scope of emotion-focused coping.
PMID- 22091761
TI - Aldehyde-alcohol reactions catalyzed under mild conditions by chromium(III)
terephthalate metal organic framework (MIL-101) and phosphotungstic acid
composites.
AB - Porous materials based on chromium(III) terephthalate metal organic frameworks
(MIL-101) and their composites with phosphotungstic acid (PTA) were studied as
heterogeneous acid catalysts in aldehyde-alcohol reactions exemplified by
acetaldehyde-phenol (A-P) condensation and dimethylacetal formation from
benzaldehyde and methanol (B-M reaction). The MIL-101 was synthesized
solvothermically in water, and the MIL101/PTA composite materials were obtained
by either impregnation of the already prepared MIL-101 porous matrix with
phosphotungstic acid solution or by solvothermic treatment of aqueous mixtures of
Cr(NO(3))(3), and terephthalic and phosphotungstic acids. The MIL101/PTA
materials appeared to be effective catalysts for both A-P and B-M reactions
occurring at room temperature, with half-lives ranging from 0.5 h (A-P) to 1.5-2
h (B-M) and turnover numbers over 600 for A-P and over 2900 for the B-M reaction,
respectively. A synergistic effect of the strong acidic moieties (PTA) addition
to mildly acidic Bronsted and Lewis acid cites of the MIL-101 was observed with
the MIL101/PTA composites. The ability of the PTA and MIL101/PTA materials to
strongly absorb and condense acetaldehyde vapors was discovered, with the
MIL101/PTA absorbing over 10-fold its dry weight of acetaldehyde condensate at
room temperature. The acetaldehyde was converted rapidly to crotonaldehyde and
higher-molecular-weight compounds while in contact with MIL-101 and MIL101/PTA
materials. The stability of the MIL-101 and MIL101/PTA catalysts was assessed
within four cycles of the 1-day alcohol-aldehyde reactions in terms of the
overall catalyst recovery, PTA or Cr content, and reaction rate constants in each
cycle. The loss of the catalyst over 4 cycles was approximately 10 wt % for all
tested catalysts due to the incomplete recovery and minute dissolution of the
components. The reaction rates in all cycles remained unchanged and the catalyst
losses stopped after the third cycle. The developed MIL101/PTA composites appear
to be feasible for industrial catalytic applications.
PMID- 22091762
TI - Population growth, the dependency rate, and the pace of economic development.
AB - Abstract The analysis of population's impact on the economy has frequently been
developed in the context of the dependency-rate argument. The dependency rate,
typically measured as the proportion of the total population outside the labour
force, is a summary statistic which is intended to capture the influence of a
population's age structure on the process of economic growth. Unfortunately,
there has been substantial confusion surrounding the economic interpretation of
the dependency rate. This confusion derives from the fact that the dependency
rate has been used as a proxy for several age-specific influences of population
on economic growth. Additionally, for anyone of these influences of population,
the impact on the economy will be determined by the particular economic model
within which the dependency rate is being analysed. In other words, depending on
which age-specific economic aspect of the dependency rate is being examined, and
depending on which economic model forms the basis of the analysis, it is possible
that an increase in the dependency rate may be associated with either an increase
or a decrease in the economy-wide growth of output per head. As a result, the
widely used dependency-rate statistic may not be a particularly useful predictor
of economic - demographic - growth-rate interrelationships unless the analyst
makes explicit his underlying economic framework, and unless the particular
economic influences for which the dependency rate is taken as a proxy are
delineated.
PMID- 22091763
TI - Population growth: U.S. and Latin American views.
AB - Abstract This article is an attempt at interpretation. As one who has worked
professionally both in the United States and in Latin America, I would like to
interpret how I see the United States and Latin America responding to the high
Latin American population growth rate. This is a personal interpretation and as
such, of course, is biassed. It is also a very broad subject to which a few pages
of interpretation can hardly do justice. The very generalizations 'the United
States' and 'Latin America' lend themselves to misunderstanding. These are not
homogeneous areas but contain a variety of forces pulling in many directions. And
yet, just because this is such a difficult subject as well as such an important
one in the world of to-day, it is perhaps worth while to try to distil some
common components which may help us gain a better understanding of the actions of
others as well as our own.
PMID- 22091764
TI - A proposed explanation of the fertility gap differentials by socio-economic
status and modernity: The case of Egypt.
AB - Abstract The present paper is part of a larger analysis of data collected in
the summer of 1970 in the city of Cairo. 1 A joint study between the Institute of
Statistical Studies and Research and Centre for Sociological Studies, Cairo. The
present analysis is based on a sub-sample of 569 households.
PMID- 22091765
TI - Male sterilization as a contraceptive method in Canada an empirical study.
AB - Abstract In 1851-61, the crude birth rate in Canada was 45. In 1972, it was
approximately 16. Not only have birth rates decreased but the average family size
has declined from five or six children per family at the turn of the century to
two or three children in the 1970'S. In addition, women are completing their
childbearing at an earlier age. 50 years ago, it was not uncommon for a woman to
have a child in her late thirties or early forties. To-day, this is a relatively
rare event. In fact, it is estimated that approximately 80% of all families have
the number of children desired before the woman is 30 years of age. This new
demographic pattern creates an important and crucial situation for Canadian
couples. At age 30, with all the desired children and 15 more years of potential
childbearing ahead of them, what can they do to prevent the occurrence of
additional pregnancies?
PMID- 22091766
TI - Reproductive time lost due to sexual union dissolution in San Jose, Costa Rica.
AB - Abstract It has long been recognized, on a theoretical level, that widowhood,
divorce, and separation, if not immediately followed by re-marriage, could have a
negative impact on fertility. Davis and Blake specifically identified the amount
of reproductive time spent after or between unions as one of the eleven
intermediate variables bearing immediately upon fertility.
PMID- 22091767
TI - A three parameter model for birth projections.
AB - Abstract The approach underlying the model proposed in this article constitutes
a considerable departure from the conventional methods used for the projection of
birth series. Instead of following the customary procedure of directly projecting
the age-specific fertility rates, they can be derived with this model from only
three relatively simple fertility measures, namely, total fertility rate, mean
age of fertility, and modal age of fertility. The reduction of the number of
fertility parameters offers appreciable operational and analytical advantages.
Among these, the most significant is the fact that statistical manipulation is
confined to only three fertility measures, all of which are particularly
appropriate for the in-depth analysis which is required to provide a rationale
for assumptions of future fertility.
PMID- 22091768
TI - Canada, United States, Australia and New Zealand: Nuptiality trends.
AB - Abstract Annual variations are often more brutal for nuptiality than they are
for other demographic phenomena. Short-term economic movements, in particular,
seem to have a more direct impact. Fig. 1 clearly illustrates this point for
Australia during the thirties. Nuptiality rates dip more sharply and recuperation
is more pronounced: not only do they follow the employment trend, they amplify
it. 1 For nuptiality and fertility, the two indicators we chose are probably the
most responsive to short-term movements. They result respectively, from the
addition of age-specific first-marriage rates (number of first marriages at age
x/total population age x) for ages 15 to 50, and from the combination of parity
progression ratios which gives the average number of births per marriage, (a
(0)+a (0) a (1)+a (0) a (1) a (2)+ ..., where a (1) is the ratio ofwomen who have
a child of order i+1 per 1,000 mothers of children of order i). For each year
these were divided by the corresponding cohort index, i.e. the proportion ever
married, and the mean number of ever-born children per marriage. The cohort used
for a given year is that which reaches its mean age at first marriage in that
year or its mean duration at birth of the children. Nine months are subtracted
from the fertility measure to give time of conception. The economic indicator is
a measure obtained by dividing the number of jobs by the population aged 15 to
64. All indices are calculated using the 1926-1927 figures as base 100.
PMID- 22091769
TI - The fecundability of U.S. women.
AB - Abstract In this note I shall define fecundability as the probability that a
non-pregnant woman will achieve a recognizable pregnancy during a month of
exposure to risk.
PMID- 22091770
TI - Fertility differentials among the tribal groups of Sierra Leone.
AB - Abstract Sierra Leone is in a position typical of many African countries
regarding accurate information on her basic demographic characteristics. Such
vital registration as exists is confined to a small area and there has been only
one census of any reliability, that of 1963. Estimates of fertility levels from
the age distribution obtained from that enumeration have been made by Dow, the
method having been used previously by Van de Walle for Nigeria.
PMID- 22091771
TI - The effect of illegitimacy on U.S. general fertility rates and population growth.
AB - Abstract Illegitimacy and bridal pregnancy has attracted the attention of
scholars concerned with a variety of plausible causes and consequences ofbirths
conceived out of wedlock. Sociologists have expressed concern with the impact of
such births on maternal and child health, family stability and the economic
status offamilies. Although demographers have described trends in various
populations, and have occasionally suggested that births conceived out of wedlock
influence general fertility rates and cohort fertility, measures of the
demographic impact of illegitimate or premaritally conceived births have not been
assessed. While it seems obvious that a change in births conceived out of
wedlock will affect change in a general fertility rate, this finding would not
automatically allow the conclusion that cohort fertility would change because a
change in the rate of births conceived out of wedlock occurred.
PMID- 22091772
TI - Mortality decline in the people's Republic of China and the United States.
AB - Abstract From mortality levels in 1949 similar to those in the United States
during the nineteenth century, China claims to have reduced mortality to levels
comparable to those in the United States 30 years ago. The rapidity of this
recent transition and its fragmentary statistical verification have made analysts
doubt these claims. The purpose of this paper is to assess medical evidence which
indicates that the rate of mortality decline has been rapid indeed, primarily due
to the unique social organization of Chinese public health practices.
PMID- 22091773
TI - Mobility ratios and association in mobility tables.
AB - Abstract In the late 1940's a similar problem occurred in the work of three
sociologists, working in three countries, on three similar sets of data. Natalie
Rogoff, David Glass and G6sta Carlsson all faced the problem of making sense of
data on intergenerational occupational mobility. A matrix of frequencies of
occupations of respondents by occupations of fathers could be converted, in an
obvious and straightforward fashion, into matrices of inflow and outflow
percentages. Their joint problem arose in comparing inflow percentages across
rows or outflow percentages across columns. The problem was that, as
sociologists, concerned with the extent that origins in socially meaningful
categories influenced destinations in the same socially meaningful categories,
they were stuck with occupational categories that differed from one another
dramatically in size. A secondary (though hardly trivial) problem was the fact
that, in all their data, the two marginal distributions, the respondents'
generation and the fathers' 'generation', were notably dissimilar - a consequence
of both differential fertility and a general upward shift in the occupational
distributions of the three countries. All sought a technique that would 'make the
two time periods comparable with respect to occupational structure'.
PMID- 22091777
TI - Fertility effects of the abolition of legal abortion in Romania.
PMID- 22091774
TI - A comment on 'social and economic factors in Hong Kong's fertility decline' by
Sui-Ying Wat and R. W. Hodge.
AB - Abstract The recent article by Wat and Hodge appears to make incorrect
inferences about the relation of certain social and economic indicators (infant
mortality, employment opportunities for women, and education) to Hong Kong's
fertility decline, based on a multiple regression of these variables to the crude
birth rate of Hong Kong for 1951-1967. Such modernization measures probably have
at least a long-run causal relation to fertility decline. It is also possible
that the family planning programme ofHong Kong may have added little to the
effects, as the authors suggest. However, I do not believe that their multiple
regression analysis establishes these conclusions.
PMID- 22091778
TI - Genetic and environmental correlates of topiramate-induced cognitive impairment.
AB - Topiramate is an antiepileptic drug that has marked treatment-limiting side
effects on specific aspects of cognitive performance in both patients and healthy
volunteers. Because these severe side effects occur only in certain individuals,
identifying genetic or environmental variables that influence cognitive response
would be of great utility in determining whether to administer this drug to a
patient. We gave an acute 100 mg oral dose of topiramate to 158 healthy
volunteers and measured how the drug changed their performance on a diverse
battery of cognitive tests. We found a wide range of responses to topiramate, and
we demonstrated that not all tests in the battery were equally affected. There
was no correlation between the effect of topiramate and either education level or
baseline cognitive performance. Of interest, there was an up to 55-fold variation
in the topiramate plasma levels of the participants. Our genome-wide association
study (GWAS) of cognitive response did not reveal any genome-wide significant
associations; the study was powered to find variants explaining at least 25% of
the variation in cognitive response. Combining the results of this GWAS with a
retrospective study of cognitive complaints in 290 epilepsy patients who received
topiramate as part of their treatment also did not result in a significant
association. Our results support the need for additional genetic studies of
topiramate that use larger sample sizes.
PMID- 22091779
TI - Sexual development and cryptic sexuality in fungi: insights from Aspergillus
species.
AB - Major insights into sexual development and cryptic sexuality within filamentous
fungi have been gained from investigations using Aspergillus species. Here, an
overview is first given into sexual morphogenesis in the aspergilli, describing
the different types of sexual structures formed and how their production is
influenced by a variety of environmental and nutritional factors. It is argued
that the formation of cleistothecia and accessory tissues, such as Hulle cells
and sclerotia, should be viewed as two independent but co-ordinated developmental
pathways. Next, a comprehensive survey of over 75 genes associated with sexual
reproduction in the aspergilli is presented, including genes relating to mating
and the development of cleistothecia, sclerotia and ascospores. Most of these
genes have been identified from studies involving the homothallic Aspergillus
nidulans, but an increasing number of studies have now in addition characterized
'sex-related' genes from the heterothallic species Aspergillus fumigatus and
Aspergillus flavus. A schematic developmental genetic network is proposed showing
the inter-relatedness between these genes. Finally, the discovery of sexual
reproduction in certain Aspergillus species that were formerly considered to be
strictly asexual is reviewed, and the importance of these findings for cryptic
sexuality in the aspergilli as a whole is discussed.
PMID- 22091780
TI - Light inhibition of leaf respiration in field-grown Eucalyptus saligna in whole
tree chambers under elevated atmospheric CO2 and summer drought.
AB - We investigated whether the degree of light inhibition of leaf respiration (R)
differs among large Eucalyptus saligna grown in whole-tree chambers and exposed
to present and future atmospheric [CO(2) ] and summer drought. Associated with
month-to-month changes in temperature were concomitant changes in R in the light
(R(light) ) and darkness (R(dark) ), with both processes being more temperature
dependent in well-watered trees than under drought. Overall rates of R(light)
and R(dark) were not significantly affected by [CO(2) ]. By contrast, overall
rates of R(dark) (averaged across both [CO(2) ]) were ca. 25% lower under
drought than in well-watered trees. During summer, the degree of light inhibition
of leaf R was greater in droughted (ca. 80% inhibition) than well-watered trees
(ca. 50% inhibition). Notwithstanding these treatment differences, an overall
positive relationship was observed between R(light) and R(dark) when data from
all months/treatments were combined (R(2) = 0.8). Variations in R(light) were
also positively correlated with rates of Rubisco activity and nitrogen
concentration. Light inhibition resulted in a marked decrease in the proportion
of light-saturated photosynthesis respired (i.e. reduced R/A(sat) ).
Collectively, these results highlight the need to account for light inhibition
when assessing impacts of global change drivers on the carbon economy of tree
canopies.
PMID- 22091782
TI - Electrodermal activity reliably captures physiological differences between wins
and losses during gambling on electronic machines.
AB - Differential patterns of physiological arousal to win and loss events during
gambling is central to psychological conceptualizations of gambling behaviors but
is poorly researched. We recorded heart rate (HR) and skin conductance responses
(SCRs) to wins and losses while 23 healthy participants played for small
incentives on a simulated electronic gambling task. Wins produced large SCRs
whereas losses did not, and large wins produced larger SCRs than small wins.
Electrodermal measures also correlated with reward responsiveness on a
personality measure and with ratings of excitement during gambling. HR evidenced
a slight deceleration before event outcomes, and the rebound HR was larger after
wins than after losses. The study demonstrates that physiological changes to
gambling events can be reliably captured, and that these changes are sensitive to
differential outcomes. These findings establish a foundation for future research
in field settings.
PMID- 22091783
TI - Phylogenetic microarray analysis of a microbial community performing reductive
dechlorination at a TCE-contaminated site.
AB - A high-density phylogenetic microarray (PhyloChip) was applied to track bacterial
and archaeal populations through different phases of remediation at Ft. Lewis,
WA, a trichloroethene (TCE)-contaminated groundwater site. Biostimulation with
whey, and bioaugmentation with a Dehalococcoides-containing enrichment culture
were strategies implemented to enhance dechlorination. As a measure of species
richness, over 1300 operational taxonomic units (OTUs) were detected in DNA from
groundwater samples extracted during different stages of treatment and in the
bioaugmentation culture. In order to determine active members within the
community, 16S rRNA from samples were analyzed by microarray and ~600 OTUs
identified. A cDNA clone library of the expressed 16S rRNA corroborated the
observed diversity and activity of some of the phyla. Principle component
analysis of the treatment plot samples revealed that the microbial populations
were constantly changing during the course of the study. Dynamic analysis of the
archaeal population showed significant increases in methanogens at the later
stages of treatment that correlated with increases in methane concentrations of
over 2 orders of magnitude. Overall, the PhyloChip analyses in this study have
provided insights into the microbial ecology and population dynamics at the TCE
contaminated field site useful for understanding the in situ reductive
dechlorination processes.
PMID- 22091784
TI - Comparison of air-sealing characteristics of tapered- vs. cylindrical-shaped high
volume, low-pressure tube cuffs.
AB - BACKGROUND: This study aimed at comparing air-sealing characteristics of the new
tapered-shaped tracheal tube cuffs with cylindrical tube cuffs. METHODS: Tracheal
tubes with tapered-shaped polyurethane (PU) and polyvinyl chloride (PVC) cuffs as
well as standard cylindrical-shaped cuffs made of PU and PVC (Covidien, Athlone,
Ireland) were investigated. A tracheal model attached to a test lung was
intubated, and cuffs were inflated to 10, 15, 20, 25 and 30 cm H(2)O. The test
lung was ventilated with intermittent positive pressure ventilation at peak
inspiratory pressures (PIPs) of 20 and 25 cm H(2)O. Air leakage was assessed by
spirometry and measurement of sevoflurane concentration passing the cuff at the
upper cuff border using an anaesthetic gas analyser. Experiments were repeated
four times with new tracheal tubes for each run. Statistical comparisons were
done using Mann-Whitney U-test with level of significance at P < 0.05. RESULTS:
The tapered-shaped PVC tube cuff demonstrated a significantly lower air leakage
determined by spirometry than the cylindrical-shaped cuff at both PIPs (20 and 25
cm H(2)O). Similarly, sevoflurane leakage was less with the tapered PVC cuff
particularly at higher cuff pressures. With the PU cuff, reduction in air leakage
by a tapered-shaped compared with a cylindrical-shaped tube cuff was not
significant. CONCLUSIONS: A tapered-shaped tube cuff considerably improves air
sealing characteristics of PVC tube cuffs and allows thereby reducing cuff
pressure required for sufficient ventilation. In tube cuffs made of PU that
exhibits superior sealing characteristics compared with PVC, a tapered shape
failed to result in a further reduction of air leakage.
PMID- 22091787
TI - Standoffish perhaps, but successful as well: evidence that avoidant attachment
can be beneficial in professional tennis and computer science.
AB - Attachment-related avoidance and anxiety have repeatedly been associated with
poorer adjustment in various social, emotional, and behavioral domains. We
examined 2 domains in which avoidant individuals might be better equipped than
their less avoidant peers to succeed and be satisfied--professional singles
tennis and computer science. These fields may reward self-reliance, independence,
and the ability to work without proximal social support from loved ones. In study
1, we followed 58 professional singles tennis players for 16 months and found
that scores on attachment-related avoidance predicted a higher ranking, above and
beyond the contributions of training and coping resources. In study 2, we sampled
100 students and found that those who scored higher on avoidance were happier
with their choice of computer science as a career than those who scored lower on
avoidance. Results are discussed in relation to the possible adaptive functions
of certain personality characteristics often viewed as undesirable.
PMID- 22091785
TI - Ethnic differences in appointment-keeping and implications for the patient
centered medical home--findings from the Diabetes Study of Northern California
(DISTANCE).
AB - OBJECTIVE: To examine ethnic differences in appointment-keeping in a managed care
setting. DATA SOURCES/STUDY SETTING: Kaiser Permanente Diabetes Study of Northern
California (DISTANCE), 2005-2007, n = 12,957. STUDY DESIGN: Cohort study. Poor
appointment-keeping (PAK) was defined as missing >1/3 of planned, primary care
appointments. Poisson regression models were used to estimate ethnic-specific
relative risks of PAK (adjusting for demographic, socio-economic, health status,
and facility effects). DATA COLLECTION/EXTRACTION METHODS:
Administrative/electronic health records and survey responses. PRINCIPAL
FINDINGS: Poor appointment-keeping rates differed >2-fold across ethnicities:
Latinos (12 percent), African Americans (10 percent), Filipinos (7 percent),
Caucasians (6 percent), and Asians (5 percent), but also varied by medical
center. Receiving >50 percent of outpatient care via same-day appointments was
associated with a 4-fold greater PAK rate. PAK was associated with 20, 30, and 40
percent increased risk of elevated HbA1c (>7 percent), low-density lipoprotein
(>100 mm/dl), and systolic blood pressure (>130 mmHg), respectively. CONCLUSIONS:
Latinos and African Americans were at highest risk of missing planned primary
care appointments. PAK was associated with a greater reliance on same-day visits
and substantively poorer clinical outcomes. These results have important
implications for public health and health plan policy, as primary care rapidly
expands toward open access to care supported by the patient-centered medical home
model.
PMID- 22091788
TI - Methanol and ethylene glycol acute poisonings - predictors of mortality.
AB - CONTEXT: Methanol and ethylene glycol cause significant mortality post-ingestion.
Predicting prognosis based on the biomarkers osmolal gap, anion gap and pH is
beneficial. OBJECTIVE: To evaluate the relationship between biomarkers, measured
post-methanol and ethylene glycol exposure, and clinical outcomes. METHODS: A
review of the literature identified cases where methanol or ethylene glycol had
been ingested and clinical outcomes were recorded. Biomarkers were extracted
including osmolal gap, anion gap and pH, with clinical outcomes categorised as
recovered, recovered with adverse sequelae and death. Biomarkers were analysed
using the Mann-Whitney test for two samples; sensitivity and specificity were
evaluated using receiver operating characteristic (ROC) curves. RESULTS: In
total, 119 cases of methanol and 88 of ethylene glycol poisoning were identified;
21 methanol and 19 ethylene glycol patients died. For methanol ingestion the mean
values, for survival compared to death, were 48 (range: 6-138) and 90 (range: 49
159) mOsm/kg water for osmolal gap (p=0.0052), 31 (range: 11-50) and 41 (range:
30-53) mmol/L for anion gap (p=0.0065) and 7.21 (range: 6.60-7.50) and 6.70
(range: 6.34-7.22) for arterial pH (p<0.0001). The area under the ROC curve was
highest for arterial pH, 0.94 (95% CI: 0.89-0.99). For ethylene glycol, these
were 49 (range: 0-189) and 79 (range: 25-184) mOsm/kg water for osmolal gap
(p=0.050), 28 (range: 6-48) and 38 (range: 20-66) mmol/L for anion gap (p=0.0037)
and 7.08 (range: 6.46-7.39) and 6.98 (range: 6.50-7.16) for pH (p=0.072), for
survival compared to death. The area under the ROC curve was highest for anion
gap, 0.73 (95% CI: 0.60-0.87). CONCLUSION: Post-methanol ingestion a large
osmolal gap, anion gap and low pH (<7.22) were associated with increased
mortality; and pH has the highest predictive value. Post-ethylene glycol
ingestion, both osmolal gap and anion gap were associated with increased
mortality.
PMID- 22091786
TI - Analgesic conotoxins: block and G protein-coupled receptor modulation of N-type
(Ca(V) 2.2) calcium channels.
AB - Conotoxins (conopeptides) are small disulfide bonded peptides from the venom of
marine cone snails. These peptides target a wide variety of membrane receptors,
ion channels and transporters, and have enormous potential for a range of
pharmaceutical applications. Structurally related omega-conotoxins bind directly
to and selectively inhibit neuronal (N)-type voltage-gated calcium channels
(VGCCs) of nociceptive primary afferent neurones. Among these, omega-conotoxin
MVIIA (Prialt) is approved by the Food and Drug Administration (FDA) as an
alternative intrathecal analgesic for the management of chronic intractable pain,
particularly in patients refractory to opioids. A series of newly discovered
omega-conotoxins from Conus catus, including CVID-F, are potent and selective
antagonists of N-type VGCCs. In spinal cord slices, these peptides reversibly
inhibit excitatory synaptic transmission between primary afferents and dorsal
horn superficial lamina neurones, and in the rat partial sciatic nerve ligation
model of neuropathic pain, significantly reduce allodynic behaviour. Another
family of conotoxins, the alpha-conotoxins, are competitive antagonists of
mammalian nicotinic acetylcholine receptors (nAChRs). alpha-Conotoxins Vc1.1 and
RgIA possess two disulfide bonds and are currently in development as a treatment
for neuropathic pain. It was initially proposed that the primary target of these
peptides is the alpha9alpha10 neuronal nAChR. Surprisingly, however, alpha
conotoxins Vc1.1, RgIA and PeIA more potently inhibit N-type VGCC currents via a
GABA(B) GPCR mechanism in rat sensory neurones. This inhibition is largely
voltage-independent and involves complex intracellular signalling. Understanding
the molecular mechanisms of conotoxin action will lead to new ways to regulate
VGCC block and modulation in normal and diseased states of the nervous system.
PMID- 22091789
TI - Biomechanical testing of a novel suture pattern for repair of equine tendon
lacerations.
AB - OBJECTIVE: To compare in vitro biomechanical properties of a novel suture pattern
to a current standard for primary repair of equine superficial digital flexor
tendon (SDFT) laceration. STUDY DESIGN: In vitro randomized, paired design.
ANIMALS: Cadaveric equine forelimb SDFT (n = 24). METHODS: The 3-loop pulley
(3LP) and 6-strand Savage (SSS) suture patterns were applied to transected equine
SDFT. Ultimate failure load, stiffness, mode of failure, and load required to
form a 3-mm gap were obtained using a materials testing system and synchronized
high-speed video analysis. Statistical comparisons were made using Student's t
test, with significance set at P < .05. RESULTS: The SSS repair failed at a
higher ultimate load (421.1 N +/- 47.6) than the 3LP repair (193.7 N +/- 43.0; P
< .001). There was no significant difference in stiffness (P = .99). Failure mode
was suture breakage for all SSS repair and suture pull through for all 3LP
repair. The maximum load to create a 3-mm gap in the SSS repair (102.0 N +/-
22.4) was not significantly different from the 3LP repair (109.9 N +/- 16.0; P =
.27). CONCLUSIONS: SSS tenorrhaphy has improved strength and resistance to pull
through compared with 3LP for equine SDFT in a single load-to-failure test. Load
required to form a 3-mm gap was not significantly different between SSS and 3LP.
PMID- 22091790
TI - Testing the effect of function-focused care in assisted living.
AB - OBJECTIVES: To develop and test the Function-Focused Care in Assisted Living (FFC
AL) intervention so as to alter the decline that older adults in AL experience.
DESIGN: Cluster-randomized controlled trial using repeated measures to test the
effect of FFC-AL. SETTING: Four AL facilities with at least 100 beds.
PARTICIPANTS: One hundred seventy-one residents and 96 direct care workers (DCWs)
were recruited. Ninety-five of the DCWs were female (99%), and 59 were black
(62%), with a mean age of 41.7 +/- 13.8. The residents were mostly female (80%),
white (93%), and widowed (80%), with a mean age of 87.7 +/- 5.7. INTERVENTION:
FFC-AL included four components implemented by a research-supported function
focused-care nurse (FFCN) and a site-identified champion over a 12-month period.
Control sites were exposed to FFC education only. MEASUREMENTS: Outcomes for
residents included psychosocial domains (mood, resilience, self-efficacy, and
outcome expectations for function and physical activity), function, gait and
balance, and actigraphy. Outcomes for DCWs included knowledge, performance, and
beliefs associated with FFC. RESULTS: DCWs in treatment sites provided more FFC
by 12 months than those in control sites. Residents in treatment sites
demonstrated less decline in function, a greater percentage returned to
ambulatory status, and there were positive trends demonstrating more time in
moderate-level physical activity at 4 months and more overall counts of activity
at 12 months than for residents in control sites. CONCLUSION: Using a function
focused approach in AL may help prevent some of the functional decline commonly
noted in these settings.
PMID- 22091791
TI - Purely coherent nonlinear optical response in solution dispersions of graphene
sheets.
AB - We have developed an efficient chemical exfoliation approach for the high
throughput synthesis of solution-processable, high-quality graphene sheets that
are noncovalently functionalized by alkylamine. Purely coherent nonlinear optical
response of these graphene sheets has been investigated, using near-infrared,
visible, and ultraviolet continous wave and ultrafast laser beams. Spatial self
phase modulation has been unambiguously observed in the solution dispersions. Our
results suggest that this coherent light scattering is due to a broadband,
ultrafast, and remarkably huge third-order optical nonlinearity chi(3), which is
a manifestation of the graphene's cone-shaped large-energy-scale band structure.
Our experimental findings endow graphene new potentials in nonlinear optical
applications.
PMID- 22091792
TI - Behind-the-counter statins: a silver bullet for reducing costs and increasing
access?
AB - OBJECTIVE: To examine how the 2004 introduction of behind-the-counter (BTC)
simvastatin in the United Kingdom affected utilization, prices, and expenditures.
DATA SOURCES/STUDY SETTING: Secondary data on simvastatin utilization, prices,
and expenditures between 1997 and 2007 in the United Kingdom and four other
countries. STUDY DESIGN: We used a difference-in-differences approach to estimate
how the introduction of BTC simvastatin affected utilization, prices, and
expenditures. This approach compares outcomes in the United Kingdom before and
after the introduction of BTC simvastatin, using outcomes in countries where the
drug remained prescription only to control for possible confounders. DATA
COLLECTION/EXTRACTION METHODS: Data on simvastain utilization, prices, and
expenditures between 1997 and 2007 in the United Kingdom and four other countries
were obtained from an outside vendor. PRINCIPAL FINDINGS: The introduction of BTC
simvastatin in the United Kingdom led to a significant increase in utilization of
simvastatin and a significant decline in expenditures for simvastatin purchases.
Our results are robust to alternate model specifications. CONCLUSIONS: Behind-the
counter statins have the potential to simultaneously increase use of statins and
lower expenditures.
PMID- 22091794
TI - Academic geriatrics in Singapore.
AB - Singapore is one of the fastest-aging countries in the world. The proportion of
adults aged 65 and older is projected to increase from 8.7% to 20% over the next
20 years. The country has developed various strategies to meet the needs of this
increase in older adults. There is an acute shortage of geriatricians and a need
to train more healthcare workers to care for older adults. Geriatric medicine is
a relatively new specialty, and a small number of geriatricians have been tasked
with providing an increasing load of clinical service, education, and research.
Hence, there is a need to develop a cohesive structure of support for faculty
development and retention, advanced specialty trainee recruitment, leadership in
medical education, research, and clinical service to care for the rapidly aging
population. In addition, geriatric medicine is primarily a hospital-based
specialty in Singapore. There is still opportunity to collaborate and improve the
academic and practice integration of geriatric medicine into primary care and
intermediate and long-term care where it is most needed.
PMID- 22091795
TI - The role of meaning-focused coping in significant loss.
AB - When individuals face uncontrollable situations such as natural disasters,
meaning-focused coping (MFC) can contribute to individuals' adjustment. The
objectives of the current study were to examine the role of MFC in post-traumatic
growth and to explore how three different types of coping (problem-focused
coping, emotion-focused coping, and MFC) affected the mental health of earthquake
victims following the 2008 Sichuan Earthquake. Hierarchical regression analyses
indicated that MFC had a significantly incremental value in predicting positive
affect (DeltaR2=7.6%, p<.01) and well-being (DeltaR2=3.1%, p<.01), above and
beyond problem-focused coping and emotion-focused coping. In contrast, for
negative affect and depression, these incremental effects were not significant.
Path analysis was conducted to test the mediating role of post-traumatic growth
among the three coping styles and the outcome variables (well-being, positive
affect, negative affect, and depression). The results showed that post-traumatic
growth mediated the path from MFC to well-being and positive affect (for positive
affect: Sobel z = 3.74, p<.001; for well-being: Sobel z = 5.02, p<.001). In
addition, post-traumatic growth mediated the path from problem-focused coping to
depression (Sobel z = 2.21, p<.001). The hypothetical model of emotion-focused
coping did not converge.
PMID- 22091796
TI - Fractional laser resurfacing.
PMID- 22091797
TI - Fractional ablative laser skin resurfacing: a review.
AB - Ablative laser technology has been in use for many years now. The large side
effect profile however has limited its use. Fractional ablative technology is a
newer development which combines a lesser side effect profile along with similar
efficacy. In this paper we review fractional ablative laser skin resurfacing.
PMID- 22091798
TI - Hair removal utilizing the LightSheer Duet HS hand piece and the LightSheer ET: a
comparative study of two diode laser systems in Chinese women.
AB - OBJECTIVE: To compare the clinical efficacy, safety and pain associated with the
use of the LightSheer Duet HS as compared to the original LightSheer ET diode
Laser for axillae hair removal in Chinese women. METHODS: Thirty-six Chinese
women received three axillae laser hair removal treatments using the LightSheer
Duet HS on one side and the LightSheer ET on the other side. Subjects were
evaluated for hair removal efficiency. The immediate pain associated with the
treatments was noted. RESULTS: At 1 month following the final laser treatment,
hair reduction on the LightSheer Duet HS side and on the LightSheer ET side was
81 +/- 13% and 85 +/- 9% respectively. There was no statistical difference.
Immediate pain scores at the first session on the LightSheer Duet HS sites and
LightSheer ET sites was 5.71 +/- 1.74 and 6.86 +/- 1.80 respectively, which was
statistically significant (p < 0.05). Following the second and third sessions,
immediate pain scores of the LightSheer Duet HS sites were both less than those
of the LightSheer ET sites, but the differences were not statistically
significant. CONCLUSIONS: The LightSheer Duet HS laser is a safe and effective
method of hair removal in Chinese women. Treatment with the LightSheer Duet HS
causes less pain.
PMID- 22091799
TI - Clinical efficacy of home-use blue-light therapy for mild-to moderate acne.
AB - INTRODUCTION: Blue-light light-emitting diode (LED) therapy has become widely
used for the treatment of inflammatory acne. In this study we evaluated the
efficacy of a home use blue-light LED application in improving lesions and
shortening their time to clearance. METHODS: This was an IRB approved randomized
self-control study. For each patient (n = 30), 2 similar lesions, one of each
side of the face were chosen for treatment with either a blue-light LED hand-held
or sham device. Treatments (n = 4) were conducted twice daily in the clinic and
lesions were followed-up till resolution. Reduction in blemishes size and
erythema and the overall improvement were evaluated by both the physician and the
patients. Time to lesion resolution was recorded. RESULTS: There was a
significant difference in the response of lesions to the blue-light LED
application as opposed to the placebo in terms of reduction in lesion size and
lesion erythema as well as the improvement in the overall skin condition (p <
0.025). Signs of improvement were observed as early as post 2 treatments. Time to
resolution was significantly shorter for the blue-light LED therapy. CONCLUSION:
The results support the effectiveness of using blue-light LED therapy on a daily
basis for better improvement and faster resolution of inflammatory acne lesions.
PMID- 22091801
TI - Income inequality and fertility: A comparative view.
AB - Summary Most studies pertaining to the relationship between population and
economic development suffer from a major flaw. Researchers use aggregate measures
like income or energy consumption per head as indicators of economic development.
Such aggregate measures fail to take into account the nature of the distribution
of income or energy consumption to the population. The present study attempts to
demonstrate the importance of incorporating the nature of distribution of
resources as an important intervening variable in the study of the overall
relationship between population and economic development. A measure of income
inequality is developed which represents the difference between rural and urban
incomes. This measure is justified in terms of the distinctiveness of urban and
rural sectors in the process of development. The data used relate to societal
measures of fertility, income, income inequality, etc. Consistently with existing
literature, we observe that, generally speaking, economic development does entail
a reduction in rural-urban income inequalities. On the other hand, a substantial
part of the negative effect of an increase in income per head can be nullified if
such an increase were not also accompanied by a reduction in rural-urban income
inequality. Also, a substantial part of the negative effect of an increase in
income per head and the level of education in reducing the level of infant
mortality would be nullified if it did not also result in a reduction of rural
urban income inequality. On the other hand, it is quite possible for the level of
education in a society to increase together with an increase in income per head
without substantially altering the extent of inequality of income between the
rural and the urban population. It is suggested that the positive relationship
between rural-urban income inequality and the level of fertility is due to higher
rural fertility rates in a high-inequality country. By implication, this would
mean that higher standards of living for the rural population compared with its
urban counterpart will have a favourable impact in reducing rural fertility.
PMID- 22091802
TI - Social and demographic determinants of abortion in Poland.
AB - Summary Most abortions in Polish hospitals and clinics are performed on social
indications. In the 22 administrative areas of Poland, the high rates of divorce
and large proportions of total population employed in non-agricultural pursuits
reflect the individualistic approach to family planning which is characteristic
of present-day urban society. Industrialization is disruptive to the normal
functioning of the country's traditionally large families. In addition, the gross
reproduction rate increases directly with the proportion of the peasant
population in 17 voivodships and five large cities of Poland, whereas in the
urban sector the gross reproduction rate has fallen below replacement level in
the past few years. Rural birth rates will continue to decline. In view of
increasing needs for future manpower, population policy aimed at increasing
births through incentives to prospective mothers may achieve a limited degree of
success in cities only among the educated segments of the female population.
PMID- 22091803
TI - Mortality and fertility in Arctic Communities Greenland - A case study.
AB - Summary The purpose of this paper is to estimate the present level of mortality
and fertility as well as its history amongst the indigenous population of
Greenland during the period 1834-1953 on the basis of a series of censuses taken
during that time. Mortality and fertility parameters have been estimated by
techniques particularly suited for the analysis of incomplete demographic data -
e.g. stable population analysis. During the period studied Greenland was a
Danish colony. It did not become constitutionally part of Denmark until 1953. The
paper shows that even though the importance of Danish - and other European -
influence should not be underestimated, the socio-economic structure of Greenland
was relatively stable until 1953. The results show an extremely high mortality
and a correspondingly high fertility. There is also evidence that mortality
fluctuated considerably during the period. This might also be true of fertility,
but it is impossible to establish this by means of the techniques used. These
results are supported by an analysis of registrations of births and deaths for
part of the period. The paper concludes with an evaluation of the validity of
the techniques of estimation, having regard to the nature of the Greenland
censuses. It is pointed out that the empirical material from which model stable
populations must have been constructed varies somewhat from that applicable to an
Arctic population.
PMID- 22091804
TI - Government policy and personal family planning approval in conflict settings: The
case of the Muslim minority in the Southern Philippines.
AB - Summary Certain minority groups in a number of culturally pluralistic societies
have interpreted governmentally sponsored family planning programmes as vehicles
for reducing one basis for potential political power, i.e. the minority group's
share of the total population. This has especially been the case in societies
with protracted inter-group conflict. Such a conflict situation is found in the
Southern Philippines between indigenous Muslims and arriving Christian settlers.
Contrasting Muslim women in predominantly Muslim and in pluralistic-Muslim
minority settings into which there have been sizeable Christian incursions, we
suggest that though cultural pluralism has facilitated the dissemination of the
national government's position on family planning, the nature of culture contact,
and inter-group conflict could have provoked personal opposition to family
planning because of its links with the government.
PMID- 22091805
TI - Factors associated with the timing and duration of the leaving-home stage of the
family life cycle.
AB - Summary Based on empirical age distributions of sons and daughters leaving
home, an examination is made of the theoretical effect of three factors - the sex
composition of the family, the interval between births, and their reasons for
leaving home - on the dynamics of the leaving-home stage in the life cycle of two
child families. Consideration is given to four measures, the timing of the
beginning and end of the leaving-home stage, its duration, and the ratio of the
length of the leaving-home stage to the length of the childbearing stage. The
outstanding feature of the leaving-home stage is that a second child may leave
home before its older sibling, described as the 'crossing-over effect'. For
Australian data, factors contributing to the variation in experience between
families include the fact that sons generally leave home at an older age than
daughters, and that children leaving home for different reasons leave at
different ages. Brief reference is made to the relationship between the leaving
home stage and the starting-work stage, and to the limitations of a theoretical
analysis.
PMID- 22091806
TI - The role of simulation techniques in the theory and observation of family
formation.
AB - Summary The investigation of fertility change can involve the consideration of
the results of micro-level empirical studies in the context of an economic theory
of family formation. In particular, a change in the observable statistics can
then be related to a change in behaviour, or factors influencing behaviour. The
analysis of this relationship is hindered, however, by its complexity and by the
large number of stochastic variables present. It is argued that an essential aid
to such an analysis is the use of a model suitable for simulation. Here, we
present such a model and illustrate possible applications with various
experiments.
PMID- 22091807
TI - A re-estimation of the multiplying factors for the Brass technique for
determining childhood survivorship rates.
AB - Summary One of the truly significant contributions to practical demography is
the procedure developed by William Brass for estimating life-table values from
minimal data obtained in fertility surveys. Specifically, Brass designed a set of
factors dependent on the shape of the fertility schedule, which convert
proportions of children dead of women in standard age intervals into life table
q(x)-values. Jeremiah Sullivan recently presented in this journal a method for
simplifying the calculations involved in obtaining the multiplying factor in the
Brass procedure. Both Brass and Sullivan employed restrictive fertility schedules
to obtain their multiplying factors, and a rigorous test of either model has been
hampered by the lack of numerous adequately recorded fertility schedules,
especially those which begin early. Recently, a set of model fertility schedules
which adequately duplicates empirical fertility schedules has been developed.
These schedules were used to test the Brass and Sullivan procedures and to obtain
new estimates of multiplying factors. Although the Brass and Sullivan procedures
are shown to produce good estimators, new estimators, which prove superior to
either, are developed and analysed.
PMID- 22091808
TI - The mixed effects of income upon successive births may explain the convergence
phenomenon.
AB - Summary This paper finds that an increment of income has a more positive (less
negative) effect on the probability of a family having another child when it
consists of relatively few children than of relatively many children. That is,
within a group of people whose income is increased, the increase may be expected
to influence the average family with no children or one child to increase family
size, and to influence the average family that would otherwise have many children
to have fewer. To put it another way, increased income reduces the variance of
family size across families. This provides an explanation for the 'convergence'
of American families to two to four children. The basis for these conclusions is
a cross-sectional analysis of white women in the U.S. Census of 1960.
PMID- 22091809
TI - The impact of children on household saving: Age effects versus family size.
AB - Summary This paper extends a recent article by Allen Kelley, 'Population
Growth, the Dependency Rate, and the Pace of Economic Development', published in
Population Studies, 27, 3. Its objectives are threefold: (1) to point out that
Kelley's earlier assessment of the effect of family size on household savings
rates turns on somewhat arbitrary methods of computation and is altered when more
conventional procedures are used, (2) to argue that in modern American society
the savings behaviour of families may be tied more to the ages of the children
than to their number, and (3) to provide some comparative evidence which tends to
substantiate Kelley's conjecture that the way and extent to which children
influence household savings patterns depends upon the underlying level of
economic development.
PMID- 22091810
TI - Reliability estimates of some survey data on family planning.
AB - Summary A follow-up study employing the panel design which aimed to evaluate
the temporal stability of rural respondents' answers to KAP and fertility-related
questions after a lapse of nearly five months revealed that reports by females on
factual data dealing with socio-economic and demographic topics are as highly
reliable as are those of males. The study based on 79 males and 81 females in
Haryana further supports the hypothesis that re-test reliabilities of factual
data such as respondent's age, religion, occupation, etc. are substantially
higher than those of knowledge about contraceptives. Attitude items showed very
low re-test reliabilities although the internal consistency reliabilities of the
two attitude scales were found to vary within the range of 0.72 to 0.88. Both
attitude scales were found to have a very high reproducibility coefficient, all
higher than 0.95, for both the interview sessions. Re-test reliabilities of
data on contraceptive use were also found to be moderately high. However, the
rates of loop insertion and condom use as reported by female respondents are
likely to show a substantial amount of gross error as is evident from their low
re-test reliabilities. The findings of the present study cast serious doubt on
the assumption that married males are not capable of accurately reporting the
pregnancy histories of their wives. Several implications of the findings are
discussed. From the methodological point of view they suggest a need to develop
improved techniques for assessing attitudes toward family planning programmes and
abortion as well as to knowledge of contraceptive methods and desired family
size. The findings also justify the inclusion of eligible male respondents in KAP
and fertility surveys.
PMID- 22091811
TI - A note on nineteenth-century Irish emigration statistics.
AB - Summary On the basis of a re-examination of the different statistical series of
post-Famine Irish emigration, this paper finds (1) that the total outflow was
larger than the figures for total emigration allow, and (2) that permanent
movement to Great Britain was substantially more important, both relatively and
absolutely, than is commonly realized.
PMID- 22091812
TI - Tribal affiliation and fertility: A note.
AB - Summary This not extends T. L. F. Devis's recent investigation of the
relationship between tribal affiliation and fertility in Sierra Leone. Controls
are introduced for age structure and economic variables. The findings suggest
that tribal affiliation does not have much independent influence on fertility
after these factors are allowed for.
PMID- 22091815
TI - Erratum.
PMID- 22091816
TI - Ventricular enlargement in new-onset pediatric epilepsies.
AB - PURPOSE: To examine baseline and prospective (2-year) changes in third, fourth,
and lateral ventricle volumes in children with new-onset idiopathic epilepsies
and controls (age 8-18 years). METHODS: Structural magnetic resonance imaging
(MRI) were collected from children with idiopathic generalized epilepsy (IGE, n =
29), idiopathic localization-related epilepsy (ILRE, n = 30), and healthy
controls (HCs, n = 49). Volumes of the third, fourth, and lateral ventricles were
derived and compared across groups, followed by shape analyses, to identify
specific regions of ventricular abnormality. Of the initial cohort, a consecutive
sample of 71 children returned 2 years later for reimaging and determination of
progressive changes in the ventricular system. KEY FINDINGS: At baseline,
children with new-onset IGE had significantly larger lateral and third ventricle
volumes relative to the HC group. In addition, lateral ventricle enlargement in
IGE was significantly greater compared to new-onset ILRE. Shape analysis of the
lateral ventricles revealed that volume expansion in IGE was selective for the
anterior horn, a region surrounded by the lateral and medial frontal lobes as
well as basal ganglia. These abnormalities did not progress over a 2-year
interval. SIGNIFICANCE: Abnormalities in brain development prior to onset and
diagnosis of epilepsy are evident and reflected in expansion of the ventricular
system, especially among children with IGE. These abnormalities appear to
represent an antecedent and possibly static finding given the lack of progressive
ventricular expansion over the 2-year interval following diagnosis and treatment.
PMID- 22091818
TI - Structure-activity relationships in the control of chemical risks.
PMID- 22091819
TI - Predictions for existing chemicals-a multilateral QSAR project.
AB - Abstract Following a previous collaborative EU/EPA project focussed on QSAR
predictions for a selection of new chemicals which had been notified in the EU, a
similar exercise was started in 1993 on existing chemicals. In a first phase, the
project addresses the High Production Volume (HPV) chemicals which are produced
or imported at levels above a 1000t/year in the EU and 454t/year in the US. The
relevant EU (Annex 1 of Existing Chemicals Regulation No. 793/93) and US-EPA
lists contain 1036 and 2881 organic substances respectively of which HPV 749
chemicals are in common. The joint project aims at an estimation through
validated QSAR models of the physical-chemical, ecotoxicity and toxicity
endpoints which are included in the regulation and where experimental data will
become available in IUCLID (International Unified Chemicals Information
Database). Next to EC-JRC (ECB) and US-EPA, various laboratories in the EU are
contributing to the project and recently, two institutes in Japan have joined in
this project.
PMID- 22091817
TI - Crotonase catalysis enables flexible production of functionalized prolines and
carbapenams.
AB - The biocatalytic versatility of wildtype and engineered carboxymethylproline
synthases (CMPSs) is demonstrated by the preparation of functionalized 5
carboxymethylproline derivatives methylated at C-2, C-3, C-4, or C-5 of the
proline ring from appropriately substituted amino acid aldehydes and malonyl
coenzyme A. Notably, compounds with a quaternary center (at C-2 or C-5) were
prepared in a stereoselective fashion by engineered CMPSs. The substituted-5
carboxymethyl-prolines were converted into the corresponding bicyclic beta
lactams using a carbapenam synthetase. The results demonstrate the utility of the
crotonase superfamily enzymes for stereoselective biocatalysis, the amenability
of carbapenem biosynthesis pathways to engineering for the production of new
bicyclic beta-lactam derivatives, and the potential of engineered biocatalysts
for the production of quaternary centers.
PMID- 22091820
TI - Assessment of QSARS for Predicting Fate and Effects of Chemicals in the
Environment: An International European Project.
AB - Abstract In 1993, an international project on QSAR has been started with
funding from the Commission of the European Union. The first part of the project
is focused on preparing an overview of existing models for the prediction of
environmental parameters such as bioconcentration, sorption, degradation and
ecotoxicity. Emphasis will be given to defining the limitations of the models.
Since all models, including QSARs, have their limitations, it is important that
these limitations are known in case QSARs are actually used and applied within
the risk assessment context. The second part of the project is directed towards
experimental research on new developments with emphasis on the use of
multivariate techniques and quantum chemical properties. In this short paper, a
general outline of the project will be given, as well as some first results.
Results of experimental work within this project will be published in the
proceedings of the 6th International Workshop on QSAR in Environmental Sciences
and will appear in this same journal.
PMID- 22091821
TI - Evaluation of the Use of QSARS for Priority Setting and Risk Assessment.
AB - Abstract Impending changes in EEC legislation have accelerated the need to
define the principles and practical considerations of the use of QSARs in
priority setting and risk assessment. It is important to delineate the
limitations of this approach and to review whether and how this information
should be used in the risk assessment. The value and limitations of QSARs for use
in priority setting and risk assessment will not be discussed in detail since the
European Chemical Industry Ecology and Toxicology Centre (ECETOC) has only
recently established a Task Force to tackle this issue. The terms of reference of
the Task Force are: (1) compare the predictions obtained with QSARs to measured
data using ECETOC databases and other sources of data and comment on the validity
and applicability of such QSARs; (2) identify and review software packages which
are available for accessing and using appropriate QSARs; (3) identify those
aspects of environmental distribution, fate and effects where the further
development of QSARs is desirable and feasible; and (4) provide a scientific
basis for ECETOC's contribution to the activities of the European Chemicals
Bureau (ECB) in this area. In this short paper, only an initial and personal
evaluation is made of when and where to use QSARs in the priority setting and
risk assessment process within the regulatory framework. Some critical remarks
and suggestions are provided to guide future developments and integration of
QSARs in the risk assessment process.
PMID- 22091822
TI - Editorial board page for "SAR and QSAR in Environmental Research", Volume 3,
Number 3.
AB - Abstract This is a scanned image of the original Editorial Board page(s) for
this issue.
PMID- 22091823
TI - Decoding Caulobacter development.
AB - Caulobacter crescentus uses a multi-layered system of oscillating regulators to
program different developmental fates into each daughter cell at division. This
is achieved by superimposing gene expression, subcellular localization,
phosphorylation, and regulated proteolysis to form a complex regulatory network
that integrates chromosome replication, segregation, polar differentiation, and
cytokinesis. In this review, we outline the current state of research in the
field of Caulobacter development, emphasizing new findings that elaborate how the
developmental program is modulated by factors such as the environment or the
metabolic state of the cell.
PMID- 22091824
TI - The influence of the magnitude, probability, and valence of potential wins and
losses on the amplitude of the feedback negativity.
AB - We assessed the influence of the variables outcome potential, feedback valence,
magnitude, and probability on the amplitude of the feedback negativity (FN).
Outcome potential was defined as the a priori valence of an upcoming feedback,
that is, is there a potential win or potential loss? All these variables have
been studied previously, although never together, but the findings have been
contradictory. We analyzed the event-related potential (ERP) after feedback
presentation in a reinforcement-learning task to examine the effects of all the
variables on feedback negativity. Our results show that outcome potential,
feedback valence, probability, and magnitude all influence feedback related ERPs.
Taken together, the findings suggest that ERPs in the time range of the feedback
negativity are primarily driven by positive outcomes (reinforcement) rather than
negative outcomes (punishment).
PMID- 22091825
TI - "Does this doctor speak my language?" Improving the characterization of physician
non-English language skills.
AB - OBJECTIVE: To describe the initial impact of an organizational policy change on
measurement of physician non-English language proficiency. STUDY SETTING:
Multispecialty health care organization in the San Francisco Bay Area. STUDY
DESIGN/DATA COLLECTION: In response to preliminary findings suggesting that the
organization's nonvalidated and undefined three-category tool for physician self
report of non-English language proficiency levels was likely inadequate, the
organization asked physicians to rate their non-English language proficiency
levels using an adapted Interagency Language Roundtable (ILR) scale, a validated
measure with five rating levels and descriptors. We then compared the self
reported language proficiency on the original scale and the ILR for those
physicians who completed both and used regression analysis to investigate
physician characteristics potentially associated with a change in score on the
old versus ILR scales. PRINCIPAL FINDINGS: Six months after the ILR scale was
implemented throughout the organization, 75 percent (258/342) of physicians had
updated their language proficiency ratings. Among clinicians who had previously
rated themselves in the "Medical/Conversational" category, there were substantial
variations in scores using the ILR scale. Physicians who spoke two or more non
English languages were significantly more likely to lower their self-reported
proficiency when updating from the old scale to the ILR scale. CONCLUSIONS: The
organization was willing to adopt a relatively straightforward change in how data
were collected and presented to patients based on the face validity of initial
findings. This organizational policy change appeared to improve how self-reported
physician language proficiency was characterized.
PMID- 22091826
TI - Mortality in out-of-hospital cardiac arrest patients has decreased in the era of
therapeutic hypothermia.
AB - BACKGROUND: Mild therapeutic hypothermia (TH) improves survival after out-of
hospital cardiac arrest (OHCA). This treatment was implemented in most Finnish
intensive care units (ICUs) in 2003. The aim of this study was to find out
whether hospital mortality of ICU-treated OHCA patients has changed in the era of
TH. METHODS: This was a retrospective study of data collected prospectively into
the database of the Finnish Intensive Care Consortium during the years 2000-2008.
The study population consisted of 3958 patients for whom cardiac arrest was
registered as the reason for ICU admission and who were transferred to the ICU
from the emergency department. We divided the patients into those treated in the
pre-hypothermia era (2000-2002) and those treated in the hypothermia era (2003
2008). We investigated whether the treatment period had any impact on hospital
mortality. RESULTS: There were no differences between the periods regarding the
age or initial Glasgow Coma Scores of the patients. Mean severity of illness was
higher in the latter period. Despite this, mortality decreased: the hospital
mortality rate was 57.9% in 2000-2002 and 51.1% in 2003-2008, P < 0.001. In a
multivariate logistic regression analysis, treatment in 2003-2008 was associated
with a reduced risk of in-hospital death (adjusted odds ratio 0.54, 95%
confidence interval 0.45-0.64 and P < 0.001). Survival improved markedly between
the years 2002 and 2003. This improvement has persisted, but there has been no
further improvement. CONCLUSION: Concurrently with the implementation of TH,
hospital mortality of OHCA patients treated in Finnish ICUs decreased.
PMID- 22091827
TI - When doctors and daughters disagree: twenty-two days and two blinks of an eye.
AB - A cornerstone of American medical ethics is the right to say, "Keep your hands
off of me," to decline medical treatment. A central problem is how to decide
about individuals who have become incapacitated and can no longer request or
refuse potentially life-sustaining treatment. An advance directive is a formal
attempt to protect people's right to autonomy when they are no longer autonomous.
As such, it assumes that previously expressed wishes are precise and immutable,
but many families make decisions together, and individuals may negotiate,
compromise, and modify their genuine preferences, especially when novel threats
arise, and the stakes are high. The current article describes a case in which two
daughters overruled a patient's explicit preference to refuse life-sustaining
treatment, leading to burdensome illness before death. In the end, the mother
seemed to understand her children's needs and seemed willing, at least in
retrospect, to have met those needs. After the death of this individual, we
continued to talk with the daughters and videotaped an interview in which they
shared their perspectives on the case. The daughters consented to be videotaped
and to share the video with the medical community (available in online version of
article). Their forceful devotion to their mother and their search in retrospect
for what could have been done differently has completely changed our
understanding of events. We believe that the daughters' behavior is not the
indefensible breach of respect for person that it seemed to be. Their mother's
true wishes might well have included a desire to help her children during her own
dying. Family members' preferences are likely to be important considerations for
many people, although the possibility of coercion has to be acknowledged as well.
Accommodating this level of decision-making complexity is highly problematic for
our understanding of advance directives.
PMID- 22091828
TI - Development and field-scale optimization of a honeycomb zeolite rotor
concentrator/recuperative oxidizer for the abatement of volatile organic carbons
from semiconductor industry.
AB - The combined concentrator/oxidizer system has been proposed as an effective
physical-chemical option and proven to be a viable solution that enables Volatile
Organic Carbons (VOCs) emitters to comply with the regulations. In this work, a
field scale honeycomb zeolite rotor concentrator combined with a recuperative
oxidizer was developed and applied for the treatment of the VOC waste gas. The
research shows the following: (1) for the adsorption rotor, zeolite is a more
appropriate material than Granular Activated Carbon (GAC). The designing and
operation parameters of the concentrator were discussed in detail including the
size and the optimal rotation speed of rotor. Also the developed rotor
performance's was evaluated in the field; (2) Direct Fired Thermal Oxidizer
(DFTO), Recuperative Oxidizer (RO), Regenerative Thermal Oxidizer (RTO) and
Regenerative Catalytic oxidizer (RCO) are the available incinerators and the RO
was selected as the oxidizer in this work; (3) The overall performance of the
developed rotor/oxidizer was explored in a field scale under varying conditions;
(4) The energy saving strategy was fulfilled by reducing heat loss from the
oxidizer and recovering heat from the exhaust gas. Data shows that the developed
rotor/oxidizer could remove over 95% VOCs with reasonable cost and this could be
helpful for similar plants when considering VOC abatement.
PMID- 22091829
TI - The hierarchical structure of childhood personality in five countries: continuity
from early childhood to early adolescence.
AB - Childhood personality is a rapidly growing area of investigation within
individual differences research. One understudied topic is the universality of
the hierarchical structure of childhood personality. In the present
investigation, parents rated the personality characteristics of 3,751 children
from 5 countries and 4 age groups. The hierarchical structure of childhood
personality was examined for 1-, 2-, 3-, 4-, and 5-factor models across country
(Canada, China, Greece, Russia, and the United States) and age group (3-5, 6-8, 9
11, and 12-14 years of age). Many similarities were noted across both country and
age. The Five-Factor Model was salient beginning in early childhood (ages 3-5).
Deviations across groups and from adult findings are noted, including the
prominent role of antagonism in childhood personality and the high covariation
between Conscientiousness and intellect. Future directions, including the need
for more explicit attempts to merge temperament and personality models, are
discussed.
PMID- 22091830
TI - Novel blockers of hyperpolarization-activated current with isoform selectivity in
recombinant cells and native tissue.
AB - BACKGROUND AND PURPOSE Selective hyperpolarization activated, cyclic nucleotide
gated channel (HCN) blockers represent an important therapeutic goal due to the
wide distribution and multiple functions of these proteins, representing the
molecular correlate of f- and h-current (I(f) or I(h) ). Recently, new compounds
able to block differentially the homomeric HCN isoforms expressed in HEK293 have
been synthesized. In the present work, the electrophysiological and
pharmacological properties of these new HCN blockers were characterized and their
activities evaluated on native channels. EXPERIMENTAL APPROACH HEK293 cells
expressing mHCN1, mHCN2 and hHCN4 isoforms were used to verify channel blockade.
Selected compounds were tested on native guinea pig sinoatrial node cells and
neurons from mouse dorsal root ganglion (DRG) by patch-clamp recordings and on
dog Purkinje fibres by intracellular recordings. KEY RESULTS In HEK293 cells,
EC18 was found to be significantly selective for HCN4 and MEL57A for HCN1 at
physiological membrane potential. When tested on guinea pig sinoatrial node
cells, EC18 (10 uM) maintained its activity, reducing I(f) by 67% at -120 mV,
while MEL57A (3 uM) reduced I(f) by 18%. In contrast, in mouse DRG neurons, only
MEL57A (30 and 100 uM) significantly reduced I(h) by 60% at -80 mV. In dog
cardiac Purkinje fibres, EC18, but not MEL57A, reduced the amplitude and slowed
the slope of the spontaneous diastolic depolarization. CONCLUSIONS Our results
have identified novel and highly selective HCN isoform blockers, EC18 and MEL57A;
the selectivity found in recombinant system was maintained in various tissues
expressing different HCN isoforms.
PMID- 22091831
TI - Computed tomographic documentation of the natural progression of humeral
intracondylar fissure in a cocker spaniel.
AB - OBJECTIVE: To report the computed tomographic (CT) documentation of humeral
intracondylar fissure (HIF) developing after complete ossification of the humeral
condyle (HC). STUDY DESIGN: Clinical report. ANIMALS: Male 3 year old working
(English) Cocker Spaniel. METHODS: Sequential CT screening (659-day interval
between analyses). RESULTS: A sagittal hypodense fissure typical of incomplete
ossification of the humeral condyle (IOHC) was identified ~ 22 months after
screening CT examination documented a normal elbow joint. CONCLUSION: Even in
dogs with clinical features typical of the condition most commonly termed IOHC,
fissure formation and propagation can occur after ossification is complete.
PMID- 22091832
TI - Repair of rabbit ulna segmental bone defect using freshly isolated adipose
derived stromal vascular fraction.
AB - BACKGROUND AIMS: Stromal vascular fractions (SVF) from adipose tissue have
heterogeneous cell populations, and include multipotent adipose-derived stem
cells. The advantages of using of SVF include the avoidance of an additional
culture period, a reduced risk of extensive cell contamination, and cost
effectiveness. METHODS: Unilateral 20-mm mid-diaphyseal segmental defects in
rabbit ulna were treated with one of the following: polylactic glycolic acid
(PLGA) scaffold alone (group 1, control), a PLGA scaffold with undifferentiated
SVF cells (group 2), or a PLGA scaffold with osteogenically differentiated SVF
cells (group 3). At 8 weeks after implantation, five rabbits in each treatment
group were killed to assess bone defect healing by plain radiography,
quantitative microcomputed tomography and histology. RESULTS: The SVF cells were
well grown on PLGA scaffolds and expressed type I collagen and alkaline
phosphatase (ALP). The intensity of ALP and OPN gene expressions in osteogenic
medium culture were increased from 14 days to 28 days. In vivo evaluations at 8
weeks showed that treatment of SVF cells with or without osteogenic
differentiation resulted in more bone formation in the critically sized segmental
defects than PLGA scaffold alone. Osteogenically differentiated SVF cells
significantly enhanced bone healing compared with undifferentiated SVF cells.
CONCLUSIONS: Adipose-derived stromal SVF showed osteogenic potential in vitro.
Accordingly, SVF could provide a cell source for bone tissue engineering.
However, treatment with uncultured SVF cells on bone healing was not satisfactory
in the in vivo animal model.
PMID- 22091833
TI - Comparison of chemokine and receptor gene expression between Wharton's jelly and
bone marrow-derived mesenchymal stromal cells.
AB - BACKGROUND AIMS: Because of their multilineage differentiation capacity,
immunomodulatory role and homing ability, mesenchymal stromal cells (MSC) are
emerging as a new therapeutic strategy for treating a variety of disorders.
Although bone marrow (BM) is the best characterized source of MSC, Wharton's
jelly (WJ) of the umbilical cord holds great promise as an alternative. As
delivery direct to the site of injury is not always feasible, efficient homing of
MSC to the site of injury is critical for inducing tissue repair and
regeneration. MSC express a wide variety of growth factors, chemokines and
receptors that are important for cell migration, homing and re-establishment of
blood supply for recovery of damaged tissues. METHODS: Detailed chemokine and
receptor gene expression profiles of WJ MSC were established, and subsequently
compared with those of BM-derived MSC using a polymerase chain reaction (PCR)
array. Secretion of growth factors was analyzed and evaluated using culture
supernatant from WJ and BM MSC. RESULTS: Our results revealed a differential
expression pattern of the chemokines and their receptors between WJ- and BM
derived MSC. Several Glutamic acid-Leucine-Arginine; ELR-positive CXC chemokine
genes and secretion of growth factors, which promote angiogenesis, were found to
be up-regulated in WJ MSC. CONCLUSIONS: To understand better the localization and
mechanism of tissue repair by transplanted WJ MSC, we attempted chemokine and
their receptor transcription profiling, followed by analysis of growth factors
secreted by WJ MSC, and compared them against those of BM MSC. The data suggest
that MSC from different sources can be explored for distinct therapeutic roles.
PMID- 22091835
TI - The feedback-related negativity (FRN) in adolescents.
AB - This study examined age-related differences in the ERP correlates of external
feedback processing (i.e., the feedback-related negativity [FRN]) in adolescent
and young adult males, using a simple gambling task involving unpredictable
monetary losses and gains of low and high magnitude. The FRN was larger after
losses than gains, and was modulated by the magnitude of gains, but not the
magnitude of losses, for all participants regardless of age. FRN amplitude was
larger in adolescents than adults and also discriminated relatively less strongly
between gains and losses in adolescents. In addition, the morphology of the
waveform after high losses suggests that feedback in this condition may have been
processed less efficiently by adolescents. Our results suggest that, although the
FRN in adults and adolescents share some common characteristics, the neural
processes that generate the FRN are still developing in midadolescence. These
findings are discussed in the context of adolescent risk taking.
PMID- 22091834
TI - Can claims-based data be used to recruit black and Hispanic subjects into
clinical trials?
AB - OBJECTIVE: Evaluate the accuracy of an algorithm at identifying ethnic minorities
from administrative claims for enrollment into a clinical trial. DATA
SOURCES/STUDY SETTING: Claims data from a health benefits company. STUDY DESIGN:
We compared results of a three-step algorithm to self-reported race/ethnicity.
DATA COLLECTION/EXTRACTION METHODS: Using the algorithm, we identified subjects
with high probability of being minority and ascertained self-reported
race/ethnicity. PRINCIPAL FINDINGS: We identified 164 subjects as likely minority
based on our algorithm. Of these, 94 completed the survey and 87 identified
themselves as black or Hispanic. The positive predictive value of the algorithm
was 93 percent (CI: 85-97). CONCLUSIONS: Claims data can be used to efficiently
identify minorities for participation in clinical trials.
PMID- 22091836
TI - NHC gold halide complexes derived from 4,5-diarylimidazoles: synthesis,
structural analysis, and pharmacological investigations as potential antitumor
agents.
AB - A series of novel neutral NHC gold halide complexes derived from 4,5
diarylimidazoles were synthesized, characterized, and analyzed for biological
effects. High growth inhibitory effects in MCF-7 and MDA-MB 231 breast cancer as
well as HT-29 colon cancer cell lines depended on the presence of the C4,C5
standing aromatic rings. Methoxy groups at these rings did not change the growth
inhibitory properties, while F-substituents in the ortho-position (5d) increased
the activity in MCF-7 and MDA-MB 231 cells. The substituents at the nitrogen
atoms and the oxidation state of the metal play a subordinate role. The most
active bromo[1,3-diethyl-4,5-bis(2-fluorophenyl)-1,3-dihydro-2H-imidazol-2
ylidene]gold(I) (5d) was distinctly more active than cisplatin. All complexes
caused thioredoxin reductase (TrxR) inhibition (EC50=374-1505 nM) distinctly
lower than auranofin (EC50=18.6 nM) excluding this enzyme as main target. Because
of the low nuclear content, a participation of DNA interaction on the mode of
action is very unlikely. The missing ER binding and the missing correlation of
growth inhibition and inactivation of COX enzymes exclude these targets, too.
PMID- 22091837
TI - Autism spectrum disorders in older adults: toward defining a research agenda.
AB - Autism spectrum disorders (ASDs) are among the most common of the severe
developmental disabilities, yet little is known about older adults with ASDs-in
particular, how the disabilities and dependencies that result from aging interact
with those resulting from ASDs. The aging of the population in Western countries,
the increasing rate of diagnosis of ASDs, and the burgeoning use of services for
ASDs are converging to create a large, growing influx of older adults with ASDs
that could impose tremendous humanistic and economic burdens on the healthcare
system and society. An understanding of the epidemiological, biological,
psychological, and social aspects of ASDs in older adults is essential for
preparing to meet their needs, but studies on ASDs in these individuals are
practically nonexistent. This article outlines observations and recommendations
of a multidisciplinary expert group convened in March 2010 to characterize gaps
in knowledge regarding ASDs in older adults and defines research directions to
help individuals, the healthcare system, and society prepare for meeting the
needs of this population. The proposed research agenda could help improve the
lives of older adults with ASDs and inform research and clinical practice
involving younger individuals with ASDs.
PMID- 22091838
TI - The relationship between retrieval-induced forgetting, anxiety, and personality.
AB - Retrieval-induced forgetting (RIF) refers to the finding that the retrieval of a
memory trace suppresses the retrieval of rival memory traces, and there is
evidence that RIF reflects the effects of cognitive inhibition. The Attentional
Control Theory (ACT) postulates that cognitive inhibition will be impaired by a
high level of state anxiety, but the effect of anxiety on RIF has not previously
been investigated. A sample of 116 participants were tested on the RIF procedure,
and were also administered the Spielberger State Anxiety Inventory and the Big
Five Personality Inventory. The results indicated a significant negative
correlation between RIF scores and state anxiety, and a significant positive
correlation between RIF scores and extraversion. However, a multiple regression
analysis identified extraversion as the main predictor of RIF performance. None
of the other personality factors correlated with RIF scores. These findings are
consistent with the predictions of the ACT.
PMID- 22091841
TI - An Investigation of Clustering as a Tool in Quantitative Structure-Activity
Relationships (QSARS).
AB - Abstract By means of clustering, one is able to manage large databases easily.
Clustering according to structure similarity distinguished the several chemical
classes that were present in our training set. All the clusters showed
correlation of log WS with log K ( OW ) and melting point, except EINECS-cluster
1. This cluster contains only chemicals with melting points below room
temperature, resulting in a log WS-log K( OW ), relationship. The observed weak
correlation for this cluster is probably due to the insufficient number of
available screens. Such a limited amount of screens allows relatively very
different chemicals to share the same cluster. Using statistical criteria, our
approach resulted in three QSARs with reasonably good predictive capabilities,
originating from clusters 1639, 3472, and 5830. The models resulting from the
smaller clusters 6873, 8154, and 16424 are characterised by high correlation
coefficients which describe the cluster itself very well but, due to our
stringent bootstrap criterion, they are close to randomness. Clusters 6815 and
18083 showed rather low correlations. The models originating from clusters 1639,
3472, and 5830 proved their usefulness by external validation. The log WS-values
calculated with our QSARs agreed within 1 log-unit to these reported in the
literature.
PMID- 22091840
TI - Prostaglandin E(2) potentiates methylmalonate-induced seizures.
AB - PURPOSE: Methylmalonic acidemias are inherited metabolic disorders characterized
by methylmalonate (MMA) accumulation and neurologic dysfunction, including
seizures. It is known that metabolic crises in affected patients are precipitated
by infections. Although growing evidence supports that inflammation facilitates
seizures, it is not known whether inflammatory mediators facilitate MMA-induced
seizures. Therefore, in this study we investigate the involvement of
cyclooxygenase-2 (COX-2) and prostaglandin E(2) (PGE(2)) in MMA-induced seizures.
METHODS: Adult male Wistar rats were implanted with electrodes over the parietal
cortex for electroencephalography (EEG) recording and a cannula in the right
lateral ventricle. Animals were injected with PGE(2) (100 ng/2 MUl, i.c.v.) or
phosphate-buffered saline (PBS) (2 MUl, i.c.v.), 15 min before MMA (2.5 MUmol/2.5
MUl, i.c.v.) or NaCl (2.5 MUmol/2.5 MUl, i.c.v.). The anticonvulsant effect of
celecoxib (0.2; 2 or 20 mg/kg, p.o., 60 min before MMA) on MMA-induced seizures,
and whether PGE(2) (10 or 100 ng/2 MUl, i.c.v.) prevented the anticonvulsant
effect of celecoxib (2 mg/kg, p.o.) were also investigated. KEY FINDINGS: PGE(2)
decreased the latency to MMA-induced jerks and generalized seizures, and
increased the amplitude of generalized seizure EEG recordings. The selective COX
2 inhibitor celecoxib at the dose 2 mg/kg, but not at the dose 20 mg/kg,
completely prevented MMA-induced seizures. The protective effect of celecoxib (2
mg/kg) against MMA-induced seizures was prevented by PGE(2). SIGNIFICANCE: These
results support a role for PGE(2) in the seizures elicited by MMA, which is in
agreement with the view that infections may precipitate and exacerbate neurologic
dysfunction in patients with MMA acidemic.
PMID- 22091842
TI - Selection of Polychlorinated Biphenyls for use in Quantitative Structure-Activity
Modelling.
AB - Abstract By characterizing the 154 tetra- through heptachlorinated biphenyl
(PCB) congeners with a multitude of physico-chemical descriptors, a model
representing chemical similarities and differences is achieved. The multivariate
characterization of the PCBs was based on 47 physico-chemical descriptor
variables, which were summarised by using principal component analysis (PCA). By
applying statistical design to the orthogonal scores from the PCA, a 2(4)
factorial design was used to select a set of 16 congeners. In addition, four
congeners were added to provide information about the interior region of the
chemical domain of PCBs. This set of 20 structurally different congeners is
suggested to be used in future quantitative structure-activity relationships
(QSARs) for screening of the toxicological and biochemical effects of the PCBs.
PMID- 22091839
TI - Recent progress in Bacillus subtilis sporulation.
AB - The Gram-positive bacterium Bacillus subtilis can initiate the process of
sporulation under conditions of nutrient limitation. Here, we review some of the
last 5 years of work in this area, with a particular focus on the decision to
initiate sporulation, DNA translocation, cell-cell communication, protein
localization and spore morphogenesis. The progress we describe has implications
not only just for the study of sporulation but also for other biological systems
where homologs of sporulation-specific proteins are involved in vegetative
growth.
PMID- 22091843
TI - A General QSAR Model for Predicting the Toxicity of Organic Chemicals to
Luminescent Bacteria (Microtox(r) test).
AB - Abstract A large data set of Microtox(r) toxicity results was used to derive a
general QSAR model. Chemicals were described by means of a modified
autocorrelation method. The autocorrelation vectors were generated from atomic
contributions encoding the hydrophobicity and molar refractivity of the
molecules. A three-layer backpropagation neural network was used to design the
model. The obtained results were compared with those obtained from a principal
components regression analysis.
PMID- 22091844
TI - Nonlinear Multivariate SAR of Lepidoptera Pheromones.
AB - Abstract The combined use of the nonlinear mapping method with correspondence
factor analysis allowed to derive interesting structure-chemoreception
relationships in Lepidoptera. A chemotaxonomy of insects based on their responses
to pheromones was also proposed.
PMID- 22091845
TI - Modelling physico-chemical properties of halogenated benzenes: QSAR optimisation
through variables selection.
AB - Abstract This paper describes the development of multivariate QSAR models for
halogenated benzenes. Four physico-chemical properties have been modelled:
Boiling Point (BP), Melting Point (MP), Flash Point (FP) and Density (D). For the
multivariate characterisation of this class of compounds 90 topological indices
derived from the chemical formula were used. The models were calibrated on
training sets selected by a D-optimal design and were optimised by a statistical
procedure of selection of the most informative variables. The predictive capacity
of the resulting models measured in terms of the parameter SDEP (Standard
Deviation of the Errors of Predictions) were as follows; MP: +/- 21 +/-C (with MP
ranging from -48 degrees to 181 degrees C); BP: +/-13 degrees C (75 degrees to
286 degrees C); D: +/-0.14 (1.02 to 2.52 g/cm(3)); FP: +/-17 degrees C (-12 to
126 degrees C). These data were confirmed "experimentally", i.e., on external
validation sets of compounds, the experimental values of the standard deviation
of prediction errors were in fact as follows; MP: +/-30 degrees C; BP: +/-16
degrees C D: +/-0.18 g/cm(3); FP: +/-16 degrees C. The developed models therefore
provide a valuable tool for estimating physico-chemical properties relevant to
environmental chemistry.
PMID- 22091846
TI - Editorial board page for "SAR and QSAR in Environmental Research", Volume 4,
Number 1.
AB - Abstract This is a scanned image of the original Editorial Board page(s) for
this issue.
PMID- 22091847
TI - Separation of sunscreens in skincare creams using greener high-temperature liquid
chromatography and subcritical water chromatography.
AB - In this study, high-temperature liquid chromatographic (HTLC) and subcritical
water chromatographic (SBWC) separations of sunscreens contained in skincare
creams were achieved at temperatures ranging from 90 to 250 degrees C. The
columns employed in this work include a ZirChrom-DiamondBond-C18, a XTerra MS C18
and a XBridge C18 column. The quantity of methanol consumed by the greener HTLC
sunscreen methods developed in this project is significantly reduced although the
HTLC separation at this stage is not as efficient as that achieved by traditional
HPLC. SBWC separation of sunscreens was also achieved on the XTerra MS C18 and
the XBridge C18 columns using pure water at 230-250 degrees C. Methanol was
eliminated in the SBWC methods developed in this study.
PMID- 22091848
TI - Investigation of the photoinduced magnetization of copper octacyanomolybdates
nanoparticles by X-ray magnetic circular dichroism.
AB - Through an extensive set of SQUID magnetic measurements, X-ray absorption
spectroscopy, and X-ray magnetic circular dichroism, we have determined the
nature of the metastable photomagnetic phase in the cyano-bridged 3D network
Cs(2)Cu(7)[Mo(CN)(8)](4). The photomagnetic effect is induced by the
photoconversion of Mo(IV) ions in low spin (LS) configuration (S = 0) into Mo(IV)
ions in high spin (HS) configuration (S = 1). The magnetic and spectroscopic
measurements fully support the LS to HS conversion, whereas the previously
invoked charge transfer mechanism Mo(IV) + Cu(II) => Mo(V) + Cu(I) can be
completely ruled out.
PMID- 22091849
TI - The association of health insurance and disease impairment with reported asthma
prevalence in U.S. children.
AB - OBJECTIVE: To test the hypotheses that reported asthma prevalence is higher among
insured than uninsured children and that insurance-based differences in asthma
diagnosis, treatment, and health care utilization are associated with disease
severity. DATA SOURCES: National Health and Nutrition Examination Survey, 2003
2008. STUDY DESIGN: We used multivariate logistic regression to examine the
relationship between insurance and asthma symptom severity with asthma diagnosis,
treatment, and acute care utilization. PRINCIPAL FINDINGS: In multivariate
analysis, insured children had greater odds of reporting a current diagnosis of
asthma than uninsured children (odds ratio [OR] = 2.08, 95% confidence interval
[CI]: 1.47-2.94). When interactions between insurance and asthma impairment were
included, insurance was associated with greater odds of diagnosis among children
with intermittent (OR = 4.08, 95% CI: 1.57-10.61), but not persistent, symptoms.
Among children with intermittent symptoms, insurance was associated with inhaled
corticosteroid use (OR = 4.51, 95% CI: 1.18-17.24) and asthma-related acute care
utilization (OR = 5.21, 95% CI: 1.21-23.53); these associations were
nonsignificant among children with persistent symptoms. CONCLUSION: Being insured
increases only the likelihood that a child with intermittent, not persistent,
asthma symptoms will receive an asthma diagnosis and control medication, and it
may not reduce acute care utilization. Although universal insurance may increase
detection and management of undiagnosed childhood asthma, theorized cost savings
from reduced acute care utilization might not materialize.
PMID- 22091850
TI - SPR Award, 2010. For distinguished contributions to psychophysiology: Judith M.
Ford.
PMID- 22091851
TI - Population estimates for Bangladesh: The use of a specific transitional
population model.
AB - Summary The development of population in Bangladesh was affected by a
succession of man-made and natural calamities, such as the Bengal Famine of 1943,
refugee movements following the partition of India and Pakistan in 1947,
devastating floods and cyclones around 1970, and the military action during the
war of liberation. Though there had been a tradition of census taking and vital
registration in Bangladesh, as part of the Indian sub-continent, extending for
over a century, vital registration was so deficient as to be almost valueless,
and there were gross misstatements of age and under-enumeration in the censuses.
In the census of 1941, on the other hand, political manoeuvring led to a
substantial overcount of the population. In this paper, Bangladesh population
trends are studied within the broader framework of the subcontinent, taking
account of plausible differentials. A considerable element of uncertainty was
introduced into growth trends as a result of variations in the completeness of
census-taking and of unrecorded refugee and labour movements across open land
borders. In this connection the substantial inflationary bias associated with
techniques of population estimation using the dual record system is discussed.
The application of stable population models is even less justified in Bangladesh
with its history of declining mortality. A transitional age structure model was
constructed on the basis of the information available on declining mortality and
accelerating growth and the model was made even more specific by modifications
which took care of the impact of recent calamities and of unrecorded migration.
The population base of the census of 1961 was adjusted in accordance with this
model. The local mortality age pattern was used in projecting the population by
sex and age groups to the date at which the census was originally due to be taken
in 1971, and to the date when it was actually taken in March 1974. The post-1970
calamities and their effect on mortality were ignored. The aggregate estimate of
population of 72.9 million in March 1974 is slightly in excess of the census
count (by about two per cent) reported provisionally as 71.3 million. The excess
in our estimate could be accounted for by the losses due to cyclone and military
action.
PMID- 22091852
TI - Attitudes toward family size in some East European countries.
AB - Summary The paper is a review of published materials on attitudes toward family
size derived from nationwide family planning studies conducted in Czechoslovakia,
Hungary, Poland and the USSR around 1970. Priority is given to the findings on
expected family size, though other attitudinal variables such as the ideal number
of children and/or the number planned at marriage are also discussed. The paper
shows that the majority of women in all the countries surveyed tend to have a
limited number of children. Although the trend is especially striking among
better-educated and gainfully employed women, it is also spreading fast,
particularly among the younger generations, through the whole urban and rural
population. The average expected family size is generally close to, and for a
sizeable group of women below, replacement level. The trend toward a small
family size is only partially a reflection of real desires. Various factors, most
of them apparently of an economic nature, prompt many women to have fewer
children than they would wish. If the average expected fertility were equal to
that considered as ideal or to that planned at marriage there would be no danger
that births would fall below replacement level. In contrast to the situation in
the countries as a whole, women in the Asian Republics of the USSR not only
expect but also tend to regard as ideal a family with larger numbers of children.
PMID- 22091853
TI - Parental survival data: Some results of the application of Ledermann's model life
tables.
AB - Summary Ledermann's one- and two-parameter model life tables are used in order
to summarize and compare adult mortality estimates derived from parental survival
data, and also to link parental survival with child survival data. The
Ledermann models provide an alternative to the logit model used by Brass and
Hill. Examination of life tables derived from actual child and adult mortality
estimates reveals that although the two types of models yield similar overall
levels of mortality, they show marked differences in the estimated patterns by
sex and age. It has not been possible to disentangle completely how much of this
divergence is due to the models themselves and how much to inadequacies in the
data available. Finally, we question whether it is always wise to establish a
full life table from child and adult mortality estimates when these are based on
data which refer to different periods of exposure to the risk of dying, without
allowance for possible distortions resulting from mortality change.
PMID- 22091854
TI - Government perceptions of population growth.
AB - Summary This paper seeks to evaluate the extent to which the world's population
is represented by governments that judge population growth largely in terms of
their countries' socio-economic capacity to absorb such growth. The initial data
base consists of 125 countries, representing approximately 94 per cent of the
world's population, classified according to whether their governments perceive
their rates of population growth as deficient, satisfactory or excessive. Using
various socio-economic development indices, a crude attempt is made to assess
linkages between government perceptions and absorptive capacity. Results lead to
insights into why some governments perceive their population situation at
variance with the popular tide of opinion about desirability of reducing
population growth everywhere.
PMID- 22091855
TI - Demographic crisis: The impact of the Bangladesh civil war (1971) on births and
deaths in a rural area of Bangladesh.
AB - Summary In Matlab Bazaar Thana the Cholera Research Laboratory has registered
the births, deaths and migrations in a population of approximately 125,000 since
1966. Although this rural area was not the scene of any significant armed
encounters, striking changes in birth and death rates were registered during and
after the conflict. Birth rates did not change during the relatively brief period
of the civil war, but a small decline was registered for one year after the war.
Fertility rates which had been declining slightly and irregularly in the pre-war
baseline period may have increased slightly during the war and fell substantially
in all age groups in the year following the war. The crude death rate, which rose
by 37 per cent during the war, was a very sensitive reflection of the
administrative and economic problems. Overall infant mortality rose by only 15
per cent over pre-war levels because all of the increase was observed in the post
neo-natal component, which traditionally accounts for less than one-third of the
total infant mortality in Bangladesh. Children and older adults accounted for the
majority of excess deaths which were largely attributed to acute diarrhoeas and
other gastro-intestinal causes. The death rate at ages 1-4 rose by 43 per cent
and at ages 5-9 soared to 208 per cent above pre-war baseline rates. All
increases in age-specific mortality rates fell to baseline levels during the year
following the war, except the 5-9-year age group, in which rates continued to be
high largely because of deaths due to dysentery.
PMID- 22091856
TI - Pro-natalist population policies in Czechoslovakia.
AB - Summary Given the high rate of women's employment and the lack of labour
reserves, other than the natural replacement of the population, pro-natalist
population policy in Czechoslovakia should be seen as a response to an
anticipated shortage of labour. The rapid post-war decline in the birth rate has
been caused by the greatly increased opportunity structure for women in education
and employment, and by other policies favouring lower natality - rapid
urbanization, inadequate provision of housing, insufficient investment in
consumers' goods and services, low wages and relatively free availability of
abortion. To reverse this undesirable population trend, the Czechoslovak
government has adopted a more restrictive attitude towards abortion, lengthened
paid maternity leave, increased family allowances and single grants given at
childbirth and introduced the so-called maternity allowance, which is a direct
monthly payment given by the state to mothers who wish to stay at home to raise a
second or subsequent child, until the child is two years old. The time so spent
counts towards the mother's retirement pension and other kinds of seniority, and
her job is held open for her. These measures have contributed to the recent
increase in the Czechoslovak birth rate, but more time is needed for the
assessment of the long-term effectiveness of these measures.
PMID- 22091857
TI - Cohort nuptiality in England and Wales.
AB - Summary A computerized nuptiality system, called GENMAR, has been developed to
investigate trends in cohort nuptiality in England and Wales. This system has
five main programmes dealing with first marriage, the effects of changes in
mortality on nuptiality measures, divorce, re-marriage, and marital status
distribution. This paper summarizes the results of the application of the first
programme to England and Wales data on first marriages of persons who were born
in every single year since 1900. GENMAR-1 generated for each of these cohorts a
'complete' gross nuptiality table. The analysis shows that there have been
substantial increases in the intensity of first marriage at young ages, a
downward shift in the modal age at marriage, and a significant rise in the
proportion ever married among women. The cohort nuptiality tables also show that
the change in the nuptiality of women was due to changes in both the tempo and
level of nuptiality, whereas the change for men was mainly the effect of shifts
in the temporal pattern of nuptiality. There are, however, signs of a slow down
of marriage among the cohorts born since the early 1950's.
PMID- 22091858
TI - Matching experimentation in a dual record system for births and deaths in
Morocco.
AB - Summary In an effort to improve the quality and completeness of birth and death
reporting in Morocco, a sample of 84,000 persons was established, for whom birth
and death data were collected by two methods. Each household was contacted once a
month by interviewers in a registration method; independently, each household was
interviewed once every six months by a survey team. Interviewing continued from
April 1972 to July 1973. Results of these two methods were then compared through
matching the vital events recorded by the two sources. First a 'true match
status' was established by teams of 'experts', supplemented by field verification
of uncertain cases. Of the 15 variables on the vital event reporting forms, eight
were studied to establish the optimal tolerance limits for deciding whether any
two completed recording forms (one from each method) were recording a single
birth (or death) or two different ones. Next, those characteristics were selected
which introduced the fewest matching errors; for births, this was the dwelling
unit number, the name of the mother, and the name of the baby; for deaths, the
dwelling unit number, the name of the person, and the name of the head of
household. Aside from its manifest function, the matching operation also improved
the fieldwork and helped to clean the data.
PMID- 22091859
TI - Methods of adjusting the stable estimates of fertility for the effects of
mortality decline.
AB - Summary The paper shows how stable population methods, based on the age
structure and the rate of increase, may be used to estimate the demographic
measures of a quasi-stable population. After a discussion of known methods for
adjusting the stable estimates to allow for the effects of mortality decline two
new methods are presented, the application of which requires less information.
The first method does not need any supplementary information, and the second
method requires an estimate of the difference between the last two five-year
intercensal rates of increase, i.e. five times the annual change of the rate of
increase during the last ten years. For these new methods we do not need to know
the onset year of mortality decline as in the Coale-Demeny method, or a long
series of rates of increase as in Zachariah's method.
PMID- 22091862
TI - Common data elements for research on traumatic brain injury: pediatric
considerations.
AB - Traumatic brain injury (TBI) is a significant global health problem, with a
notably high incidence in children and adolescents. Despite the prevalence of TBI
and the disabilities that often follow, research on which to base effective
treatment is limited by several challenges, including but not limited to the
complexity and heterogeneity of TBI. Even when rigorous methods are employed, the
utility of the research may be limited by difficulties in comparing findings
across studies resulting from the use of different measures to assess similar TBI
study variables. Standardization of definitions and data elements is an important
step toward accelerating the process of data sharing that will ultimately lead to
a stronger evidence base for treatment advances. To address this need,
recommendations for common data elements (CDEs) for research on TBI were
developed through a 2009 national initiative. To ensure that the TBI CDE
recommendations are relevant to pediatric populations, the National Institute on
Disability and Rehabilitation Research (NIDRR) and the National Institute of
Neurological Disorders and Stroke (NINDS) called for a review of the original
recommendations. Following the process used for the original initiative,
multidisciplinary work groups composed of pediatric TBI experts were formed
(Demographics and Clinical Assessment; Biomarkers; Neuroimaging; and Outcomes
Assessment). Recommendations for modifications and additions to the original CDEs
were developed by the work groups, vetted at a 2010 workshop and further refined
in preparation for publication. The pediatric considerations for TBI CDEs are
described in a series of articles in this journal. This article describes the
efforts leading to this pediatric CDE initiative and the CDE review and
development process. It concludes with general recommendations for future
iterations of the CDE initiative.
PMID- 22091863
TI - Lost in transition.
PMID- 22091864
TI - Antimicrobial applications of electroactive PVK-SWNT nanocomposites.
AB - The antibacterial properties of a nanocomposite containing an electroactive
polymer, polyvinyl-N-carbazole (PVK) (97 wt %), and single-walled carbon
nanotubes (SWNT) (3 wt %) was investigated as suspensions in water and as thin
film coatings. The toxic effects of four different PVK-SWNT (97:3 wt %)
nanocomposite concentrations (1, 0.5, 0.05, and 0.01 mg/mL) containing 0.03,
0.015, 0.0015, and 0.0003 mg/mL of SWNT, respectively, were determined for
planktonic cells and biofilms of Escherichia coli (E. coli) and Bacillus subtilis
(B. subtilis). The results showed that the nanocomposite PVK-SWNT had
antibacterial activity on planktonic cells and biofilms at all concentration
levels. Higher bacterial inactivation (94% for E. coli and 90% for B. subtilis)
were achieved in planktonic cells at a PVK-SWNT concentration of 1 mg/mL. Atomic
force microscopy (AFM) imaging showed significant reduction of biofilm growth on
PVK-SWNT coated surfaces. This study established for the first time that the
improved dispersion of SWNTs in aqueous solutions in the presence of PVK enhances
the antimicrobial effects of SWNTs at very low concentrations. Furthermore, PVK
SWNT can be used as an effective thin film coating material to resist biofilm
formation.
PMID- 22091865
TI - The neuroregenerative mechanism mediated by the Hsp90-binding immunophilin FKBP52
resembles the early steps of neuronal differentiation.
AB - BACKGROUND AND PURPOSE The immunosuppressive macrolide FK506 (tacrolimus) shows
neuroregenerative action by a mechanism that appears to involve the Hsp90-binding
immunophilin FKBP52. This study analyses some aspects of the early steps of
neuronal differentiation and neuroregeneration. EXPERIMENTAL APPROACH
Undifferentiated murine neuroblastoma cells and hippocampal neurones isolated
from embryonic day-17 rat embryos were induced to differentiate with FK506.
Subcellular relocalization of FKBP52, Hsp90 and its co-chaperone p23 was analysed
by indirect immunofluorescence confocal microscopy and by Western blots of axonal
fractions isolated from cells grown on a porous transwell cell culture chamber.
Neuroregeneration was evaluated using a scratch-wound assay. KEY RESULTS In
undifferentiated cells, FKBP52, Hsp90 and p23 are located in the cell nucleus,
forming an annular structure that disassembles when the differentiation process
is triggered by FK506. This was observed in the N2a cell line and in hippocampal
neurones. More importantly, the annular structure of chaperones is reassembled
after damaging the neurones, whereas FK506 prompts their rapid regeneration, a
process linked to the subcellular redistribution of the heterocomplex.
CONCLUSIONS AND IMPLICATIONS There is a direct relationship between the
disassembly of the chaperone complex and the progression of neuronal
differentiation upon stimulation with the immunophilin ligand FK506. Both
neuronal differentiation and neuroregeneration appear to be mechanistically
linked, so the elucidation of one mechanism may lead to unravel the properties of
the other. This study also implies that the discovery of FK506 derivatives,
devoid of immunosuppressive action, would be therapeutically significant for
neurotrophic use.
PMID- 22091866
TI - Nonspecific interaction between DNA and protein allows for cooperativity: a case
study with mycobacterium DNA binding protein.
AB - Different DNA-binding proteins have different interaction modes with DNA.
Sequence-specific DNA-protein interaction has been mostly associated with
regulatory processes inside a cell, and as such extensive studies have been made.
Adequate data is also available on nonspecific DNA-protein interaction, as an
intermediate to protein's search for its cognate partner. Multidomain nonspecific
DNA-protein interaction involving physical sequestering of DNA has often been
implicated to regulate gene expression indirectly. However, data available on
this type of interaction is limited. One such interaction is the binding of DNA
with mycobacterium DNA binding proteins. We have used the Langmuir-Blodgett
technique to evaluate for the first time the kinetics and thermodynamics of
Mycobacterium smegmatis Dps1 binding to DNA. By immobilizing one of the
interacting partners, we have shown that, when a kinetic bottleneck is applied,
the binding mechanism showed cooperative binding (n = 2.72) at lower
temperatures, but the degree of cooperativity gradually reduces (n = 1.38) as the
temperature was increased. We have also compared the kinetics and thermodynamics
of sequence-specific and nonspecific DNA-protein interactions under the same set
of conditions.
PMID- 22091867
TI - A tutorial on hierarchically structured constructs.
AB - Many psychological constructs are conceived to be hierarchically structured and
thus to operate at various levels of generality. Alternative confirmatory factor
analytic (CFA) models can be used to study various aspects of this proposition:
(a) The one-factor model focuses on the top of the hierarchy and contains only a
general construct, (b) the first-order factor model focuses on the intermediate
level of the hierarchy and contains only specific constructs, and both (c) the
higher order factor model and (d) the nested-factor model consider the hierarchy
in its entirety and contain both general and specific constructs (e.g., bifactor
model). This tutorial considers these CFA models in depth, addressing their
psychometric properties, interpretation of general and specific constructs, and
implications for model-based score reliabilities. The authors illustrate their
arguments with normative data obtained for the Wechsler Adult Intelligence Scale
and conclude with recommendations on which CFA model is most appropriate for
which research and diagnostic purposes.
PMID- 22091868
TI - Cardiovascular and cortisol reactions to acute psychological stress and cognitive
ability in the Dutch Famine Birth Cohort Study.
AB - Given evidence linking blunted cardiovascular and cortisol reactions to acute
stress and a range of adverse behavioral outcomes, the present study examined the
associations between cardiovascular and cortisol reactivity and cognitive ability
measured independently of the stress task exposure. Cognitive ability was
assessed using the Alice Heim-4 test of general intelligence and two memory tasks
in 724 men and women who were part of the Dutch Famine Birth Cohort Study. Blood
pressure and heart rate, as well as cortisol reactivity, were measured to a
battery of three standard acute stress tasks. Poorer cognitive ability was
associated with lower cardiovascular reactions to stress and lower cortisol area
under the curve. Our results are consistent with recent findings implicating low
physiological stress reactivity in a range of adverse behavioral and health
outcomes.
PMID- 22091869
TI - Binding of ibuprofen, ketorolac, and diclofenac to COX-1 and COX-2 studied by
saturation transfer difference NMR.
AB - Saturation transfer difference NMR (STD-NMR) spectroscopy has emerged as a
powerful screening tool and a straightforward way to study the binding epitopes
of active compounds in early stage lead discovery in pharmaceutical research.
Here we report the application of STD-NMR to characterize the binding of the anti
inflammatory drugs ibuprofen, diclofenac, and ketorolac to COX-1 and COX-2. Using
well-studied COX inhibitors and by comparing STD signals with crystallographic
structures, we show that there is a relation between the orientations of
ibuprofen and diclofenac in the COX-2 active site and the relative STD responses
detected in the NMR experiments. On the basis of this analysis, we propose that
ketorolac should bind to the COX-2 active site in an orientation similar to that
of diclofenac. We also show that the combination of STD-NMR with competition
experiments constitutes a valuable tool to address the recently proposed behavior
of COX-2 as functional heterodimers and complements enzyme activity studies in
the effort to rationalize COX inhibition mechanisms.
PMID- 22091870
TI - Electronic-state switching strategy in the photochemical synthesis of indanones
from o-methyl phenacyl epoxides.
AB - An electronic excited-state switching strategy has been utilized to control the
selectivity of a key photochemical step in the total synthesis of indanorine. The
excited-state character of 4,5-dimethoxy-2-methylphenacyl epoxide was changed
from an unfavorable (3)pi,pi* state to a productive (3)n,pi* state by a temporary
structural modification, resulting in a relatively efficient and high-yielding
formation of an indanone derivative. The corresponding structural modification
was selected on the basis of quantum chemical calculations prior to the
synthesis.
PMID- 22091872
TI - Quantification of humeroulnar incongruity in labrador retrievers with and without
medial coronoid disease.
AB - OBJECTIVE: To quantify humeroulnar incongruity on elbow radiographs in Labrador
Retrievers with or without medial coronoid disease (MCD). STUDY DESIGN:
Retrospective study of 92 elbows. SAMPLE POPULATION: Radiographic projections of
elbow joints from Labrador Retrievers with MCD (n = 42 elbows; 26 dogs) and
without MCD (n = 50 elbows; 25 dogs). PROCEDURE: The congruity of the humeroulnar
joint was measured using an index of subluxation (SI) for each elbow. SI was
defined as the distance between the centers of 2 circles drawn along the margins
of the incisura trochlearis and the trochlea of humerus on mediolateral digital
radiographic projections, normalized by the radius of the circle circumscribing
the humeral trochlea. SI was compared between right and left elbows with and
without pathology using a Wilcoxon test for paired data, and between normal and
abnormal groups with a Wilcoxon test for unpaired data. Mismatch between ulnar
curvature and curvature of humeral trochlea and radioulnar incongruency were also
noted (Wilcoxon test). The intraobserver repeatability, correlation between SI
and radioulnar incongruency, and between SI and mismatch elbow curvature were
estimated with a Pearson's correlation coefficient. RESULTS: Intraobserver
repeatability of SI measurement was high (r = 0.97). Mean +/- SD humeroulnar
incongruity (SI) was greater in elbows with MCD (18.5 +/- 6.6) than in the normal
elbows (1.7 +/- 2.0, P < 0.001). The difference between the diameters of the
curvatures of the ulnar and humeral trochlea was greater in elbows with MCD (12.5
+/- 4.4) than in the normal group (10.7 +/- 4.1, P < 0.05). A moderate
correlation was found between the degree of humeroulnar incongruity and a
radioulnar step (r = 0.63); however, no correlation was identified between SI and
the difference between the diameters of the curvatures of the ulnar and humeral
trochleae (r = 0.14). CONCLUSION: We propose a radiographic index to measure
humeroulnar incongruity on mediolateral digital radiographic projections. This
index (SI) supports the presence of humeroulnar incongruity in Labrador
Retrievers with MCD. Further evaluation of its reproducibility and clinical
importance are warranted. Although there is a moderate correlation between
humeroulnar incongruity and radioulnar incongruency, causation has not been
established.
PMID- 22091871
TI - The effects of safety net hospital closures and conversions on patient travel
distance to hospital services.
AB - OBJECTIVE: To examine the effects of safety net hospital (SNH) closure and for
profit conversion on uninsured, Medicaid, and racial/ethnic minorities. DATA
SOURCES/EXTRACTION METHODS: Hospital discharge data for selected states merged
with other sources. STUDY DESIGN: We examined travel distance for patients
treated in urban hospitals for five diagnosis categories: ambulatory care
sensitive conditions, referral sensitive conditions, marker conditions, births,
and mental health and substance abuse. We assess how travel was affected for
patients after SNH events. Our multivariate models controlled for patient,
hospital, health system, and neighborhood characteristics. PRINCIPAL FINDINGS:
Our results suggested that certain groups of uninsured and Medicaid patients
experienced greater disruption in patterns of care, especially Hispanic uninsured
and Medicaid women hospitalized for births. In addition, relative to privately
insured individuals in SNH event communities, greater travel for mental health
and substance abuse care was present for the uninsured. CONCLUSIONS: Closure or
for-profit conversions of SNHs appear to have detrimental access effects on
particular subgroups of disadvantaged populations, although our results are
somewhat inconclusive due to potential power issues. Policy makers may need to
pay special attention to these patient subgroups and also to easing
transportation barriers when dealing with disruptions resulting from reductions
in SNH resources.
PMID- 22091873
TI - The influence of oral VPA on the required dose of propofol for sedation during
dental treatment in patients with mental retardation: a prospective observer
blinded cohort study.
AB - In sedation of dental patients with moderate or severe mental retardation, it is
difficult to identify the optimum sedation level and to maintain it
appropriately. Moreover, many patients have concomitant epilepsy and are
medicated with oral antiepileptic drugs (AEDs), which influence the drug
metabolizing enzymes. In particular, valproate (VPA) has been demonstrated to
inhibit propofol metabolism in vitro. Therefore, the objective of the present
study was to investigate the clinical influence of oral VPA on the required dose
of propofol for sedation, with use of a prospective cohort study design. We
studied 45 patients with moderate or severe mental retardation who underwent
dental treatment under sedation. Propofol was infused, and sedation was
maintained at the same level in all patients using a bispectral index (BIS)
monitor. After the completion of treatment for the scheduled patients, patients
were divided into those with oral VPA treatment (VPA group: 20 patients) and
without any oral antiepileptic treatment (control group: 25 patients). The
propofol dose required for sedation and times to the recovery of the eyelash
reflex and spontaneous eye opening were evaluated. The median required propofol
doses in the VPA and control groups were 4.15 (range 1.97-5.88) and 5.67 (2.92
7.17) mg/kg/h, respectively. We observed a statistically significant difference
between the two patient groups with respect to median VPA dose (p < 0.01).
However, no statistically significant differences were noted in the time until
eyelash reflex recovery or spontaneous eye opening between the two groups. The
results suggest that oral VPA reduces the dose of propofol required for sedation
during dental treatment in patients with moderate or severe mental retardation.
PMID- 22091874
TI - Health behaviors as predictors for declines in higher-level functional capacity
in older adults: the Ohasama study.
AB - OBJECTIVES: To determine the characteristics of health behaviors related to
higher-level functional decline in older community-dwelling adults. DESIGN:
Prospective. SETTING: Ohasama Town, Japan. PARTICIPANTS: One thousand fifty
residents (mean age: 67.5) free of functional decline at baseline. MEASUREMENTS:
Health behaviors including smoking status, alcohol consumption, frequency of
exercise, sleep duration, dietary habits (supplement use, breakfast, late-night
snacking, eating regularly, and eating out), and self-rated health were obtained
from a self-administered questionnaire at baseline. Higher-level functional
decline was examined using the subscales of the Tokyo Metropolitan Institute of
Gerontology Index of Competence. RESULTS: During the 7-year follow-up, 27.5% of
eligible participants reported decline in higher-level functional capacity. After
adjustment for putative confounding factors, health behaviors that were
significant predictors for declines in higher-level functional capacity at the 7
year follow-up were current smoking (odds ratio (OR) = 1.58, 95% confidence
interval (CI) = 1.06-2.36), sleep duration of 9 hours or longer (OR = 2.15, 95%
CI = 1.49-3.11), and poor self-rated health (OR = 1.93, 95% CI = 1.40-2.67).
CONCLUSION: Several modifiable health behaviors contribute to higher-level
functional decline.
PMID- 22091875
TI - The essential role of psychosocial risk and protective factors in pediatric
traumatic brain injury research.
AB - This article builds upon Traumatic Brain Injury Common Data Elements (TBI CDE)
version 1.0 and the pediatric CDE Initiative by emphasizing the essential role of
psychosocial risk and protective factors in pediatric TBI research. The goals are
to provide a compelling rationale for including psychosocial risk and protective
factors in addition to socioeconomic status (SES), age, and sex in the study
design and analyses of pediatric TBI research and to describe recommendations for
core common data elements in this domain. Risk and protective factor research is
based on the ecological theory of child development in which children develop
through a series of interactions with their immediate and more distant
environments. Home, school, religious, and social influences are conceptualized
as risk and/or protective factors. Child development and TBI researchers have
interpreted risk and protective variables as main effects or as interactions and
have used cumulative risk indices and moderation models to describe the
relationship among these variables and outcomes that have to do with development
and with recovery from TBI. It is likely that the number, type, and interaction
among risk and protective factors each contribute unique variance to study
outcomes. Longitudinal designs in TBI research will be essential to understanding
the reciprocal relationships between risk/protective factors and the
recovery/outcome made by the child. The search for effective interventions to
hasten TBI recovery mandates the need to target modifiable risks and to promote
protective factors in the child's environment.
PMID- 22091876
TI - Protective effect of selenium on gentamicin-induced oxidative stress and
nephrotoxicity in rats.
AB - Gentamicin (GM) is a widely used antibiotic against serious, life-threatening
infections, but its usefulness is limited by the development of nephrotoxicity.
The present study was designed to determine the protective effect of selenium
(Se) in GM-induced nephrotoxicity in rats. Experiments were done on 32 adult
Wistar rats divided into four groups of 8 animals each. The GM group received
gentamicin (100 mg/kg), whereas the GM+Se group received the same dose of GM and
selenium (1 mg/kg) by intraperitoneal (i.p.) injections on a daily basis. Animals
in the Se group, serving as a positive control, received only selenium (1 mg/kg)
and the control group received saline (1 mL/day), both given i.p. All groups were
treated during 8 consecutive days. Quantitative evaluation of GM-induced
structural alterations and degree of functional alterations in the kidneys were
performed by histopathological and biochemical analyses in order to determine
potential beneficial effects of selenium coadministration with GM. GM was
observed to cause a severe nephrotoxicity, which was evidenced by an elevation of
serum urea and creatinine levels. The significant increases in malondialdehyde
levels and protein carbonyl groups indicated that GM-induced tissue injury was
mediated through oxidative reactions. On the other hand, simultaneous selenium
administration protected kidney tissue against oxidative damage and the
nephrotoxic effect caused by GM treatment. Exposure to GM caused necrosis of
tubular epithelial cells. Necrosis of tubules was found to be prevented by
selenium pretreatment. The results from our study indicate that selenium
supplementation attenuates oxidative-stress-associated renal injury by reducing
oxygen free radicals and lipid peroxidation in GM-treated rats.
PMID- 22091877
TI - The relationship between measures of impulsivity and alcohol misuse: an
integrative structural equation modeling approach.
AB - BACKGROUND: Higher levels of impulsivity have been implicated in the development
of alcohol use disorders. Recent findings suggest that impulsivity is not a
unitary construct, highlighted by the diverse ways in which the various measures
of impulsivity relate to alcohol use outcomes. This study simultaneously tested
the following dimensions of impulsivity as determinants of alcohol use and
alcohol problems: risky decision making, self-reported risk-attitudes, response
inhibition, and impulsive decision making. METHODS: Participants were a community
sample of nontreatment seeking problem drinkers (n = 158). Structural equation
modeling (SEM) analyses employed behavioral measures of impulsive decision making
(delay discounting task [DDT]), response inhibition (stop signal task [SST]), and
risky decision making (Balloon Analogue Risk Task [BART]), and a self-report
measure of risk-attitudes (domain-specific risk-attitude scale [DOSPERT]), as
predictors of alcohol use and of alcohol-related problems in this sample.
RESULTS: The model fits well, accounting for 38% of the variance in alcohol
problems, and identified 2 impulsivity dimensions that significantly loaded onto
alcohol outcomes: (i) impulsive decision making, indexed by the DDT; and (ii)
risky decision making, measured by the BART. CONCLUSIONS: The impulsive decision
making dimension of impulsivity, indexed by the DDT, was the strongest predictor
of alcohol use and alcohol pathology in this sample of problem drinkers.
Unexpectedly, a negative relationship was found between risky decision making and
alcohol problems. The results highlight the importance of considering the
distinct facets of impulsivity to elucidate their individual and combined effects
on alcohol use initiation, escalation, and dependence.
PMID- 22091879
TI - Cognitive reappraisal and secondary control coping: associations with working
memory, positive and negative affect, and symptoms of anxiety/depression.
AB - The current study examined the relations of measures of cognitive reappraisal and
secondary control coping with working memory abilities, positive and negative
affect, and symptoms of anxiety and depression in young adults (N=124). Results
indicate significant relations between working memory abilities and reports of
secondary control coping and between reports of secondary control coping and
cognitive reappraisal. Associations were also found between measures of secondary
control coping and cognitive reappraisal and positive and negative affect and
symptoms of depression and anxiety. Further, the findings suggest that reports of
cognitive reappraisal may be more strongly predictive of positive affect whereas
secondary control coping may be more strongly predictive of negative affect and
symptoms of depression and anxiety. Overall, the results suggest that current
measures of secondary control coping and cognitive reappraisal capture related
but distinct constructs and suggest that the assessment of working memory may be
more strongly related to secondary control coping in predicting individual
differences in distress.
PMID- 22091880
TI - The status of unmarried Hong Kong women and the social factors contributing to
their delayed marriage.
AB - Summary The Dixon model, which assesses the factors responsible for a rise in
marriage age, has been applied to a number of Hong Kong women, aged from 20 to 24
in 1973, who were interviewed in depth. Four of them have delayed marriage due to
the unavailability of mates, in particular the new marriage style and difficulty
of locating a companionable mate. For 21 marriage was not economically feasible.
Three anticipated they would lose too many opportunities by early marriage which
was consequently undesirable at that time; only one sought to gain opportunities
by a young marriage. All three factors work in concert to some extent. The age
structure contributes to women's participation in the labour force and they could
meet neither their obligations to their families nor to themselves by marrying
early. Delayed marriage enhances women's status, and is an attractive policy for
the developing world.
PMID- 22091878
TI - Theta lingua franca: a common mid-frontal substrate for action monitoring
processes.
AB - We present evidence that a multitude of mid-frontal event-related potential (ERP)
components partially reflect a common theta band oscillatory process.
Specifically, mid-frontal ERP components in the N2 time range and error-related
negativity time range are parsimoniously characterized as reflections of theta
band activities. Forty participants completed three different tasks with varying
stimulus-response demands. Permutation tests were used to identify the dominant
time-frequency responses of stimulus- and response-locked conditions as well as
the enhanced responses to novelty, conflict, punishment, and error. A dominant
theta band feature was found in all conditions, and both ERP component amplitudes
and theta power measures were similarly modulated by novelty, conflict,
punishment, and error. The findings support the hypothesis that generic and
reactive medial prefrontal cortex processes are parsimoniously reflected by theta
band activities.
PMID- 22091881
TI - Fertility and work-force participation: The experience of Melbourne Wives.
AB - Summary Current and retrospective data on the fertility control, work-force
participation intentions and practice of Melbourne wives are combined in an
examination of the causal link between work-force participation and reduced
family size. Stress is laid on the analysis of the interaction between work-force
participation and fertility over time, taking into account the proportion of
married life spent in the work-force, rather than relying exclusively on a
measure of current participation, the only option available in the analysis of
census-type data. The wide range of information available makes it possible to
study the effects of work-force participation on wives of unimpaired fertility,
as well as the different consequences of planned and unplanned participation, and
of working in a variety of occupations and for a number of distinct reasons.
Examination of the future fertility intentions and current contraceptive practice
of the younger wives shows that working wives are not, in these respects,
markedly different from their house-wife peers. Overall, the balance of the
evidence indicates that in the majority of cases fertility influences work-force
participation rather than the converse.
PMID- 22091882
TI - Economic development and fertility: A methodological re-evaluation.
AB - Summary Several studies in recent years have investigated the relationship
between economic development and fertility, using the methods of multiple and
partial correlation. Results from these studies have been interpreted to show
that economic development, while directly tending to increase fertility, also
gives rise to factors which inhibit it, resulting in an eventual decrease in
fertility with increasing development. The present study re-examines the
methodology and empirical results upon which this explanation is based and finds
little statistical or empirical support for such a model. Subsequent statistical
re-examination of the data from one of these studies reveals that the major
independent variables in the model are largely redundant, and that the model is
untenable in that it seeks to establish patterns of interrelation between what
appear to be redundant constructs. The isolation of additional statistically
independent variables is suggested to improve the explanation of variance in
fertility in terms of its social and economic correlates.
PMID- 22091883
TI - Differential fertility in peasant communities: A study of Six Iranian Villages.
AB - Summary This paper attempts to study the relation between socio-economic status
and fertility in a sample of six villages in Iran. An index of socio-economic
status was constructed. The data reveal positive association between socio
economic status and fertility behaviour of rural couples. When duration of
marriage, age of woman at marriage and contraceptive use were introduced into the
socio-economic status-fertility relationships, they failed to alter the original
findings. Because socio-economic status is related to a number of variables which
directly or indirectly influence fertility, additional variables such as
miscarriage, stillbirth and lactation must be incorporated into rural surveys on
fertility differentials.
PMID- 22091884
TI - A simulation of the impact of changes in age at marriage before and during the
advent of industrialization in England.
AB - Summary The quantitative significance of changes in age at marriage on family
size is assessed by applying a simple simulation model of family reproduction,
using data broadly related to England in the period 1700-1850. Within this
context, the impacts of parity-specific infant mortality, and an association
between age at marriage and potential fertility are considered. The evidence
concerning variations in marriage and mortality distributions over the period in
question is examined and linked to the simulation results to produce some
tentative conclusions relating to the impact of changes in the distribution of
age at marriage on the birth rate and rate of population growth and to an
assessment of such changes as an homeostatic adjustment mech-anism. In
particular, it is argued that age at marriage played no more than a secondary
role in the English demographic revolution.
PMID- 22091885
TI - Fertility and desired fertility: Longitudinal evidence from Thailand.
AB - Summary The validity and usefulness of 'desired additional children' and 'ideal
family size' as predictors of fertility are analysed in this paper on the basis
of longitudinal survey data from Thailand. First, the extent of measurement error
in these variables is considered, and it is concluded that the error variance and
the true variance are of similar orders of magnitude. Secondly, the changes in
attitudes subsequent to births and deaths of children are investigated. It is
found that the number of additional children desired is decreased by births and
increased by deaths, but less than would be expected if 'desired additional
children' represented an unchanging target family size. 'Ideal family size' is
almost unaffected by births and deaths. Thirdly, the contribution of attitudinal
variables to behavioural models is examined. It is found that desired fertility
is explained no better than fertility in a standard economic model. A birth
function separating desired children from identifiable physiological factors as
explanatory variables indicated that the former was just significant. A model of
contraceptive acceptance also found desired fertility to be a significant
determinant. Thus, desired fertility can be successfully integrated into
behavioural models. But on the whole, its explanatory power was weak, and it was
concluded that the independent use of this variable does not significantly
improve on models which relate fertility to socio-economic variables directly.
PMID- 22091886
TI - Age at marriage and timing of the first birth.
AB - Summary An attempt has been made to measure the effect of age at marriage of
brides on the timing of the first birth. In Australian vital statistics, first
nuptial confinements have been tabulated by age of mothers and by single years of
marriage duration in single months for the first two years and by single years
for all other durations since 1916. A simple technique has been used to link such
data with marriage cohorts. The study briefly reviews the prevailing patterns of
the timing of first births by mothers' age at marriage and changes in this
pattern since the marriages of the 1925/9 period. The analysis shows that after a
period of relative stability of family formation patterns in the 1950s and early
1960s, women married in the late 1960s started postponing the first birth beyond
the first two years of marriage. It is suggested that a fraction of the decline
in total births recorded in Australia since 1972 can be attributed to the
postponement of first nuptial confinements by women married in the late 1960s and
early 1970s.
PMID- 22091887
TI - Regional-sub-cultural explanations of black fertility in the United States.
AB - Summary This paper re-examines the relevance of the hypothesis concerning the
Southern sub-culture and black fertility in the United States. According to zero
order correlation analysis, Southern-born blacks show higher fertility than those
born in other regions. But a dummy-variable regression technique applied to the
data from the 1970 public-use sample indicates that the Southern origin -
independent of other factors-is not different from other regions. Southern birth
has the effect of increasing black fertility, but much less so than birth in the
North Central region. Southern blacks show higher fertility because of their
lower socio-economic background. It is concluded that geographical regions in the
United States have little or no cultural meaning in explaining reproductive
behaviour.
PMID- 22091890
TI - Errata.
PMID- 22091891
TI - Computational prediction of 1H and 13C chemical shifts: a useful tool for natural
product, mechanistic, and synthetic organic chemistry.
PMID- 22091892
TI - Staphylococcus aureus and its food poisoning toxins: characterization and
outbreak investigation.
AB - Staphylococcal food poisoning (SFP) is one of the most common food-borne diseases
and results from the ingestion of staphylococcal enterotoxins (SEs) preformed in
food by enterotoxigenic strains of Staphylococcus aureus. To date, more than 20
SEs have been described: SEA to SElV. All of them have superantigenic activity
whereas half of them have been proved to be emetic, representing a potential
hazard for consumers. This review, divided into four parts, will focus on the
following: (1) the worldwide story of SFP outbreaks, (2) the characteristics and
behaviour of S. aureus in food environment, (3) the toxinogenic conditions and
characteristics of SEs, and (4) SFP outbreaks including symptomatology,
occurrence in the European Union and currently available methods used to
characterize staphylococcal outbreaks.
PMID- 22091893
TI - Comparative floc-bed sediment trace element partitioning across variably
contaminated aquatic ecosystems.
AB - Significantly higher concentrations of Ag, As, Cu, Ni and Co are found in floc
compared to bed sediments across six variably impacted aquatic ecosystems. In
contrast to the observed element and site-specific bed sediment trace element
(TE) partitioning patterns, floc TE sequestration is consistently dominated by
amorphous oxyhydroxides (FeOOH), which account for 30-79% of floc total TE
concentrations, irrespective of system physico-chemistry or elements involved.
FeOOH consistently occur in significantly higher concentrations in floc than
within bed sediments. Further, comparative concentration factors indicate
significantly higher TE reactivity of floc-FeOOH relative to sediment-FeOOH in
all systems investigated, indicating that both the greater abundance and higher
reactivity of floc-FeOOH contribute to enhanced floc TE uptake. Results indicate
that floc-organics (live cells and exopolymeric substances, EPS) directly predict
floc-FeOOH concentrations, suggesting an organic structural role in the
collection/templating of FeOOH. This, in turn, facilitates the sequestration of
TEs associated with floc-FeOOH formation, imparting the conserved FeOOH
"signature" on floc TE geochemistry across sites. Results demonstrate that the
organic rich nature of floc exerts an important control over TE geochemistry in
aquatic environments, ultimately creating a distinct solid with differing
controls over TE behavior than bed sediments in close proximity (<0.5 m).
PMID- 22091894
TI - Chiral transformation: from single nanowire to double helix.
AB - We report a new type of water-soluble ultrathin Au-Ag alloy nanowire (NW), which
exhibits unprecedented behavior in a colloidal solution. Upon growth of a thin
metal (Pd, Pt, or Au) layer, the NW winds around itself to give a metallic double
helix. We propose that the winding originates from the chirality within the as
synthesized Au-Ag NWs, which were induced to untwist upon metal deposition.
PMID- 22091895
TI - Expanding the phenotype associated with FOXG1 mutations and in vivo FoxG1
chromatin-binding dynamics.
AB - Mutations in the Forkhead box G1 (FOXG1) gene, a brain specific transcriptional
factor, are responsible for the congenital variant of Rett syndrome. Until now
FOXG1 point mutations have been reported in 12 Rett patients. Recently seven
additional patients have been reported with a quite homogeneous severe phenotype
designated as the FOXG1 syndrome. Here we describe two unrelated patients with a
de novo FOXG1 point mutation, p.Gln46X and p.Tyr400X, respectively, having a
milder phenotype and sharing a distinctive facial appearance. Although FoxG1
action depends critically on its binding to chromatin, very little is known about
the dynamics of this process. Using fluorescence recovery after photobleaching,
we showed that most of the GFP-FoxG1 fusion protein associates reversibly to
chromatin whereas the remaining fraction is bound irreversibly. Furthermore, we
showed that the two pathologic derivatives of FoxG1 described in this paper
present a dramatic alteration in chromatin affinity and irreversibly bound
fraction in comparison with Ser323fsX325 mutant (associated with a severe
phenotype) and wild type Foxg1 protein. Our observations suggest that alterations
in the kinetics of FoxG1 binding to chromatin might contribute to the
pathological effects of FOXG1 mutations.
PMID- 22091896
TI - Investigation of model membrane disruption mechanism by melittin using pulse
electron paramagnetic resonance spectroscopy and cryogenic transmission electron
microscopy.
AB - Studies of membrane peptide interactions at the molecular level are important for
understanding essential processes such as membrane disruption or fusion by
membrane active peptides. In a previous study, we combined several electron
paramagnetic resonance (EPR) techniques, particularly continuous wave (CW) EPR,
electron spin echo envelope modulation (ESEEM), and double electron-electron
resonance (DEER) with Monte Carlo (MC) simulations to probe the conformation,
insertion depth, and orientation with respect to the membrane of the membrane
active peptide melittin. Here, we combined these EPR techniques with cryogenic
transmission electron microscopy (cryo-TEM) to examine the effect of the
peptide/phospholipid (P/PL) molar ratio, in the range of 1:400 to 1:25, on the
membrane shape, lipids packing, and peptide orientation and penetration. Large
unilamellar vesicles (LUVs) of DPPC/PG (7:3 dipalmitoylphosphatidylcholine/egg
phosphatidylglycerol) were used as model membranes. Spin-labeled peptides were
used to probe the peptide behavior whereas spin-labeled phspholipids were used to
examine the membrane properties. The cryo-TEM results showed that melittin causes
vesicle rupture and fusion into new vesicles with ill-defined structures. This
new state was investigated by the EPR methods. In terms of the peptide, CW EPR
showed decreased mobility, and ESEEM revealed increased insertion depth as the
P/PL ratio was raised. DEER measurements did not reveal specific aggregates of
melittin, thus excluding the presence of stable, well-defined pore structures. In
terms of membrane properties, the CW EPR reported reduced mobility in both polar
head and alkyl chain regions with increasing P/PL. ESEEM measurements showed
that, as the P/PL ratio increased, a small increase in water content in the PL
headgroup region took place and no change was observed in the alkyl chains part
close to the hydrophilic region. In terms of lipid local density, opposite
behavior was observed for the polar head and alkyl chain regions with increasing
P/PL; while the DPPC density increased in the polar head region, it decreased in
the alkyl chain region. These results are consistent with disruption of the lipid
order and segregation of the PL constituents of the membrane as a consequence of
the melittin binding. This work further demonstrates the applicability and
potential of pulse EPR techniques for the study of peptide-membrane interactions.
PMID- 22091897
TI - Anesthesia and myasthenia gravis.
AB - Myasthenia gravis (MG) is a disease affecting the nicotinic acetylcholine
receptor of the post-synaptic membrane of the neuromuscular junction, causing
muscle fatigue and weakness. The myasthenic patient can be a challenge to
anesthesiologists, and the post-surgical risk of respiratory failure has always
been a matter of concern. The incidence and prevalence of MG have been increasing
for decades and the disease is underdiagnosed. This makes it important for the
anesthesiologist to be aware of possible signs of the disease and to be properly
updated on the optimal perioperative anesthesiological management of the
myasthenic patient. The review is based on electronic searches on PubMed and a
review of the references of the articles. The following keywords were used:
myasthenia gravis AND neuromuscular blocking agents, myasthenia gravis AND
sevoflurane, myasthenia gravis AND epidural, myasthenia gravis AND neuromuscular
blockade reversal and myasthenia gravis AND pyridostigmine. The articles included
were from reviews and clinical trials written in English. MG patients can easily
be anesthetized without need for post-surgery mechanical ventilation whether it
is general anesthesia or peripheral nerve block. Volatile anesthesia or the use
of an epidural for the patient makes it possible to avoid the use of
neuromuscular blocking agents, and when used, it should be in smaller doses and
the patient should be carefully monitored. This review shows that with thorough
pre-operative evaluation, continuing the daily pyridostigmine and careful
monitoring the MG patient can be managed safely.
PMID- 22091898
TI - Bisphosphonates and osteonecrosis of the jaw.
AB - Bisphosphonates are used worldwide as a successful treatment for people with
osteoporosis, which is the major underlying cause of fractures in postmenopausal
women and older adults. These agents are successful at increasing bone mass and
bone trabecular thickness, decreasing the risk of fracture, and decreasing bone
pain, enabling individuals to have better quality of life. Bisphosphonates are
also used to treat multiple myeloma, bone metastasis, and Paget's disease;
however, bisphosphonate treatment may result in negative side effects, including
osteonecrosis of the jaw (ONJ). ONJ involves necrotic, exposed bone in the jaw,
pain, possible secondary infection, swelling, painful lesions, and various
dysesthesias, although less-severe cases may be asymptomatic. First-generation
bisphosphonates, which do not contain nitrogen, are metabolized into a
nonfunctional, cytotoxic analogue of adenosine triphosphate and cause osteoclast
death by starvation. Second-generation bisphosphonates are nitrogen-containing
agents; these inhibit osteoclast vesicular trafficking, membrane ruffling,
morphology, and cytoskeletal arrangement by inhibiting farnesyl diphosphate
synthase in the mevalonate pathway. Physicians treating older adults with
osteoporosis and cancer should work together with dental practitioners,
pharmacists, and other clinicians to inform individuals receiving bisphosphonates
of their possible side effects and to suggest precautionary steps that may
minimize the risk of osteonecrosis, particularly of the jaw. These include
practicing good oral hygiene; scheduling regular dental examinations and
cleanings; and cautioning people who are scheduling treatment for periodontal
disease, oral and maxillofacial therapy, endodontics, implant placement,
restorative dentistry, and prosthodontics. Recommendations for management of
people with ONJ include an oral rinse, such as chlorhexidine, and antibiotics.
PMID- 22091902
TI - Approach for prostate cancer vaccine development.
PMID- 22091904
TI - Conscientiousness in the classroom: a process explanation.
AB - Although the research literature has established that Conscientiousness predicts
task performance across a variety of achievement contexts (e.g., ; ),
comparatively less is known about the processes that underlie these relations. To
the latter end, the current research examines effortful strategies and
achievement goals as mediating factors that might explain why people with higher
levels of Conscientiousness are predicted to reach higher levels of academic
performance. In a longitudinal study, 347 college students completed measures of
personality and achievement goals at the beginning of the class, followed by
measures of effortful strategies multiple times throughout the semester. Results
support the hypothesis that effortful strategies mediate the association between
Conscientiousness and academic performance. Moreover, the statistical effects of
Conscientiousness were generally independent of achievement goals, but a small
portion of the effect was mediated through approach, not avoidance, achievement
goals. These results highlight the importance of examining mediating processes
between personality and outcomes, and in the case of Conscientiousness, our
results suggest that effortful strategies might serve as a useful target for
performance-enhancing interventions.
PMID- 22091905
TI - Influence of durotomy on laser-Doppler measurement of spinal cord blood flow in
chondrodystrophic dogs with thoracolumbar disk extrusion.
AB - OBJECTIVES: To assess influence of durotomy on spinal cord blood flow (SCBF) in
chondrodystrophic dogs with thoracolumbar disk extrusion. STUDY DESIGN:
Prospective cohort study. ANIMALS: Chondrodystrophic dogs with thoracolumbar disk
extrusion (n = 11). METHODS: Diagnosis was based on neurologic signs, magnetic
resonance imaging (MRI) findings, and surgical confirmation. Regional SCBF was
measured 3 times intraoperatively by laser-Doppler flowmetry: (1) before surgical
decompression; (2) immediately after decompression by hemilaminectomy-durotomy;
and (3) after 15 minutes of lesion lavage. A standardized hemilaminectomy and
durotomy performed by the same neurosurgeon, was used to minimize factors that
could influence measurement readings. RESULTS: A significant increase in
intraoperative SCBF was found immediately after spinal cord decompression and
durotomy in dogs but SCBF returned to previous levels or lower after 15 minutes
of lavage. Changes in SCBF were not associated with duration of clinical signs;
neurologic status, degree of spinal cord compression, or signal intensity changes
as assessed by MRI. CONCLUSION: Durotomy does not increase SCBF in dogs with disk
extrusion associated spinal cord compression.
PMID- 22091906
TI - Total synthesis and stereochemical assignment of burkholdac B, a depsipeptide
HDAC inhibitor.
AB - Three diastereomers of burkholdac B were prepared by total synthesis, enabling
the full stereochemical assignment of the natural product. It is proposed that
burkholdac B is identical to thailandepsin A independently isolated by Cheng from
the same strain of Burkholderia thailandensis . Burkholdac B is the most potent
among depsipeptide histone deacetylase inhibitors in growth inhibition of the
MCF7 breast cancer cell line with an IC(50) of 60 pM.
PMID- 22091907
TI - Aiming for the bull's eye: Preparing for throwing investigated with event-related
brain potentials.
AB - We explored the feasibility of investigating complex goal-directed actions with
event-related brain potentials by studying the aiming phase of throwing. A
virtual reality environment was set up, allowing aimed throws at distant targets,
with participants standing upright and moving relatively unrestrained. After a
separate practice session, the contingent negative variation (CNV) was measured
during preparation for a simple button release, unaimed throws, and aimed throws
at targets of two levels of difficulty. Consistent with expectations, CNV
amplitude was larger for all throwing conditions compared to button release. It
further increased with task difficulty in the aimed throwing conditions,
reflecting the increasing motor programming demands for more difficult goal
directed actions. Therefore, investigating throwing as an instance of complex
goal-directed action with ERPs is feasible, opening interesting perspectives for
future research.
PMID- 22091908
TI - The sensitivity of adverse event cost estimates to diagnostic coding error.
AB - OBJECTIVE: To examine the impact of diagnostic coding error on estimates of
hospital costs attributable to adverse events. DATA SOURCES: Original and
reabstracted medical records of 9,670 complex medical and surgical admissions at
11 hospital corporations in Ontario from 2002 to 2004. Patient specific costs,
not including physician payments, were retrieved from the Ontario Case Costing
Initiative database. STUDY DESIGN: Adverse events were identified among the
original and reabstracted records using ICD10-CA (Canadian adaptation of ICD10)
codes flagged as postadmission complications. Propensity score matching and
multivariate regression analysis were used to estimate the cost of the adverse
events and to determine the sensitivity of cost estimates to diagnostic coding
error. PRINCIPAL FINDINGS: Estimates of the cost of the adverse events ranged
from $16,008 (metabolic derangement) to $30,176 (upper gastrointestinal
bleeding). Coding errors caused the total cost attributable to the adverse events
to be underestimated by 16 percent. The impact of coding error on adverse event
cost estimates was highly variable at the organizational level. CONCLUSIONS:
Estimates of adverse event costs are highly sensitive to coding error. Adverse
event costs may be significantly underestimated if the likelihood of error is
ignored.
PMID- 22091909
TI - New estimates of fertility and child mortality in Africa, south of the Sahara.
AB - Summary Earlier work by Page and Coale has estimated demographic indices of
fertility and mortality for parts of Africa using the Sullivan modification of
Brass's technique. The present paper presents modified and more accurate
estimates of fertility and child mortality, not only for the sub-national units
covered by Page and Coale but also for areas not covered by them. The present
analysis which employs Trussell's refinement of Brass and Sullivan's techniques
also includes improvements overlooked in earlier estimates. The salient finding
that emerges is that while the Brass mortality technique is very powerful, his
equally ingenious fertility technique is very weak and should not be relied on
for estimating fertility parameters.
PMID- 22091910
TI - The evolution of family planning in an African city: Ibadan, Nigeria.
AB - Summary Data drawn from a 1973 probability sample of 6,606 Yoruba females, 15
59 years of age in Ibadan City, Nigeria, are employed to analyse changing family
planning practice over time. Usage and method rates are calculated for broad age
groups from 1930 to 1973. Contraceptive practice is shown to have increased
rapidly during the 1960s and early 1970s, from a very low initial base with a
doubling period for the proportion of contraceptors of about four years, so that
by 1973 one-sixth of the women had practised contraception and one-ninth were
currently doing so. The major determinant of contraceptive practice is education.
Oral contraceptives and IUDs account for an ever larger proportion of all
contraception over time and together made up over 50 per cent by 1973. The Ibadan
data give strong support to a suggestion emanating from scattered findings
elsewhere that there is a special pattern of sub-Saharan contraceptive use: it
begins with use in pre-marital and extra-marital relationships; then is
increasingly employed as a substitute for post-marital sexual abstinence, and
only later becomes the means for limiting the size of the family. Hence, the
success of a family planning programme is indicated by rising average parity
among the acceptors. Most couples in Ibadan will probably be practising
contraception at some time in the 1980s, but even then such rates will probably
still be low in rural areas.
PMID- 22091911
TI - Minority status and family size: A comparison of explanations.
AB - Summary In this study, the family sizes of Chinese, Japanese and Filipino
Americans are compared with those of whites, using data from the 1970 public use
samples for California and Hawaii. The two hypotheses derived from the 'minority
status' hypothesis are tested; the latter states that minorities experience
tensions and anxiety which lead to lower fertility compared with native whites of
Anglo-Saxon ancestry under certain conditions. We also examine the effect of
assimilation in terms of variables known to affect fertility, and control for
several age and acculturation variables. Analysis, based on a regression
decomposition model, gives some support to the argument that the family size of
minority groups differs from that of native whites because of incomplete
assimilation and because independent variables affect fertility differently.
However, since the independent effect of minority status per se is generally to
raise fertility for Japanese and Chinese Americans, and lower it for Filipinos,
we reject this version of the minority status hypothesis. Our general conclusion
is that family size differentials in a pluralistic society may be part of a
persisting pattern of sub-cultural differentiation, and do not necessarily
reflect any 'tensions and anxiety' of minority status.
PMID- 22091912
TI - Fertility decline in Germany: An econometric appraisal.
AB - Summary A re-analysis of Knodel's data provides some new results for the
fertility decline in Germany and a new approach to testing hypotheses about the
demographic transition. Two formulations of transition theory are compared: one
emphasizing the importance of changing social and economic structure for
fertility decline; the other, the changing relationships between fertility and
its determinants over time. To evaluate these formulations, multivariate time
series cross-sectional models are developed. The statistical models permit the
estimation of relationships both cross-sectionally and over time. As a
consequence, the ability of the independent variables to explain cross-sectional
as against temporal differences is evaluated. Industrialization, urbanization,
religious composition, migration, infant mortality and marriage patterns
satisfactorily explain the fertility decline once regional differences have been
taken into account. Persisting characteristics of regional units account for much
of the unexplained variance. Industrialization is the main explanatory variable
of fertility decline in Germany. In the period considered, its impact on
fertility increased substantially.
PMID- 22091913
TI - Population momentum: A wider definition.
AB - Summary Keyfitz has derived an elegant formula for estimating the ultimate size
of an initially stable, growing population that abruptly reduces its fertility to
replacement level. Reduction of fertility is achieved by the rather unrealistic
device of dividing the original age schedule nffertility rates by the net
reproduction rate. Only the inertia of the age distribution is thus accounted
for, but not that of the fertility schedule. The key idea of an abrupt imposition
of a fixed regimen capable in the long run of generating zero population growth
may be retained, but the regimen made more realistic. By elaborating the
population setting, such disparate ZPG regimens as reduction of marital fertility
by contraception, delayed and/or less universal marriage, raised mortality risks,
or permanent net out-migration may be formulated. Convergence of the populaton to
stationarity becomes a two-phase process: a primary adjustment period of changing
fertility rates followed by a period of age adjustment. The present paper
treats what happens when a fixed ZPG sterilization regimen, defined by a minimum
age of sterilization gamma and constant continuous risk phi of sterilization
among unsterilized wives aged gamma to beta, is imposed abruptly (or else
progressively over an interval T) upon an initially stable, growing population.
Additional sources of residual growth are: (1) the nine-month lag in
sterilization effect owing to pregnancy: (2) the more youthful pattern of child
bearing under sterilization: (3) the extra adjustment period (of length beta
gamma-0.75) of changing fertility rates; and (4) any delays in exposing elements
of the population to the sterilization regimen. Two questions are pursued.
First, how important are the additional sources of residual growth? Secondly, how
do their relative sizes vary as a function of the characteristics of the initial
population?
PMID- 22091914
TI - The United Kingdom's international migration in the inter-war period: Theoretical
considerations and empirical testing.
AB - Summary At the theoretical level, the paper has two aims: to develop a three
country migration model and to incorporate British emigration schemes of the
1920s into it. The model is tested on data of U.K. emigration to Canada, the
U.S.A. and Australia from 1920 to 1936.
PMID- 22091915
TI - Comment on 'facts and artifacts in the study of intra-uterine mortality: a
reconsideration from pregnancy histories' by henri leridon(1).
AB - Abstract Extract I have suggested that at a given birth order the probability
of having a further pregnancy is greater when the last pregnancy had been
spontaneously aborted than when it resulted in a birth.(2) Leridon,(3)in the
course of a valuable paper on foetal wastage, presents data which, according to
him, impugn my suggestion. I would like to question his claim.
PMID- 22091916
TI - A reply to W. H. James.
AB - Abstract The objection of W. H. James to my computation on p. 330, for the
sample of Creteil, is valid. For reasons that are developed elsewhere in my
paper, the current pregnancy of any woman was omitted in the elaboration of Table
6. Therefore, in order to derive continuation rates, we must re-include this
pregnancy in each individual pregnancy history. Since women whose previous
pregnancies ended in induced abortions had been excluded, we must do the same for
women whose current pregnancy was interrupted by an induced abortion.
PMID- 22091917
TI - Dual record demographic surveys: A re-assessment.
AB - Summary A Review of 'Population Growth Estimation': A Handbook of Vital
Statistics Measurement, by Eli S. Marks, W. Seltzer and K. J. Krotki. pp. 496.
PMID- 22091918
TI - Notes to authors on the preparation of papers submitted to population studies.
AB - Abstract All typing, including footnotes, references, extracts and quotations
to be double-spaced and on one side of the paper which should preferably be A4 in
size.
PMID- 22091922
TI - Does decreased access to emergency departments affect patient outcomes? Analysis
of acute myocardial infarction population 1996-2005.
AB - OBJECTIVE: We analyze whether decreased emergency department (ED) access results
in adverse patient outcomes or changes in the patient health profile for patients
with acute myocardial infarction (AMI). DATA: We merge Medicare claims, American
Hospital Association annual surveys, Medicare hospital cost reports, and location
information for 1995-2005. STUDY DESIGN: We define four ED access change
categories and estimate a ZIP Code fixed-effects regression models on the
following AMI outcomes: mortality rates, age, and probability of percutaneous
transluminal coronary angioplasty (PTCA) on day of admission. PRINCIPAL FINDINGS:
We find a small increase in 30-day to 1-year mortality rates among patients in
communities that experience a <10-minute increase in driving time. Among patients
in communities with >30-minute increases, we find a substantial increase in long
term mortality rates, a shift to younger ages (suggesting that older patients die
en route), and a higher probability of immediate PTCA. Most of the adverse
effects disappear after the transition years. CONCLUSIONS: Deterioration in
geographic access to ED affects a small segment of the population, and most
adverse effects are transitory. Policy planners can minimize the adverse effects
by providing assistance to ensure adequate capacity of remaining EDs, and
facilitating the realignment of health care resources during the critical
transition periods.
PMID- 22091921
TI - Cardiometabolic effects in caregivers of nursing home placement and death of
their spouse with Alzheimer's disease.
AB - OBJECTIVES: To test the hypothesis that cardiometabolic risk is attenuated when
caregivers are relieved of caregiving stress when the caregiving recipient
transitions out of the home. DESIGN: Longitudinal. SETTING: Participants' homes.
PARTICIPANTS: One hundred nineteen spousal caregivers of a patient with
Alzheimer's disease (AD) and 55 noncaregiving controls (mean age of entire sample
75 +/- 8, 68% women). MEASUREMENTS: Participants underwent up to three yearly
assessments of metabolic syndrome (MetS) factors related to adiposity,
dyslipidemia, hypertension, and hyperglycemia. Changes in the total number of
MetS factors (range: 0-5) 3 months after caregiver transitions were evaluated
using random regression models with fixed and time-variant effects for
sociodemographic and health-related covariates. RESULTS: Caregivers had a greater
number of MetS factors over time than noncaregivers (1.78 +/- 0.13 vs 1.36 +/-
0.18, P = .008), which, after the death of the spouse, dropped by 0.46 +/- 0.16
(P = .003) being no longer different from those of noncaregivers; this effect was
most prominently related to decreases in triglycerides (-22.2 +/- 11.0 mg/dL, P =
.03), systolic blood pressure (-6.2 +/- 2.6 mmHg, P = .02), and diastolic blood
pressure (-3.4 +/- 1.5 mmHg, P = .03). Placement of the spouse decreased the
number of MetS factors only in caregivers with lower levels of depressive
symptoms (-0.48 +/- 0.18, P = .01) and sleeping difficulties (-0.42 +/- 0.18, P =
.02) but not in caregivers with higher levels in these measures at postplacement.
CONCLUSION: High cardiometabolic risk in caregivers decreased to the level of
that of noncaregivers within 3 months of death of the spouse with AD, although
placement, a transition in the course of dementia caregiving, did not benefit
cardiovascular health in highly distressed caregivers.
PMID- 22091923
TI - Investigation of nanoparticle transport inside coarse-grained geological media
using magnetic resonance imaging.
AB - Quantifying nanoparticle (NP) transport inside saturated porous geological media
is imperative for understanding their fate in a range of natural and engineered
water systems. While most studies focus upon finer grained systems representative
of soils and aquifers, very few examine coarse-grained systems representative of
riverbeds and gravel based sustainable urban drainage systems. In this study, we
investigated the potential of magnetic resonance imaging (MRI) to image transport
behaviors of nanoparticles (NPs) through a saturated coarse-grained system. MRI
successfully imaged the transport of superparamagnetic NPs, inside a porous
column composed of quartz gravel using T(2)-weighted images. A calibration
protocol was then used to convert T(2)-weighted images into spatially resolved
quantitative concentration maps of NPs at different time intervals. Averaged
concentration profiles of NPs clearly illustrates that transport of a positively
charged amine-functionalized NP within the column was slower compared to that of
a negatively charged carboxyl-functionalized NP, due to electrostatic attraction
between positively charged NP and negatively charged quartz grains. Concentration
profiles of NPs were then compared with those of a convection-dispersion model to
estimate coefficients of dispersivity and retardation. For the amine
functionalized NPs (which exhibited inhibited transport), a better model fit was
obtained when permanent attachment (deposition) was incorporated into the model
as opposed to nonpermanent attachment (retardation). This technology can be used
to further explore transport processes of NPs inside coarse-grained porous media,
either by using the wide range of commercially available (super)paramagnetically
tagged NPs or by using custom-made tagged NPs.
PMID- 22091924
TI - Titan tholins: simulating Titan organic chemistry in the Cassini-Huygens era.
PMID- 22091925
TI - Beyond seizures and medications: normal activity limitations, social support, and
mental health in epilepsy.
AB - Although seizure control is a critical goal for persons with epilepsy (PWE) from
the biomedical perspective, there is growing support for approaches that take
into account the effect of epilepsy on the whole person (the biopsychosocial
perspective). We hypothesized that PWE who report normal activity limitations due
to epilepsy and poor social/emotional support would report poor mental health
regardless of whether they report having seizures in the past 3 months or
currently taking medication for their epilepsy. In 2005 and 2006, twenty-two
states asked about epilepsy in the Behavioral Risk Factor Surveillance System
(BRFSS). A set of survey weight-adjusted logistic regression analyses were
conducted to examine self-reported poor mental health. Our findings reveal that
both normal activity limitations due to epilepsy and poor social/emotional
support are significant predictors of reporting poor mental health. After
controlling for social support, demographics, comorbidities, and health behaviors
we determined that those reporting normal activity limitations due to epilepsy
are more likely to report poor mental health (odds ratio [OR] 3.05, 95%
confidence interval [CI] 1.41-6.58). Similarly, after controlling for the same
factors, PWE with poor social/emotional support are more likely to report poor
mental health (OR 3.22, 95% CI 1.84-5.61). In contrast, reported seizures in the
past 3 months (OR 1.12, 95% CI 0.56-2.25) or current use of medication (OR 0.58,
95% CI 0.30-1.10) were not significantly associated with poor mental health.
These population-based findings support the need for clinical approaches that
address psychosocial issues in addition to seizure control and treatment.
PMID- 22091926
TI - Eyeblink conditional discrimination learning in healthy young men is impaired
after stress exposure.
AB - Stress is known to influence the hippocampus. Eyeblink conditional discrimination
learning is dependent on the hippocampus, but the effects of stress on the task
are unknown. Male participants were allocated to a psychosocial stress condition
(Trier Social Stress Test) or a control condition. Afterwards, a conditional
discrimination task was performed. A tone (the CS) predicted an airpuff (the US)
only when preceded by a specific visual stimulus (a red or a green colored
square, the S+ and S-). Stressed participants showed a rise in cortisol and an
increase in negative affect. Stressed participants also failed to acquire the
conditional discrimination. They responded to all of the presented CS
irrespective of the preceding occasion setter (S+ or S-). Controls, in contrast,
acquired the discrimination rapidly. The present study provides further evidence
for an impairing effect of acute stress on tasks relying on the hippocampal
formation.
PMID- 22091927
TI - Intercepting bacterial indole signaling with flustramine derivatives.
AB - Indole signaling is one of the putative universal signaling networks in bacteria.
We have investigated the use of desformylflustrabromine (dFBr) derivatives for
the inhibition of biofilm formation through modulation of the indole-signaling
network in Escherichia coli and Staphylococcus aureus . We have found dFBr
derivatives that are 10-1000 times more active than indole itself, demonstrating
that the flustramine family of indolic natural products represent a privileged
scaffold for the design of molecules to control pathogenic bacterial behavior.
PMID- 22091928
TI - Ecology of Borrelia burgdorferi sensu lato in Europe: transmission dynamics in
multi-host systems, influence of molecular processes and effects of climate
change.
AB - The analysis of different multi-host systems suggests that even hosts that are
not capable of transmitting Borrelia burgdorferi sensu lato (s.l.) to the tick
vector, Ixodes ricinus, or that are secondary reservoirs for these agents
contribute to the intensity of transmission and to the overall risk of Lyme
borreliosis, through the process of vector augmentation and pathogen
amplification. On the other hand, above certain threshold densities, or in the
presence of competition with primary reservoir hosts or low attachment rate of
ticks to reservoir hosts, incompetent or less competent hosts may reduce
transmission through dilution. The transmission of B. burgdorferi s.l. is
affected by molecular processes at the tick-host interface including mechanisms
for the protection of spirochaetes against the host's immune response. Molecular
biology also increasingly provides important identification tools for the study
of tick-borne disease agents. Ixodes ricinus and B. burgdorferi s.l. are
expanding their geographical range to northern latitudes and to higher altitudes
through the effects of climate change on host populations and on tick
development, survival and seasonal activity. The integration of quantitative
ecology with molecular methodology is central to a better understanding of the
factors that determine the main components of Lyme borreliosis eco-epidemiology
and should result in more accurate predictions of the effects of climate change
on the circulation of pathogens in nature.
PMID- 22091929
TI - The introduction of a choice to learn pre-symptomatic DNA test results for BRCA
or Lynch syndrome either face-to-face or by letter.
AB - In predictive DNA testing for hereditary cancer, test results should
traditionally be disclosed face-to-face. Increasingly, however, counselees ask to
receive their test result at home by letter. To compare the quality of genetic
counselling in the traditional way to a procedure in which counselees are offered
a choice on how to get their test result. Counselees from families with a known
BRCA1/2 or Lynch syndrome mutation were randomised into two groups. The control
group was given the DNA test result in a face-to-face consultation. In the
intervention group people could choose to learn their test result face-to-face or
by letter. The quality of genetic counselling was assessed through questionnaires
at three different moments. Data of 198 counselees were analysed. The quality of
genetic counselling and psychological functioning were equally good in both
groups. The majority of cases chose for disclosure by letter. The counselees with
a good test result in the intervention group were the most satisfied. Our results
indicate that in predictive DNA testing for BRCA1/2 and Lynch syndrome, a choice
protocol is equally safe and more satisfying. Moreover, it is more efficient for
both counsellor and counselee.
PMID- 22091930
TI - Comparative evaluation of pharmaceutical products obtained in Mexico: augmenting
existing scientific data.
AB - CONTEXT: The accessibility of pharmacies in neighboring countries has facilitated
the trend of acquiring medications outside of local borders. However, scientific
data assessing the drug content and quality of these medications has not
increased in a corresponding fashion. OBJECTIVE: This study seeks to augment
existing scientific data. MATERIALS AND METHODS: Seventeen products that were
obtained from pharmacies in Mexico were evaluated for active ingredient content.
The active pharmaceutical ingredients (API) assessed included amoxicillin,
ampicillin, ciprofloxacin, levothyroxine, sildenafil citrate, sulfamethoxazole,
trimethoprim, and warfarin. API content was analysed with high performance liquid
chromatography assays and the resultant data interpreted by applying United
States Pharmacopeia (USP) acceptability limits. RESULTS: All of the samples
analyzed for the two ciprofloxacin products and the two ampicillin products were
found to be within the USP limits. Of the four different
sulfamethoxazole/trimethoprim products tested, all were within USP limits for
sulfamethoxazole, but contained 2-3 individual units which were outside of USP
limits for trimethoprim. Several of the remaining products (amoxicillin,
levothyroxine, sildenafil citrate, and warfarin) had individual units that fell
outside of the USP limits, although only one of the levothyroxine products (1 out
of 20 tablets tested) and both sildenafil citrate products (all of the units
tested) contained units outside of +/-25% label claim.
PMID- 22091931
TI - The S-measurement in the diagnosis of canine hip dysplasia.
AB - OBJECTIVE: To propose a direct measure of subluxation of the femoral head (S) in
the assessment of hip joint laxity and evaluate it for clinical use. STUDY
DESIGN: Method comparison study. ANIMALS: Dogs (n = 51). METHODS: Dogs were
sedated or anesthetized for a dorsolateral subluxation (DLS) examination. Two
sets of radiographs were acquired, 1 each by a different technologist. A
calibrated measuring bar was included on the image at the height of the hip to
assess magnification. The DLS was calculated for each hip and different persons
unaware of these details measured the "S"-value. One person measured the S-value
3 times over 3 days. Box plots were used to determine a cut-off for the empiric
(8 mm) and corrected (4 mm) S-value. RESULTS: Of 51 dogs, 33 were dysplastic
based on a DLS score <55%. Magnification and body weight were strongly correlated
(r = 0.4922, P = .0006). Both empiric and corrected S measurements showed good
agreement with the DLS score (kappa = 0.688 and kappa = 0.681, respectively). The
corrected S measurement produced more false negatives. Bland-Altman analysis
showed interobserver and technician variance acceptable for clinical use (limits
of agreement < +/-3 mm). Intraobserver repeatability was acceptable for the right
hip (95% of differences were <=1.3 mm and 100% <= 1.9) but not for the left hip.
CONCLUSION: Using a cut-off value of 5 mm, the empirical S measurement can be
used to exclude hip dysplasia in young dogs of various body proportions.
PMID- 22091932
TI - Physician perspectives on medical care delivery in assisted living.
AB - OBJECTIVES: To describe the provision of medical care in assisted living (AL) as
provided by physicians who are especially active in providing care to older
adults and AL residents; to identify characteristics associated with physician
confidence in AL staff; and to ask physicians a variety of questions about their
experience providing care to AL residents and how it compares with providing care
in the nursing home and home care settings. DESIGN: Cross-sectional descriptive
study. SETTING: AL communities in 27 states. PARTICIPANTS: One hundred sixty-five
physicians and administrators of 125 AL settings in which they had patients.
MEASUREMENTS: Interviews and questionnaires containing open- and close-ended
questions regarding demographics, care arrangements, attitudes, and behaviors in
managing medical problems. RESULTS: Most respondents were certified in internal
medicine (46%) or family medicine (47%); 32% were certified in geriatrics and 30%
in medical directorship. In this select sample, 48% visited the AL setting once a
year or less, and 19% visited once a week or more. Mean physician confidence in
AL staff was 3.3 (somewhat confident), with greater confidence associated with
smaller AL community size, nursing presence, and the physician being the medical
director. Qualitative analyses identified differences between settings including
lack of vital sign assessment in the home setting, concern about the ability of
AL staff to assess and monitor problems, and greater administrative and
regulatory requirements in AL than in the other settings. CONCLUSION: Providing
medical care for AL residents presents unique challenges and opportunities for
physicians. Nursing presence and physician oversight and familiarity and
communicating with AL staff who are highly familiar with a given resident and can
monitor care may facilitate care.
PMID- 22091933
TI - The bystander effect is a novel mechanism of UVA-induced melanogenesis.
AB - We successfully identified the bystander effect in B16 murine melanoma cells
exposed to UVA irradiation. The effect was identified based on melanogenesis
following the medium transfer of the B16 cells, which had been cultured for 24 h
after being exposed to UVA irradiation, to nonirradiated cells (bystander cells).
Our confirmation study of the functional mechanism of bystander cells confirmed
the reduced levels of mitochondrial membrane potential 1-4 h after the medium
transfer. In addition, we observed increased levels of intracellular oxidation
after 9-12 h, and the generation of melanin radicals, including long-lived
radicals, 24 h after medium transfer. Further analysis of bystander factors
revealed that the administration of EGTA treatment at the time of medium transfer
led to an inhibition of melanogenesis and to neutralization of the mitochondrial
membrane potential level, as well as to the restoration of intracellular
oxidation levels to those of controls. The results demonstrated that the UVA
irradiation bystander effect in B16 cells, as indicated by melanogenesis, was
induced by the increase in intracellular oxidation due to the mitochondrial
activity of calcium ions, which were among the bystander factors involved in the
increase.
PMID- 22091934
TI - Family composition preferences in a developing culture: The case of Taiwan, 1973.
AB - Abstract As fertility comes increasingly under voluntary control in a
developing society, it can be argued that individual desires or preferences about
children will become more salient and more significant for eventual fertility.
Hence, the study of preferences is increasingly important as contraceptive use is
extended and results in decreasing the number of unwanted births.(1) Further
changes in fertility then depend on changes in preferences. The assumption is
that people will at least try to achieve the families they want, if the means to
do so are available. The fact that contraception is used at all is some evidence
of the soundness of this assumption, although it should be recognized that family
size desires operate in a complex of preferences, under varying degrees of
conflict and control. To expect a one-to-one relationship between attitudes or
preferences and overt behaviour would be simplistic.
PMID- 22091935
TI - Household composition and extended kinship in Taiwan.
AB - Abstract The extended family has been an essential part of Chinese society,
both in cultural ideal and social reality.(1) The traditional Chinese ideal has
been for co-residence of parents with their married sons and their families in a
large, joint-stem household unit. The reality, in Taiwan and elsewhere, has
deviated from the ideal in several ways. First, for any given young couple,
relatives necessary for a joint-stem family may not be available at one or
another stage of the family life cycle. Secondly, even when all the necessary
relatives are available, the emphasis has been on the vertical filial tie, rather
than the horizontal fraternal tie, so the predominant fact has been co-residence
of parents with a married son. Married brothers usually-do not live together and,
if they do, it is usually when the parent is or has been in the same unit.
PMID- 22091936
TI - Effects of child mortality on subsequent fertility of women in some rural and
semi-urban areas of certain Latin American countries.
AB - Abstract With the rapid decline in child mortality in developing countries
there is considerable interest in understanding its effects on fertility.
According to the theory of demographic transition, mortality declines are
accompanied by fertility declines after a time lag, as countries go through the
process of economic development. However, the immediate effects of a mortality
decline on fertility have not been uniform as in many countries fertility has
actually increased. For example, in many Latin American countries where mortality
declines have been very rapid there have not been any appreciable changes in
fertility. Only in recent years has there been a noticeable decline in the urban
areas of some nations. While it is possible to examine the effects of various
socio-economic factors on mortality and fertility at the macro-level, any real
understanding of how mortality itself influences fertility would require
information at the micro-level on couples who have experienced child mortality
and who are also exposed to the risk of childbearing.
PMID- 22091937
TI - How a trend towards a stationary population affects consumer demand.
AB - Abstract During the great depression of the 1930seconomists in both the United
States and Europe tried to analyse the economic consequences of declining rates
of population growth. Not only were birth rates in many industrial countries at
the lowest levels ever, but they coincided with high rates of unemployment. Of
the many economists who held that demographic trends were partly responsible for
the adverse economic conditions, a prominent example was John Maynard Keynes.
According to his so-called stagnation thesis, population growth stimulates
investment demand in two ways: more people need more goods and services and,
hence, more investment in factories and machinery; and with population growing,
businessmen are more likely to regard their investment misallocations as less
serious than when the growth is slow or nil.(1)A minority of writers were more
optimistic about the economic consequences of slower rates of population growth.
For example, Thompson argued that with a lower ratio of consumers to producers
the population would enjoy a higher standard of living and the education of
children should improve.(2).
PMID- 22091938
TI - Fertility, mortality, migration and family planning in the Yemen Arab Republic.
AB - Abstract Until the end of the seven years' civil war following the revolution
of 1962, almost no reliable statistical information of any kind about the Yemen
Arab Republic (North Yemen) and its people was available. Since President al
Hamdi's takeover of 1974, the demand for more accurate statistics for developing
planning has led to a number of studies which give us the first numerical
insights into the dynamics of the Yemen's population. The National Population
Census of 1975 is the most important of these studies since it showed two things
very clearly. First, it indicated that the Yemen's population is large and
concentrated in selected rural areas where there are real problems of crowding
and shortages of good agricultural land. Secondly, the Census showed that the
lack of domestic economic opportunities partially related to the high rural
population densities, and the numerous opportunities in the oil-rich states of
the Middle East, especially Sa'udi Arabia, had resulted in an out-migration of
young males of prime ages of very large proportions. This article elaborates
further on the dynamics of the population in Yemen and reports on the results of
a small sample survey carried out in May 1976which provides further insights into
the factors affecting fertility and mortality during this early stage of the
Yemen's economic and social development.
PMID- 22091939
TI - Consistency between fertility attitudes and behaviour: A conceptual model.
AB - Abstract The consistency (or lack of it) between attitude and behaviour has
been a controversial issue in social psychology for the past several decades,(1)
and more recently has become a focus of considerable controversy in the field of
population studies.(2) In accordance with Freedman, Hermalin and Chang,(3)it is
argued here that this controversy will not be resolved by theoretical
discussions, and evidence is needed from many countries at several time points to
resolve this issue. This paper presents evidence on consistency between fertility
attitudes and behaviour from survey data from Venezuela and, based upon analysis
of the present data, suggests a conceptual model for the study of consistency
between fertility attitudes and behaviour.
PMID- 22091940
TI - The length of the human fertile period.
AB - Abstract Estimates of the. length of the human fertile period (that interval
within the menstrual cycle during which insemination is associated with a non
zero probability of conception) are necessarily indirect. Moreover, those
estimates do not seem in close agreement with one another. For instance, it has
been suggested that:
PMID- 22091941
TI - A comment on De Jong and Sell's 'Changes in childlessness in the United States: A
demographic path analysis'.
AB - Abstract I found De Jong and Sell's recent paper! to be a study in contrasts.
On the one hand, the authors have done a good job of scouring the literature for
information pertinent to their work. It is evident from the breadth of sources
cited that they were both persistent and thorough in this task. I also found
their discussions of possible explanations for changing patterns of childlessness
to be very good.
PMID- 22091945
TI - Erratum.
PMID- 22091942
TI - Changes in childlessness for all women in the United States: A reply to Spencer.
AB - Abstract The analysis of changes in childlessness as opposed to structural
correlates of childlessness is quite new. Our focus on indicators of such changes
called for a macro-analytic framework which would permit the test of changing
features of population characteristics and the relationship between structural
changes in different population cohorts. An appropriate data set was one that
would permit the analysis ofchanging levels ofchildlessness in age cohorts and
the changes in population characteristics which would offer possible explanations
for the changing childlessness pattern.
PMID- 22091946
TI - Establishing a trait anxiety threshold that signals likelihood of anxiety
disorders.
AB - Evidence suggests that the state trait inventory for cognitive and somatic
anxiety (STICSA) may be a more pure measure of anxiety than other commonly used
scales. Further, the STICSA has excellent psychometric properties in both
clinical and nonclinical samples. The present study aimed to extend the utility
of the STICSA-Trait version by identifying a cut-off score that could
differentiate a group of clinically diagnosed anxiety disorder patients (n=398)
from a group of student controls (n=439). Two receiver operating characteristic
curve analyses indicated cut-off scores of 43 (sensitivity=.73, specificity=.74,
classification accuracy=.74) and 40 (sensitivity=.80, specificity=.67,
classification accuracy=.73), respectively. In a large community sample (n
=6685), a score of 43 identified 11.5% of individuals as probable cases of
clinical anxiety, while a score of 40 identified 17.0% of individuals as probable
cases of clinical anxiety. As a result of differences in sensitivity and
specificity, the present findings suggest a cut-off score of 43 is optimal to
identify probable cases of clinical anxiety, while a cut-off score of 40 is
optimal to screen for the possible presence of anxiety disorders.
PMID- 22091947
TI - In situ methane recovery and carbon dioxide sequestration in methane hydrates: a
molecular dynamics simulation study.
AB - One intriguing idea for the simultaneous recovery of energy and sequestration of
global warming gas is proposed by the transformation of methane hydrates to
carbon dioxide hydrates with the injection of liquid CO(2). Here we use molecular
dynamics simulations to show that the replacement can take place without melting
of the network of hydrogen-bonded water molecules. Depending on the distance to
the interface between the liquid CO(2) and solid clathrate hydrate, we find that
the replacement occurs either via direct swapping of methane and CO(2) or via a
transient co-occupation of both methane and CO(2) in one cavity. Our results
suggest that, with a careful design of the operation condition, it is possible to
replace methane from methane hydrates with CO(2) in the solid phase without much
change in the geological stability.
PMID- 22091948
TI - Exploring the personality structure in the 11 languages of South Africa.
AB - The present study, part of the development of the South African Personality
Inventory (SAPI), explores the implicit personality structure in the 11 official
language groups of South Africa by employing a mixed-method approach. In the
first, qualitative part of the study, semistructured interviews were conducted
with 1,216 participants from the 11 official language groups. The derived
personality-descriptive terms were categorized and clustered based on their
semantic relations in iterative steps involving group discussions and contacts
with language and cultural experts. This analysis identified 37 subclusters,
which could be merged in 9 broad clusters: Conscientiousness, Emotional
Stability, Extraversion, Facilitating, Integrity, Intellect, Openness,
Relationship Harmony, and Soft-Heartedness. In the second, quantitative part, the
perceived relations between the 37 subclusters were rated by 204 students from
different language groups in South Africa and 95 students in the Netherlands. The
outcomes generally supported the adequacy of the conceptual model, although
several clusters in the domain of relational and social functioning did not
replicate in detail. The outcomes of these studies revealed a personality
structure with a strong emphasis on social-relational aspects of personality.
PMID- 22091949
TI - Alpha-adrenergic receptor gene polymorphisms and cardiovascular reactivity to
stress in Black adolescents and young adults.
AB - Cardiovascular reactivity to stress and alpha-adrenergic receptor (alpha-AR)
function may contribute to the development of hypertension. As Black Americans
have an increased risk of hypertension, we evaluated associations between
alpha(1A) -AR (Arg492Cys), alpha(2A) -AR (-1291C/G), and alpha(2B) -AR
(Ins/Del301-303) gene variants and cardiovascular reactivity in 500 normotensive
Black youth. Heart rate, preejection period, total peripheral resistance, and
blood pressure were measured during cold and psychological stress. The Arg492Cys
polymorphism in the alpha(1A) -AR gene was associated with heart rate reactivity
to stress, but the association depended on sex. The -1291C/G promoter
polymorphism in the alpha(2A) -AR gene was associated with vascular reactivity to
stress; vasoconstriction increased as a linear function of the number of copies
of the variant G allele. Thus, specific associations emerged between genetic
variations in alpha-Ars and cardiovascular reactivity in young Blacks.
PMID- 22091950
TI - Does community-based health insurance protect household assets? Evidence from
rural Africa.
AB - OBJECTIVE: To evaluate whether community-based health insurance (CBHI) protects
household assets in rural Burkina Faso, Africa. DATA SOURCES: Data were used from
a household panel survey that collected primary data from randomly selected
households, covering 41 villages and one town, during 2004-2007(n = 890). STUDY
DESIGN: The study area was divided into 33 clusters and CBHI was randomly offered
to these clusters during 2004-2006. We applied different strategies to control
for selection bias-ordinary least squares with covariates, two-stage least
squares with instrumental variable, and fixed-effects models. DATA COLLECTION:
Household members were interviewed in their local language every year, and
information was collected on demographic and socio-economic indicators including
ownership of assets, and on self-reported morbidity. PRINCIPAL FINDINGS: Fixed
effects and ordinary least squares models showed that CBHI protected household
assets during 2004-2007. The two-stage least squares with instrumental variable
model showed that CBHI increased household assets during 2004-2005. CONCLUSIONS:
In this study, we found that CBHI has the potential to not only protect household
assets but also increase household assets. However, similar studies from
developing countries that evaluate the impact of health insurance on household
economic indicators are needed to benchmark these results with other settings.
PMID- 22091951
TI - Incidence of medial meniscal tears after arthroscopic assisted tibial plateau
leveling osteotomy.
AB - OBJECTIVE: To determine the incidence of medial meniscal tears in dogs with
naturally occurring cranial cruciate ligament (CCL) disease treated with
arthroscopy and tibial plateau leveling osteotomy (TPLO). STUDY DESIGN:
Retrospective case series. SAMPLE POPULATION: Canine Stifles (n = 357) with
naturally occurring CCL disease. METHODS: Medical records (November 2006-November
2009) were reviewed for all dogs with CCL disease treated with arthroscopic CCL
debridement, meniscal probing, and TPLO. We investigated the significance of the
preoperative variables; age, weight, tibial plateau angle (TPA), sex, and the
preoperative condition of the CCL on the prevalence of concurrent meniscal tears
(CMT) and incidence of late onset meniscal tears (LMT). RESULTS: Prevalence of
CMT and incidence of LMT was 32.2% and 5.6%, respectively. A significant
difference between age of dogs with and without CMT was identified. There was a
significantly lower prevalence of CMT and incidence of LMT in dogs that had a
partial CCL tear compared with those that had a complete CCL tear. All dogs with
LMT treated by partial meniscectomy (PMM) returned to peak postoperative limb
function after (PMM) based on client-assessed outcomes. CONCLUSIONS: This study
demonstrates the importance of LMT as a complication, the importance of
comprehensive meniscal assessment, and may add to the evidence against routinely
performing meniscal release in TPLO. The preoperative condition of the CCL should
be considered before operating on grossly normal menisci.
PMID- 22091952
TI - Synthesis of rhodamines from fluoresceins using Pd-catalyzed C-N cross-coupling.
AB - A unified, convenient, and efficient strategy for the preparation of rhodamines
and N,N'-diacylated rhodamines has been developed. Fluorescein ditriflates were
found to undergo palladium-catalyzed C-N cross-coupling with amines, amides,
carbamates, and other nitrogen nucleophiles to provide direct access to known and
novel rhodamine derivatives, including fluorescent dyes, quenchers, and latent
fluorophores.
PMID- 22091956
TI - Regional cerebral blood flow and glucose metabolism during propofol anaesthesia
in healthy subjects studied with positron emission tomography.
AB - BACKGROUND: General anaesthetics can alter the relationship between regional
cerebral glucose metabolism rate (rGMR) and regional cerebral blood flow (rCBF).
With the present study, we wanted to assess quantitatively the effects of
propofol on rCBF and rGMR in the same healthy volunteers measured with positron
emission tomography (PET). METHODS: (15)O-labelled water and (18)F
fluorodeoxyglucose were used as PET tracers to determine rCBF and rGMR,
respectively, in eight healthy volunteers during the waking state (baseline) and
during propofol anaesthesia. Propofol was titrated to keep a constant hypnotic
depth (Bispectral Indes 35-40) throughout the anaesthesia. Changes in rGMR and
rCBF were quantified using region-of-interest and voxel-based analyses. RESULTS:
The measured mean propofol concentration was 4.1 +/- 0.8 MUg/ml during
anaesthesia. Compared with the conscious state, total CBF and GMR decreased
during the anaesthetic state with 47% and 54%, respectively. In the white and
grey matter, rCBF and rGMR were reduced by 37% and 49%, and by 45% and 57%,
respectively. Propofol decreased rCBF in all brain structures by 46-55% (P <=
0.01) with highest significant decreases in the thalamus and parietal lobe.
Regional GMR was reduced in all brain areas to 48-66% (P <= 0.01) with highest
significant reductions in the occipital lobe, the lingual gyrus, parietal lobe,
temporal lobe and thalamus. No increases in rCBF or rGMR happened anywhere.
CONCLUSIONS: General anaesthesia with propofol is associated with a global
metabolic and vascular depression in the human brain, with significant shifts in
regional blood flow and metabolism indicating marked metabolic and vascular
responsiveness in some cortical areas and thalamus.
PMID- 22091957
TI - Mobilization of manufactured gas plant tar with alkaline flushing solutions.
AB - This experimental study investigates the use of alkaline and alkaline-polymer
solutions for the mobilization of former manufactured gas plant (FMGP) tars. Tar
aqueous interfacial tensions (IFTs) and contact angles were measured, and column
flushing experiments were conducted. NaOH solutions (0.01-1 wt.%) were found to
significantly reduce tar-aqueous IFT. Contact angles indicated a shift to
strongly water-wet, then to tar-wet conditions as NaOH concentration increased.
Column experiments were conducted with flushing solutions containing 0.2, 0.35,
and 0.5% NaOH, both with and without xanthan gum (XG). Between 10 and 44% of the
residual tar was removed by solutions containing only NaOH, while solutions
containing both NaOH and XG removed 81-93% of the tar with final tar saturations
as low as 0.018. The mechanism responsible for the tar removal is likely a
combination of reduced IFT, a favorable viscosity ratio, and tar bank formation.
Such an approach may have practical applications and would be significantly less
expensive than surfactant-based methods.
PMID- 22091958
TI - Concomitant use of cholinesterase inhibitors and anticholinergics: prevalence and
outcomes.
AB - OBJECTIVES: To determine the extent of concomitant use of cholinesterase
inhibitor (ChI) and anticholinergic (ACh) medications and the clinical
consequences of dual use in a population-based setting. DESIGN: Retrospective
cohort study. SETTING: Group Health Cooperative and Kaiser Permanente Colorado.
PARTICIPANTS: Five thousand six hundred twenty-five adults aged 50 and older who
began new use of a ChI between 2000 and 2007. MEASUREMENTS: Rates and
characteristics of concomitant ChI and ACh use and the association between dual
use and the outcomes of death and nursing home placement (claim from a nursing
home with no prior claims used as a proxy). RESULTS: Thirty-seven percent of ChI
users also received AChs. Eleven percent of ChI users were concomitantly using
two or more moderate to potent AChs. Median duration of this concomitant use was
approximately 4 months, but a substantial proportion (25%) continued to use both
medication classes simultaneously for longer than 12 months. In 23% of ChI users,
AChs were being used at the time ChI therapy was initiated. The majority of this
ACh use (77%) was not stopped once ChIs were started. No association was observed
between concomitant use and risk of death or nursing home placement. CONCLUSION:
These results should raise awareness about the prevalence and potential
inappropriateness of concomitant use of ChIs and AChs and promote evaluations of
practices intended to improve care standards.
PMID- 22091959
TI - Incompletely condensed fluoroalkyl silsesquioxanes and derivatives: precursors
for low surface energy materials.
AB - A novel synthetic method was developed for the controlled functionalization of
fluorinated polyhedral oligomeric silsesquioxanes (F-POSS), which are useful as
low surface energy materials for superhydrophobic and superoleophobic materials.
Utilizing triflic acid, open-cage compounds were created and then reacted with a
variety of dichlorosilanes to produce functional F-POSS structures possessing
alkyl-, aryl-, and acrylate-based moieties. The crystal structure for an
endo,endo-disilanol F-POSS compound was determined by single-crystal X-ray
diffraction. The chemical structures were confirmed using multinuclear NMR
spectroscopy ((1)H, (13)C, (19)F, and (29)Si), FT-IR, and combustion analysis.
Dynamic contact angle measurements of these compounds were taken with water and
hexadecane. These novel structures were found to possess excellent wetting
resistant behavior, similar to that of the parent F-POSS compound. They are the
first well-defined fluorinated nano-building blocks with a controlled level of
reactive functionality for the development of new superhydrophobic and
superoleophobic materials.
PMID- 22091960
TI - Translation of evidence-based clinical standards into a new prehospital
resuscitation policy in Los Angeles County.
AB - OBJECTIVE: To translate a set of evidence-based clinical standards designed to
allow paramedics to forego unnecessary and potentially harmful resuscitation
attempts into a feasible new policy. DATA SOURCES/SETTING: Policy documents,
meeting minutes, and personal communications between a large urban Emergency
Medical Services (EMS) agency serving all of Los Angeles County (LAC) and a
research group were reviewed over 12 months. STUDY DESIGN: LAC EMS and University
of California, Los Angeles (UCLA) formed a partnership (the EMS-UCLA
Collaborative) to develop and translate the standards into new EMS protocols.
Clinical indicators considered appropriate and feasible by an expert panel were
submitted to the agency for inclusion in the new policy. FINDINGS: The
Collaborative submitted the results to the LAC EMS Commission and a physician
advisory group for review. Of the 41 indicators approved by the expert panel, 22
would have resulted in changes to the current policy. All six involved asking
family members about or honoring written and verbal Do Not Attempt Resuscitate
requests, but only 4 of the 16 indicators based on clinical characteristics were
included in the new policy. Ultimately, 10 of the 22 indicators that would have
changed policy were approved and implemented. CONCLUSIONS: By collaboration, a
large EMS agency and a research team were able to develop and implement a revised
resuscitation policy within 1 year.
PMID- 22091961
TI - Task confusion after switching revealed by reductions of error-related ERP
components.
AB - While response delays after task switching have been widely used as an index to
investigate the limits of cognitive flexibility, little is known about their
counterpart in error rates. It has been hypothesized that at least some
postswitching errors are not caused by simple response errors but by task
confusion, which refers to the establishment and execution of an incorrect task
set. The aim of the current study is to provide evidence for this hypothesis.
Using a multitrial paradigm, we firstly dissociated task confusion from simple
cue encoding failure, and then measured the error-related negativity (ERN) and
the following error positivity (Pe) as indices of the brain's error processing.
We predicted that task confusion, if it exists, would cause ambiguity to the
criteria of correct response, and therefore attenuate error processing. Results
confirmed our prediction, suggesting that task confusion actually occurs after
switching.
PMID- 22091963
TI - Absence of influenza A(H1N1) during seasonal and pandemic seasons in a sentinel
nursing home surveillance network in the Netherlands.
AB - OBJECTIVES: To describe the epidemiological, virological, and institutional
characteristics of influenza-like illness (ILI) in nursing homes (NHs). DESIGN:
Continuous clinical surveillance of ILI and virological surveillance of ILI and
other acute respiratory infections (ARIs) during four influenza seasons. SETTING:
National sentinel NH surveillance network. PARTICIPANTS: National sentinel
residents. MEASUREMENTS: Weekly registration of ILI cases (influenza seasons
2008/09-2009/10), influenza virus detection (influenza seasons 2006/07-2009/10),
and collection of institutional characteristics of NHs at start of participation.
RESULTS: During the 2008/09 influenza season, ILI incidence started to rise in
Week 49 of 2008, peaked in Week 3 of 2009 (158 cases per 10,000 resident weeks),
and flattened out by Week 16 of 2009 (mean ILI incidence during epidemic: 73
cases per 10,000 resident weeks). During the 2009/10 influenza pandemic, there
was no epidemic peak. Influenza virus type and subtype varied throughout
virological surveillance but was limited to influenza A(H3N2) and B viruses.
Higher staff vaccination coverage (>15%) was associated with lower ILI-incidence
in the 2008/09 influenza season in a univariate negative binomial regression
analysis (incidence rate ratio = 0.3, 95% confidence interval = 0.1-0.8)).
CONCLUSION: Neither seasonal nor pandemic influenza A(H1N1) viruses were detected
in the network, despite widespread community transmission of seasonal and
influenza A(H1N1) virus. ILI incidence trends corresponded to virological trends.
Sentinel surveillance of ILI combining clinical and virological data in NHs
increases understanding of transmission risks in this specific vulnerable
population.
PMID- 22091964
TI - Identification of genomic deletions spanning the PCDH19 gene in two unrelated
girls with intellectual disability and seizures.
AB - Recently, missense and truncating mutations in the gene PCDH19 have been reported
to cause female-restricted epilepsy with mental retardation (EFMR). EFMR
(MIM#300088) is an X-linked disorder characterized by early onset seizures and
intellectual disability (ID). Interestingly, unlike typical X-linked mode of
inheritance, the phenotype is restricted to females, and males are unaffected
carriers. PCDH19 is highly expressed in brain, and the encoded protein belongs to
the cadherin superfamily. Here we report two unrelated female patients with
deletions spanning PCDH19 identified by copy number variation (CNV) analysis and
validated by qPCR. In one, we have identified a 3 Mb interstitial deletion at
Xq21.33-q22.1 which spans PCDH19, LOC442459 & TNMD. This patient had her first
seizure at 8 months old, and also has ID and aggressive behavior. In another
female patient we identified a de novo 603 kb heterozygous deletion in a female
patient with fits (since 1 year of age), ID, hyperactivity and aggressive
behavior. The deletion spans the entire PCDH19 gene (also TNMD, SRPX2, TSPAN6 and
SYTL4). In conclusion, our results suggest that deletions at PCDH19 also cause
EFMR.
PMID- 22091965
TI - Streptomyces as symbionts: an emerging and widespread theme?
AB - Streptomyces bacteria are ubiquitous in soil, conferring the characteristic
earthy smell, and they have an important ecological role in the turnover of
organic material. More recently, a new picture has begun to emerge in which
streptomycetes are not in all cases simply free-living soil bacteria but have
also evolved to live in symbiosis with plants, fungi and animals. Furthermore,
much of the chemical diversity of secondary metabolites produced by Streptomyces
species has most likely evolved as a direct result of their interactions with
other organisms. Here we review what is currently known about the role of
streptomycetes as symbionts with fungi, plants and animals. These interactions
can be parasitic, as is the case for scab-causing streptomycetes, which infect
plants, and the Streptomyces species Streptomyces somaliensis and Streptomyces
sudanensis that infect humans. However, in most cases they are beneficial and
growth promoting, as is the case with many insects, plants and marine animals
that use streptomycete-produced antibiotics to protect themselves against
infection. This is an exciting and newly emerging field of research that will
become increasingly important as the search for new antibiotics switches to
unusual and under-explored environments.
PMID- 22091966
TI - Thoracic kyphosis associated with hemivertebra.
AB - OBJECTIVE: To radiographically assess the influence of thoracic hemivertebra on
kyphosis and neurologic status in 45 dogs. STUDY DESIGN: Case series. ANIMALS:
French Bulldogs without neurologic signs (n = 41) and 4 dogs with compressive
spinal cord disease associated with hemivertebra. METHODS: Thoracic spinal
radiographs were divided into 3 groups: 1 = dogs with no hemivertebra, 2 = dogs
with hemivertebra but no associated neurologic signs, and 3 = dogs with
hemivertebra associated with clinical signs. Vertebral canal height, vertebral
column angle, and the step between 2 adjacent vertebrae were measured in each
group. RESULTS: Vertebral canal height was not significantly different in the 3
groups; however, mean vertebral column angle was significantly (P < .001)
different between groups 1 (178 degrees ) and 2 (169 degrees ), and group 3 (133
degrees ). Median cranial step was significantly different between groups (P <
.001): 0 mm (group 1), 0.3 mm (group 2), 3 mm (group 3). CONCLUSIONS: Vertebral
canal height does not differ between normal dogs and dogs with thoracic
hemivertebra. There is an important association between neurologic signs and
kyphosis and subluxation. CLINICAL RELEVANCE: Vertebral realignment without
vertebral canal opening could lead to spinal cord decompression in dogs affected
by thoracic hemivertebra.
PMID- 22091967
TI - Myosin regulatory light chain mutation found in hypertrophic cardiomyopathy
patients increases isometric force production in transgenic mice.
AB - FHC (familial hypertrophic cardiomyopathy) is a heritable form of cardiac
hypertrophy caused by mutations in genes encoding sarcomeric proteins. The
present study focuses on the A13T mutation in the human ventricular myosin RLC
(regulatory light chain) that is associated with a rare FHC variant defined by
mid-ventricular obstruction and septal hypertrophy. We generated heart-specific
Tg (transgenic) mice with ~10% of human A13T-RLC mutant replacing the endogenous
mouse cardiac RLC. Histopathological examinations of longitudinal heart sections
from Tg-A13T mice showed enlarged interventricular septa and profound fibrotic
lesions compared with Tg-WT (wild-type), expressing the human ventricular RLC, or
non-Tg mice. Functional studies revealed an abnormal A13T mutation-induced
increase in isometric force production, no change in the force-pCa relationship
and a decreased Vmax of the acto-myosin ATPase. In addition, a fluorescence-based
assay showed a 3-fold lower binding affinity of the recombinant A13T mutant for
the RLC-depleted porcine myosin compared with WT-RLC. These results suggest that
the A13T mutation triggers a hypertrophic response through changes in cardiac
sarcomere organization and myosin cross-bridge function leading to abnormal
remodelling of the heart. The significant functional changes observed, despite a
low level of A13T mutant incorporation into myofilaments, suggest a 'poison
peptide' mechanism of disease.
PMID- 22091968
TI - Surface-modified solid lipid nanoparticulate formulation for ifosfamide:
development and characterization.
AB - AIMS: The present research focuses on the development of the surface modified
solid lipid nanoparticulate (SLN) system for enhancing the stability and
sustaining the release of a model hydrophilic drug ifosfamide. MATERIALS &
METHODS: SLNs consisting of glyceryl monooleate (GMO) and chitosan were prepared
by double emulsion technique, crosslinked with sodium tripolyphosphate, followed
by lyophilization under two different vacuum conditions. The physicochemical
characterization of SLNs included evaluation of surface morphology, particle size
and surface charge, moisture content and physical state of the drug in the
delivery system. The in vitro drug release and the stability were evaluated using
high-performance liquid chromatography and liquid chromatography/mass
spectrometry, respectively. Cellular permeability and subcellular localization
studies were performed using Caco-2 cells. RESULTS: Different chamber pressures
during lyophilization produced SLNs with different morphologies and moisture
contents. SLNs demonstrated high encapsulation efficiency, sustained release, and
enhanced stability of ifosfamide with a high cellular uptake and permeability for
Caco-2 cells. CONCLUSION: GMO and chitosan SLNs could be successfully used for
enhancing the stability, sustaining the release, enhancing the targeting and
permeability characteristics of ifosfamide.
PMID- 22091969
TI - Development of big five domains and facets in adulthood: mean-level age trends
and broadly versus narrowly acting mechanisms.
AB - Data from a cross-sectional sample (N = 601 men and women) and a longitudinal
sample (N = 125 women) were used to test hypotheses about the development of Big
Five domains and facets from early adulthood through middle age. Analyses of mean
level age trends indicated that overall Agreeableness and Conscientiousness
increased with age and that several facets showed distinctive trends that
replicated across the samples. Cross-sectional analyses of trait
intercorrelations and covariances indicated that interrelations between the Big
Five domains, and between their more specific facets, were quite similar at older
versus younger ages. Finally, longitudinal analyses of individual-level changes
indicated that (a) different people's personalities changed in markedly different
ways; (b) these changes were predominantly independent, rather than correlated,
across Big Five domains; and (c) the pattern of change correlations between Big
Five facets could be explained by the facets' interrelations at the first
assessment time. Taken together, these results suggest that a complete
understanding of personality development requires consideration of facet-level
traits and that adult personality development is predominantly influenced by
narrowly acting mechanisms that each affect a single Big Five domain, or a small
cluster of related facets, rather than by broadly acting mechanisms that
simultaneously affect previously independent traits.
PMID- 22091970
TI - Application of a novel automatic disintegration apparatus for the development and
evaluation of a direct compression rapidly disintegrating tablet.
AB - An automatic disintegration tester was developed and used to explore
disintegration mechanism and times of rapidly disintegrating tablets. DT50, the
time required for a tablet to decrease in its thickness by half, allowed an
unbiased determination of disintegration time. Calcium silicate concentration,
Explotab(r) concentration, DiPac(r)/Xylitab(r) ratio as fillers, and compression
pressure were evaluated using a central composite model design analysis for their
DT50, tensile strength, and friability. Tablets that could reasonably be handled
(friability <10%) could be produced. The expansion coefficient (n) and the
exponential rate constant (k) for disintegrating tablets, originally measured by
Caramella et al. using force kinetics, could be determined from axial
displacement data measured directly without the need to assume that
disintegration force generation was indicative of changes in tablet volume. The n
values of tablets containing calcium silicate, Ditab(r) and/or Xylitab(r),
magnesium stearate, and Explotab(r) suggested that the amount of Explotab(r) was
not a significant factor in determining the disintegration mechanism; however,
the type of disintegrant used did alter the n value. Primojel(r) and Explotab(r),
which are in the same class of disintegrants, exhibited similar DT50, n, and k.
Polyplasdone(r) XL exhibited a much higher n, while yielding faster DT50,
suggesting that its performance is more dependent on facilitating the interfacial
separation of particles. AcDiSol(r) showed no apparent moisture sensitivity in
regards to disintegration efficiency. The use of the novel apparatus proved to be
useful in measuring disintegration efficiency of rapidly disintegrating tablets
and in providing valuable information on the disintegration phenomena.
PMID- 22091971
TI - Testosterone and sex hormone-binding globulin have significant association with
metabolic syndrome in Taiwanese men.
AB - OBJECTIVES: To investigate the relationship between sex hormones and metabolic
syndrome (MS) in an Asian population. METHODS: A cross-sectional study was
conducted in 237 men aged 20-88 years (mean age 52 years). Serum lipids, glucose,
insulin, total testosterone (TT), estradiol (E2), sex hormone-binding globulin
(SHBG), and dehydroepiandosterone sulfate (DHEA-S) were measured along with body
height, weight, waist circumference, and blood pressure. Free testosterone (FT)
and bioavailable testosterone (BT) were calculated. Correlations were determined
using univariate and multivariate regression analyses. RESULTS: Men with MS had
lower levels of TT, BT, FT, SHBG and DHEA-S than men without MS. Multivariate
analysis, after adjusting for age and smoking status, indicated that TT (OR:
0.909, 95% CI: 0.836-0.988, p = 0.003) and SHBG (OR: 0.948, 95% CI: 0.913-0.985,
p = 0.006) were significantly associated with MS (R(2) = 0.314). TT was
associated with waist circumference (p = 0.008) and abnormal triglycerides level
(p = 0.006); SHBG was associated with blood pressure (p = 0.003), blood glucose
(p = 0.043) and abnormal triglycerides (p = 0.048). A significant trend was
observed between decreasing levels of TT, BT, FT, and SHBG and increasing numbers
of MS components. CONCLUSION: Results show that serum testosterone and SHBG
levels inversely correlate with MS in an Asian population applying the Asian MS
definition.
PMID- 22091972
TI - Comparison of computed tomography and myelography to a reference standard of
computed tomographic myelography for evaluation of dogs with intervertebral disc
disease.
AB - OBJECTIVE: To compare the sensitivity of computed tomography (CT) and myelography
to a reference standard of CT myelography for determining localization of Type I
intervertebral disc extrusions in dogs. STUDY DESIGN: Prospective blinded
comparative study. ANIMALS: Dogs with acute onset myelopathy because of suspected
disc extrusions (n = 30). METHODS: Dogs had CT, myelography, and CT myelography
to diagnose disc extrusions. Sensitivity of CT and myelography was compared to CT
myelography for lateral, longitudinal, and combined localization. Confidence in
the assessment of each imaging study was scored by 3 reviewers. RESULTS:
Sensitivity of CT for lateral, longitudinal, and combined localization was 94%,
91%, and 81%, respectively, and was 64%, 74%, and 53%, respectively for
myelography. Sensitivity of all 3 categories of localization was significantly
different between modalities (P < .0001, P = .0031, P < .0001). Significant
differences in the sensitivity of lateral and combined localization were found
between confidence scores for myelography (P < .0001, P < .0001). Significant
differences in the sensitivity of lateral, longitudinal, and combined
localization were found between confidence scores for CT (P = .011, P = .013, P =
.027). Poor sensitivity was obtained for both modalities except when imaging
studies were assigned the highest confidence score. CONCLUSIONS: CT is a more
sensitive imaging technique than myelography for localizing disc extrusions when
compared to a reference standard of CT myelography. Both modalities yielded high
sensitivity with the highest confidence score and poor sensitivity for all other
confidence scores.
PMID- 22091973
TI - Synthesis of azines in solid state: reactivity of solid hydrazine with aldehydes
and ketones.
AB - Highly conjugated azines were prepared by solid state grinding of solid hydrazine
and carbonyl compounds such as aldehydes and ketones, using a mortar and a
pestle. Complete conversion to the azine product is generally achieved at room
temperature within 24 h, without using solvents or additives. The solid-state
reactions afford azines as the sole products with greater than 97% yield,
producing only water and carbon dioxide as waste.
PMID- 22091974
TI - Reciprocal relationships between resource loss and psychological distress
following exposure to political violence: an empirical investigation of COR
theory's loss spirals.
AB - We conducted a four-wave prospective study of Palestinian adults living in the
West Bank, Gaza Strip, and East Jerusalem, interviewed between 2007 and 2009 at 6
month interval to explore transactional relationships between resource loss
(i.e., intra and interpersonal resource loss) and psychological distress (i.e.,
posttraumatic stress disorder and depression symptoms). Initially, 1196
Palestinians completed the first wave interview and 752 of these participants
completed all four interviews. A cross-lagged panel design was constructed to
model the effects of trauma exposure on both resource loss and psychological
distress and the subsequent reciprocal effects of resource loss and psychological
distress across four time waves. Specifically, resource loss was modeled to
predict distress, which in turn was expected to predict further resource loss.
Structural equation modeling was used to test this design. We found that
psychological distress significantly predicts resource loss across shorter, 6
month time waves, but that resource loss predicts distress across longer, 12
month intervals. These findings support the Conservation of Resources theory's
corollary of loss spirals.
PMID- 22091975
TI - Where did the ventricles go?
PMID- 22091976
TI - Ethnic/race differences in the attrition of older American survey respondents:
implications for health-related research.
AB - OBJECTIVE: To compare models of attrition across race/ethnic groups of aging
populations and discuss implications for health-related research. DATA SOURCES:
The Health and Retirement Study (1992-2008). STUDY DESIGN: A competing risks
model was estimated using a multinomial logit model when respondents faced
competing types of risks, such as dying, being lost from the study, and
nonresponse in some years for different groups of elderly. Key explanatory
variables were foreign birth, health insurance, and health status. PRINCIPAL
FINDINGS: Variables describing foreign birth, health insurance, and health status
differed in their prediction of attrition across ethnic groups of aging
populations. CONCLUSIONS: Differences in the predictors of attrition across
ethnic groups of elderly could potentially lead to biased estimates in health
related research using longitudinal data sources.
PMID- 22091977
TI - Direct 1270 nm irradiation as an alternative to photosensitized generation of
singlet oxygen to induce cell death.
AB - This article is a highlight of the paper by Anquez et al. in this issue of
Photochemistry and Photobiology and describes the potential benefits of direct
excitation of molecular oxygen to produce singlet oxygen ((1)O(2)) rather than
using a photosensitizer. Due to its simplicity, the direct excitation of
molecular oxygen can potentially overcome problems associated with systemic
administration of dyes, such as skin photosensitivity and the clearance of free
sensitizer from the body. However, concerns associated with the technique include
indiscriminate generation of extracellular and intracellular (1)O(2), the
difficulty of controlling necrotic vs apoptotic cell death and the possible
consequences of thermal effects.
PMID- 22091978
TI - Different mental rotation strategies reflected in the rotation related
negativity.
AB - In a mental rotation task of objects, typically, reaction time (RT) increases and
the rotation related negativity (RRN) increases in amplitude with increasing
angles of rotation. However, in a mental rotation task of hands, different RT
profiles can be observed for outward and inward rotated hands. In the present
study, we examined the neurophysiological correlates of these asymmetries in the
RT profiles. We used a mental rotation task with stimuli of left and right hands.
In line with previous studies, the behavioral results showed a linear increase in
RT for outward rotations, but not for inward rotations as a function of angular
disparity. Importantly, the ERP results revealed an RRN for outward rotated
stimuli, but not for inward rotated stimuli. This is the first study to show that
the behaviorally observed differences in a mental rotation task of hands is also
reflected at the neurophysiological level.
PMID- 22091979
TI - Metabolic cost of daily activities and effect of mobility impairment in older
adults.
AB - OBJECTIVES: To compare metabolic equivalent (MET) values of common daily tasks in
men and women aged 70 and older with normative criteria and to determine the
effect of having mobility impairments. DESIGN: Cross-sectional observational
study. SETTING: University-based research clinic. PARTICIPANTS: Forty-five
participants aged 70 to 90 (mean 76.3 +/- 5.1) volunteered to complete 17 daily
activities, each lasting 10 minutes. MEASUREMENTS: Oxygen consumption (VO(2) =
mL/kg per minute) was measured through a mask using a portable gas analyzer, and
MET values were calculated as measured VO(2) /3.5 mL/kg per minute. Values were
compared with normative values and between participants with and without mobility
impairments. RESULTS: Measured METs were different from the established normative
criteria in 14 of 17 tasks performed. Normative values underestimated walking
leisurely (0.87 +/- 0.12 METs) walking briskly (0.87 +/- 0.12 METs), and making
beds (1.07 +/- 0.10 METs) but overestimated gardening (1.46 +/- 0.12 METs) and
climbing stairs (0.73 +/- 0.18). Participants with impairments had significantly
lower METs while gardening, vacuuming or sweeping, stair climbing, and walking
briskly, although when METs were adjusted for performance speed, the metabolic
costs were 16% to 27% higher for those with mobility impairments. CONCLUSION:
Metabolic costs of daily activities are substantially different from normative
values in older adults, and having mobility impairments increases this metabolic
cost. These results may have implications for practitioners to appropriately
prescribe daily physical activities for healthy and mobility-impaired older
adults.
PMID- 22091980
TI - How effective are short message service reminders at increasing clinic
attendance? A meta-analysis and systematic review.
AB - BACKGROUND AND OBJECTIVES: In the last few years there has been a steady uptake
of mobile phone short message service (SMS) reminders to increase medical
attendance rates. We undertook a review of studies that assessed the
effectiveness of SMS reminders at increasing the uptake of appointments in health
care settings. METHODS: We reviewed studies which involved a comparison of
appointment attendance rates between patients who did and did not receive SMS
reminders published prior to June 2010. We used meta-analysis methods to
calculate the overall effect on attendance rates, stratified by study design and
clinic type. RESULTS: The review criteria were met by 18 reports, made up of
eight randomized controlled trials (RCTs) and 10 controlled observational
studies. Across all studies, there was significant heterogeneity in the estimated
effect measure of the relationship between use of SMS reminders and clinic
attendance (I(2) = 90 percent; p < .01), so a summary effect estimate was not
calculated. Stratification by study design showed that the heterogeneity was due
to the observational studies. The summary effect from the RCTs was 1.48 (95% CI:
1.23-1.72) with no significant subgroup differences by clinic type (primary care
clinics, hospital outpatient clinics), message timing (24, 48, and 72+ hours
before the scheduled appointment), and target age group (pediatric, older).
CONCLUSIONS: Short message service reminders in health care settings
substantially increase the likelihood of attending clinic appointments. SMS
reminders appear to be a simple and efficient option for health services to use
to improve service delivery, as well as resulting in health benefits for the
patients who receive the reminders.
PMID- 22091984
TI - Electron-transfer studies with a new flavin adenine dinucleotide dependent
glucose dehydrogenase and osmium polymers of different redox potentials.
AB - A new extracellular flavin adenine dinucleotide (FAD)-dependent glucose
dehydrogenase from Glomerella cingulata (GcGDH) was electrochemically studied as
a recognition element in glucose biosensors. The redox enzyme was recombinantly
produced in Pichia pastoris and homogeneously purified, and its glucose-oxidizing
properties on spectrographic graphite electrodes were investigated. Six different
Os polymers, the redox potentials of which ranged in a broad potential window
between +15 and +489 mV versus the normal hydrogen electrode (NHE), were used to
immobilize and "wire" GcGDH to the spectrographic graphite electrode's surface.
The GcGDH/Os polymer modified electrodes were evaluated by chronoamperometry
using flow injection analysis. The current response was investigated using a
stepwisely increased applied potential. It was observed that the ratio of
GcGDH/Os polymer and the overall loading of the enzyme electrode significantly
affect the performance of the enzyme electrode for glucose oxidation. The best
suited Os polymer [Os(4,4'-dimethyl-2,2'-bipyridine)(2)(PVI)Cl](+) had a
potential of +309 mV versus NHE, and the optimum GcGDH/Os polymer ratio was 1:2
yielding a maximum current density of 493 MUA.cm(-2) at a 30 mM glucose
concentration.
PMID- 22091985
TI - Synthesis and characterization of (smif)2M(n) (n = 0, M = V, Cr, Mn, Fe, Co, Ni,
Ru; n = +1, M = Cr, Mn, Co, Rh, Ir; smif =1,3-di-(2-pyridyl)-2-azaallyl).
AB - A series of Werner complexes featuring the tridentate ligand smif, that is, 1,3
di-(2-pyridyl)-2-azaallyl, have been prepared. Syntheses of (smif)(2)M (1-M; M =
Cr, Fe) were accomplished via treatment of M(NSiMe(3))(2)(THF)(n) (M = Cr, n = 2;
Fe, n = 1) with 2 equiv of (smif)H (1,3-di-(2-pyridyl)-2-azapropene); ortho
methylated ((o)Mesmif)(2)Fe (2-Fe) and ((o)Me(2)smif)(2)Fe (3-Fe) were similarly
prepared. Metatheses of MX(2) variants with 2 equiv of Li(smif) or Na(smif)
generated 1-M (M = Cr, Mn, Fe, Co, Ni, Zn, Ru). Metathesis of VCl(3)(THF)(3) with
2 Li(smif) with a reducing equiv of Na/Hg present afforded 1-V, while 2 Na(smif)
and IrCl(3)(THF)(3) in the presence of NaBPh(4) gave [(smif)(2)Ir]BPh(4) (1(+)
Ir). Electrochemical experiments led to the oxidation of 1-M (M = Cr, Mn, Co) by
AgOTf to produce [(smif)(2)M]OTf (1(+)-M), and treatment of Rh(2)(O(2)CCF(3))(4)
with 4 equiv Na(smif) and 2 AgOTf gave 1(+)-Rh. Characterizations by NMR, EPR,
and UV-vis spectroscopies, SQUID magnetometry, X-ray crystallography, and DFT
calculations are presented. Intraligand (IL) transitions derived from promotion
of electrons from the unique CNC(nb) (nonbonding) orbitals of the smif backbone
to ligand pi*-type orbitals are intense (epsilon ~ 10,000-60,000 M(-1)cm(-1)),
dominate the UV-visible spectra, and give crystals a metallic-looking appearance.
High energy K-edge spectroscopy was used to show that the smif in 1-Cr is redox
noninnocent, and its electron configuration is best described as (smif(-))(smif(2
))Cr(III); an unusual S = 1 EPR spectrum (X-band) was obtained for 1-Cr.
PMID- 22091986
TI - Therapeutic hypothermia in adult cardiac arrest because of drowning.
AB - BACKGROUND: Therapeutic hypothermia in adult victims who suffer cardiac arrest
following drowning has been applied in only a small number of cases. In the last
4 years, we have employed therapeutic hypothermia to decrease hypoxia-induced
brain injury in these patients. The purpose of the present study was to report
the results of the treatment of these patients. METHODS: This study investigated
the utilisation of therapeutic hypothermia on consecutive patients with cardiac
arrest because of drowning between 2005 and 2008. The study was conducted
retrospectively, collecting data by reviewing medical records. Hypothermia, with
a target temperature of 32-34 degrees C, was induced for 24 h. Neurological
outcomes were classified using the cerebral performance categories (CPCs). The
primary outcome was neurological function at discharge. RESULTS: Twenty patients
were treated with therapeutic hypothermia. Four patients (20%) exhibited a
favourable neurological outcome (CPC 1-2). Two patients (10%) remained in a
vegetative state at discharge (CPC 4), and 14 patients (70%) died (CPC 5). The
most common complications during therapeutic hypothermia were pancreatitis and
rhabdomyolysis. A longer duration of advanced cardiac life support (P = 0.035),
an absence of motor response to pain after 3 days (P = 0.003), an abnormal brain
imaging (P = 0.005) and a lack of cortical response to somatosensory evoked
potential (P = 0.008) were related to an unfavourable outcome (CPC 3-5).
CONCLUSION: The present study did not demonstrate an advantage of therapeutic
hypothermia in adult cardiac arrest after drowning compared with previous studies
treated with conventional therapy. Further prospective studies are needed to
evaluate the effects of therapeutic hypothermia.
PMID- 22091987
TI - The association between work stress and inflammatory biomarkers in Jordanian male
workers.
AB - The study aimed to establish the association of work stress, expressed as effort
reward imbalance (ERI), and C-reactive protein (CRP) in 152 healthy Jordanian
male employees. Self-report work stress, anthropometric data, and blood for CRP
analysis were collected. A significant correlation between ERI and CRP (r = 0.29,
p < .01), and between waist circumference with CRP (r = 0.44, p < .01) was found.
Central obesity explained most of the variance in CRP after controlling for
various covariates, and ERI was not a significant predictor of CRP (DeltaR2 =
0.02; beta = 0.15, p = .052). However, when only the centrally obese group was
considered, ERI accounted for 5.0% of the variability in the CRP (beta = 0.24, p
< .05). Results of this study confirm previous findings that obesity is
significantly associated with CRP, and support the notion that higher ERI amongst
obese workers is one small but significant predictor of increased levels of CRP.
PMID- 22091988
TI - Enantiomeric interactions between liquid crystals and organized monolayers of
tyrosine-containing dipeptides.
AB - We have examined the orientational ordering of nematic liquid crystals (LCs)
supported on organized monolayers of dipeptides with the goal of understanding
how peptide-based interfaces encode intermolecular interactions that are
amplified into supramolecular ordering. By characterizing the orientations of
nematic LCs (4-cyano-4'-pentylbiphenyl and TL205 (a mixture of mesogens
containing cyclohexane-fluorinated biphenyls and fluorinated terphenyls)) on
monolayers of l-cysteine-l-tyrosine, l-cysteine-l-phenylalanine, or l-cysteine-l
phosphotyrosine formed on crystallographically textured films of gold, we
conclude that patterns of hydrogen bonds generated by the organized monolayers of
dipeptides are transduced via macroscopic orientational ordering of the LCs. This
conclusion is supported by the observation that the ordering exhibited by the
achiral LCs is specific to the enantiomers used to form the dipeptide-based
monolayers. The dominant role of the -OH group of tyrosine in dictating the
patterns of hydrogen bonds that orient the LCs was also evidenced by the effects
of phosphorylation of the tyrosine on the ordering of the LCs. Overall, these
results reveal that crystallographic texturing of gold films can direct the
formation of monolayers of dipeptides with long-range order, thus unmasking the
influence of hydrogen bonding, chirality, and phosphorylation on the macroscopic
orientational ordering of LCs supported on these surfaces. These results suggest
new approaches based on supramolecular assembly for reporting the chemical
functionality and stereochemistry of synthetic and biological peptide-based
molecules displayed at surfaces.
PMID- 22091989
TI - Binding of inositol stereoisomers to model amyloidogenic peptides.
AB - The self-aggregation of proteins into amyloid fibrils is a pathological hallmark
of numerous incurable diseases such as Alzheimer's disease. scyllo-Inositol is a
stereochemistry-dependent in vitro inhibitor of amyloid formation. As the first
step to elucidate its mechanism of action, we present molecular dynamics
simulations of scyllo-inositol and its inactive stereoisomer, chiro-inositol,
with simple peptide models, alanine dipeptide (ADP) and (Gly-Ala)(4). We
characterize molecular interactions and compute equilibrium binding constants
between inositol and ADP as well as, successively, monomers, amorphous
aggregates, and fibril-like beta-sheet aggregates of (Gly-Ala)(4). Inositol
interacts weakly with all peptide systems considered, with millimolar to molar
affinities, and displaces the conformational equilibria of ADP but not of the
(Gly-Ala)(4) systems. However, scyllo- and chiro-inositol adopt different binding
modes on the surface of beta-sheet aggregates. These results suggest that
inositol does not inhibit amyloid formation by breaking up preformed aggregates
but rather by binding to the surface of prefibrillar aggregates.
PMID- 22091990
TI - No role of homologous recombination in dealing with beta-lapachone cytotoxicity
in yeast.
AB - beta-Lapachone (beta-lap) is a promising antitumoral agent. DNA base oxidation
and alkylation are among the expected damages by beta-lap. Herein, we have
explored the role that the homologous recombination pathway (HR), a critical DNA
repair process in Saccharomyces cerevisiae, has in the cytotoxic profile of beta
lap. We have further compared beta-lap to the closely related compound menadione
and the well-known alkylating agent methyl methanesulfonate (MMS). Surprisingly,
we found that beta-lap does not trigger HR, as seen for (i) the mutant
sensitivity profiles, (ii) concentration-dependent arrest profiles, (iii) absence
of nuclear DNA repair factories, and (iv) frequency of recombination between
direct repeats.
PMID- 22091992
TI - Language concordance and patient-physician communication regarding mental health
needs.
AB - Language-related communication barriers between minority patients and their
physicians may contribute to racial and ethnic disparities in mental health care.
Accordingly, the current study sought to examine whether perceived mental health
needs and discussion of these needs differed as a function of race or ethnicity
and language concordance in older Latinos and Asian and Pacific Islanders (APIs).
Using the 2007 California Health Interview Survey, the analytical sample included
Latinos and APIs aged 55 and older (N = 2,960) who reported having seen a primary
care provider within the past 2 years. Multivariable logistic regression was used
to examine differences according to race or ethnicity and language concordance
status (three groups: English-language concordant, other-language concordant,
language discordant) in perceived mental health needs and discussion of those
needs with a physician, adjusting for respondents' sociodemographic
characteristics and mental health status. There were no significant differences
in respondents' perceptions of their mental health needs across race or ethnicity
or level of language concordance status (Ps > .41), although there were
significant differences in whether respondents had discussed their mental health
needs with their physicians according to participant race or ethnicity and
language concordance status (adjusted odds ratio = 0.47, P = .04). Specifically,
Spanish language-concordant Latinos were just as likely to discuss their mental
health needs with their physicians as English language-concordant Latinos. In
contrast, Asian language-concordant APIs were less likely to discuss their mental
health needs with their physicians than English language-concordant APIs. There
were no significant differences between language-discordant and English language
concordant older adults in predicting discussion of mental health concerns. These
findings underscore the importance of overcoming language-related and cultural
barriers to improve patient-provider discussions of older adults' mental health
needs.
PMID- 22091993
TI - Comparison of transvaginal natural orifice transluminal endoscopic surgery
(NOTES(r)) and laparoscopy for elective bilateral ovariectomy in standing mares.
AB - OBJECTIVE: To compare surgical trauma, perioperative pain, surgical time, and
complication rate in mares undergoing standing bilateral ovariectomy by natural
orifice transluminal endoscopic surgery (NOTES) or laparoscopy. STUDY DESIGN:
Experimental study. ANIMALS: Healthy mares (n = 12). METHODS: Ovariectomy was
performed with a vessel-sealing device by transvaginal NOTES (n = 6) using a
flexible endoscope and specialized instruments or by bilateral flank laparoscopy
(n = 6). Preoperative and postoperative complete blood count (CBC), plasma
fibrinogen concentration, serum amyloid A concentration, peritoneal nucleated
cell count, and total protein concentration were compared using repeated measures
ANOVA. Surgical times were compared using an unpaired t-test. Mares were
monitored for 2 weeks postoperatively and necropsy was performed at 3 weeks (n =
6) or 3 months (n = 6) to assess short- and medium-term effects of each
procedure. Complication rate, necropsy, and bacteriology findings were compared
using a Fishers exact test. Significance was set at P < .05. RESULTS: All
surgical procedures were performed successfully and all but one laparoscopy mare
were bright, alert, and maintained a good appetite until euthanasia. Mean +/- SD
surgical time was similar between groups (NOTES: 100 +/- 40 minutes; laparoscopy:
107 +/- 47 minutes). There were no significant temporal differences in vital
parameters, CBC, plasma fibrinogen concentration, serum amyloid A concentration,
peritoneal nucleated cell count, and total protein concentration between groups.
CONCLUSIONS: Bilateral ovariectomy by transvaginal NOTES or laparoscopy resulted
in minimal inflammation and surgical trauma.
PMID- 22091994
TI - Seasonalizing mountain system recharge in semi-arid basins-climate change
impacts.
AB - Climate variability and change impact groundwater resources by altering recharge
rates. In semi-arid Basin and Range systems, this impact is likely to be most
pronounced in mountain system recharge (MSR), a process which constitutes a
significant component of recharge in these basins. Despite its importance, the
physical processes that control MSR have not been fully investigated because of
limited observations and the complexity of recharge processes in mountainous
catchments. As a result, empirical equations, that provide a basin-wide estimate
of mean annual recharge using mean annual precipitation, are often used to
estimate MSR. Here North American Regional Reanalysis data are used to develop
seasonal recharge estimates using ratios of seasonal (winter vs. summer)
precipitation to seasonal actual or potential evapotranspiration. These seasonal
recharge estimates compared favorably to seasonal MSR estimates using the
fraction of winter vs. summer recharge determined from isotopic data in the Upper
San Pedro River Basin, Arizona. Development of hydrologically based seasonal
ratios enhanced seasonal recharge predictions and notably allows evaluation of
MSR response to changes in seasonal precipitation and temperature because of
climate variability and change using Global Climate Model (GCM) climate
projections. Results show that prospective variability in MSR depends on GCM
precipitation predictions and on higher temperature. Lower seasonal MSR rates
projected for 2050-2099 are associated with decreases in summer precipitation and
increases in winter temperature. Uncertainty in seasonal MSR predictions arises
from the potential evapotranspiration estimation method, the GCM downscaling
technique and the exclusion of snowmelt processes.
PMID- 22091995
TI - E-genetics: exploring the acceptability and feasibility of using technology in
cancer genetics services.
AB - The use of information and communication technologies (ICTs) in the delivery of
cancer genetics services could improve equality of access in rural areas and help
meet the increasing demand for specialist genetics services. An online patient
survey and focus groups with patients and staff from the Cancer Genetics Service
for Wales (CGSW) were used to explore the acceptability and feasibility of
utilizing ICTs within genetics services, which we have termed e-genetics. A total
of 225 patients completed the online survey. Many aspects of e-genetics proposed
in the survey were highly acceptable to patients, including an electronic version
of the family history questionnaire, an email facility for cancer genetic
queries, and a computerized decision-aid. Participants in the focus groups
emphasized the importance of patient choice when developing new models of service
delivery. For example, the use of genetic counselling via telemedicine was not
considered to be preferable to face-to-face clinic appointments but could benefit
those unable to travel. This article highlights the fact that e-genetics
initiatives may not be appropriate for all cancer genetics service users.
However, user-friendly developments that can be easily implemented and attend to
individual needs could improve efficiency and cost-effectiveness, whilst
providing high-quality services to remote areas.
PMID- 22091996
TI - To discover or to create: metaphors and the true self.
AB - Three studies examined how endorsement of self-discovery and self-creation
metaphors influences belief in the true self and its use as meaning source. It
was hypothesized that discovery metaphors contribute to belief in the true self
and bolster the relationship between true self-knowledge and meaning. Study 1
supported the hypothesis that discovery is positively associated with belief in
the true self among a sample of college students (N = 311). Studies 2 and 3
extended the analysis by showing that the discovery metaphor also facilitates
perceptions of meaning and the use of the true self specifically as a source of
meaning in a second sample of college students (N = 75) as well as an adult
sample of university employees (N = 173). Implications for understanding what
enables the true self to infuse life with meaning, as well as an individual
differences approach to metaphoric cognition, are discussed.
PMID- 22091997
TI - Impact of phosphoproteomics on studies of bacterial physiology.
AB - Protein phosphorylation on serine, threonine and tyrosine is recognized as a
major tool of signal transduction in bacteria. However, progress in the field has
been hampered by the lack of global and site-specific data on bacterial
phosphoproteomes. Recent advances in mass spectrometry-based proteomics have
encouraged bacteriologists to start using powerful gel-free approaches for global
detection of phosphorylated proteins. These studies have generated large data
sets of proteins phosphorylated on serine, threonine and tyrosine, with
identified phosphorylation sites which represent an excellent starting point for
in-depth physiological characterization of kinases and their substrates. The list
of phosphorylated proteins inspired a number of physiological studies in which
the identity of the phosphorylation site facilitated the elucidation of molecular
mechanisms of signaling and regulation. Bacterial phosphoproteomics also provided
interesting insights into the evolutionary aspects of protein phosphorylation.
The field is rapidly embracing quantitative mass spectrometry strategies,
comparing phosphoproteome dynamics in changing conditions and aiming to
reconstruct the entire regulatory networks by linking kinases to their
physiological substrates.
PMID- 22091998
TI - Oxidation of Met1606 in von Willebrand factor is a risk factor for thrombotic and
septic complications in chronic renal failure.
AB - CKD (chronic kidney disease) is a life-threatening pathology, often requiring HD
(haemodialysis) and characterized by high OS (oxidative stress), inflammation and
perturbation of vascular endothelium. HD patients have increased levels of vWF
(von Willebrand factor), a large protein (~240 kDa) released as UL-vWF (ultra
large-vWF polymers, molecular mass ~20000-50000 kDa) from vascular endothelial
cells and megakaryocytes, and responsible for the initiation of primary
haemostasis. The pro-haemostatic potential of vWF increases with its length,
which is proteolytically regulated by ADAMTS-13 (a disintegrin and
metalloproteinase with thrombospondin motifs 13), a zinc-protease cleaving vWF at
the single Tyr1605-Met1606 bond, and by LSPs (leucocyte serine proteases),
released by activated PMNs (polymorphonuclear cells) during bacterial infections.
Previous studies have shown that in vitro oxidation of Met1606 hinders vWF
cleavage by ADAMTS-13, resulting in the accumulation of UL-vWF that are not only
more pro-thrombotic than shorter vWF oligomers, but also more efficient in
binding to bacterial adhesins during sepsis. Notably, HD patients have increased
risk of developing dramatic cardiovascular and septic complications, whose
underlying mechanisms are largely unknown. In the present study, we first
purified vWF from HD patients and then chemically characterized its oxidative
state. Interestingly, HD-vWF contains high carbonyl levels and increased
proportion of UL-vWF polymers that are also more resistant to ADAMTS-13. Using
TMS (targeted MS) techniques, we estimated that HD-vWF contains >10% of Met1606
in the sulfoxide form. We conclude that oxidation of Met1606, impairing ADAMTS-13
cleavage, results in the accumulation of UL-vWF polymers, which recruit and
activate platelets more efficiently and bind more tightly to bacterial adhesins,
thus contributing to the development of thrombotic and septic complications in
CKD.
PMID- 22091999
TI - Identification of two novel coccidian species shed by California sea lions
(Zalophus californianus).
AB - Routine fecal examination revealed novel coccidian oocysts in asymptomatic
California sea lions (Zalophus californianus) in a rehabilitation facility.
Coccidian oocysts were observed in fecal samples collected from 15 of 410
California sea lions admitted to The Marine Mammal Center between April 2007 and
October 2009. Phylogenetic analysis using the full ITS-1 region, partial small
subunit 18S rDNA sequence, and the Apicomplexa rpoB region identified 2 distinct
sequence clades, referred to as Coccidia A and Coccidia B, and placed them in the
Sarcocystidae, grouped with the tissue-cyst-forming coccidia. Both sequence
clades resolved as individual taxa at ITS-1 and rpoB and were most closely
related to Neospora caninum. Coccidia A was identified in 11 and Coccidia B in 4
of 12 sea lion oocyst samples successfully sequenced (3 of those sea lions were
co-infected with both parasites). Shedding of Coccidia A oocysts was not
associated with age class, sex, or stranding location, but yearlings represented
the majority of shedders (8/15). This is the first study to use molecular
phylogenetics to identify and describe coccidian parasites shed by a marine
mammal.
PMID- 22092000
TI - Enantioselective bromocyclization of olefins catalyzed by chiral phosphoric acid.
AB - A chiral phosphoric acid catalyzed enantioselective bromocyclization of olefins
is described. Various cis-, trans-, or trisubstituted gamma-hydroxy-alkenes and
gamma-amino-alkenes can cyclize under the reaction conditions to give optically
active 2-substituted tetrahydrofurans and tetrahydropyrroles in up to 91% ee.
PMID- 22092002
TI - Insulin pump therapy for pregnancy: a primer.
AB - Current knowledge and advances in insulin formulations, insulin pump technology,
and blood glucose monitoring techniques have improved practitioners' ability to
achieve diabetic people's blood glucose targets. Practitioners and pregnant women
should bear in mind that important differences exist in glucose metabolism during
pregnancy and require a different approach from non-pregnant people to avoid
pregnant women's experience being adversely affected. Insulin pump therapy, if
used skillfully by practitioners and their pregnant patients, can be especially
beneficial for some diabetic women during their pregnancies. Women's healthcare
practitioners should endeavor to be familiar with this therapy, even if they are
not experts in its full complexity.
PMID- 22092001
TI - Molecular heterogeneity in the choroid plexus epithelium: the 22-member gamma
protocadherin family is differentially expressed, apically localized, and
implicated in CSF regulation.
AB - The choroid plexus (CP) epithelium develops from the ependyma that lines the
ventricular system, and plays a critical role in the development and function of
the brain. In addition to being the primary site of CSF production, the CP
maintains the blood-CSF barrier via apical tight junctions between epithelial
cells. Here we show that the 22-member gamma-protocadherin (gamma-Pcdh) family of
cell adhesion molecules, which we have implicated previously in synaptogenesis
and neuronal survival, is highly expressed by both CP epithelial and ependymal
cells, in which gamma-Pcdh protein localization is, surprisingly, tightly
restricted to the apical membrane. Multi-label immunostaining demonstrates that
gamma-Pcdhs are excluded from tight junctions, basolateral adherens junctions,
and apical cilia tufts. RT-PCR analysis indicates that, as a whole, the CP
expresses most members of the Pcdh-gamma gene family. Immunostaining using novel
monoclonal antibodies specific for single gamma-Pcdh proteins shows that
individual epithelial cells differ in their apically localized gamma-Pcdh
repertoire. Restricted mutation of the Pcdh-gamma locus in the choroid plexus and
ependyma leads to significant reductions in ventricular volume, without obvious
disruptions of epithelial apical-basal polarity. Together, these results suggest
an unsuspected role for the gamma-Pcdhs in CSF production and demonstrate a
surprising molecular heterogeneity in the CP epithelium.
PMID- 22092003
TI - From goal motivation to goal progress: the mediating role of coping in the Self
Concordance Model.
AB - The present studies examined the mediating role of self-regulatory mechanisms in
the relationship between goal motivation and goal progress in the Self
Concordance Model. First, a systematic review, using meta-analytical path
analysis, supported the mediating role of effort and action planning in the
positive association between autonomous goal motivation and goal progress.
Second, results from two additional empirical studies, using structural equation
modeling, lent credence to the mediating role of coping in the relationship
between goal motivation and goal progress of university students. Autonomous goal
motivation was positively associated with task-oriented coping, which predicted
greater goal progress during midterm exams (Study 1, N=702) and at the end of the
semester in a different sample (Study 2, N=167). Controlled goal motivation was
associated with greater disengagement-oriented coping (Study 1 and Study 2) and
lesser use of task-oriented coping (Study 2), which reduced goal progress. These
results held up after controlling for perceived stress (Study 2). Our findings
highlight the importance of coping in the "inception-to-attainment" goal process
because autonomous goal motivation indirectly rather than directly predicts goal
progress of university students through their usage of task-oriented coping.
PMID- 22092004
TI - Health care reform in the former Soviet Union: beyond the transition.
AB - OBJECTIVE: To assess accessibility and affordability of health care in eight
countries of the former Soviet Union. DATA SOURCES/STUDY SETTING: Primary data
collection conducted in 2010 in Armenia, Azerbaijan, Belarus, Georgia,
Kazakhstan, Moldova, Russia, and Ukraine. STUDY DESIGN: Cross-sectional household
survey using multistage stratified random sampling. DATA COLLECTION/EXTRACTION
METHODS: Data were collected using standardized questionnaires with subjects aged
18+ on demographic, socioeconomic, and health care access characteristics.
Descriptive and multivariate regression analyses were used. PRINCIPAL FINDINGS:
Almost half of respondents who had a health problem in the previous month which
they viewed as needing care had not sought care. Respondents significantly less
likely to seek care included those living in Armenia, Georgia, or Ukraine, in
rural areas, aged 35-49, with a poor household economic situation, and high
alcohol consumption. Cost was most often cited as the reason for not seeking
health care. Most respondents who did obtain care made out-of-pocket payments,
with median amounts varying from $13 in Belarus to $100 in Azerbaijan.
CONCLUSIONS: Access to health care and within-country inequalities appear to have
improved over the past decade. However, considerable problems remain, including
out-of-pocket payments and unaffordability despite efforts to improve financial
protection.
PMID- 22092005
TI - Pharmacokinetic analysis and optimization of hydroxycamptothecin-loaded
nanoparticles for liver targeting.
AB - In this paper, a pharmacokinetic model to describe the tissue distribution
process of nanoparticles was established. To test the possibility of the model,
nanoparticles composed of poly(butylcyanoacrylate) and hydroxypropyl-beta
cyclodextrins (HP-beta-CD) was prepared with a poorly soluble anticancer drug,
hydroxycamptothecin (HCPT). Characteristics were determined including particle's
size, morphology and in vitro release. The tissue distribution of nanoparticles
was also studied. Further, mathematical equation was fitted to the curve of drug
concentration-time in liver of hydroxycamptothecin-loaded nanoparticles and the
pharmacokinetic parameters of liver were obtained. The effectiveness of
hydroxycamptothecin-loaded nanoparticles for liver targeting was evaluated. The
results showed that nanoparticles composed of poly(butylcyanoacrylate) and
hydroxypropyl-beta-cyclodextrins (HP-beta-CD) exhibited enhanced liver targeting
in rats after i.v. injection. More importantly, the pharmacokinetic parameters
(transport constant from blood to target organ KT, drug release rate from
nanoparticles Kr and drug elimination constant in target organ Ke) provided some
quantitative measure of liver distribution and were useful guidelines for
development of targeted drug delivery systems.
PMID- 22092006
TI - The effects of marital status on episodic and semantic memory in healthy middle
aged and old individuals.
AB - The present study examined the influences of marital status on different episodic
and semantic memory tasks. A total of 1882 adult men and women participated in a
longitudinal project (Betula) on memory, health and aging. The participants were
grouped into two age cohorts, 35-60 and 65-85, and studied over a period of 5
years. Episodic memory tasks concerned recognition and recall, whereas semantic
memory tasks concerned knowledge and fluency. The results showed, after
controlling for education, some diseases, chronological age and leisure activity
as covariates, that there were significant differences between married and single
individuals in episodic memory, but not in semantic memory. Married people showed
significantly better memory performances than singles in both subsystems of
episodic memory, that is, recall and recognition. Also, the rate of decline in
episodic memory was significantly larger for singles and widowed than other
groups over the 5-year time period in both age groups. The findings demonstrate
that the positive relation found between marriage and health can be extended to
the relation between marriage and cognitive performance. This effect might be
explained by the role played by cognitive stimulation in memory and cognition.
PMID- 22092007
TI - The consistency between treatments provided to nursing facility residents and
orders on the physician orders for life-sustaining treatment form.
AB - OBJECTIVES: To evaluate the consistency between treatments provided and Physician
Orders for Life-Sustaining Treatment (POLST) orders. DESIGN: Retrospective chart
abstraction. SETTING: Stratified, random sample of 90 nursing facilities in
Oregon, Wisconsin, and West Virginia. PARTICIPANTS: Eight hundred seventy living
and deceased nursing facility residents aged 65 and older with a minimum 60-day
stay. MEASUREMENTS: Chart data about POLST form orders and related treatments
over a 60-day period were abstracted. Decision rules were created to determine
whether the rationale for each treatment was consistent with POLST orders.
RESULTS: Most residents (85.2%) had the same POLST form in place during the
review period. A majority of treatments provided to residents with orders for
comfort measures only (74.3%) and limited antibiotics (83.3%) were consistent
with POLST orders because they were primarily comfort focused rather than life
prolonging, but antibiotics were provided to 32.1% of residents with orders for
no antibiotics. Overall consistency rates between treatments and POLST orders
were high for resuscitation (98%), medical interventions (91.1%), and antibiotics
(92.9%) and modest for feeding tubes (63.6%). In all, POLST orders were
consistent with treatments provided 94.0% of the time. CONCLUSION: With the
exception of feeding tubes and antibiotic use in residents with orders for no
antibiotics, the use of medical treatments was nearly always consistent with
POLST orders to provide or withhold life-sustaining interventions. The POLST
program is a useful tool for ensuring that the treatment preferences of nursing
facility residents are honored.
PMID- 22092008
TI - Designer drugs: a medicinal chemistry perspective.
AB - There are numerous medicinal chemistry reports in the literature describing the
pharmacological properties of thousands of narcotics, stimulants, hallucinogens,
sedative-hypnotic drugs, cannabinoids, and other psychoactive substances as well
as synthetic methods for their preparations. This information, while essential
for the advancement of science, has been used by clandestine chemists to
manufacture and market an endless variety of analogs of so-called designer drugs.
In this review, we describe how clandestine chemists used the principles of
medicinal chemistry to design molecules, referred to as designer drugs, that
elicit the effects of opioids, amphetamine and analogs, cannabinoids, and
phencyclidine analogs while circumventing the law.
PMID- 22092009
TI - The productivity and cost-efficiency of models for involving nurse practitioners
in primary care: a perspective from queueing analysis.
AB - OBJECTIVE: To develop simple stylized models for evaluating the productivity and
cost-efficiencies of different practice models to involve nurse practitioners
(NPs) in primary care, and in particular to generate insights on what affects the
performance of these models and how. DATA SOURCES AND STUDY DESIGN: The
productivity of a practice model is defined as the maximum number of patients
that can be accounted for by the model under a given timeliness-to-care
requirement; cost-efficiency is measured by the corresponding annual cost per
patient in that model. Appropriate queueing analysis is conducted to generate
formulas and values for these two performance measures. Model parameters for the
analysis are extracted from the previous literature and survey reports.
Sensitivity analysis is conducted to investigate the model performance under
different scenarios and to verify the robustness of findings. PRINCIPAL FINDINGS:
Employing an NP, whose salary is usually lower than a primary care physician, may
not be cost-efficient, in particular when the NP's capacity is underutilized.
Besides provider service rates, workload allocation among providers is one of the
most important determinants for the cost-efficiency of a practice model involving
NPs. Capacity pooling among providers could be a helpful strategy to improve
efficiency in care delivery. CONCLUSIONS: The productivity and cost-efficiency of
a practice model depend heavily on how providers organize their work and a
variety of other factors related to the practice environment. Queueing theory
provides useful tools to take into account these factors in making strategic
decisions on staffing and panel size selection for a practice model.
PMID- 22092010
TI - Pyridazine based scorpionate ligand in a copper boratrane compound.
AB - Reaction of potassium tris(mercapto-tert-butylpyridazinyl)borate K[Tn(tBu)] with
copper(II) chloride in dichloromethane at room temperature led to the diamagnetic
copper boratrane compound [Cu{B(Pn(tBu))(3)}Cl] (Pn = pyridazine-3-thionyl) (1)
under activation of the B-H bond and formation of a Cu-B dative bond. In contrast
to this, stirring of the same ligand with copper(I) chloride in tetrahydrofuran
(THF) gave the dimeric compound [Cu{Tn(tBu)}](2) (2) where one copper atom is
coordinated by two sulfur atoms and one hydrogen atom of one ligand and one
sulfur of the other ligand. Hereby, no activation of the B-H bond occurred but a
3-center-2-electron B-H...Cu bond is formed. The reaction of copper(II) chloride
with K[Tn(tBu)] in water gave the same product 2, but a formal reduction of the
metal center from Cu(II) to Cu(I) occurred. When adding tricyclohexyl phosphine
to the reaction mixture of K[Tn(R)] (R = tBu, Me) and copper(I) chloride in MeOH,
the distorted tetrahedral Cu complexes [Cu{Tn(R)}(PCy(3))] (R = tBu 3, Me 4) were
formed. Compound 4 is exhibiting an "inverted" kappa(3)-H,S,S, coordination mode.
The copper boratrane 1 was further investigated by density functional theory
(DFT) calculations for a better understanding of the M->B interaction involving
the d(8) electron configuration of Cu.
PMID- 22092011
TI - Exploring priorities for public health genomics.
PMID- 22092012
TI - Transcranial Doppler microembolic signals and serum marker evidence of brain
injury during transcatheter aortic valve implantation.
AB - BACKGROUND: Recent studies have shown that transcatheter aortic valve
implantation (TAVI) is associated with new foci of restricted diffusion on
cerebral magnetic resonance imaging suggestive of cerebral microembolism. The aim
of the present investigation was to quantify the cerebral embolic load and to
evaluate during which phase of the TAVI procedure microembolism occurs. We also
evaluated the association between the cerebral embolic load and post-procedural
release of S100B, a serological marker of cerebral injury. METHODS: In 21
patients, we described the extent and intra-procedural distribution of
microemboli during the TAVI procedure using the transcranial Doppler technique.
S100B, a marker of astroglial injury, was measured for 24 h after the procedure,
and the area under the curve (AUC(24h) ) relating S100B to time was calculated.
RESULTS: During the TAVI procedure, a mean of 282 +/- 169 emboli was detected,
37% occurred during manipulation of the aortic arch/root/valve by guide wires and
catheters, 22% occurred immediately after balloon dilatation of the native valve
and 41% occurred during frame expansion of the valve prosthesis. S100B increased
in all patients with a peak at 1 h after the procedure and returned to baseline
after 4 h. There was a positive correlation between the total amount of cerebral
microemboli and the AUC(24h) for S100B (r = 0.68, P < 0.001). None of the
patients developed neurological impairment. CONCLUSION: TAVI is associated with a
substantial amount of cerebral microemboli. The microembolic load correlates to
the degree of post-procedural release of a marker of cerebral injury.
PMID- 22092013
TI - Theoretical evaluation of structural models of the S2 state in the oxygen
evolving complex of Photosystem II: protonation states and magnetic interactions.
AB - Protonation states of water ligands and oxo bridges are intimately involved in
tuning the electronic structures and oxidation potentials of the oxygen evolving
complex (OEC) in Photosystem II, steering the mechanistic pathway, which involves
at least five redox state intermediates S(n) (n = 0-4) resulting in the oxidation
of water to molecular oxygen. Although protons are practically invisible in
protein crystallography, their effects on the electronic structure and magnetic
properties of metal active sites can be probed using spectroscopy. With the twin
purpose of aiding the interpretation of the complex electron paramagnetic
resonance (EPR) spectroscopic data of the OEC and of improving the view of the
cluster at the atomic level, a complete set of protonation configurations for the
S(2) state of the OEC were investigated, and their distinctive effects on
magnetic properties of the cluster were evaluated. The most recent X-ray
structure of Photosystem II at 1.9 A resolution was used and refined to obtain
the optimum structure for the Mn(4)O(5)Ca core within the protein pocket.
Employing this model, a set of 26 structures was constructed that tested various
protonation scenarios of the water ligands and oxo bridges. Our results suggest
that one of the two water molecules that are proposed to coordinate the outer Mn
ion (Mn(A)) of the cluster is deprotonated in the S(2) state, as this leads to
optimal experimental agreement, reproducing the correct ground state spin
multiplicity (S = 1/2), spin expectation values, and EXAFS-derived metal-metal
distances. Deprotonation of Ca(2+)-bound water molecules is strongly disfavored
in the S(2) state, but dissociation of one of the two water ligands appears to be
facile. The computed isotropic hyperfine couplings presented here allow
distinctions between models to be made and call into question the assumption that
the largest coupling is always attributable to Mn(III). The present results
impose limits for the total charge and the proton configuration of the OEC in the
S(2) state, with implications for the cascade of events in the Kok cycle and for
the water splitting mechanism.
PMID- 22092014
TI - Determinants of death in the hospital among older adults.
AB - OBJECTIVES: To investigate patient-level determinants of in-hospital death,
adjusting for patient and regional characteristics. DESIGN: Using multivariable
regression, the relationship between in-hospital death and participants' social,
functional, and health characteristics was investigated, controlling for regional
Hospital Care Intensity Index (HCI) from the Dartmouth Atlas of Health Care.
SETTING: The Health and Retirement Study, a longitudinal nationally
representative cohort of older adults. PARTICIPANTS: People aged 67 and older who
died between 2,000 and 2,006 (N = 3,539) were sampled. MEASUREMENTS: In-hospital
death. RESULTS: Thirty-nine percent (n = 1,380) of participants died in the
hospital (range 34% in Midwest to 45% in Northeast). Nursing home residence,
functional dependence, and cancer or dementia diagnosis, among other
characteristics, were associated with lower adjusted odds of in-hospital death.
Being black or Hispanic, living alone, and having more medical comorbidities were
associated with greater adjusted odds, as was higher HCI. Sex, education, net
worth, and completion of an advance directive did not correlate with in-hospital
death. CONCLUSION: Black race, Hispanic ethnicity, and other functional and
social characteristics are correlates of in-hospital death, even after
controlling for the role of HCI. Further work must be done to determine whether
preferences, provider characteristics and practice patterns, or differential
access to medical and community services drive this difference.
PMID- 22092015
TI - Contrast of the biological activity of negatively and positively charged
microwave synthesized CdSe/ZnS quantum dots.
AB - Quantum dots (QDs) are semiconductor nanocrystals that have found use in
bioimaging, cell tracking, and drug delivery. This article compares the
cytotoxicity and cellular interactions of positively and negatively charged
CdSe/CdS/ZnS QDs prepared by a microwave method using a murine alveolar
macrophage-like cell culture model. Keeping the core semiconductor the same, QD
charge was varied by altering the surface capping molecule; negatively charged
QDs were formed with mercaptopropionic acid (MPA-QDs) and positively charged QDs
with thiocholine (THIO-QDs). The size and charge of these two QDs were
investigated in three types of media (RPMI, RPMI + FBS, and X-VIVO serum-free
media) relevant for the biological studies. MPA-QDs were found to have negative
zeta potential in RPMI, RPMI + FBS, and serum-free media and had sizes ranging
from 8 to 54 nm. THIO-QDs suspended in RPMI alone were <62 nm in size, while
large aggregates (greater than 1000 nm) formed when these QDs were suspended in
RPMI + FBS and serum-free media. THIO-QDs retained positive zeta potential in
RPMI and were found to have a negative zeta potential in RPMI + FBS and nearly
neutral zeta potential in serum-free media. In a cell culture model, both MPA-QDs
and THIO-QDs caused comparable levels of apoptosis and necrosis. Both QDs induced
significant tumor necrosis factor-alpha (TNF-alpha) secretion only at high
concentrations (>250 nM). Both types of QDs were internalized via clathrin
dependent endocytosis. Using real-time, live cell imaging, we found that MPA-QDs
interact with the cell surface within minutes and progress through the endocytic
pathway to the lysosomes upon internalization. With the THIO-QDs, the
internalization process was slower, but the pathways could not be mapped because
of spectroscopic interference caused by QD aggregates. Finally, MPA-QDs were
found to associate with cell surface scavenger receptors, while the THIO-QDs did
not. This study indicates that the surface charge and aggregation characteristics
of QDs change drastically in biological culture conditions and, in turn,
influence nanoparticle and cellular interactions.
PMID- 22092017
TI - The nursing hypothesis: an evolutionary account of emotional modulation of the
postauricular reflex.
AB - The postauricular reflex (PAR) is anomalous because it seems to be potentiated
during positive emotions and inhibited during negative states, unlike eyeblink
and other components of the startle reflex. Two evolutionary explanations based
on simian facial emotion expressions were tested. Reflexes were elicited while 47
young adult volunteers made lip pursing or grimacing poses and viewed neutral,
intimidating, or appetitive photos. The PAR was enhanced during appetitive
slides, but only as subjects carried out the lip-pursing maneuver. These results
support the nursing hypothesis, which assumes that infant mammals instinctively
retract their pinnae while nursing in order to comfortably position the head.
Appetitive emotions prime the ear-retraction musculature, even in higher primates
whose postauricular muscles are vestigial.
PMID- 22092018
TI - Merged- and separate-band behavior of the C?O stretching band in N,N
dimethylformamide isotopic liquid mixtures: DMF/DMF-d1, DMF/DMF-d6, and DMF/DMF
13C?O.
AB - A combined experimental and theoretical analysis is carried out on the polarized
(isotropic and anisotropic) Raman spectra in the spectral region of the C?O
stretching (amide I) band of three isotopic liquid mixtures of N,N
dimethylformamide (normal/d(1), normal/d(6), and normal/(13)C?O). Two distinct
types of spectral behavior are found for the isotropic Raman spectra: the
separate-band behavior (for normal/(13)C?O), where two separate bands (one for
each species) appear at all concentrations but with significant intensity bias,
and the merged-band behavior (for normal/d(6)), where only one band appears at a
frequency between those of the two species and with a band shape noticeably
different from the simple overlap of their profiles. An intermediate case between
these two limits is also found (for normal/d(1)). These main spectral features,
as well as the noncoincidence effect (NCE) observed for all the mixtures and neat
liquids, are well reproduced by the calculations, meaning that (1) the
computational procedure (carried out in the time domain) incorporates all the
important factors that determine the main spectral features, and (2) the band
merger and the intensity bias are both controlled by the same type of term
(resonant intermolecular vibrational coupling) of the vibrational Hamiltonian
that gives rise to the NCE. Based on this result, the one- and two-dimensional
infrared spectra of the normal/d(1) 1:1 mixture are calculated as theoretical
predictions. For this purpose, an eigenstate-free method is developed to increase
the efficiency of the time-domain spectral calculations and to do the
calculations on a largest possible system. The calculated spectral features are
compared with those of the polarized Raman spectra and discussed.
PMID- 22092019
TI - Isolated cardiomyopathy caused by a DMD nonsense mutation in somatic mosaicism:
genetic normalization in skeletal muscle.
AB - X-linked dilated cardiomyopathy is a pure cardiac dystrophinopathy phenotype
mainly caused by DMD mutations that present a specific transcription effect in
cardiac tissue. We report a 26-year-old male who presented with severe dilated
cardiomyopathy and high creatine kinase. The patient did not complain of skeletal
muscle weakness. A muscle biopsy showed mild dystrophic changes and a low
proportion of dystrophin-negative fibres. A molecular study identified a nonsense
DMD mutation (p.Arg2098X) in somatic mosaicism. The ratio of mutant versus normal
allele in blood and skeletal muscle suggests selective pressure against mutant
muscle cells, a process known as genetic normalization. We hypothesize that this
process may have mitigated skeletal muscle symptoms in this patient. This is the
second report of a DMD somatic mosaic with evidence of genetic normalization in
muscle. Somatic DMD mutations should be considered in patients presenting with
idiopathic dilated cardiomyopathy.
PMID- 22092020
TI - Why physicians should like bundled payment.
PMID- 22092021
TI - Examining multiple sources of differential item functioning on the Clinician &
Group CAHPS(r) survey.
AB - OBJECTIVE: To evaluate psychometric properties of a widely used patient
experience survey. DATA SOURCES: English-language responses to the Clinician &
Group Consumer Assessment of Healthcare Providers and Systems (CG-CAHPS(r))
survey (n = 12,244) from a 2008 quality improvement initiative involving eight
southern California medical groups. METHODS: We used an iterative hybrid ordinal
logistic regression/item response theory differential item functioning (DIF)
algorithm to identify items with DIF related to patient sociodemographic
characteristics, duration of the physician-patient relationship, number of
physician visits, and self-rated physical and mental health. We accounted for all
sources of DIF and determined its cumulative impact. PRINCIPAL FINDINGS: The
upper end of the CG-CAHPS(r) performance range is measured with low precision.
With sensitive settings, some items were found to have DIF. However, overall DIF
impact was negligible, as 0.14 percent of participants had salient DIF impact.
Latinos who spoke predominantly English at home had the highest prevalence of
salient DIF impact at 0.26 percent. CONCLUSIONS: The CG-CAHPS(r) functions
similarly across commercially insured respondents from diverse backgrounds.
Consequently, previously documented racial and ethnic group differences likely
reflect true differences rather than measurement bias. The impact of low
precision at the upper end of the scale should be clarified.
PMID- 22092022
TI - Managed care and the diffusion of endoscopy in fee-for-service Medicare.
AB - OBJECTIVE: To determine whether Medicare managed care penetration impacted the
diffusion of endoscopy services (sigmoidoscopy, colonoscopy) among the fee-for
service (FFS) Medicare population during 2001-2006. METHODS: We model utilization
rates for colonoscopy or sigmoidoscopy as impacted by both market supply and
demand factors. We use spatial regression to perform ecological analysis of
county-area utilization rates over two time intervals (2001-2003, 2004-2006)
following Medicare benefits expansion in 2001 to cover colonoscopy for persons of
average risk. We examine each technology in separate cross-sectional regressions
estimated over early and later periods to assess differential effects on
diffusion over time. We discuss selection factors in managed care markets and how
failure to control perfectly for market selection might impact our managed care
spillover estimates. RESULTS: Areas with worse socioeconomic conditions have
lower utilization rates, especially for colonoscopy. Holding constant
statistically the socioeconomic factors, we find that managed care spillover
effects onto FFS Medicare utilization rates are negative for colonoscopy and
positive for sigmoidoscopy. The spatial lag estimates are conservative and
interpreted as a lower bound on true effects. Our findings suggest that managed
care presence fostered persistence of the older technology during a time when it
was rapidly being replaced by the newer technology.
PMID- 22092023
TI - The accuracy of present-on-admission reporting in administrative data.
AB - OBJECTIVE: To test the accuracy of reporting present-on-admission (POA) and to
assess whether POA reporting accuracy differs by hospital characteristics. DATA
SOURCES: We performed an audit of POA reporting of secondary diagnoses in 1,059
medical records from 48 California hospitals. STUDY DESIGN: We used patient
discharge data (PDD) to select records with secondary diagnoses that are powerful
predictors of mortality and could potentially represent comorbidities or
complications among patients who either had a primary procedure of a percutaneous
transluminal coronary angioplasty or a primary diagnosis of acute myocardial
infarction, community-acquired pneumonia, or congestive heart failure. We modeled
the relationship between secondary diagnoses POA reporting accuracy (over
reporting and under-reporting) and hospital characteristics. DATA COLLECTION: We
created a gold standard from blind reabstraction of the medical records and
compared the accuracy of the PDD against the gold standard. PRINCIPAL FINDINGS:
The PDD and gold standard agreed on POA reporting in 74.3 percent of records,
with 13.7 percent over-reporting and 11.9 percent under-reporting. For-profit
hospitals tended to overcode secondary diagnoses as present on admission (odds
ratios [OR] 1.96; 95 percent confidence interval [CI] 1.11, 3.44), whereas
teaching hospitals tended to undercode secondary diagnoses as present on
admission (OR 2.61; 95 percent CI 1.36, 5.03). CONCLUSIONS: POA reporting of
secondary diagnoses is moderately accurate but varies by hospitals. Steps should
be taken to improve POA reporting accuracy before using POA in hospital
assessments tied to payments.
PMID- 22092024
TI - Solid-state nuclear magnetic resonance studies delineate the role of the protein
in activation of both aromatic rings of thiamin.
AB - Knowledge of the state of ionization and tautomerization of heteroaromatic
cofactors when enzyme-bound is essential for formulating a detailed stepwise
mechanism via proton transfers, the most commonly observed contribution to enzyme
catalysis. In the bifunctional coenzyme, thiamin diphosphate (ThDP), both
aromatic rings participate in catalysis, the thiazolium ring as an electrophilic
covalent catalyst and the 4'-aminopyrimidine as acid-base catalyst involving its
1',4'-iminopyrimidine tautomeric form. Two of four ionization and tautomeric
states of ThDP are well characterized via circular dichroism spectral signatures
on several ThDP superfamily members. Yet, the method is incapable of providing
information about specific proton locations, which in principle may be accessible
via NMR studies. To determine the precise ionization/tautomerization states of
ThDP during various stages of the catalytic cycle, we report the first
application of solid-state NMR spectroscopy to ThDP enzymes, whose large mass
(160,000-250,000 Da) precludes solution NMR approaches. Three de novo synthesized
analogues, [C2,C6'-(13)C(2)]ThDP, [C2-(13)C]ThDP, and [N4'-(15)N]ThDP used with
three enzymes revealed that (a) binding to the enzymes activates both the 4'
aminopyrimidine (via pK(a) elevation) and the thiazolium rings (pK(a)
suppression); (b) detection of a pre-decarboxylation intermediate analogue using
[C2,C6'-(13)C(2)]ThDP, enables both confirmation of covalent bond formation and
response in 4'-aminopyrimidine ring's tautomeric state to intermediate formation,
supporting the mechanism we postulate; and (c) the chemical shift of bound [N4'
(15)N]ThDP provides plausible models for the participation of the 1',4'
iminopyrimidine tautomer in the mechanism. Unprecedented detail is achieved about
proton positions on this bifunctional coenzyme on large enzymes in their active
states.
PMID- 22092025
TI - Detection of articular pathology of the distal aspect of the third metacarpal
bone in thoroughbred racehorses: comparison of radiography, computed tomography
and magnetic resonance imaging.
AB - OBJECTIVE: To compare digital radiography (DR), computed tomography (CT), and
magnetic resonance imaging (MRI) for detection of pathology of the distal aspect
of the third metacarpal bone (MC3) and to assess whether arthrography would
improve detection of articular cartilage or subchondral bone cracking. STUDY
DESIGN: Cross-sectional study. SAMPLE POPULATION: Limb specimens from 17
Thoroughbred horses after catastrophic injury and 4 age-matched control horses.
METHODS: Standard DR, CT, and MRI images of the metacarpophalangeal joint were
acquired before and after iohexol injection. Pathologic features detected with
imaging and on visual inspection of cartilage and subchondral bone of the distal
aspect of MC3 were graded. Imaging observations were compared with pathologic
abnormalities. RESULTS: Inspection revealed obvious changes in the cartilage and
subchondral bone surfaces in Thoroughbreds. Both CT and MRI were superior to DR
for detection of subchondral bone pathology. Cracking of cartilage was not
detected by any imaging modality. Signal changes associated with cartilage loss
and development of repair tissue were evident on MRI in 9/19 cases. There was
significant correlation (P < .05) between subchondral bone pathology detected on
both CT and MRI, and cartilage pathology on gross examination. Contrast
arthrography did not improve the detection of articular cartilage or subchondral
plate cracking. CONCLUSION: Both CT and MRI are superior to DR for detection of
subchondral bone pathology, but underestimate the extent of joint adaptation and
pathologic damage. MRI was able to detect cartilage degeneration.
PMID- 22092026
TI - The moderating role of self-monitoring on the interpersonal aspects of attitude
ambivalence.
AB - Extant research has found a relation between holding conflicting attitudes with a
familiar person (interpersonal discrepancy) and subjective attitude ambivalence.
In 2 studies, we investigated the role of interpersonal discrepancy in the
experience of attitude ambivalence as a function of self-monitoring and level of
liking of the other person. Building on balance theory, we proposed and found
that high (vs. low) self-monitors feel most comfortable when they are in
agreement with liked (vs. disliked) others. In Study 1, 80 university students
revealed that when the significant other is a parent, high self-monitors feel
more subjective ambivalence when there is more interpersonal discrepancy. In
Study 2, 37 university students reported their feelings of subjective ambivalence
when considering the interpersonal discrepancy between liked (vs. disliked)
familiar people. Again, it was high self-monitors who were most susceptible to
increased feelings of subjective ambivalence, particularly for discrepancies
between their own attitude and the attitude of liked others. Taken together, our
2 studies broaden our understanding of the interpersonal foundations of
subjective ambivalence by suggesting that they may depend on personality
differences and the nature of the social relationship.
PMID- 22092027
TI - Total synthesis of polygalolide A.
AB - The total synthesis of polygalolide A was accomplished through intramolecular C
glycosylation of glucal modified with siloxyfuran. The siloxyfuran group and
siloxy substituent at the C-3 position played crucial roles in allowing direct
access to the highly substituted oxabicyclo[3.2.1] core skeleton with correct
quaternary stereogenic centers.
PMID- 22092028
TI - Resilience is a good predictor of hopelessness even after accounting for
stressful life events, mood and personality (NEO-PI-R).
AB - A better understanding of the relation between protection and vulnerability may
advance our understanding of mental health. Therefore the purpose of this study
was to examine the incremental validity of the Resilience Scale for Adults (RSA)
as a predictor for level of hopelessness. A healthy sample (N = 532) completed
the Beck Hopelessness Scale, a list of Stressful Life Events (SLE), the Hopkins
Symptom Checklist-25 (HSCL-25), NEO-PI-R (NEO Personality Inventory Revised) and
the RSA. The relations between the variables were explored with correlations and
multiple hierarchical regression analyses. The results indicated that the RSA
measures important protective factors that significantly predict lower levels of
hopelessness even when accounting for age, gender, SLE, HSCL-25 and NEO-PI-R.
This study supports the notion that the protective resilience factors in the RSA
have unique contributions over and above established constructs of stressful life
events, depressive and anxiety symptoms and personality in predicting
hopelessness, supporting the incremental validity of the RSA.
PMID- 22092029
TI - Predicting road test performance in drivers with dementia.
AB - OBJECTIVES: To develop a cognitive and functional screening battery for the on
road performance of older drivers with dementia. DESIGN: Prospective
observational study. SETTING: On-road driving evaluation clinic at an academic
rehabilitation center. PARTICIPANTS: Ninety-nine older people with dementia (63%
male, mean age 74.2 +/- 9), referred by community physicians to an occupational
therapy driving clinic. MEASUREMENTS: The outcome variable was pass or fail on
the modified Washington University Road Test. Predictor measures were tests of
visual, motor, and cognitive functioning, selected for their empirical or
conceptual relationship to the complex task of driving safely. RESULTS: Sixty
five (65%) participants failed the on-road driving test. The best predictive
model, with an overall accuracy of up to 85% when participants were blinded,
included the Eight-item Informant Interview to Differentiate Aging and Dementia,
Clock Drawing Test score, and time to complete the Snellgrove Maze Test or Trail
Making Test Part A. Visual and motor functioning were not associated with road
test failure. CONCLUSION: A screening battery that could be performed in less
than 10 minutes predicted with good accuracy failure rate for the on-road driving
test in this sample of older drivers with dementia. A probability of failure
calculator is provided from a logistic regression model that may be useful for
clinicians in their decision to refer impaired older adults for further testing.
More studies are needed in larger community-based samples, along with discussions
with patients, families, and clinicians, with regard to acceptable levels of test
uncertainty.
PMID- 22092031
TI - Loss of claudin-1 in lipopolysaccharide-treated periodontal epithelium.
AB - BACKGROUND AND OBJECTIVE: The epithelial barrier is a critical component of
innate immunity and provides protection against microbial invasion. Claudin-1, a
tight junction protein, is known to contribute to the epithelial cell barrier. An
experimentally induced rat periodontal disease model was used to study the
effects of lipopolysaccharide (LPS) on the expression of tight junction
associated molecule genes in the junctional epithelium. MATERIAL AND METHODS: LPS
was applied for 8 wk in the gingival sulcus, and junctional epithelium was
collected by laser-capture microdissection and subjected to microarray analysis.
RESULTS: Microarray analysis identified that expression of the claudin-1 gene was
decreased in the epithelium by chronic LPS challenge. Immunohistochemical
analysis confirmed the expression of claudin-1 protein in junctional epithelium
and that 8 wk of chronic LPS topical application significantly reduced claudin-1
expression. The effect of LPS on claudin-1 protein expression was validated using
a porcine junctional epithelial cell culture Transwell model. The epithelial
barrier, as measured using transmembrane resistance, was significantly reduced
after 3 wk of LPS challenge and this was associated with a decreased level of
expression of claudin-1 protein. CONCLUSION: These results confirm that the
initiation of experimental periodontal disease is associated with reduction in
the expression of claudin-1 gene and protein. This decreased level of a critical
tight junction protein may result in the disruption of barrier function and may
play an important role in the initiation of periodontal disease.
PMID- 22092030
TI - Molecular mechanisms of compartmentalization and biomineralization in
magnetotactic bacteria.
AB - Magnetotactic bacteria (MB) are remarkable organisms with the ability to exploit
the earth's magnetic field for navigational purposes. To do this, they build
specialized compartments called magnetosomes that consist of a lipid membrane and
a crystalline magnetic mineral. These organisms have the potential to serve as
models for the study of compartmentalization as well as biomineralization in
bacteria. Additionally, they offer the opportunity to design applications that
take advantage of the particular properties of magnetosomes. In recent years, a
sustained effort to identify the molecular basis of this process has resulted in
a clearer understanding of the magnetosome formation and biomineralization. Here,
I present an overview of MB and explore the possible molecular mechanisms of
membrane remodeling, protein sorting, cytoskeletal organization, iron transport,
and biomineralization that lead to the formation of a functional magnetosome
organelle.
PMID- 22092032
TI - Role of water contamination within the GC column of a GasBench II peripheral on
the reproducibility of 18O/16O ratios in water samples.
AB - The GasBench II peripheral along with MAT 253 combination provides a more
sensitive platform for the determination of water isotope ratios. Here, we
examined the role of adsorbed moisture within the gas chromatography (GC) column
of the GasBench II on measurement uncertainties. The uncertainty in (18)O/(16)O
ratio measurements is determined by several factors, including the presence of
water in the GC. The contamination of GC with water originating from samples as
water vapour over a longer timeframe is a critical factor in determining the
reproducibility of (18)O/(16)O ratios in water samples. The shift in isotope
ratios observed in the experiment under dry and wet conditions correlates
strongly with the retention time of analyte CO(2), indicating the effect of
accumulated moisture. Two possible methods to circumvent or minimise the effect
of adsorbed water on isotope ratios are presented here. The proposed methodology
includes either the regular baking of the GC column at a higher temperature (120
degrees C) after analysis of a batch of 32 sample entries or conducting the
experiment at a low GC column temperature (22.5 degrees C). The effects of water
contamination on long-term reproducibility of reference water, with and without
baking protocol, have been described.
PMID- 22092033
TI - A comparison of health care use for physician-referred and self-referred episodes
of outpatient physical therapy.
AB - OBJECTIVE: To compare patient profiles and health care use for physician-referred
and self-referred episodes of outpatient physical therapy (PT). DATA SOURCE: Five
years (2003-2007) of private health insurance claims data, from a Midwest
insurer, on beneficiaries aged 18-64. STUDY DESIGN: Retrospective analyses of
health care use of physician-referred (N = 45,210) and self-referred (N = 17,497)
ambulatory PT episodes of care was conducted, adjusting for age, gender,
diagnosis, case mix, and year. DATA COLLECTION/EXTRACTION: Physical therapy
episodes began with the physical therapist initial evaluation and ended on the
last date of service before 60 days of no further visits. Episodes were
classified as physician-referred if the patient had a physician claim from a
reasonable referral source in the 30 days before the start of PT. PRINCIPAL
FINDINGS: The self-referred group was slightly younger, but the two groups were
very similar in regard to diagnosis and case mix. Self-referred episodes had
fewer PT visits (86 percent of physician-referred) and lower allowable amounts
($0.87 for every $1.00), after covariate adjustment, but did not differ in
related health care utilization after PT. CONCLUSIONS: Health care use during PT
episodes was lower for those who self-referred, after adjusting for key
variables, but did not differ after the PT episode.
PMID- 22092034
TI - Recurrence of empty follicle syndrome with stimulated IVF cycles.
AB - AIM: To determine the incidence of recurrent empty follicle syndrome (EFS) and to
analyse the factors associated with this phenomenon. METHODS: Retrospective
analysis comparing all EFS cycles with cycles in which oocytes were retrieved in
our in vitro fertilization (IVF) unit between 1998 and 2006. RESULTS: Of 8292 IVF
cycles, 163 (2.0%) resulted in empty follicles. Risk factors for EFS included
advanced age (37.7 +/- 6.0 years vs. 34.2 +/- 6.0 years, p < 0.001), longer
infertility (8.8 +/- 10.6 years vs. 6.3 +/- 8.4 years, p < 0.05), higher baseline
follicle-stimulating hormone levels (8.7 +/- 4.7 IU/L vs. 6.7 +/- 2.9 IU/L, p <
0.001) and lower E2 levels before the human chorionic gonadotropin injection
(499.9 +/- 480.9 pg/mL vs. 1516.3 +/- 887.5 pg/mL, p < 0.001) compared with cases
in which ova were retrieved. Among patients with EFS, recurrent EFSs occurred in
15.8% of subsequent cycles. CONCLUSION: The EFS is a sporadic event in the
majority of patients. However, in about 16% of the patients, EFS may recur. These
cases may be a variant form of poor response and patients with repetitive EFS
syndrome should be counseled concerning their chances to conceive.
PMID- 22092035
TI - Socioeconomic status and smoking: a review.
AB - Smoking prevalence is higher among disadvantaged groups, and disadvantaged
smokers may face higher exposure to tobacco's harms. Uptake may also be higher
among those with low socioeconomic status (SES), and quit attempts are less
likely to be successful. Studies have suggested that this may be the result of
reduced social support for quitting, low motivation to quit, stronger addiction
to tobacco, increased likelihood of not completing courses of pharmacotherapy or
behavioral support sessions, psychological differences such as lack of self
efficacy, and tobacco industry marketing. Evidence of interventions that work
among lower socioeconomic groups is sparse. Raising the price of tobacco products
appears to be the tobacco control intervention with the most potential to reduce
health inequalities from tobacco. Targeted cessation programs and mass media
interventions can also contribute to reducing inequalities. To tackle the high
prevalence of smoking among disadvantaged groups, a combination of tobacco
control measures is required, and these should be delivered in conjunction with
wider attempts to address inequalities in health.
PMID- 22092036
TI - Accuracy of various human NAT2 SNP genotyping panels to infer rapid, intermediate
and slow acetylator phenotypes.
AB - AIM: Humans exhibit genetic polymorphism in NAT2 resulting in rapid, intermediate
and slow acetylator phenotypes. Over 65 NAT2 variants possessing one or more SNPs
in the 870-bp NAT2 coding region have been reported. The seven most frequent SNPs
are rs1801279 (191G>A), rs1041983 (282C>T), rs1801280 (341T>C), rs1799929
(481C>T), rs1799930 (590G>A), rs1208 (803A>G) and rs1799931 (857G>A). The
majority of studies investigate the NAT2 genotype assay for three SNPs: 481C>T,
590G>A and 857G>A. A tag-SNP (rs1495741) recently identified in a genome-wide
association study has also been proposed as a biomarker for the NAT2 phenotype.
MATERIALS & METHODS: Sulfamethazine N-acetyltransferase catalytic activities were
measured in cryopreserved human hepatocytes from a convenience sample of
individuals in the USA with an ethnic frequency similar to the 2010 US population
census. These activities were segregated by the tag-SNP rs1495741 and each of the
seven SNPs described above. We assessed the accuracy of the tag-SNP and various
two-, three-, four- and seven-SNP genotyping panels for their ability to
accurately infer NAT2 phenotype. RESULTS: The accuracy of the various NAT2 SNP
genotype panels to infer NAT2 phenotype were as follows: seven-SNP: 98.4%; tag
SNP: 77.7%; two-SNP: 96.1%; three-SNP: 92.2%; and four-SNP: 98.4%. CONCLUSION: A
NAT2 four-SNP genotype panel of rs1801279 (191G>A), rs1801280 (341T>C), rs1799930
(590G>A) and rs1799931 (857G>A) infers NAT2 acetylator phenotype with high
accuracy, and is recommended over the tag-, two-, three- and (for economy of
scale) the seven-SNP genotyping panels, particularly in populations of non
European ancestry.
PMID- 22092037
TI - A standardized protocol for the perioperative management of myasthenia gravis
patients. Experience with 110 patients.
AB - BACKGROUND: Video-assisted thoracoscopic extended thymectomy (VATET) is well
established in the treatment of myasthenia gravis; however, patient selection
remains controversial. Perioperative management protocol is lacking, and concerns
regarding post-operative myasthenic crisis still remain. We performed a
retrospective observational study evaluating the impact of the introduction of a
protocol in the perioperative management of patients with myasthenia gravis who
underwent VATET. METHODS: The perioperative management protocol was developed by
a team of neurologists and anesthesiologists who reviewed the literature and
their previous experience on myasthenia gravis patients. Respiratory, clinical,
and neurological patient features were included in the protocol evaluation. A
retrospective review of patients who underwent VATET before and after
introduction to the protocol was finally performed. RESULTS: The medical records
of 66 patients (pre-protocol group) and 44 patients (protocol group) were
available for the study. In the pre-protocol group, 17 patients (26%) were
admitted to intensive care unit (ICU) during the post-operative period, while
three patients (6.8%) of the protocol group met the criteria for ICU post
operative admission. This resulted in a reduction of 73.5% of patients admitted
to ICU (P = 0.023) and in an 80% (P = 0.002) reduction of the use neuromuscular
blocking agents. Two post-operative myasthenic crises preceded by bulbar symptoms
(1.8%) were identified in the pre-protocol group patients. CONCLUSIONS: Although
the application of our protocol results in a substantial reduction in the
recovery of patients in the ICU and in hospital costs, there was no substantial
difference in mortality and morbidity between patients admitted to the surgical
ward or to ICU.
PMID- 22092038
TI - Activator protein-1 (AP-1) signalling in human atherosclerosis: results of a
systematic evaluation and intervention study.
AB - Animal studies implicate the AP-1 (activator protein-1) pro-inflammatory pathway
as a promising target in the treatment of atherosclerotic disease. It is,
however, unclear whether these observations apply to human atherosclerosis.
Therefore we evaluated the profile of AP-1 activation through histological
analysis and tested the potential benefit of AP-1 inhibition in a clinical trial.
AP-1 activation was quantified by phospho-c-Jun nuclear translocation
(immunohistochemistry) on a biobank of aortic wall samples from organ donors. The
effect of AP-1 inhibition on vascular parameters was tested through a double
blind placebo-controlled cross-over study of 28 days doxycycline or placebo in
patients with symptomatic peripheral artery disease. Vascular function was
assessed by brachial dilation as well as by plasma samples analysed for hs-CRP
(high-sensitivity C-reactive protein), IL-6 (interleukin-6), IL-8, ICAM-1
(intercellular adhesion molecule-1), vWF (von Willebrand factor), MCP-1 (monocyte
chemoattractant protein-1), PAI-1 (plasminogen activator inhibitor-1) and
fibrinogen. Histological evaluation of human atherosclerosis showed minimal AP-1
activation in non-diseased arterial wall (i.e. vessel wall without any signs of
atherosclerotic disease). A gradual increase of AP-1 activation was found in non
progressive and progressive phases of atherosclerosis respectively (P<0.044). No
significant difference was found between progressive and vulnerable lesions. The
expression of phospho-c-Jun diminished as the lesion stabilized (P<0.016) and
does not significantly differ from the normal aortic wall (P<0.33). Evaluation of
the doxycycline intervention only revealed a borderline-significant reduction of
circulating hs-CRP levels (-0.51 MUg/ml, P=0.05) and did not affect any of the
other markers of systemic inflammation and vascular function. Our studies do not
characterize AP-1 as a therapeutic target for progressive human atherosclerotic
disease.
PMID- 22092039
TI - Mn2+ complexes with 12-membered pyridine based macrocycles bearing carboxylate or
phosphonate pendant arm: crystallographic, thermodynamic, kinetic, redox, and
1H/17O relaxation studies.
AB - Mn(2+) complexes represent an alternative to Gd(3+) chelates which are widely
used contrast agents in magnetic resonance imaging. In this perspective, we
investigated the Mn(2+) complexes of two 12-membered, pyridine-containing
macrocyclic ligands bearing one pendant arm with a carboxylic acid (HL(1), 6
carboxymethyl-3,6,9,15-tetraazabicyclo[9.3.1] pentadeca-1(15),11,13-triene) or a
phosphonic acid function (H(2)L(2), 6-dihydroxyphosphorylmethyl-3,6,9,15
tetraazabicyclo[9.3.1]pentadeca-1(15),11,13-triene). Both ligands were
synthesized using nosyl or tosyl amino-protecting groups (starting from
diethylenetriamine or tosylaziridine). The X-ray crystal structures confirmed a
coordination number of 6 for Mn(2+) in their complexes. In aqueous solution,
these pentadentate ligands allow one free coordination site for a water molecule.
Potentiometric titration data indicated a higher basicity for H(2)L(2) than that
for HL(1), related to the electron-donating effect of the negatively charged
phosphonate group. According to the protonation sequence determined by (1)H and
(31)P pH-NMR titrations, the first two protons are attached to macrocyclic amino
groups whereas the subsequent protonation steps occur on the pendant arm. Both
ligands form thermodynamically stable complexes with Mn(2+), with full
complexation at physiological pH and 1:1 metal to ligand ratio. The kinetic
inertness was studied via reaction with excess of Zn(2+) under various pHs. The
dissociation of MnL(2) is instantaneous (at pH 6). For MnL(1), the dissociation
is very fast (k(obs) = 1-12 * 10(3) s(-1)), much faster than that for MnDOTA,
MnNOTA, or the Mn(2+) complex of the 15-membered analogue. It proceeds
exclusively via the dissociation of the monoprotonated complex, without any
influence of Zn(2+). In aqueous solution, both complexes are air-sensitive
leading to Mn(3+) species, as evidenced by UV-vis and (1)H NMRD measurements and
X-ray crystallography. Cyclic voltammetry gave low oxidation peak potentials
(E(ox) = 0.73 V for MnL(1) and E(ox) = 0.68 V for MnL(2)), in accordance with air
oxidation. The parameters governing the relaxivity of the Mn(2+) complexes were
determined from variable-temperature (17)O NMR and (1)H NMRD data. The water
exchange is extremely fast, k(ex) = 3.03 and 1.77 * 10(9) s(-1) for MnL(1) and
MnL(2), respectively. Variable-pressure (17)O NMR measurements have been
performed to assess the water exchange mechanism on MnL(1) and MnL(2) as well as
on other Mn(2+) complexes. The negative activation volumes for both MnL(1) and
MnL(2) complexes confirmed an associative mechanism of the water exchange as
expected for a hexacoordinated Mn(2+) ion. The hydration number of q = 1 was
confirmed for both complexes by (17)O chemical shifts. A relaxometric titration
with phosphate, carbonate or citrate excluded the replacement of the coordinated
water molecule by these small endogenous anions.
PMID- 22092040
TI - Methodological reporting in qualitative, quantitative, and mixed methods health
services research articles.
AB - OBJECTIVES: Methodologically sound mixed methods research can improve our
understanding of health services by providing a more comprehensive picture of
health services than either method can alone. This study describes the frequency
of mixed methods in published health services research and compares the presence
of methodological components indicative of rigorous approaches across mixed
methods, qualitative, and quantitative articles. DATA SOURCES: All empirical
articles (n = 1,651) published between 2003 and 2007 from four top-ranked health
services journals. STUDY DESIGN: All mixed methods articles (n = 47) and random
samples of qualitative and quantitative articles were evaluated to identify
reporting of key components indicating rigor for each method, based on accepted
standards for evaluating the quality of research reports (e.g., use of p-values
in quantitative reports, description of context in qualitative reports, and
integration in mixed method reports). We used chi-square tests to evaluate
differences between article types for each component. PRINCIPAL FINDINGS: Mixed
methods articles comprised 2.85 percent (n = 47) of empirical articles,
quantitative articles 90.98 percent (n = 1,502), and qualitative articles 6.18
percent (n = 102). There was a statistically significant difference (chi(2) (1) =
12.20, p = .0005, Cramer's V = 0.09, odds ratio = 1.49 [95% confidence interval =
1,27, 1.74]) in the proportion of quantitative methodological components present
in mixed methods compared to quantitative papers (21.94 versus 47.07 percent,
respectively) but no statistically significant difference (chi(2) (1) = 0.02, p =
.89, Cramer's V = 0.01) in the proportion of qualitative methodological
components in mixed methods compared to qualitative papers (21.34 versus 25.47
percent, respectively). CONCLUSION: Few published health services research
articles use mixed methods. The frequency of key methodological components is
variable. Suggestions are provided to increase the transparency of mixed methods
studies and the presence of key methodological components in published reports.
PMID- 22092041
TI - Punishment has a lasting impact on error-related brain activity.
AB - The current study examined whether punishment has direct and lasting effects on
error-related brain activity, and whether this effect is larger with increasing
trait anxiety. Participants were told that errors on a flanker task would be
punished in some blocks but not others. Punishment was applied following 50% of
errors in punished blocks during the first half of the experiment (i.e.,
acquisition), but never in the second half (i.e., extinction). The ERN was
enhanced in the punished blocks in both experimental phases--this enhancement
remained stable throughout the extinction phase. More anxious individuals were
characterized by larger punishment-related modulations in the ERN. The study
reveals evidence for lasting, punishment-based modulations of the ERN that
increase with anxiety. These data suggest avenues for research to examine more
specific learning-related mechanisms that link anxiety to overactive error
monitoring.
PMID- 22092042
TI - Preparation of azithromycin nanosuspensions by reactive precipitation method.
AB - PURPOSE: The aim of this work was to prepare azithromycin (AZI) nanosuspensions
to increase the solubility and dissolution rate. METHOD: AZI nanosuspensions were
prepared by the combination of reactive precipitation and freeze-drying in
presence of biocompatible stabilizer. Formulation and process variables affecting
the characteristics of nanosuspensions were optimized. Various tests were carried
out to study the physicochemical characteristics of AZI nanosuspensions. RESULTS:
The nanosuspensions were parenterally acceptable and autoclavable, because
soybean lecithin was the stabilizer of choice and no organic solvents were used
during the preparation. The mean particle size and zeta potential of the AZI
nanosuspensions were about 200 nm (+/-20 nm) and -36.7 mV (+/-7.6 mV),
respectively. Solid nanoparticles were obtained by lyophilization of the
nanosuspensions and nanosuspensions rapidly reconstituted when the nanoparticles
were dispersed in water. X-ray diffraction and differential scanning calorimetry
analysis showed that the crystal state of nanoparticles was amorphous. Solubility
and in vitro release studies indicated that the saturated solubility and
dissolution rate increased obviously in comparison of raw AZI. The nanoparticles
were physically stable over a period of 5 months as demonstrated by unchanged
crystallinity and stable particle size when stored at room temperature and
protected from humidity. CONCLUSION: The results suggested that reactive
precipitation is an effective way to prepare AZI nanosuspensions with increased
solubility and dissolution rate.
PMID- 22092043
TI - A structurally simple self-immolative reagent that provides three distinct,
simultaneous responses per detection event.
AB - A general design is presented for a stimulus-responsive small molecule that is
capable of responding to a specific applied chemical or physical signal by
releasing two different types of pendant small molecules and a colorimetric
indicator simultaneously. A key aspect of this design is the ease with which
these reagents are prepared: typically, only four synthetic steps are required.
Moreover, the modular construction strategy provides access to stimuli-responsive
reagents that are capable of (i) responding to a variety of applied signals and
(ii) releasing a number of different small molecules that contain primary
alcohols, secondary alcohols, or phenols. These stimuli-responsive reagents are
stable under physiological conditions (neither hydrolysis nor thermal degradation
of the reagent occurs in significant quantity), and when they are exposed to the
appropriate applied signal, they release both pendant small molecules and the
colorimetric indicator completely within hours. Finally, unlike other functional
groups, such as carbonates, that are used to connect alcohol-bearing molecules to
controlled-release reagents, the linkage described in this article increases in
hydrolytic stability (rather than decreases) as the pK(a) of the pendant alcohol
decreases.
PMID- 22092044
TI - Associations between cytomegalovirus infection and functional impairment and
frailty in the BELFRAIL Cohort.
AB - OBJECTIVES: To investigate whether an observed association between
cytomegalovirus (CMV) exposure and functional impairment and frailty in older
adults is reproducible in a cohort of individuals aged 80 and older. DESIGN: The
baseline results of the BELFRAIL study, a prospective observational cohort study,
were analyzed. SETTING: Three well-circumscribed areas of Belgium. PARTICIPANTS:
Five hundred sixty-seven persons aged 80 and older recruited by 29 general
practitioners. MEASUREMENTS: Serum samples were assayed for levels of CMV
immunoglobulin (Ig)G antibodies, interleukin (IL)-6, and C-reactive protein.
Measures of functional impairment were the Physical Performance Battery,
Activities of Daily Living, and the Mini-Mental State Examination. Frailty was
assessed using the Fried criteria. RESULTS: Positive CMV serology was found in
74% of the population, 61% of whom had a high anti-CMV IgG titer (>250 IU/mL).
CMV infection was not associated with functional or cognitive impairment.
Positive CMV serology was negatively associated with prevalent frailty after
adjusting for age, sex, level of education, comorbidity, smoking status, body
mass index, and IL-6 level. High levels of anti-CMV IgG were associated with
functional impairment. In the adjusted models, this relationship was no longer
statistically significant. There was no association between prevalent frailty or
cognitive impairment and high anti-CMV IgG titers. CONCLUSION: The findings of
previous studies could not be confirmed. Moreover, positive CMV serology was
found to be negatively associated with frailty. These apparently contradictory
results may reflect a survival effect because the current study population was
considerably older than the populations of older adults in previous studies.
PMID- 22092045
TI - Narcissism in midlife: longitudinal changes in and correlates of women's
narcissistic personality traits.
AB - We examined changes in and correlates of 3 kinds of narcissism--hypersensitivity,
willfulness, and autonomy--during middle adulthood. Few studies have examined
narcissistic personality traits beyond young adulthood, and none has assessed
longitudinal changes in narcissism during midlife. In a sample of 70 college
educated women, we found that observer ratings of hypersensitive narcissism were
associated with more negative outcomes at ages 43 and 53 (i.e., more depressive
symptoms and physical health problems, lower life satisfaction and well-being).
Ratings of willfulness and autonomy predicted more positive outcomes. All 3 kinds
of narcissism showed considerable rank-order stability over 10 years, but there
were also mean-level changes: Hypersensitivity and autonomy decreased, whereas
willfulness increased. More positive outcomes were associated with decreases in
hypersensitivity and increases in willfulness and autonomy. However, in
multivariate analyses, autonomy did not show any significant associations with
women's health and well-being outcomes, suggesting that it may have less
predictive utility compared to hypersensitivity and willfulness. Our findings
highlight developmental changes in and correlates of women's narcissistic
personality traits and the importance of assessing different aspects of
narcissism in midlife.
PMID- 22092046
TI - Neuropsychological function and past exposure to metallic mercury in female
dental workers.
AB - The aim of this study was to see if dental personnel with previous exposure to
metallic mercury have later developed disturbances in cognitive function. Ninety
one female participants who had been selected from a previous health survey of
dental personnel were investigated neuropsychologically within the following
domains: motor function, short-term memory, working memory, executive function,
mental flexibility, and visual and verbal long-term memory. The scores were
mainly within normal ranges. Relationships between an exposure score, the
duration of employment before 1990, and previously measured mercury in urine as
independent variables and the neuropsychological findings as dependent variables,
were analyzed by multiple linear regression controlling for age, general ability,
length of education, alcohol consumption, and previous head injuries. The only
relationship that was statistically significant in the hypothesized direction was
between the previously measured urine mercury values and visual long-term memory,
where the urine values explained 30% of the variability. As the study had a low
statistical power and also some other methodological limitations, the results
have to be interpreted with caution. Even so, we think it is right to conclude
that neuropsychological findings indicative of subsequent cognitive injuries are
difficult to find in groups of otherwise healthy dental personnel with previous
occupational exposure to mercury.
PMID- 22092047
TI - Does cognitive impairment affect rehabilitation outcome?
AB - OBJECTIVES: To assess how cognitive impairment affects rehabilitation outcomes
and to determine whether individual benefit regardless of cognition. DESIGN:
Prospective open observational study. SETTING: Two rehabilitation wards admitting
older adults after admissions with medical or surgical problems. PARTICIPANTS:
Two hundred forty-one individuals admitted to two rehabilitation wards, 144
female, mean age 84.4 +/- 7.3 (range: 59-103). MEASUREMENTS: The Mini-Mental
State Examination (MMSE) was administered, and participants were categorized into
four groups: cognitively intact (MMSE score: 27-30), mildly impaired (MMSE score:
21-26), moderately impaired (MMSE score: 11-20), and severely impaired (MMSE
score: 0-10). Barthel activity of daily living score was calculated on admission,
at 2 and 6 weeks (if appropriate), and at discharge to assess level of
independence and improvement or deterioration in function. Information relating
to mortality, discharge destination, and length of stay was also collected.
RESULTS: After adjusting for comorbidities and age, all four groups showed
improvement in Barthel score from admission to discharge. This improvement was
highly significant (P = .005) in participants with normal cognition and mild to
moderate impairment. Severely impaired participants also made significant
improvement (P = .01). Length of stay was significantly longer for participants
with lower cognitive scores. Discharge of 50% of participants occurred by 26, 28,
38, and 47 days for Groups 1 to 4, respectively (P = .001). Higher rates of
institutionalization and mortality (P = .02) were associated with lower MMSE
score. CONCLUSION: All participants improved functionally regardless of
cognition. Likelihood of institutionalization, mortality, length of stay, and
adverse incidents was higher with lower MMSE scores.
PMID- 22092048
TI - When 'UPS' fails to deliver: a novel gene associated with the ubiquitin
proteasome system causes familial ALS.
PMID- 22092050
TI - Identifying sources and processes controlling the sulphur cycle in the Canyon
Creek watershed, Alberta, Canada.
AB - Sources and processes affecting the sulphur cycle in the Canyon Creek watershed
in Alberta (Canada) were investigated. The catchment is important for water
supply and recreational activities and is also a source of oil and natural gas.
Water was collected from 10 locations along an 8 km stretch of Canyon Creek
including three so-called sulphur pools, followed by the chemical and isotopic
analyses on water and its major dissolved species. The delta(2)H and delta(18)O
values of the water plotted near the regional meteoric water line, indicating a
meteoric origin of the water and no contribution from deeper formation waters.
Calcium, magnesium and bicarbonate were the dominant ions in the upstream portion
of the watershed, whereas sulphate was the dominant anion in the water from the
three sulphur pools. The isotopic composition of sulphate (delta(34)S and
delta(18)O) revealed three major sulphate sources with distinct isotopic
compositions throughout the catchment: (1) a combination of sulphate from soils
and sulphide oxidation in the bedrock in the upper reaches of Canyon Creek; (2)
sulphide oxidation in pyrite-rich shales in the lower reaches of Canyon Creek and
(3) dissolution of Devonian anhydrite constituting the major sulphate source for
the three sulphur pools in the central portion of the watershed. The presence of
H(2)S in the sulphur pools with delta(34)S values ~30 0/00 lower than those of
sulphate further indicated the occurrence of bacterial (dissimilatory) sulphate
reduction. This case study reveals that delta(34)S values of surface water
systems can vary by more than 20 0/00 over short geographic distances and that
isotope analyses are an effective tool to identify sources and processes that
govern the sulphur cycle in watersheds.
PMID- 22092051
TI - Chemical composition and antioxidant properties of gamma-irradiated Iranian
Zataria multiflora extracts.
AB - CONTEXT: Irradiation is the process of exposing food such as herbal plant to
ionizing radiation to destroy microorganisms. Zataria multiflora Boiss
(Lamiaceae), known as Avishan-e-Shirazi in Persian, is a thyme-like plant that
grows naturally in central and southern parts of Iran and is used in traditional
folk medicine. OBJECTIVE: In this study, the effects of gamma-radiation on
chemical composition and antioxidant properties of Z. multiflora were
investigated. MATERIALS AND METHODS: The plants were first irradiated with Co60
source (0, 10, and 25 kGy) and then subjected to Clevenger extraction to obtain
essential oils. The composition of the oil was analyzed by a gas chromatography
and compared with samples pretreated under different conditions. In parallel, the
hydroalcoholic extract was prepared and used for measuring flavonoid content.
Thereafter, the free-radical scavenging and antioxidant properties of essential
oils and hydroalcoholic extract were examined. RESULTS: Despite the minor change
in the individual oil constituents, the total percentage of the main components
remained unaffected before and after irradiation (~95%). In addition, the total
flavonoid content of hydroalcoholic extract was also unchanged due to irradiation
(~32 mg QE/g extract). The high radical scavenging activity of the oil (~67%) and
hydroalcoholic extract (~71%), in addition, the antioxidant properties of the oil
(~91%) and hydroalcoholic extract (~95%), were unaffected after irradiation.
DISCUSSION AND CONCLUSIONS: These findings may suggest the sustainability of Z.
multiflora extract properties pretreated with gamma-radiation. With a view to its
antioxidant applications, resistance of Z. multiflora and its properties against
radiation effects are promising findings.
PMID- 22092058
TI - Synthesis and structural characterization of 1,2,4-diazaphospholide complexes of
titanium(IV) and titanium(III).
AB - Two 1,2,4-diazaphospholide complexes of [Ti(eta(2)-3,5-Ph(2)dp)(4)] and
paramagnetic [Ti(eta(2)-3,5-tBu(2)dp)(3)] were prepared by the reaction of
tetrakis(dimethylamido)titanium(IV) with 3,5-diphenyl-1,2,4-diazaphophole, H[3,5
Ph(2)dp], or by the treatment of 3,5-tert-butyl-1,2,4-diazaphopholide potassium,
K[3,5-tBu(2)dp], with titanium trichloride. Complexes can be viewed as the core
of P(sigma(2)lambda(3))-functionalized metallodendrimers, in which the metal
atoms are exclusively eta(2)(N,N) bonding to the 1,2,4-diazaphospholides while P
atoms (sigma(2)lambda(3)) with electron lone pairs are located on the periphery
of the molecules.
PMID- 22092059
TI - Phosphonate monoesters as carboxylate-like linkers for metal organic frameworks.
AB - Bidentate phosphonate monoesters are analogues of popular dicarboxylate linkers
in MOFs, but with an alkoxy tether close to the coordinating site. Herein, we
report 3-D MOF materials based upon phosphonate monoester linkers. Cu(1,4
benzenediphosphonate bis(monoalkyl ester), CuBDPR, with an ethyl tether is
nonporous; however, the methyl tether generates an isomorphous framework that is
porous and captures CO(2) with a high isosteric heat of adsorption of 45 kJ mol(
1). Computational modeling reveals that the CO(2) uptake is extremely sensitive
both to the flexing of the structure and to the orientation of the alkyl tether.
PMID- 22092060
TI - State-level variations in racial disparities in life expectancy.
AB - OBJECTIVE: To explore state patterns in the racial life expectancy gap. DATA
SOURCES: The 1997-2004 Multiple Cause of Death PUF, 2000 U.S. Census. STUDY
DESIGN: We calculated life expectancy at birth for black and white men and women.
DATA EXTRACTION METHODS: Data were obtained by the NCHS and U.S. Census Bureau.
PRINCIPAL FINDINGS: States with small racial differences are due to higher-than
expected life expectancy for blacks or lower-than-expected for whites. States
with large disparity are explained by higher-than-average life expectancy among
whites or lower-than-average life expectancy among blacks. CONCLUSIONS:
Heterogeneous state patterns in racial disparity in life expectancy exist.
Eliminating disparity in states with large black populations would make the
greatest impact nationally.
PMID- 22092061
TI - Dermal uptake study with 4,4'-diphenylmethane diisocyanate led to active
sensitization.
AB - BACKGROUND: To investigate the dermal uptake of 4,4'-diphenylmethane diisocyanate
(4,4'-MDI), a study was performed in which 2 female volunteers were exposed to 10
and 25 mg, respectively, of 4,4'-MDI by applying 2.0% 4,4'-MDI in petrolatum over
areas where the surface concentration corresponded to 800 ug/cm(2) . Ten days
later, they developed eczematous dermatitis at the area of application.
OBJECTIVES: To investigate whether the dermal application caused active
sensitization to 4,4'-MDI. METHODS: Chemical analysis of the 4,4'-MDI preparation
used in the application and the amount of 4,4'-MDI not absorbed by the skin was
performed with liquid chromatography-mass spectrometry. The volunteers were
tested with serial dilutions of 4,4'-MDI and the potentially cross-reacting
substances 4,4'-diaminodiphenylmethane (4,4'-MDA), p-phenylenediamine (PPD), and
dicyclohexylmethane-4,4'-diisocyanate (DMDI). RESULTS: Patch test results
suggested that the volunteers were actively sensitized to 4,4'-MDI following the
dermal uptake study, as they reacted positively to 4,4'-MDA, a marker for 4,4'
MDI allergy. No positive reactions were seen to PPD or DMDI. Chemical
investigation confirmed that the correct concentration had been used for the
dermal uptake study, and showed that about 70% of the applied 4,4'-MDI was not
absorbed. CONCLUSIONS: A dermal uptake study with 4,4'-MDI in 2.0% pet. with an
occlusion time of 8 hr induced active sensitization to 4,4'-MDI and subsequently
to 4,4'-MDA.
PMID- 22092062
TI - Effect of cannabidiol on human gingival fibroblast extracellular matrix
metabolism: MMP production and activity, and production of fibronectin and
transforming growth factor beta.
AB - BACKGROUND AND OBJECTIVE: Marijuana (Cannabis sativa) use may be associated with
gingival enlargement, resembling that caused by phenytoin. Cannabidiol (CBD), a
nonpsychotropic Cannabis derivative, is structurally similar to phenytoin. While
there are many reports on effects of phenytoin on human gingival fibroblasts,
there is no information on effects of Cannabis components on these cells. The
objective of this study was to determine effects of CBD on human gingival
fibroblast fibrogenic and matrix-degrading activities. MATERIAL AND METHODS:
Fibroblasts were incubated with CBD in serum-free medium for 1-6 d. The effect of
CBD on cell viability was determined by measuring activity of a mitochondrial
enzyme. The fibrogenic molecule transforming growth factor beta and the
extracellular matrix molecule fibronectin were measured by ELISA. Pro-MMP-1 and
total MMP-2 were measured by ELISA. Activity of MMP-2 was determined via a
colorimetric assay in which a detection enzyme is activated by active MMP-2. Data
were analysed using ANOVA and Scheffe's F procedure for post hoc comparisons.
RESULTS: Cannabidiol had little or no significant effect on cell viability. Low
CBD concentrations increased transforming growth factor beta production by as
much as 40% (p < 0.001), while higher concentrations decreased it by as much as
40% (p < 0.0001). Cannabidiol increased fibronectin production by as much as
approximately 100% (p < 0.001). Lower CBD concentrations increased MMP
production, but the highest concentrations decreased production of both MMPs (p <
0.05) and decreased MMP-2 activity (p < 0.02). CONCLUSION: The data suggest that
the CBD may promote fibrotic gingival enlargement by increasing gingival
fibroblast production of transforming growth factor beta and fibronectin, while
decreasing MMP production and activity.
PMID- 22092063
TI - Preparation and characterization of magnetic alginate-chitosan hydrogel beads
loaded matrine.
AB - The aim of this study was to use alginate-chitosan (Alg-CS) hydrogel beads for
developing an oral water-soluble drug delivery system, occupying pH-sensitive
property and superparamagnetic. Matrine as a model drug was loaded in Alg-CS
hydrogel beads to study the release character of the delivery system. The amount
of matrine released from the beads was relatively low in pH 2.5 over 8 h
(34.90%), but nearly all of the initial drug content was released in simulated
intestinal fluid (SIF, pH 6.8) within 8 h. The results demonstrated that Alg-CS
hydrogel beads possess unique pH-dependent swelling behaviors. In addition, the
magnetic beads were characterized by Fourier transform infrared spectroscopy,
scanning electron microscope, X-ray diffractometry and vibrating-sample
magnetometry. Magnetometer measurements data suggested that Alg-CS beads also had
superparamagnetic property as well as fast magnetic response. It can be expected
that the beads can deliver and release encapsulated anticancer agent at the tumor
by the weak magnetic field, and hence could be potential candidates as an orally
administered drug delivery system.
PMID- 22092064
TI - Is the P3 amplitude reduction seen in externalizing psychopathology attributable
to stimulus sequence effects?
AB - P3 amplitude reduction (P3-AR) is associated with biological vulnerability to a
spectrum of externalizing (EXT) disorders, such as conduct disorder, antisocial
behavior, and substance use disorders. P3 amplitude, however, can be affected by
the context within which it is measured, for example, by the position of the
target in the sequence of stimuli during an oddball task. We hypothesized that
EXT-related P3-AR may be due to attention or working memory deficits in EXT that
would weaken these stimulus sequence effects. Using a community-based sample of
adolescent males, we examined the relationship between P3 and EXT as a function
of the number of standards preceding the target. Higher EXT was associated with
significantly smaller P3 amplitude, regardless of the number of standards
preceding the target. These results suggest that P3-AR in EXT does not vary as a
function of stimulus sequence, further supporting P3-AR as an endophenotype for
EXT disorders.
PMID- 22092066
TI - The dynamic role of personality states in mediating the relationship between
extraversion and positive affect.
AB - One of the most noteworthy and robust findings in personality psychology is the
relationship between extraversion and positive affect. Existing theories have
debated the origins and nature of this relationship, offering both
structural/fixed and environmental/dynamic explanations. We tested the novel and
straightforward dynamic hypothesis that part of the reason trait extraversion
predicts trait positive affect is through an increased propensity to enact
extraverted states, which in turn leads to experiencing more positive affect
states. We report 5 experience sampling studies (and a meta-analysis of primary
studies) conducted in natural environments and laboratory settings in which
undergraduate participants (N = 241) provided ratings of trait extraversion,
trait positive affect, extraversion states, and positive affect states. Results
of primary studies and the meta-analysis showed that relationships between trait
extraversion and trait positive affect were partially mediated by aggregated
extraversion states and aggregated positive affect states. The results supported
our dynamic hypothesis and suggested that dynamic explanations of the
relationship between trait extraversion and trait positive affect are compatible
with structural explanations. An important implication of these findings is that
individuals might be able to increase their happiness by self-regulating their
extraverted states.
PMID- 22092065
TI - A growing family: the expanding universe of the bacterial cytoskeleton.
AB - Cytoskeletal proteins are important mediators of cellular organization in both
eukaryotes and bacteria. In the past, cytoskeletal studies have largely focused
on three major cytoskeletal families, namely the eukaryotic actin, tubulin, and
intermediate filament (IF) proteins and their bacterial homologs MreB, FtsZ, and
crescentin. However, mounting evidence suggests that these proteins represent
only the tip of the iceberg, as the cellular cytoskeletal network is far more
complex. In bacteria, each of MreB, FtsZ, and crescentin represents only one
member of large families of diverse homologs. There are also newly identified
bacterial cytoskeletal proteins with no eukaryotic homologs, such as WACA
proteins and bactofilins. Furthermore, there are universally conserved proteins,
such as the metabolic enzyme CtpS, that assemble into filamentous structures that
can be repurposed for structural cytoskeletal functions. Recent studies have also
identified an increasing number of eukaryotic cytoskeletal proteins that are
unrelated to actin, tubulin, and IFs, such that expanding our understanding of
cytoskeletal proteins is advancing the understanding of the cell biology of all
organisms. Here, we summarize the recent explosion in the identification of new
members of the bacterial cytoskeleton and describe a hypothesis for the evolution
of the cytoskeleton from self-assembling enzymes.
PMID- 22092067
TI - Comparison of the effects of two screw insertion patterns on bone fragment
translocation in a 3.5 mm dynamic compression plate and a 3.5 mm limited-contact
dynamic compression plate.
AB - OBJECTIVE: To compare the effects of screw insertion pattern, plate type,
application of bone reduction forceps, and additional load screw insertion in an
8-hole 3.5 mm dynamic compression plate (DCP) and limited-contact dynamic
compression plate (LC-DCP) on bone fragment translocation (BFT) in a fracture gap
model. STUDY DESIGN: In vitro mechanical study. METHODS: Two screw insertion
patterns were tested in the DCP and newly redesigned LC-DCP using gap model
synthetic bone constructs. In Pattern 1, screws were first inserted into the
holes at each end of the plate, then screws were inserted into the holes adjacent
to the fracture gap. In Pattern 2, screws were only inserted into the holes
adjacent to the fracture gap. The effects of tight or loose bone forceps securing
the plate, loosening a neutral screw in Pattern 1, and inserting up to 4
additional load screws with each pattern were tested. Changes in the fracture gap
were measured after insertion of all neutral screws and after each load screw.
RESULTS: Pattern 2 BFT was significantly greater than Pattern 1 BFT when bone
forceps were loose with both plates (P < .001). In the DCP, the BFT was
significantly increased by loosening the bone forceps with Pattern 2 (P < .001)
and by loosening 1 neutral screw in Pattern 1 (P < .001). The BFT for each
additional load screw inserted was significantly less than 1.0 mm. CONCLUSIONS: A
tight neutral screw in the same bone fragment as the load screw or bone clamps
that tightly secure the plate to the bone can limit BFT.
PMID- 22092068
TI - Integrated model for providing tactical emergency medicine support (TEMS):
analysis of 120 tactical situations.
AB - BACKGROUND: Various models for organising tactical emergency medicine support
(TEMS) in law enforcement operations exist. In Helsinki, TEMS is organised as an
integral part of emergency medical service (EMS) and applied in hostage, siege,
bomb threat and crowd control situations and in other tactical situations after
police request. Our aim was to analyse TEMS operations, patient profile, and the
level of on-site care provided. METHODS: We conducted a retrospective cohort
study of TEMS operations in Helsinki from 2004 to 2009. Data were retrieved from
EMS, hospital and dispatching centre files and from TEMS reports. RESULTS: One
hundred twenty TEMS operations were analysed. Median time from dispatching to
arrival on scene was 10 min [Interquartile Range (IQR) 7-14]. Median duration of
operations was 41 min (IQR 19-63). Standby was the only activity in 72
operations, four patients were dead on arrival, 16 requests were called off en
route and patient examination or care was needed in 28 operations. Twenty-eight
patients (records retrieved) were alive on arrival and were classified as trauma
(n = 12) or medical (n = 16). Of traumas, two sustained a gunshot wound, one
sustained a penetrating abdominal wound, three sustained medium severity injuries
and nine sustained minor injuries. There was neither on-scene nor in-hospital
mortality among patients who were alive on arrival. The level of on-site care
performed was basic life support in all cases. CONCLUSIONS: The results showed
that TEMS integrated to daily EMS services including safe zone working only was a
feasible, rapid and efficient way to provide medical support to law enforcement
operations.
PMID- 22092069
TI - Review of a Geriatric Health Literacy Workshop for Medical Students and
Residents.
AB - The "Geriatric Health Literacy Workshop for Medical Students and Residents"
developed by Seema Limaye, MD, introduces medical students and residents to
important concepts in communicating with older adults with low health literacy
through a variety of teaching modalities. The workshop is available on the Portal
of Geriatric Online Education (POGOe) and includes a didactic session, role
playing exercises, and a critique of patient education handouts. A preworkshop
health literacy module and postworkshop clinical observation sessions reinforce
the workshop content. The activity is designed to take approximately 2.5 hours to
administer to small groups of three to five learners and is also suitable for
interdisciplinary teams of health professions trainees. This POGOe product review
highlights important features of the workshop and suggests opportunities for
improvement.
PMID- 22092070
TI - Regional and temporal variability of the isotope composition (O, S) of
atmospheric sulphate in the region of Freiberg, Germany, and consequences for
dissolved sulphate in groundwater and river water.
AB - The isotope composition of dissolved sulphate and strontium in atmospheric
deposition, groundwater, mine water and river water in the region of Freiberg was
investigated to better understand the fate of these components in the regional
and global water cycle. Most of the isotope variations of dissolved sulphates in
atmospheric deposition from three locations sampled bi- or tri-monthly can be
explained by fractionation processes leading to lower [Formula: see text] (of
about 2-30/00) and higher [Formula: see text] (of about 8-100/00) values in
summer compared with the winter period. These samples showed a negative
correlation between [Formula: see text] and [Formula: see text] values and a weak
positive correlation between [Formula: see text] and [Formula: see text] values.
They reflect the sulphate formed by aqueous oxidation from long-range transport
in clouds. However, these isotope variations were superimposed by changes of the
dominating atmospheric sulphate source. At two of the sampling points, large
variations of mean annual [Formula: see text] values from atmospheric bulk
deposition were recorded. From 2008 to 2009, the mean annual [Formula: see text]
value increased by about 50/00; and decreased by about 40/00 from 2009 to 2010. A
change in the dominating sulphate source or oxidation pathways of SO(2) in the
atmosphere is proposed to cause these shifts. No changes were found in
corresponding [Formula: see text] values. Groundwater, river water and some mine
waters (where groundwater was the dominating sulphate source) also showed
temporal shifts in their [Formula: see text] values corresponding to those of
bulk atmospheric deposition, albeit to a lower degree. The mean transit time of
atmospheric sulphur through the soil into the groundwater and river water was
less than a year and therefore much shorter than previously suggested. Mining
activities of about 800 years in the Freiberg region may have led to large
subsurface areas with an enhanced groundwater flow along fractures and mined
refilled ore lodes which may shorten transit times of sulphate from precipitation
through groundwater into river water.
PMID- 22092071
TI - Prospective validation of the modified mini nutritional assessment short-forms in
the community, nursing home, and rehabilitation setting.
AB - OBJECTIVES: To validate the modified Mini Nutritional Assessment (MNA) short
forms (MNA-SFs) with respect to agreement with full MNA classification in the
target populations of the MNA. DESIGN: Prospective analysis. SETTING: Community,
nursing home, rehabilitation. PARTICIPANTS: Six hundred fifty-seven individuals
aged 65 and older (75.3% female; mean age 82.3 +/- 7.4). MEASUREMENTS:
Classification agreement between full MNA score and MNA-SF scores. RESULTS:
Agreement between the full MNA and classification using the MNA-SFs was 84.6%
when the MNA-SF using body mass index (BMI) was applied and 81.4% when the MNA-SF
using calf circumference (CC) was applied. The highest agreement of
classification was found in the community setting (90.8% and 90.4%, respectively)
and the lowest in the rehabilitation setting (72.4% and 71.4%, respectively).
Both MNA-SFs tended to underestimate nutritional status, but that was significant
only for the MNA-SF with CC. CONCLUSION: The modified MNA-SFs represent a
valuable tool for rapid and reliable nutritional screening.
PMID- 22092072
TI - Fractionation of stigmasterol derivative and study of the effects of Celsia
coromandelina aerial parts petroleum ether extract on appearance of puberty and
ovarian steroidogenesis in immature mice.
AB - CONTEXT: Celsia coromandelina Vahl (Scrophulariaceae) is a shrub found throughout
Bangladesh and India, and it is distributed widely in the plains of West Bengal.
It is used by the tribal people to treat diarrhea, dysentery, insomnia, skin
eruption, fever, syphilis, helminthes infection, and to control fertility.
OBJECTIVE: The objective of this study was to fractionate stigmasterol derivative
and to investigate the effects of petroleum ether extract of C. coromandelina
(PECC) aerial parts on the onset of reproductive maturity and the ovarian
steroidogenesis in immature female mice. MATERIALS AND METHODS: PECC was prepared
by hot extraction process and one compound was isolated by preparative TLC from
it. PECC was completely freed from solvent and administered in immature female
mice intraperitoneally once on every alternate day for nine doses. The sexual
maturity was observed by means of vaginal opening, first estrus (days), rate of
body growth, changes in weight of ovary, uterus and pituitary. The content of
ascorbic acid, cholesterol, Delta5-3beta-hydroxy steroid dehydrogenase (Delta5
3beta-HSD) and glucose 6-phosphate dehydrogenase (G 6-PDH) activities in ovaries
and carbonic anhydrase activity in uterus were measured by means of biochemical
technique in control and treated mice. The activity of PECC was compared with
standard marker compound ethinyl estradiol. RESULTS: The isolated compound was
characterized as stigmasterol derivative. PECC treatment caused a remarkable
delay (30.27 and 18.56%, respectively, by low dose) in sexual maturity compared
to vehicle control as evidenced by the age of vaginal opening and appearance of
first estrus (cornified smear). PECC treatment also caused a significant fall
(58.6 and 50.0%, respectively, by low dose) in Delta5-3beta-HSD and G 6-PDH
activities involved in ovarian steroidogenesis compared to vehicle control. Total
cholesterol and ascorbic acid content in ovaries and carbonic anhydrase activity
in uterus were increased significantly (low dose by 49.3, 424.6 and 82.4%,
respectively) along with a reduction in the weight of ovary, uterus and pituitary
in comparison to that of control. DISCUSSION AND CONCLUSION: Overall, these
results demonstrate that PECC has a good antifertility effect and is responsible
for the delayed development of sexual maturity, suppression of ovarian
steroidogenesis and elevation of carbonic anhydrase activity in uterus of
immature mice. This supports the claim by tribal people as a potential remedy for
birth control.
PMID- 22092073
TI - The pro-inflammatory effects of platelet contamination in plasma and mitigation
strategies for avoidance.
AB - BACKGROUND AND OBJECTIVES: Plasma and platelet concentrates are
disproportionately implicated in transfusion-related acute lung injury (TRALI).
Platelet-derived pro-inflammatory mediators, including soluble CD40 ligand
(sCD40L), accumulate during storage. We hypothesized that platelet contamination
induces sCD40L generation that causes neutrophil [polymorphonuclear leucocyte
(PMN)] priming and PMN-mediated cytotoxicity. MATERIALS AND METHODS: Plasma was
untreated, centrifuged (12,500 g) or separated from leucoreduced whole blood
(WBLR) prior to freezing. Platelet counts and sCD40L concentrations were measured
1-5 days post-thaw. The plasma was assayed for PMN priming activity and was used
in a two-event in vitro model of PMN-mediated human pulmonary microvascular
endothelial cell (HMVEC) cytotoxicity. RESULTS: Untreated plasma contained 42+/
4.2*10(3)/MUl platelets, which generated sCD40L accumulation (1.6-eight-fold vs.
controls). Priming activity and HMVEC cytotoxicity were directly proportional to
sCD40L concentration. WBLR and centrifugation reduced platelet and sCD40L
contamination, abrogating the pro-inflammatory potential. CONCLUSION: Platelet
contamination causes sCD40L accumulation in stored plasma that may contribute to
TRALI. Platelet reduction is potentially the first TRALI mitigation effort in
plasma manufacturing.
PMID- 22092074
TI - Layered assemblies of a dialuminum-substituted silicotungstate trimer and the
reversible interlayer cation-exchange properties.
AB - Two polyoxometalate assemblies, TBA(9)[{gamma-H(2)SiW(10)O(36)Al(2)(MU-OH)(2)(MU
OH)}(3)] (1; TBA = tetra-n-butylammonium) and TBA(6)Li(3)[{gamma
H(2)SiW(10)O(36)Al(2)(MU-OH)(2)(MU-OH)}(3)].18H(2)O (2), were synthesized by
trimerization of a dialuminum-substituted silicotungstate monomer. Both 1 and 2
possessed a layered structure composed of a basal sheet unit [TBA(3){gamma
H(2)SiW(10)O(36)Al(2)(MU-OH)(2)(MU-OH)}(3)](6-) and interlayer cations. The
interconversion between 1 and 2 reversibly took place through interlayer cation
exchange.
PMID- 22092075
TI - Identification of phosphoproteins in Arabidopsis thaliana leaves using
polyethylene glycol fractionation, immobilized metal-ion affinity chromatography,
two-dimensional gel electrophoresis and mass spectrometry.
AB - Reversible protein phosphorylation is a key regulatory mechanism in cells.
Identification and characterization of phosphoproteins requires specialized
enrichment methods, due to the relatively low abundance of these proteins, and is
further complicated in plants by the high abundance of Rubisco in green tissues.
We present a novel method for plant phosphoproteome analysis that depletes
Rubisco using polyethylene glycol fractionation and utilizes immobilized metal
ion affinity chromatography to enrich phosphoproteins. Subsequent protein
separation by one- and two-dimensional gel electrophoresis is further improved by
extracting the PEG-fractionated protein samples with SDS/phenol and
methanol/chloroform to remove interfering compounds. Using this approach, we
identified 132 phosphorylated proteins in a partial Arabidopsis leaf extract.
These proteins are involved in a range of biological processes, including CO(2)
fixation, protein assembly and folding, stress response, redox regulation, and
cellular metabolism. Both large and small subunits of Rubisco were phosphorylated
at multiple sites, and depletion of Rubisco enhanced detection of less abundant
phosphoproteins, including those associated with state transitions between
photosystems I and II. The discovery of a phosphorylated form of AtGRP7, a self
regulating RNA-binding protein that affects floral transition, as well as several
previously uncharacterized ribosomal proteins confirm the utility of this
approach for phosphoproteome analysis and its potential to increase our
understanding of growth and development in plants.
PMID- 22092076
TI - A regional meeting continues to grow: the 2nd annual Michigan alliance for
Reproductive Technologies and Science Conference.
PMID- 22092077
TI - Interchromosomal effect analyses by sperm FISH: incidence and distribution among
reorganization carriers.
AB - Structural reorganization carriers usually present compromised fertility
accompanied by an increased risk of producing gametes with chromosomal
abnormalities that can be transmitted to the offspring. In part these imbalances
are ascribed to result from the occurrence of meiotic disturbances produced by
the rearrangements in the proper segregation of other chromosome pairs. This
phenomenon of interference has been called interchromosomal effect (ICE). Several
studies have been performed to assess the occurrence of ICE in structural
reorganization carriers by analyzing the frequencies of numerical abnormalities
in the gametes. Nevertheless, the occurrence and distribution of these disturbing
events still is a controversial issue. In this work we present compiled data from
130 sperm fluorescent in situ hybridization (FISH) studies performed in carriers
of the most frequent structural rearrangements in humans: 44 Robertsonian
translocations, 66 reciprocal translocations and 13 inversions. Data from 7
complex/multiple rearrangements will be considered in a separate group.
Significant increases of gametes with numerical abnormalities have been detected
in all types of reorganization carriers. Among the groups of non-complex/multiple
rearrangements, Robertsonian translocations appear to be the most prone to
produce such interference (54.5%) closely followed by reciprocal translocations
(43.9%). In contrast, ICE's were only detected in 7.7% of the inversion carriers
analyzed. The presence of complex/multiple rearrangements seems to be an
important factor for promoting ICE, as 71.4% of these carriers presented
increased rates of gametes with numerical abnormalities. Altogether, almost half
of the structural reorganization carriers (45.4%) present a higher reproductive
risk of producing aneuploid/diploid spermatozoa compared to the general
population. This high incidence has been obtained by analyzing a small set of
chromosomes, suggesting that underlying meiotic disorders could be present in
these individuals. Further ICE studies in structural reorganization carriers
will help to clarify the still unknown predisposing cytogenetic features that
promote this phenomenon.
PMID- 22092078
TI - The cerebellum, cognition, and behaviour.
PMID- 22092079
TI - Verification of the Robin and Graham classification system of hip disease in
cerebral palsy using three-dimensional computed tomography.
AB - AIM: We evaluated the validity of the Robin and Graham classification system of
hip disease in cerebral palsy (CP) using three-dimensional computed tomography in
young people with CP. METHOD: A total of 91 hips in 91 consecutive children with
bilateral spastic CP (57 males, 34 females; nine classified at Gross Motor
Function Classification System level II, 42 at level III, 32 at level IV, and
eight at level V; mean age 5 y 2 mo, SD 11 mo; range 2-6 y) were investigated
retrospectively using anteroposterior plain radiographs and three-dimensional
computed tomography (3D-CT) of the hip. The migration percentage was calculated
on plain radiographs and all participants were classified into four groups
according to migration percentage: grade II, migration percentage >= 10% but <=
15%, (four hips), grade III, migration percentage >15% but <= 30%, (20 hips);
grade IV, migration percentage >30% but <100%, (63 hips); and grade V, migration
percentage >= 100%, (four hips). The lateral opening angle and the sagittal
inclination angle of the acetabulum, the neck-shaft angle, and the femoral
anteversion of the femur were measured on 3D-CT. RESULTS: The three-dimensional
quantitative evaluation indicated that there were significant differences in the
lateral opening angle and the neck-shaft angle between the four groups (Kruskal
Wallis test, p <= 0.001). INTERPRETATION: This three-dimensional evaluation
supports the validation of the Robin and Graham classification system for hip
disease in 2- to 7-year-olds with CP.
PMID- 22092081
TI - Development of a standardized low-dose double-blind placebo-controlled challenge
vehicle for the EuroPrevall project.
AB - BACKGROUND: Double-blind placebo-controlled food challenge (DBPCFC) is the gold
standard for diagnosing food allergy. Standardized materials and protocols are
essential for comparing DBPCFC results for multicentre studies such as
EuroPrevall. This required the development and piloting of a standardized vehicle
and low-dose protocol for confirming food allergy and determination of minimum
eliciting doses (MEDs). METHODS: A low-dose DBPCFC protocol was developed, with
eight titrated protein doses from 3 MUg to 1 g. This was delivered using a
simple, microbiologically stable food base incorporating allergenic food
ingredients manufactured at three sites and centrally distributed to clinical
centres. Allergen blinding was assessed by a professional sensory testing panel
using a triangle test. Homogeneity and allergen content were confirmed by ELISA
and clinical efficacy was assessed in a pilot study, using celeriac and hazelnut
as exemplars. RESULTS: Celeriac and hazelnut ingredients were sufficiently
blinded in the dessert. The dessert meals were successfully piloted with hazelnut
in allergy clinics in Spain, the Netherlands and Italy and with celeriac and
hazelnut in Zurich. The challenges elicited a range of subjective and objective
reactions ranging in severity from mild itching of the oral mucosa to
bronchospasm. CONCLUSIONS: A standardized challenge vehicle proven to
sufficiently blind processed, powdered hazelnut and celeriac ingredients and that
can be reproducibly manufactured has been developed. This pilot study shows that
the vehicle is promising for the confirmation of food allergy and determination
of MEDs in adults and children with body weight >28.8 kg (approximately 7-11
years old).
PMID- 22092080
TI - Behavioural comorbidity in Tanzanian children with epilepsy: a community-based
case-control study.
AB - AIM: The aim of this study was to define the prevalence of and risk factors for
behavioural disorders in children with epilepsy from a rural district of Tanzania
by conducting a community-based case-control study. METHOD: One hundred and
twelve children aged 6 to 14 years (55 males, 57 females; median age 12 y) with
active epilepsy (at least two unprovoked seizures in the last 5 y) were
identified in a cross-sectional survey and included in this study. Children who
were younger than 6 years were excluded in order to eliminate febrile seizures.
Behaviour was assessed using the Rutter scale; children who scored 13 or more
were considered to have disordered behaviour. A comparison group was made up of
age- and sex-matched children without epilepsy (n = 113; 57 males, 56 females;
median age 12 y). RESULTS: Behavioural disorders were diagnosed in 68 of 103
(66%) children with epilepsy and in 19 of 99 (19%) controls. Disordered behaviour
was significantly more common in children with epilepsy than in the comparison
group (univariate odds ratio 8.2; 95% confidence interval [CI] 4.3-15.6; p <
0.001) and frequent seizures and poor scholastic attainment were associated in
children with epilepsy. Behavioural disorders were not associated with
antiepileptic drug usage. Attention problems were present in 48 of 91 (53%)
children with epilepsy and 16 of 97 (17%) controls (univariate odds ratio 5.7;
95% CI 2.9-11.1; p < 0.001). In children with epilepsy, attention problems were
significantly more common in males and were associated with frequent seizures.
INTERPRETATION: Children with epilepsy in a rural area of sub-Saharan Africa have
a high prevalence of behavioural disorders and attention problems, both of which
are associated with frequent seizures. Providing behaviour assessment and
appropriate intervention programmes for children with epilepsy may reduce the
burden of behaviour disorders in this setting.
PMID- 22092083
TI - Powder X-ray diffraction method for the quantification of cocrystals in the
crystallization mixture.
AB - CONTEXT: The solid state purity of cocrystals critically affects their
performance. Thus, it is important to accurately quantify the purity of
cocrystals in the final crystallization product. OBJECTIVE: The aim of this study
was to develop a powder X-ray diffraction (PXRD) quantification method for
investigating the purity of cocrystals. The method developed was employed to
study the formation of indomethacin-saccharin (IND-SAC) cocrystals by
mechanochemical methods. MATERIALS AND METHODS: Pure IND-SAC cocrystals were
geometrically mixed with 1:1 w/w mixture of indomethacin/saccharin in various
proportions. An accurately measured amount (550 mg) of the mixture was used for
the PXRD measurements. The most intense, non-overlapping, characteristic
diffraction peak of IND-SAC was used to construct the calibration curve in the
range 0-100% (w/w). This calibration model was validated and used to monitor the
formation of IND-SAC cocrystals by liquid-assisted grinding (LAG). RESULTS: The
IND-SAC cocrystal calibration curve showed excellent linearity (R(2) = 0.9996)
over the entire concentration range, displaying limit of detection (LOD) and
limit of quantification (LOQ) values of 1.23% (w/w) and 3.74% (w/w),
respectively. Validation results showed excellent correlations between actual and
predicted concentrations of IND-SAC cocrystals (R(2) = 0.9981). DISCUSSION: The
accuracy and reliability of the PXRD quantification method depend on the methods
of sample preparation and handling. The crystallinity of the IND-SAC cocrystals
was higher when larger amounts of methanol were used in the LAG method.
CONCLUSION: The PXRD quantification method is suitable and reliable for verifying
the purity of cocrystals in the final crystallization product.
PMID- 22092082
TI - The health care cost implications of overweight and obesity during childhood.
AB - OBJECTIVE: To investigate whether childhood overweight at age 4-5 increases
publicly funded health care costs during childhood, and to explore the role of
timing and duration of overweight on health costs. DATA SOURCES: The Longitudinal
Study of Australian Children (2004-2008) and linked records from Medicare,
Australia's public health insurance provider (2004-2009). STUDY DESIGN: The
influence of overweight status on non-hospital Medicare costs incurred by
children over a 5-year period was estimated using two-part models and one-part
generalized linear models (GLM). All models controlled for demographic,
socioeconomic, and parental characteristics. PRINCIPAL FINDINGS: Being overweight
at age 4-5 is associated with significantly higher pharmaceutical and medical
care costs. The results imply that for all children aged 4 and 5 in 2004-2005,
those who were overweight had a combined 5-year Medicare bill that was AUD$9.8
million higher than that of normal weight children. Results from dynamic analyses
show that costs of childhood overweight occur contemporaneously, and the duration
of overweight is positively associated with medical costs for children who became
overweight after age 5. CONCLUSIONS: This study reveals that the financial burden
to the public health system of childhood overweight and obesity occurs even
during the first 5 years of primary school.
PMID- 22092084
TI - Oral malodorous compound causes caspase-8 and -9 mediated programmed cell death
in osteoblasts.
AB - BACKGROUND AND OBJECTIVE: Hydrogen sulfide (H(2) S) is one of two volatile sulfur
compounds that are known to be the main cause of oral malodor; the other is
methyl mercaptan. Other known volatiles existing in mouth air do not contribute
significantly to oral malodor originating in the oral cavity. Hydrogen sulfide is
also known to be an etiological factor in periodontal disease. However, the
effects of H(2) S on alveolar bone remain unclear. The objectives of this study
were to determine the apoptotic effects of H(2) S on osteoblasts and to verify
the apoptotic molecular pathways. MATERIAL AND METHODS: A clonal murine calvaria
cell line was incubated with 50 ng/mL of H(2) S. To detect apoptosis, the cells
were analysed by flow cytometry and ELISA. Mitochondrial membrane depolarization
was assessed using flow cytometry as well. ELISA was used to evaluate the release
of cytochrome c into the cytosol and to assess Fas ligand, p53, tumor necrosis
factor alpha, interleukin IL1-alpha IL-beta, IL-2, IL-4, IL-10, interferon-gamma,
granulocyte-colony stimulating factor and granulocyte-macrophage colony
stimulating factor. Caspase-3, -8 and -9 activities were estimated. Expression of
BAX and Bcl-2 was assessed by real-time quantitative RT-PCR. DNA fragmentation
was detected by single-cell gel electrophoresis. Fas receptors were evaluated by
western blotting. RESULTS: After H(2) S incubation, apoptotic levels increased
significantly in a time-dependent manner. Mitochondrial membrane depolarization,
the release of cytochrome c, p53 and caspase-3, -8 and -9 and DNA fragmentation
were all significantly greater. BAX gene activity was upregulated, whereas Bcl-2
remained low. Fas ligand/Fas receptor, tumor necrosis factor alpha and other
cytokines were not increased to a significant degree. CONCLUSION: At less-than
pathological concentrations in gingival crevicular fluid, H(2) S induces
apoptosis in osteoblasts. The molecular mechanisms underlying the apoptotic
process include p53, a mitochondrial pathway and caspase-8 activation.
PMID- 22092085
TI - Characterization of four new HLA alleles: HLA-B*15:01:18, HLA-B*44:110, HLA
C*04:01:22 and HLA-DQB 1*05:14.
AB - We describe four novel HLA alleles, HLA-B*15:01:18, HLA-B*44:110, HLA-C*04:01:22
and HLA-DQB1*05:14.
PMID- 22092086
TI - Stereoselective total synthesis of (+/-)-peribysin E.
AB - Radical cyclization of iodoketone 3 afforded cis-hydrindanone 8. Compound 8 was
converted into key intermediate 5 via conventional transformations. Annulation of
a spiro-lactal unit to 5 was pursued with three different approaches. In the
first approach, radical cyclization of propargyl ester 17 provided spiro-lactone
18 with an undesired stereochemistry. Attempts to invert the stereochemistry at
the spiro-center via retro-aldol and aldol condensation of compound 20 failed. In
the second approach, key intermediate 5 was transformed into 23. Acylation of
compound 23 gave 24 as a single diastereomer with the desired stereochemistry but
in low yield. NBS bromination of 24 followed by lactone formation gave 26 in low
yield. Alternatively, allylic oxidation of 24 with SeO(2) followed by
lactonization gave 26 also in low yield. Finally, a third approach employing a
semipinacol-type rearrangement of epoxy-alcohol 33 gave aldehyde 34 with the
desired stereochemistry. Treatment of compound 34 with HCl in MeOH effected spiro
lactal formation and provided (+/-)-peribysin E. The overall yield of our
synthesis is 3.2% from 2-methylcyclohenen-1-one.
PMID- 22092087
TI - Toward a tripartite model of intrinsic motivation.
AB - Intrinsic motivation (IM) refers to engaging in an activity for the pleasure
inherent in the activity. The present article presents a tripartite model of IM
consisting of IM to know (i.e., engaging in an activity to experience pleasure
while learning and trying to understand something new), IM toward accomplishment
(i.e., engaging in an activity for the pleasure experienced when attempting task
mastery), and IM to experience stimulation (i.e., engaging in an activity for
feelings of sensory pleasure). The tripartite model of IM posits that each type
of IM can result from task, situational, and personality determinants and can
lead to specific types of cognitive, affective, and behavioral outcomes. The
purpose of this research was to test some predictions derived from this model.
Across 4 studies (Study 1: N = 331; Study 2: N = 113; Study 3: N = 58; Study 4: N
= 135), the 3 types of IM as well as potential determinants and consequences were
assessed. Results revealed that experiencing one type of IM over the others
depends in part on people's personality styles. Also, each type of IM was found
to predict specific outcomes (i.e., affective states and behavioral choices). The
implications of the tripartite model of IM for motivation research are discussed.
PMID- 22092094
TI - Nanoporous carbohydrate metal-organic frameworks.
AB - The binding of alkali and alkaline earth metal cations by macrocyclic and
diazamacrobicyclic polyethers, composed of ordered arrays of hard oxygen (and
nitrogen) donor atoms, underpinned the development of host-guest supramolecular
chemistry in the 1970s and 1980s. The arrangement of -OCCO- and -OCCN- chelating
units in these preorganized receptors, including, but not limited to, crown
ethers and cryptands, is responsible for the very high binding constants observed
for their complexes with Group IA and IIA cations. The cyclodextrins (CDs),
cyclic oligosaccharides derived microbiologically from starch, also display this
OCCO- bidentate motif on both their primary and secondary faces. The self
assembly, in aqueous alcohol, of infinite networks of extended structures, which
have been termed CD-MOFs, wherein gamma-cyclodextrin (gamma-CD) is linked by
coordination to Group IA and IIA metal cations to form metal-organic frameworks
(MOFs), is reported. CD-MOF-1 and CD-MOF-2, prepared on the gram-scale from KOH
and RbOH, respectively, form body-centered cubic arrangements of (gamma-CD)(6)
cubes linked by eight-coordinate alkali metal cations. These cubic CD-MOFs are
(i) stable to the removal of solvents, (ii) permanently porous, with surface
areas of ~1200 m(2) g(-1), and (iii) capable of storing gases and small molecules
within their pores. The fact that the -OCCO- moieties of gamma-CD are not
prearranged in a manner conducive to encapsulating single metal cations has led
to our isolating other infinite frameworks, with different topologies, from salts
of Na(+), Cs(+), and Sr(2+). This lack of preorganization is expressed
emphatically in the case of Cs(+), where two polymorphs assemble under identical
conditions. CD-MOF-3 has the cubic topology observed for CD-MOFs 1 and 2, while
CD-MOF-4 displays a channel structure wherein gamma-CD tori are perfectly stacked
in one dimension in a manner reminiscent of the structures of some gamma-CD
solvates, but with added crystal stability imparted by metal-ion coordination.
These new MOFs demonstrate that the CDs can indeed function as ligands for alkali
and alkaline earth metal cations in a manner similar to that found with crown
ethers. These inexpensive, green, nanoporous materials exhibit absorption
properties which make them realistic candidates for commercial development, not
least of all because edible derivatives, fit for human consumption, can be
prepared entirely from food-grade ingredients.
PMID- 22092095
TI - Physical exercise performed before bedtime improves the sleep pattern of healthy
young good sleepers.
AB - To investigate the influence of different intensities and durations of exercise
before bedtime on the sleep pattern and core body temperature of individuals
considered good sleepers, we selected 17 healthy males and all underwent 5
nonconsecutive days of study. Measurements of polysomnographic parameters and
core body temperature were taken at baseline and after each experimental
protocol, performed at night. We found increased sleep efficiency (p = .016)
among all protocols compared with baseline data and increase in REM sleep latency
(p = .047) between two experiments; there was decrease in the percentage of stage
1 sleep (p = .046) and wake after sleep onset (p = .003). Core body temperature
did not change significantly during the nights following exercise. Exercise
performed before sleep does not impair sleep quality; rather, its practice
improves sleep in good sleepers who are nonathletes, and may be considered to
improve sleep pattern.
PMID- 22092096
TI - Intraoperative contamination of the suction tip in clean orthopedic surgeries in
dogs and cats.
AB - OBJECTIVE: To (1) determine suction tip (intermittent and continuous mode)
contamination rate in orthopedic surgery in dogs and cats; (2) examine the effect
of surgical time on contamination; and (3) report bacteria isolated. STUDY
DESIGN: Clinical study. SAMPLE POPULATION: Clean orthopedic surgeries (n = 50).
METHODS: Surgical procedures were assigned to 1 of 2 groups: (1) continuous (n =
25) or (2) intermittent suction (n = 25). A control suction was operated in each
surgery. Samples for aerobic and anaerobic bacteriologic examination were
collected from the surgical suction at 0, 20, 60 minutes, and at the end of
surgery, and from the control suction at the end of the surgery only. Comparison
of continuous and intermittent suction data, and the effect of surgical time on
contamination rate were analyzed using a Kaplan-Meier survival analysis followed
by a Cox proportional hazards model. P < .05 was considered significant. RESULTS:
Aerobic contamination occurred in 22 of 50 surgical procedures and there was no
anaerobic growth. There was no significant difference between continuous and
intermittent suction mode groups (P = .40). Surgical time did not influence the
contamination rate (P = .79). Bacterial cultures mainly revealed coagulase
negative Staphylococci, however multiresistant bacteria were isolated.
CONCLUSIONS: We failed to find superiority of the intermittent operation mode of
the suction tip over the continuous mode. A safe time frame before contamination
of the suction tip occurs that could not be defined.
PMID- 22092097
TI - A randomized trial on elderly laypersons' CPR performance in a realistic cardiac
arrest simulation.
AB - BACKGROUND: Bystander cardiopulmonary resuscitation (CPR) is important for
survival after cardiac arrest. We hypothesized that elderly laypersons would
perform CPR poorer in a realistic cardiac arrest simulation, compared to a
traditional test. METHODS: Sixty-four lay rescuers aged 50-75 were randomized to
realistic or traditional test, both with ten minutes of telephone assisted CPR.
Realistic simulation started suddenly without warning, leaving the test subject
alone in a confined and noisy apartment. Traditional test was conducted in a
spacious and calm classroom with a researcher present. CPR performance was
recorded with a manikin with human like chest properties. Heart rate and self
reported exhaustion were registered. RESULTS: CPR quality was not different in
the two groups: compression depth, 43 mm +/- 7 versus 43 +/- 4, P = 0.72;
compressions rate, 97 min(-1) +/- 11 versus 93 +/- 15, P = 0.26; ventilation
rate, 2.4 min(-1) +/- 1.7 versus 2.8 +/- 1.1, P = 0.35; and hands-off time 273 s
+/- 50 versus 270 +/- 66, P = 0.82; in realistic (n = 31) and traditional (n =
33) groups, respectively. No fatigue was evident in the repeated measures
analysis of variance. Work load was not different between the groups; attained
percentage of age predicted maximum heart rate, 73% +/- 9 and 76 +/- 11, P =
0.37, reported exhaustion 43 +/- 21 (scale: 0 to 100) and 37 +/- 19, P = 0.24.
CONCLUSIONS: Elderly lay people are capable of performing chest compressions with
acceptable quality for ten minutes in a realistic cardiac arrest simulation.
Ventilation quality and hands-off time were not adequate in either group.
PMID- 22092088
TI - Signals and regulators that govern Streptomyces development.
AB - Streptomyces coelicolor is the genetically best characterized species of a
populous genus belonging to the gram-positive Actinobacteria. Streptomycetes are
filamentous soil organisms, well known for the production of a plethora of
biologically active secondary metabolic compounds. The Streptomyces developmental
life cycle is uniquely complex and involves coordinated multicellular development
with both physiological and morphological differentiation of several cell types,
culminating in the production of secondary metabolites and dispersal of mature
spores. This review presents a current appreciation of the signaling mechanisms
used to orchestrate the decision to undergo morphological differentiation, and
the regulators and regulatory networks that direct the intriguing development of
multigenomic hyphae first to form specialized aerial hyphae and then to convert
them into chains of dormant spores. This current view of S. coelicolor
development is destined for rapid evolution as data from '-omics' studies shed
light on gene regulatory networks, new genetic screens identify hitherto unknown
players, and the resolution of our insights into the underlying cell biological
processes steadily improve.
PMID- 22092098
TI - Formation of ripples in graphene as a result of interfacial instabilities.
AB - Formation of ripples on a supported graphene sheet involves interfacial
interaction with the substrate. In this work, graphene was grown on a copper foil
by chemical vapor deposition from methane. On thermal quenching from elevated
temperatures, we observed the formation of ripples in grown graphene, developing
a peculiar topographic pattern in the form of wavy grooves and single/double
rolls, roughly honeycomb cells, or their combinations. Studies on pure copper
foil under corresponding conditions but without the presence of hydrocarbon
revealed the appearance of peculiar patterns on the foil surface, such as
dendritic structures that are distinctive not of equilibrium solidified phases
but arise from planar and/or convective instabilities driven by solutal and
thermal capillary forces. We propose a new origin for the formation of ripples in
the course of graphene growth at elevated temperatures, where the topographic
pattern formation is governed by dynamic instabilities on the interface of a
carbon-catalyst binary system. These non-equilibrium processes can be described
based on Mullins-Sekerka and Benard-Marangoni instabilities in diluted binary
alloys, which offer control over the ripple texturing through synthesis
parameters such as temperature, imposed temperature gradient, quenching rate,
diffusion coefficients of carbon in the metal catalyst, and the miscibility gap
of the metal catalyst-carbon system.
PMID- 22092100
TI - First magnetostructural study on a heterodinuclear 2,2'-bipyrimidine-bridged
complex.
AB - The use of the [ReCl(4)(bpym)] precursor as a ligand toward the fully solvated
nickel(II) metal ion affords the first example of a 2,2'-bipyrimidine-bridged
Re(IV)-Ni(II) complex, [ReCl(4)(MU-bpym)NiBr(2)(H(2)O)(2)] (1), whose
intramolecular ferromagnetic coupling has been substantiated from both
experimental and theoretical studies.
PMID- 22092099
TI - Psychotropic medication burden and factors associated with antipsychotic use: an
analysis of a population-based sample of community-dwelling older persons with
dementia.
AB - OBJECTIVES: To estimate the proportion of community-dwelling older adults with
dementia being prescribed a psychotropic and to identify patient and caregiver
factors associated with antipsychotic use. METHODS: Retrospective cohort study of
the Aging, Demographics, and Memory Study (ADAMS) from 2002 to 2004 designed to
assess dementia severity and service use of community-dwelling older adults. The
frequency of psychotropic medication (antipsychotics, antidepressants,
anticonvulsants, and benzodiazepines) use was tabulated and weighted to the U.S.
population according to dementia diagnosis. Logistic regression analysis
identified factors associated with antipsychotic use. RESULTS: The 307 ADAMS
participants had the following dementia diagnoses: Alzheimer's disease (69.3%),
vascular dementia (17.7%), and other dementia (12.4%). The proportion of
participants prescribed a psychotropic medication broken down according to
therapeutic class was 19.1% antipsychotics, 29.1% antidepressants, 9.8%
benzodiazepines, and 8.8% anticonvulsants. Older adults with dementia were
significantly more likely to receive an antipsychotic if they had moderate (odds
ratio (OR) = 7.4, P = .002) or severe (OR = 5.80, P = .002) dementia than if they
had mild dementia or were diagnosed with Alzheimer's disease (OR = 6.7, P = .04)
compared to vascular dementia. Older adults with dementia who lived with a
caregiver were significantly less likely to taking an antipsychotic (OR = 0.19, P
= .001) than those who lived alone. Also, persons with dementia were
significantly less likely to be prescribed an antipsychotic if their caregiver
was clinically depressed (OR = 0.03, P = .005) than if their caregiver was not
depressed. CONCLUSION: Psychotropic medication use is common in community
dwelling older adults with dementia. Caregivers appear to have a substantial
effect on whether an antipsychotic is prescribed, which adds additional
complexity to conversations discussing the risk:benefit ratio of this medication
class.
PMID- 22092101
TI - Radioactivity of sand, groundwater and wild plants in northeast Sinai, Egypt.
AB - The radioactivity levels are poorly studied in non-coastal arid regions. For this
reason, 38 locations covering an area of about 350 km(2) in northeast Sinai,
Egypt, were investigated by gamma-ray spectroscopy. Moderately significant
correlations among (238)U, (234)Th, and (226)Ra isotopes and low significant
correlations between the concentrations of (238)U-series and (232)Th in sand were
obtained. No evidence of correlation was found between the concentrations of
radioisotopes and pH, grain size, total organic matter content, bicarbonate or
calcium carbonate concentrations of the sand samples. The mean values of soil-to
plant transfer factor were 0.15, 0.18, 1.52 and 0.74 for (226)Ra, (232)Th, (40)K,
and (137)Cs, respectively. The range of concentrations of (226)Ra,( 232)Th, and
(40)K in water samples collected from five wells were<0.4-0.16,<0.4-0.13,
and<0.15-1.62 Bq l(-1), respectively. The mean absorbed dose rate in outdoor air
at a height of 1 m above the ground surface for the sand samples was 19.4 nGy h(
1). The Ra(eq) activities of the sands are lower than the recommended maximum
value of 370 Bq kg(-1) criterion limit for building materials.
PMID- 22092103
TI - Self-generated retrievals while multitasking improve memory for names.
AB - We used a translational research paradigm to investigate whether distributed
retrievals could benefit name learning in social situations. Undergraduates
(N=64) were trained to generate distributed retrievals while they were
multitasking. Students learned to generate distributed retrievals according to
either an expanding or a uniform schedule. Their self-generated distributed
retrievals while they were multitasking were effective in improving name recall
for both retrieval schedules. The increase with self-generated retrievals while
multitasking was greater (eta2 =.76) than the increase that Helder and
Shaughnessy ( 2008 ) found with experimenter-controlled retrievals while
multitasking (eta2 =.42). These findings provide evidence that the beneficial
effect of distributed retrievals can extend to learning names in a social
situation.
PMID- 22092102
TI - Angiotensin-converting enzyme inhibitor and statin use and incident mobility
limitation in community-dwelling older adults: the Health, Aging and Body
Composition study.
AB - OBJECTIVES: To evaluate whether the use of angiotensin-converting enzyme (ACE)
inhibitors and statins is associated with a lower risk of incident mobility
limitation in older community dwelling adults. DESIGN: Longitudinal cohort study.
SETTING: Health, Aging and Body Composition (Health ABC) study. PARTICIPANTS:
Three thousand fifty-five participants who were well functioning at baseline (no
mobility limitations). MEASUREMENTS: Summated standardized daily doses (low,
medium, high) and duration of ACE inhibitor and statin use were computed.
Mobility limitation (two consecutive self-reports of having any difficulty
walking one-quarter of a mile or climbing 10 steps without resting) was assessed
every 6 months after baseline. Multivariable Cox proportional hazards analyses
were conducted, adjusting for demographics, health status, and health behaviors.
RESULTS: At baseline, 15.2% used ACE inhibitors and 12.9% used statins; use of
both was greater than 25% by Year 6. Over 6.5 years of follow-up, 49.8% had
developed mobility limitation. In separate multivariable models, neither ACE
inhibitor (multivariate hazard ratio (HR) = 0.95, 95% confidence interval (CI) =
0.82-1.09) nor statin use (multivariate HR = 1.02, 95% CI = 0.87-1.17) was
associated with lower risk of mobility limitation. Similar findings were seen in
analyses examining dose-response and duration-response relationships and a
sensitivity analysis restricted to those with hypertension. CONCLUSION: ACE
inhibitors and statins widely prescribed to treat hypertension and
hypercholesterolemia, respectively, do not lower risk of mobility limitation, an
important indicator of quality of life.
PMID- 22092104
TI - The relation of the conceptual self to recent and distant autobiographical
memories.
AB - Based on the self-memory system model (SMS; Conway, Singer, & Tagini, 2004) of
autobiographical memory, this study uses a large sample of young and middle-aged
adults to investigate the relation between individuals' current self
characteristics and the content of both their earliest childhood memory and a
recent memory. In the first session, participants' current self-characteristics
were assessed. In the second session, individuals provided a written narrative of
their earliest childhood memory and a more recent memory (within-participants
design) and rated the self themes present in each memory. In keeping with the SMS
model, findings show that current self-characteristics were reflected in
individuals' memories. As predicted, however, recent memories were more
frequently linked to current self-characteristics than were earliest memories.
All six current self-characteristics predicted the inclusion of these themes in
recent memories, but only four self-characteristics were associated with memory
themes in earliest memories. The relation between current self-characteristics
and memory themes did not differ across young and middle-aged adults, suggesting
developmental stability in these relations. Findings provide general support for
the SMS model but also suggest possibilities for its extension and refinement.
PMID- 22092105
TI - Directed forgetting of autobiographical memory in mild Alzheimer's disease.
AB - Using the autobiographical directed forgetting method (Barnier et al., 2007), the
present paper addressed the intentional inhibitory processes of episodic and
semantic autobiographical memory in Alzheimer's disease (AD). Mild AD patients
and healthy elderly people were instructed to either forget or to continue
remembering previously generated autobiographical events. In a later recall test
they were asked to reconstruct the early-generated memories regardless of the
forget/remember instruction. Autobiographical reconstruction was further
distributed into episodic and semantic memories. Results showed no forget
instruction effect on episodic or semantic autobiographical recall with AD
patients, whereas healthy elderly people were able to inhibit only episodic
autobiographical memories. The findings suggest an impairment of the intentional
inhibitory processes in autobiographical memory with AD and a relative
preservation of these mechanisms with normal ageing. They also demonstrate an
earlier decline in the intentional inhibitory processes compared to the
autobiographical deterioration in AD.
PMID- 22092106
TI - Memory for details with self-referencing.
AB - Self-referencing benefits item memory, but little is known about the ways in
which referencing the self affects memory for details. Experiment 1 assessed
whether the effects of self-referencing operate only at the item, or general,
level or whether they also enhance memory for specific visual details of objects.
Participants incidentally encoded objects by making judgements in reference to
the self, a close other (one's mother), or a familiar other (Bill Clinton).
Results indicate that referencing the self or a close other enhances both
specific and general memory. Experiments 2 and 3 assessed verbal memory for
source in a task that relied on distinguishing between different mental
operations (internal sources). The results indicate that self-referencing
disproportionately enhances source memory, relative to conditions referencing
other people, semantic, or perceptual information. We conclude that self
referencing not only enhances specific memory for both visual and verbal
information, but can also disproportionately improve memory for specific internal
source details.
PMID- 22092109
TI - Impact of solvent/detergent treatment of plasma on transfusion-relevant bacteria.
AB - BACKGROUND: A solvent/detergent (S/D) treatment in a medical device has been
developed for pathogen reduction of plasma for transfusion. Impact of S/D on
bacterial growth and on the capacity of complement to kill bacteria has been
investigated in this study. STUDY DESIGN AND METHODS: A pool of apheresis plasma
from four donors was spiked with eight transfusion-relevant bacteria. Plasma was
treated with 1% tri(n-butyl) phosphate and 1% Triton X-45 at 31 degrees C for 90
min and then extracted by oil at 31 degrees C for 70 min. Decomplemented plasma
and Phosphate Buffer Saline were used as controls. Bacterial count was determined
in samples taken immediately after spiking, or after S/D and oil treatment.
Similar experiments were conducted using three individual recovered plasma
donations. Bacteria growth inhibition tests were performed using discs soaked
with plasma samples whether containing the S/D agents or not. RESULTS: The mean
reduction factors of Escherichia coli, Pseudomonas aeruginosa, and Klebsiella
pneumoniae due to complement during S/D treatment were >8.75, 4.71, and 4.18 log
in pooled plasma and >7.42, 2.24 and >6.08 log in individual plasmas,
respectively. Bacillus cereus and Bacillus subtilis were inactivated by S/D
(>7.04 and 1.60 log in pooled, and >6.06 and 2.39 in individual plasmas,
respectively). Staphylococcus aureus, Staphylococcus epidermidis and Enterobacter
cloacae did not multiply during S/D treatment of plasma. Growth inhibition tests
revealed an inhibition of three gram-negative bacteria by complement and all gram
positive by S/D. CONCLUSION: The S/D treatment of plasma does not alter the
bactericidal activity of complement, and inactivates some gram-positive bacteria.
PMID- 22092110
TI - Predictors of postpartum weight change among overweight and obese women: results
from the Active Mothers Postpartum study.
AB - BACKGROUND: The postpartum period may be critical for the development of midlife
obesity. Identifying factors associated with postpartum weight change could aid
in targeting women for healthy lifestyle interventions. METHODS: Data from Active
Mothers Postpartum (AMP), a study of overweight and obese postpartum women
(n=450), were analyzed to determine the effect of baseline characteristics,
breastfeeding, diet, physical activity, and contraception on weight change from 6
weeks to 12, 18, and 24 months postpartum. The repeated measures mixed model was
used to test the association of these effects with weight change. RESULTS:
Although mean weight loss was modest (0.49 kg by 24 months), the range of weight
change was striking (+21.5 kg to -24.5 kg, standard deviation [SD] 7.4).
Controlling only for baseline weight, weight loss was associated with
breastfeeding, hormonal contraception, lower junk food and greater healthy food
intake, and greater physical activity. Only junk food intake and physical
activity were significant after controlling for all other predictors.
CONCLUSIONS: Eating less healthy foods and being less physically active put
overweight and obese women at risk of gaining more weight after a pregnancy.
PMID- 22092111
TI - Overexpression of ADK in human astrocytic tumors and peritumoral tissue is
related to tumor-associated epilepsy.
AB - PURPOSE: Adenosine kinase (ADK), a largely astrocyte-based metabolic enzyme,
regulates adenosine homeostasis in the brain. Overexpression of ADK decreases
extracellular adenosine and consequently leads to seizures. We hypothesized that
dysfunction in the metabolism of tumor astrocytes is related to changes in ADK
expression and that those changes might be associated with the development of
epilepsy in patients with tumors. METHODS: We compared ADK expression and
cellular distribution in surgically removed tumor tissue (n = 45) and peritumoral
cortex (n = 20) of patients with glial and glioneuronal tumors to normal control
tissue obtained at autopsy (n = 11). In addition, we compared ADK expression in
tumor patients with and without epilepsy. To investigate ADK expression, we used
immunohistochemistry and Western blot analysis. ADK activity measurement was
performed in surgical specimens of astrocytomas World Health Organization (WHO)
grade III (n = 3), peritumoral cortex (n = 3), and nonepileptic cortex (n = 3).
KEY FINDINGS: Immunohistochemistry predominantly showed cytoplasmic labeling in
tumors and peritumoral tissue containing infiltrating tumor cells. ADK
immunoreactivity was significantly stronger in tumor and peritumoral tissue
compared to normal white matter and normal cortex, especially in astrocytoma WHO
grade III, as confirmed by Western blot analysis and ADK activity measurements.
Importantly, we found a significantly higher expression of ADK in the peritumoral
infiltrated tissue of patients with epilepsy than in patients without epilepsy.
SIGNIFICANCE: These results suggest a dysregulation of ADK in astrocytic brain
tumors. Moreover, the upregulation of ADK observed in peritumoral infiltrated
tissue of glioma patients with epilepsy supports the role of this enzyme in tumor
associated epilepsy.
PMID- 22092113
TI - Development of modified in situ gelling oral liquid sustained release formulation
of dextromethorphan.
AB - CONTEXT: Alternative strategies are being employed to develop liquid oral
sustained release formulation. These included ion exchange resin, sustained
release suspensions and in situ gelling systems. The later mainly utilizes
alginate solutions that form gels upon contact with calcium which may be
administered separately or included in the alginate solution as citrate complex.
This complex liberates calcium in the stomach with subsequent gellation. The
formed gel can break after gastric emptying leading to dose dumping. OBJECTIVE:
Development of modified in situ gelling system which sustain dextromethorphan
release in the stomach and intestine. METHODS: Solutions containing alginate with
calcium chloride and sodium citrate were initially prepared to select the
formulation sustaining the release in the stomach. The best formulation was
combined with chitosan. All formulations were characterized with respect to flow,
gelling capacity, gelling strength and drug release. RESULTS: Increasing the
concentration of alginate increased the gelling capacity and strength and reduced
the rate of drug release in gastric conditions with 2% w/v alginate being the
best formulation. However, these formulations failed to sustain the release in
the intestinal conditions. Incorporation of chitosan with alginate increased the
gelling capacity and strength and reduced the rate of drug release compared to
alginate only system. The effect was optimum in formulation containing 1.5% w/v
chitosan. The sustained release pattern was maintained both in the gastric and
intestinal conditions and was comparable to that obtained from the marketed
product. CONCLUSION: Alginate-chitosan based in situ gelling system is promising
for developing liquid oral sustained release.
PMID- 22092112
TI - Growth velocity during infancy and onset of asthma in school-aged children.
AB - BACKGROUND: Growth velocities during infancy might affect the risk of asthma in
childhood. This study examines the association between peak height and weight
velocities during the first 2 years of life and onset of asthma and wheeze up to
10 years of age. METHODS: Data from 9086 children who participated in the
GINIplus and LISAplus birth cohorts were analyzed. Information on asthma was
requested annually from 1 to 10 years and information on wheeze at 1, 2, 4, 6,
and 10 years. Peak height and weight velocities were calculated using height and
weight measurements obtained between birth and 2 years of age. Cox proportional
hazards models and generalized linear mixed models were calculated after
adjustment for potential confounding factors including birth weight and body mass
index at 10 years of age. RESULTS: Per interquartile range increase in peak
weight velocity (PWV), the risk of asthma increased significantly (adjHR: 1.22;
CI: 1.02-1.47). The relationship between peak height velocity (PHV) and onset of
asthma was nonsignificant (adjHR: 1.08; CI: 0.88-1.31). Wheeze was not
significantly associated with PHV or with PWV (adjOR: 1.07; CI: 0.64-1.77 and
adjOR: 1.11; CI: 0.68-1.79, respectively). CONCLUSIONS: Weight gain during
infancy is positively associated with physician-diagnosed asthma in school-aged
children.
PMID- 22092114
TI - Growth references for Brazilian children and adolescents: healthy growth in
Cariri study.
AB - AIM: To construct reference values for height, body mass and BMI of children and
adolescents from the Cariri region, Brazil; to compare the growth of Cariri
children with those from CDC (Centers for Disease Control and Prevention) and
with references from other Brazilian regions; to verify the associations between
socioeconomic status and height, body mass and BMI in children and youth from
both sexes. SUBJECTS AND METHODS: The sample comprised 3311 girls and 3280 boys
aged 7-17 years, participating in the study 'Healthy Growth in Cariri'.
Socioeconomic status was defined according to school attendance: private and
public. Centile curves for height, body mass and BMI were constructed using the
LMS method. RESULTS: Significant differences between children and adolescents
from Cariri and those from other Brazilian regions and the CDC references were
found for height and body mass. In girls from private schools, average
differences in height compared to the CDC references ranged from 0.79-5.9 cm and
in boys from 2.9-8.6 cm. CONCLUSION: Children from Cariri show a growth pattern
in height, body mass and BMI that closely resembles the patterns observed in
developed countries, but the absolute values in height and body mass are markedly
lower than CDC references and growth references for other regions in Brazil.
PMID- 22092115
TI - Location of cancer surgery for older veterans with cancer.
AB - OBJECTIVE: Many veterans undergo cancer surgery outside of the Veterans Health
Administration (VHA). We assessed to what extent these patients obtained care in
the VHA before surgery. DATA SOURCES: VHA-Medicare data, VHA administrative data,
and Veterans Affairs Central Cancer Registry data. STUDY DESIGN: We identified
patients aged >=65 years in the VHA-Medicare cohort who underwent lung or colon
cancer resection outside the VHA and assessed VHA visits in the year before
surgery. PRINCIPAL FINDINGS: Over 60% of patients in the VHA-Medicare cohort who
received lung or colon cancer surgeries outside the VHA did not receive any care
in VHA before surgery. CONCLUSIONS: Veterans' receipt of major cancer surgery
outside the VHA probably reflects usual private sector care among veterans who
are infrequent VHA users.
PMID- 22092116
TI - Genetic and environmental influences on personality profile stability: unraveling
the normativeness problem.
AB - The present study is the first to disentangle the genetic and environmental
influences on personality profile stability. Spanning a period of 10 years, we
analyzed the etiology of 3 aspects of profile stability (overall profile
stability, distinctive profile stability, and profile normativeness) using self-
and peer reports from 539 identical and 280 fraternal twins reared together. This
3-wave multirater twin design allowed us to estimate the genetic and
environmental effects on latent true scores of the 3 aspects of profile stability
while controlling for method effects and random error. Consistent biometric
results were only found for profile normativeness, whereas overall and
distinctive profile stability scores turned out to be biased. Over time, we found
personality profile normativeness to be relatively stable. This stability was due
to both stable genetic and nonshared environmental effects, whereas innovative
variance was completely explained by nonshared environmental effects. Our
findings emphasize the importance of distinguishing between the different aspects
of profile stability, since overall and distinctive stability scores are likely
biased due to the normativeness problem. Yet indicating a person's similarity to
the average person, the normativeness of a personality profile itself has a
psychological meaning beyond socially desirable responding.
PMID- 22092121
TI - Ultrasonography in the management of the airway.
AB - In this study, it is described how to use ultrasonography (US) for real-time
imaging of the airway from the mouth, over pharynx, larynx, and trachea to the
peripheral alveoli, and how to use this in airway management. US has several
advantages for imaging of the airway - it is safe, quick, repeatable, portable,
widely available, and it must be used dynamically for maximum benefit in airway
management, in direct conjunction with the airway management, i.e. immediately
before, during, and after airway interventions. US can be used for direct
observation of whether the tube enters the trachea or the esophagus by placing
the ultrasound probe transversely on the neck at the level of the suprasternal
notch during intubation, thus confirming intubation without the need for
ventilation or circulation. US can be applied before anesthesia induction and
diagnose several conditions that affect airway management, but it remains to be
determined in which kind of patients the predictive value of such an examination
is high enough to recommend this as a routine approach to airway management
planning. US can identify the croicothyroid membrane prior to management of a
difficult airway, can confirm ventilation by observing lung sliding bilaterally
and should be the first diagnostic approach when a pneumothorax is suspected
intraoperatively or during initial trauma-evaluation. US can improve percutaneous
dilatational tracheostomy by identifying the correct tracheal-ring interspace,
avoiding blood vessels and determining the depth from the skin to the tracheal
wall.
PMID- 22092122
TI - Monitoring patients at risk of massive transfusion with Thrombelastography or
Thromboelastometry: a systematic review.
AB - BACKGROUND: Thrombelastography (TEG) and Thrombelastometry (ROTEM) are
viscoelastic whole-blood assays evaluating the haemostatic capacity of blood.
These devices are used in algorithms to guide transfusion of haemostatic blood
components. METHODS: The methods used for this study were systematic reviews with
meta-analyses and trial sequential analyses of randomised clinical trials (RCTs)
of TEG/ROTEM-based algorithm compared with standard treatment in patients with
bleeding. Primary outcome was all-cause mortality. We searched the literature in
seven databases (up to 31 October 2010), reference lists, registers of ongoing
trials, and contacted authors and experts. We extracted data from included
studies related to study methods, interventions, outcomes, bias risk and adverse
events using Cochrane methodology. All trials irrespective of blinding or
language status were included. RESULTS: Nine trials involving 776 participants
were included. Eight trials involved cardiac surgery with an average blood loss
of 390-960 ml, and one trial investigated liver transplantations. One trial was
classified as low-risk-of-bias trial. We found two ongoing trials. No impact was
identified on mortality, amount of blood transfused, incidence of surgical
reinterventions, time to extubation, or length of stay in hospital and intensive
care unit. We identified a significant reduction in blood loss favouring the use
of TEG/ROTEM {85 ml [95% confidence interval (CI) 29.4-140.7]} and in the
proportion of patients receiving freshly frozen plasma and platelets [relative
risk 0.39 (95%CI 0.27-0.57)]. CONCLUSION: There is currently weak evidence to
support the use of TEG/ROTEM as a tool to guide transfusion in patients with
severe bleeding. Further studies need to address other clinical settings and with
larger blood losses.
PMID- 22092123
TI - Temporal comparison of ultrasound vs. auscultation and capnography in
verification of endotracheal tube placement.
AB - BACKGROUND: This study compared the time consumption of bilateral lung ultrasound
with auscultation and capnography for verifying endotracheal intubation. METHODS:
A prospective, paired, and investigator-blinded study carried out in the
operating theatre. Twenty-five adult patients requiring endotracheal intubation
were included. During intubation, transtracheal ultrasound was performed to
visualize passage of the endotracheal tube. During bag ventilation, bilateral
lung ultrasound was performed for the detection of lung sliding as a sign of
ventilation simultaneous with capnography and auscultation of the epigastrium and
chest. Primary outcome measure was time difference to confirmed endotracheal
intubation between ultrasound and auscultation alone. Secondary outcome measure
was time difference between ultrasound and auscultation combined with
capnography. RESULTS: Both methods verified endotracheal tube placement in all
patients. In 68% of patients, endotracheal tube placement was visualized by real
time transtracheal ultrasound. Comparing ultrasound with the combination of
auscultation and capnography, there was a significant difference between the two
methods. Median time for ultrasound was 40 s [interquartile range (IQR) 35-48 s]
vs. 48 s (IQR 45-53 s), P < 0.0001. Mean difference was -7.1 s in favour of
ultrasound [95% confidence interval (CI) -9.4--4.8 s]. No significant difference
was found between ultrasound compared with auscultation alone. Median time for
auscultation alone was 42 s (IQR 37-47 s), P = 0.6, with a mean difference of
0.88 s in favour of ultrasound (95% CI -4.2-2.5 s). CONCLUSIONS: Verification of
endotracheal tube placement with ultrasound is as fast as auscultation alone and
faster than the standard method of auscultation and capnography.
PMID- 22092124
TI - Dexamethasone has additive effect when combined with ondansetron and droperidol
for treatment of established PONV.
AB - BACKGROUND: Prophylactic dexamethasone, ondansetron and droperidol have a
documented effect on post-operative nausea and vomiting (PONV). Still, there is a
lack of studies investigating the effect of adding dexamethasone to ondansetron
and droperidol in order to treat established PONV. METHODS: In this double-blind
randomised, controlled trial, we compared triple prophylaxis for PONV consisting
of dexamethasone 8 mg intravenous (IV), ondansetron 4 mg IV and droperidol 0.625
mg IV (n = 157) with placebo (n = 156) given before gynaecological day-case
surgery. Subsequently, in those having PONV despite triple prophylaxis or
placebo, a dose of ondansetron and droperidol plus dexamethasone was compared
with the combination of ondansetron and droperidol. RESULTS: Triple prophylaxis
reduced acute PONV (0-6 h) (P = 0.0003) and post-discharge PONV (6-24 h) (P =
0.001) when compared with placebo. Among those suffering from PONV despite
placebo or active prophylaxis (n = 80), adding dexamethasone to ondansetron and
droperidol reduced acute PONV (0-6 h) (P = 0.025) as well as post-discharge
nausea (6-24 h) (P = 0.04) compared with duo treatment comprising ondansetron and
droperidol. In those reporting PONV despite prophylaxis (n = 12), the treatment
comprising ondansetron and droperidol, with or without dexamethasone, gave a
91.7% reduction in acute PONV and an 83.6% reduction in post-discharge PONV.
CONCLUSION: Treatment of established PONV comprising ondansetron and droperidol,
with or without dexamethasone, reduced PONV in both treatment groups. In those
reporting PONV without active prophylaxis, the addition of dexamethasone resulted
in a significant amplification of the PONV-reducing [corrected] effects of
ondansetron and droperidol.
PMID- 22092125
TI - A pilot study of the implementation of WHO surgical checklist in Finland:
improvements in activities and communication.
AB - BACKGROUND: World Health Organisation (WHO) has introduced a surgical safety
checklist that has reduced post-operative morbidity and mortality. Prior to
national checklist implementation, we assessed its possible impact on the
operating room (OR) process, safety-related issues and communication among
surgical staff in a high-income country. METHODS: In four university and teaching
hospitals, a structured questionnaire was delivered to OR personnel involved in
consecutive operations over 4-6 weeks before and after the checklist
implementation. The questionnaire resembled the WHO checklist and comprised
multiple-choice questions relating to performance of safety checks and
communication. Anaesthesiologists (A), surgeons (S) and circulating nurses (CN)
answered the questions independently. The WHO checklist was modified for national
needs. RESULTS: Questionnaires were returned from 1748 operations, 901 before and
847 after the checklist. Patient's identity was more often confirmed (A: 62.7%
vs. 84.0%, S: 71.6% vs. 85.5%, CN: 81.6% vs. 94.2%, P < 0.001) and knowledge of
names and roles among team members (A: 65.7% vs. 81.8%, S: 71.1% vs. 83.6%, CN:
87.7% vs. 93.2%, P < 0.01) improved with the checklist. Anaesthesiologists and
surgeons discussed critical events pre-operatively (A: 22.0% vs. 42.6%, S: 34.7%
vs. 46.2%, P < 0.001) more frequently after the checklist. In addition, fewer
communication failures (43 vs. 17, P < 0.05) were reported with checklist.
CONCLUSIONS: The checklist increased OR teams' awareness of patient-related
issues, the procedure and expected risks. It also enhanced team communication and
prevented communication failures. Our findings support use of the WHO checklist
in various surgical fields.
PMID- 22092126
TI - Dose-dependent attenuation by fentanyl on cough during emergence from general
anesthesia.
AB - BACKGROUND: Although fentanyl suppresses tracheal tube-induced cough during
anesthetic recovery, it has not been proven if its antitussive effect is dose
dependent and complication free. The purpose of this study is to evaluate the
relationship between fentanyl doses and cough suppression during emergence from
sevoflurane anesthesia. METHODS: Sixty patients undergoing thyroidectomy were
randomly allocated to one of four groups (F0, F1, F1.5, and F2) according to the
fentanyl dose (0 mcg/kg, 1 mcg/kg, 1.5 mcg/kg, or 2 mcg/kg). Fentanyl was
administered immediately after sevoflurane discontinuation. Coughing was assessed
throughout the periextubation period. The relationship between fentanyl dose and
incidence of cough was analyzed using Cochran-Armitage trend test. Incidence of
more than 30% elevation of mean arterial pressure (MAP) and heart rate (HR),
awakening time, extubation time, and respiratory rate after extubation were
recorded and compared using Mantel-Haenszel chi-square test and one-way analysis
of variance. RESULTS: Fentanyl suppressed emergence cough in a dose-related
manner (P = 0.002), and the ED(50) and ED(90) were 1.1 mcg/kg and 2.1 mcg/kg,
respectively. The higher dose of fentanyl further reduced the number of patient
with MAP and HR elevation (P = 0.003 and 0.005, respectively). Awakening time
(8.4 +/- 1.9 min) in F2 was comparable with that in F1 and F1.5. Respiratory rate
(9 +/- 2 bpm) and extubation time (11.9 +/- 1.8 min) in F2 were only different
from those in F0 (13 +/- 3 bpm and 10.4 +/- 1.1 min, respectively). CONCLUSIONS:
Fentanyl suppressed cough in a dose-related manner during recovery from general
sevoflurane anesthesia, and 2 mcg/kg may be considered as a proper dose.
PMID- 22092127
TI - Photoplethysmographic and pulse pressure variations during abdominal surgery.
AB - BACKGROUND: Respiratory variations in pulse pressure (DeltaPP) predict fluid
responsiveness during mechanical ventilation. Variations in pulse oximetry
plethysmography amplitude (DeltaPOP) are proposed as a non-invasive alternative.
Large variations in DeltaPOP and poor agreement between DeltaPP and DeltaPOP are
found in intensive care unit patients. General anaesthesia is suggested to reduce
variability of DeltaPOP and improve agreement between the variables. We evaluated
the variability of the agreement between and the diagnostic values of DeltaPP and
DeltaPOP during ongoing open abdominal surgery. The variability of diagnostic
methods in specific clinical conditions is important, as this reflects the
stability over time during which clinical decisions are made. METHODS:
Observational study during open abdominal surgery in general anaesthesia. DeltaPP
and DeltaPOP were calculated semi-automatically from recording periods of
approximately 5 min both before and after fluid challenges. Fluid responsiveness
was evaluated by changes in stroke volume (oesophageal Doppler) after 250 ml
colloid. RESULTS: Thirty-four fluid challenges were performed in 25 patients.
Variance both within registration periods and between patients were significantly
larger for DeltaPOP than for DeltaPP (54.1% vs. 22.1% and 69.6% vs. 22.6%,
respectively, both P < 0.001). Limits of agreement with a regression-based
correction were +/- 13.9%. Areas under receiver operating characteristics curves
for fluid responsiveness were 0.67 for DeltaPP and 0.72 for DeltaPOP.
CONCLUSIONS: Analysis of raw signals during open abdominal surgery documents that
the variance of DeltaPOP is larger than of DeltaPP, with wide limits of agreement
between DeltaPP and DeltaPOP. The diagnostic values of DeltaPP and DeltaPOP are
relatively poor.
PMID- 22092128
TI - Validation and usefulness of the Danish version of the Pain Medication
Questionnaire in opioid-treated chronic pain patients.
AB - BACKGROUND: Addiction is a feared complication of long-term opioid therapy for
chronic pain patients. A screening tool to assess the potential risk of addiction
may be helpful. METHODS: The Pain Medication Questionnaire (PMQ) was translated
into Danish by a 'forward' and 'backward' translation procedure. Patients with
chronic non-cancer pain and cancer pain treated at a tertiary pain center were
screened for addiction using Portenoy's criteria and invited to answer the Danish
version of the PMQ. RESULTS: Two hundred nine patients participated in the study.
PMQ was able to discriminate between addicted and non-addicted patients. Patients
with high PMQ scores indicating a risk of addiction drank more alcohol, smoked
more tobacco, used higher doses of morphine, had a higher anxiety and depression
score, and had poorer mental health. Using a cut-off score of 22, the PMQ had a
sensitivity of 82%, but the specificity at this cut-point was 56%, indicating a
risk of false positive cases. Convergent and discriminant validity were confirmed
by correlation with opioid doses, alcohol and tobacco use, anxiety and depression
scores, and inverse correlation with mental health and social role. Test-retest
showed a very strong correlation. Cronbach's alpha for internal consistency was
0.61. Ten components were found to have eigenvalues above 1.0, confirming the
multidimensional structure of the questionnaire. CONCLUSIONS: The PMQ may assist
physicians in addiction risk assessment and stratification when treating chronic
pain patients with opioids. PMQ is not a diagnostic tool and should only be used
as an indicator for possible addiction problems.
PMID- 22092129
TI - Noninvasive tissue oxygen saturation determined by near-infrared spectroscopy
following peripheral nerve block.
AB - BACKGROUND: Noninvasive physiologic measurement of cutaneous tissue oxygenation
using near-infrared spectroscopy (NIRS) has become increasingly common in
cardiovascular and plastic surgery. The aim of this study was to determine
whether clinically available NIRS-based monitors could detect changes in tissue
oxygen saturation (rSO(2)) following a variety of peripheral nerve blocks. We
hypothesize that peripheral nerve blocks will produce detectable changes in
cutaneous tissue oxygenation levels that can be measured by noninvasive NIRS
based oximetry. METHODS: Forty adult patients scheduled for pre-operative
peripheral nerve block placement were enrolled. Prior to block placement, NIRS
sensors were placed on the operative and nonoperative (control) limb. Baseline
tissue oxygen saturation values were obtained prior to dosing of the nerve block,
and measurements were recorded every 5 min thereafter. RESULTS: Initial rSO(2)
values were higher in the operative vs. control limbs prior to nerve block
placement. Tissue oxygen saturation increased in the blocked, but not control,
limbs with time. Subgroup analysis suggested statistically significant
differences in rSO(2) values in blocked vs. control limbs for cervical
paravertebral, infraclavicular, and femoral nerve blocks. CONCLUSIONS: Our
results demonstrated sustained increases in tissue rSO(2) values following
peripheral nerve block placement, in addition to higher initial rSO(2) values in
operative limbs prior to block placement. Further investigations are necessary to
define the expected baseline rSO(2) values in operative and control limbs. Future
efforts utilizing NIRS-based detection of tissue ischemia should consider the
small but significant changes in rSO(2) resulting from a successful nerve block.
PMID- 22092130
TI - Sonoanatomy of the vasculature at the supraclavicular and interscalene regions
relevant for brachial plexus block.
AB - BACKGROUND: Our aim in this observational study was to utilize ultrasound, as
well as anatomic dissection, to document the frequency with which branches of the
subclavian vessels are found in close association with the brachial plexus at the
locations of supraclavicular and interscalene brachial plexus block. METHODS:
Ultrasound was utilized to document the presence of branches of the subclavian
vein and artery, adjacent to the brachial plexus in the supraclavicular and in
the interscalene region in 50 patients undergoing shoulder surgery. The position,
depth, and dimensions of the vessels were described, and the origin determined
when possible. In addition, the posterior triangle of the neck on both sides of
three non-preserved cadavers was dissected to evaluate the vascular anatomy and
correlate the ultrasound findings. RESULTS: Ultrasound scanning revealed an
arterial branch adjacent to, or passing directly through, the brachial plexus in
the supraclavicular region in 43/50 (86%) patients. Within the interscalene
region, an artery was identified coursing in a lateral direction in 45/50 (90%)
of cases, while a corresponding small vein, coursing medial to lateral in this
area, was noted in 23/50 (46%) of cases. CONCLUSIONS: Small branch vessels from
the subclavian artery and vein were frequently evident, on ultrasound imaging, in
close association with the nerve elements of the brachial plexus in the
supraclavicular and interscalene regions. Appreciation of the presence of these
vessels and their likely origin and course will aid the anesthesiologist in
planning a safe nerve block.
PMID- 22092131
TI - Hospital and long-term outcomes of ICU-treated severe community- and hospital
acquired, and ventilator-associated pneumonia patients.
AB - BACKGROUND: Our purpose was to analyse the association of pneumonia types with
hospital and long-term outcomes of intensive care unit (ICU)-treated pneumonia
patients. METHODS: The occurrence of pneumonia was retrospectively evaluated
among prospectively registered patients admitted into a mixed university-level
ICU during a 14-month period. Their age, severity of underlying disease,
malignancy, immunosuppressive therapy and organ dysfunctions were recorded, as
well as the length of hospital stay and short- and long-term mortalities.
RESULTS: There were 117 severe community-acquired pneumonia (SCAP), 66 hospital
acquired pneumonia (HAP) and 25 ventilator-associated pneumonia (VAP) cases among
the 817 patients admitted. ICU and hospital mortality did not differ between
pneumonia groups. VAP and HAP patients had more malignant underlying diseases
than SCAP patients (P < 0.001). HAP patients were older than SCAP and VAP
patients (P = 0.023). The admission Acute Physiology and Chronic Health
Evaluation II scores did not differ between the groups (P > 0.90). The patients
with VAP had higher Sequential Organ Failure Assessment maximum scores compared
with patients with SCAP and HAP (P < 0.001). In an adjusted multivariate logistic
regression model, there were no significant differences in odds ratios for
hospital mortality between the three pneumonia types. Mortality among the
hospital survivors during the 12-month follow-up period was 18% (16/89) in the
SCAP group, 35% (18/51) in the HAP group and 41% (7/17) in the VAP group (P =
0.023). CONCLUSION: The type of pneumonia (i.e. SCAP, HAP or VAP) had no
significant association with hospital mortality, whereas the SCAP patients had
the lowest 1-year mortality.
PMID- 22092132
TI - Neurally adjusted ventilatory assist vs. pressure support ventilation in
critically ill patients: an observational study.
AB - BACKGROUND: During neurally adjusted ventilatory assist (NAVA), the inspiratory
support is controlled by the patients' respiratory drive influenced by an
operator-controlled gain factor (NAVA level). The purpose of our observational
study was to transfer patients from conventional pressure support ventilation
(PSV) to NAVA safely. We compared two approaches to set the NAVA level and
evaluated the effect of NAVA. METHODS: We studied mechanically ventilated
patients capable of spontaneous breathing. For the change of the ventilator mode,
we used a NAVA level calculated to generate a peak inspiratory pressure equal to
PSV. We compared this NAVA level with a NAVA level determined by a NAVA level
titration. Ventilatory and haemodynamic data were recorded during an
observational period of 6 h. RESULTS: All 20 patients included in the study could
be transferred from PSV to NAVA and completed the observation interval. Setting
the NAVA level according to prior PSV settings proved to be a feasible approach,
but in 75% of our patients, we modified the NAVA level according to the titration
results. Gas exchange and ventilatory mechanics during the observation interval
remained stable. CONCLUSIONS: The ventilator mode NAVA seems to be well tolerated
in a heterogeneous group of critically ill patients. Pre-setting of the NAVA
level during PSV can result in an overestimation of the required ventilator
support. An additional titration of the NAVA level ads valuable information
although difficult to interpret in some cases.
PMID- 22092133
TI - Dexmedetomidine attenuates remote lung injury induced by renal ischemia
reperfusion in mice.
AB - BACKGROUND: Renal ischemia-reperfusion (I/R) may cause acute lung injury (ALI).
The mortality of combined acute kidney injury and ALI is extremely high.
Dexmedetomidine, an alpha(2) adrenergic agonist, exerts potent anti-inflammatory
and organoprotective effects in addition to its sedative and analgesic
properties. We sought to elucidate whether dexmedetomidine can attenuate lung
injury following renal I/R in a murine model of renal I/R. METHODS: Adult
C57BL/6J male mice were randomized to five groups: sham-operated control (Sham);
renal I/R (I/R); intraperitoneal injection of dexmedetomidine 25 MUg/kg before
ischemia (pre-dex) and after perfusion (post-dex); combination of alpha(2)
adrenergic antagonist atipamezole 250 MUg/kg prior to dexmedetomidine pre
treatment (atip-dex). Kidney I/R was induced by bilateral renal pedicle clamping
for 45 min and followed by 6 h reperfusion. The pulmonary tissues were harvested
for histopathological evaluation, wet/dry ratio measurement, biochemical analysis
of myeloperoxidase (MPO), Polymerase chain reaction (PCR) determination of Inter
cellular adhesion molecule (ICAM-1) and Tumor necrosis factor - alpha (TNF-alpha)
mRNA. RESULTS: Renal IR induced significant pulmonary injuries, increased wet/dry
ratio together with the enhanced of MPO activities and increased ICAM-1 and TNF
alpha mRNA level. Both pre- and post-treatment with dexmedetomidine markedly
reduced lung edema and inflammatory response and lowered MPO activity and ICAM-1
and TNF-alpha mRNA expression. The protective effects of dexmedetomidine in the
lung were partially reversed by atipamezole, but there were no effect on ICAM-1
and TNF-alpha mRNA expression level. CONCLUSIONS: Dexmedetomidine is capable of
attenuating remote lung injury induced by renal IR via both alpha(2)
adrenoceptors dependent and independent mechanisms.
PMID- 22092134
TI - Anaphylaxis to ethylene oxide - a rare and overlooked phenomenon?
AB - Spina bifida patients have been reported to be at increased risk of anaphylactic
reactions during general anaesthesia. Following a reaction, latex is often
incriminated as spina bifida patients are known to have an increased incidence of
latex allergy. Ethylene oxide (EO) has recently been suggested to be an
alternative cause, but in many cases reported in the literature, it seems that EO
has not been considered as a cause. EO is a highly reactive gas widely used to
sterilise heat-sensitive medical devices, and traces of EO can be found in many
of the same products as latex. We present the case of a spina bifida patient with
a known latex allergy, where EO was found to be the cause of an anaphylactic
reaction during general anaesthesia. In addition, we describe measures taken
during preparation of a subsequent general anaesthesia to minimise exposure to
EO. Spina bifida patients seem to be at increased risk of sensitisation against
EO due to repeated exposure, but only limited literature is available. To ensure
that EO is considered as a cause in these cases, we recommend that testing for
latex and EO go hand in hand following an anaphylactic reaction in this high-risk
population.
PMID- 22092136
TI - A new and simple method for the correct localization of the intra-aortic balloon:
the celiac artery Doppler ultrasound.
PMID- 22092135
TI - Comparing axillary with infraclavicular perineural catheters for post-operative
analgesia.
PMID- 22092137
TI - Design and use of nerve stimulator needle-a novel technique.
PMID- 22092139
TI - Ecology and metagenomics of soil microorganisms.
PMID- 22092140
TI - Synthesis and characterization of a metal-to-polyoxometalate charge transfer
molecular chromophore.
AB - [P(4)W(35)O(124){Re(CO)(3)}(2)](16-) (1), a Wells-Dawson [alpha(2)
P(2)W(17)O(61)](10-) polyoxometalate (POM)-supported [Re(CO)(3)](+) complex
containing covalent W(VI)-O-Re(I) bonds has been synthesized and characterized by
several methods, including X-ray crystallography. This complex shows a high
visible absorptivity (epsilon(470 nm) = 4000 M(-1) cm(-1) in water) due to the
formation of a Re(I)-to-POM charge transfer (MPCT) band. The complex was
investigated by computational modeling and transient absorption measurements in
the visible and mid-IR regions. Optical excitation of the MPCT transition results
in instantaneous (<50 fs) electron transfer from the Re(I) center to the POM
ligand.
PMID- 22092141
TI - Synthesis of branched dithiotrisaccharides via ring-opening reaction of sugar
thiiranes.
AB - Satisfactory procedures are described for the synthesis of 5,6- and 3,4-thiirane
derivatives from the respective hexofuranose or hexopyranose epoxide precursors.
The controlled ring-opening reaction of thiiranes by 1-thioaldoses was
successfully accomplished to afford, regio- and stereoselectively, beta-S-(1->4)
3,4-dithiodisaccharides. For instance, the regioselective attack of per-O-acetyl
1-thioglucose (16) to C-4 of 2-propyl 2,6-di-O-acetyl-3,4-epithio-alpha-D
galactopyranoside (14) gave the derivative of Glcp-beta-S-(1->4)-3,4-dithioGlcp-O
iPr (17). This thiodisaccharide was accompanied by the (1->3)-disulfide 18,
formed between 16 and 17, and the symmetric (3->3)-disulfide 19, which resulted
from the oxidative dimerization of 17. However, the S-acetyl derivative of 17
could be obtained in good yield (62%) by LiAlH(4) reduction of the crude mixture
17-19, followed by acetylation. The same sequence of reactions starting from 14
and the 1-thiolate of Galp afforded the per-O,S-acetyl derivative of Galp-beta-S
(1->4)-3,4-dithio-alpha-D-Glcp-O-iPr (23), which was selectively S-deacetylated
to give 25. The dithiosaccharides 17 and 25 are 3,4-di-S-analogues of derivatives
of the natural disaccharides cellobiose and lactose, respectively. The ring
opening reaction of 5,6-epithiohexofuranoses of D-galacto (8) or L-altro (11)
configuration with 1-thioaldoses was also regio- and stereoselective to give the
respective beta-S-(1->6)-linked 5,6-dithiodisaccharides 26 or 29 in excellent
yields. Glycosylation of the free thiol group of 17, 25, or 26, using
trichloroacetimidates as glycosyl donors, led to the corresponding branched
dithiotrisaccharides. Some of them are sulfur analogues of derivatives of
branched trisaccharides found in natural polysaccharides.
PMID- 22092142
TI - Belongingness as a core personality trait: how social exclusion influences social
functioning and personality expression.
AB - People have a fundamental need for positive and lasting relationships. This need
to belong is rooted in evolutionary history and gave rise to the development of
traits that enable individuals to gain acceptance and to avoid rejection. Because
belongingness is a core component of human functioning, social exclusion should
influence many cognitive, emotional, and behavioral outcomes and personality
expression. This article summarizes recent evidence that social exclusion causes
an assortment of outcomes, many of which depend on whether the excluded can gain
acceptance or forestall possible distress. It highlights common overlap in
physical and social pain systems and how a physical painkiller can reduce the
pain of social exclusion. Finally, it shows how social exclusion moderates the
effects of traits on cognition, emotion, and behavior. To appreciate personality
processes in social contexts, scientists should consider how people respond to
social exclusion and how the need to belong influences personality expression.
PMID- 22092144
TI - How does temporal preparation speed up response implementation in choice tasks?
Evidence for an early cortical activation.
AB - We investigated the influence of temporal preparation on information processing.
Single-pulse transcranial magnetic stimulation (TMS) of the primary motor cortex
was delivered during a between-hand choice task. The time interval between the
warning and the imperative stimulus varied across blocks of trials was either
optimal (500 ms) or nonoptimal (2500 ms) for participants' performance. Silent
period duration was shorter prior to the first evidence of response selection for
the optimal condition. Amplitude of the motor evoked potential specific to the
responding hand increased earlier for the optimal condition. These results
revealed an early release of cortical inhibition and a faster integration of the
response selection-related inputs to the corticospinal pathway when temporal
preparation is better. Temporal preparation may induce cortical activation prior
to response selection that speeds up the implementation of the selected response.
PMID- 22092143
TI - When curiosity breeds intimacy: taking advantage of intimacy opportunities and
transforming boring conversations.
AB - Curious people seek knowledge and new experiences. In 3 studies, we examined
whether, when, and how curiosity contributes to positive social outcomes between
unacquainted strangers. Study 1 (98 college students) showed that curious people
expect to generate closeness during intimate conversations but not during small
talk; less curious people anticipated poor outcomes in both situations. We
hypothesized that curious people underestimate their ability to bond with
unacquainted strangers during mundane conversations. Studies 2 (90 college
students) and 3 (106 college students) showed that curious people felt close to
partners during intimate and small-talk conversations; less curious people only
felt close when the situation offered relationship-building exercises. Surprise
at the pleasure felt during this novel, uncertain situation partially mediated
the benefits linked to curiosity. We found evidence of slight asymmetry between
self and partner reactions. Results could not be attributed to physical
attraction or positive affect. Collectively, results suggest that positive social
interactions benefit from an open and curious mind-set.
PMID- 22092145
TI - Long-term outcome after acute renal replacement therapy: a narrative review.
AB - BACKGROUND: Acute kidney injury (AKI) necessitating renal replacement therapy
(RRT) is associated with high short-term mortality, relatively little however is
known of the long-term outcome in these patients. This narrative review describes
renal recovery, long-term mortality, and quality of life in RRT patients with
acute kidney injury. METHODS: A literature search using the PubMed search engine
from the year 2000 to present with the MeSH terms 1) acute kidney injury, renal
replacement therapy, prognosis, and 2) acute kidney injury, quality of life,
prognosis, was performed, including studies addressing long-term outcome (over 60
days) in adults with AKI on RRT. RESULTS: According to inclusion criteria, twenty
two studies were eligible. Outcome varied depending on AKI aetiology, setting, co
morbidity and pre-morbid renal function. Five-year-survival was between 15% and
35%, with dialysis dependence in less than 10% of survivors. Renal recovery, even
if incomplete occurred during the first year. Quality of life assessment amongst
survivors indicated moderate physical impairment and reduced mental health
scores. A majority of patients returned to employment and self-sustainability and
reported acceptable to good quality of life. Over 90% of patients indicated that
they would undergo the same treatment again. DISCUSSION AND CONCLUSIONS: Early
initiation of treatment and fine-tuning of the RRT technique may improve outcome.
Consensus regarding AKI definitions, renal function measurement and standardised
follow-up regimens are required. Further long-term studies are needed.
PMID- 22092146
TI - Group 15 pnictenium cations supported by a conjugated bithiophene backbone.
AB - Thiophene based polymers and oligomers have attracted considerable attention
because they can be functionalized to alter the gap between the highest occupied
molecular orbital (HOMO) and the lowest unoccupied molecular orbital (LUMO),
which enables the design of tunable light emitting materials. One area, which has
been less explored, is the incorporation of low coordinate, low oxidation state
main group elements into these systems. We have currently developed a novel pi
conjugated ligand containing two contiguous thiophene rings in which we have
demonstrated its ability to support both pnictogen cations and their metal
complexes.
PMID- 22092147
TI - Unilateral and bilateral laparoscopic ovariectomy in 157 mares: a retrospective
multicenter study.
AB - OBJECTIVE: To evaluate surgical techniques, perioperative complications and
outcome of laparoscopic surgery for unilateral and bilateral ovariectomy in
mares. STUDY DESIGN: Retrospective multicenter case series. ANIMALS: Mares (n =
157). METHODS: Indications for surgery were neutering, fertility problems,
elimination of hormone-related abnormal behavior, ovarian tumors as well as
nonregressive ovarian hematomas or cysts. Ovariectomy (n = 206) were performed by
a standard laparoscopic procedure in 157 standing sedated mares. Dissection and
hemostasis were achieved by using either (1) a linear stapling device, (2)
bipolar electrosurgical instruments, with or without a modified Roeder knot, (3)
a vessel-sealing system, or (4) a diode-laser with ligation. For some larger
ovarian masses (>20 cm diameter), a 2-step surgical procedure was used with
standing flank laparoscopic ovariectomy followed by ovarian retrieval through a
median celiotomy. Surgical techniques, outcome, and perioperative complications
of each method were recorded and analyzed. RESULTS: Laparoscopic dissection of
the ovary was accomplished in all mares. Because of the size of the ovarian tumor
(n = 7) or in 1 mare with behavioral problems (n = 1), the dissected ovary was
removed through a median celiotomy under general anesthesia. Seventeen mares
(10.8%) developed postoperative complications (eg, incisional drainage,
incisional infection, seroma formation, dehiscence, transient fever and mild
abdominal discomfort). All mares with flank incisional problems had ovarian size
>12 cm and in 15 (88%) of these mares electrosurgical instruments were used for
mesovarial dissection. CONCLUSIONS: Laparoscopic ovariectomy in standing sedated
mares provides good surgical access and is associated with low morbidity.
PMID- 22092148
TI - Application of sulphur isotopes for stratigraphic correlation.
AB - The sulphur isotopic composition of dissolved sulphate in seawater has varied
considerably through time. Certain time intervals are characterised by distinct
variations and a relatively high rate of change. These relatively rapid
fluctuations allow for correlation of sediment sections using sulphur isotopes.
Sulphur isotope reconstructions based on the analysis of carbonate associated
sulphate or marine barite result in sulphur isotope records with an age
resolution of 1-5 million years (Ma), and for some age intervals the resolution
is<0.25 Ma. At these specific time intervals, where higher resolution records
exist and excursions in the record are identified, the trends could be used for
stratigraphic correlations. Such records are particularly useful in sections from
deep marine sites that lack biostratigraphic controls or where biozones do not
provide sufficient resolution.
PMID- 22092149
TI - Electrochemistry at nanoscale electrodes: individual single-walled carbon
nanotubes (SWNTs) and SWNT-templated metal nanowires.
AB - Individual nanowires (NWs) and native single-walled carbon nanotubes (SWNTs) can
be readily used as well-defined nanoscale electrodes (NSEs) for voltammetric
analysis. Here, the simple photolithography-free fabrication of submillimeter
long Au, Pt, and Pd NWs, with sub-100 nm heights, by templated electrodeposition
onto ultralong flow-aligned SWNTs is demonstrated. Both individual Au NWs and
SWNTs are employed as NSEs for electron-transfer (ET) kinetic quantification,
using cyclic voltammetry (CV), in conjunction with a microcapillary-based
electrochemical method. A small capillary with internal diameter in the range 30
70 MUm, filled with solution containing a redox-active mediator (FcTMA(+)
((trimethylammonium)methylferrocene), Fe(CN)(6)(4-), or hydrazine) is positioned
above the NSE, so that the solution meniscus completes an electrochemical cell. A
3D finite-element model, faithfully reproducing the experimental geometry, is
used to both analyze the experimental CVs and derive the rate of heterogeneous
ET, using Butler-Volmer kinetics. For a 70 nm height Au NW, intrinsic rate
constants, k(0), up to ca. 1 cm s(-1) can be resolved. Using the same
experimental configuration the electrochemistry of individual SWNTs can also be
accessed. For FcTMA(+/2+) electrolysis the simulated ET kinetic parameters yield
very fast ET kinetics (k(0) > 2 +/- 1 cm s(-1)). Some deviation between the
experimental voltammetry and the idealized model is noted, suggesting that double
layer effects may influence ET at the nanoscale.
PMID- 22092150
TI - Patient participation in medical and social decisions in Alzheimer's disease.
AB - OBJECTIVES: To analyze the preferences of people with amnestic mild cognitive
impairment (aMCI) and mild dementia in Alzheimer's disease (AD) regarding
different aspects of healthcare-related decisions, to correlate these findings
with different measures of decision-making capacity, and to explore the views of
relatives and referring physicians. DESIGN: Cross-sectional survey. SETTING:
University-based memory clinic in Munich, Germany. PARTICIPANTS: One hundred
people with aMCI or mild AD, their relatives (N = 99), and their referring
physicians (N = 93). MEASUREMENTS: Participation preferences and decisional
capacity and assessment of these measures according to relatives and physicians.
RESULTS: Patients had a preference for participation in healthcare-related
decisions, especially in social ones. Overall, individuals wanted their relatives
to play a secondary role in decision-making. Relatives and referring physicians
performed poorly in predicting the individuals' participation preferences, and
relatives wanted to attribute less decision-making power to patients than the
patients did themselves. Patients refrained from participation if they had lower
Mini-Mental State Examination scores or were unsure about their decisional
capacity. There were deficits in decision-making capacity, which mostly related
to understanding of the information presented. There was only weak correlation
between the different measures (patient's, relative's, and physician's estimate,
MacArthur Competence Assessment Tool for Treatment) of the patients' decisional
capacity. CONCLUSION: The combination of marked participation preferences and
impairments in the decisional capacity of individuals with aMCI and early AD
constitute an ethical and practical challenge. A thorough implementation of
structured probes of the patients' decisional capacity combined with
interventions that aid patients in their decision-making capability might help to
overcome some of these challenges.
PMID- 22092151
TI - The effect of testosterone supplementation on depression symptoms in hypogonadal
men from the Testim Registry in the US (TRiUS).
AB - OBJECTIVE: To determine the effect of long-term testosterone replacement therapy
(TRT) on depression symptoms in hypogonadal men. METHODS: Data were from TRiUS, a
multicenter, 12-month observational registry (N = 849) of hypogonadal men
prescribed 1% testosterone gel. Measures including total testosterone (TT) were
assessed at baseline and months 3, 6, and 12. Depression symptoms were measured
with Patient Health Questionnaire-9 (PHQ-9), a validated self-report
questionnaire. A PHQ-9 score decrease of >=5 represents clinical improvement.
RESULTS: PHQ-9 scores were available for 762/849 TRiUS participants at baseline.
Overall, 92.4% (704/762) demonstrated some level of depressive symptoms, with
17.3% (132/762) having moderately severe (score 15-19) to severe (score 20-27)
symptoms. Subcohorts with significantly (p <= 0.03) more moderately severe to
severe symptoms were: <60 years old, TT levels <250 ng/dl (<8.68 nmol/l),
HIV/AIDS-positive, or used antidepressants or opioids. TT levels and PHQ-9 scores
improved significantly (p < 0.01) by 3 months of TRT. At 12 months PHQ-9 scores
showed a clinically meaningful mean improvement of 5.62 points, patients with
moderately severe to severe symptoms decreased from 17.3% to 2.1% (5/233), and
subcohorts, including those defined by age (<60 years) and antidepressant use,
had improved PHQ-9 scores >=5. CONCLUSION: TRT may reduce depression symptoms in
hypogonadal men, including middle-aged men and those using antidepressants.
PMID- 22092153
TI - The impact of 90-day prescriptions on adherence at workplace pharmacies compared
to traditional mail order.
AB - This study evaluated adherence to medications used to treat chronic conditions
for patients with 90-day prescriptions, comparing patients with access to
workplace pharmacy services versus patients using mail order services. De
identified pharmacy claims data were used to compute medication possession ratio
and gaps in therapy. Results were compared for patients who filled 90-day
prescriptions at workplace pharmacies versus patients who filled 90-day
prescriptions using mail order pharmacy services in a 1-year period. Statistical
tests to assess between group differences were performed controlling for
differences because of age, sex, number of select chronic conditions, number of
unique medication therapeutic classes, and patient out-of-pocket cost per therapy
day. Statistically significant differences were found between patients who filled
their maintenance medications at the worksite compared to those who used mail
order pharmacy services. Patients filling prescriptions at a workplace pharmacy
were 22% less likely to have a gap in therapy of over 30 days compared to similar
patients using mail order services. Workplace pharmacy utilizers also had overall
adherence rates 3.68% higher than patients who utilized mail order pharmacy
services. Our analysis suggests that it may not be just the quantity of
medication dispensed that impacts patients' adherence to their prescription
medication, but a variety of other factors including pharmacist-patient
interaction. Having a pharmacist on-site and available to patients with chronic
considerations could provide added value. These results can aid employers and
other stakeholders to decide which prescription benefits to offer their employees
and members.
PMID- 22092152
TI - Behavioral versus drug treatment for overactive bladder in men: the Male
Overactive Bladder Treatment in Veterans (MOTIVE) Trial.
AB - OBJECTIVES: To compare the effectiveness of behavioral treatment with that of
antimuscarinic therapy in men without bladder outlet obstruction who continue to
have overactive bladder (OAB) symptoms with alpha-blocker therapy. DESIGN: The
Male Overactive Bladder Treatment in Veterans (MOTIVE) Trial was a two-site
randomized, controlled, equivalence trial with 4-week alpha-blocker run-in.
SETTING: Veterans Affairs Medical Center outpatient clinics. PARTICIPANTS:
Volunteer sample of 143 men aged 42 to 88 who continued to have urgency and more
than eight voids per day, with or without incontinence, after run-in.
INTERVENTIONS: Participants were randomized to 8 weeks of behavioral treatment
(pelvic floor muscle exercises, urge suppression techniques, delayed voiding) or
drug therapy (individually titrated, extended-release oxybutynin, 5-30 mg/d).
MEASUREMENTS: Seven-day bladder diaries and a validated urgency scale were used
to calculate changes in 24-hour voiding frequency, nocturia, urgency, and
incontinence. Secondary outcomes were global patient ratings and American
Urological Association Symptom Index. RESULTS: Mean voids per day decreased from
11.3 to 9.1 (-18.8%) with behavioral treatment and 11.5 to 9.5 (-16.9%) with drug
therapy. Equivalence analysis indicated that posttreatment means were equivalent
(P < .01). After treatment, 85% of participants rated themselves as much better
or better; more than 90% were completely or somewhat satisfied, with no between
group differences. The behavioral group showed greater reductions in nocturia
(mean = -0.70 vs -0.32 episodes/night; P = .05). The drug group showed greater
reductions in maximum urgency scores (mean = -0.44 vs -0.12; P = .02). Other
between-group differences were nonsignificant. CONCLUSION: Behavioral and
antimuscarinic therapy are effective when added to alpha-blocker therapy for OAB
in men without outlet obstruction. Behavioral treatment is at least as effective
as antimuscarinic therapy.
PMID- 22092154
TI - Acute encephalopathy in children with Dravet syndrome.
AB - PURPOSE: The occurrence of acute encephalopathy in children with Dravet syndrome
has been reported sporadically. This study clarified the features of acute
encephalopathy in children with Dravet syndrome. METHODS: Through the mailing
list of the Annual Zao Conference on Pediatric Neurology, we collected 15
patients with clinically diagnosed Dravet syndrome, who had acute encephalopathy,
defined as a condition with decreased consciousness with or without other
neurologic symptoms, such as seizures, lasting for >24 h in association with
infectious symptoms. KEY FINDINGS: There were seven boys and eight girls. A
mutation of the SCN1A gene was present in nine (truncation in six and missense in
three). The frequency of seizures during the 3 months before the onset of acute
encephalopathy was monthly in seven children and none in three. The median age at
the onset of acute encephalopathy was 44 months (range 8-184 months). All
children had status epilepticus followed by coma as the initial manifestation.
Two different distributions of brain lesions were observed on diffusion-weighted
images during the acute phase: cerebral cortex-dominant lesions with or without
deep gray matter involvement and subcortical-dominant lesions. Four children
died; nine survived with severe sequelae, and two had moderate sequelae.
SIGNIFICANCE: We must be aware that acute encephalopathy is an important
complication in children with Dravet syndrome, and associated with fulminant
clinical manifestations and a poor outcome.
PMID- 22092155
TI - Surgery wait times and specialty services for insured and uninsured breast cancer
patients: does hospital safety net status matter?
AB - OBJECTIVE: To determine whether safety net and non-safety net hospitals influence
inpatient breast cancer care in insured and uninsured women and in white and
African American women. DATA SOURCES: Six years of Virginia Cancer Registry and
Virginia Health Information discharge data were linked and supplemented with
American Hospital Association data. STUDY DESIGN: Hierarchical generalized linear
models and linear probability regression models were used to estimate the
relationship between hospital safety net status, the explanatory variables, and
the days from diagnosis to mastectomy and the likelihood of breast
reconstruction. PRINCIPAL FINDINGS: The time between diagnosis and surgery was
longer in safety net hospitals for all patients, regardless of insurance source.
Medicaid insured and uninsured women were approximately 20 percent less likely to
receive reconstruction than privately insured women. African American women were
less likely to receive reconstruction than white women. CONCLUSIONS: Following
the implementation of health reform, disparities may potentially worsen if safety
net hospitals' burden of care increases without commensurate increases in
reimbursement and staffing levels. This study also suggests that Medicaid
expansions may not improve outcomes in inpatient breast cancer care within the
safety net system.
PMID- 22092156
TI - Influence of partial lateral corpectomy with and without hemilaminectomy on
canine thoracolumbar stability: a biomechanical study.
AB - OBJECTIVE: To analyze the biomechanical changes induced by partial lateral
corpectomy (PLC) and a combination of PLC and hemilaminectomy in a T13-L3 spinal
segment in nonchondrodystrophic dogs. STUDY DESIGN: In vitro biomechanical
cadaveric study. SAMPLE POPULATION: T13-L3 spinal segments (n = 10) of
nonchondrodystrophic dogs (weighing, 25-38 kg). METHODS: A computed tomography
(CT) scan of each T13-L3 spinal segment was performed. A loading simulator for
flexibility analysis was used to determine the range of motion (ROM) and neutral
zone (NZ) during flexion/extension, lateral bending, and axial rotation. A
servohydraulic testing machine was used to determine the changes in stiffness
during compression, dorsoventral, and lateral shear. All spines were tested
intact, after PLC in the left intervertebral space of L1-L2, and after a
combination of PLC and hemilaminectomy. RESULTS: Statistically significant
increases in ROM and NZ (P < .05) were detected during flexion/extension and
lateral bending when PLC was performed. A significant increase in ROM (P < .001)
was noted during axial rotation and flexion after PLC and hemilaminectomy.
Stiffness decreased significantly during compression and dorsoventral shear after
each procedure. Decreased stiffness during lateral shear was only significant
after a combination of both procedures. CONCLUSION: PLC might lead to some spinal
instability; these changes are enhanced when a hemilaminectomy is added.
PMID- 22092157
TI - The electrocoagulation/advanced oxidation treatment of the groundwater used for
human consumption.
AB - The purpose of this work was development and application of the purification
system suitable for the treatment of groundwater used for human consumption,
satisfying following criteria: (a) no need for external addition of the
chemicals; (b) simultaneous removal of wide range of contaminants present in the
treated water; (c) low sensitivity to the changes in the composition of the
treated water; (d) high quality of treated water with regards to all measured
parameters. Therefore the well water from the 60 m deep water layer situated near
the city of Osijek (Eastern Croatia) with elevated values of heavy metals, color,
turbidity, suspended solids, ammonia and organic contaminants was processed. Due
to the complex composition of the treated water, the purification system required
the combination of electroreduction/electrocoagulation, using iron and aluminum
electrode plates followed by the simultaneous ozonation/UV treatment. The
electroreduction/electrocoagulation approach was used for the removal of heavy
metals, suspended solids, color and turbidity, while the organic contaminants and
ammonia were removed by the ozonation/UV treatment. All measured parameters in
the purified water were significantly lower compared to the regulated values.
Under the optimum treatment conditions, the removal efficiencies for color,
turbidity, nickel and arsenic were 100%. The removal efficiencies of V, Cr, Mn,
Fe, Cu, Zn, Pb, ammonia, fluorides, sulfates and COD were 94.5%, 96.0%, 98.3%,
99.6%, 99.7%, 97.8%, 96.7%, 96,7%, 93.4%, 51.4%, 72.2% and 93.8%, respectively,
increasing with the increased initial concentrations.
PMID- 22092158
TI - Demographic differences in self-report pubertal status among rural adolescents in
the US.
AB - BACKGROUND: While sex and racial/ethnic differences in pubertal development have
been noted, most of this research has been in urban areas. AIM: The purpose of
this study is to examine demographic differences in pubertal status among a
school-based sample of US rural adolescents aged 11-17 (n = 6425). METHODS:
Pubertal status was measured using the Pubertal Development Scale (PDS), a self
report scale of secondary sexual characteristics. This study compared pubertal
status means by age, sex and race/ethnicity. RESULTS: At all ages, females had a
higher mean pubertal status than males. Most racial/ethnic differences were
between White and Black youth. Between the ages of 11 and 13, Black youth
reported more advanced development than White youth. However, contrary to
research with urban samples, this pattern of development reversed in later
adolescence and the reversal was more prominent among males than females.
Although there were no differences in pubertal status between White and Latino
males, White females had higher mean levels of development than Latino females.
CONCLUSION: Demographic patterns were both consistent with and different from
previous research with urban adolescents, suggesting the need for comparison of
demographic patterns of pubertal development in samples that include youth from
urban and rural areas.
PMID- 22092160
TI - Evaluation of the hemostatic potential including thrombin generation of three
different therapeutic pathogen-reduced plasmas.
AB - BACKGROUND AND OBJECTIVES: Several pathogen inactivation methods currently
applied to therapeutic plasma may result in products with different hemostatic
properties. This study aims at evaluating and comparing the hemostatic potential
of different therapeutic plasma preparations currently available in France.
MATERIALS AND METHODS: We studied three types of pathogen-reduced plasma for
transfusion (MB/light, Amotosalen/UVA, industrial S/D plasma). Quarantine, non
pathogen-reduced plasma, was used as a control. This study compared more
specifically the content in FVIII, fibrinogen (clottable and antigen assays) and
ADAMTS-13 and evaluated the intrinsic hemostatic properties using a thrombin
generation test [Calibrated Automated Thrombogram (CAT)] at high and low
concentrations of tissue factor to assess the maximum quantity of thrombin
generated or the contribution of FVIII and FIX in the amplification phase of
thrombin generation, respectively. RESULTS: The median FVIII concentration was
>70 IU/dl for each preparation. Endogenous thrombin potential values were
significantly different among the methods of plasma preparation (P<0.001) but
were all in the range of the values measured in donors' plasma. Control by the
thrombomodulin-activated protein C system was preserved in all preparations (>50%
inhibition of endogenous thrombin potential). Fibrinogen concentrations were all
within normal range but fibrinogen levels were lower in the plasmas treated with
photochemical methods. ADAMTS-13 levels were preserved. CONCLUSION: The
hemostatic potential appears well preserved in all therapeutic plasmas tested but
there are some differences between preparations, the clinical relevance of which
remains to be elucidated.
PMID- 22092159
TI - A multi-allergen standard for the calibration of immunoassays: CREATE principles
applied to eight purified allergens.
AB - BACKGROUND: Allergen measurements are widely used for environmental exposure
assessments and for determining the potency of allergen vaccines, yet few
purified allergen standards have been developed. The aim of the study was to
develop a single standard containing multiple purified allergens that could be
used in enzyme immunoassays and in multiplex arrays for the standardization of
allergen measurements. METHODS: Eight purified allergens were formulated into a
single multi-allergen, or 'universal', standard based on amino acid analysis.
Dose-response curves were compared with previous individual ELISA standards and
allergen measurements of house dust extracts to obtain correction factors.
Measured allergen concentrations were also modeled using linear regression, and
the predictive accuracy was determined. RESULTS: Parallel dose-response curves
were obtained between the universal allergen standard and the individual ELISA
standards, with close agreement between curves for 5/8 allergens. Quantitative
differences of greater than twofold were observed for Fel d 1, Can f 1, and Der f
1, which were confirmed by the analysis of house dust extracts. Correction
factors were developed that allowed ELISA data to be expressed in terms of the
universal standard. Linear regression data confirmed the predictive accuracy of
the universal standard. CONCLUSION: This study shows that a single standard of
eight purified allergens can be used to compare allergen measurements by
immunoassay. This approach will improve the continuity of environmental exposure
assessments and provide improved standardization of allergy diagnostics and
vaccines used for immunotherapy.
PMID- 22092161
TI - The big chill: interpersonal coldness and emotion-labeling skills.
AB - Interpersonally cold (relative to warm) individuals may be less skilled in
inferring the emotional states of others, a factor that should contribute to
their poorer social relationships. Systematic support for this hypothesis was
obtained in 4 studies (total N = 434 undergraduates) involving diverse emotion-
and affect-decoding tasks. Specifically, relatively cold individuals exhibited
lower accuracy in decoding emotional facial expressions (Study 1), in labeling
the emotions of others from audio and video clips (Study 2), in predicting the
emotions of others from social scenario descriptions (Study 3), and in the
normative accuracy of their word evaluations (Study 4). Altogether, the results
demonstrate that cold individuals appear broadly deficient in linking emotion and
affect to relevant environmental stimuli. Implications of the findings for
understanding the nature and correlates of interpersonal coldness are discussed.
PMID- 22092162
TI - The hubris hypothesis: you can self-enhance, but you'd better not show it.
AB - We tested whether and why observers dislike individuals who convey self
superiority through blatant social comparison (the hubris hypothesis).
Participants read self-superiority claims ("I am better than others"; Experiments
1-7), noncomparative positive claims ("I am good"; Experiments 1-2, 4), self
equality claims ("I am as good as others"; Experiments 3-4, 6), temporally
comparative self-superiority claims ("I am better than I used to be"; Experiment
5), other-superiority claims ("S/he is better than others"; Experiment 6), and
self-superiority claims accompanied by persistent disclaimers (Experiment 7).
They judged the claim and the claimant (Experiments 1-7) and made inferences
about the claimant's self-view and view of others (Experiments 4-7) as well as
the claimant's probable view of them (Experiment 7). Self-superiority claims
elicited unfavorable evaluations relative to all other claims. Evaluation
unfavorability was accounted for by the perception that the claimant implied a
negative view of others (Experiments 4-6) and particularly of the observer
(Experiment 7). Supporting the hubris hypothesis, participants disliked
individuals who communicated self-superiority beliefs in an explicitly
comparative manner. Self-superiority beliefs may provoke undesirable
interpersonal consequences when they are explicitly communicated to others but
not when they are disguised as noncomparative positive self-claims or self
improvement claims.
PMID- 22092163
TI - Good results from advanced trauma care in rural areas.
PMID- 22092164
TI - Transfusion: is merely not bad good enough?
PMID- 22092165
TI - Corticosteroid therapy in intensive care unit patients with PCR-confirmed
influenza A(H1N1) infection in Finland.
AB - OBJECTIVE: To evaluate the incidence, treatment, and outcome of influenza A(H1N1)
in Finnish intensive care units (ICUs) with special reference to corticosteroid
treatment. METHODS: During the H1N1 outbreak in Finland between 11 October and 31
December 2009, we prospectively evaluated all consecutive ICU patients with high
suspicion of or confirmed pandemic influenza A(H1N1) infection. We assessed
severity of acute disease and daily organ dysfunction. Ventilatory support and
other concomitant treatments were evaluated and recorded daily throughout the ICU
stay. The primary outcome was hospital mortality. RESULTS: During the 3-month
period altogether 132 ICU patients were tested polymerase chain reaction-positive
for influenza A(H1N1). Of these patients, 78% needed non-invasive or invasive
ventilatory support. The median (interquartile) length of ICU stay was 4 [2-12]
days. Hospital mortality was 10 of 132 [8%, 95% confidence interval (CI) 3-12%].
Corticosteroids were administered to 72 (55%) patients, but rescue therapies
except prone positioning were infrequently used. Simplified Acute Physiology
Score II and Sequential Organ Failure Assessment scores in patients with and
without corticosteroid treatment were 31 [24-36] and 6 [2-8] vs. 22 [5-30] and 3
[2-6], respectively. The crude hospital mortality was not different in patients
with corticosteroid treatment compared to those without: 8 of 72 (11%, 95% CI 4
19%) vs. 2 of 60 (3%, 95% CI 0-8%) (P = 0.11). CONCLUSIONS: The majority of H1N1
patients in ICUs received ventilatory support. Corticosteroids were administered
to more than half of the patients. Despite being more severely ill, patients
given corticosteroids had comparable hospital outcome with patients not given
corticosteroids.
PMID- 22092166
TI - Volume kinetics of acetated Ringer's solution during experimental spinal
anaesthesia.
AB - BACKGROUND: General anaesthesia lowers the clearance of crystalloid fluid, but
the volume kinetics of such fluid throughout the duration of spinal anaesthesia
has not been studied. METHODS: Ten female volunteers (mean age 29 years) received
an intravenous infusion of 25 ml/kg of acetated Ringer's solution with and
without spinal anaesthesia. A volume kinetic model was fitted to serial
measurements of the haemoglobin concentration over 240 min based on arterial,
cubital vein, and femoral vein blood. The measured urine flow was compared to the
model-predicted elimination. RESULTS: The arterial pressure remained stable,
although the block reached to Th3-Th5 in half of the volunteers. There were no
differences in fluid kinetics between the spinal anaesthesia and the control
experiments. The administered volume was well confined to the kinetic system,
which consisted of two communicating fluid spaces that were 2.8 l and
approximately 7 l in size at baseline. The arteriovenous difference in plasma
dilution remained positive for 30 min post-infusion in those having analgesia
reaching to Th3-Th5, which differed significantly from low-level analgesia (Th12
L2, P < 0.03) when venous plasma was sampled from the leg. The urinary excretion
averaged 1.13 l and 1.01 l for the spinal and control experiments, respectively.
Volume kinetics predicted the urinary excretion at 5- to 10-min intervals with an
overall bias of 52 ml. CONCLUSION: Acetated Ringer's solution showed the same
kinetics during experimental spinal anaesthesia as when the fluid was infused
alone. Hence, spinal anaesthesia is not associated with the reduced fluid
clearance reported for general anaesthesia.
PMID- 22092167
TI - Haemostatic effect of aprotinin during craniosynostotic surgery in children.
AB - BACKGROUND: Aprotinin has been used in our hospital since the year 2003 to reduce
bleeding during craniosynostotic surgery in children. The aim of this
retrospective study was to investigate its effect, primarily on bleeding and
secondarily on the need for transfusion. METHODS: Thirteen children were treated
with aprotinin from 2003 to 2008, while 39 were not treated in the period 1993
2002. Information on blood loss and need for transfusion during the operations in
all 52 children was collected from their medical records. RESULTS: There was a
significant difference in both blood loss and need for transfusion. Estimated
blood volume was used to correct for difference in the children's age and size.
In the aprotinin group, blood loss was 3.9% of circulating blood volume vs.
22.0%, and the need for transfusion was 0.0% vs. 21.1%. CONCLUSION: Blood loss
and need for blood transfusion were significantly reduced in the aprotinin group.
No allergic or other possible aprotinin-specific complications were registered in
the aprotinin group.
PMID- 22092168
TI - Effect of N-acetylcysteine on cardiac injury and oxidative stress after abdominal
aortic aneurysm repair: a randomized controlled trial.
AB - BACKGROUND: Several studies have reported that the antioxidant properties of N
acetylcysteine (NAC) can provide cardiac protection through scavenging of free
radicals. The present study was aimed to assess the efficacy of NAC for cardiac
protection in patients undergoing elective abdominal aortic aneurysm (AAA)
repair. METHODS: Fifty adult patients undergoing (AAA) repair were randomly
allocated to receive NAC infusion (n = 25) or placebo infusion (n = 25). NAC
infusion in group I (NAC group) was started at a rate of 0.3 mg/kg/min
intravenously during surgery then decreased to a rate of 0.2 mg/kg/min for 24 h
post-operatively. Group II (placebo group) received an equivalent rate of placebo
infusion. The following parameters: myocardial-specific protein troponin-I,
creatine phosphokinase-MB (CPK-MB), plasma pro-inflammatory cytokines [tumour
necrosis factor-alpha (TNF-alpha) and interleukin (IL)-1beta], were assessed at
the following time points: preoperatively and at 1 h, 12 h, 24 h, 48 h, and 96 h
after surgery. Furthermore, serum malondialdehyde (MDA) and total antioxidant
capacity (TAC) were measured preoperatively as a baseline, during aortic
clamping, 30 min after declamping, at the end of surgery, 2 h after surgery, 12 h
after surgery, and 24 h after surgery. RESULTS: NAC infusion patients had
significantly lower post-operative concentrations of myocardial-specific protein
[cTnI, CPK-MB] and pro-inflammatory cytokines [TNF-alpha, IL-1beta]. In addition,
MDA level was less and TAC was higher in patients who received NAC infusion.
CONCLUSION: NAC infusion provided cardiac protection through scavenging of oxygen
free radicals.
PMID- 22092170
TI - P-type nitrogen-doped ZnO nanoparticles stable under ambient conditions.
AB - Zinc oxide is considered as a very promising material for optoelectronics.
However, to date, the difficulty in producing stable p-type ZnO is a bottleneck,
which hinders the advent of ZnO-based devices. In that context, nitrogen-doped
zinc oxide receives much attention. However, numerous reviews report the
controversial character of p-type conductivity in N-doped ZnO, and recent
theoretical contributions explain that N-doping alone cannot lead to p-typeness
in Zn-rich ZnO. We report here that the ammonolysis at low temperature of ZnO(2)
yields pure wurtzite-type N-doped ZnO nanoparticles with an extraordinarily large
amount of Zn vacancies (up to 20%). Electrochemical and transient spectroscopy
studies demonstrate that these Zn-poor nanoparticles exhibit a p-type
conductivity that is stable over more than 2 years under ambient conditions.
PMID- 22092171
TI - BF3.OEt2-promoted diastereoselective diacetoxylation of alkenes by PhI(OAc)2.
AB - Selective syn and anti diacetoxylations of alkenes have been achieved using a
PhI(OAc)(2)/BF(3).OEt(2) system in the presence and absence of water,
respectively. A broad range of substrates including electron-deficient alkenes
(such as alpha,beta-unsaturated esters) could be elaborated efficiently at room
temperature with this methodology, furnishing the desired products in good to
excellent yields and diastereoselectivity. In particular, a multigram-scale
diastereoselective diacetoxylation of methyl cinnamate (5.00 g) was also
accomplished in a few hours, maintaining the same efficiency as small-scale
reaction. This novel methodology provides an alternative approach for the
preparation of various 1,2-diols.
PMID- 22092172
TI - Stable isotope and hydrogeochemical studies of Beaver Lake and Radok Lake,
MacRobertson Land, East Antarctica.
AB - Beaver Lake and Radok Lake, the largest known epishelf lake and the deepest
freshwater lake on the Antarctic continent, respectively, were isotopically
(delta(2)H, delta(18)O) and hydrogeochemically studied. Radok Lake is an
isothermal and non-stratified, i.e. homogeneous water body, while Beaver Lake is
stratified with respect to temperature, salinity, and isotopic composition. The
results for the latter attest to freshwater (derived from snow and glacier melt)
overlying seawater.
PMID- 22092173
TI - Analysis of a genome-wide association study-linked locus (CCR6) in Asian
rheumatoid arthritis.
AB - A genome-wide association study in Japan identified the C-C chemokine receptor
type 6 gene (CCR6) as associated with rheumatoid arthritis (RA). This finding has
not been validated in other Asian populations. A case-control study involving 996
subjects, comprising 440 controls and 556 RA patients, was done to determine
their anticyclic citrullinated peptide (anti-CCP) antibody status and CCR6
polymorphism (rs3093024) genotype. Three hundred eighty-seven patients were anti
CCP positive and 153 anti-CCP negative. Logistic regression showed that allele A
was likely to increase the risk of developing RA among females via a recessive
model (odds ratio [OR]=1.55, 95% confidence interval [CI]=1.01, 2.39), whereas
the risk effect appeared to be reduced among males via an additive model
(OR=0.60, 95% CI=0.42, 0.85). Considering only subjects who are anti-CCP
positive, allele A increased RA risk among females via a recessive model
(OR=1.68, 95% CI=1.07, 2.64) but decreased the risk among males via an additive
model (OR=0.59, 95% CI=0.39, 0.89). We showed that CCR6 polymorphism was a risk
factor among females but a protective factor among males. Functional studies are
warranted to unravel the pathophysiological relevance of the gene variant and
other linked variants with RA.
PMID- 22092174
TI - Neural response to the second stimulus associated with poor speed discrimination
performance in schizophrenia.
AB - Visual motion processing is compromised in schizophrenia (SZ), but it is
uncertain what neural deviations account for their motion analysis abnormalities.
Neural activations were measured with dense-array electroencephalography while 14
medicated SZ and 14 healthy persons performed a paired-stimuli forced choice
speed discrimination task. SZ had (a) worse-at-speed discrimination, replicating
previous findings, (b) normal early extrastriate neural activity (N1) to both
motion stimuli, (c) reduced later extrastriate activity (P2) specifically to the
second stimulus, and (d) following P2, an enhanced later N2 over parietal cortex.
Stronger P2 and N2 responses were associated with better speed discrimination
performance across groups. These findings indicate that the neural correlates of
poor motion analysis in SZ may not be an early visual analysis abnormality but a
problem with efficient use of speed information later in cognitive processing.
PMID- 22092175
TI - Antagonistic interaction networks among bacteria from a cold soil environment.
AB - Microbial antagonism in an Arctic soil habitat was demonstrated by assessing the
inhibitory interactions between bacterial isolates from the same location. Of 139
isolates obtained from five soil samples, 20 antagonists belonging to the genera,
Arthrobacter, Pseudomonas and Flavobacterium were identified. Inter-genus, inter
species and inter-strain antagonism was observed between the interacting members.
The extent of antagonism was temperature dependent. In some cases, antagonism was
enhanced at 4 degrees C but suppressed at 18 degrees C while in some the
reverse phenomenon was observed. To interpret antagonism from an ecological
perspective, the interacting members were delineated according to their
positional roles in a theoretical antagonistic network. When only one
antimicrobial producer (P) was present, all the other members permitted grouping
into either sensitive (S) or resistant (R). Composite interactive types such as
PSR, PS, PR or SR could be designated only when at least two producers were
present. Mapping of all possible antagonistic interaction networks based on the
individual positional roles of the interactive types illustrates the existence of
complex and interconnected networks among microbial communities.
PMID- 22092176
TI - Actinobacterial community dominated by a distinct clade in acidic soil of a
waterlogged deciduous forest.
AB - Members of the Actinobacteria are among the most important litter decomposers in
soil. The site of a waterlogged deciduous forest with acidic soil was explored
for actinobacteria because seasonality of litter inputs, temperature, and
precipitation provided contrasting environmental conditions, particularly
variation of organic matter quantity and quality. We hypothesized that these
factors, which are known to influence decomposition, were also likely to affect
actinobacterial community composition. The relationship between the
actinobacterial community, soil moisture and organic matter content was assessed
in two soil horizons in the summer and winter seasons using a 16S rRNA taxonomic
microarray and cloning-sequencing of 16S rRNA genes. Both approaches showed that
the community differed significantly between horizons and seasons, paralleling
the changes in soil moisture and organic matter content. The microarray analysis
further indicated that the actinobacterial community of the upper horizon was
characterized by high incidence of the genus Mycobacterium. In both horizons and
seasons, the actinobacterial clone libraries were dominated (by 80%) by sequences
of a separate clade sharing an ancestral node with Streptosporangineae. This
relatedness is supported also by some common adaptations, for example, to soil
acidity and periodic oxygen deprivation or dryness.
PMID- 22092177
TI - Investigation of the faecal microbiota of kittens: monitoring bacterial
succession and effect of diet.
AB - Weaning is a stressful process for kittens and is often associated with diarrhoea
and the onset of infectious diseases. The gastrointestinal (GI) microbiota plays
an essential role in host well-being, including improving homoeostasis.
Composition of the GI microbiota of young cats is poorly understood and the
impact of diet on the kitten microbiota unknown. The aims of this study were to
monitor the faecal microbiota of kittens and determine the effect(s) of diet on
its composition. Bacterial succession was monitored in two groups of kittens (at
4 and 6 weeks, and 4 and 9 months of age) fed different foods. Age-related
microbial changes revealed significantly different counts of total bacteria,
lactic acid bacteria, Desulfovibrionales, Clostridium cluster IX and
Bacteroidetes between 4-week- and 9-month-old kittens. Diet-associated
differences in the faecal microbiota of the two feeding groups were evident. In
general, fluorescence in situ hybridization analysis demonstrated bifidobacteria,
Atopobium group, Clostridium cluster XIV and lactic acid bacteria were dominant
in kittens. Denaturing gradient gel electrophoresis profiling showed highly
complex and diverse faecal microbiotas for kittens, with age- and/or food-related
changes seen in relation to species richness and similarity indices. Four-week
old kittens harboured more diverse and variable profiles than those of weaned
kittens.
PMID- 22092178
TI - Characterization and distribution of the gene cluster encoding RumC, an anti
Clostridium perfringens bacteriocin produced in the gut.
AB - Ruminococcin C (RumC) is a trypsin-dependent bacteriocin produced by Ruminococcus
gnavus E1, a gram-positive strict anaerobic strain isolated from human feces. It
consists of at least three similar peptides active against Clostridium
perfringens. In this article, a 15-kb region from R. gnavus E1 chromosome,
containing the biosynthetic gene cluster of RumC was characterized. It harbored
17 open reading frames (called rum(c) genes) with predicted functions in
bacteriocin biosynthesis and post-translational modification, signal transduction
regulation, and immunity. An unusual feature of the locus is the presence of five
genes encoding highly homologous, but nonidentical RumC precursors. The
transcription levels of the rum(c) genes were quantified. The rumC genes were
found to be highly expressed in vivo, when R. gnavus E1 colonized the digestive
tract of mono-contaminated rats, whereas the amount of corresponding transcripts
was below detection level when it grew in liquid culture medium. Moreover, the
rumC-like genes were disseminated among 10 strains (R. gnavus or related species)
previously isolated from human fecal samples and selected for their capability to
produce a trypsin-dependant anti-C. perfringens compound. All harbored at least a
rumC1-like copy, four exhibited rumC1-5 genes identical to those of strain E1.
PMID- 22092179
TI - Optical response of a cyclometalated iridium(III) hydrazino complex to carbon
dioxide: generation of a strongly luminescent iridium(III) carbazate.
AB - A system pairing the luminescent core of [Ir(ppy)(2)L(2)](+) (ppy = 2
phenylpyridine) with simple hydrazino ancillary ligands (L = N(2)H(4)) has been
prepared for the direct optical detection of carbon dioxide (CO(2)). Silver
assisted and silver-free techniques were used for the successful introduction of
N(2)H(4) into the [Ir(ppy)(2)Cl](2) coordination sphere at room temperature to
give the corresponding biscyclometalated iridium(III) hydrazino species as either
a CF(3)SO(3)(-) (OTf(-), 2a) or Cl(-) (2b) salt. The silver-free route was
accomplished by the direct replacement of the ligated Cl(-) using a slight excess
of hydrazine. The luminescence profile of the cationic iridium(III) hydrazino
complex 2a (lambda(max) = 501 nm) undergoes a red shift (lambda(max) = 524 nm),
accompanied by a change in the peak shape during exposure to CO(2) in solution.
The spectral changes observed are attributed to the formation of the
corresponding neutral carbazate species Ir(ppy)(2)(H(2)NNHCOO) (3) and are not
consistent with protonation of the ligated hydrazine. Conversion of the hydrazino
species to the carbazate species is solvent-dependent and irreversible. The
hydrazino and carbazate species have been structurally characterized by single
crystal X-ray diffraction; both compounds exhibit long-lived and intense room
temperature luminescence in solution with tau = 1.56 and 1.80 MUs and phi(em) =
0.42 and 0.45, respectively.
PMID- 22092180
TI - Liquid chromatography-coupled tandem mass spectrometry based assay to evaluate
inosine-5'-monophosphate dehydrogenase activity in peripheral blood mononuclear
cells from stem cell transplant recipients.
AB - Combinations of immunosuppressive drugs are routinely used post-transplantation
to prevent rejection and/or other complications and optimize outcomes. The
prodrug ester mycophenolate mofetil (MMF) is frequently used in solid-organ and
stem cell transplantation settings. A growing body of evidence supports
therapeutic monitoring of this immunosuppressant to optimize its efficacy and
reduce toxicity. Thus, pharmacodynamic monitoring of MMF is a strategy that could
potentially improve patient outcomes. Pharmacodynamic measurements require
evaluation of inosine-5'-monophosphate dehydrogenase (IMPDH) activity, the target
enzyme of the active moiety mycophenolic acid. Various nonradioactive methods
using chromatographic separations have been used to quantify xanthosine
monophosphate, the catalytic product of the enzyme, to indirectly evaluate IMPDH
activity. However, no methods have used mass spectrometry based detection, which
provides more specificity and sensitivity. Here, we describe a liquid
chromatography-coupled tandem mass spectrometry (LC-MS/MS) method for the
quantification of xanthosine monophosphate and adenosine monophosphate (for
normalization) in lysates of peripheral blood mononuclear cells (PBMCs) from
hematopoietic stem cell transplant (HSCT) recipients. Linearity, precision, and
accuracy were validated over a large range of concentrations for each compound.
The method could measure analytes with high sensitivity, accuracy (93-116%), and
reproducibility (CV < 7.5%). Its clinical application was validated in PBMC
lysates obtained from healthy individuals (n = 43) and HSCT recipients (n = 19).
This reliable and validated LC-MS/MS method could be a useful tool for
pharmacodynamic monitoring of patients treated with MMF.
PMID- 22092181
TI - Recovery of T1 response following rocuronium induced neuromuscular block reversed
by sugammadex.
PMID- 22092182
TI - Combined intramedullary and external skeletal fixation of metatarsal and
metacarpal fractures in 12 dogs and 19 cats.
AB - OBJECTIVE: To report surgical technique, clinical experiences, and long-term
outcomes of combined intramedullary/external skeletal fixation of metatarsal (MT)
and metacarpal (MC) fractures in dogs and cats. STUDY DESIGN: Case series.
ANIMALS: Dogs (n = 12); 19 cats. METHODS: Clinical and radiographic records of
animals managed by combined intramedullary/external fixation of MT/MC fractures
were reviewed. Signalment, fracture configuration, complications, and subjective
clinical findings were recorded. Surgical technique involved retrograde
intramedullary pin placement into fractured MT/MC bones, and transverse pin
placement in the base of the MT/MCs or tarsal/carpal bones. Contoured pin ends
were enshrouded dorsally in epoxy resin and implants maintained until fracture
union. Postoperative clinical and radiographic reassessment was performed where
possible. RESULTS: Small breed dogs (n = 12) and 19 cats were operated. Fixator
removal occurred in < 10 weeks in all cases. Complications included synostosis (n
= 2), pin tract discharge (7), excessive postoperative swelling (8), skin
abrasions from the frame (2), and paw distortion associated with frame
impingement (2). Long-term radiography documented degenerative changes associated
with MT-phalangeal or MC-phalangeal joints in 2 dogs; 7 cats, but changes were
typically graded mild or moderate and affected only 1 or 2 joints. CONCLUSIONS:
Combined intramedullary/external fixation of MT/MC fractures is viable,
particularly juxta-articular fractures. Pin penetration of MT-phalangeal or MC
phalangeal joints may cause morbidity and requires further study.
PMID- 22092186
TI - Cellular immunostimulation by CpG-sequence-coated DNA origami structures.
AB - To investigate the potential of DNA origami constructs as programmable and
noncytotoxic immunostimulants, we tested the immune responses induced by hollow
30-helix DNA origami tubes covered with up to 62 cytosine-phosphate-guanine (CpG)
sequences in freshly isolated spleen cells. Unmethylated CpG sequences that are
highly specific for bacterial DNA are recognized by a specialized receptor of the
innate immune system localized in the endosome, the Toll-like receptor 9 (TLR9).
When incubated with oligonucleotides containing CpGs, immune cells are stimulated
through TLR9 to produce and secrete cytokine mediators such as interleukin-6 (IL
6) and interleukin-12p70 (IL-12p70), a process associated with the initiation of
an immune response. In our studies, the DNA origami tube built from an 8634 nt
long variant of the commonly used single-stranded DNA origami scaffold M13mp18
and 227 staple oligonucleotides decorated with 62 CpG-containing oligonucleotides
triggered a strong immune response, characterized by cytokine production and
immune cell activation, which was entirely dependent on TLR9 stimulation. Such
decorated origami tubes also triggered higher immunostimulation than equal
amounts of CpG oligonucleotides associated with a standard carrier system such as
Lipofectamine. In the absence of CpG oligonucleotides, cytokine production
induced by the origami tubes was low and was not related to TLR9 recognition.
Fluorescent microscopy revealed localization of CpG-containing DNA origami
structures in the endosome. The DNA constructs showed in contrast to
Lipofectamine no detectable toxicity and did not affect the viability of
splenocytes. We thus demonstrate that DNA origami constructs represent a delivery
system for CpG oligonucleotides that is both efficient and nontoxic.
PMID- 22092187
TI - Disease management and medication compliance.
AB - Lack of medication compliance is harmful to health care systems from both a
clinical and economic perspective. This study examines the methods that disease
management organizations employ to identify nonadherent patients and to measure
effectiveness of compliance programs for patients with diabetes, hyperlipidemia,
and cystic fibrosis. In addition, this study investigates the degree to which
disease managers assume risk in their contracts, and whether compliance
strategies are being coordinated with payers' use of value-based insurance
design, in which patient cost sharing is a function of the relative value of
pharmaceuticals. This study's findings suggest that disease management may be
falling short in terms of: (a) comprehensive commitment to expert-recommended at
home devices used to self-diagnose and measure health indicators; (b) early
adoption of expert-recommended new technologies to measure and improve
compliance; (c) intensity of use of standard tests in outpatient clinics; (d)
coordination of compliance strategies with payers' use of value-based insurance
design; and (e) the proportion of risk assumed in disease management contracts.
PMID- 22092188
TI - Adherence to preventive recommendations: experience of a cohort presenting for
executive health care.
AB - Adherence to recommended preventive services and immunizations in adults is
suboptimal and often associated with socioeconomic status, race, and access to
care. The aim of this study is to evaluate adherence in a cohort without these
barriers to ascertain realistically optimal adherence rates and to examine
remaining barriers among relatively advantaged individuals. Specifically, it
employed a sample of 6889 patients presenting for executive health care from 2005
to 2009. Adherence varied across colorectal cancer screening (79%), mammography
(89%), cervical cancer screening (91%), tetanus immunization (82%), and
pneumococcal vaccination (62%). Multivariate logistic regressions revealed that
age, education, alcohol use concerns, and being married were positively
associated with adherence to certain services. Individuals without the usual
barriers to care have variable, less-than-ideal rates of adherence to preventive
services, which correlate with some health behaviors and demographics.
Understanding the predictors of adherence may inform quality improvement
processes aimed at optimizing disease prevention.
PMID- 22092189
TI - Belt restraint reduction in nursing homes: effects of a multicomponent
intervention program.
AB - OBJECTIVES: To test the effects of a multicomponent intervention program to
reduce the use of belt restraints in psychogeriatric nursing homes. DESIGN: A
quasi-experimental longitudinal design. Study duration was 8 months. SETTING:
Twenty-six psychogeriatric nursing home wards in 13 Dutch nursing homes were
assigned to intervention or control groups. PARTICIPANTS: Seven hundred fourteen
residents were selected for participation. Legal representatives of 520 residents
agreed on participation; complete data are available for 405 residents.
INTERVENTION: The intervention program included four major components: promotion
of institutional policy change that discourages use of belt restraint, nursing
home staff education, consultation by a nurse specialist aimed at nursing home
staff, and availability of alternative interventions. MEASUREMENTS: The primary
outcome measure was the frequency of belt restraint use. Secondary outcomes
included other types of physical restraints, psychoactive drug use, falls, and
fall-related injuries. These data were collected at baseline and after 4 and 8
months. A trained, blinded observer measured the use of belts and other physical
restraints types four times during a 24-hour period. RESULTS: The intervention
resulted in a 50% decrease in belt use (odds ratio = 0.48, 95% confidence
interval = 0.28-0.81; P = .005). No increase occurred in the use of other types
of restraints. No marked differences between the groups were found regarding
psychoactive drugs, falls, and fall-related injuries. CONCLUSION: A
multicomponent intervention program led to a substantial reduction in use of
belts, full-enclosure bedrails, and sleep suits without increasing the use of
other physical restraints, psychoactive drugs, or falls and fall-related
injuries.
PMID- 22092190
TI - The compassion of concealment: silence between older caregivers and dying
patients in the AIDS era, northwest Tanzania.
AB - In northwest Tanzania, where AIDS has been present for 25 years, AIDS-related
illness is a trigger through which community members discuss personal experiences
of loss and assess social relationships. The terminal phase of AIDS demands
intimate social relations between patients and caretakers. In this final phase of
illness, caretakers are scrutinised for their behaviour towards the patient. In
the moral world in which caregiving takes place, the act of concealing is
considered an intrinsic part of proper care. Current debates on morality, stigma
and secrecy inform my argument that acts of concealment around dying are not so
much related to the exclusion and ostracism of patients but to inclusion and
compassionate care.
PMID- 22092191
TI - Why humans do not make good vampires. Testing the ability of humans to detect
true blood.
AB - BACKGROUND: Research indicating the effects of real blood or of its iconic
representation on human behaviour has thus far concentrated on phobia and
aggressiveness. Little is known about other responses or, more fundamentally,
about the biological basis of all such responses. AIM: In this study it is
examined whether or not humans are able to detect real blood. METHODS: Human
subjects (n = 89) were asked to distinguish different kinds of blood from red
control fluids under varying visual and choice conditions. Relevant differences
between subjects were tested for through written questionnaires, including
standardized scales for disgust sensitivity (DS-R) and blood phobia (MBPI) and
performance on two clinical olfactory tests. RESULTS: Analysis of variance shows
that humans are excellent detectors of animal blood (in casu pig blood), whereas
the ability of detecting human blood is much less developed. Surprisingly,
differences in olfactory capacities and personal experience with blood have no
effect on blood detection, while blood fear lowers and disgust sensitivity
ameliorates this performance. CONCLUSION: This study allows further mapping of
the exact role of disgust sensitivity in human behaviour, as well as a deliberate
choice of materials in blood-related experiments. It is imperative for further
research on the behavioural and psychological impact 'blood' resorts on humans.
PMID- 22092192
TI - Resident physician interactions with surrogate decision-makers: the resident
experience.
AB - This study explored interactions between medical residents and patient surrogates
in order to clarify resident understanding of roles and relationships, resident
emotional experience, and resident learning processes. Qualitative analysis of in
depth interviews were used involving three family medicine residency programs
serving culturally diverse, urban, underserved patient populations. Eighteen
second- and third-year trainees described a memorable interaction with a
surrogate and then were prompted to discuss their learning experience and their
role in the interaction. Interviews were transcribed verbatim and analyzed
through an iterative process. Residents experienced significant emotional burden
during interactions yet continued to value their relationships with surrogates.
Despite their reservations about giving recommendations, residents adopted a
variety of roles with surrogates as they gave support, information, and advice.
Although residents reported little formal education about surrogate decision
making, they relied on passive role modeling and their own previous experiences
to help surrogates make decisions. Residents have complex and emotionally
significant interactions with surrogates despite minimal formal education about
surrogate decision-making. Educational efforts should seek to help residents
understand their own emotions and the ethical beliefs that underlie the roles
they adopt with surrogates. This will help residents to facilitate value-based
conversations with surrogates and better support surrogates in the decision
making process.
PMID- 22092193
TI - Factors influencing the behavior of land applied biosolids.
AB - Using biosolids from the Hampton Roads Sanitation District (HRSD), laboratory
studies were conducted to provide an insight into the behavior of this material
when it is applied on land. The interaction and affinity of the biosolids for
heavy metals was determined by packing reactor columns with known amounts of the
biosolids and passing through the packed columns aliquots of several heavy metal
solutions including, cobalt, copper, lead, iron, manganese, and zinc. The process
was repeated until the retention capacity of the columns was reached. Solution
parameters were varied to allow investigations of the influence of pH, ionic
strength, and inter-elemental effects. The affinity of the biosolids for each
metal was determined by calculating the mass of the metal that was adsorbed on
the biosolids (removed from the solution) per gram of the biosolids. Using batch
and reactor column procedures, samples of the biosolids were fractionated to
determine water soluble, exchangeable, adsorbed, organically bound, carbonate,
and sulfide fractions and to determine the lability, mobility, and availability
of the heavy metal and other contaminants in those fractions. A fractional
distribution extraction protocol was specifically conducted for the lead
biosolids complex to provide an insight into the lability and bioavailability of
the biosolids-bound lead. The affinity of metal ions for the biosolids was found
to increase in the order Pb>Fe>Cu>Zn>Ni>Mn>Co. Correspondingly, synergistic
effects of the different metals led to characteristic decreases in the respective
binding capacities. A decrease in system pH led to a decrease in the binding
capacity, a trend that was also observed when the ionic strength increased. Using
lead as a model, fractionation studies revealed that adsorption of lead by
biosolids is mainly associated with the carbonate fraction.
PMID- 22092194
TI - Does a video-interpreting network improve delivery of care in the emergency
department?
AB - OBJECTIVE: To measure the impact of a policy change from use of telephonic and
face-to-face interpreting to use of a video-interpreting network on Emergency
Department (ED) care. DATA SOURCES/STUDY SETTING: Observational study of ED care
at two California hospitals. STUDY DESIGN: We compared tests ordered, time in the
ED, and admission rates for English- and Spanish-speaking patients presenting
with chest pain and abdominal pain before and after the policy change. DATA
COLLECTION/EXTRACTION METHODS: Data were extracted from electronic medical and
billing records. PRINCIPAL FINDINGS: Mean time in the ED, mean number of
laboratory tests, radiology services, electrocardiograms, and echocardiograms,
and rates of hospital admission for both language groups at both hospitals went
down in the post-video-interpreting network period compared with the pre-video
interpreting network period. The percentage of patients leaving the ED against
medical advice (AMA) increased in one hospital for both language groups; this
increase was statistically significantly smaller in the Spanish-language group
compared with the English group (p = .04). CONCLUSIONS: The studied video
interpreting network had minimal impact on health care outcomes in the ED.
PMID- 22092195
TI - Modeling general and specific variance in multifaceted constructs: a comparison
of the bifactor model to other approaches.
AB - This article recommends an alternative method for testing multifaceted
constructs. Researchers often have to choose between two problematic approaches
for analyzing multifaceted constructs: the total score approach and the
individual score approach. Both approaches can result in conceptual ambiguity.
The proposed bifactor model assesses simultaneously the general construct shared
by the facets and the specific facets, over and above the general construct. We
illustrate the bifactor model by examining the construct of Extraversion as
measured by the Revised NEO Personality Inventory (NEO-PI-R; Costa & McCrae,
1992), with two college samples (N = 383 and 378). The analysis reveals that the
facets of the NEO-PI-R Extraversion correlate with criteria in opposite
directions after partialling out the general construct. The direction of gender
differences also varies by facets. Bifactor models combine the advantages but
avoid the drawbacks of the 2 existing methods and can lead to greater conceptual
clarity.
PMID- 22092196
TI - Spatiotemporal organization and thalamic modulation of seizures in the mouse
medial thalamic-anterior cingulate slice.
AB - PURPOSE: Seizure-like activities generated in anterior cingulate cortex (ACC) are
usually classified as simple partial and are associated with changes in autonomic
function, motivation, and thought. Previous studies have shown that thalamic
inputs can modulate ACC seizure, but the exact mechanisms have not been studied
thoroughly. Therefore, we investigated the role of thalamic inputs in modulating
ACC seizure-like activities. In addition, seizure onset and propagation are
difficult to determine in vivo in ACC. We studied the spatiotemporal changes in
epileptiform activity in this cortex in a thalamic-ACC slice to clearly determine
seizure onset. METHODS: We used multielectrode array (MEA) recording and calcium
imaging to investigate the modulatory effect of thalamic inputs in a thalamic-ACC
slice preparation. KEY FINDINGS: Seizure-like activities induced with 4
aminopyridine (4-AP; 250 MUm) and bicuculline (5-50 MUm) in ACC were attenuated
by glutamate receptor antagonists, and the degree of disinhibition varied with
the dose of bicuculline. Seizure-like activities were decreased with 1 Hz
thalamic stimulation, whereas corpus callosum stimulation could increase ictal
discharges. Amplitude and duration of cingulate seizure-like activities were
augmented after removing thalamic inputs, and this effect was not observed with
those induced with elevated bicuculline (50 MUm). Seizure-like activities were
initiated in layers II/III and, after thalamic lesions, they occurred mainly in
layers V/VI. Two-dimensional current-source density analyses revealed sink
signals more frequently in layers V/VI after thalamic lesions, indicating that
these layers produce larger excitatory synchronization. Calcium transients were
synchronized after thalamic lesions suggesting that ACC seizure-like activities
are subjected to desynchronizing modulation by thalamic inputs. Therefore, ACC
seizure-like activities are subject to desynchronizing modulation from medial
thalamic inputs to deep layer pyramidal neurons. SIGNIFICANCE: Cingulate seizure
like activities were modulated significantly by thalamic inputs. Repeated
stimulation of the thalamus efficiently inhibited epileptiform activity,
demonstrating that the desynchronization was pathway-specific. The clinical
implications of deep thalamic stimulation in the modulation of cingulate
epileptic activity require further investigation.
PMID- 22092197
TI - Investigating the mechanism of substrate uptake and release in the glutamate
transporter homologue Glt(Ph) through metadynamics simulations.
AB - A homeostatic concentration of glutamate in the synaptic cleft ensures a correct
signal transduction along the neuronal network. An unbalance in this
concentration can lead to neuronal death and to severe neurodegenerative diseases
such as Alzheimer's or Parkinson's. Glutamate transporters play a crucial role in
this respect because they are responsible for the reuptake of the
neurotransmitter from the synaptic cleft, thus controlling the glutamate
concentration. Understanding the molecular mechanism of this transporter can
provide the possibility of an exogenous control. Structural studies have shown
that this transporter can assume at least three conformations, thus suggesting a
pronounced dynamical behavior. However, some intermediate states that lead to the
substrate internalization have not been characterized and many aspects of the
transporter mechanism still remain unclear. Here, using metadynamics simulations,
we investigate the substrate uptake from the synaptic cleft and its release in
the intracellular medium. In addition, we focus on the role of ions and substrate
during these processes and on the stability of the different conformations
assumed by the transporter. The present dynamical results can complement
available X-ray data and provide a thorough description of the entire process of
substrate uptake, internalization, and release.
PMID- 22092198
TI - Comparison of the mechanical behaviors of locked and nonlocked plate/screw
fixation applied to experimentally induced rotational osteotomies in canine ilia.
AB - OBJECTIVE: To compare the mechanical behaviors of 2 locked (parallel and
diverging screws) and 1 nonlocked (NL) version of triple pelvic osteotomy (TPO)
plate/screw fixation. STUDY DESIGN: In vitro biomechanical evaluation. ANIMALS:
Cadaveric canine hemipelves. METHODS: Comparison 1-NL screws 20 degrees TPO (NL
20) construct versus locked parallel (LP) screws 20 degrees TPO (LP-20)
construct (n = 7). Comparison 2-LP-20 construct versus locked diverging (LD)
screws 20 degrees TPO (LD-20) construct (n = 6). Condition 1-Nondestructive
loading to determine stiffness. Condition 2-Cyclic loading to determine
stiffness, screw loosening, and osteotomy gap displacement. Condition 3-Load to
failure (yield load, yield displacement, maximum load, load to failure, failure
mode). RESULTS: Stiffness was not significantly different for NL-20 versus LP-20
constructs (P = .48) or for LP-20 versus LD-20 constructs (P = .83). Screw
loosening was significantly more frequent for NL-20 versus LP-20 (P = .01) and
for LD-20 versus LP-20 constructs (P = .02). The relative risk for screw
loosening with NL-20 constructs versus LP-20 constructs was 1.4 (95% CI: 1.1
1.8). The relative risk for screw loosening with LD-20 versus LP-20 was 1.6 (95%
CI: 1.1-2.2). Yield load was significantly greater for LP-20 versus NL-20 and LD
20 constructs (P = .04, P = .03), respectively. CONCLUSIONS: No TPO constructs
tested maintained complete integrity after cyclic loading; however, screw
loosening was significantly reduced and yield loads were significantly larger for
LP-20 plate/screw constructs.
PMID- 22092200
TI - Cognitive impairments after critical illness.
AB - BACKGROUND: Cognitive impairments are common after critical illness. Aetiology
and effects of cognitive impairments in this setting are not fully revealed. The
aim of this study was to investigate the effect of critical illness and intensive
care unit (ICU) treatment on cerebral function. METHODS: Adult ICU patients with
no previous history of cerebral disorders were included. Non-delirious patients
scoring >= 24 on mini-mental state examination on ICU discharge were explored
neuropsychologically using the Cambridge Neuropsychological Test Automated
Battery (CANTAB) to classify cognitive impairments. Tests were repeated at 3 and
12 months. Results were compared with a normal reference population and a
surgical comparison group. RESULTS: We included 55 patients. Eighteen of 28
patients were cognitively impaired, and it was not possible to classify 27
patients. The ICU survivors tested with CANTAB scored significantly lower than
the reference population. They also scored worse than a surgical comparison group
but significantly on only one of 10 measures. At 3 months follow-up, included
patients scored significantly worse on one of 10 reported CANTAB measures. There
were no differences at 12 months. We found no associations between age, co
morbidity, Simplified Acute Physiology Score II, Sequential Organ Failure
Assessment score, presence of cardiovascular disease, duration of ventilatory
support and length of ICU stay, and cognitive impairments. Having a cognitive
impairment did not affect other outcome measures such as mortality, health
related quality of life, and institutionalization. CONCLUSIONS: Cognitive
impairments are common after critical illness and may be caused by the critical
illness in itself. Incidences are high after ICU discharge (64%) but drops
rapidly during the first 3 months after discharge.
PMID- 22092201
TI - Risk factors for 1-year mortality in patients with nontraumatic intracranial
hemorrhage requiring intensive care.
AB - BACKGROUND: Mortality in patients with intracranial hemorrhage remains high. The
aim of this study was to determine the 1-year survival and potential risk factors
for 1-year mortality in patients with nontraumatic intracranial hemorrhage
requiring intensive care. METHODS: This was a 3-year (2005-2007) retrospective
study in a university-level intensive care unit (ICU). Patient characteristics,
level of consciousness, and radiological findings of the primary head computed
tomography were recorded on admission. Sequential Organ Failure Assessment scores
were recorded during the ICU stay. Patients were divided into two groups:
subarachnoid hemorrhage (SAH) group and intracerebral hemorrhage (ICH) group.
Kaplan-Meier survival curves were constructed, and independent risk factors were
determined using Cox proportional hazards regression analyses. RESULTS: Two
hundred twenty-nine patients were analyzed. The 1-year mortality rate was 32% in
patients with SAH and 44% in patients with ICH. The risk factors for 1-year
mortality in both groups were unconsciousness on admission [SAH: hazard ratio
(HR) 6.2, P = 0.017 and ICH: HR 3.0, P = 0.004] and renal failure during the ICU
stay (SAH: HR 2.5, P = 0.021 and ICH: HR 3.6, P = 0.021). Risk factors specific
to the type of hemorrhage were the presence of ICH (HR 2.0, P = 0.033) and
diffuse cerebral edema (HR 2.3, P = 0.017) in the SAH group and a prior use of
warfarin (HR 5.1, P = 0.016) in the ICH group. CONCLUSIONS: In addition to
decreased level of consciousness on admission, renal failure during the ICU stay
is an independent risk factor for 1-year mortality in nontraumatic SAH as well as
ICH.
PMID- 22092202
TI - Influence of prone positioning on the measurement of transpulmonary
thermodilution-derived variables in critically ill patients.
AB - BACKGROUND: Patients with respiratory failure undergoing prone positioning (PP)
are often monitored by the transpulmonary thermodilution (TPTD) technique.
However, it remains unclear whether the measurement of TPTD-derived variables is
influenced by PP. We investigated the effects of 135 degrees PP on the accuracy
of TPTD-derived variables and their changes over an 8-10 h period of time.
METHODS: We studied 16 mechanically ventilated patients who underwent PP for
acute respiratory distress syndrome or acute lung injury and received hemodynamic
monitoring by the TPTD technique. Measurements of extravascular lung water index
(EVLWI), global end-diastolic volume index (GEDVI), ejection fraction corrected
GEDVI (cGEDVI), pulmonary vascular permeability index (PVPI) and hemodynamic
variables were obtained 10 min before and after positioning and repositioning.
One-way analysis of variance and Friedman's test with Student-Newman-Keuls method
for all pairwise multiple comparisons were used for statistical analysis.
RESULTS: EVLWI increased after proning (12.7 +/- 4.7 vs. 14.8 +/- 7.8 ml/kg) and
remained elevated until end of prone positioning (15.1 +/- 7.2 vs. 12.8 +/- 4.9
ml/kg) with P < 0.05 for difference between respective time points. After
proning, GEDVI remained unchanged (571 +/- 153 vs. 593 +/- 152 ml/m2). At the end
of prone positioning GEDVI was 610 +/- 55 ml/m2 and decreased after returning to
supine to 553 +/- 14 ml/m2. Proning increased cGEDVI from 525 +/- 136 to 570 +/-
11 ml/m2 and repositioning decreased cGEDVI from 558 +/- 116 to 496 +/- 121
ml/m2. No significant changes in PVPI were observed during the study period.
CONCLUSIONS: EVLWI and GEDVI measurements are possibly influenced by prone
positioning. In spite of statistical significance, the differences in EVLWI and
GEDVI are low and presumably of no clinical relevance.
PMID- 22092203
TI - Positive end-expiratory pressure-induced changes in end-expiratory lung volume
measured by spirometry and electric impedance tomography.
AB - BACKGROUND: A bedside tool for monitoring changes in end-expiratory lung volume
(DeltaEELV) would be helpful to set optimal positive end-expiratory pressure
(PEEP) in acute lung injury/acute respiratory distress syndrome patients. The
hypothesis of this study was that the cumulative difference of the inspiratory
and expiratory tidal volumes of the first 10 breaths after a PEEP change
accurately reflects the change in lung volume following a PEEP alteration.
METHODS: Changing PEEP induces lung volume changes, which are reflected in
differences between inspiratory and expiratory tidal volumes measured by
spirometry. By adding these differences with correction for offset, for the first
10 breaths after PEEP change, cumulative tidal volume difference was calculated
to estimate DeltaEELV(VT) ((i-e)) . This method was evaluated in a lung model and
in patients with acute respiratory failure during a PEEP trial. In patients,
DeltaEELV(VT) ((i-e)) were compared with simultaneously measured changes in lung
impedance, by electric impedance tomography (EIT), using calibration vs. tidal
volume to estimate changes in DeltaEELV(EIT) . RESULTS: In the lung model, there
was close correlation (R(2) = 0.99) between DeltaEELV(VT) ((i-e)) and known
lung model volume difference, with a bias of -4 ml and limits of agreement of 42
and -50 ml. In 12 patients, DeltaEELV(EIT) was closely correlated to
DeltaEELV(VT) ((i-e)) (R(2) = 0.92), with mean bias of 50 ml and limits of
agreement of 131 and -31 ml. Changes in EELV estimated by EIT (DeltaEELV(EIT) )
exceeded measurements by spirometry (DeltaEELV(VT) ((i-e)) ), with 15 (+/-15)%.
CONCLUSIONS: We conclude that spirometric measurements of inspiratory-expiratory
tidal volumes agree well with impedance changes monitored by EIT and can be used
bedside to estimate PEEP-induced changes in EELV.
PMID- 22092204
TI - Non-toxic alveolar oxygen concentration without hypoxemia during apnoeic
oxygenation: an experimental study.
AB - BACKGROUND: Oxygenation without tidal breathing, i.e. apnoeic oxygenation in
combination with extracorporeal carbon dioxide removal, might be an option in the
treatment of acute respiratory failure. However, ventilation with 100% O2, which
is potentially toxic, is considered a prerequisite to ensure acceptable
oxygenation. We hypothesized that trapping nitrogen (N2) in the lungs before the
start of apnoeic oxygenation would keep the alveolar O2 at a non-toxic level and
still maintain normoxaemia. The aim was to test whether a predicted N2
concentration would agree with a measured concentration at the end of an apnoeic
period. METHODS: Seven anaesthetized, muscle relaxed, endotracheally intubated
pigs (22-27 kg) were ventilated in a randomized order with an inspired fraction
of O2 0.6 and 0.8 at two positive end-expiratory pressure levels (5 cm and 10 cm
H2O) before being connected to continuous positive airway pressure using 100% O2
for apnoeic oxygenation. N2 was measured before the start of and at the end of
the 10-min apnoeic period. The predicted N2 concentration was calculated from the
initial N2 concentration, the end-expiratory lung volume, and the anatomical dead
space. RESULTS: The mean difference and standard deviation between measured and
predicted N2 concentration was -0.5 +/- 2%, P = 0.587. No significant difference
in the agreement between measured and predicted N2 concentrations was seen in the
four settings. CONCLUSIONS: This study indicates that it is possible to predict
and keep alveolar N2 concentration at a desired level and, thus, alveolar O2
concentration at a non-toxic level during apnoeic oxygenation.
PMID- 22092205
TI - H-index is a sensitive indicator of academic activity in highly productive
anaesthesiologists: results of a bibliometric analysis.
AB - BACKGROUND: H-index distinguishes differences in scholarly output across faculty
ranks in anaesthesiologists, but whether h-index also identifies differences in
other aspects of productivity is unknown. We tested the hypothesis that h-index
is an indicator of not only publication record, but also grant funding and
mentoring in highly productive US academic anaesthesiologists. METHODS: We
conducted an internet analysis of the Foundation for Anesthesia Education and
Research Academy of Research Mentors in Anesthesiology (n = 43). Publications,
citations, citations per publication, and h-index for each investigator were
obtained using the Scopus((r)) . Total grants, active grants, years of funding,
and duration of longest funded grant were recorded using the US National
Institutes of Health Research Portfolio Online Reporting Tools((r)) . Members
were surveyed to identify the number of their career trainees and those who
obtained independent funding. RESULTS: The median [IRQ (Interquartile range)] h
index of members was 23 [17-32 (8-50)]. Members published 136 [100-225 (39-461)]
papers with 3573 [1832-5090 (150-11,601)] citations and 21 [15-32 (4-59)]
citations per publication. Members received four [3-7 (0-10)] grants and were
funded for 29 [17-45 (0-115)] grant-years. Survey respondents (79%) mentored 40
[26-69 (15-191)] trainees, three [2-6 (0-20)] of which subsequently received
funding. Members with h-indices greater than the median had more publications,
citations, citations per publication, grants, and years of funding compared with
their counterparts. H-index was associated with total citations, active grants,
and the number of trainees. CONCLUSIONS: In addition to publication record, h
index sensitively indicates grant funding and mentoring in highly productive US
academic anaesthesiologists.
PMID- 22092206
TI - GlideScope videolaryngoscope vs. Macintosh direct laryngoscope for intubation of
morbidly obese patients: a randomized trial.
AB - BACKGROUND: Morbidly obese patients are at increased risk of hypoxemia during
tracheal intubation because of increased frequency of difficult and impossible
intubation and a decreased apnea tolerance. In this study, intubation with the
GlideScope videolaryngoscope (GS) was compared with the Macintosh direct
laryngoscope (DL) in a group of morbidly obese patients. METHODS: One hundred
consecutive patients (body mass index >= 35 kg/m(2) ) scheduled for bariatric
surgery were randomized 1 : 1 to intubation with GS (group GS) or DL (group DL).
The primary outcome was intubation time. Secondary outcomes were number of
attempts, Cormack-Lehane grade, intubation difficulty scale score (IDS),
subjective difficulty of intubation, desaturation, airway bleeding, postoperative
sore throat, and hoarseness. Group assignment was not blinded. RESULTS:
Intubation in group GS and group DL lasted 48 (22-148) and 32 s (17-209),
respectively (median (range); P = 0.0001); median difference 11 s (95% confidence
interval 6-17). Laryngoscopic views were better in group GS with Cormack-Lehane
grades 1/2/3/4 distributed as 35/13/2/0 vs. 23/13/10/4 in group DL (P = 0.003).
IDS scores were significantly lower with GS than with DL. No other statistically
significant differences were found. Two cases of failed intubation occurred in
group DL vs. none in group GS (non-significant). Both patients were intubated
with the GlideScope without problems. CONCLUSION: Intubation of morbidly obese
patients with GS was slightly slower than with DL. The increased intubation time
was of no clinical consequence as no patients became hypoxemic. Both devices
generally performed well in the studied population, but the GS provided better
laryngoscopic views and decreased IDS scores.
PMID- 22092207
TI - High-resolution solid-state manometry of the effect of rocuronium on
barrierpressure.
AB - BACKGROUND: The pressure in the lower esophageal sphincter (LES) is partly
dependent on striated muscles derived from the crural portion of the diaphragm.
The effect of neuromuscular blockade on the integrity of the esophagogastric
junction is not well studied. We conducted a prospective interventional study to
determine the effect of rocuronium on the barrier pressure (LES pressure -
intragastric pressure) of the esophagogastric junction. We also studied the
effect of positive pressure ventilation on the barrier pressure after
neuromuscular blockade with rocuronium. METHODS: Fourteen patients classified as
American Society of Anesthesiologists classification system (ASA) I or II (aged
18-75 years) who presented for elective surgery (11 cholecystectomy, 3 inguinal
hernia) participated in the study. Esophageal manometry was performed during
anesthetization with propofol, fentanyl, and sevoflurane. The LES pressure was
studied prior to anesthesia, after anesthesia induction during spontaneous
breathing with laryngeal mask airway, after administration of rocuronium (0.6
mg/kg), and during positive pressure ventilation. RESULTS: Muscle relaxation with
rocuronium showed no significant changes in barrier pressure when comparing the
pressure immediately before rocuronium administration with the pressure obtained
after rocuronium administration at the time point of 0% train-of-four (TOF).
Conversion to positive pressure ventilation did not change the barrier pressure
with inspiration or expiration. The greatest decrease in barrier pressure was
measured after inducing anesthesia when comparing pressures during inspiration (P
< 0.01). CONCLUSIONS: Neuromuscular blockade with rocuronium and conversion from
spontaneous breathing to positive pressure ventilation does not decrease the
barrier pressure during anesthesia induction.
PMID- 22092208
TI - Effect of patient position and PEEP on hepatic, portal and central venous
pressures during liver resection.
AB - BACKGROUND: It has been suggested that blood loss during liver resection may be
reduced if central venous pressure (CVP) is kept at a low level. This can be
achieved by changing patient position but it is not known how position changes
affect portal (PVP) and hepatic (HVP) venous pressures. The aim of the study was
to assess if changes in body position result in clinically significant changes in
these pressures. METHODS: We studied 10 patients undergoing liver resection. Mean
arterial pressure (MAP) and CVP were measured using fluid-filled catheters, PVP
and HVP with tip manometers. Measurements were performed in the horizontal, head
up and head down tilt position with two positive end expiratory pressure (PEEP)
levels. RESULTS: A 10 degrees head down tilt at PEEP 5 cm H(2) O significantly
increased CVP (11 +/- 3 to 15 +/- 3 mmHg) and MAP (72 +/- 8 to 76 +/- 8 mmHg)
while head up tilt at PEEP 5 cm H(2) O decreased CVP (11 +/- 3 to 6 +/- 4 mmHg)
and MAP (72 +/- 8 to 63 +/- 7 mmHg) with minimal changes in transhepatic venous
pressures. Increasing PEEP from 5 to 10 resulted in small increases, around 1
mmHg in CVP, PVP and HVP. There was no significant correlation between changes in
CVP vs. PVP and HVP during head up tilt and only a weak correlation between CVP
and HVP by head down tilt. CONCLUSIONS: Changes of body position resulted in
marked changes in CVP but not in HVPs. Head down or head up tilt to reduce venous
pressures in the liver may therefore not be effective measures to reduce blood
loss during liver surgery.
PMID- 22092209
TI - Chronic alcoholism increases the induction dose of propofol.
AB - BACKGROUND: The present study was designed to investigate the possible effect of
chronic alcohol intake on propofol and remifentanil requirements, which was
determined by quantifying the 50% (EC(50) ) and 95% (EC(95) ) effective effect
site concentrations for propofol and remifentanil at loss of consciousness (LOC)
and after a painful stimulus. METHODS: Thirty male patients (alcoholic group; n =
30) with chronic alcoholism and 30 patients (control group; n = 30) with a
history of small alcohol intake were anaesthetized with propofol and remifentanil
by target-controlled infusion. The predicted drug concentrations and Bispectral
Index (BIS) values were recorded at LOC and after no response to painful stimuli.
RESULTS: The EC(50) and EC(95) of propofol at LOC in alcoholic group were 3.15
[95% confidence interval (CI), 2.77-3.37] and 4.05 (95% CI, 3.18-5.26) MUg/ml,
respectively, and those of the control group were 2.21 (95% CI, 1.92-2.86) and
3.04 (95% CI, 2.45-4.64) MUg/ml, respectively. The EC(50) and EC(95) of
remifentanil measured after no response to painful stimuli in the alcoholic group
were 3.02 (95% CI, 2.70-3.38) and 4.98 (95% CI, 4.56-5.89) ng/ml, respectively,
and those of the control group were 2.95 (95% CI, 2.68-3.33) and 4.86 (95% CI,
4.55-5.92) ng/ml, respectively. The EC(50) and EC(95) values of propofol at LOC
in the control group were significantly lower than that of the alcoholic group.
CONCLUSIONS: These findings suggest that the induction dose requirements of
propofol are increased in alcoholic patients anaesthetized with propofol and
remifentanil administered by target controlled infusion.
PMID- 22092210
TI - Washout of sevoflurane from the GE Avance and Amingo Carestation anesthetic
machines.
AB - BACKGROUND: Inhalational anesthetics must be removed from anesthetic machines to
prevent malignant hyperthermia (MH) in susceptible patients or to treat MH
occurring during inhalational general anesthesia. This study examines the
sevoflurane washout from the GE Avance and Amingo CarestationsTM. METHODS: The
care stations were contaminated with sevoflurane during general anesthesia. Then,
the vaporizer was removed, the CO2 absorber was exchanged against an empty one
and the breathing tubes were substituted by clean ones. In the first part, the
fresh gas flow was 10 l/min. In the second part, the Advanced Breathing SystemTM
(ABSTM), the internal breathing circuit, was replaced by a laundered component.
The fresh gas flow was set to 10 l/min for 10 min and to 5 l/min for the
following 20 min. RESULTS: In the 25 measurements of the first part, the
sevoflurane concentration decreased from a median of 31.60 ppm [interquartile
range (IQR) 130.12 ppm] within 22 min in every case to values below 5 ppm and
stayed there for the last 8 min of the measuring (P < 0.0001). In the 15
measurements of the second part, the sevoflurane concentration fell from the
median of 8.56 ppm (IQR 8.99 ppm) within 5 min to values being significantly
below 5 ppm and stayed there for the following 25 min (P < 0.0001). CONCLUSIONS:
In case of sudden onset of MH, the Avance or Amingo CarestationTM can stay in
place, if the fresh gas flow is increased to 10 l/min or more. To prepare these
machines for MH-susceptible patients, the ABSTM should be substituted by a
laundered component.
PMID- 22092211
TI - Isoflurane decreases death of human embryonic stem cell-derived, transcriptional
marker Nkx2.5(+) cardiac progenitor cells.
AB - BACKGROUND: Cardiac progenitor cells (CPCs) derived from human embryonic stem
cells (hESCs) can multiply and generate cardiomyocytes, offering their tremendous
potential for cardiac regenerative therapy. However, poor survival under
stressful conditions is a major hurdle in the regeneration. We investigated
whether isoflurane-induced preconditioning can increase hESC-derived CPC survival
under oxidative stress. METHODS: Undifferentiated hESCs were cultured in
suspension with 20% FBS (fetal bovine serum) and 20 ng/ml of BMP-4 (bone
morphogenetic protein-4) to form embryoid bodies and grown onto Matrigel-coated
plates for 2-3 weeks. To characterise the differentiated CPCs, immunostaining for
Nkx2.5 (nonspecific transcriptional marker) and Isl-1 was performed. hESC-derived
CPCs were exposed to oxidative stress induced by H(2) O(2) and FeSO(4) . For
anaesthetic preconditioning, CPCs were exposed to isoflurane (0.25, 0.5, 1.0 mM).
CPC survival was determined by trypan blue exclusion. A mitoK(ATP) channels
inhibitor, 5-hydroxydecanoic acid (200 MUM) and an opener, diazoxide (100 MUM),
were used to investigate the involvement of mitoK(ATP) channels. RESULTS: hESC
derived CPCs stained with Nkx2.5 were 95 +/- 3% of total cell number. Isoflurane
(0.5 and 1.0 mM)-preconditioned CPCs showed a significantly lower death rate
compared with control (0.5 mM: 30.6 +/- 10.7% and 1.0 mM: 28.5 +/- 6.2% vs.
control: 43.2 +/- 9.9%). Inhibition of mitoK(ATP) channels with 5-HD completely
abolished the protective effects of isoflurane. Diazoxide significantly decreased
CPC death (29.5 +/- 12.4%). However, when diazoxide was applied to CPC
preconditioned with isoflurane, CPC death did not decrease further (28.7 +/-
10.9%). CONCLUSION: Isoflurane increased hESC-derived Nkx2.5(+) CPC survival
under oxidative stress, and mitoK(ATP) channels may be involved in the protective
effect.
PMID- 22092212
TI - Plasma tau protein in comatose patients after cardiac arrest treated with
therapeutic hypothermia.
AB - BACKGROUND: Neurological outcome after cardiac arrest (CA) is difficult to
predict in the acute phase. In this pilot study, we assessed blood levels of tau
protein as a prognostic marker for the neurological outcome after 6 months in
patients treated with hypothermia after resuscitation from CA. METHODS: 22
unconscious patients resuscitated after CA were treated with mild hypothermia (32
34 degrees C) for 26 h. Blood samples were collected at 2, 6, 12, 24, 48, and 96
h after CA, and the concentration of tau protein was analyzed. Neurological
outcome was assessed with the Glasgow-Pittsburgh cerebral performance category
(CPC) scale at intensive care unit (ICU) discharge and after 6 months. The higher
of the two CPC scores was used. RESULTS: At ICU discharge, 21/22 patients were
alive, of whom 10 had a good (CPC 1-2) outcome. After 6 months, 15/22 patients
were alive, of whom 14 had a good outcome. Tau protein levels were higher among
those with a poor outcome at 48 h and 96 h. At 96 h sampling, tau concentration
predicted a poor outcome (CPC 3-5) with a sensitivity of 71% and a specificity of
93%. CONCLUSIONS: Although in a pilot study, a late increase in plasma tau
protein seems to be associated with a worse outcome after hypothermia treatment
after CA, although more studies are needed.
PMID- 22092213
TI - Movement-evoked breakthrough cancer pain despite intrathecal analgesia: a
prospective series.
AB - BACKGROUND: Intrathecal analgesia (ITA) is a valuable treatment option for
intractable cancer-related pain. However, the issue of movement-evoked
breakthrough pain (BTP) has not been specifically investigated in the ITA
setting. The aim of the study was to evaluate the effect of ITA on spontaneous
resting pain intensity (SRPI), doses of non-ITA opioids, and specifically on
movement-evoked pain intensity (MEPI). METHODS: We prospectively studied 28
consecutive patients who graded SRPI and MEPI on a 0-10 numerical rating scale
(NRS) at the time of ITA procedure, after 1 week, and after 1 month. Mild pain
was defined as NRS <= 3 and severe pain as NRS >= 7. Concomitant doses of opioids
were registered. RESULTS: After 1 week, no patient had severe SRPI compared with
31% before ITA, and the proportion of patients with mild SRPI had increased from
27% to 76%. Meanwhile, the median daily dose of non-ITA opioids decreased from
575 to 120 mg of oral morphine equivalents. The effect on SRPI and on doses of
non-ITA opioids remained essentially unchanged during the study month, but the
proportion of patients having severe MEPI did not change significantly: 44% still
had severe MEPI after 1 week and 40% after 1 month. CONCLUSION: Movement-evoked
BTP was a major clinical problem throughout the study month despite otherwise
successful ITA. Improving the quality of life of patients with intractable cancer
related pain should include developing strategies to better deal with movement
evoked BTP.
PMID- 22092214
TI - Levosimendan for treatment of septic shock: homeotherapy or inadequate therapy?
PMID- 22092217
TI - Gamma oscillation: is there a place in post-operative cognitive dysfunction?
PMID- 22092220
TI - Predicting massive blood transfusion using clinical scores post-trauma.
AB - BACKGROUND AND OBJECTIVES: Early prediction of massive transfusion (MT) post
trauma may reduce mortality by earlier delivery of blood products. A clinical
prediction tool (PWH score) for this purpose was developed at the Prince of Wales
Hospital, Hong Kong. The aims of this study were to apply this tool to major
trauma patients in Victoria, Australia and compare the score to the Assessment of
Blood Consumption (ABC) score and the Trauma-Associated Severe Haemorrhage (TASH)
score. METHODS: A retrospective review of patients entered into the The Alfred
Trauma Registry between January 2006 and December 2009 was conducted. The
performance of the PWH score to predict MT defined by 5 units of packed red blood
cells in 4 h was compared with the ABC and TASH scores. Included patients
presented to the Emergency & Trauma Centre from the scene and had had complete
datasets with respect to the components of the three scores. RESULTS: There were
1234 patients included in the study with 195 (15.8%) receiving a MT and an
overall mortality of 14.0%. The PWH score had an area under the receiver
operating characteristics (ROC) curve of 0.842 (95% CI: 0.820-0.862). The area
under the ROC curve of the PWH score was significantly less than that of the TASH
score (chi(2)=19.8, P<0.001) and significantly greater than that of the ABC score
(chi(2)=9.3, P=0.002). CONCLUSIONS: The PWH score performs with similar accuracy
when applied to an Australian population as in its derivation population. The
relative simplicity of the PWH score makes it a viable tool for clinical use,
although utility of such tools may be more suited for research in determining
inclusion or exclusion criteria for comparative outcome studies.
PMID- 22092221
TI - Evaluation of sublingual microcirculatory blood flow in the critically ill.
AB - BACKGROUND: The microcirculation regulates the supply of oxygen and nutrients to
tissues. The sublingual region is frequently used as a window to microcirculation
in critically ill patients. Numerous studies have reported impaired sublingual
microcirculatory flow. We hypothesized that the quality of sidestream dark field
imaging (SDF) recordings could be systematically analyzed to justify the
monitoring of sublingual microcirculation in interventional studies or in
clinical practice. METHODS: The sublingual microcirculation in critically ill
patients with septic shock, open heart surgery, or alcoholic pancreatitis, and
healthy subjects was recorded with a hand held SDF device by one trained
investigator in observational setting. A total of 82 video recording sessions
were performed and 240 video clips eligible for quality assessment were
identified. Quality assessment was performed offline by two investigators
independently and blinded for the origin of the video file. RESULTS: Of the 240
clips, pressure artifact was detected in 86 (36%), major blood in 5 (2.1%), major
saliva in 21 (8.8%) and extreme brightness causing loss of visible capillaries in
16 (6.7%) clips. The dominating vessel architecture was multiple size vessels in
228 (95%) and repeating capillary loop motif in 12 (5.0%). The mean (+/- SD)
relative size reduction during stabilization was -6.9% (+/- 4.7%). Excellent
technical quality was detected in 74 of 240 (30.8%) recordings. CONCLUSIONS: Our
findings highlight the need of a comprehensive training period and reporting of
data quality before findings with SDF imaging can be accepted as surrogate end
points in interventional studies or as guidance in clinical practice.
PMID- 22092222
TI - Composite QDs@MIP nanospheres for specific recognition and direct fluorescent
quantification of pesticides in aqueous media.
AB - Quantum dots (QDs)-based molecularly imprinted polymer (MIP) composite
nanospheres were successfully prepared via a facile and versatile ultrasonication
assisted encapsulation method. Unlike the hydrogen-bond-based MIPs, these so
prepared QDs-MIP composite nanospheres, relying on the interaction including van
der Waals forces and hydrophobic forces, demonstrated excellent selectivity in
aqueous media. Their small particle sizes and carboxyl-enriched polymer matrixes
give rise to their good dispersibility and stability in aqueous solution, and
faster adsorption and desorption kinetics, which further make them extensively
applicable for chemical/biological sensors in aqueous media. Based on the
fluorescence quenching via template analytes (diazinon) rebinding into the
recognition cavities in the polymer matrixes, the QDs-MIP nanospheres were
successfully applied to the direct fluorescence quantification of diazinon,
independent of extracting templates from the MIP nanospheres, as well as further
complicated and time-consuming assays. This novel method can selectively and
sensitively detect down to 50 ng/mL of diazinon in water, and a linear
relationship has been obtained covering the concentration range of 50-600 ng/mL.
The present studies provide a new and general strategy to fabricate other
multifunctional (luminescent and magnetic) inorganic-organic MIP nanocomposites
with highly selective recognition ability in aqueous media and are pretty
desirable for biomedical/chemical sensing applications.
PMID- 22092223
TI - Isotope fractionation in aqua-gas systems: Cl(2)-HCl-Cl(-), Br(2)-HBr-Br(-) and
H(2)S-S(2-).
AB - We report calculated values of isotope fractionation factors between chlorine,
bromine and sulphide hydrated anions and respective gaseous compounds: hydrogen
chloride, hydrogen bromide, molecular chlorine and bromine and hydrogen sulphide.
For the calculation of the reduced partition function ratios (beta-factors) of
hydrated Cl(-), Br(-) and S(2-) anions, we used a model of a cluster composed of
the considered ion surrounded by two shells of H(2)O molecules. Only the
electrostatic interaction between ion and water molecules treated as electric
dipoles was taken into account. The beta-factors for the gaseous compounds (HCl,
Cl(2), HBr, Br(2) and H(2)S) were calculated from vibrational frequencies
reported by Urey and Greiff [Isotopic Exchange Equilibria, J. Am. Chem. Soc. 57,
321 (1935)] and Schauble et al. [Theoretical Estimates Equilibrium Chlorine
Isotope Fractionation, Geochim. Cosmochim. Acta 67, 3267 (2003)]. Low-temperature
isotope fractionation between chlorine-hydrated anion and hydrogen chloride
attains 1.55-1.680/00 (this work), which is in good agreement with experimental
data (1.4-1.80/00) [Z.D. Sharp, J.D. Barnes, T.P. Fischer and M. Halick, An
Experimental Determination of Chlorine Isotope Fractionation in Acid Systems and
Applications to Volcanic Fumaroles, Geochim. Cosmochim. Acta 74, 264 (2010)]. The
predicted isotope fractionations for hydrated bromine and HBr, Br(2) gases are
very small, 1000 ln alpha, do not exceed 0.80/00; thus, the expected variations
of bromine isotope composition in aqua-gas systems will require enhanced
precision for their detection. In contrast, the sulphur isotope fractionation
between H(2)S( gas ) and S(2-) attains 6.00/00 at room temperature and drops
nearly linearly to 3.10/00 at 350 degrees C.
PMID- 22092224
TI - Magnetic resonance volumetry and spectroscopy of hippocampus and insula in
relation to severe exposure of traumatic stress.
AB - Severe and chronic stress affects the hippocampus, especially during development.
However, studies concerning structural alterations of the hippocampus yielded a
rather inconsistent picture. Moreover, further anxiety-relevant brain regions,
such as the insula, might be implicated in the pathophysiology of posttraumatic
stress disorder (PTSD). We combined magnetic resonance (MR) volumetric and
spectroscopic analyses of hippocampus and insula in highly traumatized refugees
without a history of alcohol/substance abuse or other comorbid diseases. No PTSD
related difference was apparent in the volumes or neurometabolite levels of
bilateral hippocampus or insula. However, an association between left hippocampal
N-acetyl-aspartate (NAA) and adverse childhood experiences indicated a potential
detrimental effect of the early environment on hippocampal integrity. Our results
add to increasing evidence that PTSD-related, morphological alterations in the
hippocampus are a consequence of early adversity or may result from other
factors, such as extensive use of alcohol.
PMID- 22092225
TI - Health services research and global health.
PMID- 22092226
TI - Does HIV services decentralization protect against the risk of catastrophic
health expenditures?: some lessons from Cameroon.
AB - OBJECTIVE: Scaling up antiretroviral treatment (ART) through decentralization of
HIV care is increasingly recommended as a strategy toward ensuring equitable
access to treatment. However, there have been hitherto few attempts to
empirically examine the performance of this policy, and particularly its role in
protecting against the risk of catastrophic health expenditures (CHE). This
article therefore seeks to assess whether HIV care decentralization has a
protective effect against the risk of CHE associated with HIV infection. DATA
SOURCE AND STUDY DESIGN: We use primary data from the cross-sectional EVAL-ANRS
12-116 survey, conducted in 2006-2007 among a random sample of 3,151 HIV-infected
outpatients followed up in 27 hospitals in Cameroon. DATA COLLECTION AND METHODS:
Data collected contain sociodemographic, economic, and clinical information on
patients as well as health care supply-related characteristics. We assess the
determinants of CHE among the ART-treated patients using a hierarchical logistic
model (n = 2,412), designed to adequately investigate the separate effects of
patients and supply-related characteristics. PRINCIPAL FINDINGS: Expenditures for
HIV care exceed 17 percent of household income for 50 percent of the study
population. After adjusting for individual characteristics and technological
level, decentralization of HIV services emerges as the main health system factor
explaining interclass variance, with a protective effect on the risk of CHE.
CONCLUSION: The findings suggest that HIV care decentralization is likely to
enhance equity in access to ART. Decentralization appears, however, to be a
necessary but insufficient condition to fully remove the risk of CHE, unless
other innovative reforms in health financing are introduced.
PMID- 22092227
TI - A proposed framework for understanding the forces behind legislation of universal
health insurance: lessons from ten countries.
AB - OBJECTIVE: To understand the forces propelling countries to legislate universal
health insurance. DATA SOURCE/STUDY DESIGN: Descriptive review and exploratory
synthesis of historic data on economic, geographic, socio-demographic, and
political factors. DATA EXTRACTION METHODS: We searched under "insurance, health"
on MEDLINE and Google Scholar, and we reviewed relevant books and articles via a
snowball approach. PRINCIPAL FINDINGS: Ten countries with universal health
insurance were studied. For the five countries that passed final universal
insurance laws prior to 1958, we found that two forces of "historical context"
(i.e., social solidarity and historic patterns), one "ongoing dynamic force"
(political pressures), and "one uniqueness of the moment" force (legislative
permissiveness) played a major role. For the five countries that passed final
legislation between 1967 and 2010, the predominant factors were two "ongoing
dynamic forces" (economic pressures and political pressures) and one "uniqueness
of the moment" force (leadership). In general, countries in the former group made
steady progress, whereas those in the latter group progressed in abrupt leaps.
CONCLUSIONS: The lessons of more recent successes-almost all of which were
achieved via abrupt leaps-strongly indicate the importance of leadership in
taking advantage of generalized economic and political pressures to achieve
universal health insurance.
PMID- 22092228
TI - Organizational culture and its relationship with hospital performance in public
hospitals in China.
AB - OBJECTIVE: To measure perceptions of organizational culture among employees of
public hospitals in China and to determine whether perceptions are associated
with hospital performance. DATA SOURCES: Hospital, employee, and patient surveys
from 87 Chinese public hospitals conducted during 2009. STUDY DESIGN: Developed
and administered a tool to assess organizational culture in Chinese public
hospitals. Used factor analysis to create measures of organizational culture.
Analyzed the relationships between employee type and perceptions of culture and
between perceptions of culture and hospital performance using multivariate
models. PRINCIPAL FINDINGS: Employees perceived the culture of Chinese public
hospitals as stronger in internal rules and regulations, and weaker in
empowerment. Hospitals in which employees perceived that the culture emphasized
cost control were more profitable and had higher rates of outpatient visits and
bed days per physician per day but also had lower levels of patient satisfaction.
Hospitals with cultures perceived as customer-focused had longer length of stay
but lower patient satisfaction. CONCLUSIONS: Managers in Chinese public hospitals
should consider whether the culture of their organization will enable them to
respond effectively to their changing environment.
PMID- 22092229
TI - Functional torque ratios and torque curve analysis of shoulder rotations in
overhead athletes with and without impingement symptoms.
AB - In this study, we evaluated the peak torque, functional torque ratios, and torque
curve profile of the shoulder rotators in overhead athletes with impingement
symptoms so as to examine possible alterations in response to sports training and
shoulder pain. Twenty-one overhead athletes with impingement symptoms were
compared with 25 overhead athletes and 21 non-athletes, none of whom were
symptomatic for impingement. The participants performed five maximal isokinetic
concentric and eccentric contractions of medial and lateral shoulder rotations at
1.57 rad . s(-1) and 3.14 rad . s(-1). Isokinetic peak torque was used to
calculate the eccentric lateral rotation-to-concentric medial rotation and the
eccentric medial rotation-to-concentric lateral rotation ratios. An analysis of
the torque curve profiles was also carried out. The eccentric lateral rotation-to
concentric medial rotation torque ratio of asymptomatic athletes was lower than
that of non-athletes at both test velocities. The concentric medial rotation
isokinetic peak torque of the asymptomatic athletes, at 3.14 rad . s(-1), was
greater than that of the non-athletes, and the peak appeared to occur earlier in
the movement for athletes than non-athletes. These findings suggest that there
may be adaptations to shoulder function in response to throwing practice. The
eccentric medial rotation-to-concentric lateral rotation torque ratio was altered
neither by the practice of university-level overhead sports nor impingement
symptoms.
PMID- 22092230
TI - Identification of heptapeptides interacting with IFN-alpha-sensitive CML cells.
AB - BACKGROUND: Interferon-alpha (IFN-alpha) is the traditional therapeutic agent for
chronic myeloid leukemia (CML). The molecular mechanism of IFN-alpha efficacy in
the treatment of CML is not fully clear. OBJECTIVES: To identify the peptides
and/or proteins that bind to the proteins specifically expressed on the surface
of IFN-alpha-sensitive CML cells by using a phage display library.
DESIGN/METHODS: IFN-alpha-sensitive KT-1/A3 cells were used as the target, and
IFN-alpha-resistant subline KT-1/A3R was used as absorber for phage display
biopanning. The positive phage clones were identified by enzyme-linked
immunosorbent assay and flow cytometry. The peptides were deduced from their DNA
sequences. RESULTS: Multiple clones showed high binding efficiency to KT-1/A3
cells compared with that of the other leukemia cells. One of the peptides,
KLWVIPQ, has a partial amino acid sequence homology with the C-terminal domain of
E3 ubiquitin-protein ligase. CONCLUSIONS: This study presents the identification
of specific heptapeptides that bind to IFN-alpha-sensitive KT-1/A3 cells. The
cancer-selective ligands provide novel strategies for early and differential
diagnoses, as well as potential targeted drug delivery.
PMID- 22092231
TI - A prospective cohort study of geriatric syndromes among older medical patients
admitted to acute care hospitals.
AB - OBJECTIVES: To identify the prevalence of geriatric syndromes in the premorbid
for all syndromes except falls (preadmission), admission, and discharge
assessment periods and the incidence of new and significant worsening of existing
syndromes at admission and discharge. DESIGN: Prospective cohort study. SETTING:
Three acute care hospitals in Brisbane, Australia. PARTICIPANTS: Five hundred
seventy-seven general medical patients aged 70 and older admitted to the
hospital. MEASUREMENTS: Prevalence of syndromes in the premorbid (or preadmission
for falls), admission, and discharge periods; incidence of new syndromes at
admission and discharge; and significant worsening of existing syndromes at
admission and discharge. RESULTS: The most frequently reported premorbid
syndromes were bladder incontinence (44%), impairment in any activity of daily
living (ADL) (42%). A high proportion (42%) experienced at least one fall in the
90 days before admission. Two-thirds of the participants experienced between one
and five syndromes (cognitive impairment, dependence in any ADL item, bladder and
bowel incontinence, pressure ulcer) before, at admission, and at discharge. A
majority experienced one or two syndromes during the premorbid (49.4%), admission
(57.0%), or discharge (49.0%) assessment period. The syndromes with a higher
incidence of significant worsening at discharge (out of the proportion with the
syndrome present premorbidly) were ADL limitation (33%), cognitive impairment
(9%), and bladder incontinence (8%). Of the syndromes examined at discharge, a
higher proportion of patients experienced the following new syndromes at
discharge (absent premorbidly): ADL limitation (22%); and bladder incontinence
(13%). CONCLUSION: Geriatric syndromes were highly prevalent. Many patients did
not return to their premorbid function and acquired new syndromes.
PMID- 22092232
TI - Postoperative opioid consumption and its relationship to cognitive function in
older adults with hip fracture.
AB - OBJECTIVES: To determine the relationship between opioid consumption and
cognitive impairment after hip fracture repair. DESIGN: Prospective study of
consecutive patients. SETTING: Johns Hopkins Bayview Medical Center, Baltimore,
Maryland. PARTICIPANTS: Two hundred thirty-six participants aged 65 and older
undergoing hip fracture repair. MEASUREMENTS: Older adults without preoperative
delirium who underwent hip fracture repair between April 2005 and July 2009 were
followed for pain, opioid consumption, and postoperative delirium. Participants
were tested for delirium using the Confusion Assessment Method preoperatively and
midmorning on Postoperative Day 2. The nursing staff assessed pain on a numeric
oral scale (range 0-10). Opioid analgesia was provided in response to pain at
rest to achieve scores of 3 or less. Opioid consumption was analyzed with respect
to the occurrence of incident postoperative delirium, presence of dementia, and
other demographic variables. RESULTS: Of the 236 participants, 66 (28%) had
dementia, and 213 (90%) received opioids postoperatively, including 55 (83%) with
dementia and 158 (93%) without. There was no association between the use of any
postoperative opioid and incident delirium (P = .61) in participants with (P =
.33) and without (P = .40) dementia. Dementia, but not postoperative delirium,
was associated with less opioid use (P < .001 for dementia; P = .12 for delirium;
P = .04, for their interaction; Wald chi-square = 142.8, df = 7). Opioid dose (P
>= .59) on Postoperative Days 1 and 2 was not predictive of incident delirium.
Dementia (P < .001) and intensive care unit admission (P = .006), not opioid
consumption, were the most important predictors of incident postoperative
delirium. CONCLUSION: Concern for postoperative delirium should not prevent the
use of opioid analgesic therapy sufficient to achieve a generally accepted level
of comfort in individuals with or without preexisting cognitive impairment.
PMID- 22092233
TI - Mechanical testing of orthopedic suture material used for extra-articular
stabilization of canine cruciate ligament-deficient stifles.
AB - OBJECTIVE: To determine (1) if braided, polyblend orthopedic suture materials are
mechanically superior to monofilament nylon leader and (2) have mechanical
properties similar to biomechanical properties of the canine cruciate ligament.
SAMPLE POPULATION: Different suture material types. METHODS: Mechanical testing
was performed on 5 different orthopedic suture materials: 80# test Mason
monofilament nylon leader (MNL), FiberTape (FT), FiberWire (FW), Xgen OrthoFiber
(XOF), and LigaFiba (LF) using a servohydraulic materials-testing machine.
Materials were loaded to failure while collecting data for tensile strength, load
at 3 mm and 5 mm of elongation and stiffness. Cyclic elongation of each suture
material was tested under physiologic loading between 70 and 150 N for 1000
cycles using 3 mm of elongation to describe excessive elongation. Load at 3 mm of
elongation and performance during cyclic testing were compared to previously
published physiologic loads in the dog stifle. RESULTS: Ultimate tensile strength
was greatest with LF, followed by XOF that was stronger than FT and FW, and the
weakest was MNL. LF was the stiffest of all tested materials at 3 mm of
elongation. Cyclic elongation was greatest for the MNL elongating 3.75 mm after
1000 cycles. All polyblend braided materials continued to elongate throughout the
1000 cycles under physiologic loads. CONCLUSIONS: Polyblend suture materials are
stronger and elongate less than MNL in pure tension. The mechanical performance
of all sutures tested is questionable when compared with the mechanical demands
of the normal stifle in a mid-sized dog.
PMID- 22092234
TI - Not at all so hard-to-reach: same-sex attracted men in Dar es Salaam.
AB - Based on research in Tanzania, this paper critically examines the widely
circulating notion that African same-sex attracted men are hard-to-reach
individuals and populations. Despite expectations to the contrary, it was neither
time consuming nor difficult to identify and get to know same-sex attracted men
in Dar es Salaam. On the contrary, a large and diverse group of such men could
readily be encountered, befriended and involved in HIV-related research. The
fieldwork was characterised by communicative openness and the researcher was
treated with immense kindliness, hospitality and inclusivity. While we may not be
in a position to say that the situation is identical everywhere else, we find
reason to caution against accepting and propagating unexplained, unexamined and
unverified claims to the effect that same-sex attracted men in Africa cannot be
reached. We argue that such claims contribute to stigmatise same-sex attracted
men and to hinder much-needed HIV-related research and programming.
PMID- 22092235
TI - Electrically bistable properties of layer-by-layer assembled multilayers based on
protein nanoparticles.
AB - Electrochemical properties of redox proteins, which can cause the reversible
changes in the resistance according to their redox reactions in solution, are of
the fundamental and practical importance in bioelectrochemical applications.
These redox properties often depend on the chemical activity of transition metal
ions as cofactors within the active sites of proteins. Here, we demonstrate for
the first time that the reversible resistance changes in dried protein films
based on ferritin nanoparticles can be caused by the externally applied voltage
as a result of charge trap/release of Fe(III)/Fe(II) redox couples. We also show
that one ferritin nanoparticle of about 12 nm size can be operated as a nanoscale
memory device, and furthermore the layer-by-layer assembled protein multilayer
devices can be extended to bioinspired electronics with adjustable memory
performance via molecular level manipulation.
PMID- 22092237
TI - Metal-mediated affinity and orientation specificity in a computationally designed
protein homodimer.
AB - Computationally designing protein-protein interactions with high affinity and
desired orientation is a challenging task. Incorporating metal-binding sites at
the target interface may be one approach for increasing affinity and specifying
the binding mode, thereby improving robustness of designed interactions for use
as tools in basic research as well as in applications from biotechnology to
medicine. Here we describe a Rosetta-based approach for the rational design of a
protein monomer to form a zinc-mediated, symmetric homodimer. Our metal interface
design, named MID1 (NESG target ID OR37), forms a tight dimer in the presence of
zinc (MID1-zinc) with a dissociation constant <30 nM. Without zinc the
dissociation constant is 4 MUM. The crystal structure of MID1-zinc shows good
overall agreement with the computational model, but only three out of four
designed histidines coordinate zinc. However, a histidine-to-glutamate point
mutation resulted in four-coordination of zinc, and the resulting metal binding
site and dimer orientation closely matches the computational model (Calpha rmsd =
1.4 A).
PMID- 22092238
TI - Distinct white matter abnormalities in different idiopathic generalized epilepsy
syndromes.
AB - PURPOSE: By definition idiopathic generalized epilepsy (IGE) is not associated
with structural abnormalities on conventional magnetic resonance imaging (MRI).
However, recent quantitative studies suggest white and gray matter alterations in
IGE. The purpose of this study was to investigate whether there are white and/or
gray matter structural differences between controls and two subsets of IGE,
namely juvenile myoclonic epilepsy (JME) and IGE with generalized tonic-clonic
seizures only (IGE-GTC). METHODS: We assessed white matter integrity and gray
matter volume using diffusion tensor tractography-based analysis of fractional
anisotropy and voxel-based morphometry, respectively, in 25 patients with IGE,
all of whom had experienced generalized tonic-clonic convulsions. Specifically,
15 patients with JME and 10 patients with IGE-GTC were compared to two groups of
similarly matched controls separately. Correlations between total lifetime
generalized tonic-clonic seizures and fractional anisotropy were investigated for
both groups. KEY FINDINGS: Tractography revealed lower fractional anisotropy in
specific tracts including the crus of the fornix, body of corpus callosum,
uncinate fasciculi, superior longitudinal fasciculi, anterior limb of internal
capsule, and corticospinal tracts in JME with respect to controls, whereas there
were no fractional anisotropy differences in IGE-GTC. No correlation was found
between fractional anisotropy and total lifetime generalized tonic-clonic
seizures for either JME or IGE-GTC. Although false discovery rate-corrected voxel
based morphometry (VBM) showed no gray matter volume differences between patient
and control groups, spatial extent cluster-corrected VBM analysis suggested a
trend of gray matter volume reduction in frontal and central regions in both
patient groups, more lateral in JME and more medial in IGE-GTC. SIGNIFICANCE: The
findings support the idea that the clinical syndromes of JME and IGE-GTC have
unique anatomic substrates. The fact that the primary clinical difference between
JME and IGE-GTC is the occurrence of myoclonus in the former raises the
possibility that disruption of white matter integrity may be the underlying
mechanism responsible for myoclonus in JME. The cross-sectional study design and
relatively small number of subjects limits the conclusions that can be drawn
here; however, the absence of a correlation between fractional anisotropy and
lifetime seizures is suggestive that the white matter abnormalities observed in
JME may not be secondary to seizures.
PMID- 22092239
TI - Expanding the safety net of specialty care for the uninsured: a case study.
AB - OBJECTIVE: To describe core principles and processes in the implementation of a
navigated care program to improve specialty care access for the uninsured. STUDY
SETTING: Academic researchers, safety-net providers, and specialty physicians,
partnered with hospitals and advocates for the underserved to establish Project
Access-New Haven (PA-NH). PA-NH expands access to specialty care for the
uninsured and coordinates care through patient navigation. STUDY DESIGN: Case
study to describe elements of implementation that may be relevant for other
communities seeking to improve access for vulnerable populations. PRINCIPAL
FINDINGS: Implementation relied on the application of core principles from
community-based participatory research (CBPR). Effective partnerships were
achieved by involving all stakeholders and by addressing barriers in each phase
of development, including (1) assessment of the problem; (2) development of
goals; (3) engagement of key stakeholders; (4) establishment of the research
agenda; and (5) dissemination of research findings. CONCLUSIONS: Including safety
net providers, specialty physicians, hospitals, and community stakeholders in all
steps of development allowed us to respond to potential barriers and implement a
navigated care model for the uninsured. This process, whereby we integrated
principles from CBPR, may be relevant for future capacity-building efforts to
accommodate the specialty care needs of other vulnerable populations.
PMID- 22092240
TI - Potential ecological risks of thermal-treated waste recombination DNA discharged
into an aquatic environment.
AB - It has been shown that thermal-treatment at 100 degrees C can denature
deoxyribonucleic acid (DNA), yet this does not cause it to break down completely.
To clarify the risk of gene pollution from thermal-treated recombinant DNA, the
renaturation characteristics of thermal-denatured plasmid pET-28b and its
persistence in aquatic environments were investigated. The results revealed that
the double-stranded structure and transforming activity of the thermal-treated
plasmid DNA could be recovered even if the thermal-treatment was conducted at 120
degrees C. The presence of sodium chloride (NaCl) and ethylenediamine
tetraacetic acid (EDTA) led to the increase of renaturation efficiency of the
denatured DNA. When thermal-treated plasmid DNA was discharged into simulated
aquatic environments with pH values from 5 to 9, it showed a longer persistence
at pH 7 and 8 than that at 5, 6 and 9; however, the denatured plasmid DNA could
persist for more than 33 min at any pH. Moreover, a higher ionic strength further
protected the thermal-denatured plasmids from degradation in the simulated
aquatic environment. These results indicated that when the thermal-treated DNA
was discharged into an aquatic environment, it might not break down completely in
a short period. Therefore, there is the potential for the discarded DNA to
renature and transform, which might result in gene pollution.
PMID- 22092241
TI - Allergic reaction after dextran.
PMID- 22092242
TI - The transcriptome of the arbuscular mycorrhizal fungus Glomus intraradices (DAOM
197198) reveals functional tradeoffs in an obligate symbiont.
AB - * The arbuscular mycorrhizal symbiosis is arguably the most ecologically
important eukaryotic symbiosis, yet it is poorly understood at the molecular
level. To provide novel insights into the molecular basis of symbiosis-associated
traits, we report the first genome-wide analysis of the transcriptome from Glomus
intraradices DAOM 197198. * We generated a set of 25,906 nonredundant virtual
transcripts (NRVTs) transcribed in germinated spores, extraradical mycelium and
symbiotic roots using Sanger and 454 sequencing. NRVTs were used to construct an
oligoarray for investigating gene expression. * We identified transcripts coding
for the meiotic recombination machinery, as well as meiosis-specific proteins,
suggesting that the lack of a known sexual cycle in G. intraradices is not a
result of major deletions of genes essential for sexual reproduction and meiosis.
Induced expression of genes encoding membrane transporters and small secreted
proteins in intraradical mycelium, together with the lack of expression of
hydrolytic enzymes acting on plant cell wall polysaccharides, are all features of
G. intraradices that are shared with ectomycorrhizal symbionts and obligate
biotrophic pathogens. * Our results illuminate the genetic basis of symbiosis
related traits of the most ancient lineage of plant biotrophs, advancing future
research on these agriculturally and ecologically important symbionts.
PMID- 22092243
TI - Combined treatment with immunoadsorption and rituximab leads to fast and
prolonged clinical remission in difficult-to-treat pemphigus vulgaris.
AB - BACKGROUND: Pemphigus vulgaris (PV) is a potentially life-threatening autoimmune
bullous disorder which is characterized by blisters and erosions of the skin and
mucous membranes. A frequently applied first-line therapy for PV consists of
systemic corticosteroids (CS) combined with immunosuppressive agents. In
refractory cases, novel therapeutic strategies such as immunoadsorption (IA) and
the anti-CD20 antibody rituximab (Rtx) aim at directly interfering with
pathogenic autoantibodies (auto-Abs). OBJECTIVES: To investigate the long-term
efficacy of IA in combination with Rtx in patients with difficult-to-treat PV, we
assessed the clinical response to treatment by monitoring the Autoimmune Bullous
Skin Disorder Intensity Score, IgG auto-Abs against desmoglein 1 and 3 (Dsg1 and
Dsg3) and the dose of systemic CS. METHODS: We retrospectively analysed clinical
and serological parameters of 10 patients with difficult-to-treat PV who received
IA at 4-week intervals, followed by Rtx either twice at 1000 mg or four times at
375mg m(-2) . During a 12-month follow-up period, CS were tapered according to
the individual clinical status. RESULTS: Six months after the first IA treatment
eight of 10 patients were in complete remission on therapy while one patient
showed a partial response and one patient was unresponsive to the treatment. At
12 months, six of eight patients were in complete remission on therapy, one
patient showed stable disease and one patient had relapsed. Overall, anti-Dsg3
IgG and anti-Dsg1 IgG auto-Abs correlated well with the clinical activity and
systemic CS were tapered gradually. CONCLUSIONS: The present findings show that
the combination of IA and Rtx induces rapid clinical remission and long-term
control in difficult-to-treat pemphigus.
PMID- 22092244
TI - Chronicle of a death foretold: Plasmodium liver stage parasites decide on the
fate of the host cell.
AB - Protozoan parasites of the genus Plasmodium are the causative agents of malaria.
Despite more than 100 years of research, the complex life cycle of the parasite
still bears many surprises and it is safe to say that understanding the biology
of the pathogen will keep scientists busy for many years to come. Malaria
research has mainly concentrated on the pathological blood stage of Plasmodium
parasites, leaving us with many questions concerning parasite development within
the mosquito and during the exo-erythrocytic stage in the vertebrate host. After
the discovery of the Plasmodium liver stage in the middle of the last century, it
remained understudied for many years but the realization that it represents a
promising target for vaccination approaches has brought it back into focus. The
last decade saw many new and exciting discoveries concerning the exo-erythrocytic
stage and in this review we will discuss the highlights of the latest
developments in the field.
PMID- 22092245
TI - An assessment of patient-based and practice infrastructure-based measures of the
patient-centered medical home: do we need to ask the patient?
AB - OBJECTIVE: To examine the importance of patient-based measures and practice
infrastructure measures of the patient-centered medical home (PCMH). DATA
SOURCES: A total of 3,671 patient surveys of 202 physicians completing the
American Board of Internal Medicine (ABIM) 2006 Comprehensive Care Practice
Improvement Module and 14,457 patient chart reviews from 592 physicians
completing ABIM's 2007 Diabetes and Hypertension Practice Improvement Module.
METHODOLOGY: We estimated the association of patient-centered care and practice
infrastructure measures with patient rating of physician quality. We then
estimated the association of practice infrastructure and patient rating of care
quality with blood pressure (BP) control. RESULTS: Patient-centered care measures
dominated practice infrastructure as predictors of patient rating of physician
quality. Having all patient-centered care measures in place versus none was
associated with an absolute 75.2 percent increase in the likelihood of receiving
a top rating. Both patient rating of care quality and practice infrastructure
predicted BP control. Receiving a rating of excellent on care quality from all
patients was associated with an absolute 4.2 percent improvement in BP control.
For reaching the maximum practice-infrastructure score, this figure was 4.5
percent. CONCLUSION: Assessment of physician practices for PCMH qualification
should consider both patient based patient-centered care measures and practice
infrastructure measures.
PMID- 22092247
TI - Re: Preoperative stenting decreases operative time and reoperative rates of
ureteroscopy (from: Chu L, Sternberg KM, Averch TD. Preoperative stenting
decreases operative time and reoperative rates of ureteroscopy. J Endourol
2011;25:751-754).
PMID- 22092248
TI - The acute effects of intranasal oxytocin on automatic and effortful attentional
shifting to emotional faces.
AB - Oxytocin is known to promote social affiliation. The mechanism by which this
occurs is unknown, but it may involve changes in social information processing.
In a placebo-controlled study, we examined the influence of intranasal oxytocin
on effortful and automatic attentional shifting in 57 participants using a
spatial cueing task with emotional and neutral faces. For effortful processing,
oxytocin decreased the speed of shifting attention to sad faces presented for 750
ms and facilitated disengagement from right hemifield sad and angry faces
presented for 200 ms. For automatic processing, symptoms of depression moderated
the relationship between drug and disengagement. Oxytocin attenuated an
attentional bias to masked angry faces on disengagement trials in persons with
high depression scores. Oxytocin's influence on social behavior may occur, in
part, by eliciting flexible attentional shifting in the early stages of
information processing.
PMID- 22092249
TI - Stable isotope fractionation related to technically enhanced bacterial sulphate
degradation in lignite mining sediments.
AB - A mine dump aquifer in the Lusatian lignite mining district, Germany, is
contaminated with acid mine drainage (AMD). The only natural process that can
counteract the effects of the contamination is bacterial sulphate reduction. The
technical measures chosen to handle the contamination include the injection of
glycerol into the aquifer to supply electron donors and to accelerate the growth
and activity of sulphate-reducing bacteria. An initial assessment of the
hydrochemical conditions in the aquifer showed that sulphate concentrations are
subject to alteration due to flow-related processes. Consequently, the decision
whether sulphate reduction is occurring in the investigated aquifer section was
based on the stable isotopic composition of dissolved sulphate and sulphide,
which were used in combination with sulphate concentrations. The significant
enrichment of both heavy sulphur and heavy oxygen in the remaining sulphate pool
and a characteristic isotope fractionation pattern are a clear evidence for the
activity of sulphate-reducing bacteria utilising the injected glycerol as an
electron donor. This activity seemed to intensify over the observation period.
The spatial distribution of sulphate reduction activity, however, appeared to be
highly inhomogeneous. Rather than occurring ubiquitously, sulphate reduction
activity seemed to concentrate in a defined reaction zone. Regardless of the
inhomogeneous distribution, the overall turnover of sulphate during the period of
investigation proves the applicability of this enhanced natural attenuation
method to handle the restoration of aquifers contaminated with AMD.
PMID- 22092250
TI - Trait means and desirabilities as artifactual and real sources of differential
stability of personality traits.
AB - Using data from 3 personality trait inventories and 7 samples, we show that trait
items that have means near the scale midpoint and that vary more in their
perceived desirability (e.g., items related to dominance, creativity,
traditionalism, and organization) tend to be more stable over time, whereas items
with means near the scale maximum or minimum and that vary less in their
perceived desirability (e.g., items related to agreeableness, intellect, and
reliability) tend to be less stable. Our findings indicate that items with means
near the scale maximum or minimum have lower stabilities primarily due to having
lower measurement dependability (i.e., short-term stabilities unlikely to reflect
true change). However, items varying more in their desirability are more stable
even after accounting for measurement dependability, consistent with the view
that trait stability is facilitated in part by individuals actively working to
develop in the direction they find desirable.
PMID- 22092251
TI - Differences in clinical features and in-hospital outcomes of older adults with
tako-tsubo cardiomyopathy.
AB - OBJECTIVES: To describe the clinical characteristics and in-hospital outcomes of
older adults with tako-tsubo cardiomyopathy (TTC). DESIGN: Partially
retrospective, partially prospective observational study. SETTING: Eleven Italian
referral cardiac centers included in the Tako-tsubo Italian Network.
PARTICIPANTS: One hundred ninety consecutive individuals with TTC (92.1% female,
mean age 66) were divided into three groups according to age (<65, n = 78; 65-74,
n = 61; >=75, n = 51). MEASUREMENTS: Clinical findings and in-hospital outcomes
were evaluated in each group. RESULTS: Participants aged 65 and older had a
greater prevalence of hypertension (P = .001) and a lower glomerular filtration
rate (P < .001), and those aged 65 to 74 had a greater prevalence of psychiatric
disorders (P = .01), ST-segment elevation on admission (P = .01) and a
cerebrovascular disease (P = .003) than those younger than 65. Despite similar
left ventricular ejection fraction (LVEF) on admission (P = .26), the oldest
group had a lower LVEF at discharge (P = .03). Inotropic agents were used more
frequently in older adults (P = .03). In-hospital composite adverse events (all
cause death, acute heart failure, life-threatening arrhythmias, stroke, and
cardiogenic shock; P = .03) and overall complications (P = .004) were more common
in participants aged 75 and older. Overall in-hospital mortality was low (2.8%)
but was more prevalent in participants aged 75 and older (6.3%). On multivariate
analysis, age of 75 and older (hazard ratio (HR) = 2.45, 95% confidence interval
(CI) = 1.28-5.82, P = .04) and LVEF on admission (HR = 0.874, 95% CI = 0.81-0.95,
P < .001) were the only independent predictors of in-hospital adverse events.
CONCLUSION: The clinical profile of participants aged 75 and older with TTC was
different from that of those younger than 75 with TTC, and they had a higher in
hospital complication rate.
PMID- 22092252
TI - Selective removal of undifferentiated human embryonic stem cells using magnetic
activated cell sorting followed by a cytotoxic antibody.
AB - One of the most pertinent concerns of using differentiated cells derived from
human embryonic stem cells (hESC) is the presence of residual undifferentiated
hESC, because they carry a risk of teratoma formation. A new cell-cell separation
approach that eliminates teratoma-forming hESC in order to ensure safer cell
therapy was developed. By combining antibodies (IgMs or IgGs) for the selective
removal of undifferentiated hESC using magnetic activated cell sorting (MACS)
followed by selective killing of residual hESC with the unique cytotoxic antibody
mAb 84, the required purity of differentiated hESC can be achieved. The
applicability and robustness of this separation strategy is shown here in a case
study using pools of undifferentiated hESC and human fibroblast cells at
different ratios (5%-50% hESC) to reflect the different scenario of contaminating
hESC in a differentiated cell population. Notably, 97.2%-99.7% of the hESC were
removed after the MACS step and 99.1%-100%, after the mAb 84 treatment step,
which was confirmed by double-staining flow cytometry and RT-qPCR analysis. These
in vitro findings were further validated in an in vivo severe combined
immunodeficiency (SCID) mouse model. Importantly, we observed the absence of
teratoma formation in eight out of nine SCID mice 28 weeks postinjection of cells
after the MACS step, whereas teratomas were observed in all of the controls.
Thus, the combination of MACS with the unique cytotoxic antibody mAb 84
constitutes an indispensible tool for successful and safe cell therapy.
PMID- 22092253
TI - Foot strike patterns of recreational and sub-elite runners in a long-distance
road race.
AB - Although the biomechanical properties of the various types of running foot strike
(rearfoot, midfoot, and forefoot) have been studied extensively in the
laboratory, only a few studies have attempted to quantify the frequency of
running foot strike variants among runners in competitive road races. We
classified the left and right foot strike patterns of 936 distance runners, most
of whom would be considered of recreational or sub-elite ability, at the 10 km
point of a half-marathon/marathon road race. We classified 88.9% of runners at
the 10 km point as rearfoot strikers, 3.4% as midfoot strikers, 1.8% as forefoot
strikers, and 5.9% of runners exhibited discrete foot strike asymmetry. Rearfoot
striking was more common among our sample of mostly recreational distance runners
than has been previously reported for samples of faster runners. We also compared
foot strike patterns of 286 individual marathon runners between the 10 km and 32
km race locations and observed increased frequency of rearfoot striking at 32 km.
A large percentage of runners switched from midfoot and forefoot foot strikes at
10 km to rearfoot strikes at 32 km. The frequency of discrete foot strike
asymmetry declined from the 10 km to the 32 km location. Among marathon runners,
we found no significant relationship between foot strike patterns and race times.
PMID- 22092254
TI - Quality of pharmacokinetic studies in critically ill patients receiving
continuous renal replacement therapy.
AB - Continuous renal replacement therapy (CRRT) is the preferred renal replacement
therapy modality in the critically ill. We aimed to reveal the literature on the
pharmacokinetic studies in critically ill patients receiving CRRT with special
reference to quality assessment of these studies and the CRRT dose. We conducted
a systematic review by searching the MEDLINE, EMBASE, and the Cochrane databases
to December 2009 and bibliographies of relevant review articles. We included
original studies reporting from critically ill adult subjects receiving CRRT
because of acute kidney injury with a special emphasis on drug pharmacokinetics.
We used the minimum reporting criteria for CRRT studies by Acute Dialysis Quality
Initiative (ADQI) and, second, the Downs and Black checklist to assess the
quality of the studies. We calculated the CRRT dose per study. We included
pharmacokinetic parameters, residual renal function, and recommendations on drug
dosing. Of 182 publications, 95 were considered relevant and 49 met the inclusion
criteria. The median [interquartile range (IQR)] number of reported criteria by
ADQI was 7.0 (5.0-8.0) of 12. The median (IQR) Downs and Black quality score was
15 (14-16) of 32. None of the publications reported CRRT dose directly. The
median (IQR) weighted CRRT dose was 23.7 (18.8-27.9) ml/kg/h. More attention
should be paid both to standardizing the CRRT dose and reporting of the CRRT
parameters in pharmacokinetic studies. The general quality of the studies during
CRRT in the critically ill was only moderate and would be greatly improved by
reports in concordant with the ADQI recommendations.
PMID- 22092255
TI - Raman doping profiles of polyelectrolyte SWNTs in solution.
AB - We present a resonance Raman study of electrochemical charge transfer doping on
polyelectrolyte single-walled carbon nanotubes (SWNTs) in solution. Changes in
the intensity of the radial breathing modes of well-identified SWNTs are measured
as a function of the electrochemical potential. The intensity is maximum when the
nanotubes are neutral. Unexpectedly, the Raman signal decreases as soon as
charges are transferred to the nanotubes, leading to intensity profiles that are
triangular for metallic and trapezoidal for semiconducting nanotubes. A key
result is that the width in energy of the plateaus for the semiconducting
nanotubes is roughly equal to the optical gap (rather than the free carrier gap).
While these experiments can be used to estimate the energy levels of individual
nanotubes, strong dynamical screening appears to dominate in individual SWNT
polyelectrolytes so that only screened energy levels are being probed.
PMID- 22092256
TI - Biomechanical evaluation of screw-in femoral implant in cementless total hip
system.
AB - OBJECTIVE: To compare (1) proximal femoral axial strains, (2) femoral head
deflection, and (3) failure mechanical properties, between Helica head and neck
prosthesis implanted femora and normal femora. STUDY DESIGN: In vitro study.
SAMPLE POPULATION: Cadaveric canine femora (n = 5 pair). METHODS: Femoral bone
strains and head displacement during in vitro simulation of midstance of the
gallop were evaluated using cadaveric femurs cyclically loaded in vitro. Strains
and displacements were compared within femurs, before and after, prosthesis
implantation; and throughout cycling to seek evidence of movement with cyclic
loading. Subsequently, implanted femurs and contralateral, intact femurs were
loaded to failure to compare failure mechanical properties and modes of failure.
RESULTS: Proximal femoral axial strains were significantly different between
intact and implanted femora on all 4 cortical surfaces (P < .05). Compressive
strains were lower in the implanted femur on all cortical surfaces, except on the
caudal surface which was higher. No difference was noted for femoral head angle
under an axial load corresponding to gallop (P > .05). Vertical head displacement
was ~0.1 mm greater for implanted femora than intact femora (P < .05). Yield and
failure loads and yield energy of implanted femora were 39-54% lower than those
for intact femora (P < .05). Mode of failure for both the intact and implanted
femora did not appear to be different. CONCLUSION: Helica femoral prosthesis
alters strain distribution in the proximal aspect of the femur and exhibits
initial micromotion. Failure load in axial compression of the Helica-implanted
femur is less than that of the normal femur, but greater than that expected in
vivo.
PMID- 22092257
TI - A once-per-day, drug-in-food protocol for prolonged administration of
antiepileptic drugs in animal models.
AB - PURPOSE: Convenient and effective methods for administering potential
antiepileptic drugs (AEDs) chronically should facilitate many experiments in
animal models of chronic epilepsy with spontaneous recurrent seizures. This proof
of-principle study aimed to optimize a once-per-day, drug-in-food protocol by
testing the effect of carbamazepine (CBZ) on the frequency of convulsive seizures
in rats with kainate-induced epilepsy. METHODS: Adult male rats were given
repeated low-dose kainate injections until convulsive status epilepticus
persisted for >3 h. After the rats developed spontaneous recurrent seizures, food
pellets with CBZ (30, 100, or 300 mg/kg/day) were provided once per day in three
2-week trials (n = 7-9 rats) involving 5 days of CBZ or control treatment,
separated by two recovery days within a trial. The total amount of food provided
and consumed per day corresponded to a normal caloric diet (60 g/kg/day). KEY
FINDINGS: When provided once per day, all animals ate the CBZ-containing food
irregularly but continuously throughout the 24-h day. With this daily feeding
protocol, CBZ significantly reduced the frequency of spontaneous convulsive
seizures in a dose-dependent manner. It is important to note that the effect of
CBZ was consistent across the 5 days and throughout each day of the trials. With
food administered at 9:00 a.m., and blood assayed at 5:00 p.m., higher food
levels of CBZ resulted in higher plasma concentrations of CBZ. SIGNIFICANCE: This
AED-in-food protocol is simple, efficient, inexpensive, reliable, and
noninvasive; it allows easier long-term drug administration and is less stressful
and more humane than other methods of AED administration.
PMID- 22092258
TI - Depression is associated with sarcopenia, not central obesity, in elderly korean
men.
AB - OBJECTIVES: To examine the relationship between depression and various components
of body composition, including fat and muscle, in elderly Koreans. DESIGN: A
cross-sectional sample of a longitudinal cohort from the Ansan Geriatric (AGE)
Study. SETTING: Elderly people living in urban area (Ansan City, South Korea).
PARTICIPANTS: Eight hundred thirty-six participants (378 male, 458 female) aged
60 and older were recruited from April 2006 to January 2008. MEASUREMENTS:
Depressive symptoms were examined using the Korean version of the 30-item
Geriatric Depression Scale (KGDS). Participants taking antidepressant medications
or with a KGDS score of 14 or greater were classified as having depression.
Abdominal visceral fat area (VFA) and subcutaneous fat area were assessed using
single-slice computed tomography, and appendicular skeletal muscle mass (ASM) and
percentage body fat (%BF) were determined using whole-body dual-energy X-ray
absorptiometry. RESULTS: Elderly men with depression had a lower ASM than those
without depression (P = .01) after adjusting for age, body weight, and height. In
men, the risk of depression was lower with higher body mass index (BMI) (odds
ratio (OR) per 1-standard deviation (SD) increase = 0.70, 95% confidence interval
(CI) = 0.51-0.96) after adjusting for all confounding variables and higher ASM
(OR per 1-SD increase = 0.49; 95% CI = 0.29-0.85) after controlling for age,
height, and weight. Similarly, depression was negatively associated with BMI in
women (OR per 1-SD increase = 0.73; 95% CI = 0.56-0.95). Waist circumference,
%BF, and VFA were not consistently associated with depression in men or women.
CONCLUSION: Depression in elderly Koreans is associated with low body mass and
sarcopenia, especially in men.
PMID- 22092259
TI - Physician social networks and variation in prostate cancer treatment in three
cities.
AB - OBJECTIVE: To examine whether physician social networks are associated with
variation in treatment for men with localized prostate cancer. DATA SOURCE: 2004
2005 Surveillance, Epidemiology and End Results-Medicare data from three cities.
STUDY DESIGN: We identified the physicians who care for patients with prostate
cancer and created physician networks for each city based on shared patients.
Subgroups of urologists were defined as physicians with dense connections with
one another via shared patients. PRINCIPAL FINDINGS: Subgroups varied widely in
their unadjusted rates of prostatectomy and the racial/ethnic and socioeconomic
composition of their patients. There was an association between urologist
subgroup and receipt of prostatectomy. In city A, four subgroups had
significantly lower odds of prostatectomy compared with the subgroup with the
highest rates of prostatectomy after adjusting for patient clinical and
sociodemographic characteristics. Similarly, in cities B and C, subgroups had
significantly lower odds of prostatectomy compared with the baseline.
CONCLUSIONS: Using claims data to identify physician networks may provide an
insight into the observed variation in treatment patterns for men with prostate
cancer.
PMID- 22092260
TI - Characteristics and kinetics of phosphate adsorption on dewatered ferric-alum
residuals.
AB - The characteristics and kinetics of phosphate (P) adsorption on dewatered ferric
alum water treatment residuals (Fe-Al-WTRs) have been investigated. The existence
of both aluminum (Al) and iron (Fe) in the residuals can result in significantly
high P adsorption capacities. The P adsorption kinetics of Fe-Al-WTRs exhibited
an initial rapid phase, followed by a slower phase. This could be described by
three models, including a pseudo-first-order equation, a pseudo-second-order
equation, and a double-constant rate equation. The latter was especially good for
those runs with initial P concentrations of 500 and 1000 mg L(-1). Both the
Langmuir and Freundlich isotherms fit the experimental data well, particularly
the Freundlich isotherm, which had a correlation coefficient of 0.9930. The
maximum measured P adsorption capacity of Fe-Al-WTRs was 45.42 mg g(-1), which is
high when compared to those of most WTRs, as well as other reported adsorbents.
The results also show that the P adsorption is a spontaneous endothermic process.
Highest P adsorption capacities of Fe-Al-WTRs were measured at low pHs and a
particle size range of 0.6 to 0.9 mm.
PMID- 22092261
TI - Robust identification of binding hot spots using continuum electrostatics:
application to hen egg-white lysozyme.
AB - Binding hot spots, protein regions with high binding affinity, can be identified
by using X-ray crystallography or NMR spectroscopy to screen libraries of small
organic molecules that tend to cluster at such hot spots. FTMap, a direct
computational analogue of the experimental screening approaches, uses 16
different probe molecules for global sampling of the surface of a target protein
on a dense grid and evaluates the energy of interaction using an empirical energy
function that includes a continuum electrostatic term. Energy evaluation is based
on the fast Fourier transform correlation approach, which allows for the sampling
of billions of probe positions. The grid sampling is followed by off-grid
minimization that uses a more detailed energy expression with a continuum
electrostatics term. FTMap identifies the hot spots as consensus clusters formed
by overlapping clusters of several probes. The hot spots are ranked on the basis
of the number of probe clusters, which predicts their binding propensity. We
applied FTMap to nine structures of hen egg-white lysozyme (HEWL), whose hot
spots have been extensively studied by both experimental and computational
methods. FTMap found the primary hot spot in site C of all nine structures, in
spite of conformational differences. In addition, secondary hot spots in sites B
and D that are known to be important for the binding of polysaccharide substrates
were found. The predicted probe-protein interactions agree well with those seen
in the complexes of HEWL with various ligands and also agree with an NMR-based
study of HEWL in aqueous solutions of eight organic solvents. We argue that FTMap
provides more complete information on the HEWL binding site than previous
computational methods and yields fewer false-positive binding locations than the
X-ray structures of HEWL from crystals soaked in organic solvents.
PMID- 22092262
TI - Studies on the inactivation of selected viral and bacterial fish pathogens at
high pH for waste disposal purposes.
AB - This study investigated the use of alkaline hydrolysis at ambient temperature for
inactivation of selected fish pathogens in fish tissues under conditions
approximating those that are likely to be found in the aquaculture industry.
Infectious salmon anaemia virus (ISAV) and Lactococcus garvieae have been
determined in a previous study to be the most resistant virus and bacteria to pH
12 from a wide range of viruses and bacteria tested. They were spiked at high
titres into fish extracts that were then treated with 1 m sodium hydroxide
(NaOH). Viable L. garvieae was not detected in the treated fish extract after 1
h, and ISAV was not detected after 24-h exposure. Field mortalities of Atlantic
salmon, Salmo salar L., caused by infectious pancreatic necrosis virus were
treated by alkaline hydrolysis at ambient temperature. The macerated fish
mortalities contained a high titre of virus (3.38 * 108 TCID50 g-1) that was
reduced to approximately 2.2 * 103 TCID50 g-1 after 24-h exposure to NaOH, and
virus was not detected after exposure for 48 h. The results suggest that alkaline
hydrolysis at ambient temperature has potential as a biosecure treatment method
for fish by-products containing fish pathogens.
PMID- 22092263
TI - Functional connectivity and infant spatial working memory: a frequency band
analysis.
AB - The limited research on the functional meaning of infant EEG frequency bands has
used measures of EEG power. The purpose of this study was to examine task-related
changes in frontal EEG coherence measures for three infant EEG frequency bands (2
5 Hz, 6-9 Hz, 10-13 Hz) during a spatial working memory task. Eight-month-olds
exhibited baseline-to-task changes in frontal EEG coherence for all infant
frequency bands. Both the 2-5 Hz and the 10-13 Hz bands differentiated frontal
functional connectivity during the distinct processing stages, but each band
provided unique information. The 10-13 Hz band, however, was the only frequency
band to distinguish frontal EEG coherence values during correct and incorrect
responses. These data reveal valuable information concerning frontal functional
connectivity and the functional meaning of three different infant EEG frequency
bands during working memory processing.
PMID- 22092265
TI - Applications of MALDI-TOF mass spectrometry in clinical diagnostic microbiology.
AB - Until recently, microbial identification in clinical diagnostic laboratories has
mainly relied on conventional phenotypic and gene sequencing identification
techniques. The development of matrix-assisted laser desorption ionization time
of-flight mass spectrometry (MALDI-TOF MS) devices has revolutionized the routine
identification of microorganisms in clinical microbiology laboratories by
introducing an easy, rapid, high throughput, low-cost, and efficient
identification technique. This technology has been adapted to the constraint of
clinical diagnostic laboratories and has the potential to replace and/or
complement conventional identification techniques for both bacterial and fungal
strains. Using standardized procedures, the resolution of MALDI-TOF MS allows
accurate identification at the species level of most Gram-positive and Gram
negative bacterial strains with the exception of a few difficult strains that
require more attention and further development of the method. Similarly, the
routine identification by MALDI-TOF MS of yeast isolates is reliable and much
quicker than conventional techniques. Recent studies have shown that MALDI-TOF MS
has also the potential to accurately identify filamentous fungi and
dermatophytes, providing that specific standardized procedures are established
for these microorganisms. Moreover, MALDI-TOF MS has been used successfully for
microbial typing and identification at the subspecies level, demonstrating that
this technology is a potential efficient tool for epidemiological studies and for
taxonomical classification.
PMID- 22092264
TI - Delay in seeing a doctor due to cost: disparity between older adults with and
without disabilities in the United States.
AB - OBJECTIVE: To examine the disparity in delaying seeing a doctor due to cost
between older adults with and without disabilities, and whether the disparity
could be explained by health and financial variables. DATA SOURCES: Nationally
representative sample of community-dwelling adults aged >=65 who have health
insurance and a usual source of care from the 2006 Behavioral Risk Factor
Surveillance System (n = 85,015). STUDY DESIGN: This cross-sectional study used
sequential logistic regression models to examine the associations of delaying
seeing a doctor due to cost with disability status, including demographic,
health, and financial variables. PRINCIPAL FINDINGS: Older adults with
disabilities had significantly higher odds of delaying seeing a doctor due to
cost compared to older adults without disabilities after controlling for
demographic, health, and financial factors. Although health and financial
variables collectively attenuated the disparity, they did not fully explain the
disparity. CONCLUSIONS: Despite having health insurance and a usual source of
care, older adults with disabilities encountered greater economic difficulties in
seeing a doctor than their counterparts without disabilities. Policy makers
should continue addressing the economic burden to improve timely visits to health
care providers.
PMID- 22092266
TI - Multiple markers pyrosequencing reveals highly diverse and host-specific fungal
communities on the mangrove trees Avicennia marina and Rhizophora stylosa.
AB - Fungi are important actors in ecological processes and trophic webs in mangroves.
Although saprophytic fungi occurring in the intertidal part of mangrove have been
well studied, little is known about the diversity and structure of the fungal
communities in this ecosystem or about the importance of functional groups like
pathogens and mutualists. Using tag-encoded 454 pyrosequencing of the ITS1, ITS2,
nu-ssu-V5 and nu-ssu-V7 regions, we studied and compared the fungal communities
found on the marine and aerial parts of Avicennia marina and Rhizophora stylosa
trees in a mangrove in New Caledonia. A total of 209,544 reads were analysed,
corresponding to several thousand molecular operational taxonomic units (OTU).
There is a marked zonation in the species distribution, with most of the OTU
being found specifically in one of the microhabitat studied. Ascomycetes are the
dominant phylum (82%), Basidiomycetes are very rare (3%), and 15% of the
sequences correspond to unknown taxa. Our results indicate that host specificity
is a key factor in the distribution of the highly diverse fungal communities, in
both the aerial and intertidal parts of the trees. This study also validates the
usefulness of multiple markers in tag-encoded pyrosequencing to consolidate and
refine the assessment of the taxonomic diversity.
PMID- 22092267
TI - Neuromuscular blocking agents for electroconvulsive therapy: a systematic review.
AB - Electroconvulsive therapy (ECT) is the transcutaneous application of small
electrical stimuli to the brain to induce generalised seizures for the treatment
of selected psychiatric disorders. The clinical indications for ECT as an
effective therapeutic modality have been considerably expanded since its
introduction. Anaesthesia and neuromuscular blocking agents (NMBAs) are required
to ensure patients' safety during ECT. The optimal dose of muscle relaxant for
ECT reduces muscle contractions without inducing complete paralysis. Slight
residual motor convulsive activity is helpful in ascertaining that a seizure has
occurred, while total paralysis prolongs the procedure unnecessarily.
Suxamethonium is commonly used, but nondepolarising NMBAs are indicated in
patients with certain comorbidities. In this review, we summarise current
concepts of NMBA management for ECT.
PMID- 22092268
TI - Hybrid field-assisted solid-liquid-solid dispersive extraction for the
determination of organochlorine pesticides in tobacco with gas chromatography.
AB - A novel one-step sample preparation technique termed hybrid field-assisted solid
liquid-solid dispersive extraction (HF-SLSDE) was developed in this study. A
simple glass system equipped with a condenser was designed as an extraction
vessel. The HF-SLSDE technique was a three-phase dispersive extraction approach.
Target analytes were extracted from the sample into the extraction solvent
enhanced by the hybrid field. Meanwhile, the interfering components were adsorbed
by dispersing sorbent. No cleanup step preceded chromatographic analysis. The
efficiency of the HF-SLSDE approach was demonstrated in the determination of
organochlorine pesticide (OCP) residues in tobacco with a gas chromatography
electron capture detector (GC-ECD). Various operation conditions were studied
systematically. Low detection limits (0.3-1.6 MUg/kg) and low quantification
limits (1.0-4.5 MUg/kg) were achieved under the optimized conditions. The
recoveries of OCPs ranged from 70.2% to 118.2%, with relative standard deviations
of <9.6%, except for the lowest fortification level. Because of the effect of the
hybrid field, HF-SLSDE showed significant predominance compared with other
extraction techniques. The dispersing sorbent with good cleanup ability used in
this study was also found to be a microwave absorption medium, which could heat
the nonpolar extraction solvent under microwave irradiation. Different
microstructures of tobacco samples before and after extractions demonstrated the
mechanism of HF-SLSDE was based on an explosion at the cell level. According to
the results, HF-SLSDE was proved to be a simple and effective sample preparation
method for the analysis of pesticide residues in solid samples and could
potentially be extended to other nonpolar target analytes in a complex matrix.
PMID- 22092270
TI - Acceptability of the H1N1 vaccine among older adults: the interplay of message
framing and perceived vaccine safety and efficacy.
AB - This study examines the relative effectiveness of using gain- versus loss-framed
messages to promote H1N1 vaccination among older adults, focusing on the
moderating roles of perceived vaccine safety and efficacy. An experiment was
conducted with older adults recruited from senior centers in the state of
Maryland. Results show that older adults who perceived low vaccine efficacy
developed greater intentions to receive the vaccine when presented with a loss
framed message (versus a gain-framed message). For those who perceived high
vaccine efficacy, message framing did not make a difference in postexposure
intentions. Evidence regarding the interaction between message framing and
perceived vaccine safety is limited. Theoretical and practical implications of
the findings are discussed.
PMID- 22092269
TI - Using fuzzy set qualitative comparative analysis (fs/QCA) to explore the
relationship between medical "homeness" and quality.
AB - OBJECTIVE: Determine, using fuzzy set qualitative comparative analysis (fs/QCA),
the relationship between patient-centered medical home (PCMH) systems and quality
in 21 NCQA recognized medical homes. DATA SOURCES/STUDY SETTING: Primary data
collected in 2009, including measures of optimal diabetes care (ODC), preventive
services up-to-date (PSUTD), patient experience (PEX), survey data assessing PCMH
capabilities (PPC-RS), and other clinic characteristics. STUDY DESIGN: Cross
sectional study identifying associations between PPC-RS domains, demographic,
socioeconomic, and co-morbidity measures, and quality outcomes. DATA
COLLECTION/EXTRACTION METHODS: PPC-RS scores were obtained by surveying clinic
leaders. PSUTD and ODC scores were obtained from provider performance data. PEX
data were obtained from patient surveys. Demographic, socioeconomic, and co
morbidity data were obtained from EMR and census data. PRINCIPAL FINDINGS: fs/QCA
identified associations between all three outcomes and PCMH capabilities: ODC and
team-based care; PSUTD and preventive services systems; and all three outcomes
and provider performance reporting systems. Previous statistical analysis of this
data had failed to identify these relationships. CONCLUSIONS: fs/QCA identified
important associations that were overlooked using conventional statistics in a
small-N health services data set. PCMH capabilities are associated with quality
outcomes.
PMID- 22092271
TI - The moderating effect of physical activity on cardiovascular reactivity following
single fat feedings.
AB - This experiment examined the effects of consuming a high-fat meal on
cardiovascular reactivity and the ability of exercise to act as a moderator
between dietary fat consumption and cardiovascular reactivity. Forty healthy,
college-age students were randomly assigned to one of four experimental groups:
(1) low-fat meal, no exercise; (2) low-fat meal, postprandial exercise; (3) high
fat meal, no exercise; and (4) high-fat meal, postprandial exercise. To induce
stress, all participants performed a public speaking task, while heart rate and
blood pressure reactivity were measured. Multilevel analyses revealed that
consuming a high-fat meal led to heightened mean arterial pressure reactivity.
Acute high-intensity exercise resulted in attenuated heart rate and mean arterial
pressure reactivity. The results of this study offer insight into how diet and
exercise may influence cardiovascular reactivity, which is a key determinant of
cardiovascular disease.
PMID- 22092272
TI - Protective effect of Rubia cordifolia on reserpine-induced orofacial dyskinesia.
AB - In this study, the neuroprotective potential and in vivo antioxidant status of
extract of roots and rhizomes of Rubia cordifolia L (MERC) in reserpine-induced
orofacial dyskinesia was studied. Reserpine (1 mg/kg, s.c.) on day 1, 3 and 5 was
used to induce orofacial dyskinesia. At the end of treatment schedule, MERC
significantly inhibited reserpine-induced vacuous chewing movements, tongue
protrusions, orofacial bursts, catalepsy. MERC significantly increased locomotion
and rearing in open field test. MERC exhibited significant elevation in the
levels of superoxide dismutase, catalase, glutathione reductase and inhibition of
lipid peroxidation in forebrain region, compared with the reserpine treated
group. It significantly elevated dopamine levels in the forebrain region. GCMS
revealed the presence of anthraquinones, having strong antioxidant activity. It
is concluded that oxidative stress might play an important role in reserpine
induced abnormal oral movements and MERC significantly protected animals against
reserpine-induced orofacial dyskinesia and has great potential in treatment of
neuroleptic induced orofacial dyskinesia.
PMID- 22092273
TI - T-RFLP analysis of bacterial communities in the midguts of Apis mellifera and
Apis cerana honey bees in Thailand.
AB - This study investigated bacterial community structures in the midguts of Apis
mellifera and Apis cerana in Thailand to understand how bacterial communities
develop in Apis species. The bacterial species present in replicate colonies from
different locations and life stages were analysed. PCR amplification of bacterial
16S rRNA gene fragments and terminal restriction fragment length polymorphism
analyses revealed a total of 16 distinct terminal restriction fragments (T-RFs),
12 of which were shared between A. mellifera and A. cerana populations. The T-RFs
were affiliated to Beta- and Gammaproteobacteria, Firmicutes and Actinomycetes.
The Gammaproteobacteria were found to be common in all stages of honey bee, but
in addition, the Firmicutes group was found to be present in the worker bees.
Bacterial community structure showed no difference amongst the replicate
colonies, but was affected to some degree by geographical location, life stage
and species of honey bees.
PMID- 22092274
TI - The role of perfectionism in daily self-esteem, attachment, and negative affect.
AB - This study of university students (64 men, 99 women) examined the role of self
critical (SC) and personal standards (PS) higher order dimensions of
perfectionism in daily self-esteem, attachment, and negative affect. Participants
completed questionnaires at the end of the day for 7 consecutive days. Trait and
situational influences were found in the daily reports of self-esteem,
attachment, and affect. In contrast to PS perfectionism, SC perfectionism was
strongly related to aggregated daily reports of low self-esteem, attachment fears
(fear of closeness, fear of dependency, fear of loss), and negative affect as
well as instability indexes of daily self-esteem, attachment, and negative
affect. Multilevel modeling indicated that both SC and PS perfectionists were
emotionally reactive to decreases in self-esteem, whereas only SC perfectionists
were emotionally reactive to increases in fear of closeness with others. These
results demonstrate the dispositional and moderating influences of perfectionism
dimensions on daily self-esteem, attachment, and negative affect.
PMID- 22092275
TI - Processing of equine bone marrow using the automated MarrowXpress System: RBC
depletion, volume reduction, and mononuclear cell recovery.
AB - BACKGROUND: The therapeutic use of bone marrow-derived mononuclear cells (MNCs)
and mesenchymal stem cells for the treatment of soft tissue and orthopedic
injuries in equine patients is expanding. After collection, bone marrow must be
reduced in volume and depleted of RBCs for immediate therapeutic use or to
prepare cells for culture or cryopreservation and storage. The MarrowXpress (MXP)
System is an automated, closed, sterile system designed to process human bone
marrow samples. OBJECTIVES: The purpose of this study was to evaluate the
capacity of the MXP System to process equine bone marrow to reduce volume,
deplete RBCs, and enhance recovery of MNCs. METHODS: Bone marrow was collected
from 47 horses into 2 60-mL syringes containing heparin and processed using the
MXP System. HCT, total nucleated cell (TNC) count, and MNC count were obtained
for each sample before and after processing using an Advia 120 hematology
analyzer. Volume reduction, RBC depletion, and recovery of TNCs and MNCs were
calculated. RESULTS: For equine bone marrow samples, mean values were 73.2% for
RBC depletion and 78.0% for volume reduction. TNC count before processing was 2.5
+/- 1.2 * 10(7) and after processing was significantly higher at 7.8 +/- 3.3 *
10(7) (P < .0001), with a recovery of 68.5 +/- 24.5% (mean +/- SD). MNC count
before processing was 1.1 +/- 0.9 * 10(7) and after processing was significantly
higher at 3.8 +/- 1.9 * 10(7) (P < .0001), with a recovery 73.0 +/- 31.5%.
CONCLUSIONS: The MXP System can reliably reduce volume and deplete RBCs from
aspirates of equine bone marrow aspirates. MNCs can be recovered in a
reproducible and sterile manner. Further studies evaluating the effects of the
MXP System on cell viability, identification of mesenchymal stem cells (MSCs),
and the efficacy of MSC expansion are warranted.
PMID- 22092276
TI - Relationships between physiological, anthropometric, and skill qualities and
playing performance in professional rugby league players.
AB - In this study, we investigated the relationship between physiological,
anthropometric, and skill qualities and playing performance in professional rugby
league players. Fifty-eight high-performance rugby league players underwent
measurements for anthropometry (height, body mass, sum of seven skinfolds),
physiological (speed, change of direction speed, lower body muscular power,
repeated-sprint ability, prolonged high-intensity intermittent running ability,
and estimated maximal aerobic power), technical skill (tackling proficiency, draw
and pass proficiency), and perceptual skill (reactive agility, pattern recall,
pattern prediction) qualities. National Rugby League matches were coded for
attacking (e.g. line breaks, try assists, etc.) and defensive (e.g. missed
tackles, tackling efficiency, etc.) statistics commonly used to assess rugby
league playing performance. The number of line break assists was significantly
associated (P < 0.05) with greater playing experience (r = 0.36), dual-task draw
and pass proficiency (r = 0.54), reactive agility (r = 0.29), and pattern recall
(r = 0.32) and prediction (r = 0.28) ability, while faster speed over 40 m (r =
0.42) was associated (P < 0.05) with a higher number of tries scored. Greater age
and playing experience, better lower body muscular power, and faster 10 m and 40
m speed were significantly associated (P < 0.05) with the number of tackle
attempts (positive), tackles completed (positive), and proportion of missed
tackles (negative). These findings demonstrate that well-developed physical and
skill qualities are associated with effective playing performance in National
Rugby League players.
PMID- 22092277
TI - Scutellarin inhibits translocation of protein kinase C in diabetic thoracic aorta
of the rat.
AB - The aims of the present study were to explore the effects of: (i) scutellarin
(Scu) on protein kinase C (PKC) translocation caused by diabetic conditions in
diabetic rat thoracic aorta; and (ii) phorbol-12-myristate-13-acetate (PMA)
treatment of cultured thoracic aortic smooth muscle cells. Diabetes was induced
in rats by streptozotocin and diabetic rats were divided into two groups: (i) an
Scu-treated group, administered 0.1 g/kg Scu by gavage; and (ii) an
aminoquanidine (AG)-treated group, which received dietary supplementation of 0.1%
AG from Week 1 of diabetes induction. After 10 weeks, rats were killed and
thoracic aortic smooth muscle cells were isolated and cultured. Cell fractions
were obtained by ultracentrifugation and PKC activity was assayed by ELISA,
whereas the distribution of PKC was verified by western immunoblotting. The PKC
activity in the membrane fraction of thoracic aortic smooth muscle cells was
significantly increased in diabetic compared with control rats, whereas the
administration of Scu significantly inhibited this increase. Phorbol myristate
acetate (100 nmol/L, 10 min) induced the translocation of the PKCalpha, betaI,
betaII, delta and epsilon isoforms, whereas 48 h pretreatment of cells with 1
MUmol/L Scu significantly inhibited PMA-induced PKCbetaI, betaII and delta
translocation. The results of the present study suggest that Scu inhibits the
translocation of PKC in vivo and in vitro and may have value as a drug in the
treatment of diabetic complications via its inhibition of PKC betaI, betaII and
delta translocation.
PMID- 22092278
TI - The severity of sevoflurane-induced malignant hyperthermia.
AB - BACKGROUND: Malignant hyperthermia (MH) is a potentially fatal complication of
general anesthesia triggered by volatile anesthetics. In animal studies,
sevoflurane has been reported to be a weak triggering agent. The aim of this
study was to evaluate the clinical severity of sevoflurane-induced MH compared to
isoflurane. METHODS: From the Japanese MH database containing information for 520
MH cases since 1961, we analyzed 147 cases classified by the MH Clinical Grading
Scale (CGS) as 'very likely' or 'almost certain', accumulated from 1990 to 2009.
Sevoflurane without succinylcholine (S-SCh (-) group) was given to 48 cases, and
isoflurane without succinylcholine (I-SCh (-) group) was given to 30. Variables
studied were outcome, CGS score, CGS rank, the first MH sign, and time from
induction to onset of MH (occurrence time). Clinical signs and maximum laboratory
data from six processes of the CGS were also analyzed. Each of the Mann-Whitney U
test or the unpaired t-test was used for group comparisons. RESULTS: Mortality
was 8.3% in the S-SCh (-) group and 10.0% in the I-SCh (-) group (P = 0.803). The
CGS scores were 53.4 (SD, 12.2) and 52.3 (11.7) (P = 0.691), respectively. The
five processes of the CGS did not differ between groups. Median occurrence times
were 72.5 minutes (range, 36.3-127.5) and 65.0 minutes (30.0-131.3), respectively
(P = 0.890). CONCLUSION: There were no clinically apparent differences between MH
triggered by sevoflurane and isoflurane, and thus no evidence to support the
postulate that sevoflurane is a weak or weaker MH triggering agent.
PMID- 22092279
TI - A microfabricated platform to measure and manipulate the mechanics of engineered
cardiac microtissues.
AB - Engineered myocardial tissues can be used to elucidate fundamental features of
myocardial biology, develop organotypic in vitro model systems, and as engineered
tissue constructs for replacing damaged heart tissue in vivo. However, a key
limitation is an inability to test the wide range of parameters (cell source,
mechanical, soluble and electrical stimuli) that might impact the engineered
tissue in a high-throughput manner and in an environment that mimics native heart
tissue. Here we used microelectromechanical systems technology to generate arrays
of cardiac microtissues (CMTs) embedded within three-dimensional micropatterned
matrices. Microcantilevers simultaneously constrain CMT contraction and report
forces generated by the CMTs in real time. We demonstrate the ability to
routinely produce ~200 CMTs per million cardiac cells (<1 neonatal rat heart)
whose spontaneous contraction frequency, duration, and forces can be tracked.
Independently varying the mechanical stiffness of the cantilevers and collagen
matrix revealed that both the dynamic force of cardiac contraction as well as the
basal static tension within the CMT increased with boundary or matrix rigidity.
Cell alignment is, however, reduced within a stiff collagen matrix; therefore,
despite producing higher force, CMTs constructed from higher density collagen
have a lower cross-sectional stress than those constructed from lower density
collagen. We also study the effect of electrical stimulation on cell alignment
and force generation within CMTs and we show that the combination of electrical
stimulation and auxotonic load strongly improves both the structure and the
function of the CMTs. Finally, we demonstrate the suitability of our technique
for high-throughput monitoring of drug-induced changes in spontaneous frequency
or contractility in CMTs as well as high-speed imaging of calcium dynamics using
fluorescent dyes. Together, these results highlight the potential for this
approach to quantitatively demonstrate the impact of physical parameters on the
maturation, structure, and function of cardiac tissue and open the possibility to
use high-throughput, low volume screening for studies on engineered myocardium.
PMID- 22092280
TI - Prevalence of food avoidance and food allergy in Chinese patients with chronic
urticaria.
AB - BACKGROUND: Food avoidance is common among Chinese patients with chronic
urticaria because food allergy is considered to be the cause of disease. The
benefit of food avoidance and its relationship with food allergy is unknown.
OBJECTIVES: The aims of this study were to examine the prevalence and effect of
food avoidance and food allergy in patients with chronic urticaria. METHODS: Four
hundred and ninety-four patients with chronic urticaria, who attended Peking
University Third Hospital from January 2009 to December 2010, were studied. Food
avoidance and its effect were investigated with a detailed questionnaire. Food
allergy was diagnosed by serum food-specific immunoglobulin E (IgE), elimination
diet based on food-specific IgE, and open food challenge. RESULTS: One hundred
and fifty-eight patients (32%) avoided fish, shrimp, crab, lamb or beef prior to
evaluation and 82.9% of them reported food avoidance ineffective. Out of 341
patients tested for serum food-specific IgE, 75 (22%) were positive, with soy,
peanut, beef, lamb, chicken, crab and shrimp as the leading allergens. Chronic
urticaria induced by food allergy was found in only 2.8% of patients.
CONCLUSIONS: The prevalence of food avoidance is high and mostly ineffective in
Chinese patients with chronic urticaria. Foods avoided do not correspond to serum
food-specific IgE. The incidence of IgE-mediated urticaria, as demonstrated by
open food challenge, is low. Physicians and patients should be aware of
unnecessary dietary avoidance while seeking treatment of chronic urticaria.
PMID- 22092281
TI - Characterization of the tandem-arrayed hiC6 genes in Antarctic and temperate
strains of Chlorella vulgaris.
AB - HIC6 is a group-3 late embryogenesis abundant protein found in Chlorella
vulgaris. In the Antarctic strain NJ-7 of this unicellular green alga, it is
encoded by a tandem array of five hiC6 genes (designated as NJ7hiC6-1, -2, -3, -4
and -5); in the temperate strain UTEX259, it is encoded by four hiC6 genes in
tandem (designated as 259hiC6-1, -2, -3 and -4). Except for NJ7hiC6-3 and -4, the
encoding regions of all other hiC6 genes differ from each other by 2-19 bp in
each strain. Based on RT-PCR and sequencing of total hiC6 cDNA clones, the
relative transcript abundance of each hiC6 gene was evaluated. NJ7hiC6-2 and
259hiC6-2 were not expressed or expressed at low levels, whereas 259hiC6-1 and
NJ7hiC6-3/4 exhibited the highest hiC6 transcript levels in the respective
strains. In vitro assays showed that different isoforms of HIC6 provided almost
identical cryoprotection of lactate dehydrogenase. Our studies suggest that the
formation of the tandem arrays of hiC6 in Chlorella is a process of gene
duplications accompanied by gene expression divergence.
PMID- 22092283
TI - Analysis of microRNA-size, small RNAs in Streptococcus mutans by deep sequencing.
AB - MicroRNAs (miRNAs) are important modulators of gene expression in eukaryotic
cells. However RNAs of the same size in bacteria have not been specifically
discussed previously. Here, we provide a library of miRNA-size RNAs (msRNAs),
which were registered by deep sequencing in Streptococcus mutans. Bioinformatic
analysis of the whole set revealed more than 900 individual msRNA species. The
cellular content of selected msRNAs was verified by quantitative RT-PCR and
Northern blotting. The high abundance and discrete size of the subset of
registered msRNAs suggest their functional significance, although the precise
biological role of the RNA species revealed in S. mutans, which is one of the
principle causative agents of dental caries, has to be elucidated.
PMID- 22092282
TI - Is there a critical period for mossy fiber sprouting in a mouse model of temporal
lobe epilepsy?
AB - PURPOSE: Dentate granule cell axon (mossy fiber) sprouting creates an aberrant
positive-feedback circuit that might be epileptogenic. Presumably, mossy fiber
sprouting is initiated by molecular signals, but it is unclear whether they are
expressed transiently or persistently. If transient, there might be a critical
period when short preventative treatments could permanently block mossy fiber
sprouting. Alternatively, if signals persist, continuous treatment would be
necessary. The present study tested whether temporary treatment with rapamycin
has long-term effects on mossy fiber sprouting. METHODS: Mice were treated daily
with 1.5 mg/kg rapamycin or vehicle (i.p.) beginning 24 h after pilocarpine
induced status epilepticus. Mice were perfused for anatomic evaluation
immediately after 2 months of treatment ("0 delay") or after an additional 6
months without treatment ("6-month delay"). One series of sections was Timm
stained, and an adjacent series was Nissl-stained. Stereologic methods were used
to measure the volume of the granule cell layer plus molecular layer and the Timm
positive fraction. Numbers of Nissl-stained hilar neurons were estimated using
the optical fractionator method. KEY FINDINGS: At 0 delay, rapamycin-treated mice
had significantly less black Timm staining in the granule cell layer plus
molecular layer than vehicle-treated animals. However, by 6-month delay, Timm
staining had increased significantly in mice that had been treated with
rapamycin. Percentages of the granule cell layer plus molecular layer that were
Timm-positive were high and similar in 0 delay vehicle-treated, 6-month delay
vehicle-treated, and 6-month delay rapamycin-treated mice. Extent of hilar neuron
loss was similar among all groups that experienced status epilepticus and,
therefore, was not a confounding factor. Compared to naive controls, average
volume of the granule cell layer plus molecular layer was larger in 0 delay
vehicle-treated mice. The hypertrophy was partially suppressed in 0 delay
rapamycin-treated mice. However, 6-month delay vehicle- and 6-month delay
rapamycin-treated animals had similar average volumes of the granule cell layer
plus molecular layer that were significantly larger than those of all other
groups. SIGNIFICANCE: Status epilepticus-induced mossy fiber sprouting and
dentate gyrus hypertrophy were suppressed by systemic treatment with rapamycin
but resumed after treatment ceased. These findings suggest that molecular signals
that drive mossy fiber sprouting and dentate gyrus hypertrophy might persist for
>2 months after status epilepticus in mice. Therefore, prolonged or continuous
treatment might be required to permanently suppress mossy fiber sprouting.
PMID- 22092285
TI - Neglect - a consequence of austerity?
PMID- 22092284
TI - Postpartum obsessive-compulsive disorder.
AB - OBJECTIVE: To synthesize the extant literature on the prevalence, phenomenology,
etiology and treatment of postpartum obsessive-compulsive disorder (OCD). A
discussion of differential diagnosis between postpartum OCD and other postpartum
psychiatric conditions (e.g., depression, psychosis) and nonpostpartum-onset OCD
is provided. DATA SOURCES, STUDY SELECTION AND DATA EXTRACTION: All studies
addressing postpartum OCD between the years 1950 and 2011 were reviewed. Data
from all pertinent studies was explored as it related to postpartum OCD. DATA
SYNTHESIS: Studies were organized based on their empirical technique (e.g.,
retrospective, prospective), population studied (e.g., clinical OCD, nonclinical
populations, males), and etiological or treatment theory (e.g., cognitive
behavioral). CONCLUSION: The prevalence, phenomenology, etiology, and treatment
of postpartum OCD are reviewed. The limited data on treatment approaches and
outcomes for postpartum OCD are highlighted with a discussion of the role of
nurses in the prevention and identification of postpartum OCD.
PMID- 22092286
TI - An oral health care guideline for institutionalised older people.
AB - Institutionalized older people are prone to oral health problems and their
negative impact due to frailty, disabilities, multi-morbidity, and multiple
medication use. Until recently, no evidence-based oral health care guideline for
institutionalized older people has been available. For that reason, the Dutch
Association of Nursing Home Physicians developed the Oral health care Guideline
for Older people in Long-term care Institutions (OGOLI), meeting the requirements
of the AGREE instrument for assessing a guideline's quality. This short report
presents the keynotes and the content of the Oral health care Guideline. Most
recommendations are based on expert opinions. Only 4 recommendations (education,
pneumonia, use of an electric toothbrush, and fluoride rinsing in case of a
sudden increase of oral plaque amount) are based on evidence level A2
conclusions. This emphasizes the need for further research on oral health of
institutionalized older people.
PMID- 22092289
TI - Sergei Winogradsky: a founder of modern microbiology and the first microbial
ecologist.
AB - Sergei Winogradsky, was born in Russia in 1856 and was to become a founder of
modern microbiology. After his Master's degree work on the nutrition and growth
physiology of the yeast Mycoderma vini at the University of St. Petersburg, he
joined the laboratory of Anton DeBary in Strassburg. There he carried out his
studies on the sulfur-oxidizing bacterium Beggiatoa which resulted in his
formulation of the theory of chemolithotrophy. He then joined the Swiss
Polytechnic Institute in Zurich where he did his monumental work on bacterial
nitrification. He isolated the first pure cultures of the nitrifying bacteria and
confirmed that they carried out the separate steps of the conversion of ammonia
to nitrite and of nitrite to nitrate. This led directly to the concept of the
cycles of sulfur and nitrogen in Nature. He returned to Russia and there was the
first to isolate a free-living dinitrogen-fixing bacterium. In the flush of
success, he retired from science and spent 15 years on his familial estate in the
Ukraine. The Russian revolution forced him to flee Russia. He joined the Pasteur
Institute in Paris where he spent his remaining 24 years initiating and
developing the field of microbial ecology. He died in 1953.
PMID- 22092290
TI - Measurement of vascular tone and stroke volume baroreflex gain.
AB - The arterial baroreflex system (BRS) consists of at least three closed-loop
control systems: the heart rate (HR), vascular tone (VT), and stroke volume (SV)
BRSs. Whereas HR-BRS gain is well studied, VT-BRS and SV-BRS gain are not. This
study aimed to develop a method for quantifying VT-BRS and SV-BRS gain using an
established HR-BRS gain measurement approach. ECG and beat-to-beat blood pressure
(BP) were recorded in 31 young healthy participants during three tasks. Sequences
of R-to-R wave intervals (RRI) of the ECG, pulse transit time (PTT), and SV were
measured to assess HR-, VT-, and SV-BRS gain using the cross-spectral technique
of computing the BP-RRI, BP-PTT, and BP-SV transfer functions. Gain in each BRS
arch was measured in individuals with intact BRS functioning. Functional overlap
and independence was noted in the BRS arches. The implications of the proposed
method are discussed.
PMID- 22092292
TI - Applying the PRECIS criteria to describe three effectiveness trials of weight
loss in obese patients with comorbid conditions.
AB - OBJECTIVES: To characterize Practice-Based Opportunities for Weight Reduction
(POWER) trials along the pragmatic-explanatory continuum. SETTINGS: The POWER
trials consist of three individual studies that target obesity treatment in
primary care settings. DESIGN: Using the PRagmatic Explanatory Continuum
Indicator Summary (PRECIS) criteria, nine reviewers independently scored each
trial. METHODS: Average and median ratings, inter-rater reliability, and
relationships to additional ratings of the extent to which study designs were
explanatory (i.e., efficacy) versus pragmatic (i.e., practical) and related to
external validity were determined. PRINCIPAL FINDINGS: One trial was consistently
rated as being significantly more pragmatic than the others (R(2) =0.43, p<
.001), although all three were in the moderate range on the PRECIS scales.
Ratings varied across PRECIS dimensions, being most pragmatic on comparison
condition and primary outcome. Raters, although undergoing training and using
identical definitions, scored their own study as more pragmatic than the other
studies/interventions. CONCLUSIONS: These results highlight the need for more
comprehensive reporting on PRECIS and related criteria for research translation.
The PRECIS criteria provide a richer understanding of the POWER studies. It is
not clear whether the original criteria are sufficient to provide a comprehensive
profile.
PMID- 22092293
TI - Genetic characterization of denitrifier communities with contrasting intrinsic
functional traits.
AB - Microorganisms capable of denitrification are polyphyletic and exhibit distinct
denitrification regulatory phenotypes (DRP), and thus, denitrification in soils
could be controlled by community composition. In a companion study (Dorsch et
al., 2012) and preceding work, ex situ denitrification assays of three organic
soils demonstrated profoundly different functional traits including N(2) O/N(2)
ratios. Here, we explored the composition of the underlying denitrifier
communities by analyzing the abundance and structure of denitrification genes
(nirK, nirS, and nosZ). The relative abundance of nosZ (vs. nirK + nirS) was
similar for all communities, and hence, the low N(2) O reductase activity in one
of the soils was not because of the lack of organisms with this gene. Similarity
in community composition between the soils was generally low for nirK and nirS,
but not for nosZ. The community with the most robust denitrification
(consistently low N(2) O/N(2) ) had the highest diversity/richness of nosZ and
nirK, but not of nirS. Contrary results found for a second soil agreed with
impaired denitrification (low overall denitrification activity, high N(2) O/N(2)
). In conclusion, differences in community composition and in the absolute
abundance of denitrification genes clearly reflected the functional differences
observed in laboratory studies and may shed light on differences in in situ N(2)
O emission of the soils.
PMID- 22092294
TI - Analgesia and sedation of mechanically ventilated patients - a national survey of
clinical practice.
AB - BACKGROUND: The importance of balanced sedation and pain treatment in intensive
care units (ICUs) is evident, but regimes and use of medication differ widely.
Previous surveys have focused on the use of various medications and regimes. What
has not been explored is the process by which nurses and physicians assess
patients' needs and work together toward a defined level of sedation and pain for
the ICU patient. The purpose of the study was to determine the use of protocols
and medications for sedation and analgesia in Norwegian ICUs and the degree of
cooperation between nurses and physicians in using them. METHODS: A national
survey was conducted in autumn 2007, using postal self-administered
questionnaires. RESULTS: Written pain treatment and sedation protocols were not
routinely used in Norwegian ICUs; however, half of the departments titrated
sedation according to a scoring system, most commonly the Motor Activity
Assessment Score. The most commonly used sedatives were propofol and midazolam,
while fentanyl and morphine were the most used analgesics. The majority of
respondents were concerned about the side effects of sedation and analgesics,
leading to circulatory instability and delayed awakening. Nurses and physicians
agreed upon the main indications for sedation: patient tolerance for ventilation,
tolerance for medical and nursing interventions, and patient symptoms.
CONCLUSIONS: Potential factors which may improve sedation and pain management of
mechanically ventilated patients in Norwegian ICUs are more systematic
assessments of pain and sedation, and the use of written protocols. Strategies
which reduce side effects should be addressed.
PMID- 22092296
TI - The effect of clockwise and counterclockwise twisting moments on abutment screw
loosening.
AB - OBJECTIVES: Abutment screw loosening is one of the most common complications of
dental implants. When occlusal loading is applied, bending and twisting moments
are counteracted by the implant-abutment connection held with the abutment screw.
Restorations in different quarters of the oral cavity might bear clockwise or
counterclockwise twisting moments that result from a regular chewing pattern. The
aim of this study was to investigate the effect of different directional twisting
moments on abutment screws. METHODS: Twenty 3i implants were divided into four
groups of C, R, L, and O. Each assembly consisted of an implant, abutment, and
superstructure. For group C, a cyclic load of 10-100 N for 10(6) cycles was
applied centrally and perpendicular to the long axis of the implant, whereas for
groups R and L, the same load conditions were applied eccentrically in clockwise
and counterclockwise directions, respectively. Group O was left unloaded. The
difference between the initial torque and post-load reverse torque was defined as
the total torque loss. The data were analyzed by Kruskal-Wallis test. The surface
of the abutment hexagon corners were examined with SEM after loading. RESULTS: No
abutment screw loosening was found after loading. Total torque loss of groups C,
R, L, and O were 10.50 +/- 0.58, 9.56 +/- 1.01, 9.98 +/- 1.81, and 9.58 +/- 0.94
Ncm, respectively. There were no statistical differences among the four groups.
SEM observations showed marked burnishing at the hexagonal corners on the
compression sides of the R and L groups. CONCLUSIONS: Within the limitations of
this study, there was little effect of twisting moment direction on the total
torque loss of an internal hexagon connection implant system. This could be
attributed to the anti-twisting mechanism of the internal hexagon connection.
PMID- 22092295
TI - Personal use of complementary and alternative medicine (CAM) by U.S. health care
workers.
AB - OBJECTIVE: To examine personal use of complementary and alternative medicine
(CAM) among U.S. health care workers. DATA: Data are from the 2007 Alternative
Health Supplement of the National Health Interview Survey. We examined a
nationally representative sample of employed adults (n = 14,329), including a
subsample employed in hospitals or ambulatory care settings (n = 1,280). STUDY
DESIGN: We used multivariate logistic regression to estimate the odds of past
year CAM use. PRINCIPAL FINDINGS: Health care workers are more likely than the
general population to use CAM. Among health care workers, health care providers
are more likely to use CAM than other occupations. CONCLUSIONS: Personal CAM use
by health care workers may influence the integration of CAM with conventional
health care delivery. Future research on the effects of personal CAM use by
health care workers is therefore warranted.
PMID- 22092297
TI - Increased risk for post-operative corneal injuries in patients who undergo
laparoscopic gynecologic surgery.
AB - BACKGROUND: The objective of this study was to verify whether or not the
incidence of post-operative corneal injuries (PCIs) in patients who undergo
laparoscopic gynecologic surgery is higher than patients who undergo non
laparoscopic gynecologic surgery. METHODS: The peri-operative variables were
extracted from the medical records of patients who underwent gynecologic surgery
under general anesthesia at our institute between January 2004 and June 2010. The
extracted variables were age, day of week of surgery, type of surgery
(laparoscopy vs. non-laparoscopy), surgical scheduling (elective vs. emergent),
pre-operative hemoglobin, surgery time, peri-operative transfusions, and PCIs.
The association of peri-operative variables with PCIs was examined using
univariate and multivariate analyses. RESULTS: A total of 6992 consecutive
patients were included in this study. PCIs occurred in 23 patients (0.3%). Based
on univariate analysis, the type of surgery and surgery times were associated
with PCIs. Based on multivariate analysis, laparoscopy and lengthy surgery times
significantly increased the risk for PCIs. The median duration of PCI symptoms
was 3 days (range, 1-11 days); no patient had long-term sequalae. CONCLUSION:
Laparoscopic gynecologic surgery increases the incidence of PCI compared with non
laparoscopic gynecologic surgery.
PMID- 22092298
TI - Methadone inhibits CYP2D6 and UGT2B7/2B4 in vivo: a study using codeine in
methadone- and buprenorphine-maintained subjects.
AB - AIMS: To compare the O-demethylation (CYP2D6-mediated), N-demethylation (CYP3A4
mediated) and 6-glucuronidation (UGT2B4/7-mediated) metabolism of codeine between
methadone- and buprenorphine-maintained CYP2D6 extensive metabolizer subjects.
METHODS: Ten methadone- and eight buprenorphine-maintained subjects received a
single 60 mg dose of codeine phosphate. Blood was collected at 3 h and urine over
6 h and assayed for codeine, norcodeine, morphine, morphine-3- and -6
glucuronides and codeine-6-glucuronide. RESULTS: The urinary metabolic ratio for
O-demethylation was significantly higher (P= 0.0044) in the subjects taking
methadone (mean +/- SD, 2.8 +/- 3.1) compared with those taking buprenorphine
(0.60 +/- 0.43), likewise for 6-glucuronide formation (0.31 +/- 0.24 vs. 0.053 +/
0.027; P < 0.0002), but there was no significant difference (P= 0.36) in N
demethylation. Similar changes in plasma metabolic ratios were also found. In
plasma, compared with those maintained on buprenorphine, the methadone-maintained
subjects had increased codeine and norcodeine concentrations (P < 0.004), similar
morphine (P= 0.72) and lower morphine-3- and -6- and codeine-6-glucuronide
concentrations (P < 0.008). CONCLUSION: Methadone is associated with inhibition
of CYP2D6 and UGTs 2B4 and 2B7 reactions in vivo, even though it is not a
substrate for these enzymes. Plasma morphine was not altered, owing to the
opposing effects of inhibition of both formation and elimination; however,
morphine-6-glucuronide (analgesically active) concentrations were substantially
reduced. Drug interactions with methadone are likely to include drugs metabolized
by various UGTs and CYP2D6.
PMID- 22092299
TI - Thiamine plays a critical role in the acid tolerance of Listeria monocytogenes.
AB - Understanding the molecular basis of acid tolerance in the food-borne pathogen
Listeria monocytogenes is important as this property contributes to survival in
the food-chain and enhances survival within infected hosts. The aim of this study
was to identify genes contributing to acid tolerance in L. monocytogenes using
transposon mutagenesis and subsequently to elucidate the physiological role of
these genes in acid tolerance. One mutant harboring a Tn917 insertion in the thiT
gene (formerly lmo1429), which encodes a thiamine (vitamin B1) uptake system, was
found to be highly sensitive to acid. The acid-sensitive phenotype associated
with loss of this gene was confirmed with an independently isolated mutant, from
which the thiT gene was deleted (?thiT). Cells of both wild-type and ?thiT mutant
that were thiamine depleted were found to be significantly more acid sensitive
than control cultures. Thiamine-depleted cultures failed to produce significant
concentrations of acetoin, consistent with the known thiamine dependence of
acetolactate synthase, an enzyme required for acetoin synthesis from pyruvate. As
acetoin synthesis is a proton-consuming process, we suggest that the acid
sensitivity observed in thiamine-depleted cultures may be owing to an inability
to produce acetoin.
PMID- 22092300
TI - Effect of implant-abutment connection design on reliability of crowns: regular
vs. horizontal mismatched platform.
AB - OBJECTIVES: To evaluate the reliability and failure modes of regular and
horizontal mismatched platforms for implant-abutment connection varying the
implant diameter. MATERIAL AND METHODS: Regular (REG, n = 21, 4.0-mm-diameter
implant) and horizontal mismatched (HM, n = 21, 4.6-mm-diameter implant) platform
Ti-6Al-4V implants were restored with proprietary identical Ti-6Al-4V abutments
and metal crowns (cobalt-chrome, Wirobond 280, BEGO, Bremen, Germany) cemented.
Mechanical testing comprised step-stress accelerated-life testing, where crowns
were distributed in three loading profiles for fatigue in water, producing timely
and clinically relevant fractures. The probability of failure vs. cycles (95% two
sided confidence intervals) was calculated and plotted using a powerlaw
relationship for damage accumulation, Weibull modulus (95% two-sided confidence
intervals) and then the reliability for a mission of 50,000 cycles at 125 N load
(95% two-sided confidence interval) were calculated. Fractography was performed
in the scanning electron microscope. RESULTS: The beta-value for group REG (beta
= 1.37) indicated that fatigue was a factor accelerating the failure, whereas
load alone dictated the failure for group HM (beta = 0.71). The Weibull parameter
contour plot showed no significantly different Weibull modulus for REG (10.24)
compared to HM (10.20) and characteristic strength of 162.6 and 166.8 N,
respectively (P > 0.91). The calculated reliability for a mission of 50,000
cycles at 125 N load was not significantly different (0.71 for REG and 0.73 for
HM). Abutment screw failure was the chief failure mode. CONCLUSIONS: Reliability
was not significantly different between groups and failure modes were similar.
PMID- 22092301
TI - The influence of color on emotional perception of natural scenes.
AB - Is color a critical factor when processing the emotional content of natural
scenes? Under challenging perceptual conditions, such as when pictures are
briefly presented, color might facilitate scene segmentation and/or function as a
semantic cue via association with scene-relevant concepts (e.g., red and
blood/injury). To clarify the influence of color on affective picture perception,
we compared the late positive potentials (LPP) to color versus grayscale
pictures, presented for very brief (24 ms) and longer (6 s) exposure durations.
Results indicated that removing color information had no effect on the affective
modulation of the LPP, regardless of exposure duration. These findings imply that
the recognition of the emotional content of scenes, even when presented very
briefly, does not critically rely on color information.
PMID- 22092302
TI - Healing outcomes at implants installed in grafted sites: an experimental study in
dogs.
AB - AIM: To evaluate the integration of implants installed using a surgical guide in
augmented sites with autologous bone or deproteinized bovine bone mineral (DBBM)
blocks, concomitantly with a collagen membrane. MATERIAL AND METHODS: Mandibular
molars were extracted bilaterally in six Labrador dogs, the buccal bony wall was
removed, and a box-shaped defect was created. After 3 months, flaps were
elevated, a bony graft was harvested from the ascending ramus, and secured to the
lateral wall of the defect by means of screws. In the left mandibular side, a
DBBM block was fixed into the defect. A resorbable membrane was applied at both
sides, and the flaps were sutured. After 3 months, flaps were elevated, and a
customized device was used as surgical guide to prepare the recipient sites in
the interface between grafts and parent bone. One implant was installed in each
side of the mandible. After 3 months, biopsies were harvested, and ground
sections were prepared for histologic evaluation. RESULTS: One autologous bone
block graft was lost before implant installation. The width of the alveolar crest
at the test sites (DBBM) was 5.4 +/- 1.2 mm before, 9.4 +/- 1.2 mm immediately
after grafting, and 9.3 +/- 1 mm at implant installation. At the control sites
(autologous bone), the corresponding values were: 5.2 +/- 1, 9 +/- 1.2, and 8.7
+/- 0.9 mm, respectively. All implants installed were available for histologic
evaluation (n = 5). The autologous bone grafts, rich in vessels and cells, were
integrated in the parent bone, and only little non-vital bone was found. The BIC%
was 56.7 +/- 15.6% and 54.2 +/- 13.2% at the buccal and lingual aspects,
respectively. At the test sites, the DBBM appeared to be embedded into connective
tissue, and very little newly formed bone was encountered within the grafts. The
BIC% was 5.8 +/- 12.3% and 51.3 +/- 14.2% at the buccal and lingual aspects,
respectively. CONCLUSIONS: Autologous bone blocks used to augment the alveolar
bony crest horizontally allowed the complete osseointegration of implants
installed after 3 months of healing. However, similar blocks of DBBM did not
promote osseointegration, although the installed implants were stable owing to
the osseointegration in the sites of the parent bone.
PMID- 22092303
TI - Retention of implant-supported zirconium oxide ceramic restorations using
different luting agents.
AB - OBJECTIVE: The aim of this study was to evaluate the retention value of implant
supported zirconium oxide ceramic copings using different luting agents. METHOD
AND MATERIALS: Twenty ITI solid abutments of 5.5 mm height and ITI implant
analogs were mounted vertically into autopolymerizing acrylic resin blocks.
Ninety zirconium oxide copings (Cercon, Degudent) with a loop on the occlusal
portion were made. All samples were airborne particle abraded with 110 MUm Al2O3
and luted using different types of luting agents: resin cements (Clearfil SA,
Panavia F2.0, Fuji Plus), conventional cements (Fleck's, Poly F, Fuji I), and
temporary cements (Temp Bond, GC free eugenol, TempSpan) with a load of 5 Kg. (N
= 10) All copings were incubated at 37 degrees C for 24 h and conditioned in
artificial saliva for 1 week, and thermal cycled for 5000 cycles 5-55 degrees C
with a 30-s dwell time. The dislodging force of the copings along the long axis
of the implant-abutment complex was recorded using universal testing machine with
5 mm/min crosshead speed. Data were subjected to Kruskal-Wallis (alpha = 0.05)
and Mann-Whitney tests with Bonferroni step down correction (alpha = 0.001).
RESULTS: There was significant difference between the mean rank retention values
of different luting agents (P < 0.001). The resin cements showed the highest
retention (Clearfil SA, 203.49 +/- 52.86; Fuji Plus, 190.61 +/- 48.00; Panavia F
2.0, 172.16 +/- 70.76 N). The conventional cements had more retention than the
temporary cements and glass ionomer cement (P < 0.001). CONCLUSION: The retention
of zircona ceramic restorations, over ITI solid abutments may be influenced by
the type of cement. The application of an MDP-containing resin and resin-modified
glass ionomer luting agents increase the retentive value of implant-supported
zirconium oxide restorations.
PMID- 22092304
TI - Species composition and cyanotoxin production in periphyton mats from three lakes
of varying trophic status.
AB - In lakes, benthic micro-algae and cyanobacteria (periphyton) can contribute
significantly to total primary productivity and provide important food sources
for benthic invertebrates. Despite recognition of their importance, few studies
have explored the diversity of the algal and cyanobacterial composition of
periphyton mats in temperate lakes. In this study, we sampled periphyton from
three New Zealand lakes: Tikitapu (oligotrophic), Okareka (mesotrophic) and
Rotoiti (eutrophic). Statistical analysis of morphological data showed a clear
delineation in community structure among lakes and highlighted the importance of
cyanobacteria. Automated rRNA intergenic spacer analysis (ARISA) and 16S rRNA
gene clone libraries were used to investigate cyanobacterial diversity. Despite
the close geographic proximity of the lakes, cyanobacterial species differed
markedly. The 16S rRNA gene sequence analysis identified eight cyanobacterial
OTUs. A comparison with other known cyanobacterial sequences in GenBank showed
relatively low similarities (91-97%). Cyanotoxin analysis identified nodularin in
all mats from Lake Tikitapu. ndaF gene sequences from these samples had very low
(<= 89%) homology to sequences in other known nodularin producers. To our
knowledge, this is the first detection of nodularin in a freshwater environment
in the absence of Nodularia. Six cyanobacteria species were isolated from Lake
Tikitapu mats. None were found to produce nodularin. Five of the species shared
low (< 97%) 16S rRNA gene sequence similarities with other cultured
cyanobacteria.
PMID- 22092306
TI - Intravenous injection of autologous amniotic fluid induces transient
thrombocytopenia in a gravid rabbit model of amniotic fluid embolism.
AB - BACKGROUND: Amniotic fluid embolism (AFE) is a rare but catastrophic complication
of pregnancy characterized by severe hypotension, cardiovascular collapse, and
massive consumptive coagulopathy. Several animal models of this syndrome have
been proposed, but most have yielded inconclusive results. OBJECTIVES: The
objective of this study was to develop a suitable animal model of AFE. METHODS:
Twelve rabbits in late gestation (25 days) were used. Amniotic fluid was
collected from the fetal amniotic sacs after laparotomy, and autologous fluid was
injected into 6 rabbits via the left auricular vein. Six other rabbits received
saline (control group). Blood pressure, platelet counts, and coagulation
variables were measured at baseline and at various intervals for 60 minutes after
injection. The in vitro effect of amniotic fluid on coagulation was assessed by
thrombelastographic (TEG) analysis. RESULTS: Injection of amniotic fluid did not
reproduce clinical signs of AFE and had no effect on activated partial
thromboplastin time (aPTT), prothrombin time (PT), or Factor VIII activity.
However, significant thrombocytopenia was observed 5 minutes after administration
of amniotic fluid and resolved by 60 minutes. In vitro addition of amniotic fluid
to blood resulted in accelerated clotting on TEG tracings. CONCLUSIONS: The
syndrome of AFE was not reproduced in this rabbit model. However, injection of
autologous amniotic fluid induced a transient and severe thrombocytopenia.
Moreover, TEG analysis indicated that amniotic fluid could initiate the
coagulation cascade. Other factors such as the presence of meconium in amniotic
fluid may be needed to provoke more severe clinical signs.
PMID- 22092307
TI - Evidence to inform policy, practice and education for unintended pregnancy
prevention and management.
PMID- 22092308
TI - Hip strength assessment using handheld dynamometry is subject to intertester bias
when testers are of different sex and strength.
AB - Handheld dynamometry (HHD) is a promising tool for obtaining reliable hip
strength measurements in the clinical setting, but intertester reliability has
been questioned, especially in situations where testers exhibit differences in
upper-extremity muscle strength (male vs female). The purpose of this study was
to examine the intertester reliability concerning strength assessments of hip
abduction, adduction, external and internal rotation, flexion and extension using
HHD, and to test whether systematic differences in test values exist between
testers of different upper-extremity strength. Fifty healthy individuals (29
women), aged 25 +/- 5 years were included. Two physiotherapist students (one
female, one male) of different upper-extremity strength performed the
measurements. The tester order and strength test order were randomized.
Intraclass correlation coefficients were used to quantify reliability, and ranged
from 0.82 to 0.91 for the six strength test. The female tester systematically
measured lower strength values for all isometric strength tests (P < 0.05). In
hip strength assessments using HHD, systematic bias exists between testers of
different sex, which is likely explained by differences in upper-extremity
strength. Hence, to improve intertester reliability, the dynamometer likely needs
external fixation, as this will eliminate the influence of differences in upper
extremity strength between testers.
PMID- 22092309
TI - Relationship between magnitude of immediate loading and peri-implant osteogenesis
in dogs.
AB - OBJECTIVES: The purpose of this study was to investigate the influence of the
magnitude of immediate loading on peri-implant bone in an animal model of dental
implantation. MATERIAL AND METHODS: Eight weeks after the extraction of maxillary
and mandibular premolars, three implants were inserted bilaterally in the
mandibles of six Beagle dogs. One implant was unloaded (UL) as a control, and two
implants were loaded immediately with 10 N (mild loading: ML) or 50 N (excessive
loading: EL) laterally using a cyclic loading device twice a week for 3 weeks.
Fluorescent bone markers were injected to examine bone formation around the
implants. The animals were sacrificed 3 weeks after implantation. Peri-implant
osteogenesis was assessed by histomorphometric procedures, i.e., measuring bone
implant contact (BIC) and bone density (BD). RESULTS: The UL and ML groups had no
peri-implant infection, and newly formed bone was observed over a wide area from
the implant neck toward the tip, and in direct contact with the implant surface.
In contrast, in the EL group, newly formed bone was rarely observed around the
implant neck and there were signs of infection. Both BIC and BD in the ML group
were significantly greater than those in the other groups. BIC and BD in the EL
group were significantly lower than those in the other groups. CONCLUSION: A
suitable magnitude of load applied immediately after dental implantation promotes
peri-implant osteogenesis.
PMID- 22092310
TI - AT-rich region and repeated sequences - the essential elements of replication
origins of bacterial replicons.
AB - Repeated sequences are commonly present in the sites for DNA replication
initiation in bacterial, archaeal, and eukaryotic replicons. Those motifs are
usually the binding places for replication initiation proteins or replication
regulatory factors. In prokaryotic replication origins, the most abundant
repeated sequences are DnaA boxes which are the binding sites for chromosomal
replication initiation protein DnaA, iterons which bind plasmid or phage DNA
replication initiators, defined motifs for site-specific DNA methylation, and 13
nucleotide-long motifs of a not too well-characterized function, which are
present within a specific region of replication origin containing higher than
average content of adenine and thymine residues. In this review, we specify
methods allowing identification of a replication origin, basing on the
localization of an AT-rich region and the arrangement of the origin's structural
elements. We describe the regularity of the position and structure of the AT-rich
regions in bacterial chromosomes and plasmids. The importance of 13-nucleotide
long repeats present at the AT-rich region, as well as other motifs overlapping
them, was pointed out to be essential for DNA replication initiation including
origin opening, helicase loading and replication complex assembly. We also
summarize the role of AT-rich region repeated sequences for DNA replication
regulation.
PMID- 22092311
TI - Caring for smiles--a new educational resource for oral health training in care
homes.
PMID- 22092312
TI - Delivering and securing health care in challenging times.
PMID- 22092313
TI - Plagiarism or differing ways of representing knowledge?
PMID- 22092314
TI - Promoting access to health care: a nursing role and responsibility.
PMID- 22092318
TI - Many paths lead to nursing: factors influencing students' perceptions of nursing.
AB - BACKGROUND: A diverse group of students is being recruited to nursing programmes
worldwide, although little research has identified the effect of this diversity.
Contemporary knowledge of the qualities of nurses and how they vary with
demographic and other factors may assist in the retention of nursing students.
AIM: The aim of this study is to explore student nurses' perceptions of the
qualities of nurses and how these differ with age, country of birth, gender,
healthcare experience and mode of entry to the nursing programme. METHODS: Using
a descriptive comparative design, 676 nursing students in their first week of a
Bachelor of Nursing programme participated (77% response). Participants completed
a survey noting demographic data such as age, gender, healthcare experience,
country of birth, nursing programme entry method and attributes of nurses from
the valid and reliable Qualities of Nursing Scale (QoN). RESULTS: Overall, the
students confirmed their agreement with the 12 items of the QoN and rated good
listening skills as the most important quality for a nurse rather than Caring or
Helping. Male participants and participants born overseas demonstrated the lowest
mean scores on most qualities, suggesting less agreement with the qualities.
DISCUSSION: The recruitment of students to university needs to continue to
support disparate entry pathways. Students with more life experience (older
students) or health experience may be more likely to complete nursing courses and
to be retained within health services. Qualities of nurses may be changing to
reflect modern perceptions of nurses with a shift away from the focus on caring.
PMID- 22092319
TI - Nursing students' perceptions of nursing: a descriptive study of four cohorts.
AB - AIMS: The study aims to describe nursing students' changing perception of nursing
over 4 years of the nursing programme and examine whether perception differed by
gender, previous study or choice of nursing education. METHODS: A descriptive
cross-sectional design was used, with a probability sample of 606 students.
Respondents were a random sample of 50% of each of the four educational cohorts
studying within the academic year 2008-2009 in one baccalaureate nursing
programme at a Jordanian public university. A specifically designed tool of
statements of nursing definitions based on nursing theories, the nursing
literature and sociocultural beliefs was used to identify student nurses'
perception of nursing. FINDINGS: Student perceptions changed from lay altruistic
beliefs of nursing to theoretical medical technological views of the profession
denoting a theory-practice gap. Perceptions also differed by gender, having a
previous associate degree in nursing and a priority choice to study nursing.
CONCLUSION: Such results delineated the importance of revising nursing schools'
curricula and the universities' admission policies into the nursing profession.
PMID- 22092320
TI - Exchange students crossing language boundaries in clinical nursing practice.
AB - AIM: This article examines challenges and learning outcomes for nursing students
from a Central European university of applied sciences who completed 3 months of
clinical practice in Norway. The clinical practice was supervised in English by
Norwegian nurses and nursing teachers. English is not the primary language in any
of the countries. BACKGROUND: Increases in global migration have contributed to
the need for an international dimension in nursing education. Personal mobility
is a crucial part of the European Union's goal of becoming a knowledge society.
Clinically based experiences pose challenges that are additional to and often
more complex than traditional course-based experiences. Students who come from a
non-English-speaking country for clinical practice in Norway face challenges
regarding language. Accepting incoming students is a way of achieving higher
quality and more relevant education in nursing. FINDINGS: The study shows that
clinical practice in a foreign country gives added value compared with clinical
practice at home. Greater self-confidence and understanding of core concepts in
nursing is described by the participants. Language differences are not regarded
as a problem but as a way of developing personal and professional competence. The
ability to compare healthcare systems in the two counties is important in
developing competencies in nursing.
PMID- 22092321
TI - Patient safety: nursing students' perspectives and the role of nursing education
to provide safe care.
AB - BACKGROUND AND AIM: Nurses as the largest group of healthcare providers are in
the best position to improve patient safety. In preparing future nurses, nurse
educators have an important role in developing the knowledge, skills and
attitudes among nursing students related to patient safety. The aim of this study
was to explore Iranian nursing students' perspectives regarding patient safety
and the role of nursing education in developing their capabilities to provide
safe care. METHODS: A purposeful sampling strategy was used to recruit 17 junior
and senior nursing students for qualitative, semi-structured interviews. Content
analysis of the interview transcripts was conducted to identify several relevant
themes. FINDINGS: Three main themes emerged from the data analysis: 'safety as
patient comfort', 'not being knowledgeable or experienced enough' and 'being
helped to internalise the principles and values of patient safety'. The third
theme consisted of two categories: 'adopting a humanistic approach towards
patients' and 'practising conscientiously in the workplace'. CONCLUSION: The
present study adds insights on how nursing students understand and may be helped
to internalise concepts of patient safety within their practice. Nursing
education curriculum designers need to go beyond theoretical concepts of patient
safety education and devise strategies to increase the application of safety
knowledge and competencies in nursing practice.
PMID- 22092322
TI - Children's knowledge of cancer diagnosis and treatment: Jordanian mothers'
perceptions and satisfaction with the process.
AB - BACKGROUND: The amount of information shared with children regarding their
illness, as well as what knowledge children are entitled to know, varies widely
among cultures. AIMS: This study aims to examine mothers' accounts of
communication about cancer diagnosis to their children, how much children knew
about their illnesses and how satisfied the mothers were with the method they
used in communicating the diagnosis. METHODS: Using semi-structured interviews
and open-ended questions, 51 mothers attending paediatric oncology clinics in
Jordan were interviewed. Content analysis was used to analyse the data. FINDINGS:
Mothers expressed a range of views about the forms of disclosure, including being
clear about the diagnosis and its treatment and being secretive yet
misconceptualizing the accounts of the illness. The median age for the children
diagnosed with cancer was 11 years (interquartile range 7-16 years). Most of the
mothers were offered no help with talking to their children about cancer
diagnosis. Mothers who found it difficult to tell the news of the diagnosis to
their children finally withheld the diagnoses from them. Those same mothers were
unhappy and uncomfortable with the communication method they had used with
children. CONCLUSION: This study emphasized the role of nurses' interaction with
their child patients diagnosed with cancer. Nurses should not have an opinion
about how and what children need to know about their illness, and preferably
should take an active role in the process of communication between mothers and
their children. Further work and research are needed in this field.
PMID- 22092323
TI - The breast care nurse: the care specialist in breast centres.
AB - BACKGROUND: In Europe, breast cancer is the most common form of cancer in women;
26.5 per cent of all new malignant diseases and 17.5 per cent of all cancer
deaths are due to this type of cancer. In Germany, approximately every tenth
woman is diagnosed with breast cancer during her lifetime. OBJECTIVE: In order to
increase the quality of life of breast cancer patients, the European Society of
Mastology (EUSOMA) requires the availability of, and an integration of qualified
and specialized care into the interdisciplinary team. Thus, in Germany, a new
type of job profile for 'breast nurse' as a qualified care specialist has to be
established and has to be oriented towards the international standards of a
breast care nurse (BCN). METHODS: The Charite's Academy of Health--in cooperation
with the Interdisciplinary Breast Centre of the Charite--has offered a career
advisory service curriculum for the BCN since 2006 in accordance with the EUSOMA
guidelines. RESULTS: In three courses, 45 BCNs have been trained. In the first
refresher seminar in May 2009, the BCNs were given an opportunity to exchange
with fellow BCNs their experiences. CONCLUSION: We were able to set up a new
curriculum to train nurses as BCNs as to improve the quality of care for breast
cancer patients and to orientate the training towards the international standards
of a BCN qualification.
PMID- 22092324
TI - Occupational exposure to HIV: a conflict situation for health workers.
AB - AIM: To determine the frequency of occupational exposure to human
immunodeficiency virus (HIV), the circumstances and predisposing factors, the
high-risk groups, the extent to which exposures are reported and the post
exposure prophylaxis (PEP) utilized by health-care workers (HCWs) and students in
a Ugandan hospital. BACKGROUND: Occupational exposure to HIV is a low but
potential risk of HIV infection to health workers. METHOD: Self-administered
questionnaire was given to 224 participants (including 98 HCWs and 126 students)
in Mbarara Hospital, Uganda. Data were analysed with descriptive statistics using
the Statistical Package for the Social Sciences version 15.0 (SPSS Inc, Chicago,
IL, USA). FINDINGS: Of the 224 participants surveyed, 19.2% reported having
sustained injection needle stick injuries in the previous year, of which 4.46%
occurred with HIV-infected blood. Other reported injuries were cannula needle
stick injury (0.89%), suture needle stick injuries (3.13%), scalpel cut injuries
(0.45%) and muco-cutaneous contamination (10.27%). The most affected groups were
nurses-midwives for scalpel injuries and students for stick injuries. The
predisposing factors reported included lack of protective devices and recapping
of needles. Exposures were under-reported. Uptake of PEP was also low.
CONCLUSION: Occupational exposure to HIV presents a conflict situation for HCWs.
It remains a frequent occurrence particularly among student nurses-midwives,
despite being avoidable. Its prophylactic treatment is hampered by poor reporting
and investigation of exposures, and poor access to PEP. Strict adherence to
universal precaution and proper handling of occupational exposure to HIV should
be encouraged.
PMID- 22092325
TI - Factors affecting peritoneal dialysis selection in Taiwanese patients with
chronic kidney disease.
AB - BACKGROUND: Taiwan has the highest incidence and prevalence of end-stage renal
disease (ESRD) in the world with 55,499 ESRD patients on long-term dialysis.
Nevertheless, 90.96% of these patients are managed on maintenance haemodialysis
(HD), with only 9.03% enrolled in a peritoneal dialysis (PD) programme. AIM: The
study aim was to identify the factors affecting Taiwanese patient's selection of
PD in preference to HD for chronic kidney disease. METHODS: A cross-sectional
research design was utilized with 130 chronic renal failure (CRF) patients
purposively selected from outpatient nephrology clinics at four separate Taiwan
hospitals. Logistic regression was used to identify the main factors affecting
the patient's choice of dialysis type. RESULTS: Single-factor logistic regression
found significant differences in opinion related to age, education level,
occupation type, disease characteristics, lifestyle modifications, self-care
ability, know-how of dialysis modality, security considerations and findings
related to the decisions made by medical personnel (P < 0.05). Moreover,
multinomial logistic regression after adjustment for interfering variables found
that self-care ability and dialysis modality know-how were the two main factors
affecting the person's selection of dialysis type. CONCLUSIONS: Self-care ability
and the person's knowledge of the different types of dialysis modality and how
they function were the major determinants for selection of dialysis type in
Taiwan based on the results from this study. The results indicate that the
education of CRF patients about the types of dialysis available is essential to
enable them to understand the benefits or limitations of both types of dialysis.
PMID- 22092326
TI - Factors associated with delayed hospital arrival among patients with acute
myocardial infarction: a cross-sectional study in Greece.
AB - BACKGROUND: It is proven that early admission to hospital contributes
significantly to the successful management of acute myocardial infarction (AMI).
AIM: This study aimed to examine the factors associated with delayed hospital
arrival among patients with AMI. METHODS: A cross-sectional study among 477 AMI
patients was conducted during a 2-year period in two large tertiary hospitals in
Greece. Structured face-to-face interviews were conducted and information
regarding their socio-demographic characteristics, medical history and factors
that might be correlated with delayed hospital arrival were collected. RESULTS:
The main factors that were found to be correlated with delayed hospital arrival
among AMI patients were the absence of companion/attendant/escort present during
the AMI [odds ratio (OR) 2.1, 95% confidence interval (CI) 0.98-4.4, P = 0.049],
previous medical history of diabetes mellitus (OR 3.4, CI 1.6-7.2, P = 0.002),
absence of dyspepsia (OR 9.2, CI 3.6-23.3, P < 0.001) and nausea/vomiting
symptoms (OR 16.9, CI 4.1-69.1, P < 0.001), and also being at a distance of more
than 10 km from the hospital (OR 19.6, CI 5.4-70.6, P < 0.001). CONCLUSION: A
number of factors that might delay hospital arrival among patients with AMI
should be taken into account in healthcare service planning. Health policy
actions that will improve the accessibility to healthcare services, the
restructuring of the Greek primary healthcare system and the provision of
effective patient education by nurses could reduce the pre-hospital delay.
LIMITATIONS: The study was conducted in two hospitals which limits the
generalization of the findings. Also, the onset of AMI symptoms relied on self
report by the patients.
PMID- 22092327
TI - Condom promotion in Belize: self-efficacy of Belizean nurses.
AB - BACKGROUND: Outside of abstinence, correct and consistent condom use is the
single most effective tool to prevent the transmission human immunodeficiency
virus (HIV). This is particularly true in countries such as Belize where
incidence rates remain high. Women are physiologically at higher risk for HIV,
and many feel powerless to insist on condom use. Although nurses are in a
position to promote condom use, variables that influence this decision are not
clearly understood. In this study, we examined variables that influence a nurses'
self-efficacy to promote and teach condom use to women specifically to reduce
their HIV risk. METHODS: Data related to self-efficacy, vicarious experience
related to condom use promotion and a nurse's sexual relationship power were
collected from nurses practising in Belize (n = 60). These data were cross
sectional and collected at the annual nurses' conference. RESULTS: Both years of
nursing education and positive vicarious experience promoting and teaching condom
use to women were positively correlated to their self-efficacy to do so.
Vicarious experience was significantly correlated to self-efficacy in a subgroup
of nurses with lower sexual relationship power but not in those with higher
sexual relationship power. CONCLUSIONS: When designing HIV continuing education
programmes for nurses in Belize, it is important to consider level of nursing
education and access to vicarious experience such as mentoring and role
modelling. An additional factor to consider is the influence that a nurse's power
in her own primary sexual relationship may play in the formation of her self
efficacy.
PMID- 22092328
TI - Religion, culture and male involvement in the use of the Standard Days Method:
evidence from Enugu and Katsina states of Nigeria.
AB - AIM: This paper presents findings from an exploratory study on the use of the
Standard Days Method(r) (SDM) of family planning (FP) in Katsina and Enugu states
of Nigeria. BACKGROUND: Potential users of FP often do not use modern methods if
its practice is in dissonance with cultural and religious values. Increasing FP
options through the introduction of effective natural methods is important, as it
will ensure that FP providers, particularly nurses, can provide appropriate non
hormonal methods to women who are interested in their use. This will bring about
an increased contraceptive prevalence in countries with strong religious barriers
to modern FP methods. METHODS: A qualitative study using focus group discussions
and in-depth interviews was conducted with nurses, community FP providers and
users of the SDM following the introduction of the method in two Nigerian states.
DISCUSSION: Both users and providers perceive the SDM to be religiously and
culturally acceptable. They found it useful particularly among women who could
not use hormonal methods for medical reasons. CONCLUSION: There was no
religious/cultural opposition to the use of SDM. Spousal involvement in use
within localities where hormonal methods are not accepted was perceived as an
important factor in making the method acceptable. It is imperative to scale up
the intervention to other areas both in Nigeria and in other countries that have
similar religious and cultural resistance to modern FP methods.
PMID- 22092329
TI - Help-seeking behaviours in childbearing women in Ghana, West Africa.
AB - AIM: The purpose of this ethnographic study was to examine the health-seeking
behaviours of Ghanaian childbearing women. BACKGROUND: The Ashanti consider
pregnancy to be a vulnerable time when risk increases that women may be affected
by witchcraft and develop sunsumyare. Preparation for positive birth outcomes
include biomedical, ethnomedical and faith-based interventions. DESIGN: A sample
of 42 childbearing Ghanaian women participated in audiotaped interviews.
Transcribed interviews were coded and categorized into themes. FINDINGS AND
DISCUSSION: The overriding theme was health seeking to ensure positive pregnancy
outcomes. Subthemes included accessing multiple sources of care simultaneously,
feeling vulnerable to spiritual illness, seeking spiritual protection and
disclosing multiple sources of care. CONCLUSION: Childbearing is an essential
part of the gender identity of Ashanti women. Witchcraft mentality provides a way
for a woman to manage her life challenges. IMPLICATIONS FOR PRACTICE: Cultural
beliefs and practices have profound effects on health-seeking behaviours. It is
becoming increasingly important that healthcare providers perform cultural and
spiritual assessments and inquire about complementary sources of health care.
PMID- 22092330
TI - Factors influencing midwifery migration from the United Kingdom to Australia.
AB - BACKGROUND: Within the current literature on the globalization of the healthcare
workforce, it is difficult to separate the migration experience of nurses from
that of midwives. As more countries are moving to offer direct entry educational
programmes and provide separate registration for midwives, information specific
to that professional group will be required to guide workforce planners and
inform employment practice. This qualitative study tracks the migration
experience of midwives moving between the UK and Australia. METHODS: A purposeful
sample of 18 midwives who had migrated from the UK to one state in Australia was
interviewed within a descriptive phenomenological framework. Data were analysed
using thematic coding within Nvivo (QSR International, Melbourne, Australia).
RESULTS: The strongest motivator for migration was to improve the family's
lifestyle. Push factors included disillusionment with working conditions,
standard of living in the UK and national politics. The majority of midwives had
organized their own entry visa and employment. Most midwives had occupied senior
positions in the UK but accepted employment initially within entry-level
positions in Australia. DISCUSSION: Despite both countries offering similar
standards of living, the majority of midwives expected to achieve an improvement
in family lifestyle in Australia. Midwives indicated they were prepared to lose
professional status and seniority of position to gain a better overall lifestyle
for their family. To examine the long-term sustainability of international
recruitment processes, further research is indicated to examine the ongoing
experience and integration of migrant midwives into the workforce in Australia.
PMID- 22092331
TI - Nurse teachers' working lives: a questionnaire survey of nursing schools in
Mainland China.
AB - AIM: The study aimed to explore Chinese nurse teachers' views and experience
regarding different components of their working lives. METHODS: A cross-sectional
survey of 18 schools of nursing offering nationally accredited baccalaureate
nursing programmes across Mainland China was conducted. A total of 227 nurse
teachers completed questionnaires yielding a response rate of 72%. FINDINGS: The
sample comprised mainly female, married lecturers younger than 44 years with an
average teaching experience of about 10 years. The respondents were satisfied
with their overall job, work, supervision and co-workers, but dissatisfied with
their pay and promotion opportunities. There were statistically significant
differences in several facets of job satisfaction across the respondents of
different age groups, education levels, job titles and those working in the
schools of different sizes. The respondents perceived their work environment to
be only somewhat empowering. Their average level of professional identification
was relatively high, but their overall role conflict, role ambiguity and sense of
coherence were relatively low. CONCLUSION: Chinese nurse teachers had a positive
feeling towards their working lives, but strategies should be developed to
enhance their sense of coherence and professional commitment. It is worth noting
that there is still much adjustment to be made towards the new higher education
roles, but the findings may only be generalizable to similar settings.
PMID- 22092334
TI - Physical activity is inversely associated with high blood pressure independently
of overweight in Brazilian adolescents.
AB - The purpose of this study was to assess the relationship between blood pressure
(BP) levels and physical activity (PA) domains accounting for overweight/obesity.
Adolescents aged 10 to 17 years old were recruited (n = 1021). International
Obesity Task Force (IOTF) criteria were used to define overweight and obesity.
High BP was defined using the Center of Disease Control and Prevention criteria.
Different domains of PA (school activities, sport out of school, and leisure time
PA) were assessed using a validated questionnaire. The prevalence of
overweight/obesity was 21.9% for boys and 14.8% for girls. Some 13.4% of boys and
10.2% of girls, respectively, had high blood pressure (HBP). A strong and
positive association was found between overweight and HBP. After adjustment for
body mass index (BMI), total PA was inversely associated with BP. When all PA
domains were entered simultaneously in a regression model, and after adjustment
for BMI, only sport out of school was significantly and inversely associated with
systolic BP [beta: -0.82 (-1.50; -0.13)]. These findings open avenue for the
early prevention of HBP by the prevention of obesity and promotion of PA.
PMID- 22092333
TI - Hybrid carbon-based scaffolds for applications in soft tissue reconstruction.
AB - Current biomedical scaffolds utilized in surgery to repair soft tissues commonly
fail to meet the optimal combination of biomechanical and tissue regenerative
properties. Carbon is a scaffold alternative that potentially optimizes the
balance between mechanical strength, durability, and function as a cell and
biologics delivery vehicle that is necessary to restore tissue function while
promoting tissue repair. The goals of this study were to investigate the
feasibility of fabricating hybrid fibrous carbon scaffolds modified with
biopolymer, polycaprolactone and to analyze their mechanical properties and
ability to support cell growth and proliferation. Environmental scanning electron
microscopy, micro-computed tomography, and cell adhesion and cell proliferation
studies were utilized to test scaffold suitability as a cell delivery vehicle.
Mechanical properties were tested to examine load failure and elastic modulus.
Results were compared to an acellular dermal matrix scaffold control
(GraftJacket((r)) [GJ] Matrix), selected for its common use in surgery for the
repair of soft tissues. Results indicated that carbon scaffolds exhibited similar
mechanical maximums and capacity to support fibroblast adhesion and proliferation
in comparison with GJ. Fibroblast adhesion and proliferation was collinear with
carbon fiber orientation in regions of sparsely distributed fibers and occurred
in clusters in regions of higher fiber density and low porosity. Overall,
fibroblast adhesion and proliferation was greatest in lower porosity carbon
scaffolds with highly aligned fibers. Stepwise multivariate regression showed
that the variability in maximum load of carbon scaffolds and controls were
dependent on unique and separate sets of parameters. These finding suggested that
there were significant differences in the functional implications of scaffold
design and material properties between carbon and dermis derived scaffolds that
affect scaffold utility as a tissue replacement construct.
PMID- 22092335
TI - Interannual dynamics of viriobenthos abundance and morphological diversity in
Chesapeake Bay sediments.
AB - Despite significant implications of viral activity in sediment ecosystems, there
are limited data describing how sediment viral assemblages respond to broader
ecosystem changes. To document this, the spatial and temporal dynamics of viral
and bacterial abundance (BA) and changes in the morphological distribution of
viruses were examined within three salinity regions over 2 years. Viral
abundances (VA) ranged from 0.2 to 17 * 10(10) viruses mL(-1) sediment while
direct bacterial counts ranged from 3.8 to 37 * 10(8) cells mL(-1) sediment.
Peaks and valleys in the abundance of extracted viruses and bacteria from surface
sediments occurred simultaneously, with lows in February 2004 and highs in April
2003. Across all samples, viral and BA were positively correlated (P < 0.001).
Vertical profiles showed a decrease in viral and BA with depth in sediments.
Based on transmission electron microscopy results, viruses with diminutive
capsids (20-50 nm) and from the Myoviridae and Podoviridae viral family types
were dominant within surface sediments. The most morphologically diverse viral
assemblages occurred in autumn samples from the sandy, polyhaline station and
spring samples from the mesohaline station. Seasonal changes showed an average
72% decrease in VA from spring to winter. These observations support the view
that viriobenthos assemblages are responsive to seasonal environmental changes
and that viral processes have significant implications for the biogeochemical
processes mediated by bacterial communities within Bay sediments.
PMID- 22092336
TI - Fluorescence imaging with multifunctional polyglycerol sulfates: novel polymeric
near-IR probes targeting inflammation.
AB - We present a highly selective approach for the targeting of inflammation with a
multivalent polymeric probe. Dendritic polyglycerol was employed to synthesize a
polyanionic macromolecular conjugate with a near-infrared fluorescent dye related
to Indocyanine Green (ICG). On the basis of the dense assembly of sulfate groups
which were generated from the polyol core, the resulting polyglycerol sulfate
(molecular weight 12 kD with ~70 sulfate groups) targets factors of inflammation
(IC(50) of 3-6 nM for inhibition of L-selectin binding) and is specifically
transported into inflammatory cells. The in vivo accumulation studied by near-IR
fluorescence imaging in an animal model of rheumatoid arthritis demonstrated fast
and selective uptake which enabled the differentiation of diseased joints (score
1-3) with a 3.5-fold higher fluorescence level and a signal maximum at 60 min
post injection. Localization in tissues using fluorescence histology showed that
the conjugates are deposited in the inflammatory infiltrate in the synovial
membrane, whereas nonsulfated control was not detected in association with
disease. Hence, this type of polymeric imaging probe is an alternative to current
bioconjugates and provides future options for targeted imaging and drug delivery.
PMID- 22092338
TI - Cutaneous myxoid solitary fibrous tumour.
PMID- 22092337
TI - Mechanosensation and maximum bite force in edentulous patients rehabilitated with
bimaxillary implant-supported fixed dental prostheses.
AB - OBJECTIVE: The aim of this study was to compare tactile sensitivity and maximum
voluntary bite force (MBF) of edentulous patients with implant-supported fixed
dental prostheses (IFDP/IFDPs) to those wearing complete dentures (CG-CC) and
fully dentate subjects (CG-DD). METHODS: Seven edentulous subjects with
IFDP/IFDPs, seven with CG-CC and seven CG-DD, matched for age and gender,
participated in the pilot experiments. Three active tactile thresholds (absolute,
50% and 100%) were evaluated by means of copper foils of decreasing thickness (12
foils: 700-5 MUm). The passive thresholds were measured in six different sites
per quadrant using a custom-made computer-supported strain gauge. MBF was
evaluated electronically using the central-bearing point method. RESULTS: Active
tactile thresholds were different between all three groups of dental state
(Kruskal-Wallis: absolute P = 0.0156; 50% P = 0.0019; 100% P = 0.0059). The
active tactile sensitivity with IFDP/IFDPs was between those of the two other
groups, except for the 100% threshold. The median passive tactile threshold was
higher in patients with IFDP/IFDPs (5.7 N) than in CG-CC (1.7 N) and CG-DD (0.5
N) (Kruskal-Wallis P < 0.0005). MBF did not differ significantly between the
dental states (ns). CONCLUSION: IFDP/IFDPs are a valuable treatment option for
restoring edentulous patients. Limitations concerning their physiological
integration into the orofacial system are mainly related to a poor passive rather
than active tactile sensitivity or maximum bite force.
PMID- 22092339
TI - Clinical and microbiological characteristics of peri-implantitis cases: a
retrospective multicentre study.
AB - OBJECTIVES: The aim of this study was to follow patient cases retrospectively in
a longitudinal manner from the time of implant placement to the time they were
diagnosed with peri-implant disease, and to identify associated clinical and
microbiological features of peri-implant disease. MATERIAL AND METHODS: A total
of 281 patient cases were chosen from the archives of the Oral Microbiological
Diagnostic Laboratory, Gothenburg, Sweden, based on bacterial samples taken from
diseased implants. A form was designed and filled in separately for each case
including data on patient, implant and disease profile. RESULTS: Most cases were
severe peri-implantitis cases (91.4%). In 41.3% of the patients, peri-implantitis
was developed early, already after having implants in function less than 4 years.
The type of implant surface was significantly associated with the time in years
implants were in function, before disease was developed (P < 0.05). The
microbiological results by both culture and checkerboard analysis, although
failed to fully correspond to the severity of the disease in terms of magnitude,
proved to show that peri-implantitis is a polymicrobial anaerobic infection with
increased number of AGNB (aerobic Gram-negative bacilli) in 18.6% of the
patients. CONCLUSIONS: Peri-implantitis is a biological complication of implants
in function that poses a threat to their long-term survival. It may develop
earlier around implants with rough surfaces and it may represent a true
infection. Microbiological sampling methods should be improved and uniformed so
as to fully unveil the microbiological profile of the disease.
PMID- 22092340
TI - Roles of siderophore in manganese-oxide reduction by Shewanella oneidensis MR-1.
AB - Dissimilatory metal-reducing bacteria (DMRB), such as Shewanella oneidensis MR-1,
are of great interest for their importance in the biogeochemical cycling of
metals and utility in biotechnological processes, such as bioremediation and
microbial fuel cells. To identify genes necessary for metal reduction, this study
constructed a random transposon-insertion mutant library of MR-1 and screened it
for isolating mutants that were deficient in metal reduction. Examination of
approximately 5000 mutants on lactate minimal-medium plates containing MnO(2)
resulted in the isolation of one mutant, strain N22-7, that showed a decreased
MnO(2)-reduction activity. Determination of a transposon-insertion site in N22-7
followed by deletion and complementation experiments revealed that the disruption
of SO3030, a siderophore biosynthesis gene, was responsible for the decreased
MnO(2)-reduction activity. In DeltaSO3030 cells, iron and cytochrome contents
were decreased to approximately 50% of those in the wild-type cells, when they
were incubated under MnO(2)-reduction conditions. In addition, the transcription
of genes encoding outer-membrane cytochromes necessary for metal reduction was
repressed in DeltaSO3030 under MnO(2)-reduction conditions, while their
transcription was upregulated after supplementation of culture media with ferrous
iron. These results suggest that siderophore is important for S. oneidensis MR-1
to respire MnO(2), because iron availability influences the expression of
cytochromes necessary for metal reduction.
PMID- 22092341
TI - Osseointegration of dental implants installed without mechanical engagement: a
histometric analysis in dogs.
AB - OBJECTIVES: The purpose of this study was to elucidate the healing pattern of
sand-blasted, large grid, acid-etched (SLA)-surfaced implants at two healing
periods in a model that represents loosened implants (LIs) installed without
mechanical engagement. MATERIAL AND METHODS: Five mongrel dogs were used, in
which 20 dental implants were prepared. The implants were divided into two groups
according to the absence or presence of initial mechanical engagement: LIs) and
control, respectively. An oversized drill was used to prepare the implant area
for the LI group. The implants were allowed to heal for 4 or 8 weeks. After the
healing period, the experimental animals were sacrificed and block sections were
obtained for histological analysis and histometric measurements. RESULTS: All
implants were in intimate contact with the host bone and were without any
inflammation after both 4 and 8 weeks of healing. While the mean amount of bone
to-implant contact (BIC) was constant in the control group, it tended to increase
in the LI group with increasing healing period. However, neither BIC nor bone
density differed significantly between the groups or with the healing period.
CONCLUSION: From the results of the study, it can be conjectured that the
submerged and unloaded SLA-surfaced implants could result in successful
osseointegration, even if the mechanical engagement was not obtained at placement
of the implants.
PMID- 22092342
TI - Accuracy of data entry of patient race/ethnicity/ancestry and preferred spoken
language in an ambulatory care setting.
AB - OBJECTIVE: To describe data collection methods and to audit staff data entry of
patient self-reported race/ethnicity/ancestry and preferred spoken language
(R/E/A/L) information. DATA SOURCE/STUDY SETTING: Large mixed payer outpatient
health care organization in Northern California, June 2009. STUDY DESIGN:
Secondary analysis of an audit planned and executed by the Department of Clinical
Services. DATA COLLECTION/EXTRACTION METHODS: We analyzed concordance between
patient written responses and staff data entry. PRINCIPAL FINDINGS: The data
entry accuracy rate across questions was high, ranging from 92 to 97 percent.
Inaccuracies were due to human error (62 percent), flaws in system design (2
percent), or some combination of both (35 percent). CONCLUSIONS: This study
highlights the high accuracy of patient self-reported R/E/A/L data entry and
identifies some areas for improvement in staff training and technical system
design to facilitate further progress.
PMID- 22092343
TI - Noninvasive biosensor for cathepsin L in the stratum corneum.
AB - PURPOSE: The objective is to propose an on-site testing biosensor of cathepsin L
(CatL) activity in the stratum corneum, which can be used for the evaluation of
skin conditions noninvasively and easily. METHODS: The biosensor comprises of a
disposable test strip and a desktop-sized reader (260 * 150 * 290 mm(3), 1.9 kg),
incorporating a charge-coupled device image sensor (CCD) unit to measure the
reflectance of the test strip. A novel immuno-chromatographic test strip was
proposed for CatL analysis in the stratum corneum. In order to realize the test
strip, a colloidal gold technique was selected as the molecular recognition
method for the CatL. A human skin sample was collected noninvasively by adhesive
tape stripping. RESULTS: Based on optimal assay conditions, the sensitivity of
the biosensor was evaluated. It required 10 min from a sample dropping to appear
the test line on the test strip. The optical density was proportion to the CatL.
Bioanalytical validation indicated that, within the biosensor's detection limit
(172.2 MUU/mL), its accuracy (R(2) = 0.94), and precision (CV = 15%) approach
more elaborate laboratory-based analyzers. In addition, the truncated sampling
reporting cycle (<15 min) allows speedy reporting of CatL levels. CONCLUSION: It
was indicated that this noninvasive and easy-to use biosensor might be a novel
tool for the semi-quantitative analysis of CatL in the stratum corneum.
PMID- 22092344
TI - Teratoma composed of struma and seminoma arising from an undescended testis.
PMID- 22092345
TI - Osseointegration--communication of cells.
AB - BACKGROUND: The article provides the scientific documentation for the 3D animated
film - "Osseointegration - Communication of cells". AIM: The aim of this article
and of the film is to visualise the molecular and cellular events during the
healing of an osseous wound after installation of a dental implant with special
emphasis on the process of osseointegration. MATERIAL AND RESULTS: In this review
article for didactic reasons the concept of the four phases of a healing soft
tissue wound was transferred to a bone wound after insertion of a dental implant:
haemostasis, inflammatory phase, proliferative phase and remodelling phase. Wound
healing throughout these phases is the result of a coordinated action of
different cell types which communicate with each other by their interaction using
signalling molecules like cytokines, extracellular matrix proteins and small
molecules. A regular sequence of cell types controlled by adequate concentrations
of signalling molecules results in undisturbed healing. Disturbed healing is
associated with a continuation of the early inflammatory phase and the
development of a toxic wound environment. The latter is characterized by high
counts of polymorphnuclear cells, high concentrations of toxic radicals and
proteolytic enzymes and low concentrations of growth factors and extracellular
matrix molecules. Clinically the development of a toxic wound environment should
be avoided, e.g. by antibacterial measures. DISCUSSION AND CONCLUSION:
Experiencing implant osseointegration as a biological process may provide the
clinician new targets to improve the therapy with dental implants.
PMID- 22092346
TI - Frequencies of blood types A, B, and AB in non-pedigree domestic cats in Beijing.
AB - BACKGROUND: Frequencies of blood types A, B, and AB in domestic cats vary
geographically and among breeds and have not been reported in China. OBJECTIVE:
The purpose of this study was to survey the frequency of blood types in domestic
cats in the Beijing area. METHODS: A total of 262 cats from the city of Beijing
were blood-typed using a standard tube agglutination assay. All cats were
nonpedigree domestic shorthaired and longhaired cats; purebred cats were
excluded. Serum obtained from type-B cats and a lectin (Triticum vulgaris)
solution served as anti-A and anti-B reagents, respectively. The presence of
alloantibodies was also determined in some cats. RESULTS: The frequency of blood
types was 88.2% type A, 11.4% type B, and 0.4% type AB. The tube assay resulted
in 3+ to 4+ agglutination reactions with either the anti-A or anti-B reagents.
The 1 type AB sample showed 3+ agglutination with both anti-A and anti-B
reagents; the plasma of that sample did not react with either type-A or type-B
RBCs. Tested type-B cats had strong anti-A antibodies. CONCLUSIONS: The frequency
of blood type B in the Beijing area was relatively high and similar to that
reported for other Asian countries and Australia. Blood-typing is recommended to
match donors and recipients before transfusion therapy and planned matings to
avoid hemolytic transfusion and neonatal isoerythrolysis reactions, respectively,
due to blood-type incompatibility.
PMID- 22092347
TI - Segmental Becker's nevi with mucosal involvement.
AB - Becker's nevus otherwise known as Becker's pigmented hairy nevus, is a common
melanotic epidermal hypermelanosis. It usually presents as a large unilateral
patch of hyperpigmentation and hypertrichosis on the shoulder, chest, or back of
an adult man. It can be bilateral or multiple and affect areas other than the
usual sites. We report a 16-year-old boy with two Becker's nevi on the left side
of the face in a segmental distribution with extension onto the oral mucosa. This
case is being reported for its rare presentation.
PMID- 22092348
TI - Evidence for a curvilinear relationship between sympathetic nervous system
activation and women's physiological sexual arousal.
AB - There is increasing evidence that women's physiological sexual arousal is
facilitated by moderate sympathetic nervous system (SNS) activation. Literature
also suggests that the level of SNS activation may play a role in the degree to
which SNS activity affects sexual arousal. We provide the first empirical
examination of a possible curvilinear relationship between SNS activity and
women's genital arousal using a direct measure of SNS activation in 52 sexually
functional women. The relationship between heart rate variability (HRV), a
specific and sensitive marker of SNS activation, and vaginal pulse amplitude
(VPA), a measure of genital arousal, was analyzed. Moderate increases in SNS
activity were associated with higher genital arousal, while very low or very high
SNS activation was associated with lower genital arousal. These findings imply
that there is an optimal level of SNS activation for women's physiological sexual
arousal.
PMID- 22092349
TI - An evidence-based guideline for unintended pregnancy prevention.
AB - Despite the frequency of and significant costs related to unintended pregnancy,
it has received less attention in research and prevention guidelines development
than other important health threats. This lack of attention has resulted in a
system-wide failure to provide care to reproductive aged women who are at risk of
unintended pregnancy. An evidence-based blueprint for a coordinated system of
primary, secondary, and tertiary prevention is proposed for health professionals
who provide care for patients at risk for unintended pregnancy.
PMID- 22092350
TI - Metabolic adaptation of human pathogenic and related nonpathogenic bacteria to
extra- and intracellular habitats.
AB - Most bacteria pathogenic for humans have closely related nonpathogenic
counterparts that live as saprophytes, commensals or even symbionts (mutualists)
in similar or different habitats. The knowledge of how these bacteria adapt their
metabolism to the preferred habitats is critical for our understanding of
pathogenesis, commensalism and symbiosis, and - in the case of bacterial
pathogens - could help to identify targets for new antimicrobial agents. The
focus of this review is on the metabolic potentials and adaptations of three
different groups of human extra- and intracellular bacterial pathogens and their
nonpathogenic relatives. All bacteria selected have the potential to reach the
interior of mammalian host cells. However, their ability to replicate
intracellularly differs significantly. The question therefore arises whether
there are specific metabolic requirements that support stable intracellular
replication. Furthermore, we discuss - whenever relevant data for the pathogenic
representatives are available - the possible effect of the metabolism on the
expression of virulence genes.
PMID- 22092351
TI - Is PPARalpha intron 7 G/C polymorphism associated with muscle strength
characteristics in nonathletic young men?
AB - Peroxisome proliferator-activated receptor alpha (PPARalpha), a ligand-dependent
transcription factor, regulates fatty acid metabolism in heart and skeletal
muscle. The intron 7 G/C polymorphism (rs4253778) has been associated with
athletic performance. The rare C-allele was predominant in power athletes,
whereas the G-allele was more frequent in endurance athletes. In the present
study, we investigated the association between this polymorphism and strength
characteristics in nonathletic, healthy young adults (n = 500; age 24.2 +/- 4.4
years). Knee torque was measured during concentric knee flexion and extension
movements at 60 degrees /s, 120 degrees /s, and 240 degrees /s during 3, 25, and
5 repetitions, respectively. Also, resistance to muscle fatigue (i.e. work last
20% repetitions/work first 20% repetitions *100) was calculated. Differences in
knee strength phenotypes between GG homozygous individuals and C-allele carriers
were analyzed. The polymorphism did not influence the ability to produce
isometric or dynamic knee flexor or extensor peak torque during static or dynamic
conditions in this population (0.23 < P < 0.95). Similar results were found for
the endurance ratio, a measure for resistance to muscle fatigue. In conclusion,
the PPARalpha intron 7 G/C polymorphism does not seem to influence strength
characteristics in a nonathletic population.
PMID- 22092352
TI - The importance of bicarbonate and nonbicarbonate buffer systems in batch and
continuous flow bioreactors for articular cartilage tissue engineering.
AB - In cartilage tissue engineering an optimized culture system, maintaining an
appropriate extracellular environment (e.g., pH of media), can increase cell
proliferation and extracellular matrix (ECM) accumulation. We have previously
reported on a continuous-flow bioreactor that improves tissue growth by supplying
the cells with a near infinite supply of medium. Previous studies have observed
that acidic environments reduce ECM synthesis and chondrocyte proliferation.
Hence, in this study we investigated the combined effects of a continuous culture
system (bioreactor) together with additional buffering agents (e.g., sodium
bicarbonate [NaHCO3]) on cartilaginous tissue growth in vitro. Isolated bovine
chondrocytes were grown in three-dimensional cultures, either in static
conditions or in a continuous-flow bioreactor, in media with or without NaHCO3.
Tissue constructs cultivated in the bioreactor with NaHCO3-supplemented media
were characterized with significantly increased (p<0.05) ECM accumulation
(glycosaminoglycans a 98-fold increase; collagen a 25-fold increase) and a 13
fold increase in cell proliferation, in comparison with static cultures.
Additionally, constructs grown in the bioreactor with NaHCO3-supplemented media
were significantly thicker than all other constructs (p<0.05). Further, the
chondrocytes from the primary construct expanded and synthesized ECM, forming a
secondary construct without a separate expansion phase, with a diameter and
thickness of 4 mm and 0.72 mm respectively. Tissue outgrowth was negligible in
all other culturing conditions. Thus this study demonstrates the advantage of
employing a continuous flow bioreactor coupled with NaHCO3 supplemented media for
articular cartilage tissue engineering.
PMID- 22092353
TI - Structural and functional changes in the alveolar bone osteoclasts of estrogen
treated rats.
AB - This study investigated structural and functional features of apoptotic alveolar
bone osteoclasts in estrogen-treated rats. For this purpose, 15 female rats 22
days old were divided into three groups: Estrogen (EG), Sham (SG) and Control
(CG). The rats of EG received daily intramuscular injection of estrogen for 7
days. The SG received only the oil vehicle. Maxillary fragments containing
alveolar bone were removed and processed for light and transmission electron
microscopy. Area (OcA) and number of nuclei (OcN) and bone resorption surface per
TRAP-positive osteoclasts (BS/OC) were obtained. Vimentin, caspase-3 and MMP-9
immunoreactions, TUNEL/TRAP and MMP-9/TUNEL combined reactions were performed. In
EG, the OcA, OcN and BS/Oc were reduced. Moreover, osteoclasts showed cytoplasm
immunolabelled by caspase-3 and a different pattern of vimentin expression in
comparison with CG and SG. MMP-9 expression was not affected by estrogen and the
TUNEL-positive osteoclasts were MMP-9-immunolabelled. In EG, ultrastructural
images showed that apoptotic osteoclasts did not exhibit ruffled borders or clear
zones and were shedding mononucleated portions. TRAP-positive structures
containing irregular and dense chromatin were partially surrounded by fibroblast
like cells. In conclusion, the reduction in the BS/Oc may be due to reduction in
OcA and OcN; these effects seem to be related to vimentin disarrangement rather
than to an interference of estrogen with osteoclast MMP-9 expression. Osteoclast
apoptosis involves caspase-3 activity and vimentin degradation; these cells
release portions containing one apoptotic nucleus and, subsequently, undergo
fragmentation, giving rise to apoptotic bodies.
PMID- 22092354
TI - Designing a novel dental root analogue implant using cone beam computed
tomography and CAD/CAM technology.
AB - OBJECTIVES: The study aim is to introduce a novel preemptively constructed dental
root analogue implant (RAI) based on three-dimensional (3D) root surface models
obtained from a cone beam computed tomography (CBCT) scan, computer aided
designing and computer aided manufacturing technology. MATERIALS & METHODS: One
partially edentulous mandibular human cadaver was scanned with the Accuitomo 170
CBCT system. The scan volumes and datasets were used to create 3D surface models
of the tooth. A 3D surface mesh of the tooth was stored as a standard
triangulation language (STL) file. A high-end selective laser melting technology
was used to fabricate the RAI from the STL file. The RAI was produced in a
biocompatible titanium alloy (Ti6Al4V). Optical scanning technology was used to
measure the RAI, as well as the natural tooth that was extracted. To validate the
accuracy of the CBCT 3D root surface and the manufactured Titanium RAI, both
surfaces were superimposed on the optical scan of the tooth, which served as the
gold "reference" standard. RESULTS: The differences between the RAI and the
optical scan of the original tooth are most noticeable at the level of the apex
and the cementenamel junction areas on the buccal and lingual side (divergence of
more than 0.15 mm). Surface area measurements show an overall decrease in surface
area of 6.33% for the RAI in comparison with the original tooth and an increase
of 0.27% when comparing the 3D surface model with optical scan of the original
tooth. CONCLUSION: With the use of currently available technology it is very well
feasible to preemptively create a custom RAI in titanium. However, clinical
evidence evaluating the success of this novel dental implant approach is needed.
PMID- 22092356
TI - An investigation of the practice activities and coaching behaviors of
professional top-level youth soccer coaches.
AB - The aim of this study was to investigate the coaching behaviors of elite English
youth soccer coaches in different practice settings and gain insight into the
coaches' cognitive processes underpinning these behaviors. The practice setting
was split into two types of activities, "training form" and "playing form," and
behavioral data were collected using a modified version of the Coach Analysis and
Intervention System. Interpretive interview data were triangulated with the
behavioral data to ensure that both the "what" and the "why" of the coaches'
behavior and practice were considered. The results showed the coaches using more
"training form" activities than "playing form," and using high levels of
prescriptive instruction, regardless of practice type, in contrast to a stated
desire to "developing the whole player," creating "decision makers," and being a
"facilitator of knowledge creation." The interviews revealed that the coaches had
a low self-awareness about their behavior, with an epistemological gap identified
between understanding and practice, with statements of intent not being matched
by knowledge and action.
PMID- 22092355
TI - Assessing factors underlying variation of CO2 emissions in boreal lakes vs.
reservoirs.
AB - Reservoirs and lakes were compared to test the hypothesis that they are similar
with respect to factors driving the variation in CO(2) emissions to the
atmosphere. Understanding this variation is necessary for the assessment of the
contribution of these freshwater ecosystems to the global carbon cycle. This
study, in contrast to previous ones, included analyses of the relationships
between CO(2) emissions and microbial communities. Pooled data (lakes and
reservoirs) showed that variations in CO(2) emissions were strongly related to
variations in temperature, dissolved organic matter (DOM) quality, and bacterial
production (BP). Results also showed that lakes were characterized by higher
water temperature, lower DOM quality, larger size of Daphnia, and enriched
delta(13) C zooplankton compared to reservoirs. Moreover, interactions within
plankton communities and relationships between CO(2) emissions and zooplankton
delta(13) C signatures differed in lakes vs. reservoirs, indicating among-system
type differences in food web structure and carbon cycling. As a result of these
ecosystem-type characteristics, CO(2) emission variation was mainly explained by
temperature and BP in lakes, and by DOM quality and the ratio of phytoplankton
biomass to microheterotroph biomass in reservoirs. These results showed that
differences in temperature and DOM quality between lakes and reservoirs translate
into differences in microbial interactions and ultimately in the importance of
factors driving CO(2) emissions to the atmosphere. They indicated that
considering microbial communities and environmental variables such as temperature
and DOM quality can help improve our understanding of the variation in CO(2)
emissions from freshwater ecosystems.
PMID- 22092357
TI - Low-dose transdermal buprenorphine - long-term use and co-medication with other
potentially addictive drugs.
AB - INTRODUCTION: Recently, low-dose transdermal buprenorphine (LD-TD-BUP) was
introduced for treatment of patients with chronic non-malignant pain. The primary
aim of this study was to determine the proportion of patients who were prescribed
LD-TD-BUP for non-malignant pain who became long-term users. The secondary aim
was to determine the proportion of patients who co-medicated with other opioids
or benzodiazepines during treatment with LD-TD-BUP. METHODS: Data were drawn from
the Norwegian Prescription Database that covers all prescriptions dispensed at
pharmacies to the entire Norwegian population (4.7 million inhabitants). The
study population consisted of all patients who were dispensed at least one
prescription of LD-TD-BUP from its introduction in November 2005 to 31 December
2008. Patients who were dispensed more than 24 patches (>= 6 months) were defined
as long-term users. Reimbursement codes were used to stratify patients as having
cancer pain or non-malignant pain. RESULTS: Among new users of LD-TD-BUP for non
malignant pain (n = 13,451), only 22% became long-term users, while 44% were only
dispensed one prescription. Among long-term users who were opioid naive when LD
TD-BUP was initiated, 43% co-medicated with other opioids or benzodiazepines,
compared with 82% of those who previously had used opioids. CONCLUSION: Three
years after introduction, 0.4% of the Norwegian population had been dispensed LD
TD-BUP. Only one-fifth had become long-term users. Those who used opioids before
the first dispension of LD-TD-BUP co-medicated with other potentially addictive
drugs to a much higher degree compared with those who were opioid naive.
PMID- 22092358
TI - Comparison of Bacteria and Archaea communities in municipal solid waste,
individual refuse components, and leachate.
AB - Refuse decomposition in landfills is a microbially mediated process that occurs
primarily under anaerobic conditions. Because of limited moisture conditions,
hydraulic transport as a means of cellular translocation within the landfill
appears limited, especially during the initial stages of decomposition. Thus,
microbial communities within the incoming refuse serve as a primary source of
facultative and obligate anaerobic microorganisms that initiate refuse
decomposition. Fresh residential refuse was collected five times over 26 months,
and microbial communities in these samples were compared with those in individual
refuse components and decomposed refuse. Bacterial and archaeal community
structures were determined using T-RFLP. The Bacterial microbial community
richness was correlated (r(2) = 0.91) with seasonal differences in ambient air
temperature. Analysis of the results shows that fresh refuse is most likely not
the source of methanogens in landfills. Microbial communities in the solid and
leachate phases were different, indicating that both matrices must be considered
when characterizing microbial diversity within a landfill.
PMID- 22092359
TI - The influence of perforating the autogenous block bone and the recipient bed in
dogs. Part II: histologic analysis.
AB - OBJECTIVES: This study histologically evaluated the influence of cortical
perforation of grafted autogenous block bone (ABB) and/or the recipient bed at
different healing periods in dogs. MATERIAL AND METHODS: Four ABBs harvested from
the posterior mandible were bilaterally fixed onto the maxillary premolar region
in five mongrel dogs. The experiments involved four groups according to the
perforation site: the ABB and/or the recipient bed. The animals were killed at 1,
4, and 10 days (early healing periods) and 4 and 8 weeks (late healing periods)
postoperatively. Specimens were prepared for histological evaluation. RESULTS: In
the perforated ABB groups, blood clots had reached the inner surface of the ABB
through a surgically prepared pathway, indicating that revascularization had
taken place earlier than in the solid ABB groups. Finger-like bony projections
into the graft were observed at the interfaces between the ABB and the recipient
bed at the fourth week in all four groups. The remodeling process was observed
over the entire ABB in the perforated ABB groups, whereas in the solid ABB groups
it occurred only at the interface, and the ABB maintained its original lamella
structure with more osteoclastic activity. Interestingly, in the perforated ABB
groups, additional new bone with a smooth margin was formed above the block
bone's original border. CONCLUSION: It was conjectured that intentional cortical
perforation of the recipient bed and the ABB might enhance the initial
angiogenesis and the integration of the graft.
PMID- 22092360
TI - The potential effects of cholecalciferol on bone regeneration in dogs.
AB - OBJECTIVES: The aim of this investigation was to evaluate the potential effects
of the systemically delivered combination of calcium supplementation and
cholecalciferol and of the locally applied biphasic calcium alloplast on the
surgically produced alveolar sockets at the early healing stage in a dog model.
MATERIALS AND METHODS: The mandibular pre-molars of nine Beagle dogs were
extracted first. Three months later, four standardized sockets with a 4 mm in
diameter and 6 mm deep cylinder were created bilaterally at healed extraction
sites. The sockets on one side were grafted with biphasic calcium phosphate
alloplast, whereas the defects on the other side were left un-grafted. The dogs
were then randomly divided into two groups; five dogs received oral calcium and
cholecalciferol combination (Vit.D/Ca) and were assigned to the test group
(Vit.D/Ca Group). The other four dogs without Vit.D/Ca supplement were
distributed to the control group (Non-Vit.D/Ca Group). The bone density (Density)
and the implant stability quotient (ISQ) at prepared sites were measured 4 weeks
later. The drawn bone cores were examined by a histomorphometric analysis for
measurement of new bone formation (NBF). The amount of vertical ridge resorption
(VRR) was evaluated. RESULTS: The Vit.D/Ca-treated subjects revealed
significantly more NBF (P < 0.05), higher bone density (P < 0.05) and
significantly less vertical ridge reduction (P < 0.05) in the healing sockets
than those without Vit.D/Ca treatment. The non-grafted sockets demonstrated
significantly more NBF (P < 0.05), higher bone density (P < 0.05), better ISQ
value (P < 0.05) and more vertical ridge reduction (P < 0.05) than those in the
grafted sockets. There was no significant difference between the serum data
determined before and 4 weeks after experiment in Vit.D/Ca Group or Non-Vit.D/Ca
Group. However, the changed value (post-op vs. pre-op) between the two groups was
significant in the serum level of phosphate and parathyroid hormone (P = 0.05).
CONCLUSION: The results of the present study indicated that the examined calcium
phosphate alloplast may perform a function in alveolar ridge preservation while
reducing the potential of NBF potential in the healing extraction socket. Also,
the combination of calcium supplementation and cholecalciferol may have systemic
effects on accelerating bone regeneration.
PMID- 22092361
TI - Pseudoepitheliomatous keratotic and micaceous balanitis: comment.
PMID- 22092362
TI - A new DNA extraction method by controlled alkaline treatments from consolidated
subsurface sediments.
AB - Microbial communities that thrive in subterranean consolidated sediments are
largely unknown owing to the difficulty of extracting DNA. As this difficulty is
often attributed to DNA binding onto the silica-bearing sediment matrix, we
developed a DNA extraction method for consolidated sediment from the deep
subsurface in which silica minerals were dissolved by being heated under alkaline
conditions. NaOH concentrations (0.07 and 0.33 N), incubation temperatures (65
and 94 degrees C) and incubation times (30-90 min) before neutralization were
evaluated based on the copy number of extracted prokaryotic DNA. Prokaryotic DNA
was detected by quantitative PCR analysis after heating the sediment sample at 94
degrees C in 0.33 N NaOH solution for 50-80 min. Results of 16S rRNA gene
sequence analysis of the extracted DNA were all consistent with regard to the
dominant occurrence of the metallophilic bacterium, Cupriavidus metallidurans,
and Pseudomonas spp. Mineralogical analysis revealed that the dissolution of a
silica mineral (opal-CT) during alkaline treatment was maximized at 94 degrees C
in 0.33 N NaOH solution for 50 min, which may have resulted in the release of DNA
into solution. Because the optimized protocol for DNA extraction is applicable to
subterranean consolidated sediments from a different locality, the method
developed here has the potential to expand our understanding of the microbial
community structure of the deep biosphere.
PMID- 22092363
TI - Single-tooth Morse taper connection implants placed in fresh extraction sockets
of the anterior maxilla: an aesthetic evaluation.
AB - OBJECTIVE: The aim of this retrospective study was to evaluate the aesthetic
outcome of single-tooth Morse taper connection implants placed in fresh
extraction sockets of the anterior maxilla, by means of the pink aesthetic/white
aesthetic score (PES/WES) index. MATERIALS AND METHODS: Twenty-six adult patients
(18 men, 8 women, aged between 20 and 62 years; average: 48.7 years) who were
treated with an immediate, single-tooth Morse taper connection implant (Leone
Implant System(R) , Florence, Italy) in the aesthetic area of the anterior
maxilla, were enrolled in this retrospective study. An independent calibrated
examiner applied the PES/WES index to 26 single-tooth implant-supported
restorations, respectively, 3 months and 2 years after implant placement.
RESULTS: No implants were lost. All 26 anterior maxillary single-tooth implants
fulfilled the established success criteria for dental implants with regard to
osseointegration, including the absence of pain, suppuration, clinically
detectable implant mobility, peri-implant radiolucency and prosthetic
complications at the implant-abutment interface, with an overall implant-crown
success of 100.0%. At the 2-year examination, the mean DIB was 0.44 +/- 0.14 mm
(95% CI: 0.41-0.47); the mean total PES/WES was 14.30 +/- 2.78 (range 8-19); the
mean PES was 7.30 +/- 1.78 (range 4-10) and the mean WES was 7.00 +/- 1.35 (range
4-10). CONCLUSIONS: The immediate placement of single-tooth Morse taper
connection implants in the anterior maxilla seems to represent a successful
procedure, from an aesthetic point of view. Further studies are needed to
evaluate the aesthetic outcome of single-tooth Morse taper connection implants
placed in fresh extraction sockets of the anterior maxilla.
PMID- 22092364
TI - Two-dimensional dielectric imaging for dermatologic screening: a feasibility
study.
AB - BACKGROUND/PURPOSE: The diagnosis of skin neoplasia can be very challenging,
given the low sensitivity and specificity of traditional methods of diagnosis
which are based on visual appearance. Techniques which are based on the
dielectric properties of cells can improve the diagnostic accuracy of screening
techniques; as an example, point-contact coaxial probes for dielectric
measurement can improve diagnostic accuracy. Unfortunately, these probes are not
well suited for two-dimensional spatial imaging of the skin surface, given that
they must be manually scanned over the skin surface. METHODS/RESULTS: An
electronic scanning probe was developed and fabricated to simulate an open-ended
coaxial probe suitable for two-dimensional dielectric imaging of human skin in
real time. A clinical study was undertaken to demonstrate proof-of-concept for
the instrumentation. A select group of normal healthy subjects as well as a
subject with diagnosed squamous cell carcinoma participated in this study. The
electronic scanning probe was found to be a potentially useful tool for providing
two-dimensional images from diseased skin. CONCLUSION: The electronic scanning
probe used for the present study addresses existing limitations with current
coaxial probes. Measurements of healthy and diseased areas of skin are provided
to illustrate the feasibility of the approach.
PMID- 22092365
TI - Lymphopenia in patients with chronic idiopathic neutropenia is associated with
decreased number of T-lymphocytes containing T-cell receptor excision circles.
AB - OBJECTIVES: Chronic idiopathic neutropenia (CIN) is a disorder of granulopoiesis
characterized by the presence of activated T-lymphocytes that induce/sustain
apoptosis of bone marrow (BM) granulocytic progenitors. T-cell lymphopenia is
commonly found in CIN. The aim of the study is to probe the mechanisms underlying
T-cell lymphopenia in CIN. METHODS: We investigated parameters of T-cell
homeostasis namely the proliferation/apoptotic rate of naive and memory T cells,
the T-cell senescence by telomere measurement, the recent thymic T-cell
production through quantification of T-cell receptor rearrangement excision
circles (TRECs), and the production of interleukin (IL)-7. RESULTS: Patients with
CIN (n = 44) displayed lower proportion of naive CD45RA(+) cells within the
CD4(+) and CD8(+) cells compared with controls (n = 15). The proportion of
apoptotic cells within the CD8(+) fraction was higher in patients compared with
controls and was correlated with the percentage of Ki-67(+) cells, indicating an
activation-induced accelerated CD8(+) cell death. The TREC content of CD4(+) and
CD8(+) cells was lower in patients compared with controls and was correlated with
the proportion of CD45RA(+) CD4(+) and CD8(+) cells and with the levels of serum
and BM IL-7, which were significantly decreased in the patients. The mean
relative telomere length of CD4(+) and CD8(+) cells was significantly lower in
patients with CIN compared with age-matched controls. CONCLUSIONS: The aberrant T
cell expansions associated with the pathogenesis of CIN result in increased
proliferation/apoptosis and possibly exhaustion of peripheral blood T cells
which, in association with the inadequate compensatory thymic export of new TREC
expressing T cells partially because of IL-7 deficiency, may contribute to
lymphopenia in CIN.
PMID- 22092367
TI - Accuracy of professional sports drafts in predicting career potential.
AB - The forecasting of talented players is a crucial aspect of building a successful
sports franchise and professional sports invest significant resources in making
player choices in sport drafts. The current study examined the relationship
between career performance (i.e. games played) and draft round for the National
Football League, National Hockey League, National Basketball League, and Major
League Baseball for players drafted from 1980 to 1989 (n = 4874) against the
assumption of a linear relationship between performance and draft round (i.e.
that players with the most potential will be selected before players of lower
potential). A two-step analysis revealed significant differences in games played
across draft rounds (step 1) and a significant negative relationship between
draft round and games played (step 2); however, the amount of variance accounted
for was relatively low (less than 17%). Results highlight the challenges of
accurately evaluating amateur talent.
PMID- 22092366
TI - Nursing home price and quality responses to publicly reported quality
information.
AB - OBJECTIVE: To assess whether the release of Nursing Home Compare (NHC) data
affected self-pay per diem prices and quality of care. DATA SOURCES: Primary data
sources are the Annual Survey of Wisconsin Nursing Homes for 2001-2003, Online
Survey and Certification Reporting System, NHC, and Area Resource File. STUDY
DESIGN: We estimated fixed effects models with robust standard errors of per diem
self-pay charge and quality before and after NHC. PRINCIPAL FINDINGS: After NHC,
low-quality nursing homes raised their prices by a small but significant amount
and decreased their use of restraints but did not reduce pressure sores. Mid
level and high-quality nursing homes did not significantly increase self-pay
prices after NHC nor consistently change quality. CONCLUSIONS: Our findings
suggest that the release of quality information affected nursing home behavior,
especially pricing and quality decisions among low-quality facilities. Policy
makers should continue to monitor quality and prices for self-pay residents and
scrutinize low-quality homes over time to see whether they are on a pathway to
improve quality. In addition, policy makers should not expect public reporting to
result in quick fixes to nursing home quality problems.
PMID- 22092368
TI - Response of human bone marrow stromal cells, MG-63, and SaOS-2 to titanium-based
dental implant surfaces with different topography and surface energy.
AB - OBJECTIVES: Osseointegration is dependent on different parameters of the implant
surface like surface roughness and physicochemical properties. In vitro studies
using a wide variety of surface parameters and cell lines make it difficult to
address the influence of a single parameter. With this study the influence of
surface topography and energy on different osteoblast derived cell lines, namely
MG-63 and SaOS-2 and of human mesenchymal stromal cells (hMSC) were investigated.
MATERIAL AND METHODS: Cells were cultured on polished (POL) and sandblasted/hot
acid etched (SBA) titanium surfaces which were partly alkaline treated (SBA
NaOH). Cell morphology, metabolic activity, tissue non-specific alkaline
phosphatase (TNAP) activity and prostaglandin E(2) (PGE(2) ) formation were
determined. RESULTS: Impaired spreading was found on both SBA surfaces.
Proliferation after 4 and 7 days increased on POL compared to both SBA surfaces.
TNAP activity of hMSC and MG-63 was increased on POL compared to both SBA
surfaces whereas SaOS-2 did not discriminate between the three surfaces. PGE(2)
formation of hMSC and MG-63 was on both SBA surfaces after 2 days significantly
higher than on POL. CONCLUSIONS: The results of this study show that surface
roughness has a distinct influence on proliferation and differentiation of
osteoblasts. However, variations in physicochemical properties seem to have
little influence under the used experimental conditions. It is suggested that
more sever and long-lasting modifications of surface chemistry would have an
influence on osteoblastic cells.
PMID- 22092369
TI - Performance evaluation of the Sysmex pocH-100iV Diff hematology analyzer for
analysis of canine, feline, equine, and bovine blood.
AB - BACKGROUND: The Sysmex pocH-100iV Diff is an impedance hematology analyzer
recently introduced for point-of-care use in veterinary practices in Europe.
OBJECTIVE: The purpose of this study was to validate the pocH-100iV Diff for
analysis of blood samples from dogs, cats, horses, and cattle. METHODS: Fresh
EDTA-blood samples from healthy and ill dogs (115), cats (94), horses (91), and
cattle (78) were analyzed on the pocH-100iV Diff and the Cell-Dyn 3500. Results
of the automated WBC differential counts were compared with the manual
differential counts for 77 dogs, 65 cats, 40 horses, and 46 cattle. HCT were
compared with PCVs obtained by microhematocrit centrifugation. Furthermore,
precision, linearity, carry-over, cell aging, and clinical relevance of the pocH
100iV Diff results were assessed. RESULTS: Most of the CBC results obtained by
the pocH-100iV Diff correlated well with those of the Cell-Dyn 3500. Slightly low
correlation was observed for canine MCV and hemoglobin concentration. Lymphocytes
correlated well in horses and cattle, but less well in cats and dogs. The mixed
cell population termed "OTHRS" (all granulocytes and monocytes for horses and
cattle; neutrophils, monocytes, and basophils for cats and dogs) correlated well
in all tested species. The instrument overestimated feline and canine
eosinophils. In cats, platelet counts showed a strong negative bias. CONCLUSIONS:
The overall performance of the pocH-100iV Diff was excellent with the noted
limitations. The automated differential count can be used as screening tool in
conjunction with evaluation of a blood smear.
PMID- 22092370
TI - Melanotic neuroectodermal tumor of infancy.
AB - Melanotic neuroectodermal tumor of infancy (MNTI) is an uncommon lesion with
remarkably consistent histopathologic features that arises primarily in the
pediatric population. We describe a MNTI arising in the anterior maxilla of a 6
month-old boy.
PMID- 22092371
TI - Editorial Comment to Active surveillance criteria for prostate cancer: can they
be applied to Japanese patients?
PMID- 22092372
TI - Pathogenesis in tuberculosis: transcriptomic approaches to unraveling virulence
mechanisms and finding new drug targets.
AB - Tuberculosis (TB) remains a major health problem worldwide. Attempts to control
this disease have proved difficult owing to our poor understanding of the
pathobiology of Mycobacterium tuberculosis and the emergence of strains that are
resistant to multiple drugs currently available for treatment. Genome-wide
expression profiling has provided new insight into the transcriptome signatures
of the bacterium during infection, notably of macrophages and dendritic cells.
These data indicate that M. tuberculosis expresses numerous genes to evade the
host immune responses, to suit its intracellular life style, and to respond to
various antibiotic drugs. Among the intracellularly induced genes, several have
functions in lipid metabolism, cell wall synthesis, iron uptake, oxidative stress
resistance, protein secretion, or inhibition of apoptosis. Herein we review these
findings and discuss possible ways to exploit the data to understand the complex
etiology of TB and to find new effective drug targets.
PMID- 22092373
TI - Social cognitive and clinical factors associated with HPV vaccine initiation
among urban, economically disadvantaged women.
AB - OBJECTIVE: To learn more about human papilloma virus (HPV) knowledge and
vaccination among teens and young women age 13 to 26 years from an economically
disadvantaged, urban community. Our aim was to identify common beliefs about HPV
vaccine initiation and describe the relationship between attitudes, norms,
perceived control, and intention to receive HPV vaccine, drawing from the theory
of planned behavior (TPB). DESIGN: Mixed method, descriptive design. Guided by
the TPB, HPV vaccine beliefs were assessed through focus groups. Intention to
receive the vaccine, demographic and clinical factors, and theoretical predictor
variables (attitudes, norms, and control) were assessed through questionnaires.
SETTING: After recruitment, focus groups were held at a convenient date and time
for our participants in a small university conference room. PARTICIPANTS:
Participants were economically disadvantaged young women, age 13 to 26 (N = 34).
METHODS: Specific behavioral, normative, and control beliefs were elicited in
focus groups and analyzed using content analysis. Simple and multivariate general
linear modeling with adjustment for prognostic demographic and clinical factors
was completed to assess the influence of the theoretical predictor variables on
the outcome of HPV vaccine initiation. RESULTS: Influential beliefs toward
vaccination were identified. Analysis indicated attitudes, norms, and perceived
control toward HPV vaccine initiation were highly significant predictors of
intent, as was tobacco use; all p's < .001. CONCLUSION: Barriers to HPV vaccine
initiation were identified, and strong preliminary evidence supports use of the
TPB to guide programs to promote urban, economically disadvantaged young women's
intent to begin the HPV vaccine.
PMID- 22092374
TI - Defined electrical stimulation emphasizing excitability for the development and
testing of engineered skeletal muscle.
AB - Electrical stimulation is required for the maturation of skeletal muscle and as a
way to nondestructively monitor muscle development. However, the wrong
stimulation parameters can result in electrochemical damage that impairs muscle
development/regeneration. The goal of the current study was to determine what
aspect of an electrical impulse, specifically the pulse amplitude or pulse width,
was detrimental to engineered muscle function and subsequently how engineered
muscle responded to continuous electrical stimulation for 24 h. Acute stimulation
at a pulse amplitude greater than six-times rheobase resulted in a 2.4-fold
increase in the half-relaxation time (32.3+/-0.49 ms vs. 77.4+/-4.35 ms; p<0.05)
and a 1.59-fold increase in fatigability (38.2%+/-3.61% vs. 60.6%+/-4.52%;
p<0.05). No negative effects were observed when the pulse energy was increased by
lengthening the pulse width, indicating electrochemical damage was due to
electric fields at or above six-times rheobase. Continuous stimulation for 24 h
at electric fields greater than 0.5 V/mm consistently resulted in ~2.5-fold
increase in force (0.30+/-0.04 kN/m2 vs. 0.67+/-0.06 kN/m2; p<0.05). Forty per
cent of this increase in force was dependent on the mammalian target of rapamycin
(RAP) complex 1 (mTORC1), as RAP prevented this portion of the increase in force
(CON=0.30+/-0.04 kN/m2 to 0.67+/-0.06 kN/m2 compared with RAP=0.21+/-0.01 kN/m2
to 0.37+/-0.04 kN/m2; p<0.05). Since there was no increase in myosin heavy chain,
the remaining increase in force over the 24 h of stimulation is likely due to
cytoskeletal rearrangement. These data indicate that electrochemical damage
occurs in muscle at a voltage field greater than six-times rheobase and therefore
optimal muscle stimulation should be performed using lower electric fields (two-
to four-times rheobase).
PMID- 22092375
TI - The effect of surrounding conditions on pixel value of cone beam computed
tomography.
AB - OBJECTIVE: The purpose of this study was to evaluate the reliability of pixel
value in CBCT, especially with regard to the effect of surrounding objects that
are presented outside the field of view (FOV). MATERIALS AND METHODS: This
experiment used the GE Hi-Speed QXi, a multidetector helical computed tomography
(MDCT) scanner, and the 3D Accuitomo FPD 8, a cone beam computed tomography
(CBCT) scanner. Two types of phantoms were used, both of which contained Lipiodol
Ultra Fluid (Lipiodol UF). The type A phantom was a target phantom for pixel
value measurement while type B was used for the surrounding environment. For
CBCT, the type A phantom was placed in a water bath, and 4 types of surrounding
environmental conditions were created: (1) no other phantom present, (2) phantom
type B also within the FOV, (3) half of phantom type B within the FOV, (4)
phantom type B entirely outside the FOV but within the path of x-rays aimed at
phantom A. RESULTS: In MDCT, pixel value (CT number) showed an almost linear
correlation with the concentration of Lipiodol UF. In CBCT, on the other hand,
pixel value was not linearly correlated with Lipiodol UF concentration. The
position of the type B phantom affected pixel values in images of the type A
phantom. CONCLUSIONS: Pixel value in CBCT may be affected by various conditions
such as beam hardening and surrounding materials, and is therefore not reliable.
Caution is essential when pixel values in CBCT are used to estimate bone density
at potential implant sites.
PMID- 22092376
TI - Selective down-regulation of Th2 cell-mediated airway inflammation in mice by
pharmacological intervention of CCR4.
AB - BACKGROUND: The chemokine receptor CCR4 has been implicated in Th2 cell-mediated
immune responses. However, other T cell subsets are also known to participate in
allergic inflammation. OBJECTIVE: The role of CCR4 in Th1, Th2, and Th17 cell
mediated allergic airway inflammation was investigated. METHOD: We generated an
allergic airway inflammation model by adoptive transfer of in vitro-polarized
ovalbumin (OVA)-specific Th1, Th2, and Th17 cells. The effect of a low-molecular
weight CCR4 antagonist, Compound 22, on this model was examined. RESULTS: Upon in
vitro polarization of DO11.10 naive T cells, Th1- and Th2-polarized cells
dominantly expressed CXCR3 and CCR4, respectively, while Th17-polarized cells
expressed CCR6 and CCR4. Intranasal OVA-challenge of mice transferred with each T
cell subset induced accumulation of T cells in the lungs. Eosinophils were also
massively accumulated in Th2-transferred mice, whereas neutrophils were
preferentially recruited in Th1- and Th17-transferred mice. Compound 22, as well
as anti-CCL17 or anti-CCL22 antibody selectively suppressed accumulation of Th2
cells and eosinophils in the lungs of Th2-transferred and OVA-challenged mice.
Compound 22 also inhibited bronchial hyperresponsiveness but had little effect on
goblet cell hyperplasia in Th2-transferred and OVA-challenged mice. CONCLUSIONS
AND CLINICAL RELEVANCE: There were notable differences in allergic lung
inflammation mediated by different T cell subsets. CCR4 blockage was selectively
effective for suppression of Th2-mediated allergic inflammation by blocking
infiltration of Th2 cells.
PMID- 22092378
TI - The effect of ageing and fitness on thermoregulatory response to high-intensity
exercise.
AB - There are conflicting reports as to whether ageing causes a decreased
thermoregulatory response, or if observed differences in previous studies are
related to maximal aerobic capacity or training status. This study hypothesized
that thermoregulatory response to severe exercise-heat stress is maintained with
ageing when both young and older subjects are well trained. Seven older highly
trained (OHT = 51-63 years) cyclists were matched with two groups of young
cyclists (19-35 years); one group matched for training status [young highly
trained (YHT) participants, n = 7] and another for V O 2 max [young moderately
trained (YMT), n = 7]. Each participant exercised at 70% V O 2 max in hot (35
degrees C, 40% relative humidity) and thermoneutral (20 degrees C, 40% relative
humidity) conditions for 60 min. Final rectal temperature in the thermoneutral
and heat (YHT = 39.13 +/- 0.33 degrees C, YMT = 39.11 +/- 0.38 degrees C, OHT =
39.11 +/- 0.51 degrees C) tests were similar between all three groups. %HR(max)
(heat test: YHT = 92.5 +/- 6.0%, YMT = 91.6 +/- 4.4%, OHT = 88.6 +/- 5.1%), skin
temperature, and cutaneous vascular conductance during cycling in both
environments were similar between groups. Lower sweat loss and evaporative heat
loss in the heat test in the OHT and YMT groups when compared with the YHT group
reflected lower metabolic heat production. The findings of the present study
suggest that thermoregulatory response is maintained with age among highly
trained subjects.
PMID- 22092377
TI - Comparative histological results of different biomaterials used in sinus
augmentation procedures: a human study at 6 months.
AB - OBJECTIVES: Various grafts or combination of graft materials have been used in
sinus floor augmentations, and human histological reports on their performance
are available, although limited in number. Histological analysis of the
regenerated tissues will provide useful information regarding the nature and
amount of newly formed bone. Aim of the present study was a histological and
histomorphometric evaluation, in humans, of specimens retrieved from sinuses
augmented with phycogene hydroxyapatite, biphasic calcium phosphate ceramics,
calcium carbonate, porcine bone and anorganic bovine bone, after a healing period
of 6 months. MATERIALS AND METHODS: A total of 15 patients, undergoing 30 sinus
augmentation procedures with five different biomaterials, participated in this
study. A total of 82 titanium dental implants were inserted in the augmented
sinuses after a healing period of 6 months. A total of 60 bone cores, 2 for each
augmented sinus, 12 for every biomaterial, were retrieved and all were stored
immediately in 10% buffered formalin and processed to obtain thin ground
sections. RESULTS: In all biomaterials, many grafted particles were lined and,
sometimes, bridged by newly formed bone. Some biomaterials particles appeared to
be partially resorbed and substituted by newly formed bone. Histomorphometry
showed that, in all biomaterials, newly formed bone and residual grafted material
particles represented about 30%. CONCLUSIONS: Longer term histological and
histomorphometric studies will be necessary to understand better the resorption
times of all these biomaterials. The high interconnecting microporosity allowed,
in all the present biomaterials, the ingrowth of newly formed bone and vessels in
the pores of the partially resorbed particles. In conclusion, within the
limitations of the present study, the data provided support the fact that all
these biomaterials can be used, successfully, in sinus augmentation procedures.
PMID- 22092379
TI - Similarity and difference among rainforest fruit-feeding butterfly communities in
Central and South America.
AB - 1. Documenting species abundance distributions in natural environments is
critical to ecology and conservation biology. Tropical forest insect faunas vary
in space and time, and these partitions can differ in their contribution to
overall species diversity. 2. In the Neotropics, the Central American butterfly
fauna is best known in terms of general natural history, but butterfly community
diversity is best documented by studies on South American fruit-feeding
butterflies. Here, we present the first long-term study of fruit-feeding
nymphalid species diversity from Central America and provide a unique comparison
between Central and South American butterfly communities. 3. This study used 60
months of sampling among multiple spatial and temporal partitions to assess
species diversity in a Costa Rican rainforest butterfly community. Abundance
distributions varied significantly at the species and higher taxonomic group
levels, and canopy and understorey samples were found to be composed of distinct
species assemblages. 4. Strong similarities in patterns of species diversity were
found between this study and one from Ecuador; yet, there was an important
difference in how species richness was distributed in vertical space. In contrast
to the Ecuadorian site, Costa Rica had significantly higher canopy richness and
lower understorey richness. 5. This study affirms that long-term sampling is
vital to understanding tropical insect species abundance distributions and points
to potential differences in vertical structure among Central and South American
forest insect communities that need to be explored.
PMID- 22092380
TI - Clinical efficacy of a xenogeneic collagen matrix in augmenting keratinized
mucosa around implants: a randomized controlled prospective clinical trial.
AB - AIM: The aim of this controlled randomized clinical trial was to evaluate the
efficacy of a xenogeneic collagen matrix (CM) to augment the keratinized tissue
around implants supporting prosthetic restorations at 6 months when compared with
the standard treatment, the connective tissue autograft, CTG). MATERIALS AND
METHODS: This randomized longitudinal parallel controlled clinical trial studied
24 patients with at least one location with minimal keratinized tissue (<=1 mm).
MAIN OUTCOME MEASURE: The 6-month width of keratinized tissue. As secondary
outcomes the esthetic outlook, the maintenance of peri-implant mucosal health and
the patient morbidity were assessed pre-operatively and 1, 3, and 6 months post
operatively. RESULTS: At 6 months, Group CTG attained a mean width of keratinized
tissue of 2.75 (1.5) mm, while the corresponding figure in Group CM was 2.8 (0.4)
mm, the inter-group differences not being statistically significant. The surgical
procedure in both groups did not alter significantly the mucosal health in the
affected abutments. There was a similar esthetic result and significant increase
in the vestibular depth in both groups as a result of the surgery. In the CM
group it changed from 2.2 (3.3) to 5.1 (2.5) mm at 6 months. The patients treated
with the CM referred less pain, needed less pain medication, and the surgical
time was shorter, although these differences were not statistically significant
when compared with the CTG group. CONCLUSIONS: These results prove that this new
CM was as effective and predictable as the CTG for attaining a band of
keratinized tissue.
PMID- 22092382
TI - A novel multiplex PCR/RFLP assay for the identification of Streptococcus
bovis/Streptococcus equinus complex members from dairy microbial communities
based on the 16S rRNA gene.
AB - The Streptococcus bovis/Streptococcus equinus complex (SBSEC) comprises
pathogenic species associated with different degrees with human infections but
also spontaneously fermented dairy products. We aimed therefore at developing a
specific identification assay for the SBSEC targeting the 16S rRNA gene
comprising a multiplex PCR followed by a differentiating restriction fragment
length polymorphisms (RFLP). The multiplex PCR assay was positively applied on
200 SBSEC isolates including reference strains. The assay did not yield false
positive amplifications with strains of closely related bacteria and isolates of
non-SBSEC streptococci, lactococci, enterococci, and other genera of dairy
origin. The downstream RFLP using MseI and XbaI enabled further discrimination of
Streptococcus infantarius/S. bovis (biotype II.1) from Streptococcus gallolyticus
(biotype I and II.2)/Streptococcus alactolyticus and S. equinus. Furthermore, the
newly developed primers can be used directly for Sanger sequencing. Conclusively,
this novel PCR/RFLP assay is applicable in the complex dairy microbial
communities and provides an important tool to assess the prevalence of members of
the SBSEC in dairy products.
PMID- 22092381
TI - Bacterial diversity in three distinct sub-habitats within the pitchers of the
northern pitcher plant, Sarracenia purpurea.
AB - Pitcher plants have been widely used in ecological studies of food webs; however,
their bacterial communities are poorly characterized. Pitchers of Sarracenia
purpurea contain several distinct sub-habitats, namely the bottom sediment, the
liquid, and the internal pitcher wall. We hypothesized that those three sub
habitats within pitcher plants are inhabited by distinct bacterial populations.
We used denaturing gradient gel electrophoresis and 16S rRNA gene sequencing to
characterize bacterial populations in pitchers from three bogs. DGGE and
sequencing revealed that in any given pitcher, the three sub-habitats contain
significantly different bacterial populations. However, there was significant
variability between bacterial populations inhabiting the same type of habitat in
different pitchers, even at the same site. Therefore, no consistent set of
bacterial populations was enriched in any of the three sub-habitats. All sub
habitats appeared to be dominated by alpha- and betaproteobacteria in differing
proportions. In addition, sequences from the Bacteroidetes and Firmicutes were
obtained from all three sub-habitats. We conclude that container aquatic habitats
such as the pitchers of S. purpurea possess a very high bacterial diversity, with
many unique bacterial populations enriched in individual pitchers. Within an
individual pitcher, populations of certain bacterial families may be enriched in
one of the three studied sub-habitats.
PMID- 22092386
TI - Probing fibril dissolution of the repeat domain of a functional amyloid, Pmel17,
on the microscopic and residue level.
AB - Pmel17 is a human amyloid involved in melanin synthesis. A fragment of Pmel17,
the repeat domain (RPT) rich in glutamic acids, forms amyloid only at mildly
acidic pH. Unlike pathological amyloids, these fibrils dissolve at neutral pH,
supporting a reversible aggregation-disaggregation process. Here, we study RPT
dissolution using atomic force microscopy and solution-state nuclear magnetic
resonance spectroscopy. Our results reveal asymmetric fibril disassembly
proceeding in the absence of intermediates. We suggest that fibril unfolding
involves multiple deprotonation events resulting in electrostatic charge
repulsion and filament dissolution.
PMID- 22092387
TI - Quantitative biomechanical analysis of the influence of the cortical bone and
implant length on primary stability.
AB - AIM: The aim of the study was to investigate the influence of cortical bone and
increasing implant fixture length on primary stability. Further investigation
considered the correlation between the presence of cortical bone at the marginal
bone and implant stability measured by insertion torque (IT) and resonance
frequency analysis (RFA), as well as implant length, were determined. MATERIALS
AND METHODS: Two different types of polyurethane bone models were compared.
(Group 1: with cortical and cancellous bone; Group 2: with cancellous bone only).
A total of 60 external type implants (? 4.1, OSSTEM((r)), US II((r))) with
different lengths (7, 10, and 13 mm) were used. IT was recorded automatically by
a computer which was connected to the Implant fixture installation device during
the placement. RFA was conducted to quantify the primary implant stability
quotient (ISQ). All two measurements were repeated 10 times for each group.
RESULTS: All these differences were statistically significant between the two
groups (P < 0.001) and intragroups (P < 0.001). Upon comparing the IT, cortical
bone appears to have a greater influence on implant stability than implant
lengths, whereas the RFA value strongly affects implant length rather than the
presence of the crestal cortical bone. CONCLUSIONS: The quantitative
biomechanical evaluations clearly demonstrated that primary implant stability
seems to be influenced by the presence of a cortical plate and total surface area
of the implant fixture appears to be the decisive determinant for ISQ value.
PMID- 22092388
TI - Application of high-throughput sequencing to measure the performance of commonly
used selective cultivation methods for the foodborne pathogen Campylobacter.
AB - Campylobacter is an important foodborne human pathogen, which has traditionally
been studied using a variety of selective cultivation methods. Here we use next
generation sequencing to ask the following: (i) how selective are commonly used
Campylobacter cultivation methods relative to the initial sample and (ii) how do
the specificity and sensitivity of these methods compare with one another? To
answer these questions, we used 16S rRNA tagged-pyrosequencing to sequence
directly from a pooled fecal sample representing a c. 16,000 bird poultry flock
and compared these data to exhaustive sequencing of colonies formed after
plating. We compared five commonly used media [Cefex, Cape Town, modified
cefoperazone charcoal deoxycholate agar (mCCDA), Campy-Line agar (CLA), and Campy
CVA agar (CVA)], two incubation atmospheres (10% CO(2), 5% O(2), 85% N(2) and 10%
CO(2), 10% H(2), 80% N(2)), and two incubation temperatures (37 and 42 degrees
C). Analysis of 404,104 total sequence reads, including 19 472 total fecal reads,
revealed Campylobacter represented only a small proportion (< 0.04%) of sequences
present in the feces, but 88-97% of sequences from each media type. Incubation
atmosphere had little effect on recovery, but a significant difference in media
specificity (more non-Campylobacter OTUs; P = 0.028) was found at 42 vs. 37
degrees C. The most common non-Campylobacter sequence type was Proteus, which
ranged from 0.04% of sequences (mCCDA) to 10.8% (Cape Town). High-throughput
sequencing provides a novel and powerful approach to measure the performance of
selective media, which remain widely used for research and regulatory purposes.
PMID- 22092389
TI - The impact of local anesthetic volume and concentration on pain during prostate
biopsy: a prospective randomized trial.
AB - PURPOSE: To evaluate the effect of equivalent doses of local anesthetic
administered at different concentrations and volumes on pain scores in patients
undergoing prostate biopsy. PATIENTS AND METHODS: This study was a single-center,
randomized trial. A total of 120 patients were randomized into two groups with 60
patients in each group. In group 1, 2.5 mL of 2% lidocaine (low volume-high
concentration) and in group 2, 5 mL of 1% lidocaine (high volume-low
concentration) was injected just lateral to the junction between the prostate
base and seminal vesicle on each side under ultrasonographic guidance. Patients
were given an 11 point visual analog scale (VAS) to evaluate the level of pain
encountered during transrectal ultrasonographic (TRUS) probe insertion, injection
of the local anesthetic, and the biopsy procedure. RESULTS: In both groups, TRUS
probe insertion was the most painful stage of the procedure. With regard to local
anesthetic injection, the VAS pain score was significantly lower in group 1 (1.56
vs. 2.41, P=0.001). Concerning sampling of the prostate, group 1 had a
significantly lower VAS pain score compared with group 2 (1.71 vs. 2.48,
P=0.008). Neither major complications nor side effects related to local
anesthetic absorption occurred in both groups. CONCLUSION: Low volume-high
concentration lidocaine administration provides superior analgesia compared with
high volume-low concentration lidocaine during transrectal biopsy of the
prostate.
PMID- 22092390
TI - Multiple myeloma vs. breast cancer patients with bisphosphonates-related
osteonecrosis of the jaws: a comparative analysis of response to treatment and
predictors of outcome.
AB - BACKGROUND: Multiple myeloma (MM) and breast cancer (BC) are the two most common
diseases associated with bisphosphonates-related osteonecrosis of the jaws
(BRONJ), for which different therapeutical approaches have been proposed. The aim
of this study was to compare the clinical behaviour of BRONJ in patients with MM
vs. BC and the time of healing in terms of clinical and symptomatological
remission, following a standardized therapeutic protocol. METHODS: Twenty-six
BRONJ patients (13 men with MM and 13 women with BC) were prospectively enroled
and treated with a specific systemic and topical antibiotic therapy. Several
predictors of outcome were also evaluated. RESULTS: Nine patients (69.2%) with BC
and 10 patients (76.9%) with MM progressed towards a complete clinical remission
(CR) in a mean healing time of 183.3 days [SD: 113.7; 95% confidence interval
(CI): 95.95-207.7] and 372.0 days (SD: 308.0; 95% CI: 151.7-592.3) (P = 0.776),
respectively. The clinical improvement was statistically significant (P = 0.0013
and P = 0.0014), as well as the assessment of pain (P = 0.0015 and P = 0.0015),
in MM and BC group, respectively. Cox regression analysis revealed that just
triggering events (P = 0.036) were found to be significant predictors of outcome
of BRONJ healing. CONCLUSIONS: Both groups of cancer patients experienced
clinical and symptomatological remission regardless their malignancy, but BC
patients earlier than MM patients.
PMID- 22092391
TI - Intraosseous transcutaneous amputation prosthesis (ITAP) for limb salvage in 4
dogs.
AB - OBJECTIVE: To report clinical application of intraosseous transcutaneous
amputation prosthesis (ITAP) for limb salvage. STUDY DESIGN: Retrospective case
series. SAMPLE POPULATION: Client owned dogs with malignant neoplasia of the
distal aspect of the limb. METHOD: Distal limb amputation allowed press-fit
insertion of the ITAP into the radius (n = 3) or tibia (1). Remaining soft
tissues including skin were attached directly to the ITAP. Limb stump and ITAP
were protected by bandaging (1) or external skeletal fixation (3) for 5-6 weeks
before exoprosthesis attachment. Measures of outcome included subjective
assessments of limb function by owners and veterinarians, radiographic (4) and
histologic (1) examination. RESULTS: Dermal integration with the ITAP was
achieved by 3 weeks and dogs were walking in a pain-free manner by 8 weeks. One
dog was administered adjunctive carboplatin chemotherapy. No evidence of local
tumor recurrence occurred. In 1 dog, ITAP fracture occurred at 10 weeks and was
successfully managed by ITAP replacement. Three dogs were euthanatized because of
confirmed or assumed metastatic disease at 8, 12, and 17 months. Histologic
examination of the ITAP-limb interface at 1 year documented osseous and dermal
integration. CONCLUSION: Implantation of ITAP to the distal limb of dogs is
feasible and can result in favorable functional outcomes. Biological integration
of osseous and dermal tissues with ITAP is reliable and robust.
PMID- 22092392
TI - Primary care and health outcomes among older patients with diabetes.
AB - OBJECTIVE: The aim of this study was to measure the relationship between days
spent waiting for primary care and health outcomes among patients diagnosed with
diabetes, especially among the elderly population. DATA SOURCE: Secondary data
from VA administrative databases and Medicare claims. STUDY DESIGN: This is a
retrospective observational study. Outcome variables include primary care
utilization, mortality, heart attack, stroke, and ambulatory-care sensitive
condition (ACSC) hospitalization. The main explanatory variable of interest is VA
primary care wait time. Negative binomial models predict utilization and stacked
logistic regression models predict the probability of experiencing each health
outcome. Models are stratified by the presence of a selected health condition and
age. PRINCIPAL FINDINGS: Longer wait times were predicted to decrease utilization
between 2 and 4 percent. There was no significant relationship between wait times
and health outcomes for the overall sample. In stratified analyses, longer waits
were associated with undesirable outcomes for those over age 70 with one of the
selected health conditions or in certain narrower 5-year age groups, but the
overall pattern of results does not indicate a systematic and significant effect.
CONCLUSIONS: There was a modest effect of long wait times on primary care
utilization but no robust effect of longer wait times on health outcomes. Waiting
for care did not significantly compromise long-term health outcomes for veterans
with diabetes.
PMID- 22092393
TI - Heterogeneous amplification of ERBB2 in primary lesions is responsible for the
discordant ERBB2 status of primary and metastatic lesions in gastric carcinoma.
AB - AIMS: To determine the extent of HER2 homogeneity/heterogeneity in primary versus
metastatic gastric carcinoma (GC). MATERIALS AND RESULTS: The human epidermal
growth factor receptor 2 (HER2) status in primary and metastatic lesions was
evaluated by immunohistochemistry (IHC) and fluorescence in-situ hybridization
(FISH). Four separate cohorts consisting of primary GC alone or primary GC paired
with metastatic lesions were examined. In the FISH analysis of 325 primary GCs,
eight cases (2.5%) showed amplification with a heterogeneous pattern, whereas 27
cases (8.3%) showed amplification with a homogeneous pattern, and in this cohort
the discordant:concordant FISH ratio based on examination of three different
areas in each primary lesion was 0.30:1. FISH testing using 250 paired primary
and metastatic lesions revealed seven cases (2.8%) with discordant amplification.
In metastatic disease positive conversion occurred in six cases (2.4%), whereas
negative conversion happened in one case (0.4%). The discordant:concordant ratio
of primary versus secondary lesions was 0.23:1. When the seven discordant cases
were re-evaluated using whole sections of primary GCs, six showed a heterogeneous
pattern of amplification. CONCLUSIONS: These findings suggest that the discordant
HER2 amplification observed in metastatic lesions is explained substantially by
heterogeneity within primary tumours.
PMID- 22092394
TI - Human epidermal growth factor receptor 2 testing in gastric carcinoma: issues
related to heterogeneity in biopsies and resections.
AB - AIMS: To assess human epidermal growth factor receptor 2 (HER2) status and
heterogeneity using immunohistochemistry (IHC) and silver in-situ hybridization
(SISH) in gastric carcinoma and dysplasia, and to correlate HER2 status between
biopsy and resection specimens of gastric carcinoma. METHODS AND RESULTS:
Immunohistochemistry for HER2 was performed in 178 cases of gastric carcinoma,
and SISH in cases showing at least 1+ reaction. HER2 positivity [European
Medicines Agency (EMA) guidelines] was identified in 20.2% of carcinomas and
12.9% of high-grade dysplasia, and HER2 heterogeneity noted in 50% and 33% of
these cases, respectively. IHC negative/positive reactivity and SISH results were
concordant in 96.2%. SISH amplification was seen in 35.3% of IHC 2+ and in a case
with previously unrecognized staining pattern. Concordance of IHC HER2 status on
biopsies and gastrectomies was seen in 74.1%. False negative IHC results on
either the biopsy or gastrectomy were seen in 19.4% of HER2 amplified cases.
CONCLUSIONS: Human epidermal growth factor receptor 2 status in gastric carcinoma
is comparable to previous studies with good concordance between IHC and SISH; all
IHC 2+ and unusual patterns should be assessed with ISH studies; heterogeneity of
tumour HER2 overexpression/amplification is common with possible implications for
HER2 testing; and HER2 overexpression appears sufficiently specific to be
considered a potential diagnostic biomarker of dysplasia.
PMID- 22092395
TI - Nuclear atypia grading score is a useful prognostic factor in papillary gastric
adenocarcinoma.
AB - AIMS: To investigate nuclear atypical in papillary gastric adenocarcinoma (PGA).
METHOD AND RESULTS: Hundred cases of PGA were classified into two groups
according to nuclear pleomorphism and nuclear polarity; these groups were
designated as high nuclear grade and low nuclear grade. Correlations between
nuclear grade and clinicopathological features were evaluated for prognostic
value. In order to evaluate which types of biological factors influence nuclear
atypia, the expression of gastric-type mucin phenotype, p53, HER2 and Ki-67
detected by immunohistochemistry and DNA ploidy detected by laser scanning
cytometry. The high nuclear grade group correlated with deeper wall invasion, the
presence of lymphatic and venous invasion and the positivity of lymph node
metastasis. High nuclear grade was an independent prognostic factor for disease
free survival. Moreover, significant correlations were observed between high
nuclear grade and positivity of gastric-type mucin phenotype, p53 and HER2 and
DNA aneuploidy. CONCLUSION: Nuclear grade could be a new and useful morphological
predictor for high malignant potential in PGA.
PMID- 22092396
TI - Focal active colitis: a prospective study of clinicopathological correlations in
90 patients.
AB - AIMS: Considerable controversy exists about the clinical implication of a
diagnosis of focal active colitis (FAC). The aim of this study was to assess
clinicopathological correlations of FAC in 90 adults, representing the largest
and only prospective series of FAC. METHODS AND RESULTS: Patients were assessed
by comprehensive clinical follow-up and questionnaires. Fifteen histopathological
features were scored and correlated with clinical outcome. In 24% of patients
drugs, especially NSAIDs, were implicated. Infection was a probable cause in 19%.
In 14 patients (15.6%), predominantly women, a diagnosis of chronic inflammatory
bowel disease was ultimately made. Most were Crohn's disease, but this is the
first study in which FAC has presaged an ultimate diagnosis of ulcerative colitis
in adults (in two patients). A specific subtype of FAC, termed basal FAC, was
significantly associated with drugs. These excepted, this study has found no
histopathological parameters of FAC, such as amount, location and/or
distribution, to correlate with clinical outcome or allowed selection of those
patients more likely to show subsequent evidence of chronic inflammatory bowel
disease. CONCLUSION: This study has provided powerful information on the
implication of a diagnosis of FAC. In a small but not inconsiderable case number,
the ultimate diagnosis will be chronic inflammatory bowel disease.
PMID- 22092397
TI - Aggressive colorectal carcinoma phenotypes of invasion can be assessed
reproducibly and effectively predict poor survival: interobserver study and
multivariate survival analysis of a prospectively collected series of 299
patients after potentially curative resections with long-term follow-up.
AB - AIMS: To test whether assessment of colorectal carcinoma phenotypes of invasion
can be taught successfully to pathologists not familiar with these features and
to confirm their prognostic impact. METHODS AND RESULTS: A junior member of staff
was supplied with written information and a generous set of images on how to type
the invasive margin of colorectal carcinomas (expansive versus infiltrative) and
how to assess tumour budding (counting on pan-cytokeratin immunostains; cut-off
at the 66th percentile). An interobserver study yielded kappa values of 0.578 and
0.438 for typing the invasive margin and assessment of tumour budding,
respectively. Margin typing improved significantly to kappa=0.939 after a
training session. However, using a cut-off, assessment of tumour budding improved
only moderately to kappa=0.629 although, numerically, divergences were within +/
10%. On univariate analysis, a high-degree of tumour budding and the infiltrative
type of invasive margin were strong negative prognostic factors. The Cox model
included nodal status, tumour budding, serosal penetration and venous
angioinvasion. Importantly, using the tumour budding counts as a numerical
variable for the Cox model also yielded significant odds, allowing the
constraints of a cut-off to be relinquished. CONCLUSIONS: Assessment of
colorectal carcinoma phenotypes of invasion can be learnt and performed with
confidence, and their prognostic impact is confirmed in this independent series.
PMID- 22092398
TI - Histological and immunohistological findings in biliary intraepithelial neoplasia
arising from a background of chronic biliary disease compared with liver
cirrhosis of non-biliary aetiology.
AB - AIMS: Hitherto, biliary intraepithelial neoplasia (BilIN) has been described in
chronic biliary disease but rarely in non-biliary liver cirrhosis (LC).
Intraepithelial neoplasia of the pancreas shows alterations in the expression of
cell cycle and mucin core proteins. The aim of this study was to evaluate BilIN
and reactive biliary lesions in biliary disease and non-biliary LC. METHODS AND
RESULTS: BilIN was found in 51% (33 of 65) of liver tissue cases of biliary
disease, and in 11% (34 of 310) of the LC group. Immunohistologically, MUC5AC, an
'early phase' protein, and Ki67, reflecting 'late phase' expression, were
identified with increasing degrees of dysplasia in both groups, but that
expression was significantly higher in the biliary disease group. 'Early phase'
cell cycle proteins, p16 (decrease) and p21 (increase) altered in both biliary
and LC groups with increasing degrees of dysplasia. CONCLUSIONS: We found BilIN
in the large bile ducts of hepatitis B virus- and hepatitis C virus-related LC as
well as in cases related to a biliary aetiology. The LC group was significantly
less likely to show changes in the expression of MUC5AC and proliferative
activity than the biliary group. Alterations in p16 and p21 reflected increasing
degrees of dysplasia in both groups.
PMID- 22092399
TI - Pulmonary capillary haemangiomatosis-like lesions in severely congested lungs.
AB - AIMS: To elucidate the nature of capillary alterations in the severe form of
pulmonary venous congestion (SPVC). METHODS AND RESULTS: Post-mortem lungs from
52 patients with left-sided cardiac failure were examined, including 31 cases of
valvular heart disease and 21 cases of cardiomyopathy. Six post-mortem lungs (six
of 52, 11.5%) had patchy lesions composed of markedly widened alveolar walls
containing numerous dilated capillaries. These features strikingly mimicked
pulmonary capillary haemangiomatosis (PCH). Moreover, one (one of 52, 1.9%) lung
showed numerous fibrous micronodules containing capillaries with or without
ossification, associated with prominent capillary sprouts, suggesting capillary
varicose changes. No pathological features suggesting plexiform angiopathy or
veno-occlusive disease were found. Ultrastructural examination revealed
occasional interposition of swollen endothelial cells in the thickened basement
membranes of the pulmonary capillaries. CONCLUSION: PCH-like lesions can occur
infrequently as an incidental finding in SPVC, rarely accompanying ossifying
fibrocapillary micronodules. These lesions are considered to be a secondary form
of PCH, representing severely tortuous and proliferative capillary changes rather
than neoplasia.
PMID- 22092400
TI - An immunohistochemical analysis-based decision tree model for estimating the risk
of lymphatic metastasis in pN0 squamous cell carcinomas of the lung.
AB - AIMS: Lung cancer patients within the pN0 category have a significantly different
outcome. The aim of this study was to develop a mathematical model to assist in
predicting the prognosis of pN0 lung squamous cell carcinoma (SCC). METHODS AND
RESULTS: Twenty-three proteins were examined by immunohistochemical (IHC)
analysis on primary tumour tissues from 319 lung SCC patients. In a training
group, using IHC data, a recursive partitioning decision tree (RP-DT) was used to
build a model for estimating the risk for lymphatic metastasis. This model was
then validated in a test cohort. Of 23 proteins, 8 (matrix metallopeptidase 1,
metalloproteinase inhibitor 1, Ras GTPase-activating-like protein IQGAP1,
targeting protein for Xklp2, urokinase-type plasminogen activator, cathepsin D,
fascin, polymeric immunoglobulin receptor/secretory component) were selected, and
generated a tree model in a training group of 255 patients to classify them as at
high or low risk of lymphatic invasion, with accuracy of 78.0% (compared to
histopathological diagnosis), sensitivity of 83.0% and specificity of 70.3%. When
the tree model was applied to the test group, the accuracy, sensitivity and
specificity were 76.6%, 76.0% and 76.9%, respectively. The performance of this
mathematical model was substantiated further in 34 'problematic' stage I/pN0
patients by survival analysis. CONCLUSIONS: The RP-DT model, constructed with
eight protein markers for estimating lymphatic metastasis risk in pN0 lung SCC,
is clinically feasible and practical, using IHC data from the primary tumour.
PMID- 22092401
TI - Large needle aspiration biopsy histology for preoperative selection of Hurthle
cell thyroid nodules.
AB - AIMS: To assess whether the large needle aspiration biopsy (LNAB) histological
distinction between pure microfollicular nodules and mixed micro-macrofollicular
nodules can assist preoperative selection of a Hurthle cell nodule (HCN)
discovered by fine needle aspiration cytology (FNAC). METHODS AND RESULTS: In 24
HCN identified by preoperative FNAC, preoperative LNAB histology was compared
with postoperative pathology. FNAC demonstrated seven benign HCN (negative),
eight HCN with atypia (positive); seven suspected cancers with HC (positive); and
two cancers with Hurthle cells (positive). LNAB showed mixed micro
macrofollicular hyperplastic features in 12 nodules (negative) and a
microfollicular structure in 12 nodules (positive), two of which included
findings of papillary cancer. Postoperative findings were benign (negative) in 16
patients and malignant (positive) in eight patients. The sensitivity and
specificity for FNAC were 87.5% [seven of eight, 95% confidence interval (CI):
47.3-99.7%) and 37.5% (six of 16, 95% CI: 15.2-64.6%], respectively, and for LNAB
were 87.5% (seven of eight, 95% CI: 47.3-99.7%) and 68.8% (11 of 16, 95% CI: 41.3
89.0%), respectively. FNAC results were significantly different from
postoperative results (McNemar's test, exact two-sided P=0.012), while LNAB
results were not (McNemar's test, exact two-sided P=0.219). CONCLUSIONS: These
data suggest that LNAB histology is more accurate than FNAC cytology for the
preoperative selection of HCN.
PMID- 22092402
TI - Cytoplasmic expression of oestrogen receptor beta (ERbeta) as a prognostic factor
in vulvar squamous cell carcinoma in elderly women.
AB - AIMS: To investigate the prognostic value of cytoplasmic oestrogen receptor beta
(ERbeta) expression in a series of untreated patients with non-human
papillomavirus (HPV)-related vulvar cancer. METHODS AND RESULTS:
Immunohistochemistry was carried out using a polyclonal rabbit anti-human ERbeta
antibody. The nuclear and cytoplasmic expression of ERbeta was evaluated in 33
patients. Cytoplasmic immunoreactivity was correlated with histopathological and
molecular parameters (Ki67, p21), disease-free survival (DFS) and overall
survival (OS). The expression of cytoplasmic ERbeta was found to be associated
with grade (P=0.006), while no association was found with any of the remaining
variables examined. Cases with high cytoplasmic ERbeta expression showed lower
DFS and OS compared to cases with low cytoplasmic ERbeta (P=0.007, P=0.01,
respectively). There was also a progressive decline in both the DFS and OS with
increasing tumour size (P=0.05, P=0.07, respectively) and with increasing depth
of infiltration (P=0.14, P=0.07, respectively). On multivariate analysis, only
tumour size and cytoplasmic ERbeta staining retained an independent negative
prognostic role for DFS and OS. CONCLUSIONS: The assessment of cytoplasmic ERbeta
expression could be helpful to identify poor prognosis in elderly patients with
non-HPV-related vulvar squamous cell carcinoma (SCC).
PMID- 22092403
TI - Evaluation of a hormone receptor-positive ovarian carcinoma subtype with a
favourable prognosis by determination of progesterone receptor and oestrogen
receptor 1 mRNA expression in formalin-fixed paraffin-embedded tissue.
AB - AIMS: In vitro and epidemiological studies indicate an essential role for
progesterone in the aetiology and progression of ovarian carcinoma. The aim of
this study was to examine the prognostic role of progesterone receptor (PR)
protein and mRNA expression. METHODS AND RESULTS: PR expression was examined by
immunohistochemistry (n=143) and kinetic reverse transcription-polymerase chain
reaction (RT-PCR) from formalin-fixed and paraffin-embedded tissue (n=55). PR
mRNA and protein expression correlated (P<0.0001). PR mRNA was a positive
predictor for overall and progression-free survival (P=0.0005 and P<0.0001,
respectively). Protein expression was also prognostic (P=0.015 and P=0.0011,
respectively), whereas only PR mRNA retained its prognostic value on multivariate
analysis (P=0.04). PR mRNA was still a positive prognostic marker among oestrogen
receptor 1 (ESR1) mRNA-positive tumours (P=0.0007) and survival was best in
patients with PR- and ESR1-positive phenotypes (P=0.0155 and P=0.0016,
respectively). CONCLUSION: Expression of PR and ESR1 defines a subgroup of
ovarian carcinomas with a favourable prognosis. PR and ESR1 mRNA expression
analysis is a sensitive, quantitative and easy-to-perform high-throughput
analytical tool for the identification of this subgroup and could be predictive
in clinical trials focused on patients with potential benefit from hormonal
treatment.
PMID- 22092405
TI - Factors influencing the agreement on histopathological assessments of breast
biopsies among pathologists.
AB - AIMS: It has been recommended that the histopathology results of core biopsies of
the breast are categorized according to the B-categorization scheme. We measured
the interobserver variability of the B-categorization of core biopsies of the
breast. METHODS AND RESULTS: Core biopsies were taken among 765 women at the
University of Halle between 2006 and 2008. All histological slides were reviewed
in a blinded fashion by two experienced breast pathologists. We calculated
observed and chance-corrected agreements (kappa) and 95% confidence intervals
(CI). The prevalence of B3-B5 biopsies was 41.6%. The observed and weighted kappa
agreement of the five-level B-categorization scheme was 0.87 (95% CI: 0.84 -0.89)
and 0.89 (95% CI: 0.89-0.91), respectively. The most frequent disagreement was
between B2 and B3 (47 of 103 disagreements, 45.6%). Overall, 49.5% of all
disagreements were clinically relevant disagreements that would imply different
therapeutic strategies. Agreement was modified by referral group, Breast Imaging
Reporting and Data System (BIRADS) level, radiological breast density, imaging
guidance and application of immunohistological staining. CONCLUSIONS:
Interobserver agreement of the B-categorization scheme was high and was modified
by referral status, level of radiological suspicion of breast cancer, breast
density, imaging guidance of core biopsies and requirement of additional
immunohistological staining.
PMID- 22092404
TI - Unexplained fetal death has a biological signature of maternal anti-fetal
rejection: chronic chorioamnionitis and alloimmune anti-human leucocyte antigen
antibodies.
AB - AIMS: Chronic chorioamnionitis is a histological manifestation of maternal anti
fetal cellular rejection. As failure of graft survival is the most catastrophic
event in organ transplantation, we hypothesized that fetal death could be a
consequence of maternal rejection. The aim of this study was to assess whether
there is evidence of cellular and antibody-mediated rejection in fetal death.
METHODS AND RESULTS: Placental histology was reviewed for the presence of chronic
chorioamnionitis in unexplained preterm fetal death (n=30) and preterm live birth
(n=103). Amniotic fluid CXCL10 concentrations were measured with a specific
immunoassay. Chronic chorioamnionitis was more frequent in fetal death than in
live birth (60.0% versus 37.9%; P<0.05) and fetal death had a higher median
amniotic fluid CXCL10 concentration than live birth (2.0 versus 1.8 ng/ml,
P<0.05), after adjusting for gestational age at amniocentesis. Maternal anti
human leucocyte antigen class II panel-reactive seropositivity determined by flow
cytometry was higher in fetal death compared to live birth (35.7% versus 10.9%;
P<0.05). CONCLUSIONS: Chronic chorioamnionitis is a common pathologic feature in
unexplained preterm fetal death. This novel finding suggests that cellular and
antibody-mediated anti-fetal rejection of the mother is associated with fetal
death (graft failure) in human pregnancy.
PMID- 22092406
TI - Expression of calretinin by breast carcinoma and the potential for misdiagnosis
of mesothelioma.
AB - AIMS: Calretinin and cytokeratin (CK)5/6 are frequently used to differentiate
between metastatic breast cancer and primary malignant mesothelioma in pleural
biopsies, but both tumours may express these markers. This study was aimed at
evaluating the frequency of calretinin expression in primary breast carcinomas,
and assessing the characteristics of the calretinin-positive tumours. METHODS AND
RESULTS: Fifty-three primary breast adenocarcinomas were analysed for
immunohistochemical expression of calretinin. CK5/6 and epidermal growth factor
receptor (EGFR) immunostaining were performed on the calretinin-positive subset.
Tumours were classified as basal-like if they met standard morphological and
immunohistochemical criteria. Fifteen per cent (8/53) of the breast tumours were
positive for calretinin. Eighty-eight per cent (7/8) of the calretinin-positive
tumours were grade 3, as compared with 20% (9/45) of the calretinin-negative
tumours (P<0.001). Only 13% (1/8) of the calretinin-positive tumours were also
oestrogen receptor (ER)-positive, as compared with 87% (39/45) of the calretinin
negative tumours (P<0.001). Eleven per cent (6/53) of the tumours were classified
as basal-like. Of these, four were positive for calretinin and two were negative
(P=0.003). CONCLUSIONS: Fifteen per cent of breast carcinomas stain with
calretinin. These tumours are more likely to be high-grade, ER-negative, and
display a basal-like phenotype. These tumours may be misdiagnosed as malignant
mesothelioma when they metastasize to the pleura.
PMID- 22092407
TI - Mesothelial markers in high-grade breast carcinoma.
AB - AIMS: Advances in molecular profiling have subdivided breast carcinomas into
distinct subtypes. Basal carcinomas are generally oestrogen receptor (ER)
progesterone receptor (PR)-/human epidermal growth factor receptor 2 (HER2)-, and
cytokeratin (CK)5/6+. This profile overlaps with that of mesothelial cells. This
study of high-grade breast carcinomas was undertaken to determine the expression
of mesothelial markers. METHODS AND RESULTS: Immunohistochemistry was performed
on 23 basal-like breast carcinomas and 30 high-grade breast carcinomas with
variable ER, PR and HER2 expression. The incidence of staining of CK5/6, CK14,
calretinin, Wilms' tumour 1 (WT1), thrombomodulin and epithelial membrane antigen
was assessed statistically. CK14 staining was more specifically associated with
triple-negative tumours than CK5/6. Calretinin positivity was statistically
associated with basal-like carcinomas. WT1 and thrombomodulin expression was
infrequent and limited to a small number of non-basal carcinomas. CONCLUSIONS:
There is an overlap between the immunophenotype of mesothelial cells and that of
basal-like carcinomas of breast. Positive calretinin and CK5/6 are not specific,
and may be seen in both mesothelial cells and basal-like breast carcinomas.
Negative ER and PR of basal carcinomas may also bias the observer against a
breast origin. However, other negative mesothelial markers, such as WT1 and
thrombomodulin, may help point to the correct diagnosis.
PMID- 22092408
TI - In breast cancer, a high ratio of tumour-infiltrating intraepithelial CD8+ to
FoxP3+ cells is characteristic for the medullary subtype.
AB - AIMS: Medullary breast cancer (MBC) is a biologically distinct subtype of breast
cancer characterized by prominent lymphocytic infiltrates and a favourable
clinical outcome. Tumour-infiltrating CD8+ effector T cells may contribute to the
good prognosis of this type of cancer; however, certain subtypes of lymphocyte,
such as FoxP3+ regulatory T cells (Tregs), can also suppress antitumour immunity.
METHODS AND RESULTS: We determined tumour infiltration by FoxP3+, CCL22+ and CD8+
cells in paraffin-embedded sections of MBC, and, as a reference, in samples of
grade 3 ductal, lobular and mucinous breast cancer. All analysed MBCs were
strongly infiltrated by FoxP3+ cells, whereas only weak infiltrates were detected
in ductal or lobular breast cancer. This finding was unexpected, given the good
prognosis of MBC. Strikingly, the number of CD8+ T cells exceeded the number of
FoxP3+ cells in MBC (ratio of CD8+ to FoxP3+ cells of 2.6), whereas equal amounts
of both cell types were found in ductal breast cancer (ratio of CD8+ to FoxP3+
cells of 1.1). In both types of breast cancer, we also detected cells expressing
the Treg-attracting chemokine CCL22. CONCLUSIONS: In breast cancer, a
predominance of tumour-infiltrating CD8+ over FoxP3+ cells was observed in MBC.
Thus, the ratio of CD8+ to FoxP3+ cells rather than the absolute number of
intratumoral FoxP3+ cells may be predictive for the clinical outcome of cancer.
PMID- 22092409
TI - Human epidermal growth factor receptor 2 (HER2) immunoreactivity: specificity of
three pharmacodiagnostic antibodies.
AB - AIMS: The availability of specific antibody-based test systems is essential to
testing of HER2 protein expression. Here, we mapped epitopes recognized by three
pharmacodiagnostic HER2 antibodies and investigated their specificity towards
peptides and fusion proteins homologous to the intracellular domains of HER1,
HER2, HER3 and HER4. The investigated antibodies were PATHWAY((r)) HER2 (clone
4B5; Ventana Medical Systems Inc., Tucson, AZ, USA), HercepTestTM (Dako Denmark
A/S, Glostrup, Denmark), and Oracle((r)) HER2 (clone CB11; Leica Microsystems
GmbH, Wetzlar, Germany). METHODS AND RESULTS: Epitopes were mapped using the
alanine scanning method. Specificity was investigated in immunohistochemical
stainings, competitive enzyme-linked immunosorbent assay (ELISA) and
immunoblotting. All three antibodies reacted with HER2 proteins and peptides in
immunohistochemical stainings, ELISA and immunoblotting. PATHWAY((r)) HER2 also
stained HER4-expressing cells, reacted with HER4 peptide in ELISA and detected
HER4 fusion protein in an immunoblot. Oracle((r)) HER2 weakly detected HER4 in
immunohistochemical stainings, whereas the HercepTestTM antibody showed no cross
reactivity with other HER proteins. CONCLUSION: Our study shows that the
PATHWAY((r)) HER2 antibody can bind HER4 peptides and fusion proteins in three
different experimental settings. This should be investigated further to determine
whether binding of HER4 also occurs in tissue samples and if such binding would
have implications for therapy decisions for breast cancer patients.
PMID- 22092410
TI - Dual-colour chromogenic in-situ hybridization is a potential alternative to
fluorescence in-situ hybridization in HER2 testing.
AB - AIM: Dual-colour chromogenic in-situ hybridization (dc-CISH) is an emerging
methodology for characterizing genomic alterations. This study was aimed at
evaluating the performance of a dc-CISH kit (ZytoVision) in determining human
epidermal growth factor receptor 2 (HER2) status in breast cancer. METHODS AND
RESULTS: Two hundred and twenty-eight invasive breast carcinomas arranged in
tissue microarrays were analysed in parallel with dc-CISH, fluorescence in-situ
hybridization (FISH), and immunohistochemistry. Of 227 tumours with available
FISH and dc-CISH results, HER2 amplification and non-amplification were detected
in 49 (21.6%) and 178 (78.4%) tumours, respectively, by both assays. The
concordance between dc-CISH and FISH results showed 100% agreement (kappa
coefficient=1.00). Immunohistochemically, 162 (71%), 25 (11.0%) and 41 (18%)
tumours were scored 0/1+, 2+, and 3+, respectively. The corresponding results
with both FISH and dc-CISH demonstrated HER2 amplification in two (3.2%), nine
(36%) and 38 (93%) tumours, respectively. Complete consensus among these three
methods was observed in 197 cases, representing 98% of all 3+ and 0/1+ tumours
(kappa-coefficient=0.92). Confirmatory testing of 25 2+ tumours showed complete
consensus between FISH and dc-CISH. CONCLUSIONS: dc-CISH is a promising
alternative to FISH in HER2 testing, and the single-institute incidence of HER2
amplification in breast cancer in Taiwan is 21.2%.
PMID- 22092411
TI - Improved detection of mycobacteria species in formalin-fixed tissue sections.
AB - AIMS: To develop an antibody broadly reactive against mycobacterial species,
which will improve detection of mycobacteria in tissue sections by
immunohistochemistry (IHC). METHODS: A sheep antisera was developed by
immunization with multiple mycobacteria, and was tested by IHC against a range of
mycobacteria in tissues from many species, as well as negative tissue controls
and other bacteria. RESULTS: The sheep antiserum, MAS-01, reacted with all 18
mycobacterial species tested, but did not react with uninfected inflammatory
tissues. Although MAS-01 cross-reacted with two microbial genera which are
related to mycobacteria (Corynebacteria and Proprionibacteria), it did not with
Nocardia or Actinomyces. The antibody was more sensitive than the Ziehl-Neelsen
stain for detection of tissue mycobacteria, and shortened the time required to
identify these infections. CONCLUSION: The MAS-01 antiserum will facilitate rapid
identification of tissue mycobacterial infection by histopathologists.
PMID- 22092414
TI - Histopathology sampling of radical prostatectomy specimens: representative or
entire submission?
PMID- 22092416
TI - Regional differences in injury incidence in European professional football.
AB - The objective of this study was to investigate regional differences in injury
incidence in men's professional football in Europe. A nine-season prospective
cohort study was carried out between 2001-2002 and 2009-2010 involving 1357
players in 25 teams from nine countries. Teams were categorized into different
regions according to the Koppen-Geiger climate classification system. Teams from
the northern parts of Europe (n = 20) had higher incidences of injury overall
[rate ratio 1.12, 95% confidence interval (CI) 1.06 to 1.20], training injury
(rate ratio 1.16, 95% CI 1.05 to 1.27), and severe injury (rate ratio 1.29, 95%
CI 1.10 to 1.52), all statistically significant, compared to teams from more
southern parts (n = 5). In contrast, the anterior cruciate ligament injury
incidence was lower in the northern European teams with a statistically
significant difference (rate ratio 0.43, 95% CI 0.25 to 0.77), especially for
noncontact anterior cruciate ligament injury (rate ratio 0.19, 95% CI 0.09 to
0.39). In conclusion, this study suggests that there are regional differences in
injury incidence of European professional football. However, further studies are
needed to identify the underlying causes.
PMID- 22092417
TI - Involvement of upper cheek sagging in nasolabial fold formation.
AB - BACKGROUND: Nasolabial folds are a well-known feature of aging, but the mechanism
of their formation remains unclear. OBJECTIVES: To clarify the mechanism of
nasolabial fold formation, we established grading criteria for severity and
explored the influence of dermal elasticity and subcutaneous adipose mass. We
also investigated the involvement of facial morphological changes, such as
wrinkling and sagging. METHODS: Faces of 108 healthy Japanese female volunteers
(age range: 20-60) were photographed at the angle of 45 degrees , and a six-grade
photograph-based grading scheme for nasolabial fold severity was established and
evaluated. In 70 Japanese female volunteers (middle-aged: 30-50), dermal
elasticity was measured with a Cutometer MPA 580(r) and subcutaneous adipose
layer thickness was measured by ultrasound using a Prosound alpha 5(r). RESULTS:
Nasolabial fold severity was significantly and positively correlated with age in
subjects in their twenties to sixties (R = 0.777, P < 0.001). Nasolabial folds
were drastically reduced, or disappeared, when the facial position was changed
(subjects lay down instead of sitting) to reduce sagging; only 13.8% of subjects
showed fixed wrinkles at the positions of the nasolabial folds after the facial
position change. Nasolabial fold severity in middle-aged volunteers was
significantly and negatively correlated with dermal elasticity parameters, i.e.,
net elasticity excluding viscoelastic creep (Ur/Ue), overall elasticity including
creep and creep recovery (Ua/Uf), ratio of elastic recovery to total deformation
(Ur/Uf), and the negative value of the amount of deformation that did not recover
to the original state [-Uf-Ua)], all of which were significantly and negatively
correlated with age. Subcutaneous adipose layer thickness was significantly and
negatively correlated with dermal elasticity parameter Ua/Uf, and also
significantly and positively related to nasolabial fold severity (R = 0.285, P <
0.017). CONCLUSIONS: Nasolabial fold severity increases with decreasing dermal
elasticity and with increment of the subcutaneous adipose layer. These changes
might induce sagging formation in the upper cheek area, promoting fold formation
at the border between the inner and outer nasolabial areas.
PMID- 22092418
TI - Non-surgical periodontal therapy improves oral health-related quality of life.
AB - AIM: The influence of non-surgical periodontal therapy on oral health-related
quality of life (OHQoL) was investigated. MATERIALS AND METHODS: Sixty-five
Chinese adults (25 men, mean 47.4 years) with moderate-to-advanced chronic
periodontitis were recruited. All received oral hygiene instructions (OHI) and
non-surgical periodontal treatment in a quadrant-wise approach, followed by
recalls at 1, 3, 6, 9 and 12 months post treatment, when OHI and prophylaxis were
repeated. Clinical parameters were recorded, and oral health impact profile short
form (OHIP-14S) was administered at all time points. RESULTS: Moderate-to-deep
sites (>=4 mm) decreased from 31.0% to 3.0% at 12 months post treatment (p <
0.005) which corresponded well with reductions in plaque, 72.8% to 25.4% (p <
0.005) and bleeding on probing, 86.3% to 32.0% (p < 0.005). Median OHIP-14S
scores gradually reduced from 17 at baseline to 14 over the first 6 months and
remained plateaued at 12-month post treatment (p < 0.005). Improvements in
subdomains of physical pain, psychological discomfort and psychological
disability accounted for the changes. CONCLUSION: This study demonstrates that
OHQoL, in particular, pain and psychological subdomains, improvement was
associated with non-surgical periodontal therapy responses. Clinicians could
capitalize upon the positive psychological OHQoL impacts of mechanical
periodontal treatment for subsequent patient-centred motivation during
maintenance therapy.
PMID- 22092419
TI - Does three-dimensional imaging offer a financial benefit for treating maxillary
molars with furcation involvement? A pilot clinical case series.
AB - AIM: To assess the financial benefit of cone beam computed tomography (CBCT) for
the treatment options of maxillary molars including periodontal surgery and
extraction followed by implant placement. MATERIAL AND METHODS: Twelve patients
with generalized chronic periodontitis were recruited, and CBCT was performed in
maxillary molars (n = 22) with clinical furcation involvement and increased
probing pocket depths. Treatment recommendations were either based on
conventional periodontal diagnostics (clinical examinations and periapical
radiographs), or based on the additional CBCT data. Clinical recommendations
comprised a minimal (e.g. supportive periodontal treatment) and a maximal
invasive therapy (e.g. extraction and implant placement), and these were compared
with CBCT-based recommendations. According to the Swiss dental tariff structure,
the probabilities of saving costs or time, and the numbers needed to treat were
analysed with an empirical cumulative distribution function. RESULTS: Average
cost reduction from CBCT amounted to CHF 915 +/- 1470 and saved 136 +/- 217 min.
Greatest reductions were found with maximal invasive clinically based treatment
decisions (CHF 1566 +/- 1840), particularly for second molars (CHF 2485 +/-
2226). To compensate CBCT costs, 1.7 subjects were needed to treat to at least
break even. CONCLUSIONS: Data from CBCT facilitated a reduction in treatment
costs and time for periodontally involved maxillary molars in Switzerland. Based
on these cost analyses, however, CBCT as additional diagnostic measure is
justified only when more invasive therapies are planned.
PMID- 22092420
TI - Kruger strict morphology and post-thaw progressive motility in cryopreserved
human spermatozoa.
AB - The purpose of this prospective study was to evaluate Kruger strict morphology
and conventional semen analysis in predicting cryosurvival and the progressive
motility recovery rate of frozen spermatozoa. Our study included 56 semen samples
with >10 million spermatozoa per ejaculate. The main outcome measures were
conventional semen analysis, strict morphology analysis by the Kruger method,
cryosurvival rate and post-thaw sperm motility. A significant reduction in sperm
motility after cryopreservation was demonstrated. The freeze-thawing process
caused a 66% reduction in rapid progressive motile spermatozoa, a 45% reduction
in slow progressive motile spermatozoa and a 2% reduction in nonprogressive
motile spermatozoa. The cryosurvival and progressive motility recovery rates were
not correlated with parameters of conventional semen analysis, such as sperm
concentration, motility, WHO morphology and total motile count, but the
progressive motility recovery rate was significantly correlated with the
percentage of spermatozoa exhibiting Kruger normal morphology (P = 0.028). The
recovery rate of rapidly progressive motility was profoundly decreased compared
with slow progressive motility following the frozen-thaw procedure of semen.
Kruger strict morphology assessment was a better predictor of the progressive
motility recovery rate following the freezing-thaw procedure than parameters of
conventional semen analysis.
PMID- 22092421
TI - The ethics of international studies.
PMID- 22092422
TI - Physical activity among South Asian Indian immigrants: an integrative review.
AB - OBJECTIVE(S): The aim of this research review was to portray the correlates of
lifestyle physical activity (PA) behavior of healthy South Asian Indian (SAI)
immigrants comprehensively by identifying, synthesizing, and critically analyzing
the existing research literature. DESIGN AND SAMPLE: An integrative review
methodology was used. The sample included 11 cross-sectional and 4 qualitative
studies. MEASURES: The physical activity framework for SAI immigrants guided the
development of data collection tools that included study measures of PA and
background (current health, acculturation, discrimination, social support,
environmental) and intrapersonal (motivation) correlates of PA. RESULTS:
Regardless of the PA measure used, all studies reported low PA levels in at least
40% of the participants. The correlates of PA most often studied were
sociodemographic variables, current health, and acculturation; female sex; poorer
health; and less time since immigration. Few studies focused on social support,
environmental factors, or included dynamic motivational factors. CONCLUSIONS:
Increased knowledge of the factors that impact lifestyle PA is needed so that
public health nurses can develop targeted interventions to increase the lifestyle
PA of SAI immigrants at risk for cardiovascular disease, diabetes, and central
obesity.
PMID- 22092423
TI - Undermining of nutrition and exercise decisions: experiencing negative social
influence.
AB - OBJECTIVE: The objective of this research was to assess social undermining
(negative interpersonal influence) on health behaviors in a large sample of
Internet users. DESIGN AND SAMPLE: This study used a cross-sectional descriptive
design with an online survey that yielded quantitative and qualitative data. A
total of 6,923 individuals received invitations and 1,270 participants completed
the survey (18.3% response rate). Respondents completing at least 1 qualitative
question were retained for analysis (n=1,158). The sample had more females
(75.9%) than males (24.1%) and an average age of 42.9 years (SD+/-12.4).
MEASURES: Participants described experiences and perceptions of undermining.
Content analysis was used to identify themes, and responses were coded after
establishing intercoder reliability (kappa=.92). Coded responses were correlated
with gender, body mass index, and satisfaction with weight. RESULTS: Many
respondents reported that they were affected by undermining. The most common
sources of undermining were family and significant others. Individuals satisfied
with their current weight were more likely to resist undermining. Many suggested
undermining might result from jealousy or others' guilt over their own decisions.
CONCLUSIONS: Undermining of healthy behaviors is an important issue for public
health researchers and practitioners as it pertains to patient counseling and the
development of strategies for resisting undermining.
PMID- 22092424
TI - Do infertile women and government staff differ in the evaluation of infertility
related Web sites?
AB - OBJECTIVE: To investigate the evaluation of local government Web sites carrying
information on infertility by infertile women and by government staff. In
particular, the study investigated whether the women and staff differed with
respect to the information they rate as important and their self-reported
satisfaction with the Web sites. DESIGN AND SAMPLE: Cross-sectional descriptive
study. Sixty-two local government staff members, of whom 46 were public health
nurses managing subsidy programs for infertility treatment in the Hokuriku region
of Japan, and 84 infertile women attending local clinics. MEASURES: We measured
the level of satisfaction with the local government Web sites and perceptions
about the importance of each type of content. Data were descriptively analyzed,
as well as by factor analysis and multiple regression analysis. RESULTS: Local
government Web sites were analyzed with respect to information about the
treatment, details of the subsidy program, psychological support, and procedures
for making a subsidy application. CONCLUSIONS: The women rated information on the
treatment and details of the subsidy programs as important. There was no
difference of satisfaction with the Web sites between the infertile women and the
staff. Local government staff need to provide reliable data for women who are
seeking information on infertility treatment.
PMID- 22092425
TI - Feasibility of using the Omaha System to represent public health nurse manager
interventions.
AB - OBJECTIVE: To test the feasibility of representing public health nurse (PHN)
manager interventions using a recognized standardized nursing terminology. DESIGN
AND SAMPLE: A nurse manager in a Midwest local public health agency documented
nurse manager interventions using the Omaha System for 5 months. ANALYTIC
STRATEGY: The data were analyzed and the results were compared with the results
from a parallel analysis of existing PHN intervention data. RESULTS:
Interventions for 79 "clients" (projects, teams, or individuals) captured 76% of
recorded work hours, and addressed 43% of Omaha System problems. Most problems
were addressed at the "community" level (87.1%) versus the "individual" level
(12.9%). CONCLUSIONS: Nursing practice differed between the 2 knowledge domains
of public health family home visiting nursing and public health nursing
management. Standardized nursing terminologies have the potential to represent,
describe, and quantify nurse manager interventions for future evaluation and
research.
PMID- 22092426
TI - Effects of visiting nurses' individually tailored education for low-income adult
diabetic patients in Korea.
AB - OBJECTIVE: To describe the effects of individually tailored education by visiting
nurses for low-income adult diabetic patients. DESIGN AND SAMPLE: This one-group
pretest-posttest study included 96 newly registered low-income adult diabetic
patients in a public health center in DJ-gu (similar to a county in the United
States) in 2006; the patients met the selection criteria. MEASURES: Diabetes
knowledge, self-management, and blood glucose levels were compared before and
after education. INTERVENTION: 15 visiting nurses delivered individually tailored
education for 60-90 min/month for 7 months. RESULTS: After education, diabetes
knowledge (p<.001) and self-management in all categories of lifestyle (p<.001),
diet (p<.001), exercise (p<.001), foot care (p<.001), medication (p=.004), and
insulin therapy (p=.022) significantly improved. The mean fasting blood glucose
(FBG) level decreased by 14.53 mg/dl; this decrease was insignificant (p=.117).
However, the relationship between education and FBG levels was significant
(chi(2)=40.11, p=.005). CONCLUSIONS: Tailored education effectively improved the
patients' knowledge of diabetes and self-management. Therefore, regular,
individually tailored education on a long-term basis by visiting nurses can
provide essential education to low-income adult diabetic patients for maintaining
self-management.
PMID- 22092427
TI - Motorcycle rider training for the prevention of road traffic crashes: a review
synopsis.
PMID- 22092428
TI - Stage-based interventions for smoking cessation: a review synopsis.
PMID- 22092429
TI - Cognitive interviews to test and refine questionnaires.
AB - Survey data are compromised when respondents do not interpret questions in the
way researchers expect. Cognitive interviews are used to detect problems
respondents have in understanding survey instructions and items, and in
formulating answers. This paper describes methods for conducting cognitive
interviews and describes the processes and lessons learned with an illustrative
case study. The case study used cognitive interviews to elicit respondents'
understanding and perceptions of the format, instructions, items, and responses
that make up the Diabetes Symptom Self-Care Inventory (DSSCI), a questionnaire
designed to measure Mexican Americans' symptoms of type 2 diabetes and their
symptom management strategies. Responses to cognitive interviews formed the basis
for revisions in the format, instructions, items, and translation of the DSSCI.
All those who develop and revise surveys are urged to incorporate cognitive
interviews into their instrumentation methods so that they may produce more
reliable and valid measurements.
PMID- 22092430
TI - Cluster analysis identifies subpopulations for health promotion campaign design.
AB - While health communication campaigns have been effective in addressing a variety
of health concerns, even broadly successful campaigns can miss particular
subpopulations. The statistical technique of cluster analysis, which makes it
possible to group individuals based on sets of identifying variables, is a
statistical method that could prove useful in the design of more effective
communication campaigns. This paper illustrates the use of cluster analysis to
group women based on their (1) prepregnancy weight, (2) weight gain during
pregnancy, and (3) weight retention after giving birth as it relates to the
process of targeting subpopulations and developing more effective health
communication campaigns and interventions. The implications of cluster analysis,
from guiding additional formative research to development of health communication
strategies, are discussed.
PMID- 22092431
TI - A concept analysis of suicidal behavior.
AB - OBJECTIVE: Suicidal behavior is a significant global public health problem.
Despite this, many health care professionals remain unaware of the distinction
among suicidal behavior, self-mutilation, and deliberate self-harm. The aim of
this study was to conduct a concept analysis of suicidal behavior. METHOD: Walker
and Avant's 8-step method of concept analysis was used to examine the concept of
suicidal behavior. Sources for analysis were identified using a systematic search
of Medline, CINAHL, ProQuest Nursing & Allied Health Source, and the reference
lists of related journal articles. RESULTS: Suicidal behavior was found to be
associated with a constellation of external hazards and internal crises, lack of
coping mechanisms and social support structures, and degree of suicidal intent,
which, in the worst-case scenario, results in successful suicide. The antecedents
of suicidal behavior are vulnerability characteristics that make painful events
seem unbearable, and the consequences are death or failed suicide. In cases of
failure, the medical consequences may be serious and long lasting. CONCLUSIONS:
Defining the concept of suicidal behavior provides a basis for public health
nurses to better understand suicidal behavior, thus improving their ability to
care for suicidal patients during home visits.
PMID- 22092432
TI - Four responsibilities of the tuberculosis nurse, circa 1919.
AB - Specialization in public health nursing practice was beginning to occur early in
the 20th century. In a 1919 paper published in The Public Health Nurse, Mary Van
Zile argued that there were four essential functions of the tuberculosis nurse.
Later that year, Janet Scott reported on the results of a partnership between the
tuberculosis dispensary, the Lions Club, and other Oklahoma City community
organizations, which illustrated the application of Van Zile's principles, and
the expanding scope of public health nursing practice.
PMID- 22092433
TI - Detecting metabolic activities in single cells, with emphasis on nanoSIMS.
AB - Investigating the contribution of microbial populations to biochemical processes
of global significance is challenging as there are few approaches that can detect
microbial metabolic activities on single-cell level. Given the widespread
distribution and importance of microorganisms in elemental transformations,
improved methods for measuring microbial activities in naturally occurring
microbial communities is essential. In this article, microautoradiography (MAR),
Raman microspectroscopy, and Secondary Ion Mass Spectrometry (SIMS) and their
combination with isotope labeling and molecular genetic methods for cell
identification (i.e. FISH and related methods) are reviewed. We focus our review
on the application of MAR-FISH, Raman-FISH, and FISH-SIMS to environmental
samples, with a more detailed description of the use of nanoSIMS-based
methodologies to identify, quantify, and visualize the incorporation of labeled
substrates of single microorganisms in complex microbial communities. We
highlight examples from the marine habitat. In addition, relevant technical
aspects as well as important considerations concerning sample preparation and
handling are presented. We conclude with a perspective on the usefulness of such
tools to study the role of microorganisms in biogeochemical cycling from micron
to global scales.
PMID- 22092434
TI - Expression of apoptosis regulatory proteins p53, bcl-2 and bax in recurrent
aphthous ulceration.
AB - BACKGROUND: Recurrent aphthous ulceration (RAU) is considered to be an acute
inflammatory disease of unknown pathogenesis. Apoptosis may represent an
important event in the control of inflammation. OBJECTIVES: The aim of this study
was to investigate apoptosis process in RAU using immunohistochemistry. METHODS:
We studied the expression and location of p53, bcl-2 and bax in ulcerated lesions
clinically diagnosed as RAU (n = 12) and compared it with that of oral clinically
normal mucosa (n = 6) and of other inflammatory chronic disease such as oral
fibrous inflammatory hyperplasia (FIH; n = 18). RESULTS: Significant
statistically differences (n < 0.05) in p53 expression were noticed in RAU when
compared with normal mucosa. No significant statistically differences (P > 0.05)
were noticed between FIH and RAU. Bcl-2 and bax did not show remarkable
differences between groups. CONCLUSIONS: Taken together, the data suggest that
RAU induces p53 immunoexpression. Therefore, the protein might be related to the
aetiopathogenesis of the ulcerated oral lesions.
PMID- 22092435
TI - Effects of adult inpatient group psychotherapy on attachment characteristics: an
observational study comparing routine care to an untreated comparison group.
AB - This study investigated the changes in attachment characteristics of patients
undergoing inpatient group psychotherapy in routine care. We collected data from
265 consecutively recruited patients and 260 non-clinical control persons using
self-report measures of attachment, depression, and socio-demographic
characteristics. The effects of treatment on patients were analyzed using
propensity score techniques (propensity strata and logit-transformed propensity
scores) in combination with a generalized analysis of covariance. A moderate
increase of attachment security was found which could be attributed to a decrease
both in attachment anxiety and avoidance. Pre-post improvements in attachment
with regard to romantic partnerships were stable after a 1-year follow-up.
Furthermore, we found significant treatment-covariate interactions indicating
that subjects with particularly high treatment propensities (propensities were
highly correlated with depression and attachment anxiety) improved the most in
terms of attachment security. Our results are encouraging for psychotherapeutic
practice in that they provide evidence that long-term attachment improvements can
be reached via psychotherapy. Our results will also provide a sound basis for
future studies in the field of clinical attachment research, e.g., studies
examining whether improved attachment security is correlated to symptom
improvements in different psychological disorders.
PMID- 22092436
TI - Histomorphometric analysis of sinus augmentation using bovine bone mineral with
two different resorbable membranes.
AB - OBJECTIVE: The purpose of this study was to compare the effects of two different
resorbable collagen membranes on new bone formation after sinus grafts with
anorganic bovine bone mineral (BBM). MATERIALS AND METHODS: For 64 patients
presenting with an initial residual bone height <=4 mm at the posterior maxilla,
the sinus floors were grafted with BBM (Bio-Oss). The lateral windows were
covered by one of the two bio-resorbable membranes, Bio-Arm or Bio-Gide. The
histomorphometric data were obtained 7-15 months after sinus augmentation at the
time of the implant installation. RESULTS: The core biopsy specimens of Bio-Arm
(n = 37 sites) and Bio-Gide group (n = 22 sites) were compared. The results
showed that the BBM particles were in direct contact with the newly formed bone
in all cases. In histomorphometric analysis, the Bio-Gide group showed
significantly higher new bone formation (33.3 +/- 12%) compared with the Bio-Arm
group (26.3 +/- 8.1%) (P < 0.05). All the implants survived successfully after a
mean follow-up of 35.3 months (range 22-63 months) in the Bio-Arm group and 55.5
months (range 35-66 months) in the Bio-Gide group. The amount of new bone in the
specimens did not significantly correlate with the residual bone height at the
time of surgery or the length of the healing period. CONCLUSIONS: The type of
resorbable membrane did not readily affect the long-term survival of the implants
at the grafted sinus. On the other hand, Bio-Gide group showed more new bone
formation than the Bio-Arm group, which implied that the function of the membrane
can influence the remodeling of the grafted sinus. As the amount of residual bone
substitute particle had not decreased significantly over time, the results
suggest that the BBM was rarely resorbable for at least 15 months after the
surgery.
PMID- 22092437
TI - An increase in serum tryptase even below 11.4 ng/mL may indicate a mast cell
mediated hypersensitivity reaction: a prospective study in Hymenoptera venom
allergic patients.
AB - BACKGROUND: During a systemic hypersensitivity reaction (SR), an increase in
serum tryptase compared to the baseline value is an indicator of mast cell
activation, most often due to an IgE-mediated mechanism. OBJECTIVE: To study the
relevance of an increase in serum tryptase below the upper normal value of 11.4
ng/mL. METHODS: Serum tryptase levels were measured in 35 patients with
Hymenoptera venom hypersensitivity before and during venom exposure. Of these, 20
developed SR to stings or following venom injections during immunotherapy
(reactors), while 15 tolerated reexposure to stings or venom injections during
immunotherapy without SR (non-reactors). Serum tryptase was estimated at 2, 5 and
24 h after exposure and was compared to a baseline value obtained before or at
least 72 h after exposure. RESULTS: Considering circadian variation of serum
tryptase, a relative increase to >=135% of the baseline value (relative delta
bound) was defined to indicate mast cell activation. Such an increase was
observed in 17 of 20 reactors (85%), but none of 15 non-reactors. A serum
tryptase of >=11.4 ng/mL following venom exposure was observed in eight of the 20
reactors (40%) and 2 (13.3%) of the 15 non-reactors. Both these non-reactors also
had an elevated baseline serum tryptase. CONCLUSIONS AND CLINICAL RELEVANCE:
Serum tryptase values obtained during a suspected hypersensitivity reaction must
always be compared to a baseline value. A relative tryptase increase to >=135% of
the baseline value during a suspected hypersensitivity reaction indicates mast
cell activation even below 11.4 ng/mL.
PMID- 22092438
TI - Genetic difference but functional similarity among fish gut bacterial communities
through molecular and biochemical fingerprints.
AB - Considering the major involvement of gut microflora in the digestive function of
various macro-organisms, bacterial communities inhabiting fish guts may be the
main actors of organic matter degradation by fish. Nevertheless, the extent and
the sources of variability in the degradation potential of gut bacterial
communities are largely overlooked. Using Biolog EcoplateTM and denaturing
gradient gel electrophoresis (DGGE), we explored functional (i.e. the ability to
degrade organic matter) and genetic (i.e. identification of DGGE banding
patterns) diversity of fish gut bacterial communities, respectively. Gut
bacterial communities were extracted from fish species characterized by different
diets sampled along a salinity gradient in the Patos-Mirim lagoons complex
(Brazil). We found that functional diversity was surprisingly unrelated to
genetic diversity of gut bacterial communities. Functional diversity was not
affected by the sampling site but by fish species and diet, whereas genetic
diversity was significantly influenced by all three factors. Overall, the
functional diversity was consistently high across fish individuals and species,
suggesting a wide functional niche breadth and a high potential of organic matter
degradation. We conclude that fish gut bacterial communities may strongly
contribute to nutrient cycling regardless of their genetic diversity and
environment.
PMID- 22092439
TI - Listeria monocytogenes tyrosine phosphatases affect wall teichoic acid
composition and phage resistance.
AB - Tyrosine phosphatase (PTP)-like proteins exist in many bacteria and are
segregated into two major groups: low molecular weight and conventional. The
latter group also has activity as phosphoinositide phosphatases. These two kinds
of PTP are suggested to be involved in many aspects of bacterial physiology
including stress response, DNA binding proteins, virulence, and capsule/cell wall
production. By annotation, Listeria monocytogenes possesses two potential low
molecular weight and two conventional PTPs. Using L. monocytogenes wild-type (WT)
strain 10403S, we have created an in-frame deletion mutant lacking all four PTPs,
as well as four additional complemented strains harboring each of the PTPs. No
major physiological differences were observed between the WT and the mutant
lacking all four PTPs. However, the deletion mutant strain was resistant to
Listeria phages A511 and P35 and sensitive to other Listeria phages. This was
attributed to reduced attachment to the cell wall. The mutant lacking all PTPs
was found to lack N-acetylglucosamine in its wall teichoic acid. Phage
sensitivity and attachment was rescued in a complemented strain harboring a low
molecular weight PTP (LMRG1707).
PMID- 22092440
TI - The anterior loop of the inferior alveolar nerve: prevalence, measurement of its
length and a recommendation for interforaminal implant installation based on cone
beam CT imaging.
AB - OBJECTIVES: Interforaminal implant surgery requires anatomical knowledge of the
area and adequate information on the location of the various landmarks of
significance such as the mental foramen, the anterior loop of the inferior
alveolar nerve and the mandibular incisive canal. Cone beam computed tomography
(CBCT) is a relatively new imaging modality that provides a multi-dimensional
view of the facial skeleton with, in most instances, lower radiation dose to the
patient compared to medical CT. The present study aims to use CBCT to identify
and measure variation in the presence and extent of the anterior loop of the
inferior alveolar nerve. This information may be used to provide recommendations
to the surgeon without access to a 3D scan of the dento-alveolar region. MATERIAL
AND METHODS: Ninety-three patients scanned with a Newtom VG device for a variety
of clinical indications were included in this retrospective study. Using the
multiplanar capabilities of the device's software the prevalence and length of
the anterior loop was assessed. RESULTS: The results show that an anterior loop
could be identified in 48% of the cases with a mean length (range) of 0.89 mm (0
5.7). CONCLUSIONS: In almost half of the surveyed cases an anterior loop was
present. Even though in 95% of the study cases the loop was <3 mm, a 100% safety
margin in the placement of anterior mandibular implants, in the absence of a CBCT
scan, would only be achieved with a distance of 6 mm between the anterior border
of the mental foramen and the most distal interforaminal implant fixture.
PMID- 22092441
TI - Feeding frequency and survival of Anopheles gambiae in a rice-growing area in
Ghana.
AB - Mortality rates, determined by dissection, of predominantly M form female
Anopheles gambiae (Diptera: Culicidae) were estimated. Mosquitoes were collected
in tent traps and light traps in an irrigation project village in Ghana in June
and July 2010, when much of the area was flooded. Both M and S form larvae were
collected from rice fields (74 of 80 specimens were M form). Adults were
collected in equal proportions from the two traps (90 of 107 specimens from the
light trap and 106 of 116 specimens from the tent trap were M form). During the
study, collection numbers rose from 105 to 972 per night. A total of 1787 of the
15 431 An. gambiae collected were dissected. Of these, 953 (53%) were found to
have taken their first bloodmeal, either as virgins or following mating. The age
profiles of mosquitoes collected alive and dead, respectively, were similar.
Eighteen of 2933 (0.61 +/- 0.49%) specimens were found to be positive for
sporozoites in an enzyme-linked immunosorbent assay (ELISA). Lagged cross
correlations among the different age groups implied that the mosquitoes fed on
days 2 and 4 following emergence prior to oviposition and every 2.65 +/- 0.17
days thereafter. The best model to describe the observed population patterns
implied a daily mortality of 84%. The results are discussed in relation to
possible mosquito control measures for the village.
PMID- 22092442
TI - Piezoelectric vs. conventional implant site preparation: ex vivo implant primary
stability.
AB - OBJECTIVES: This study aims to determine differences in primary stability between
implants placed in cortical bone following Piezoelectric or conventional site
preparation, as assessed by resonance frequency analysis (RFA) and reverse torque
testing (RTT). MATERIAL AND METHODS: Four fresh bovine ribs were acquired and
surgical guides fabricated with five sites per rib (n = 20), for proper site
preparation. Implant sites were prepared via conventional drilling technique as
per manufacturer's instruction (Implantium) or via Piezoelectric (Mectron)
implant site preparation using the Implant Prep kit. Twenty 10 mm long, 3.6 mm
diameter Implantium implants were placed with 35 Ncm torque; 10 implants per
preparation method. RFA was assessed via the Osstell Mentor. Five values were
taken per implant. All implants where subjected to a reverse torque in increasing
increments of 5 until 50 Ncm force was reached. RESULTS: The five RFA values per
site were averaged and plotted by placement technique. A paired t-test
statistical analysis was run. The average RFA values showed no statistical
significance between the 10 test (RFA = 69.04 +/- 5.11) and 10 control (RFA =
70.94 +/- 6.41) sites (P > 0.05). All implants in both groups withstood RTT up to
50 Ncm force without movement and thus showed no statistical differences.
CONCLUSION: Results of this ex vivo study imply that the Piezoelectric implant
site preparation affords similar primary implant stability in comparison to
conventional rotary instrumentation in cortical bone.
PMID- 22092443
TI - Digital correction of motion artefacts in microscopy image sequences collected
from living animals using rigid and nonrigid registration.
AB - Digital image analysis is a fundamental component of quantitative microscopy.
However, intravital microscopy presents many challenges for digital image
analysis. In general, microscopy volumes are inherently anisotropic, suffer from
decreasing contrast with tissue depth, lack object edge detail and
characteristically have low signal levels. Intravital microscopy introduces the
additional problem of motion artefacts, resulting from respiratory motion and
heartbeat from specimens imaged in vivo. This paper describes an image
registration technique for use with sequences of intravital microscopy images
collected in time-series or in 3D volumes. Our registration method involves both
rigid and nonrigid components. The rigid registration component corrects global
image translations, whereas the nonrigid component manipulates a uniform grid of
control points defined by B-splines. Each control point is optimized by
minimizing a cost function consisting of two parts: a term to define image
similarity, and a term to ensure deformation grid smoothness. Experimental
results indicate that this approach is promising based on the analysis of several
image volumes collected from the kidney, lung and salivary gland of living
rodents.
PMID- 22092444
TI - Reflections on a sticky situation: how surface contact pulls the trigger for
bacterial adhesion.
AB - Adhesion of bacterial cells to surfaces can be mediated by a wide variety of
extracellular structures, which can either recognize specific molecular motifs or
adhere in non-specific ways to multiple types of surfaces. The attachment is
thought to be highly regulated, but the underlying sensory mechanism(s) are
poorly understood. In the alpha-proteobacterium Caulobacter crescentus, the
formation of adhesive organelles is 'hardwired' into the cell cycle regulatory
circuitry. In this issue of Molecular Microbiology, Li et al. (2011) employed
this model organism to examine the adhesion process and the transition from
temporary to permanent attachment using total internal reflection fluorescence
(TIRF) microscopy. Surprisingly, they observed that adhesin production was not
only under developmental control, but was also stimulated by surface contact.
Initial reversible contact of the pili with the surface was followed by flagellum
rotation arrest and subsequent induction of the holdfast to allow irreversible
surface adhesion. These findings demonstrate that Caulobacter produces its
holdfast only at the appropriate time for surface attachment, preventing
premature export of the adhesin, which could then be inactivated by 'curing' or
be masked by occluding particles. Importantly, their results support the notion
that the flagellum serves as a mechanosensor for adhesion.
PMID- 22092445
TI - Ten-year results of a three arms prospective cohort study on implants in
periodontally compromised patients. Part 2: clinical results.
AB - OBJECTIVES: The aim of this study was to compare long-term outcomes of implants
placed both in patients treated for periodontitis and in periodontally healthy
patients (PHP). MATERIAL AND METHODS: One hundred and twelve partially edentulous
patients were consecutively enrolled in private specialist practice and divided
into three groups according to their initial periodontal condition: PHP,
moderately periodontally compromised patients (PCP) and severely PCP. Implants
were placed to support fixed prostheses, after successful completion of initial
periodontal therapy [full-mouth plaque score (FMPS) <25%, full-mouth bleeding
score (FMBS) <25%]. At the end of active periodontal treatment (APT), patients
were asked to follow an individualized supportive periodontal therapy (SPT)
program. Diagnosis and treatment of peri-implant biological complications was
performed according to cumulative interceptive supportive therapy. At 10 years,
clinical measures were recorded by two calibrated operators, blinded to the
initial patient classification, on 101 patients, as 11 were lost to follow-up.
The number of sites treated according to therapy modalities C and D (antibiotics
and/or surgery) during the 10 years was registered. RESULTS: Eighteen implants
were removed for biological complications. Antibiotic and/or surgical therapy was
performed in 10.7% of cases in PHP, in 27% of cases in moderate PCP and in 47.2%
cases in severe PCP, with a statistically significant differences between PHP and
severe PCP (P = 0.002). At the final examination, the percentage of implants,
with at least one site which presented a PD >=6 mm, was respectively 1.7% for
PHP, 15.9% for moderate PCP and 27.2% for severe PCP, with a statistically
significant difference between PHP and moderate PCP (P = 0.005) and PHP and
severe PCP (P = 0.0001). CONCLUSION: Patients with a history of periodontitis
presented a statistically significant higher number of sites which required
additional treatment. Therefore, patients with a history of periodontitis should
be informed that they are more at risk for peri-implant disease. This underlines
the value of the SPT in enhancing long-term outcomes of implant therapy,
particularly in subjects affected by periodontitis. Therefore, the approach for
multiple preventive dental extractions and implant placement, based on the
assumption the implants perform better than teeth, should be followed with
extreme caution.
PMID- 22092446
TI - The validity of the diagnostic criteria used in chronic exertional compartment
syndrome: a systematic review.
AB - Chronic exertional compartment syndrome (CECS) of the lower limb is part of a
group of overuse lower limb injuries with common presenting features. It is
commonly diagnosed by the measurement of raised intramuscular pressures in the
lower limb. The pathophysiology of the condition is poorly understood, and the
criteria used to make the diagnosis are based on small sample sizes of
symptomatic patients. We carried out a systematic review to compare intramuscular
pressures in the anterior compartment of healthy subjects with commonly used
criteria for CECS. Thirty-eight studies were included. With the exception of
relaxation pressure, the current criteria for diagnosing CECS, considered to be
the gold standard, overlap the range found in normal healthy subjects. Several
studies reported mean pressures that would prompt a positive diagnosis for CECS,
despite none of the subjects reporting any symptoms. The intramuscular pressure
at all time points has also shown to vary in relation to a number of other
factors other than the presence of CECS. Taken together, these data have major
implications on the ability to use these published criteria for diagnosis and
question the underlying pathophysiology. Clinicians are recommended to use
protocol-specific upper confidence limits to guide the diagnosis following a
failed conservative management.
PMID- 22092447
TI - Beliefs associated with Mexican immigrant families' practice of la cuarentena
during postpartum recovery.
AB - OBJECTIVE: To examine underlying beliefs that motivate the observed behaviors of
la cuarentena, which refers to the 40 days (6 weeks) of postpartum recovery
observed by Mexican immigrant women in the United States. DESIGN:
Qualitative/descriptive. PARTICIPANTS/SETTING: Forty Spanish speaking individuals
from 19 different Mexican immigrant families in Colorado were visited in their
homes during pregnancy and the postpartum period. METHODS: Ethnographic methods
for this study focused on participant observation and interviews during
traditional observance of la cuarentena. Mothers, their partners, and caregivers
were interviewed in their homes in a series of four visits. RESULTS: Families
described perceptions of the body as "open" and vulnerable to drafts or aire.
Women reported that the cultural traditions of la cuarentena will "close" the
body, and this was seen as the central purpose of postpartum recovery. Immigrant
women reported that they hide their traditions in health care settings,
recognizing that many providers don't understand or trivialize their beliefs and
customs. A lack of awareness of la cuarentena among health care providers is a
barrier to many women seeking professional care. CONCLUSIONS: Understanding the
underlying fears associated with la cuarentena will assist nurses and clinicians
in supporting immigrant families during postpartum recovery. Support from health
care providers is particularly important given the occasional lack of family
social support for immigrant women after they give birth.
PMID- 22092448
TI - NTrap in prevention of stone migration during ureteroscopic lithotripsy for
proximal ureteral stones: a meta-analysis.
AB - PURPOSE: To evaluate the effectiveness of NTrap in the prevention of stone
migration during ureteroscopic lithotripsy for proximal ureteral stones. METHODS:
Eligible studies were identified from electronic databases (PubMed, Embase, the
Cochrane Library, ISI - Science Citation Index, and Chinese biomedicine
literature database). The database search, quality assessment, and data
extraction were independently performed by two reviewers. Our primary outcomes
were the stone-free (SF) and incidence of stone migration. Secondary outcomes
were operative time and the rate of auxiliary procedures. The outcomes were
explored by using Review Manager 5.0. Sensitivity analysis was performed to
explore the influence of low-quality studies. RESULTS: Two randomized controlled
trials and one case-control study including 456 patients met the inclusion
criteria. Meta-analysis of extractable data showed that patients who underwent
ureteroscopic lithotripsy with the use of the NTrap demonstrated a significant
advantage over without the use of the NTrap in terms of the stone-free rate (odds
ratio [OR]=3.08, 95% confidence interval [CI] [1.45-6.53], P=0.003); the
incidence of stone migration was significantly lower in NTrap treatment than
without NTrap (OR=0.23, 95% CI: 0.10-0.53, P=0.0006, while there was no
significant difference in operative time between NTrap and control (mean
difference=-3.25, 95% CI: -16.11-9.62, P=0.62). Our pooled meta-analysis showed
that the incidence of auxiliary shockwave lithotripsy was significantly lower in
NTrap treatment than control (OR=0.29, 95% CI: 0.12-0.70, P=0.006). CONCLUSION:
The results of this meta-analysis suggested that the NTrap stone occlusion device
is efficient at preventing stone retropulsion during ureteroscopic lithotripsy of
proximal ureteral calculi. The findings of this review highlight the need for
more efficient performance of higher quality, more rigorous, large sample, long
term randomized controlled trials where outcomes are detailed in description.
PMID- 22092449
TI - Nonfinancial barriers and access to care for U.S. adults.
AB - OBJECTIVE: To identify prevalences and predictors of nonfinancial barriers that
lead to unmet need or delayed care among U.S. adults. DATA SOURCE: 2007 Health
Tracking Household Survey. STUDY DESIGN: Reasons for unmet need or delayed care
in the previous 12 months were assigned to one of five dimensions in the
Penchansky and Thomas model of access to care. Prevalences of barriers in each
nonfinancial dimension were estimated for all adults and for adults with
affordability barriers. Multivariable logistic regression models were used to
estimate associations between individual, household, and insurance
characteristics and barriers in each access dimension. PRINCIPAL FINDINGS:
Eighteen percent of U.S. adults experienced affordability barriers and 21 percent
experienced nonfinancial barriers that led to unmet need or delayed care. Two
thirds of adults with affordability barriers also reported nonfinancial barriers.
Young adults, women, individuals with lower incomes, parents, and persons with at
least one chronic illness had higher adjusted prevalences of nonfinancial
barriers. CONCLUSIONS: Nonfinancial barriers are common reasons for unmet need or
delayed care among U.S. adults and frequently coincide with affordability
barriers. Failure to address nonfinancial barriers may limit the impact of
policies that seek to expand access by improving the affordability of health
care.
PMID- 22092451
TI - A new method for evaluating postacne scarring.
PMID- 22092452
TI - Predictors of actual turnover in a national sample of newly licensed registered
nurses employed in hospitals.
AB - AIM: This paper is a report of a study of factors that affect turnover of newly
licensed registered nurses in United States hospitals. BACKGROUND: There is a
large body of research related to nursing retention; however, there is little
information specific to newly licensed registered nurse turnover. Incidence rates
of turnover among new nurses are unknown because most turnover data are not from
nationally representative samples of nurses. METHOD: This study used a
longitudinal panel design to obtain data from 1653 registered nurses who were
recently licensed by examination for the first time. We mailed surveys to a
nationally representative sample of hospital registered nurses 1 year apart. The
analytic sample consisted of 1653 nurses who responded to both survey mailings in
January of 2006 and 2007. RESULTS: Full-time employment and more sprains and
strains (including back injuries) result in more turnover. Higher intent to stay
and hours of voluntary overtime and more than one job for pay reduces turnover.
When we omitted intent to stay from the probit model, less job satisfaction and
organizational commitment led to more turnover, confirming their importance to
turnover. Magnet Recognition Award((r)) hospitals and several other work
attributes had no effect on turnover. CONCLUSION: Turnover problems are
complex, which means that there is no one solution to decreasing turnover.
Multiple points of intervention exist. One specific approach that may improve
turnover rates is hospital policies that reduce strains and sprains.
PMID- 22092453
TI - Stabilization of juxta-physeal distal tibial and fibular fractures in a juvenile
tiger using a hybrid circular-linear external fixator.
AB - OBJECTIVE: To report stabilization of closed, comminuted distal metaphyseal
transverse fractures of the left tibia and fibula in a tiger using a hybrid
circular-linear external skeletal fixator. STUDY DESIGN: Clinical report. ANIMAL:
Juvenile tiger (15 months, 90 kg). METHODS: From imaging studies, the tiger had
comminuted distal metaphyseal transverse fractures of the left tibia and fibula,
with mild caudolateral displacement and moderate compression. Multiple fissures
extended from the fractures through the distal metaphyses, extending toward, but
not involving the distal tibial and fibular physes. A hybrid circular-linear
external skeletal fixator was applied by closed reduction, to stabilize the
fractures. RESULTS: The fractures healed and the fixator was removed 5 weeks
after stabilization. Limb length and alignment were similar to the normal
contralateral limb at hospital discharge, 8 weeks after surgery. Two weeks later,
the tiger had fractures of the right tibia and fibula and was euthanatized.
Necropsy confirmed pathologic fractures ascribed to copper deficiency.
CONCLUSION: Closed application of the hybrid construct provided sufficient
stability to allow this 90 kg tiger's juxta-articular fractures to heal with
minimal complications and without disrupting growth from the adjacent physes.
PMID- 22092450
TI - Distinct commensal bacteria associated with ingesta and mucosal epithelium in the
gastrointestinal tracts of calves and chickens.
AB - The primary aim of this study was to determine whether distinct gastrointestinal
tract (GIT) microbial communities are established within ingesta and on mucosal
surfaces of dairy calves and chickens to evaluate whether the principle of
microbial segregation is of broad biological significance. Multivariate analysis
of the predominant bacterial PCR-denaturing gradient gel electrophoresis profiles
and estimated bacterial populations were compared in rumen, jejunum, ileum,
cecum, and colon ingesta and matching mucosal tissues. Samples collected from 3
week old (n = 8) and 6-month old (n = 8) calves revealed that the predominant
mucosa-associated bacteria were distinct from those inhabiting ingesta, and
bacterial diversity varied significantly among the GIT regions. The estimated
bacterial populations displayed significant regional differences for bovine
mucosal (P = 0.05) and for ingesta (P = 0.03) only at 6 months of age. This
indicates an established segregation of the enteric bacterial population
throughout the GIT in weaned calves. Analysis of ileal and cecal bacterial
profiles in chickens confirmed that the segregation of commensal bacteria between
ingesta and the mucosal tissue was a common biological phenomenon. Our study
provides some fundamental understanding of the impact of sample type (mucosa vs.
ingesta), region, and host age on commensal bacterial establishment and
segregation throughout the GIT.
PMID- 22092454
TI - Poor relevance of a lymphocyte proliferation assay in lamotrigine-induced Stevens
Johnson syndrome or toxic epidermal necrolysis.
AB - BACKGROUND: Prior use of 'lymphocyte transformation test' (LTT) in Stevens
Johnson syndrome (SJS) and toxic epidermal necrolysis (TEN) provided conflicting
results, possibly dependent on sampling dates (acute vs. late). OBJECTIVE:
Evaluation of LTT in patients with SJS or TEN who reacted to lamotrigine (LTG).
In a small subgroup we explored the possible role of regulatory T cells (T-reg).
METHODS: Acute phase samples (9) and post-recovery samples (14) from cases of SJS
or TEN to LTG were provided by the RegiSCAR-study group. Controls were persons
never exposed to LTG (12), patients exposed without reaction (6), and patients
who developed a mild eruption to LTG (6). LTT was performed by measuring (3) H
thymidine incorporation after 3 days of incubation with phytohemmaglutinin, LTG
(10 MUg/mL) or medium. Stimulation index >= 2 was considered positive. In 16
cases LTT was redone after depletion of T-reg by fluorescence activated cell
sorting. RESULTS: Positive LTT was observed in 3/6 cases of mild eruptions, 1/9
SJS/TEN-cases tested during the acute phase and 3/14 SJS/TEN-cases tested after
recovery. We noted a very mild and nonsignificant trend for an increased response
after depletion of T-reg in late samples from SJS or TEN patients. CONCLUSIONS
AND CLINICAL RELEVANCE: With the largest number of LTT performed in patients with
SJS or TEN to a single drug, we confirmed that reactive cells are rarely detected
in these reactions. Poor reactivity did not seem related to T-reg. Other in vitro
assays than those testing proliferation should be evaluated, before raising the
hypothesis that specific cells disappeared by undergoing apoptosis during the
reaction.
PMID- 22092455
TI - MMP-8 -799 C>T genetic polymorphism is associated with the susceptibility to
chronic and aggressive periodontitis in Taiwanese.
AB - AIM: Matrix metalloproteinase (MMP)-8 is a protease that degrades numerous
extracellular molecules and has been implicated in the pathogenesis of
periodontitis. Polymorphism in the MMP-8 could affect the susceptibility to
disease. Our aim was to evaluate the association between periodontitis and MMP-8
799 C>T polymorphism. MATERIAL AND METHODS: Genomic DNA was obtained from 361
chronic periodontitis patients (CP), 96 aggressive periodontitis patients (AgP),
and 106 periodontally healthy controls (HC). MMP-8 -799 C>T polymorphism was
determined using the polymerase chain reaction-restriction fragment length
polymorphism (PCR-RFLP). RESULTS: The frequencies of genotypes in diseased groups
were similar but were significantly different from those in the HC. Multivariate
logistic regression analysis with adjustment for age, gender and smoking
indicated that increased risks of AgP and CP were associated with the -799 T
allele (in AgP, adjusted OR = 1.99, p = 0.04; in CP, adjusted OR = 1.87, p =
0.007). To avoid the confounded effect of smoking on MMP-8 polymorphism to
periodontitis, the analysis was conducted on non-smokers and the associations
were significant. CONCLUSIONS: These results suggested that non-smoking Taiwanese
with the MMP-8 -799 T allele were associated with the risks of both CP and AgP.
Further studies in other ethnic populations are necessary.
PMID- 22092456
TI - [Past, present, future in public health nursing].
PMID- 22092457
TI - Smoking cessation counseling for parents during child hospitalization: a national
survey of pediatric nurses.
AB - OBJECTIVE: Given the central role played by pediatric nurses in intake
assessment, discharge planning, and education for families of hospitalized
pediatric patients, a child's hospitalization may provide a unique opportunity
for counseling parents about smoking. We sought to determine if hospital policies
can support nurses in effectively counseling parents about smoking. DESIGN AND
SAMPLE: We conducted a national survey of pediatric staff nurses and
administrators/educators who were members of the Society of Pediatric Nurses in
2008 (n=888) to explore counseling practices for tobacco control. MEASURES:
Questionnaires included data on demographics, personal and work environment
characteristics, hospital policy characteristics, work attitudes and barriers and
the main outcome--5As for smoking cessation counseling--Ask, Advise, Assess,
Assist, and Arrange. RESULTS: Overall, routine screening for household smokers
was most common (43%), followed by advice to quit (25%), assessing willingness to
quit (19%), assisting with a quit plan (6%), and arranging follow-up contact
(3%). Nurses working in hospitals with admission assessments specifically asking
about household members who smoke were 7 times more likely than those without
such assessments to routinely ask about smoking (OR: 7.2, 95% CI: 4.9-10.5).
CONCLUSION: Future research should test the efficacy of developing comprehensive
hospital-wide policies to deliver smoking cessation for parents during a child's
hospitalization.
PMID- 22092458
TI - Racial and ethnic disparities in human papillomavirus awareness and vaccination
among young adult women.
AB - OBJECTIVE: To examine racial and ethnic disparities in human papillomavirus (HPV)
awareness and vaccination among young adult females aged 18-24 years. DESIGN AND
SAMPLE: Descriptive correlational study. One thousand nineteen women aged 18-24
years who self-identified as Hispanic, non-Hispanic Black, or non-Hispanic White.
MEASURES: Descriptive and multivariate logistic regression analyses using data
from the 2007-2008 National Survey of Family Growth. RESULTS: Approximately 90%
of non-Hispanic White women, 80% of non-Hispanic Black women, and 56-60% of
Hispanic women reported an awareness of HPV infection and vaccination, and yet,
vaccine receipt across racial and ethnic groups was 23%, 8%, and 6%,
respectively. In multivariate analyses, Hispanic and non-Hispanic Black women
were less aware than non-Hispanic White women of HPV infection (OR=0.10, 95%
CI=0.05, 0.19; OR=0.23, 95% CI=0.13, 0.40) and vaccination (OR=0.13, 95% CI=0.07,
0.27; OR=0.27, 95% CI=0.14, 0.52) and also less likely to report vaccine receipt
(OR=0.44, 95% CI=0.21, 0.90; OR=0.16, 95% CI=0.07, 0.35). CONCLUSIONS: Despite
moderate to high levels of awareness, HPV vaccination rates were low among this
national population of young adult women. Continued surveillance is imperative to
monitor vaccine uptake, including racial and ethnic disparities. Further research
exploring HPV knowledge and vaccination barriers across diverse cultural groups
is needed to inform the development of more effective public health
interventions.
PMID- 22092459
TI - Intention to smoke tobacco using a waterpipe among students in a southeastern
U.S. College.
AB - OBJECTIVE: Guided by the Theory of Reasoned Action, this study examined the
association of behavioral beliefs, attitudes, normative beliefs, and subjective
norms with waterpipe tobacco smoking intention in college students. DESIGN AND
SAMPLE: A cross-sectional design was used. A Web-based survey was sent to a
random sample of 1,000 undergraduate students from a public institution in the
southeast to recruit participants. MEASURES: The Theory of Reasoned Action
Waterpipe Questionnaire, a modified version of the Fishbein-Ajzen-Hanson
Questionnaire, was used to capture modal constructs of the Theory of Reasoned
Action related to waterpipe use. Cronbach's alpha coefficients for the scales of
the Theory of Reasoned Action Waterpipe Questionnaire ranged from .76 to .95.
RESULTS: Of the sample (n=223), 13.5% currently smoked a waterpipe and 61% had
ever done so. Using multiple regression, attitudes, behavioral beliefs, and
subjective norms were associated with intention to smoke a waterpipe in the next
3 months and collectively explained 35% of the variance in intention. The full
model, which included all the constructs of the Theory of Reasoned Action,
demographic variables, and tobacco use variables, explained 83% of the variance
in intention to smoke a waterpipe in the next 3 months. CONCLUSIONS: This study
provides valuable information that may be used to target students at risk for
waterpipe smoking and serves as a starting point in developing theoretically
driven interventions to prevent waterpipe smoking.
PMID- 22092460
TI - Lifestyle physical activity behavior of Korean American dry cleaner couples.
AB - OBJECTIVE: The purposes of this study were to: (1) describe and compare lifestyle
physical activity (leisure-time physical activity [LTPA], household physical
activity [HPA], and occupational physical activity [OPA]), using both self-report
and an objective measure of step counts, in self-employed Korean American married
couples working together at dry cleaners, and (2) examine the relationship
between self-report and objective measures of physical activity. DESIGN AND
SAMPLE: 70 couples participated in this cross-sectional, descriptive, face-to
face interview survey. MEASURES: 2 self-reports (28-item Community Healthy
Activities Model Program for Seniors Physical Activity Questionnaire and Tecumseh
Occupational Physical Activity Questionnaire) and 1 objective measure (New
Lifestyles-800 pedometer) were used. RESULTS: The husbands spent significantly
more time than their wives in moderate- to vigorous-intensity LTPA (207 vs. 122
min/week) and OPA (2,585 vs. 1,065 min/week). Most couples (91%) met recommended
levels of physical activity based on their OPA. Pedometer steps correlated
significantly only with LTPA. CONCLUSIONS: Study findings suggest that to
increase physical activity in Korean American couples who work in a small
business, moderate-intensity lifestyle physical activity interventions across
LTPA, HPA, and OPA will be more successful than traditional leisure-time
interventions. In addition, results suggest that there is a need for
interventions that target both members of the married couple.
PMID- 22092461
TI - Benefits, barriers, sources of influence, and prostate cancer screening among
rural men.
AB - OBJECTIVE: The objective of this study was to explore 3 research questions: (1)
What are the perceived benefits of screening for prostate cancer (PC)? (2) What
are the perceived barriers to screening for PC? and (3) Is there an association
with perceived benefits or perceived barriers and participants' reported source
of influence related to prostate cancer screening (PCS) decisions? DESIGN AND
SAMPLE: A nonexperimental exploratory design was used for the study. Sample
included 94 rural-dwelling male participants aged 40 and older. MEASURES: The
instruments used included an adapted version of Champion's (1999) revised Health
Belief Model scale and a researcher-developed demographic and PCS patterns form.
RESULTS: Both benefits and barriers were significantly associated with PCS and
sources of influence. Health care providers and family were highly reported, at
81.8% and 59.5%, respectively, as sources of influence regarding PCS decisions.
CONCLUSIONS: In this primarily African American sample, significant barriers to
PCS among rural men were indentifed. PC health education may need to include
family, whom study participants highly reported as a source of influence
regarding their PCS decisions.
PMID- 22092462
TI - Empowerment and commitment perceptions of community/public health nurses and
their tenure intention.
AB - OBJECTIVE: A descriptive, nonexperimental study of community/public health
nurses' (C/PHN) perceptions of their work and their workplace was conducted for
the purpose of identifying factors that may affect tenure intention and, by
extension, health care delivery, at a time when nursing workforce capacity is in
crisis, a subject not studied well among the C/PHN workforce. It was thought that
findings would potentially contribute to decisions about curriculum development,
hiring practices, and work design by nurse educators, leaders, and
administrators. Spreitzer's Theory of Structural and Psychological Empowerment
and Meyer and Allen's Commitment theory were utilized together as a framework.
DESIGN AND SAMPLE: A paper-pen survey was mailed to 688 C/PHNs in 10 states
seeking national accreditation serving in community-oriented and population
focused roles and selected randomly in local health departments with a census of
10 or more eligible nurses. The return of 478 completed surveys yielded a
response rate of 76%. MEASURES: Data were examined with descriptive statistics,
correlation, and multiple logistic regression methods. RESULTS: Results revealed
1/3 of C/PHNs were in some way considering leaving the job in spite of
significant relationships among empowerment factors and between empowerment and
commitment factors. CONCLUSIONS: These data beg for a clearer understanding of
C/PHNs' perceptions of empowerment and the reasons for intent to leave.
PMID- 22092463
TI - Vaccination competence of public health nurses.
AB - OBJECTIVE: The purpose of this study was to assess the vaccination competence of
Finnish public health nurses. DESIGN AND SAMPLE: A survey design was used.
Participants (n=405) were public health nurses working in health centers in
Finland. MEASURES: The data were collected using a structured instrument and were
analyzed by SAS. RESULTS: The mean of self-assessment was 8.3 and the proportion
percentage of correct answers in the knowledge test was 83 %. According to the
self-assessment, public health nurses felt they were most competent in achieving
the desired outcomes of vaccination implementation and poorest in their qualities
as vaccinators. In the knowledge test, the public health nurses were familiar
with vaccination recommendations and common contraindications, but showed room
for improvement in managing an anaphylactic reaction, aseptic practices, and
knowing the names of vaccines. There was a statistically significant link between
the results on the self-assessment and the knowledge test. CONCLUSIONS: Further
training should be organized in the areas where knowledge was lacking. It would
be useful to further investigate differences in competence between public health
nurses working different sectors. These results could be utilized in education,
clinical nursing practice, administration and research. This study demonstrated
that vaccination competence can be measured by means of the structured
instrument.
PMID- 22092464
TI - A win-win partnership between academia and public health practice.
AB - Partnerships are fundamental to successful public health practice, and
collaborations between academia and public health practice, as recommended by the
Institute of Medicine and the Council on Linkages between academia and public
health practice, are vital to ensuring a competent public health workforce.
During times of economic crisis, graduate public health nursing students with
clinical expertise, people and communication skills, and critical thinking
capabilities are uniquely positioned to bridge the gap between academia and
public health practice. In 2009, 5 registered nurses enrolled in a master of
science in nursing program with a focus on public health partnered with public
health professionals from the state Department of Public Health to conduct an
active surveillance study to understand the increase in vancomycin-resistant
enterococci incidences that occurred since 2005. The purpose of this paper is to
describe the successes and challenges of the collaboration to provide an insight
for those who wish to build similar collaborations. Even in light of the
challenges that occurred during this collaboration, faculty should strongly
consider developing partnerships with public health practice in order to
contribute to important public health surveillance and other functions, and to
provide relevant experiences for students.
PMID- 22092465
TI - Designing a health behavior change program for dissemination to underserved
pregnant women.
AB - OBJECTIVES: The paper describes the formative research conducted toward
developing a health behavior change program for underserved pregnant women. It is
provided as an example to guide researchers, academics, and practitioners on how
to incorporate dissemination in all aspects of project planning and
implementation. DESIGN AND SAMPLE: A series of formative research was conducted,
including an advisory council, expert interviews, 6 focus groups and 5 usability
interviews with the target population (n=53), key informant interviews, expert
reviews of the pilot program, and a pilot test (n=87). A total of 140 underserved
pregnant women were recruited from Community Health Center Inc. in Connecticut.
RESULTS: The extensive formative research served to lay the foundation for the
development of a healthy pregnancy behavior change program. The pilot test
exemplified the feasibility and acceptability of the program. CONCLUSION:
Successful adoption of interventions depends upon strong formative research,
participatory research methods, interdisciplinary collaboration, and a commitment
to dissemination from project inception. The development of the intervention
discussed serves as a useful and practical example for others working in health
care and behavioral medicine to improve the overall health and well-being of the
underserved.
PMID- 22092467
TI - Public health nursing-indispensible and economical for everyone if organized.
AB - In August 1930, the editors of the original Public Health Nursing published an
article derived from a speech made by Dr. Haven Emerson, then professor of public
health administration at Columbia University, on the topic of the distribution
and use of public health nurses. The speech was made before an audience of lay
board members from hospitals and public health nursing organizations in Chicago,
February 17, 1930. Emerson reported the results of a data analysis in which the
numbers and credentials of public health nurses in 24 cities across the United
States were reported. Excerpts from this report and Dr. Emerson's conclusions are
powerful reminders that while there were issues of labor supply and distribution,
the power of nurses to effect social transformation was central to the role as
conceived by those administering public health services.
PMID- 22092466
TI - Childhood bullying: a review of constructs, concepts, and nursing implications.
AB - Bullying among children as a pervasive problem has been increasingly recognized
as an important public health issue. However, while much attention has been given
to understanding the impact of bullying on victims, it is equally important to
examine predictors of bullying and potential outcomes for bullies themselves. The
current literature on bullying lacks consensus on a utilizable definition of
bullying in research, which can vary by theoretical framework. In an attempt to
bridge the gaps in the literature, this article will provide a review of the
state of the science on bullying among children, including the major theoretical
constructs of bullying and their respective viewpoints on predictors and
correlates of bullying. A secondary aim of this article is to summarize empirical
evidence for predictors of bullying and victimization, which can provide
strategies for intervention and prevention by public health nursing
professionals. By calling attention to the variability in the bullying literature
and the limitations of current evidence available, researchers can better address
methodological gaps and effectively move toward developing studies to inform
nursing treatment programs and enhance public health initiatives that reduce
violence in school settings.
PMID- 22092469
TI - Cancer stem-like cells in adenoid cystic carcinoma of salivary glands:
relationship with morphogenesis of histological variants.
AB - BACKGROUND: Adenoid cystic carcinoma (AdCC) is a common malignant salivary gland
tumor. AdCC is histologically characterized as having cribriform, tubular and
solid patterns, and production of excess extracellular matrix (ECM). Cancer stem
cells (CSCs) are defined cells within a tumor that possess the capacity to self
renew and to induce the heterogeneous lineages of cancer cells that comprise the
tumor. METHODS: To examine the morphogenetic relationship between CSCs and the
growth patterns in AdCC, we employed formalin-fixed and paraffin-embedded 26
cases of AdCC and immunohistochemically clarified the distribution of CSCs using
CD133 and CD44 antibodies. RESULTS: CD133- or CD44-positive cancer stem (CS)-like
cells were scattered in the pseudocyst-lining area of cribriform patterns having
a Swiss cheese-like appearance. However, based on the further overproduction of
ECM, CS-like cells accumulate in thin epithelial cords or trabecular
arrangements. These CS-like cell aggregations are considered to form two cell
layered tubular patterns. Moreover, proliferation of outer cells in tubular
patterns leads to cribriform patterns or solid patterns in the presence of excess
ECM production or a lack of ECM overproduction, respectively. CS-like cells in
AdCC were frequently located at the border areas adjacent to ECM, with exception
of some cribriform and solid variants. CONCLUSION: It is suggested that CSCs
included in CD133- or CD44-positive cells play an important role in morphogenesis
of salivary AdCC, especially in transition among the histological growth
patterns. ECM is well known as a component of the CSC microenvironment, and ECM
may regulate morphogenesis of AdCC through the stimulation of CSCs.
PMID- 22092470
TI - Alveolar process preservation at implants installed immediately into extraction
sockets using deproteinized bovine bone mineral - an experimental study in dogs.
AB - AIM: To evaluate the soft tissue and the dimensional changes of the alveolar bony
crest at sites where deproteinized bovine bone mineral (DBBM) particles,
concomitantly with the placement of a collagen membrane, were used at implants
installed into sockets immediately after tooth extraction. MATERIAL AND METHODS:
The pulp tissue of the mesial roots of (3) P(3) was removed in six Labrador dogs,
and the root canals were filled. Flaps were elevated bilaterally, the premolars
hemi-sectioned, and the distal roots removed. Recipient sites were prepared in
the distal alveolus, and implants were placed. At the test sites, DBBM particles
were placed in the residual marginal defects concomitantly with the placement of
a collagen membrane. No treatment augmentation was performed at the control
sites. A non-submerged healing was allowed. Impressions were obtained at baseline
and at the time of sacrifice performed 4 months after surgery. The cast models
obtained were analyzed using an optical system to evaluate dimensional
variations. Block sections of the implant sites were obtained for histological
processing and soft tissue assessments. RESULTS: After 4 months of healing, no
differences in soft tissue dimensions were found between the test and control
sites based on the histological assessments. The location of the soft tissue at
the buccal aspect was, however, more coronal at the test compared with the
control sites (1.8 +/- 0.8 and 0.9 +/- 0.8 mm, respectively). At the three
dimensional evaluation, the margin of the soft tissues at the buccal aspect
appeared to be located more apically and lingually. The vertical dislocation was
1 +/- 0.6 and 2.7 +/- 0.5 mm at the test and control sites, respectively. The
area of the buccal shrinkage of the alveolar crest was significantly smaller at
the test sites (5.9 +/- 2.4 mm(2) ) compared with the control sites (11.5 +/- 1.7
mm(2) ). CONCLUSION: The use of DBBM particles concomitantly with the application
of a collagen membrane used at implants placed into sockets immediately after
tooth extraction contributed to the preservation of the alveolar process.
PMID- 22092471
TI - Periodontal disease, tooth loss and incident rheumatoid arthritis: results from
the First National Health and Nutrition Examination Survey and its
epidemiological follow-up study.
AB - AIMS: Infection may be a rheumatoid arthritis (RA) risk factor. We examined
whether signs of periodontal infection were associated with RA development in the
First National Health and Nutrition Examination Survey and its epidemiological
follow-up study. MATERIAL AND METHODS: In 1971-1974, 9702 men and women aged 25
74 were enrolled and surveyed longitudinally (1982, 1986, 1987, 1992).
Periodontal infection was defined by baseline tooth loss or clinical evidence of
periodontal disease. Baseline (n = 138) and incident (n = 433) RA cases were
defined via self-report physician diagnosis, joint pain/swelling, ICD-9 codes
(714.0-714.9), death certificates and/or RA hospitalization. RESULTS: Adjusted
odds ratios (ORs) (95% CI) for prevalent RA in gingivitis and periodontitis
(versus healthy) were 1.09 (0.57, 2.10) and 1.85 (0.95, 3.63); incident RA ORs
were 1.32 (0.85, 2.06) and 1.00 (0.68, 1.48). The ORs for prevalent RA among
participants missing 5-8, 9-14, 15-31 or 32 teeth (versus 0-4 teeth) were 1.74
(1.03, 2.95), 1.82 (0.81, 4.10), 1.45 (0.62, 3.41) and 1.30 (0.48, 3.53); ORs for
incident RA were 1.12 (0.77, 1.64), 1.67 (1.12, 2.48), 1.40 (0.85, 2.33) and 1.22
(0.75, 2.00). Dose-responsiveness was enhanced among never smokers. The rate of
death or loss-to-follow-up after 1982 was two- to fourfold higher among
participants with periodontitis or missing >=9 teeth (versus healthy
participants). CONCLUSIONS: Although participants with periodontal disease or >=5
missing teeth experienced higher odds of prevalent/incident RA, most ORs were non
statistically significant and lacked dose-responsiveness. Differential RA
ascertainment bias complicated the interpretation of these data.
PMID- 22092472
TI - Periodontitis is associated with angiographically verified coronary artery
disease.
AB - INTRODUCTION: We investigated the association of periodontitis and severity of
coronary artery disease (CAD) as verified using coronary angiography. MATERIAL
AND METHODS: Participants were recruited among those attending coronary
angiography at Helsinki University Central Hospital, Finland, in 2007 and 2008.
Detailed clinical periodontal examination [number of teeth, bleeding on probing,
periodontal probing depth (PPD)] and oral panoramic radiographs [alveolar bone
loss (ABL), angular bone defects] were performed. RESULTS: Of 506 patients, 123
(24.3%) had no significant CAD, whereas 184 (36.4%) had stable CAD and 169
(33.4%) acute coronary syndrome (ACS). Both stable CAD and ACS were associated
with 8-17 missing teeth with ORs 4.33 (1.61-11.7, p = 0.020) and 5.24 (1.90-14.5,
p = 0.014), and more than seven teeth with PPD >=6 mm with ORs 2.44 (1.01-6.07, p
= 0.049) and 2.75 (1.16-6.53, p = 0.022) respectively. Severe ABL was associated
with ACS with an OR 5.39 (1.23-23.6, p = 0.025). Number of stenosed arteries was
linearly associated with ABL (p for trend <0.001), number of missing teeth (p <
0.001), and pockets with probing depth >=6 mm (p = 0.033). CONCLUSIONS: Compared
with patients with no significant stenosis, poor periodontal health including
missing teeth, periodontal inflammation, and bone loss is associated with
angiographically verified coronary artery narrowing in patients with stable CAD
or ACS.
PMID- 22092473
TI - Stage of hepatocellular carcinoma is associated with periodontitis.
AB - AIM: Periodontitis induces overproduction of reactive oxygen species (ROS). This
state increases circulating ROS levels and may affect hepatocellular carcinoma
(HCC). The Japan Integrated Stage (JIS) score is a novel staging system for HCC.
The objective of the present study was to compare JIS scores in HCC patients with
and without periodontitis. MATERIAL AND METHODS: We recruited 64 HCC patients
comprising 31 chronic periodontitis subjects (HCC + P) and 33 periodontally
healthy controls (HCC + H). Their JIS scores were recorded. Serum levels of
reactive oxygen metabolites (ROM) from HCC + P, HCC + H and healthy age- and
gender-matched subjects with healthy gingiva (control, n = 15) were also assessed
for circulating ROS levels. RESULTS: The HCC + P and HCC + H groups had similar
body mass index, habitual drinking and tobacco exposure data. The HCC + P group
showed higher JIS scores than the HCC + H group (p = 0.027). Both the HCC + P and
HCC + H groups had higher serum levels of ROM than controls (p < 0.001), while
serum levels of ROM in the HCC + P group were a further 25.8% higher than those
in the HCC + H group (p < 0.001). CONCLUSION: HCC patients with periodontitis had
higher JIS score and circulating ROS level than HCC patients without
periodontitis.
PMID- 22092474
TI - Receptor activator of nuclear factor kappa B ligand antagonists inhibit tissue
inflammation and bone loss in experimental periodontitis.
AB - AIM: The purpose of this study was to assess the role of anti-bone resorptive
agents and an anti-inflammatory compound in murine Porphyromonas gingivalis (P.
gingivalis)-induced periodontitis. MATERIAL AND METHODS: Six randomly assigned
groups were administered vehicle (saline, control) (n = 6), P. gingivalis
infection only (untreated) (n = 6), human-Fc (n = 4), Kavain (n = 6), OPG-Fc (n =
6) and Receptor activator of nuclear factor-kappa B (RANK)-Fc (n = 6)
intraperitoneally at day 0, 3 and 7. Animals were euthanized on day 10 and
subjected to comprehensive histomorphometric analysis. To capture the progress of
inflammation, serum samples were collected at days 0, 3, 7 and 10 for levels of
pro-inflammatory cytokines. RESULTS: Compared with control group, OPG-Fc, RANK-Fc
and Kavain treatment showed significant bone loss reduction with OPG-Fc
performing better than RANK-Fc or Kavain. Epithelial down-growth showed
significant reduction in treatment groups with OPG-Fc performing better than RANK
Fc or Kavain. Finally, Kavain, OPG-Fc and RANK-Fc-treated mice displayed reduced
inflammatory cell counts and cytokine expression particularly at day 7
postinfection. CONCLUSIONS: RANKL antagonists and Kavain effectively reduced
alveolar bone loss in P. gingivalis-induced periodontitis in our mice model.
Compared with RANK-Fc, Kavain-treated animals showed milder improvement of bone
and connective tissue inflammation. Therapeutic implications in the prevention of
periodontal bone loss are discussed.
PMID- 22092475
TI - Multiple applications of flurbiprofen and chlorhexidine chips in patients with
chronic periodontitis: a randomized, double blind, parallel, 2-arms clinical
trial.
AB - AIM: The aim of the present randomized, double blind, parallel, 2-arm clinical
study was to examine the safety and efficacy of frequent applications of
chlorhexidine chip (CHX) and flurbiprofen chip (FBP) in patients with chronic
periodontitis. METHODS: Sixty patients were randomized into CHX and FBP groups.
Following OHI and scaling and root planing (SRP), baseline pocket depth (PD)
measurements, gingival recession and bleeding on probing (BOP) were performed and
repeated at week 4 and 8. The assigned chip was placed at weeks 0, 1, 2, 3, 5, 7.
RESULTS: Mean PD reduction in the CHX group was 2.08 mm (7.17 to 5.09, p <
0.0001). Mean PD reduction in the FBP group was 2.27 mm (6.72 to 4.45, p <
0.0001). Ninety-seven percentage and 95% of these sites exhibited PD reduction
>=1 mm, while 38% and 34% of the sites exhibited PD >=3 mm (FBP and CHX,
respectively). Clinical attachment level gain (1.66 and 1.95 mm, respectively)
was statistically significant (p < 0.0001). Baseline BOP dropped from 98% and
100% to 24% and 30% for the CHX and FBP groups, respectively (p < 0.0001).
CONCLUSION: Frequent applications of CHX and FBP chips resulted in a significant
improvement in the periodontal condition in these sites. Furthermore studies will
be required to compare this new treatment regimen to SRP or SRP with single chip
application.
PMID- 22092476
TI - A phase IIa randomized controlled clinical and histological pilot study
evaluating rhGDF-5/beta-TCP for periodontal regeneration.
AB - AIM: The primary objective of this study was to clinically and histologically
evaluate periodontal wound healing/regeneration following surgical implantation
of recombinant human growth/differentiation factor-5 (rhGDF-5) adsorbed onto a
particulate beta-tricalcium phosphate (beta-TCP) carrier rhGDF-5/beta-TCP into
periodontal defects in man. MATERIAL & METHODS: Twenty chronic periodontitis
patients, each with at least one tooth scheduled for extraction exhibiting a
probing depth >=6 mm and an associated intra-bony defect >=4 mm participated in
the study upon written informed consent. Subjects (one defect/patient) were
randomized to receive open flap debridement (OFD) + rhGDF-5/beta-TCP (n = 10) or
OFD alone (control; n = 10). Block biopsies of the defect sites were collected at
6 months post-surgery and prepared for the histological evaluation. Two masked
examiners evaluated the deepest aspect of each defect site relative to bone
(height/area), periodontal ligament (PDL) and cementum regeneration, and residual
beta-TCP. RESULTS: Sites receiving rhGDF-5/beta-TCP showed numerically greater PD
reduction (3.7 +/- 1.2 versus 3.1 +/- 1.8 mm; p = 0.26), less gingival recession
(0.5 +/- 0.8 versus 1.4 +/- 1.0 mm; p < 0.05) and greater clinical attachment
level (CAL) gain (3.2 +/- 1.7 versus 1.7 +/- 2.2 mm; p = 0.14) at the deepest
aspect of the defect compared with OFD alone. One biopsy in the rhGDF-5/beta-TCP
and four biopsies in the OFD group were deemed as not evaluable. Histologically,
bone regeneration height was almost threefold greater for the rhGDF-5/beta-TCP
treatment compared with OFD alone (2.19 +/- 1.59 versus 0.81 +/- 1.02 mm; p =
0.08). Similarly an almost twofold increase was observed for PDL (2.16 +/- 1.43
versus 1.23 +/- 1.07 mm; p = 0.26), cementum (2.16 +/- 1.43 versus 1.23 +/- 1.07
mm; p = 0.26) and bone regeneration area (0.74 +/- 0.69 versus 0.32 +/- 0.47
mm(2) ; p = 0.14). Root resorption/ankylosis was not observed. Residual beta-TCP
occupied 8.4 +/- 11.5% of the area of interest in biopsies of patients receiving
rhGDF-5/beta-TCP. Five biopsies (one rhGDF-5/beta-TCP, four OFD) were deemed
unsuitable to allow a meaningful histological or histometrical evaluation.
CONCLUSIONS: Descriptive statistics showed greater PD reduction and CAL gain, and
greater alveolar bone regeneration and periodontal regeneration at sites that
received rhGDF-5/beta-TCP compared to control. However, these differences were
not statistically significant. Future studies with larger sample sizes will have
to be conducted to verify these findings.
PMID- 22092477
TI - Coronally advanced flap adjunct with low intensity laser therapy: a randomized
controlled clinical pilot study.
AB - AIM: Coronally advanced flap (CAF) technique and its modifications have been
proposed in the literature. Low intensity laser therapy (LILT) is shown to
increase wound healing. The aim of this split-mouth randomized controlled pilot
study was to assess the effects of LILT with respect to root coverage after CAF
procedure for the treatment of multiple-recession type defects (MRTD). MATERIAL
AND METHODS: Ten patients with symmetrical 74 Miller I and II gingival recessions
were included in this study (37 in test, 37 in control group). A diode laser (588
nm) was applied to test sites before and immediately after surgery, and for 5
min. daily 7 days post-operatively. Comparisons of the surgical sites were made
with clinical measurements. RESULTS: Statistically significant differences were
observed between test and control sites in the gingival recession depth (GRD),
gingival recession width (GRW) and width of the keratinized tissue (WKT) and
clinical attachment level (CAL) measurements after 1 year (p = 0.014, p = 0.015,
p = 0.009 and p = 0.018 respectively). The test group presented greater complete
root coverage (n = 7, 70%) compared with the control group (n = 3, 30%) after
treatment. CONCLUSION: Within the limitations of this study, the results
indicated that LILT may improve the predictability of CAF in multiple recessions.
PMID- 22092478
TI - Soft tissue volume augmentation by the use of collagen-based matrices in the dog
mandible -- a histological analysis.
AB - OBJECTIVES: The aim was to test, whether or not soft tissue volume augmentation
with a specifically designed collagen matrix (CM), leads to ridge width gain in
chronic ridge defects similar to those obtained by an autogenous subepithelial
connective tissue graft (SCTG). MATERIAL AND METHODS: In six dogs, soft tissue
volume augmentation was performed by randomly allocating three treatment
modalities to chronic ridge defects [CM, SCTG and sham-operated control
(Control)]. Dogs were sacrificed at 28 (n = 3) and 84 days (n = 3). Descriptive
histology and histomorphometric measurements were performed on non-decalcified
sections. RESULTS: SCTG and CM demonstrated favourable tissue integration, and
subsequent re-modelling over 84 days. The overall mean amount of newly formed
soft tissue (NMT) plus bone (NB) amounted to 3.8 +/- 1.2 mm (Control), 6.4 +/-
0.9 mm (CM) and 7.2 +/- 1.2 mm (SCTG) at 28 days. At 84 days, the mean NMT plus
NB reached 2.4 +/- 0.9 mm (Control), 5.6 +/- 1.5 mm (CM) and 6.0 +/- 2.1 mm
(SCTG). Statistically significant differences were observed between CM/SCTG and
Control at both time-points (p < 0.05). CONCLUSION: Within the limits of this
animal model, the CM performed similar to the SCTG, based on histomorphometric
outcomes combining NB and NMT.
PMID- 22092479
TI - Cyclic loading of tendon fascicles using a novel fatigue loading system increases
interleukin-6 expression by tenocytes.
AB - Repetitive strain or 'overuse' is thought to be a major factor contributing to
the development of tendinopathy. The aims of our study were to develop a novel
cyclic loading system, and use it to investigate the effect of defined loading
conditions on the mechanical properties and gene expression of isolated tendon
fascicles. Tendon fascicles were dissected from bovine-foot extensors and
subjected to cyclic tensile strain (1 Hz) at 30% or 60% of the strain at failure,
for 0 h (control), 15 min, 30 min, 1 h, or 5 h. Post loading, a quasi-static test
to failure assessed damage. Gene expression at a selected loading regime (1 h at
30% failure strain) was analyzed 6 h post loading by quantitative real-time
polymerase chain reaction. Compared with unloaded controls, loading at 30%
failure strain took 5 h to lead to a significant decrease in failure stress,
whereas loading to 60% led to a significant reduction after 15 min. Loading for 1
h at 30% failure strain did not create significant structural damage, but
increased Collagen-1-alpha-chain-1 and interleukin-6 (IL6) expression, suggesting
a role of IL6 in tendon adaptation to exercise. Correlating failure properties
with fatigue damage provides a method by which changes in gene expression can be
associated with different degrees of fatigue damage.
PMID- 22092480
TI - Evaluation of peri-implant bone resorption around Straumann Bone Level implants
placed in areas reconstructed with autogenous vertical onlay bone grafts.
AB - AIM OF THE STUDY: To evaluate the survival and success rate of Straumann Bone
Level implants placed in vertically atrophied edentulous jaws previously
reconstructed with autogenous onlay bone grafts taken from the calvarium or the
mandibular ramus. MATERIALS AND METHODS: From 2007 to 2009, 18 patients
presenting with vertical deficits of the edentulous ridges were treated with
autogenous cortical bone grafts harvested from the mandibular ramus or the
calvarium. Four to seven months afterward, 60 Straumann Bone Level implants were
placed in the reconstructed areas. After a further waiting period of 2-3 months,
patients were rehabilitated with implant-supported fixed prostheses. Follow-up
ranged from 12 to 36 months (mean: 19 months) after the start of prosthetic
loading. Graft resorption before implant placement, as well as survival and
success rates of implants, were recorded. RESULTS: The mean bone resorption prior
to implant placement was 0.18 mm for calvarial grafts and 0.42 mm for ramus
grafts. Survival rate was 100% either for implants placed in calvarial grafts or
implants placed in ramus grafts, while success rate was 90.3% for implants placed
in calvarial grafts, and 93.1% for implants placed in ramus grafts. CONCLUSION:
Results from this study seem to demonstrate that implants with a platform
switching design may predictably integrate in edentulous areas reconstructed with
autogenous bone grafts, with survival rates consistent with those reported in
recent literature reviews on the same topic, and also with implants placed in
native bone. Conversely, this study was not able to demonstrate that implants
with platform-switching design may reduce bone resorption around implants placed
in reconstructed areas.
PMID- 22092481
TI - Effects of bait age, larval chemical cues and nutrient depletion on colonization
by forensically important Calliphorid and Sarcophagid flies.
AB - Species colonization patterns on corpses and the frequency of carrion fly
oviposition and larviposition are affected by decomposition stage and previous
maggot colonization. This study investigated these effects on meat bait
colonization by Victorian Diptera of forensic importance. Bait treatments were:
'aged' (aged for 4 days at 22 degrees C, allowing some decomposition); 'nutrient
depleted' [aged for 4 days at 22 degrees C with feeding Calliphora vicina
(Robineau-Desvoidy) (Diptera: Calliphoridae) larvae]; 'extract' (fresh bait mixed
with liquid formed by feeding C. vicina larvae), and 'fresh' (untreated control
bait). Statistical analysis (alpha = 0.05) revealed that colonization frequency
differed significantly among treatments (Welch's F(3,18.83) = 4.66, P < 0.05).
Post hoc tests showed that fresh and extract baits were colonized extensively
throughout the experiment with no significant difference, whereas the
colonization of nutrient-depleted baits was significantly lower. This suggests
that larval digestive enzymes, larval excreta and cuticular hydrocarbons have
less effect on colonizing Diptera than the nutritional content of meat. The
colonization of aged baits did not differ significantly from that of fresh,
extract or nutrient-depleted baits. A further experiment testing 'very aged'
(aged for 8 days at 28 degrees C), 'larvae-added' (fresh bait with C. vicina
larvae added before placement) and 'fresh' (untreated control) baits revealed
that very aged baits were colonized significantly less frequently than either
fresh or larvae-added baits (Welch's F(2, 6.17) = 17.40, P < 0.05).
PMID- 22092482
TI - Public awareness and attitudes towards cutaneous leishmaniasis in an endemic
region in Saudi Arabia.
AB - BACKGROUND AND AIMS: One of the priorities in public health policy for the
control of Cutaneous Leishmaniasis (CL) is to investigate associations between
disease distribution, socio-demographical and environmental risk factors, so that
rational prevention and control strategies can be developed. Assessment of
baseline awareness of the disease amongst the endemic population would be one of
the first steps in this direction. This study aims to provide qualitative
information on lay perceptions of CL in an endemic area in Saudi Arabia. We also
attempted to correlate these perceptions with associated socio-demographical
backgrounds. METHODS: This was a cross-sectional descriptive survey carried out
in Al-Hassa, located in the Eastern Province of Saudi Arabia. The study included
1824 participants, age ranging from 15 to 63 years (mean 35.86+/-9.54 years).
RESULTS: Over 76% of the studied population recognized the infectious nature of
CL. There was also good awareness regarding the clinical features of CL, but the
awareness regarding the vector, transmission, risk factors and preventive methods
were very poor. Our study demonstrated a significantly higher knowledge score
correlated with regard to male gender, higher family income, age and a previous
history of CL. CONCLUSION: In our study we found low awareness for important
epidemiological aspects like transmission of the disease, risk factors and
prevention. Our study provides a baseline to understand and correct deficits in
the perceptions and knowledge regarding CL in Saudi Arabia and would provide a
template to design interventions.
PMID- 22092483
TI - Antibiotics and asthma medication in a large register-based cohort study -
confounding, cause and effect.
AB - BACKGROUND: An association between asthma and antibiotic usage has been
demonstrated, and the issue of reverse causation and confounding by indication is
much debated. OBJECTIVE: Our aim was to study the association between different
classes of antibiotics and prescription of asthma medication in a register-based
cohort of all Swedish children, born between July 2005 and June 2009, ever
treated with antibiotics. METHODS: Data on dispensed prescriptions of antibiotics
(ATC-codes J01) and asthma medication (ATC-codes R03A-D) were requested from the
Prescribed Drug Register. The association between dispensed prescriptions of
different classes of antibiotics and asthma medication was analysed with Cox
regression and a descriptive sequence symmetry analysis. RESULTS: In total, 211
192 children had received prescriptions of antibiotics. There was a strong
association between prescription of antibiotics and prescription of asthma
medication. The hazard ratios (HRs) for asthma medication associated with
prescription of amoxicillin, penicillin, cephalosporin and macrolides (Gram
positive infections) were stronger than HRs associated with prescription of
sulphonamides, trimethoprim and quinolones (urinary tract infections) and
flucloxacillin (skin and soft tissue infections), e.g. first year HR = 2.27 (95%
confidence intervals 2.17-2.37) as compared with HR = 1.04 (0.78-1.40). The HR
associated with broad spectrum antibiotics was significantly higher than the
narrow spectrum. CONCLUSIONS AND CLINICAL RELEVANCE: Our data suggest that the
association between antibiotics and asthma is subject to either reverse causation
or confounding by indication due to respiratory tract infections. This implies
that careful consideration is required as to whether or not symptoms from the
respiratory tract in early childhood should be treated with antibiotics or asthma
medication.
PMID- 22092484
TI - Effect of vitamin supplementation on lung injury and running performance in a
hot, humid, and ozone-polluted environment.
AB - In this study, the effect of vitamin C and E supplementation on lung injury and
performance of runners were analyzed. Using a randomized, double-blinded,
crossover design, nine runners participated in two experimental trials: a 2-week
Vitamin trial (vitamin C = 500 mg/day + vitamin E = 100 IU/day) and a 2-week
Placebo trial. At the end of each supplementation period the runners performed an
8-km time-trial run in a hot (31 degrees C), humid (70% rh), and ozone-polluted
(0.10 ppm O(3)) environmental chamber. Nasal lavage and blood samples were
collected pre-, post-, and 6-h post-exercise to assess antioxidant status and
CC16 as lung injury marker. Higher plasma (pre- and post-exercise) and nasal
lavage (post-exercise) antioxidant concentration were found for the Vitamin
trial. Nevertheless, this did not result in performance differences (Vitamin
trial: 31:05 min; Placebo trial: 31:54 min; P = 0.075) even though significant
positive correlations were found between antioxidant concentration and
improvement in time to complete the run. CC16 was higher post-exercise in the
Placebo trial (P < 0.01) in both plasma and nasal lavage. These findings suggest
that antioxidant supplementation might help to decrease the lung injury response
of runners when exercising in adverse conditions, but has little effect on
performance.
PMID- 22092485
TI - Clinical, histologic and histomorphometric evaluation of socket preservation
using a synthetic nanocrystalline hydroxyapatite in comparison with a bovine
xenograft: a randomized clinical trial.
AB - OBJECTIVES: The aim of this study was to compare a nanocrystalline hydroxyapatite
(NCHA), NanoBone((r)) and a deproteinized bovine bone mineral (DBBM), Bio
Oss((r)) with a collagen membrane on the horizontal ridge width alterations
following tooth extraction, in addition to histologic aspects of the grafted
extraction sockets. MATERIAL AND METHODS: In this randomized clinical trial, 28
symmetrical, non-molar, extraction sockets using a split-mouth design in 12
patients (eight women and four men; aged 21-60; mean 44.6 +/- 11.4 years), were
randomly selected in the first group to be grafted with DBBM granules covered
with a collagen membrane and in the other group grafted with NCHA covered with a
collagen membrane. Following extraction horizontal ridge width was measured using
caliper and was blindly compared to the dimensions measured prior to implant
placement, at the 6- to 8-month follow-up. Subsequently, a 2 * 6 mm trephine core
was obtained with aid of acrylic stent and routine histologic preparation was
performed on the specimens. RESULTS: The width of the DBBM group decreased from
7.75 +/- 1.55 to 6.68 +/- 1.85 mm (P < 0.05), whereas the width of the NCHA group
decreased from 7.36 +/- 1.94 to 6.43 +/- 2.08 mm (P < 0.05). The mean between
group difference did not reach statistical significance (P = 0.62). Furthermore,
histologic and histomorphometric analyses revealed 28.63 +/- 12.53% vital bone in
NCHA group vs. 27.35 +/- 12.39% in DBBM group, and no statistically significant
difference between the groups (P = 0.68). CONCLUSION: Socket preservation using
either NCHA or DBBM in combination with collagen membrane, results in similar,
limited horizontal ridge width alterations following tooth extraction.
PMID- 22092486
TI - A 2D MEMS mirror with sidewall electrodes applied for confocal MACROscope
imaging.
AB - This paper presents microelectromechanical system micromirrors with sidewall
electrodes applied for use as a Confocal MACROscope for biomedical imaging. The
MACROscope is a fluorescence and brightfield confocal laser scanning microscope
with a very large field of view. In this paper, a microelectromechanical system
mirror with sidewall electrodes replaces the galvo-scanner and XYZ-stage to
improve the confocal MACROscope design and obtain an image. Two micromirror-based
optical configurations are developed and tested to optimize the optical design
through scanning angle, field of view and numerical aperture improvement.
Meanwhile, the scanning frequency and control waveform of the micromirror are
tested. Analysing the scan frequency and waveform becomes a key factor to
optimize the micromirror-based confocal MACROscope. When the micromirror is
integrated into the MACROscope and works at 40 Hz, the micromirror with open-loop
control possesses good repeatability, so that the synchronization among the
scanner, XYZ-stage and image acquisition can be realized. A laser scanning
microscope system based on the micromirror with 2 MUm width torsion bars was
built and a 2D image was obtained as well. This work forms the experimental basis
for building a practical confocal MACROscope.
PMID- 22092487
TI - A genetic discontinuity in root-nodulating bacteria of cultivated pea in the
Indian trans-Himalayas.
AB - Evolutionary relationships of 120 root-nodulating bacteria isolated from the
nodules of Pisum sativum cultivated at 22 different locations of the trans
Himalayan valleys of Lahaul and Spiti in the state of Himachal Pradesh of India
were studied using 16S rRNA gene PCR-RFLP, ERIC-PCR, sequencing of 16S rRNA,
atpD, recA, nodC and nifH genes, carbon-source utilization pattern (BIOLOGTM),
and whole-cell fatty acid profiling. The results demonstrated that all isolates
belonged to Rhizobium leguminosarum symbiovar viciae (Rlv). Isolates from the two
valleys were clearly separated on the basis of ERIC fingerprints, carbon-source
utilization pattern, and whole-cell fatty acid methyl esters. Phylogenetic
analysis of atpD, recA, nodC and nifH genes revealed a common Rlv sublineage in
Spiti valley. Lahaul valley isolates were represented by three sequence types of
atpD and recA genes, and four sequence types of nodC and nifH genes. Genotypes
from the two valleys were completely distinct, except for two Lahaul isolates
that shared nodC and nifH sequences with Spiti isolates but were otherwise more
similar to other Lahaul isolates. Isolates from the two highest Spiti valley
sites (above 4000 m) had a distinctive whole-cell fatty acid profile. Spiti
valley isolates are closely related to Rlv sublineages from Xinjiang and Shanxi
provinces in China, while Lahaul valley isolates resemble cosmopolitan strains of
the western world. The high mountain pass between these valleys represents a
boundary between two distinct microbial populations.
PMID- 22092488
TI - Young women's experiences living with polycystic ovary syndrome.
AB - OBJECTIVE: To explore the psychosocial effects of living with polycystic ovary
syndrome (PCOS) through the experiences of young women diagnosed with this
endocrine disorder. DESIGN: A qualitative, phenomenological methodology was used.
Data were obtained through personal, semistructured interviews. SETTING: College
campuses in New England. PARTICIPANTS: A purposive sample of 12 young women with
PCOS age 18 to 23 years. RESULTS: The following themes emerged from analysis of
participants' responses: concerns for older self, feeling physically inferior,
coping with symptoms, patient-provider relationship, seeking useable information
and support, and coming to terms with a chronic condition. CONCLUSIONS:
Participants in this study faced numerous physical, social, and emotional
challenges on a daily basis. As these young women gathered information relevant
to their needs, sought and received social support, and maintained their daily
routines to improve their health and appearance, they came to terms with this
chronic condition. Based on participants' relayed experiences, it became evident
that a need exists for health care practitioners to include psychosocial support
in a comprehensive holistic plan for the treatment of PCOS in adolescents and
young women in their early twenties.
PMID- 22092489
TI - What drives the distribution of the bloom-forming cyanobacteria Planktothrix
agardhii and Cylindrospermopsis raciborskii?
AB - The cyanobacteria Planktothrix agardhii and Cylindrospermopsis raciborskii are
bloom-forming species common in eutrophic freshwaters. These filamentous species
share certain physiological traits which imply that they might flourish under
similar environmental conditions. We compared the distribution of the two species
in a large database (940 samples) covering different climatic regions and the
Northern and Southern hemispheres, and carried out laboratory experiments to
compare their morphological and physiological responses. The environmental ranges
of the two species overlapped with respect to temperature, light and total
phosphorus (TP); however, they responded differently to environmental gradients;
C. raciborskii biovolume changed gradually while P. agardhii shifted sharply from
being highly dominated to a rare component of the phytoplankton. As expected, P.
agardhii dominates the phytoplankton with high TP and low light availability
conditions. Contrary to predictions, C. raciborskii succeeded in all climates and
at temperatures as low as 11 degrees C. Cylindrospermopsis raciborskii had
higher phenotypic plasticity than P. agardhii in terms of pigments, individual
size and growth rates. We conclude that the phenotypic plasticity of C.
raciborskii could explain its ongoing expansion to temperate latitudes and
suggest its future predominance under predicted climate-change scenarios.
PMID- 22092490
TI - An assay for exogenous sources of purified MurG, enabled by the complementation
of Escherichia coli murG(Ts) by the Mycobacterium tuberculosis homologue.
AB - The Mycobacterium tuberculosis murG gene, Rv2153, was expressed in Escherichia
coli murG(Ts) strain OV58 on a plasmid under the control of the arabinose
inducible araBAD promoter. Mycobacterium tuberculosis murG rescued the growth of
E. coli murG(Ts) at the nonpermissive temperature: transformants were only
obtained in the presence of 0.2% arabinose at 42 degrees C, and their growth
rate was dependent on arabinose concentrations. However, no MurG activity was
detected in membranes from the transformant grown in arabinose at 42 degrees C,
while MraY activity was normal. This observation led to the development of a
membrane-based scintillation proximity assay for exogenous sources of MurG.
Addition of purified E. coli MurG resulted in the reconstitution of MurG and
peptidoglycan synthesis in these membranes. MurG is an attractive target for drug
discovery, but assays to measure the activity of purified MurG are challenging.
This presents an easy method to measure the activity of exogenous sources of MurG
for structure-activity studies of mutant MurG proteins. It can also be used to
compare the activity of, or effect of inhibitors on, MurG from other bacterial
species.
PMID- 22092491
TI - Influence of implants with different sizes and configurations installed
immediately into extraction sockets on peri-implant hard and soft tissues: an
experimental study in dogs.
AB - AIM: To study the influence on the healing of soft and hard peri-implant tissues
when implants of different sizes and configurations were installed into sockets
immediately after tooth extraction. MATERIAL AND METHODS: Transmucosal
cylindrical implants, 3.3 mm in diameter in the control sites, and conical 5 mm
in diameter in the test sites, were installed into the distal socket of the
fourth mandibular premolars in dogs immediately after tooth extraction. After 4
months, the hard and soft tissue healing was evaluated histologically. RESULTS:
All implants were integrated in mineralized mature bone. Both at the test and
control sites, the alveolar crest underwent resorption. The buccal bony surface
at the implant test sites (conical; 3.8 mm) was more resorbed compared with the
control sites (cylindrical; 1.6 mm). The soft tissue dimensions were similar in
both groups. However, in relation to the implant shoulder, the peri-implant
mucosa was located more apically at the test compared with the control sites.
CONCLUSION: The present study confirmed that the distance between the implant
surface and the outer contour of the buccal alveolar bony crest influenced the
degree of resorption of the buccal bone plate. Consequently, in relation to the
implant shoulder, the peri-implant mucosa will be established at a more apical
level, if the distance between the implant surface and the outer contour of the
alveolar crest is small.
PMID- 22092492
TI - Effects of a physical education program on physical activity, fitness, and health
in children: the JuvenTUM project.
AB - The purpose of the study was to investigate the effects of a school-based
prevention program on physical activity, fitness, and obesity. We performed a
prospective study in eight Bavarian primary schools (n = 724 children, 8.4 +/-
0.7 years) randomized one to one to either an intervention school (IS, n = 427)
or a control school (CS, n = 297). Children in IS attended 10 health-related
lessons at school over a period of 1 year. Parents and teachers attended two and
three educational health-related lessons, respectively, and also received 10
newsletters on health issues. Daily physical activity (>= 60 min/day), physical
fitness (six-item test battery), and anthropometric data were obtained at
baseline and after 1 year. Physical activity and physical fitness increased in
IS, but it failed to reach significant intervention effects. Nevertheless, a
reduction in waist circumference was observed for all children [mean change 1.7
cm; 95% confidence interval (CI) 1.2-2.3; P < 0.001). This effect was more
pronounced in overweight children (> 90th percentile, n = 99, mean change 3.2 cm;
95% CI 1.5-4.8; P < 0.001). This easily administered preventative program
involving children, parents, and teachers revealed that a generalized approach
increasing physical activity will even be favorable in a subgroup of obese
children.
PMID- 22092494
TI - Prevalence of clinical and subclinical middle ear disease in cats undergoing
computed tomographic scans of the head.
AB - Three hundred and ten cats that had CT imaging of the head between January 2000
and December 2007 were evaluated retrospectively. Data that were recorded
included signalment, presenting complaint, clinical signs, presence of upper
respiratory tract disease, and CT findings. One hundred and one cats had evidence
of middle ear disease on CT. Thirty-four of the 101 cats (34%) did not have a
primary complaint of ear-related disease, clinical signs or physical findings
consistent with ear disease, suggesting that the middle ear disease was
subclinical. Twenty-seven of the 34 cats (79%) had concurrent nasal disease.
Middle ear lesions were chronic in appearance. With the exception of tympanic
bulla lysis, CT findings were similar in cats presenting with primary aural
disease versus cats with presumptive subclinical middle ear disease. The majority
of the cats did not return for treatment of the identified middle ear
abnormalities. Subclinical middle ear disease is relatively frequent in cats
undergoing CT imaging of the head. Few cats required subsequent treatment for ear
disease although follow up was limited. Identification of subclinical middle ear
abnormalities on CT should prompt acquisition of a detailed patient history and
bilateral otoscopic examination.
PMID- 22092493
TI - Swarming motility and the control of master regulators of flagellar biosynthesis.
AB - Swarming motility is the movement of bacteria over a solid surface powered by
rotating flagella. The expression of flagellar biosynthesis genes is governed by
species-specific master regulator transcription factors. Mutations that reduce or
enhance master regulator activity have a commensurate effect on swarming
motility. Here we review what is known about the proteins that modulate swarming
motility and appear to act upstream of the master flagellar regulators in diverse
swarming bacteria. We hypothesize that environmental control of the master
regulators is important to the swarming phenotype perhaps at the level of
controlling flagellar number.
PMID- 22092495
TI - Microbial community structure in methane hydrate-bearing sediments of freshwater
Lake Baikal.
AB - Gas hydrates in marine sediments have been known for many years but recently
hydrates were found in the sediments of Lake Baikal, the largest freshwater basin
in the world. Marine gas hydrates are associated with complex microbial
communities involved in methanogenesis, methane oxidation, sulfate reduction and
other biotransformations. However, the contribution of microorganisms to the
formation of gas hydrates remains poorly understood. We examined the microbial
communities in the hydrate-bearing sediments and water column of Lake Baikal
using pyrosequencing of 16S rRNA genes. Aerobic methanotrophic bacteria dominated
the water sample collected at the lake floor in the hydrate-bearing site. The
shallow sediments were dominated by Archaea. Methanogens of the orders
Methanomicrobiales and Methanosarcinales were abundant, whereas representatives
of archaeal lineages known to perform anaerobic oxidation of methane, as well as
sulfate-reducing bacteria, were not found. Affiliation of archaea to methanogenic
rather than methane-oxidizing lineages was supported by analysis of the sequences
of the methyl coenzyme M reductase gene. The deeper sediments located at 85-90 cm
depth close to the hydrate were dominated by Bacteria, mostly assigned to
Chloroflexi, candidate division JS1 and Caldiserica. Overall, our results are
consistent with the biological origin of methane hydrates in Lake Baikal.
PMID- 22092496
TI - Immunoglobulin E-binding autoantigens: biochemical characterization and clinical
relevance.
AB - Although immediate-Type I skin reactions to human dander have been described six
decades ago, only the recent application of molecular biology to allergology
research allowed fast and detailed characterization of IgE-binding autoantigens.
These can be functionally subdivided into three classes: (1) self-antigens with
sequence homology to environmental allergens belonging to the class of
phylogenetically conserved proteins, (2) self-antigens without sequence homology
to known environmental allergens, and (3) chemically modified self-antigens
deriving from workplace exposure. As environmental allergens, also IgE-binding
autoantigens belong to different protein families without common structural
features that would explain their IgE-binding capability. Many of the self
antigens showing sequence homology to environmental allergens, are
phylogenetically conserved proteins like manganese dependent superoxide
dismutase, thioredoxin or cyclopilin. Their IgE-binding capability can be
explained by molecular mimicry resulting from shared B-cell epitopes. A common
factor of IgE-binding self-antigens without sequence homology to known
environmental allergens is that they elicit IgE responses only in individuals
suffering from long-lasting atopic diseases. In contrast, IgE-mediated reactions
to modified self-antigens might be explained with the generation of novel B-cell
epitopes. Chemically modified self-antigens are likely to be recognized as non
self by the immune system. The clinical relevance of IgE responses to self
antigens remains largely unclear. Well documented is their ability to induce
immediate Type I skin reactions in vivo, and to induce mediator release from
effector cells of sensitized individuals in vitro. Based on these observations it
is reasonable to assume that IgE-mediated cross-linking of FcRIepsilon receptors
on effector cells can elicit the same symptoms as those induced by environmental
allergens, and this could explain exacerbations of chronic allergic diseases in
the absence of external exposure. However, because most of the described IgE
binding self-antigens are intracellular proteins normally not accessible for
antigen-antibody interactions, local release of the antigens is required to
explain the induction of symptoms.
PMID- 22092497
TI - An integrated methodology for process improvement and delivery system
visualization at a multidisciplinary cancer center.
AB - Multidisciplinary cancer centers require an integrated, collaborative, and stream
lined workflow in order to provide high quality of patient care. Due to the
complex nature of cancer care and continuing changes to treatment techniques and
technologies, it is a constant struggle for centers to obtain a systemic and
holistic view of treatment workflow for improving the delivery systems. Project
management techniques, Responsibility matrix and a swim-lane activity diagram
representing sequence of activities can be combined for data collection,
presentation, and evaluation of the patient care. This paper presents this
integrated methodology using multidisciplinary meetings and walking the route
approach for data collection, integrated responsibility matrix and swim-lane
activity diagram with activity time for data representation and 5-why and gap
analysis approach for data analysis. This enables collection of right detail of
information in a shorter time frame by identifying process flaws and deficiencies
while being independent of the nature of the patient's disease or treatment
techniques. A case study of a multidisciplinary regional cancer centre is used to
illustrate effectiveness of the proposed methodology and demonstrates that the
methodology is simple to understand, allowing for minimal training of staff and
rapid implementation.
PMID- 22092498
TI - The ureteroscope as a safety wire for ureteronephroscopy.
AB - BACKGROUND AND PURPOSE: The recent technologic advances in the newer generation
of flexible ureteroscopes have significantly enhanced the therapeutic and
diagnostic efficacy of ureteroscopy. The purpose of our study was to assess
ureteroscopy and lithotripsy of renal calculi without a safety wire, using the
ureteroscope as the safety device. PATIENTS AND METHODS: Medical records for
patients undergoing ureteroscopy by a single surgeon were retrospectively
reviewed from December 2006 to December 2009. Inclusion criteria for our study
included all adult patients who underwent wireless flexible ureteroscopy for the
management of renal calculi and had 1 month follow-up data. RESULTS: Of the 568
patients who underwent ureteroscopy during this period, 268 patients met our
study inclusion criteria. The mean age of the patients undergoing wireless
ureteroscopy was 33 years, and the mean body mass index was 33.1 kg/m(2). Mean
stone diameter of the renal calculi treated was 12.0+/-5.9 mm. Fifteen percent of
the patients had a ureteral stent in place before the procedure, and 84% of the
patients had a stent placed after ureteroscopy. Twenty percent of the patients
needed ureteral dilation, and 15% of the patients had a ureteral access sheath
placed intraoperatively. The overall complication rate was 2.6% (major=0.7%,
minor=1.9%). Complications included: Four urinary tract infections, two patients
with urosepsis, and one patient with urinary retention. No patients had ureteral
perforation or ureteral avulsion. CONCLUSIONS: Using the ureteroscope as the
safety mechanism, ureteroscopy is safe with regard to maintaining renal access
and control. Routine safety wires during ureteronephroscopy are not necessary
assuring the ureteroscope is in the kidney.
PMID- 22092499
TI - Stress resiliency, psychological empowerment and conflict management styles among
baccalaureate nursing students.
AB - AIMS: This article is a report of a Neuman Systems Model-guided correlational
study of the relations of stress resiliency, psychological empowerment, selected
demographic characteristics (age, ethnicity, semester in school) and conflict
management styles. BACKGROUND: Emerging evidence suggests that stress resiliency
and psychological empowerment can strengthen student nurses in academic
achievement and coping with stress. Little is known about conflict management
styles of students and the relationship to empowerment, resiliency and the
implications for managing workplace conflict. METHODS: A correlational study was
conducted in Spring 2010 with 166 baccalaureate students. Most participants were
female, single, Hispanic and 25 years old. The data collection instruments
included the Stress Resiliency Profile, the Psychological Empowerment Instrument,
the Conflict Mode Instrument and a demographic inventory. Descriptive and
inferential correlational statistics were used to analyse the data. RESULTS:
Students scored in the high range for focusing on their deficiencies in conflict
situations; they scored above the 60th percentile for avoiding and accommodating
behaviours and were less likely to use competing or collaborating strategies to
manage conflict. Empowerment scores were significantly correlated with stress
resiliency scores. Students with high scores on empowerment had high scores on
the skill recognition subscale of the Stress Resiliency Profile suggesting more
resilience; high scores on empowerment were related to high necessitating
subscale scores of the Stress Resiliency Profile suggesting a predisposition to
stress. CONCLUSIONS: Neuman Systems Model may provide guidance for educators to
strengthen student nurses' management of stressors in the workplace.
PMID- 22092500
TI - Three-phase general border detection method for dermoscopy images using non
uniform illumination correction.
AB - BACKGROUND: Computer-aided diagnosis of dermoscopy images has shown great promise
in developing a quantitative, objective way of classifying skin lesions. An
important step in the classification process is lesion segmentation. Many studies
have been successful in segmenting melanocytic skin lesions (MSLs), but few have
focused on non-melanocytic skin lesions (NoMSLs), as the wide variety of lesions
makes accurate segmentation difficult. METHODS: We developed an automatic
segmentation program for detecting borders of skin lesions in dermoscopy images.
The method consists of a pre-processing phase, general lesion segmentation phase,
including illumination correction, and bright region segmentation phase. RESULTS:
We tested our method on a set of 107 NoMSLs and a set of 319 MSLs. Our method
achieved precision/recall scores of 84.5% and 88.5% for NoMSLs, and 93.9% and
93.8% for MSLs, in comparison with manual extractions from four or five
dermatologists. CONCLUSION: The accuracy of our method was competitive or better
than five recently published methods. Our new method is the first method for
detecting borders of both non-melanocytic and melanocytic skin lesions.
PMID- 22092501
TI - Association of XRCC1, XRCC3, and NAT2 polymorphisms with the risk of oral
submucous fibrosis among eastern Indian population.
AB - BACKGROUND: Arecanut and smokeless tobacco usage is a major cause for oral
submucous fibrosis (OSF) and its subsequent development to oral squamous cell
carcinoma in South-east Asian population. Polymorphisms at N-acetyltransferase 2
locus, coding for an enzyme catalyzing acetylation of aromatic amines, might
cause DNA adduct formation because of improper acetylation of these polyaromatic
hydrocarbons. DNA repair enzymes remove these adduct to prevent malignancy.
METHODS: In this hospital-based study, 100 controls and 88 OSF patients were
genotyped at four polymorphic sites on NAT2 481 (C > T; silent), 590 (G > A;
Arg197 > Gln), 803 (A > G; Lys268 > Arg), 857 (G > A; Gly286 > Glu) and two on
XRCC1 18067 (C > T Arg 194 > Trp), 28152 (G > A Arg 399 > Gln), and one of XRCC3
26304 (C > T Thr 241 > Met) loci by PCR-RFLP to determine the risk of the
disease. RESULTS: Heterozygous XRCC3 codon 241 [OR 2.07 (1.05-4.06)], homozygous
variant of NAT C481T [OR 2.81 (1.09-7.21)], and both heterozygous and homozygous
variants of NAT codon 268 and 286 [OR 2.31 (1.20-4.45) and 4.98 (1.87-13.14), and
6.12 (2.75-13.62) and 2.65 (1.04-6.72)] individually influenced susceptibility to
OSF in the population. CONCLUSION: Gene-gene interaction analysis by multifactor
dimensionality reduction (MDR) revealed that XRCC3 Thr 241 Met had the largest
univariate effect followed by XRCC3 Thr 241 Met - NAT2 A857G in men that presents
a highly synergistic interaction as one of the potential combinations of single
nucleotide polymorphisms (SNPs) to increase the risk of OSF in men if exposed to
arecanut or smokeless tobacco usage. These observations can speculate the impact
of the studied SNPs on the etiology of OSF.
PMID- 22092502
TI - Ten-year longitudinal study of gingival recession in dentists.
AB - AIM: To assess the prevalence and progression/regression of gingival recession in
a population sample with a high standard of oral hygiene and broad knowledge of
the role of traumatic tooth brushing in the aetiology of gingival recession.
MATERIAL & METHODS: Forty dental students in their final year at Dental School
were examined for gingival recession in 1994 and 10 years later by the same
examiner. Tooth brushing habits were ascertained in a questionnaire. Clinical
parameters recorded for each recession were: recession height, probing depth,
width of keratinized gingiva and bleeding on probing. Full-mouth plaque index was
recorded using the modified Quigley & Hein index. RESULTS: The prevalence of
gingival recession was 85% and did not change after 10 years. A total of 210
recessions found at the initial examination and 299 at the second were valid for
longitudinal evaluation. Statistical differences between recessions at both
examinations were found in several clinical parameters. Subjects had a
significant increase in the plaque index at the second examination despite very
few changes in their oral hygiene habits (p < 0.0001). CONCLUSIONS: In dentists,
after 10 years, mean number of gingival recession per person and mean recession
height increased while plaque control decreased.
PMID- 22092503
TI - Moral enhancement via direct emotion modulation: a reply to John Harris.
AB - Some argue that humans should enhance their moral capacities by adopting
institutions that facilitate morally good motives and behaviour. I have defended
a parallel claim: that we could permissibly use biomedical technologies to
enhance our moral capacities, for example by attenuating certain counter-moral
emotions. John Harris has recently responded to my argument by raising three
concerns about the direct modulation of emotions as a means to moral enhancement.
He argues (1) that such means will be relatively ineffective in bringing about
moral improvements, (2) that direct modulation of emotions would invariably come
at an unacceptable cost to our freedom, and (3) that we might end up modulating
emotions in ways that actually lead to moral decline. In this article I outline
some counter-intuitive potential implications of Harris' claims. I then respond
individually to his three concerns, arguing that they license only the very weak
conclusion that moral enhancement via direct emotion modulation is sometimes
impermissible. However I acknowledge that his third concern might, with further
argument, be developed into a more troubling objection to such enhancements.
PMID- 22092505
TI - Diabetes mellitus: its differentiation into insulin-sensitive and insulin
insensitive types.
PMID- 22092504
TI - IL-13-induced MUC5AC production and goblet cell differentiation is steroid
resistant in human airway cells.
AB - BACKGROUND: Glucocorticosteroids (GCS) are used to treat bronchial asthma, but
are not uniformly effective, especially in severe asthma. IL-13 is a T helper
type 2 cytokine implicated in the pathogenesis of asthma, and IL-13 induces mucus
production and goblet cell hyperplasia in airway epithelial cells. The effect of
GCS on IL-13-induced mucin production is not well characterized. OBJECTIVE: The
aim of this study was to evaluate the effect of dexamethasone (Dex), a potent
synthetic GCS, on IL-13-induced MUC5AC mucin expression and goblet cell
proliferation in differentiated normal human bronchial epithelial cells (NHBECs).
METHODS: NHBECs were cultured for 14 days at an air-liquid interface with IL-13,
with or without Dex. MUC5AC protein secretion and mRNA expression was determined
using ELISA and quantitative real-time PCR. IL-8 production was assayed using
ELISA. Histochemical analysis was performed using H&E and periodic acid-Schiff
stain, and MUC5AC immunostaining. RESULTS: Although Dex dose dependently
inhibited IL-8 release induced by 5 ng/mL IL-13, Dex 0.001-1 MUg/mL had no effect
on IL-13 induced MUC5AC protein secretion or mRNA expression. Dex paradoxically
increased MUC5AC induced by IL-13 at 0.5 and 1 ng/mL, but had no effect alone or
with IL-13 at 0.1 ng/mL. Dex 0.001-1 MUg/mL did not inhibit the differentiation
of cells into goblet cells and MUC5AC-positive cells induced by IL-13. CONCLUSION
AND CLINICAL RELEVANCE: Dex at therapeutic concentrations did not inhibit the
effects of IL-13 on goblet cell differentiation, characteristic of severe asthma.
Paradoxically, MUC5AC production was increased with lower dose IL-13 exposure.
This may lead to airway mucus obstruction commonly seen in life-threatening
asthma.
PMID- 22092507
TI - Evidence for the possible involvement of Selenomonas ruminantium in rumen fiber
digestion.
AB - Selenomonas ruminantium strains were isolated from sheep rumen, and their
significance for fiber digestion was evaluated. Based on the phylogenetic
classification, two clades of S. ruminantium (clades I and II) were proposed.
Clade II is newly found, as it comprised only new isolates that were
phylogenetically distant from the type strain, while all of the known isolates
were grouped in the major clade I. More than half of clade I isolates displayed
CMCase activity with no relation to the degree of bacterial adherence to fibers.
Although none of the isolates digested fiber in monoculture, they stimulated
fiber digestion when co-cultured with Fibrobacter succinogenes, and there was an
enhancement of propionate production. The extent of such synergy depended on the
clade, with higher digestion observed by co-culture of clade I isolates with F.
succinogenes than by co-culture with clade II isolates. Quantitative PCR analysis
showed that bacterial abundance in the rumen was higher for clade I than for
clade II. These results suggest that S. ruminantium, in particular the major
clade I, is involved in rumen fiber digestion by cooperating with F.
succinogenes.
PMID- 22092508
TI - Residual periodontal pockets are a risk indicator for peri-implantitis in
patients treated for periodontitis.
AB - OBJECTIVES: The aim of this retrospective study was to compare the clinical
outcomes of implant treatment in periodontally compromised and periodontally
healthy patients (PHP), with a minimum follow-up period of 5 years. METHODS:
Thirty treated periodontally compromised patients (PCP) and 30 PHP, with a total
of 117 Straumann implants (PCP = 56, PHP = 61) were matched for age, gender,
smoking and implant characteristics. The PCP group was further stratified with
patients having at least one periodontal pocket >=6 mm at follow-up examination
allocated to a "residual periodontitis" (RP) group, while the remaining patients
were assigned to a "no residual periodontitis" (NRP) group. These groups were
compared with respect to probing pocket depth (PPD), bleeding on probing (BOP)
and marginal bone loss. RESULTS: The mean follow-up period in the PCP and PHP
groups was 7.99 years (range 5.04-14.40) and 8.20 years (range 5.00-13.46)
respectively. There was no difference in mean PPD between the PCP and PHP groups,
but the prevalence of implants with PPD >=5 mm + BOP was greater in the PCP group
than in the PHP group, at both implant- (27% vs. 13%) and patient- (37% vs. 17%)
level analyses. Mean implant PPD was significantly greater in the RP group (3.18
mm) than in both the NRP (2.67 mm) and PHP (2.81 mm) groups. Mean bone loss was
also significantly greater in the RP group (0.68 mm) than in the NRP (0.23 mm)
and PHP groups (0.26 mm). The prevalence of bone loss and PPD >=5 mm + BOP at the
implant level was significantly greater for the RP group compared with both the
NRP and PHP groups. CONCLUSIONS: Implants in PCP with residual pocketing at
follow-up had increased PPD and bone loss compared with implants placed in PHP
and PCP without residual pocketing. Hence, it is the maintenance of periodontal
health rather than a previous history of periodontitis that is the critical
determinant of increased risk of peri-implantitis, highlighting the importance of
effective periodontal therapy and maintenance in patients with a history of
periodontitis.
PMID- 22092509
TI - Impaired force control during food holding and biting in subjects with tooth- or
implant-supported fixed prostheses.
AB - AIM: Our goal here was to assess the ability of subjects with their natural teeth
(natural), bimaxillary tooth-supported bridges (bridge) and bimaxillary implant
supported bridges (implant) to control the low contact and high biting forces
associated with holding and splitting food between the teeth. MATERIALS AND
METHODS: Ten subjects in each of these groups performed a task involving holding
and splitting morsels of food with different degrees of hardness (biscuits and
peanuts) between a pair of opposing central incisors. RESULTS: The hold force
employed by the implant group was significantly higher and more variable than the
corresponding force exerted by the bridge group, whereas the natural group used
lowest and least variable force. For all three groups, the split force was higher
and the split phase duration longer with peanuts than for biscuits. In the case
of the natural group, a significantly higher rate of force increase (peak force
rate) was observed when splitting peanuts when compared with biscuits, whereas no
such difference could be seen for the other two groups. CONCLUSION: These
findings demonstrate that individuals with bimaxillary tooth- or implant
supported bridges (in whom sensory information provided by the periodontal
mechanoreceptors is impaired or missing) are unable to apply low-hold forces at
the levels of individuals with natural teeth or to adapt the rate of the split
force to the hardness of the food. We thus conclude that adequate sensory
information from periodontal mechanoreceptors is essential for normal control of
both low contact and high biting forces.
PMID- 22092510
TI - Foot pressure and center of pressure in athletes with ankle instability during
lateral shuffling and running gait.
AB - This study evaluates foot pressure and center of pressure (COP) patterns in
individuals with ankle instability during running and lateral shuffling. Eleven
participants with ankle instability (AI) and 11 normal subjects (Normal)
performed running and lateral shuffling tasks. The outcome measures were foot
progression angle, peak pressure, and displacement of COP during stance phase.
During running, the foot progression angle, that is, the angle of foot abduction,
was lower in the AI group (Normal: 13.46 degrees +/- 4.45 degrees ; AI: 8.78
degrees +/- 3.91 degrees ), and the 1st metatarsal contact pressure (Normal:
0.76 +/- 0.47 N/cm(2).kg; AI: 1.05 +/- 0.70 N/cm(2).kg) and the 3rd metatarsal
peak pressure were higher in the AI (Normal: 0.96 +/- 0.60 N/cm(2).kg; AI: 1.54
+/- 0.68 N/cm(2).kg). The medial-lateral (M-L) COP in the late-stance phase of
running for the AI group transferred faster from lateral to medial foot than the
Normal group. For lateral shuffling, the AI group had greater peak pressure at
the 1st (Normal: 0.76 +/- 0.67 N/cm(2).kg; AI: 1.49 +/- 1.04 N/cm(2).kg), 2nd
(Normal: 0.57 +/- 0.39 N/cm(2).kg; AI: 0.87 +/- 0.68 N/cm(2).kg), 3rd (Normal:
0.70 +/- 0.54 N/cm(2).kg; AI: 1.42 +/- 0.87 N/cm(2).kg), and 4th (Normal: 0.52 +/
0.38 N/cm(2).kg; AI: 1.12 +/- 0.78 N/cm(2).kg) metatarsal areas than the Normal
group. The M-L COP located more laterally from the early to mid-stance phase in
the AI compared with the Normal group. The findings suggest that COP displacement
during lateral shuffle may be a factor in ankle instability while the foot
progression angle during running may be a compensatory strategy.
PMID- 22092511
TI - Diffuse cutaneous mastocytosis: analysis of 10 cases and a brief review of the
literature.
AB - BACKGROUND: Diffuse cutaneous mastocytosis (DCM) is an extremely rare disease
characterized by mast cell (MCs) infiltration of the entire skin. Little is known
about the natural course of DCM. OBJECTIVES: We decided to characterize clinical
manifestations, the frequency of MCs mediator-related symptoms and anaphylaxis,
risk of systemic mastocytosis (SM) and prognosis, based on 10 cases of DCM, the
largest series published to date. METHODS: Diffuse cutaneous mastocytosis, DCM
was confirmed by histopathological examination of skin samples in all cases.
SCORing Mastocytosis (SCORMA) Index was used to assess the intensity of DCM. The
analysis of clinical symptoms and laboratory tests, including serum tryptase
levels was performed. Bone marrow biopsy was done only in selected cases.
RESULTS: Large haemorrhagic bullous variant of DCM (five cases) and infiltrative
small vesicular variant (five cases) were identified. The skin symptoms appeared
in age-dependent manner; blistering predominated in infancy, whereas grain
leather appearance of the skin and pseudoxanthomatous presentation developed with
time. SM was not recognized in any of the patients. Mast cell mediator-related
symptoms were present in all cases. Anaphylactic shock occurred in three
patients. Follow-up performed in seven cases revealed slight improvement of skin
symptoms, reflected by decrease of SCORMA Index in all of them. Serum tryptase
levels declined with time in six cases. CONCLUSIONS: Diffuse cutaneous
mastocytosis, DCM is a heterogeneous, severe, cutaneous disease, associated with
mediator-related symptoms and risk of anaphylactic shock. Although our results
suggest generally favourable prognosis, the review of the literature indicate
that SM may occur. Therefore, more guarded prognosis should be given in DCM
patients.
PMID- 22092512
TI - Is oral health-related quality of life stable following rehabilitation with
mandibular two-implant overdentures?
AB - OBJECTIVES: The superiority of mandibular two-implant overdentures (IODs) over
conventional complete dentures (CDs) in terms of quality of life is still
questioned. Furthermore, the stability and magnitude of the treatment effect over
time remain uncertain. This follow-up study aimed to determine the stability and
magnitude of the effect of IODs on oral health-related quality of life (OHRQoL).
MATERIAL AND METHODS: 172 participants (mean age 71 +/- 4.5 years) randomly
received CDs or IODs, both opposed by conventional maxillary dentures. OHRQoL was
measured using the Oral Health Impact Profile (OHIP-20) at baseline, 1 and 2
years post-treatment. Repeated measures ANOVAs were conducted to assess the
effects of time and treatment on the total OHIP and its individual domain scores.
RESULTS: A statistically significant improvement in OHRQoL was seen for both
treatment groups (P < 0.001). This improvement was maintained over the 2 year
assessment. At both follow-ups, participants wearing IODs reported significantly
better total OHIP scores than those wearing CDs (P < 0.001), with a 1.5 times
larger magnitude of effect. In the CD group, baseline OHIP scores influenced the
post-treatment scores (P < 0.001). This effect was not found in the IOD group.
CONCLUSIONS: The effect of mandibular two-IODs on OHRQoL is stable over a 2-year
period. The large magnitude of effect of this treatment supports its clinical
significance.
PMID- 22092513
TI - Is vibration exercise a useful addition to a weight management program?
AB - Vibration exercise (VbX) has received a lot of attention as an exercise modality,
which evokes muscular work and elevates metabolic rate that could be a potential
method for weight reduction. Popular press has purported that VbX is quick and
convenient, and 10 min of VbX is equivalent to 1 h of traditional exercise, where
it has been marketed as the new weight-loss and body toning workout. However,
research studies have shown that muscle activation occurs but the energy demand
in response to VbX is quite low, where exhaustive VbX reported a metabolic demand
of 23 mL/kg/min compared with 44 mL/kg/min from an exhaustive cycle test.
Different vibration frequencies with varying amplitudes and loads have been
tested, but only small increases in metabolic rate have been reported. Based on
these findings, it has been indirectly calculated that a VbX session of 26 Hz for
three continuous minutes would only incur a loss of ~ 10.7 g fat/h. Following a
24-week program of VbX, no observed differences were found in body composition,
and following 12 months of VbX, the time to reach peak V O2 was significantly
higher in conventional exercise compared with VbX. However, one study has
reported that percentage body fat decreased by 3.2% after 8 months after VbX in
comparison with resistance and control groups that performed no aerobic
conditioning. The evidence to date suggests that VbX can increase whole and local
oxygen uptake; however, with additional load, high vibration frequency, and/or
amplitude, it cannot match the demands of conventional aerobic exercise.
Therefore, caution is required when VbX programs are solely used for the purpose
of reducing body fat without considering dietary and aerobic conditioning
guidelines.
PMID- 22092514
TI - Comparison of platelet rich fibrin and collagen as osteoblast-seeded scaffolds
for bone tissue engineering applications.
AB - OBJECTIVES: The loss of jaw bone caused by different kinds of pathologies leads
to dysfunction and reduced quality of life in affected patients. Thus, the
pivotal goal in bone tissue engineering is to reconstruct these defects. The
essential precondition for new tissue generation is an extracellular matrix which
acts as a scaffold so that cells can migrate, differentiate, and proliferate.
Fibrin, a biopolymer responsible for blood clot formation, has been shown to be
suitable for tissue engineering applications. The aim of the present study is a
comparison of platelet rich fibrin (PRF) with the commonly used collagen membrane
BioGide((r)) as a scaffold for human osteoblast cell seeding for bone tissue
engineering. MATERIAL AND METHODS: Human osteoblasts were cultured with eluates
from PRF (n = 7) and BioGide((r)) (n = 8) membranes incubated in serum-free cell
culture medium. Vitality of these cells was assessed by fluorescein diacetate and
propidium iodide staining, biocompatibility with the lactate dehydrogenase test
and proliferation levels with the MTT ([3-(4,5-Dimethylthiazol-2-yl)-2,5
diphenyltetrazolium-bromide]), and BrdU (5-bromo-2-deoxyuridine) tests. In
addition, human osteoblasts were seeded on both membrane systems and cell growth
was compared by the water soluble tetrazolium (WST-1) (4-[3-(4-iodophenyl)-2-(4
nitrophenyl)-2H-5-tetrazolio]-1,3-benzene disulfonate) test and scanning electron
microscopy (SEM). Osteoblastic differentiation was assessed by alkaline
phosphatase activity measured by ELISA in the supernatant of osteoblasts
cultivated on PRF membranes (n = 10), PRF clots (n = 10), and BioGide((r))
membranes (n = 10). RESULTS: Lactate dehydrogenase test values were higher for
PRF compared to BioGide((r)) . The BrdU test showed superior cell growth after
cultivation in eluate from PRF than in eluate from BioGide((r)) . The WST-1 assay
demonstrated superior cell proliferation on PRF than on BioGide((r)) . SEM
revealed osteoblast colonization of both membranes. Cultivation of osteoblasts on
PRF membranes and PRF clots showed significantly higher alkaline phosphatase
activity than on BioGide((r)) membranes. CONCLUSION: Metabolic activity and
proliferation of human osteoblast cells in vitro were supported to a significant
higher extent by eluates from PRF membranes. Both membranes are suitable as
scaffolds for cultivation of human osteoblast cells in vitro; proliferation was
significant higher on PRF membranes and on PRF clot than on BioGide((r))
membranes.
PMID- 22092515
TI - Automated 15-minute cytokeratin 7 immunostaining protocol for extramammary
Paget's disease in Mohs micrographic surgery.
PMID- 22092517
TI - High diversity in populations of the introduced plant pathogen, Cryphonectria
parasitica, due to encounters between genetically divergent genotypes.
AB - The ascomycete fungus Cryphonectria parasitica is an aggressive introduced
pathogen of sweet chestnut (Castanea sativa Mill.). It has spread throughout the
chestnut-growing areas of Europe, with higher diversity in the regions close to
its first introduction and lower diversity in its expanding ranges in Europe. To
reconstruct the invasion events that could explain the high diversity of C.
parasitica in Croatia and Slovenia, 180 samples were genotyped using 11 sequence
characterized amplified region markers. Eight of 11 loci were found to be
polymorphic, and a total of 66 different haplotypes were identified. Bayesian
clustering indicated the existence of two clusters, which suggests two separate
introductions of C. parasitica in these regions. The first cluster is dominant in
western parts of Croatia and Slovenia and the second in eastern and northern
regions. The data analysis indicates that northern Italy was the first source of
infection, with the subsequent introduction from south-eastern Europe, which
contributed significantly to the diversity of the C. parasitica populations
tested. Most haplotypes were probably derived through sexual recombination
between a few divergent haplotypes, which suggests that multiple introductions
and sexual reproduction are important for the formation of genetically diverse C.
parasitica populations.
PMID- 22092516
TI - A specific mix of generalists: bacterial symbionts in Mediterranean Ircinia spp.
AB - Microbial symbionts form abundant and diverse components of marine sponge
holobionts, yet the ecological and evolutionary factors that dictate their
community structure are unresolved. Here, we characterized the bacterial symbiont
communities of three sympatric host species in the genus Ircinia from the NW
Mediterranean Sea, using electron microscopy and replicated 16S rRNA gene
sequence clone libraries. All Ircinia host species harbored abundant and
phylogenetically diverse symbiont consortia, comprised primarily of sequences
related to other sponge-derived microorganisms. Community-level analyses of
bacterial symbionts revealed host species-specific genetic differentiation and
structuring of Ircinia-associated microbiota. Phylogenetic analyses of host
sponges showed a close evolutionary relationship between Ircinia fasciculata and
Ircinia variabilis, the two host species exhibiting more similar symbiont
communities. In addition, several bacterial operational taxonomic units were
shared between I. variabilis and Ircinia oros, the two host species inhabiting
semi-sciophilous communities in more cryptic benthic habitats, and absent in I.
fasciculata, which occurs in exposed, high-irradiance habitats. The generalist
nature of individual symbionts and host-specific structure of entire communities
suggest that: (1) a 'specific mix of generalists' framework applies to bacterial
symbionts in Ircinia hosts and (2) factors specific to each host species
contribute to the distinct symbiont mix observed in Ircinia hosts.
PMID- 22092518
TI - Damping behavior of implant-supported restorations.
AB - OBJECTIVES: The aim of the present study was to assess the shock absorbing
capacity of implant-supported restorations (CAD/CAM composite resin or zirconia
abutment with composite resin or porcelain crown/onlay) and a simulated natural
tooth complex using the Periometer((r)) . MATERIAL AND METHODS: One hundred and
twenty Morse taper implants (Titamax CM 11 mm) were mounted on bone-simulating
acrylic resin base and restored with CAD/CAM zirconia (60) and metal composite
resin Paradigm MZ100 (60) abutments. Using CEREC3, standardized onlays (60) and
crowns (60) were designed and milled in ceramic (Paradigm C) or composite resin
(Paradigm MZ100) to simulate a maxillary premolar. All restorations were luted
with a preheated light curing composite resin (Filtek Z100). Fifteen extracted
human upper premolars were mounted with a simulated PDL and used as control
group. The Periometer((r)) , a new handheld percussion probe that measures the
energy loss coefficient (LC) for both natural teeth and implant-supported
structures, was positioned perpendicularly to the buccal surface of each
restoration. Three measurements of the LC were collected for each specimen. The
effect of each variable (abutment material, restoration material, and restoration
design) on the LC was explored using multiple regression analysis. RESULTS:
Differences in LC between the abutment material (zirconia/Paradigm MZ100), the
restoration material (Paradigm C/Paradigm MZ100) and the restoration design
(onlay/crown) were recorded. The average LC of zirconia and metal composite resin
abutments ranged from 0.040 to 0.053 and 0.059 to 0.068, respectively. Zirconia
abutments restored with composite resin restorations (LC 0.051-0.053) had the
closest LC value when compared with teeth with simulated PDL (0.049). CONCLUSION:
Composite resin onlays/crowns bonded to zirconia implant abutments presented
similar dynamic response to load (damping behavior) when compared to teeth with a
simulated PDL.
PMID- 22092520
TI - Current literature.
PMID- 22092519
TI - Low-carbohydrate diets for weight loss: the pros and cons.
PMID- 22092521
TI - Plastinated heart slices aid echocardiographic interpretation in the dog.
AB - Our aim was to compare plastinated sections of the canine heart with
corresponding two-dimensional (2D) echocardiographic images. Thirteen dog hearts
were fixed by dilation and then processed by the S10 silicon plastination method
(Biodur). Two dogs without evidence of cardiac disease were imaged using 2D
echocardiography so as to obtain a complete series of the standard right and left
parasternal images, which were compared with corresponding plastinated slices
obtained by knife sectioning of the hearts. The plastinated slices revealed the
internal anatomy of the heart with great detail and were particularly useful to
display the spatial relationship between complex anatomic structures. The
plastinated slices corresponded accurately with the echocardiographic images.
Because of the dilation of the right heart during the fixation process, it was
not possible to obtain plastinated specimens in ventricular systole. This paper
may be a reference atlas for assisting 2D echocardiography interpretation.
PMID- 22092522
TI - Application of phylogenetic microarrays to interrogation of human microbiota.
AB - Human-associated microbiota is recognized to play vital roles in maintaining host
health, and it is implicated in many disease states. While the initial surge in
the profiling of these microbial communities was achieved with Sanger and next
generation sequencing, many oligonucleotide microarrays have also been developed
recently for this purpose. Containing probes complementary to small ribosomal
subunit RNA gene sequences of community members, such phylogenetic arrays provide
direct quantitative comparisons of microbiota composition among samples and
between sample groups. Some of the developed microarrays including PhyloChip,
Microbiota Array, and HITChip can simultaneously measure the presence and
abundance of hundreds and thousands of phylotypes in a single sample. This review
describes the currently available phylogenetic microarrays that can be used to
analyze human microbiota, delineates the approaches for the optimization of
microarray use, and provides examples of recent findings based on microarray
interrogation of human-associated microbial communities.
PMID- 22092524
TI - Hypersensitivity reaction to chromated glycerin.
PMID- 22092523
TI - Shackling incarcerated pregnant women.
PMID- 22092525
TI - Effects of the Bam earthquake on employment: a shift-share analysis.
AB - Disasters have potential short-term and long-term impacts on employment and
employment structures in affected regions. While measuring the full economic
impact of a disaster requires sophisticated econometrics and mathematical
simulations, conventional regional economic models such as shift-share analysis
can be used to assess some of these effects. This paper applies shift-share
analysis to understand potential long-term impacts of disasters on employment
using the December 2003 Bam earthquake as a case study. The results provide
further evidence that disasters could have significant long-term effects on the
employment structure of affected regions.
PMID- 22092526
TI - Gingival changes during pregnancy: III. Impact of clinical, microbiological,
immunological and socio-demographic factors on gingival inflammation.
AB - AIMS: To identify predictor variables involved in exacerbated gingival
inflammation associated with pregnancy. MATERIAL AND METHODS: In this cohort
study, 48 pregnant and 28 non-pregnant women without periodontitis were included.
The pregnant women were evaluated in the first, second and third trimester and at
3 months postpartum, whilst the non-pregnant women were evaluated twice, with a 6
month interval. At each visit, clinical [plaque index (PlI) and gingival index
(GI)], hormonal (salivary progesterone and estradiol), immunological [gingival
crevicular fluid interleukin-1beta, interleukin-6, tumour necrosis factor-alpha
(TNF-alpha) and prostaglandin-E(2) ] and microbiological (periodontal pathogens
culture) evaluations were performed. Statistical analysis was undertaken using
exhaustive chi-square automatic interaction detection (exhaustive CHAID) to
analyse the predictive value of the independent outcomes to develop pregnancy GI.
RESULTS: PlI was the strongest predictor implicated in the GI throughout
pregnancy and after delivery. During the second and third trimesters the presence
of Porphyromonas gingivalis significantly contributed to the worsening of
gingival inflammation. When compared with the non-pregnant group, significant
differences were found in TNF-alpha amounts and concentrations and in the third
trimester site-specific GI. CONCLUSIONS: Bacterial challenge to the gingival
tissues, both quantitatively (PlI) and qualitatively (harbouring P. gingivalis)
appears to affect the level of gingival inflammation observed during pregnancy.
PMID- 22092527
TI - Survey of the efficacy of a short fragment of the rbcL gene as a supplemental DNA
barcode for diatoms.
AB - DNA barcoding is a tool that uses a short, standard segment of DNA to identify
organisms. In diatoms, a consensus on an appropriate DNA barcode has not been
reached, but several markers show promise. These include the 5.8S gene plus a
fragment of the internal transcribed spacer 2 (ITS-2) of nuclear-encoded
ribosomal RNA, a 420-bp segment of the 18S rRNA gene, and a 748-bp fragment at
the 3'-end of the ribulose bisophosphate carboxylase large subunit (rbcL) gene.
Here, we tested a 540-bp fragment 417-bp downstream of the start codon of the
rbcL gene for its efficacy in distinguishing diatom species in a wide range of
taxa. Overall, 381 sequences representing 66 genera and 245 species from the
classes Mediophyceae and Bacillariophyceae were examined. Intra/interspecific
thresholds were set at p = 0.01 differences per site (diff./site) for
Mediophyceae and p = 0.02 diff./site for Bacillariophyceae and correctly
segregated 96% and 93% of morphological congeners, respectively. When testing
reproductively isolated or biological species, which are only available from
Bacillariophyceae, 80% of species were discriminated. Therefore, we concluded
that, alone, the rbcL region tested herein as potential a DNA barcode was not a
sufficient discriminator of all diatoms. We suggest that this fragment could be
used in a dual-locus barcode with the more variable 5.8S+ITS-2 to discriminate
species without sufficient interspecific divergences in the tested rbcL region
and to provide insight into species identity from a separately evolved genome.
PMID- 22092528
TI - Acanthamoeba belonging to T3, T4, and T11: genotypes isolated from air
conditioning units in Santiago, Chile.
AB - Free-living amoebae (FLA) of the genus Acanthamoeba are widely distributed in the
environment, in the air, soil, and water, and have also been isolated from air
conditioning units. The objective of this work was to investigate the presence of
this genus of FLA in the air-conditioning equipment at the Institute of Public
Health of Chile in Santiago, Chile. Water and air samples were collected from air
conditioning systems and were checked for the presence of Acanthamoeba spp.
Positive samples were further classified at the genotype level after sequencing
the highly variable diagnostic fragment 3 (DF3) region of the 18S rRNA gene. This
is the first report of the T3, T4, and T11 genotypes of Acanthamoeba in air
conditioning units from Chile. Overall, the widespread distribution of
potentially pathogenic Acanthamoeba strains in the studied source demands more
awareness within the public and health professionals in Chile as this pathogen is
emerging as a risk for human health worldwide.
PMID- 22092530
TI - Association of vitamin D and antimicrobial peptide production during late-phase
allergic responses in the lung.
AB - BACKGROUND: Vitamin D may play important roles in regulating immune responses and
in defence against infectious diseases by effects on both innate and adaptive
immune responses. Little is known regarding activation of vitamin D within airway
tissues and its relationship to inflammation and antimicrobial responses.
OBJECTIVE: The objective of this study was to investigate the activation of
vitamin D within the airways and to define relationships between vitamin D
metabolites and measures of inflammatory and antimicrobial responses assessed by
bronchoalveolar lavage (BAL) during late-phase responses following allergen
challenge of allergic subjects. METHODS: Segmental allergen challenge was
performed with saline and allergen in 16 adult allergic subjects. BAL was
performed in both saline and allergen-challenged sites 20-24 h. after challenge.
Following extraction from BAL fluids, levels of 25-hydroxy-vitamin D (25(OH)D)
and 1,25-dihydroxy-vitamin D (1,25(OH)(2)D) were assayed by specific
radioimmunoassays. The cleavage product of cathelicidin, LL-37, was assayed by
ELISA. Cellular constituents and albumin were measured. RESULTS: Levels of
vitamin D metabolites were increased in concentrated BAL fluids after allergen
compared to saline challenge. Levels of 1,25(OH)(2)D increased from largely
undetectable to 2.5 pm (median; range: 1-29.5; P = 0.005) while 25(OH)D increased
from 3.2 (0.8-6.2) to 6.2 (1.5-184.9) nm (P = 0.0006). Levels of LL-37 increased
from 2.1 (1.4-4.1) to 14.5 (2.2-106.7) ng/mL BAL (P = 0.0005). Levels of LL-37,
1,25(OH)(2)D, and 25(OH)D following allergen challenge were correlated with each
other (P < 0.0001), cellular changes, and levels of albumin (P < 0.001).
CONCLUSIONS AND CLINICAL RELEVANCE: Levels of vitamin D metabolites, particularly
1,25(OH)(2)D, were low within the airways and increased after allergen challenge.
The increases correlated with the magnitude of inflammation and increases in
cathelicidin. Normalization to albumin suggested plasma exudation as a mechanism
for the increases. The findings support a role for vitamin D in allergic and
innate immune responses in the lung.
PMID- 22092532
TI - Analysis of human perception of facial skin radiance by means of image histogram
parameters of surface and subsurface reflections from the skin.
AB - BACKGROUND: The appearance of the skin is the result of complicated light-skin
interactions involving surface and subsurface reflections. Radiant skin is a
complicated attribute but is important for skin beauty. The aim of the present
study was to achieve an understanding of the association between human
perceptions of skin radiance and image histogram parameters from technically
recorded images of surface and subsurface reflections. METHODS: Facial images of
45 subjects were evaluated visually by 30 respondents and were also computer
analyzed in terms of their image histogram parameters. A partial least squares
regression model was created to explain visual perceptions in terms of the image
histogram parameters. RESULTS: Visual perceptions of subsurface reflections can
be explained in terms of the mean from the subsurface reflection image histogram,
and visual perceptions of surface reflections can be explained in terms of the
standard deviation (SD) and skewness from the surface reflection image histogram.
Skin radiance can be explained in terms of the mean from the subsurface
reflection and the SD from the surface reflection. CONCLUSION: To acquire skin
radiance, a surface reflection component that makes the skin look shiny and a
subsurface reflection component that is in line with skin fairness are both
needed. A balance of these features provides the origin of skin radiance.
PMID- 22092531
TI - CDKB2 is involved in mitosis and DNA damage response in rice.
AB - DNA damage checkpoints delay mitotic cell-cycle progression in response to DNA
stress, stalling the cell cycle to allow time for repair. CDKB is a plant
specific cyclin-dependent kinase (CDK) that is required for the G2/M transition
of the cell cycle. In Arabidopsis, DNA damage leads the degradation of CDKB2, and
the subsequent G2 arrest gives cells time to repair damaged DNA. G2 arrest also
triggers transition from the mitotic cycle to endoreduplication, leading to the
presence of polyploid cells in many tissues. In contrast, in rice (Oryza sativa),
polyploid cells are found only in the endosperm. It was unclear whether
endoreduplication contributes to alleviating DNA damage in rice (Oryza sativa).
Here, we show that DNA damage neither down-regulates Orysa;CDKB2;1 nor induces
endoreduplication in rice. Furthermore, we found increased levels of
Orysa;CDKB2;1 protein upon DNA damage. These results suggest that CDKB2 functions
differently in Arabidopsis and rice in response to DNA damage. Arabidopsis may
adopt endoreduplication as a survival strategy under genotoxic stress conditions,
but rice may enhance DNA repair capacity upon genotoxic stress. In addition,
polyploid cells due to endomitosis were present in CDKB2;1 knockdown rice,
suggesting an important role for Orysa;CDKB2;1 during mitosis.
PMID- 22092533
TI - Salt induces biosynthesis of hemolytically active compounds in the xerotolerant
food-borne fungus Wallemia sebi.
AB - Wallemia sebi is a xerotolerant, ubiquitous, food-borne, mycotoxigenic fungus. An
ethanol extract of its mycelium demonstrated a strong hemolytic activity, which
was further enhanced at high salt concentrations in the growth medium.
Characterization of the extract using gas chromatography-mass spectrometry
revealed a mixture of sterols and unsaturated fatty acids, indicating the latter
as responsible for the hemolytic activity. The lytic activity of the extract is
here studied using red blood cells and artificial small lipid vesicles with
various lipid compositions. This shows concentration-dependent hemolysis and
preferential activity toward lipid membranes with greater fluidity. The W. sebi
lytic activity on mammalian erythrocytes shows its potential involvement in the
formation of lesions in subcutaneous infections, in farmer's lung disease, and in
consumption of food and feed that are contaminated with food-borne W. sebi.
PMID- 22092534
TI - Reducing errors benefits the field-based learning of a fundamental movement skill
in children.
AB - Proficient fundamental movement skills (FMS) are believed to form the basis of
more complex movement patterns in sports. This study examined the development of
the FMS of overhand throwing in children through either an error-reduced (ER) or
error-strewn (ES) training program. Students (n = 216), aged 8-12 years (M =
9.16, SD = 0.96), practiced overhand throwing in either a program that reduced
errors during practice (ER) or one that was ES. ER program reduced errors by
incrementally raising the task difficulty, while the ES program had an
incremental lowering of task difficulty. Process-oriented assessment of throwing
movement form (Test of Gross Motor Development-2) and product-oriented assessment
of throwing accuracy (absolute error) were performed. Changes in performance were
examined among children in the upper and lower quartiles of the pretest throwing
accuracy scores. ER training participants showed greater gains in movement form
and accuracy, and performed throwing more effectively with a concurrent secondary
cognitive task. Movement form improved among girls, while throwing accuracy
improved among children with low ability. Reduced performance errors in FMS
training resulted in greater learning than a program that did not restrict
errors. Reduced cognitive processing costs (effective dual-task performance)
associated with such approach suggest its potential benefits for children with
developmental conditions.
PMID- 22092535
TI - Molecular and clinical rationale for therapeutic targeting of interleukin-5 and
its receptor.
AB - Interleukin-5 is a Th2 homodimeric cytokine involved in the differentiation,
maturation, migration, development, survival, trafficking and effector function
of blood and local tissue eosinophils, in addition to basophils and mast cells.
The IL-5 receptor (IL-5R) consists of an IL-5-specific alpha subunit that
interacts in conformationally dynamic ways with the receptor's betac subunit, an
aggregate of domains it shares with binding sites of IL-3 and granulocyte
macrophage colony-stimulating factor. IL-5 and IL-5R drive allergic and
inflammatory immune responses characterizing numerous diseases, such as asthma,
atopic dermatitis, chronic obstructive pulmonary disease, eosinophilic
gastrointestinal diseases, hyper-eosinophilic syndrome, Churg-Strauss syndrome
and eosinophilic nasal polyposis. Although corticosteroid therapy is the primary
treatment for these diseases, a substantial number of patients exhibit incomplete
responses and suffer side-effects. Two monoclonal antibodies have been designed
to neutralize IL-5 (mepolizumab and reslizumab). Both antibodies have
demonstrated the ability to reduce blood and tissue eosinophil counts. One
additional monoclonal antibody, benralizumab (MEDI-563), has been developed to
target IL-5R and attenuate eosinophilia through antibody-dependent cellular
cytotoxicity. All three monoclonal antibodies are being clinically evaluated.
Antisense oligonucleotide technology targeting the common betac IL-5R subunit is
also being used therapeutically to inhibit IL-5-mediated effects (TPI ASM8).
Small interfering RNA technology has also been used therapeutically to inhibit
the expression of IL-5 in animal models. This review summarizes the structural
interactions between IL-5 and IL-5R and the functional consequences of such
interactions, and describes the pre-clinical and clinical evidence supporting IL
5R as a therapeutic target.
PMID- 22092536
TI - Paracetamol and opioid pathways: a pilot randomized clinical trial.
AB - Previous studies suggest that the antinociceptive action of paracetamol
(acetaminophen, APAP) might involve descending inhibitory pain pathways and the
opioidergic system: this study explores this issue in humans with naloxone, the
opioid antagonist. After ethical approval, 12 healthy male volunteers were
included in this randomized, controlled, double-blind, crossover, four-arm study.
They were administered intravenous paracetamol (APAP 1 g) or saline (placebo, pl)
followed at 100 min with IV naloxone (Nal 8 mg) or saline, every week for 4
weeks. The amplitude of cerebral potentials evoked by thermal/painful stimuli
applied on the arm was recorded nine times over 150 min, witnessing of pain
integration at central level. Amplitude changes as well as areas under the curve
(AUCs) over 150 min were compared for the four treatments by repeated measures
ANOVA (significance 0.05). Amplitude changes were significant for APAP/pl vs.
pl/pl at t150: -44% (95%CI -58 to -30) vs. -27% (95%CI -37 to -17; P < 0.05) but
not vs. APAP/Nal. AUC (0-150) of APAP/pl is significantly different from pl/pl (
3452%.min (95%CI -4705 to -2199) vs. -933% min (95%CI -2273 to 407; P = 0.015)
but not from APAP/Nal (-1731% min (95%CI -3676 to 214; P = 0.08) and other
treatments. AUC (90-150) is not significantly different. This pilot study shows
for the first time in human volunteers that naloxone does not inhibit paracetamol
antinociception, suggesting no significant implication of the opioid system in
paracetamol mechanism of action: this needs be confirmed on a larger number of
subjects.
PMID- 22092537
TI - Neurolytic effects of ampicillin on the rat infraorbital nerve.
AB - PURPOSE: The aim of this study was to investigate the histomorphological changes
of the infraorbital nerve of rats treated with ampicillin. MATERIALS AND METHODS:
The infraorbital nerve was approached through the infraorbital foramen, and 0.01
ml of ampicillin dissolved in distilled water was injected taking care not to
damage the nerve. Saline solution was used in control animals. Nerves were
dissected and after routine histology processing analysed by light microscopy.
RESULTS: Cross-section of the nerve treated with ampicillin showed damaged axons
with disintegration of heavily myelinated fibres, while thinly myelinated fibres
remain unaffected. In the saline group, no damage was observed. The signs of
regeneration of the damaged infraorbital nerves were detected on the fourth post
operative week. CONCLUSION: Ampicillin can cause peripheral nerve damage when
injected perineurally.
PMID- 22092538
TI - Penicillin-resistant Streptococcus pyogenes?
PMID- 22092539
TI - Stem cell treatments in China: rethinking the patient role in the global bio
economy.
AB - The paper looks in detail at patients that were treated at one of the most
discussed companies operating in the field of untried stem cell treatments, Beike
Biotech of Shenzhen, China. Our data show that patients who had been treated at
Beike Biotech view themselves as proactively pursuing treatment choices that are
not available in their home countries. These patients typically come from a broad
variety of countries: China, the United Kingdom, the United States, South Africa
and Australia. Among the patients we interviewed there seemed to be both an
awareness of the general risks involved in such experimental treatments and a
readiness to accept those risks weighed against the possible benefits. We
interpret this evidence as possibly reflecting the emergence of risk-taking
patients as 'consumers' of medical options as well as the drive of patients to
seek treatment options in the global arena, rather than being hindered by the
ethical and regulatory constraints of their home countries. Further, we found
that these patients tend to operate in more or less stable networks and groups in
which they interact and cooperate closely and develop opinions and assessments of
available treatment options for their ailments. These patients also perform a
multiple role as patients, research subjects, and research funders because they
are required to pay their way into treatment and research activities. This new
social dynamics of patienthood has important implications for the ethical
governance of stem cell treatments.
PMID- 22092540
TI - Stability of cp-Ti and Ti-6Al-4V alloy for dental implants as a function of
saliva pH - an electrochemical study.
AB - OBJECTIVES: To investigate the role of different levels of pH of artificial
saliva under simulated oral environment on the corrosion behavior of commercially
pure titanium (cp-Ti) and Ti-6Al-4V alloy. Special attention is given to
understand the changes in corrosion kinetics and surface characterization of Ti
by using electrochemical impedance spectroscopy (EIS). MATERIALS AND METHODS:
Fifty-four Ti disks (15-mm diameter, 2-mm thickness) were divided into six groups
(n = 9) as a function of saliva pH (3, 6.5, and 9) and Ti type. Samples were
mechanically polished using standard metallographic procedures. Standard
electrochemical tests, such as open circuit potential, EIS, and potentiodynamic
tests were conducted in a controlled environment. Data were evaluated by two-way
ANOVA, Tukey multiple comparison test, and independent t-test (alpha = 0.05). Ti
surfaces were examined using white-light-interferometry microscopy and scanning
electron microscopy (SEM). RESULTS: Saliva pH level significantly affected the
corrosion behavior of both Ti types. At low pH, acceleration of ions exchange
between Ti and saliva, and reduction of resistance of Ti surface against
corrosion were observed (P < 0.05). Corrosion rate was also significantly
increased in acidic medium (P < 0.05). Similar corrosion behavior was observed
for both Ti types. The white-light-interferometry images of Ti surfaces show
higher surface changes at low pH level. SEM images do not show detectable
changes. No pitting corrosion was observed for any group. CONCLUSIONS: The pH
level of artificial saliva influences the corrosion behavior of cp-Ti and Ti-6Al
4V alloy in that lower pH accelerates the corrosion rate and kinetics. The
corrosion products may mitigate the survival rate of dental implants.
PMID- 22092541
TI - Comparison of strength development with resistance training and combined exercise
training in type 2 diabetes.
AB - Resistance training has been shown to increase strength in type 2 diabetes;
however, it is unclear if combining resistance and aerobic training (A + R)
impedes strength development compared with resistance training only (R). The
purpose of this study was to compare changes in strength with A + R vs R in
individuals with type 2 diabetes. We evaluated monthly workload increments in
participants from the Diabetes Aerobic and Resistance Exercise clinical trial.
Muscular strength was assessed through training volumes and as the eight
repetition maximum (8-RM) at 0, 3, and 6 months. Both groups increased their
upper and lower body volumes monthly for 6 months. The relative increase in upper
body workload in R was significantly greater than A + R at 4 months (161 +/- 11%
vs 127 +/- 11%, P = 0.009) and at 6 months of training (177 +/- 11% vs 132 +/-
11%, P = 0.008). Both groups had improvements in 8-RM workloads at 3 and 6
months. The resistance training group had a significantly greater improvement in
8-RM on the leg press at 6 months compared with A + R (80 +/- 11% vs 58 +/- 8%, P
= 0.045). Both R and A + R improved strength with a 6-month training program;
however, increases in strength may be greater with resistance training alone
compared with performing both aerobic and resistance training.
PMID- 22092542
TI - Application of self-regulation theory and motivational interview for improving
oral hygiene: a randomized controlled trial.
AB - AIM: Because patient adherence to oral hygiene is essential for periodontal
treatment success, the aim of the study was to assess whether a motivational
interview addressing the five dimensions of Leventhal's theory performed better
than conventional basic instruction on improving compliance with plaque control
among patients with periodontitis. MATERIALS AND METHODS: A randomized controlled
clinical trial design was used in which a group of patients underwent a
motivational interview in addition to classical consultation. A control group
received only the standard consultation. The O'Leary Plaque Index was used to
judge the oral hygiene at baseline and at 1 month follow-up. Patient satisfaction
with the dental visit was scored using a specific questionnaire. RESULTS: At
baseline, the mean full mouth plaque score varied between 55% (experimental
group) and 58% (control group). Patients in the experimental group had a higher
oral hygiene improvement (21 +/- 20% versus 4 +/- 5%, p < 0.001) 1 month post
treatment. The motivational interview resulted in greater satisfaction scores
compared with those of patients in the control group: 10.55 +/- 1.53 versus 8.82
+/- 2.40, p = 0.014. CONCLUSIONS: This new concept of motivational interview is a
promising approach and can be useful for counselling-related periodontal
disorders.
PMID- 22092543
TI - Greater prevalence of proposed DSM-5 nicotine use disorder compared to DSM-IV
nicotine dependence in treated adolescents and young adults.
AB - AIMS: Compared to DSM-IV nicotine dependence, proposed DSM-5 nicotine use
disorder (NUD) would lower the threshold from three to two symptoms, and increase
the number of criteria used for diagnosis from seven to 11. The impact of the
proposed changes on nicotine disorder prevalence and the concurrent validity of
diagnostic criteria were examined. DESIGN: Cross-sectional survey to compare DSM
IV and proposed DSM-5 algorithms. SETTING AND PARTICIPANTS: Adolescent (n = 179)
and young adult (n = 292) past-year cigarette users recruited from addictions
treatment. MEASUREMENTS: Semi-structured clinical interview to evaluate DSM-IV
nicotine dependence, and 10 of the 11 proposed DSM-5 NUD criteria; 30-day time
line follow-back; and the Fagerstrom Test for Nicotine Dependence (FTND).
FINDINGS: Prevalence of proposed DSM-5 NUD (two-symptom threshold) was much
higher (adolescents: 68.7%, young adults: 86.0%) than DSM-IV nicotine dependence
(33.0% and 59.6%, respectively), although prevalence of DSM-5 severe NUD (four
symptom threshold) was similar to DSM-IV nicotine dependence. Concurrent validity
analyses in both samples indicated consistent support for DSM-5 severe NUD
diagnosis (four symptoms) but not for the moderate NUD (two symptoms) diagnosis,
which had modest relations with only FTND score. IRT analyses indicated strong
support for the new craving item, but not for the proposed interpersonal problems
and hazardous use items. CONCLUSIONS: The proposed DSM-5 nicotine use disorder
criteria have substantial limitations when applied to adolescents and young
adults, and appear to have low concurrent validity.
PMID- 22092544
TI - Peri-implant bone reactions to immediate implants placed at different levels in
relation to crestal bone. Part II: a pilot study in dogs.
AB - PURPOSE: The aim of the present study was to evaluate bone-to-implant contact
(BIC) and bone remodeling dynamics after immediate implant placement at different
levels in relation to the crestal bone with two different implant designs in
beagle dogs. MATERIALS AND METHODS: The mandibular second, third and fourth
premolars of six beagle dogs were extracted bilaterally and three implants were
immediately placed in the hemi-arches of each dog. Randomly, three cylindrical
and three tapered implants were inserted crestally (control group) or 2 mm
subcrestally (test group). Both groups were treated with a minimal mucoperiosteal
flap elevation approach. A gap from the buccal cortical wall to the implant was
always left. Three dogs were allowed a 4-week submerged healing period and the
other three an 8-week submerged healing period. The animals were sacrificed and
samples were obtained. Biopsies were processed for ground sectioning.
Histomorphometric analysis was carried out in order to compare BIC, de novo bone
formation and bone remodeling. RESULTS: All implants osseointegrated clinically
and histologically. Healing patterns examined microscopically at 4 and 8 weeks
for both groups (crestal and subcrestal) yielded similar qualitative bone
findings. The total BIC mean value for the crestal group was 36.48 +/- 3.4% and
for the subcrestal group was 41.46 +/- 4.2%. The mean percentage of newly formed
BIC was greater with the cylindrical implant design (46.06 +/- 1.09%) than with
the tapered design (33.89 +/- 1.72%). There was less bone resorption in the
subcrestal group (test) than crestal group (control). CONCLUSION: These findings
suggest that apical positioning of the top of the implant does not jeopardize
bone crest and peri-implant tissue remodeling. However, less resorption of the
lingual crest may be expected when implants are placed 2 mm subcrestally.
Moreover, higher BIC values were found in cylindrical implants placed
subcrestally.
PMID- 22092545
TI - Rejuvenation of periorbital area: treatment with an injectable nonanimal non
crosslinked glycerol added hyaluronic acid preparation.
AB - BACKGROUND: Therapeutic approaches to aging of the periorbital region are unique
because of the delicacy of the anatomical structures and the possibility of
adverse events. The synthesis of hyaluronic acid (HA) and other components
responsible for skin hydration and elasticity diminish with age. OBJECTIVE: To
evaluate the efficacy and safety of an injectable product containing non
crosslinked HA of nonanimal origin in association with glycerol to treat aging of
the periorbital region. MATERIALS AND METHODS: A pilot study in which 20 women
were administered three monthly superficial intradermal injections of non
crosslinked HA of nonanimal origin containing glycerol in the periorbital area.
The clinical results consisted of the evaluation of three researchers and an
independent evaluator and the degree of posttreatment patient satisfaction.
RESULTS: An improvement of between 25% and 50% in skin brightness, texture, and
turgor was observed in the periorbital area. Papules were present after each
application, and hematoma was the longest lasting effect. All adverse events were
reversible and well tolerated. CONCLUSION: Injections of HA of nonanimal origin,
in association with glycerol, using a micropuncture technique are well tolerated
and can improve skin brightness and turgor and reduce roughness in the
periorbital region.
PMID- 22092546
TI - In vitro study of the biological interface of Bio-Oss: implications of the
experimental setup.
AB - OBJECTIVES: To systematically investigate the biological interface of Bio-Oss by
analysing dissolution-precipitation behaviour and osteogenic responses using in
vitro experimental systems. MATERIAL AND METHODS: Different concentrations (1-100
mg/ml) of Bio-Oss were incubated in cell culture medium for 24 h before elemental
concentrations for calcium, phosphorus and silicon in the medium were analysed
with inductive coupled plasma-optical emission spectroscopy. Radioactive calcium
45 isotope labelling technique was used to study possible precipitation of
calcium on the Bio-Oss particle. Biological interface of Bio-Oss was studied in
osteogenic experiments using mineralization medium and three different sources of
cells (primary mouse bone marrow stromal cells, primary rat calvarial cells and
MC3T3-E1 mouse pre-osteoblast cell line). Cells were fixed and stained with
Toulidine blue, von Kossa or Alizarin Red staining for confirmation of
extracellular matrix mineralization. RESULTS: Elemental analysis of the cell
culture medium demonstrated a significant decrease of calcium and phosphorus and
a dose-dependent release of silicon to the medium after incubation with Bio-Oss.
A significant decrease of calcium and phosphorus in the medium occurred even at
low concentrations of Bio-Oss. Uptake of calcium on the Bio-Oss particle was
confirmed with radioactive calcium-45 isotope labelling technique. In osteogenic
experiments with Bio-Oss (<1 mg/ml), matrix mineralization around the Bio-Oss
particles were demonstrated in all three cell types with von Kossa and Alizarin
Red staining. CONCLUSION: Dissolution-precipitation reactions occur at the
surface of Bio-Oss, and osteogenic responses are seen at the biological
interface. The concentration of Bio-Oss is a key factor for the experimental in
vitro results, and may also have implications for the clinic.
PMID- 22092547
TI - Retention force of plastic clips on implant bars: a randomized controlled trial.
AB - OBJECTIVES: Retention of overdentures is important for patients' satisfaction.
The study tested whether the clinical performance of retentive clips made of poly
ether-ether-ketone (PEEK) is superior to those made of poly-oxy-methylene (POM).
METHODS: A total of 30 patients received complete dentures with round bars (SFI
Bar) on two implants in a chairside technique. Two types of clip matrices
(PEEK/POM) were used in a split-mouth technique. Retention forces were measured
separately for both materials at baseline when the dentures were inserted and
after 1, 3 and 6 months. The measurement was performed extraorally and
intraorally by using a measuring stylus equipped, respectively, with an opposing
matrix or bar part. Simultaneously, at each point in time the patient and the
dentist judged the retention either to be good, or to be too high or too low.
Statistical analysis involved performance of global non-parametric testing of
dependence of retention force on time and material was performed with Brunner
Langer model; non-parametric 95% confidence intervals (CIs) were calculated.
RESULTS: At baseline the median force for POM matrices was 6.89N (95% CI: 6.50
8.21) and for PEEK matrices 7.17N (95% CI: 6.97-7.93). After 6 months, the
retention of POM decreased to 5.53N (95% CI: 4.81-7.00) and of PEEK to 6.42N (95%
CI: 5.15-7.51). The retention force changed significantly over time (P = 0.004)
without differences between POM and PEEK (P = 0.135). No significant alteration
of the retention force over time was measured at the bar (P = 0.289). Retention
was estimated to be good with 90% at baseline and with 80% after 6 months,
equally by patients and dentist. CONCLUSIONS: POM as well as PEEK material
fulfills the requirements of retentive clips on round bars.
PMID- 22092548
TI - Use of a special knife and blade for Mohs layers when tumor extends to the
perichondrium of the ear.
PMID- 22092549
TI - Excitatory amino acid transporter 2 and excitatory amino acid transporter 1
negatively regulate calcium-dependent proliferation of hippocampal neural
progenitor cells and are persistently upregulated after injury.
AB - Using a transgenic mouse (Mus musculus) in which nestin-expressing progenitors
are labeled with enhanced green fluorescent protein, we previously characterized
the expression of excitatory amino acid transporter 2 (GltI) and excitatory amino
acid transporter 1 (Glast) on early neural progenitors in vivo. To address their
functional role in this cell population, we manipulated their expression in P7
neurospheres isolated from the dentate gyrus. We observed that knockdown of GltI
or Glast was associated with decreased bromodeoxyuridine incorporation and
neurosphere formation. Moreover, we determined that both glutamate transporters
regulated progenitor proliferation in a calcium-dependent and metabotropic
glutamate receptor-dependent manner. To address the relevance of this in vivo, we
utilized models of acquired brain injury, which are known to induce hippocampal
neurogenesis. We observed that GltI and Glast were specifically upregulated in
progenitors following brain injury, and that this increased expression was
maintained for many weeks. Additionally, we found that recurrently injured
animals with increased expression of glutamate transporters within the progenitor
population were resistant to subsequent injury-induced proliferation. These
findings demonstrate that GltI and Glast negatively regulate calcium-dependent
proliferation in vitro and that their upregulation after injury is associated
with decreased proliferation after brain trauma.
PMID- 22092550
TI - Relationships among subgross anatomy, computed tomography, and histologic
findings in dogs with disease localized to the pulmonary acini.
AB - During computed tomography (CT), the appearance of disease involving the
pulmonary acinus may be described using terms such as atelectasis, ground-glass
opacity, or consolidation. These CT signs, however, have not been correlated with
histologic findings in canine pulmonary disease. To facilitate interpretation of
lung diseases by CT signs, our goals were to review the morphologic organization
of the lung and evaluate the medical records of four dogs with different types of
pulmonary acinar disease. Anatomic review focused on understanding the pulmonary
acinus and the secondary pulmonary lobule; the secondary pulmonary lobule is a
fundamental unit for interpretation in people. All dogs had similar CT findings
of fully expanded lungs with increased attenuation and partial-to-complete
obscuring of the pulmonary blood vessels. Histologic findings varied between dogs
and included partial-to-complete filling of airspaces with cells or fluid,
interstitial thickening, increased capillary blood volume, or a combination of
these findings. Final diagnoses were hemorrhagic pneumonia, bronchiolar
carcinoma, metastatic mammary adenocarcinoma, and pulmonary edema. In summary,
the morphologic organization of the lungs is complex and has implications for
diagnostic interpretation needing further evaluation in dogs. In this study,
increased lung attenuation during CT due to disease localized to the pulmonary
acini was due to the displacement of air from the lungs and not to the
microscopic distribution of lesions within the pulmonary acinus. Imaging
descriptors that classify diseases according to structures larger than the
pulmonary acini, for example, regions of the secondary pulmonary lobule or
larger, may be appropriate for dogs.
PMID- 22092551
TI - Persistence of Escherichia coli in freshwater periphyton: biofilm-forming
capacity as a selective advantage.
AB - Recent research has shown that Escherichia coli can persist in aquatic
environments, although the characteristics that contribute to their survival
remain poorly understood. This study examines periphytic E. coli populations that
were continuously present in three temperate freshwater lakes from June to
October 2008 in numbers ranging from 2 to 2 * 10(2) CFU 100 cm(-2) . A crystal
violet assay revealed that all tested periphytic E. coli isolates were superior
biofilm formers and they formed, on average, 2.5 times as much biofilm as E. coli
isolated from humans, 4.5 times as much biofilm as shiga-like toxin-producing E.
coli, and 7.5 times as much biofilm as bovine E. coli isolates. Repetitive
extragenic palindromic polymerase chain reaction (REP-PCR) DNA fingerprinting
analysis demonstrated the genetically diverse nature of the periphytic isolates,
with genetic similarity between strains ranging from 40% to 86%. Additionally,
the role of curli fibers in biofilm formation was investigated by comparing
biofilm formation with curli expression under optimal conditions, although little
correlation (R(2) = 0.095, P = 0.005) was found. The high mean biofilm-forming
capacity observed in E. coli isolated from the periphyton suggests that selective
pressures may favor E. coli capable of forming biofilms in freshwater
environments.
PMID- 22092552
TI - Obesity and aspirin intolerance are risk factors for difficult-to-treat asthma in
Japanese non-atopic women.
AB - BACKGROUND: Asthma is a clinical syndrome characterized by variabilities in
disease expression and severity. The pathophysiological mechanism underlying anti
asthma treatment resistance is also assumed to be different between disease
phenotypes. OBJECTIVE: To elucidate the effect of gender and atopic phenotype on
the relationship between clinical factors and the risk of treatment resistance.
METHODS: We compared outpatients with difficult-to-treat asthma (DTA; n = 486) in
a tertiary hospital for allergic diseases in central Japan with those with
controlled severe asthma (n = 621) with respect to clinical factors including
body mass index (BMI) and aspirin intolerance using multivariate logistic
regression analysis stratified by gender and atopic phenotype. RESULTS: When
analysis was performed on the entire study populations, obesity (BMI >= 30
kg/m(2); adjusted odds ratio (OR) 1.92; 95% confidence interval (95% CI: 1.07
3.43) and aspirin intolerance (OR: 2.56, 95% CI: 1.44-4.57) were found to be the
significant risk factors for DTA. However, after the stratification by gender and
atopic phenotype, the association between obesity and DTA was significant only in
women (OR: 2.76, 95% CI: 1.31-5.78), but not in men (OR: 1.03, 95% CI: 0.38
2.81), and only in non-atopics (OR: 4.03, 95% CI: 1.15-14.08), but not in atopics
(OR: 1.54, 95% CI: 0.79-3.02). The similar gender and phenotypic differences were
also observed in the association between aspirin intolerance and DTA: namely, the
association was significant only in women (OR: 3.96, 95% CI: 1.84-8.50), but not
in men (OR: 1.19, 95% CI: 0.46-3.05); and only in non-atopics (OR: 5.49, 95% CI:
1.98-15.19), but not in atopics (OR: 1.39, 95% CI: 0.65-2.98). CONCLUSIONS AND
CLINICAL RELEVANCE: Significant associations of obesity and aspirin intolerance
with DTA were observed only in women and in non-atopics. These findings suggest
that a phenotype-specific approach is needed to treat patients with DTA.
PMID- 22092553
TI - Influence of ultrasound or halogen light on microleakage and hardness of enamel
adjacent to glass ionomer cement.
AB - BACKGROUND: The use of external sources of energy may accelerate the setting rate
of glass ionomer cements (GICs) allowing better initial mechanical properties.
AIM: To investigate the influence of ultrasound and halogen light on the
microleakage and hardness of enamel adjacent to GIC restorations, after
artificial caries challenge. DESIGN: Cavities were prepared in 60 primary
canines, restored with GIC, and randomly distributed into three groups: control
group (CG), light group (LG) - irradiation with a halogen light-curing unit for
60s, and ultrasonic group (UG) - application of ultrasonic scaler device for 15s.
All specimens were then submitted to a cariogenic challenge in a pH cycling
model. Half of sample in each group were immersed in methylene blue for 4h and
sectioned for dye penetration analysis. The remaining specimens were submitted to
Knoop cross-sectional microhardness assessments, and mineral changes were
calculated for adjacent enamel. RESULTS: Data were compared using Kruskal-Wallis
test and two-way ANOVA with 5% significance. Higher dye penetration was observed
for the UG (P<0.01). No significant mineral changes were observed between groups
(P=0.844). CONCLUSION: The use of halogen light-curing unit does not seem to
interfere with the properties of GICs, whereas the use of ultrasound can affect
its marginal sealing.
PMID- 22092554
TI - Satellite cell count, VO(2max) , and p38 MAPK in inactive to moderately active
young men.
AB - Satellite cells (SCs) are responsible for muscle repair following strenuous
exercise or injury. SC responses to intervention have been studied, but most
studies do not discuss or take into account the substantial variability in SC
number among young individuals. We hypothesized that an active lifestyle
reflected in higher VO(2max) may be associated with greater SC number. As
training alters basal p38-mitogen-activated protein kinase (MAPK) activity, which
is associated with SC proliferation, SC count may also correlate with this stress
signaling kinase. Muscle biopsies from vastus lateralis of eight male
participants were analyzed for fiber type, myogenin, and p38/phospho-p38 MAPK
using SDS-PAGE and Western blotting. Immunofluorescence was used to detect
Pax7(+) SCs. Two weeks following the biopsy, subjects underwent an incremental
treadmill test to determine VO(2max) . A strong positive correlation (P = 0.0087)
was found between the number of Pax7(+) nuclei and VO(2max) . Pax7(+) cell
number correlated negatively with phospho-p38/p38 MAPK (P = 0.0006), but had no
correlation with fiber type or myogenin. SC number is proportional to VO(2max) ,
and hence it can be postulated that higher levels of physical activity activate
SC proliferation but not fusion, underlining the relevance of exercise in
stimulating SC pool size even without injury.
PMID- 22092555
TI - Annexin-1-deficient mice exhibit spontaneous airway hyperresponsiveness and
exacerbated allergen-specific antibody responses in a mouse model of asthma.
AB - BACKGROUND: Glucocorticoids are the mainstream drugs used in the treatment and
control of inflammatory diseases such as asthma. Annexin-1 (ANXA1) is an anti
inflammatory protein which has been described as an endogenous protein
responsible for some anti-inflammatory glucocorticoid effects. Previous studies
have identified its importance in other immune diseases such as rheumatoid
arthritis and cystic fibrosis. ANXA1-deficient ((-/-)) mice are Th2 biased, and
ANXA1 N-terminus peptide exhibits anti-inflammatory activity in a rat model of
pulmonary inflammation. OBJECTIVE: ANXA1 protein is found in bronchoalveolar
lavage fluid from asthmatics. However, the function of ANXA1 in the pathological
development of allergy or asthma is unclear. Thus, in this study we intended to
examine the effect of ANXA1 deficiency on allergen-specific antibody responses
and airway responses to methacholine (Mch). METHODS: ANXA1(-/-) mice were
sensitized with ovalbumin (OVA) and challenged with aerosolized OVA. Airway
resistance, lung compliance and enhanced pause (PenH) were measured in naive,
sensitized and saline or allergen-challenged wild-type (WT) and ANXA1(-/-) mice.
Total and allergen-specific antibodies were measured in the serum. RESULTS: We
show that allergen-specific and total IgE, IgG2a and IgG2b levels were
significantly higher in ANXA1(-/-) mice. Furthermore, naive ANXA1(-/-) mice
displayed higher airway hypersensitivity to inhaled Mch, and significant
differences were also observed in allergen-sensitized and allergen-challenged
ANXA1(-/-) mice compared with WT mice. CONCLUSIONS: In conclusion, ANXA1(-/-)
mice possess multiple features characteristic to allergic asthma, such as airway
hyperresponsiveness and enhanced antibody responses, suggesting that ANXA1 plays
a critical regulatory role in the development of asthma. CLINICAL RELEVANCE: We
postulate that ANXA1 is an important regulatory factor in the development of
allergic disease and dysregulation of its expression can lead to pathological
changes which may affect disease progression.
PMID- 22092556
TI - Distribution of the entodiniomorphid ciliate Troglocorys cava Tokiwa, Modry, Ito,
Pomajbikova, Petrzelkova, & Imai, , (Entodiniomorphida: Blepharocorythidae) in
wild and captive chimpanzees.
AB - Trophozoites of Troglocorys cava were detected in all but one of the wild
chimpanzee populations from Rubondo Island (Tanzania), with a prevalence ranging
between 20% and 78%. However, the ciliate was absent in all captive groups.
Prevalence appeared to increase with the number of sequential samples taken from
a particular individual and reached 95.5% in wild individuals sampled at least 4
times.
PMID- 22092557
TI - An insight into the ligand-receptor interactions involved in the translocation of
pathogens across blood-brain barrier.
AB - Traversal of pathogen across the blood-brain barrier (BBB) is an essential step
for central nervous system (CNS) invasion. Pathogen traversal can occur
paracellularly, transcellularly, and/or in infected phagocytes (Trojan horse
mechanism). To trigger the translocation processes, mainly through paracellular
and transcellular ways, interactions between protein molecules of pathogen and
BBB are inevitable. Simply, it takes two to tango: both host receptors and
pathogen ligands. Underlying molecular basis of BBB translocation of various
pathogens has been revealed in the last decade, and a plethora of experimental
data on protein-protein interactions has been created. This review compiles these
data and should give insights into the ligand-receptor interactions that occur
during BBB translocation. Further, it sheds light on cell signaling events
triggered in response to ligand-receptor interaction. Understanding of the
molecular principles of pathogen-host interactions that are involved in traversal
of the BBB should contribute to develop new vaccine and drug strategies to
prevent CNS infections.
PMID- 22092558
TI - The human macrophage sodium channel NaV1.5 regulates mycobacteria processing
through organelle polarization and localized calcium oscillations.
AB - Phagocytosis and intracellular processing of mycobacteria by macrophages are
complex cellular processes that require spatial and temporal coordination of
particle uptake, organelle movement, activation of signaling pathways, and
channel-mediated ionic flux. Recent work demonstrated that human macrophage
NaV1.5, an intracellular voltage-gated sodium channel expressed on late
endosomes, enhances endosomal acidification and phagocytosis. Here, using
bacillus Camille-Guerin (BCG) as a model of mycobacterial infection, we examined
how this channel regulates phagocytosis and phagosome maturation in human
macrophages. Knockdown of NaV1.5 reduced high capacity uptake of labeled BCG. BCG
containing, NaV1.5-expressing cells demonstrated localization of NaV1.5 and Rab-7
positive endosomes and mitochondria to periphagosome regions that was not
observed in NaV1.5-deficient cells. Knockdown of the channel reduced the initial
calcium response following bacterial challenge and prevented the generation of
prolonged and localized calcium oscillations during phagosome maturation.
Inhibition of the mitochondrial Na(+) /Ca(2+) exchanger also prevented prolonged
calcium oscillations during phagosome maturation. These results suggest that
NaV1.5 and mitochondrial-dependent calcium signaling regulate mycobacteria
phagocytosis and phagosome maturation in human macrophages through spatial
temporal coordination of calcium signaling within a unique subcellular region.
PMID- 22092559
TI - Novel roles for autotransporter adhesin AatA of avian pathogenic Escherichia
coli: colonization during infection and cell aggregation.
AB - Systemic infections in avian species caused by avian pathogenic Escherichia coli
(APEC) are economically devastating to poultry industries worldwide. To unravel
factors possibly involved in APEC pathogenicity, suppression subtractive
hybridization was applied, leading to the identification of a putative APEC
autotransporter adhesin gene aatA in our previous study. In this study,
pathogenic mechanism of AatA was further determined. A deletion mutant of aatA
was constructed in the APEC DE205B, which results in the reduced capacity to
adhere to DF-1 cells, defective virulence in vivo, and decreased colonization
capacity in lung during the systemic infection compared with the wild-type
strain. Furthermore, these capacities were restored in the complementation
strains. These results indicated that AatA makes a significant contribution to
APEC virulence through bacterial adherence to host tissues in vivo and in vitro.
In addition, aggregation assays for strain AAEC189 expressing aatA indicated that
AatA mediates cell aggregation and settling of cells. However, this cell
aggregation is blocked by Type I fimbriae. This study illustrates the first
examination of the role of AatA in aggregation and systemic infection.
PMID- 22092560
TI - Permissivity of fish cell lines to three Chlamydia-related bacteria: Waddlia
chondrophila, Estrella lausannensis and Parachlamydia acanthamoebae.
AB - Epitheliocystis is an infectious disease affecting gills and skin of various
freshwater and marine fishes, associated with high mortality and reduced growth
of survivors. Candidatus Piscichlamydia salmonis and Clavochlamydia salmonicola
have recently been identified as aetiological agents of epitheliocystis in
Atlantic Salmon. In addition, several other members of the Chlamydiales order
have been identified in other fish species. To clarify the pathogenicity of
Chlamydia-like organisms towards fishes, we investigated the permissivity of two
fish cell lines, EPC-175 (Fathead Minnow) and RTG-2 (rainbow trout) to three
Chlamydia-related bacteria: Waddlia chondrophila, Parachlamydia acanthamoebae and
Estrella lausannensis. Quantitative PCR and immunofluorescence demonstrated that
W. chondrophila and, to a lesser extent, E. lausannensis were able to replicate
in the two cell lines tested. Waddlia chondrophila multiplied rapidly in its host
cell and a strong cytopathic effect was observed. During E. lausannensis
infection, we observed a limited replication of the bacteria not followed by host
cell lysis. Very limited replication of P. acanthamoebae was observed in both
cell lines tested. Given its high infectivity and cytopathic effect towards fish
cell lines, W. chondrophila represents the most interesting Chlamydia-related
bacteria to be used to develop an in vivo model of epitheliocystis disease in
fishes.
PMID- 22092561
TI - Bacterial translocation in cirrhosis is not caused by an abnormal small bowel gut
microbiota.
AB - Sepsis is common in liver cirrhosis, and animal studies have shown the gut to be
the principal source of infection, through bacterial overgrowth and translocation
in the small bowel. A total of 33 patients were recruited into this study, 10
without cirrhosis and 23 with cirrhotic liver disease. Six distal duodenal
biopsies were obtained and snap frozen for RNA and DNA extraction, or frozen for
FISH. Peripheral venous bloods were obtained from 30 patients, including 17
chronic liver disease patients. Samples were analysed by real-time PCR, to assess
total bacteria, bifidobacteria, bacteroides, enterobacteria, staphylococci,
streptococci, lactobacilli, enterococci, Helicobacter pylori and moraxella, as
well as TNF-alpha, IL-8 and IL-18. There was no evidence of bacterial overgrowth
with respect to any of the individual bacterial groups, with the exception of
enterococci, which were present in higher numbers in cirrhotic patients (P =
0.04). There were no significant differences in any of the cytokines compared to
the controls. The small intestinal mucosal microbiota in cirrhotic patients was
qualitatively and quantitatively normal, and this shifts the focus of disease
aetiology to factors that reduce gut integrity, failure of mechanisms to remove
translocating bacteria, or the large bowel as the source of sepsis.
PMID- 22092562
TI - Protective mechanism of curcumin against Vibrio vulnificus infection.
AB - Curcumin, a natural polyphenolic flavonoid extracted from the rhizome of Curcuma
longa L., has many beneficial biological activities. However, there are
relatively few reports of the effects of curcumin on pathogen infections. This
study examined the effect of curcumin on a Vibrio vulnificus infection. The
cytotoxicity of V. vulnificus to HeLa cells was significantly inhibited by
curcumin (at 10 or 30 MUM). To further examine the inhibitory mechanism of
curcumin against V. vulnificus-mediated cytotoxicity, the level of bacterial
growth, bacterial motility, cell adhesion, RTX toxin expression and host cell
reactions were evaluated. Curcumin inhibited V. vulnificus growth in HI broth.
Curcumin inhibited both bacterial adhesion and RTX toxin binding to the host
cells, which can be considered the major protective mechanisms for the decrease
in V. vulnificus cytotoxicity. Curcumin also inhibited host cell rounding and
actin aggregation, which are the early features of cell death caused by V.
vulnificus. In addition, curcumin decreased the V. vulnificus-induced NF-kappaB
translocation in HeLa cells. Finally, curcumin protected mice from V. vulnificus
induced septicemia. In conclusion, curcumin may be an alternative antimicrobial
agent against fatal bacterial infections.
PMID- 22092563
TI - Cryptococcus neoformans suppresses the activation of bone marrow-derived
dendritic cells stimulated with its own DNA, but not with DNA from other fungi.
AB - DNA from Cryptococcus neoformans activates bone marrow-derived dendritic cells
(BM-DCs) in a TLR9-dependent manner. In this study, we examined the effect of the
culture supernatants of C. neoformans on the activation of BM-DCs caused by its
own DNA. C. neoformans supernatants suppressed IL-12p40, IL-6 production and CD40
expression by BM-DCs stimulated with its own DNA, but not with CpG-ODN and DNA
from Candida albicans, Saccharomyces cerevisiae or Escherichia coli. In a
confocal microscopic analysis, C. neoformans DNA was colocalized with LAMP-1, a
late endosomal marker, and TLR9. The culture supernatants did not show any
apparent suppression of these responses. In a luciferase reporter assay, C.
neoformans supernatants inhibited NFkappaB activation caused by its own DNA.
These inhibitory activities were attenuated by treatment with heat or trypsin.
These results indicate that C. neoformans secrete certain proteinous molecules
that suppress the activation of BM-DCs caused by its own DNA.
PMID- 22092564
TI - Molecular typing of Bordetella parapertussis isolates circulating in Pakistan.
AB - Although a whole-cell pertussis vaccine was introduced in Pakistan in 1980,
little is known about the pertussis prevalence and circulating strains in
Pakistan. The aim of this study was to analyze Bordetella parapertussis isolates
circulating between 2005 and 2009 in Pakistan and to compare them with those
found in other countries during different periods. A total of 59 (7.35%) B.
parapertussis isolates from 802 subjects (median age, 3 years) from Pakistan,
with pertussis-like symptoms were investigated. We carried out genotyping and DNA
microarray analyses on these isolates and compared them with some international
isolates of B. parapertussis. We found that the allele for pertactin (prn) found
in strains studied from Pakistan was identical to the predominant type found in
Europe. We showed that B. parapertussis isolates circulating in Pakistan are part
of the same pulsed-field gel electrophoresis group to those circulating in
Finland during the period of 1982-2007. Finally, microarray analysis confirmed
that the isolates collected in Pakistan, were quite similar to international
strains. Overall, these results confirm that B. parapertussis is extremely
monomorphic. The high isolation rate of B. parapertussis (7.35%) compared to
Bordetella pertussis (0.5%) may suggest that the whole-cell vaccine used in
Pakistan is effective against B. pertussis (0.5% infections detected), but much
less so against B. parapertussis.
PMID- 22092565
TI - Induction of prostaglandin E(2) and interleukin-6 in gingival fibroblasts by
oral biofilms.
AB - Polymicrobial oral biofilms attaching on tooth surfaces can trigger inflammatory
responses by the neighbouring tooth-supporting periodontal tissues. An excessive
inflammatory response can cause destruction of the periodontal tissues, including
the alveolar bone, thus resulting in periodontitis. Mediators of inflammation,
such as prostaglandin E(2) (PGE(2) ) and interleukin-6, are primary regulators
of alveolar bone destruction in periodontitis. The present study aimed to
comparatively investigate the effects of in vitro supragingival and subgingival
biofilms, on the regulation of PGE(2) and interleukin-6 in human gingival
fibroblasts. The cells were challenged with culture supernatants of the two
biofilms for 6 h. Cyclo-oxygenase (COX)-2, an enzyme responsible for the
conversion of PGE(2) , and interleukin-6 gene expression were analysed by
quantitative real-time PCR. The production of PGE(2) and interleukin-6 by the
cells was analysed by ELISA. While the supragingival biofilm did not induce
significant changes, the subgingival biofilm caused an 8.6- and 2.9-fold
enhancement of COX-2 and interleukin-6 gene expression, respectively, and a 72.5-
and 1.5-fold enhancement of PGE(2) and interleukin-6 production, respectively. In
conclusion, subgingival biofilms are potent inducers of PGE(2) in gingival
fibroblasts, providing further mechanistic insights into the association of
subgingival biofilms with bone resorption periodontitis.
PMID- 22092566
TI - Immunoreactive proteins of Campylobacter concisus, an emergent intestinal
pathogen.
AB - Campylobacter concisus is an emerging pathogen of the human gastrointestinal
tract. Recently, a significantly higher prevalence of C. concisus DNA and higher
levels of antibodies specific to C. concisus was detected in children with
Crohn's disease when compared with controls. The aim of this study was to
identify C. concisus immunoreactive antigens. Proteins from C. concisus were
separated using two-dimensional gel electrophoresis, and sera from 10 C. concisus
positive children with Crohn's disease were employed for immunoprobing. The
patients' sera reacted with 69 spots, which corresponded to 31 proteins
identified by mass spectrometry. The proteins were functionally classified as
involved in chemotaxis, signal transduction, flagellar motility, surface binding
and membrane protein assembly. Although the individual patients' sera reacted to
different sets of proteins, common antigens that were recognized by all patients
were flagellin B, ATP synthase F1 alpha subunit, and outer membrane protein 18.
Cross-reactivity between proteins of the Campylobacter genus was tested using
patients' sera absorbed with Campylobacter showae, Campylobacter jejuni and
Campylobacter ureolyticus. Most of the C. concisus immunoreactive proteins
identified in this study showed cross-reactivity with other species except for
three antigens. In conclusion, this study has identified C. concisus proteins
that are immunoreactive within patients with Crohn's disease.
PMID- 22092567
TI - Monophosphoryl lipid A induced innate immune responses via TLR4 to enhance
clearance of nontypeable Haemophilus influenzae and Moraxella catarrhalis from
the nasopharynx in mice.
AB - Acute otitis media (AOM) is one of the most common infectious diseases in
children. Nontypeable Haemophilus influenzae (NTHi) and Moraxella catarrhalis,
Gram-negative bacteria, are considered major pathogens of AOM and respiratory
tract infections. In this study, we used monophosphoryl lipid A (MPL) as a Toll
like receptor (TLR4) agonist to induce innate immune responses before challenge
with NTHi and M. catarrhalis to enhance bacterial clearance from the nasopharynx.
Mice were intranasally administered 40, 10, or 1 MUg of MPL and challenged with
NTHi and M. catarrhalis 12 and 24 h later. At 6 and 12 h after the bacterial
challenge, the mice were killed and nasal washes were collected. The numbers of
NTHi, M. catarrhalis, and inflammatory cells were quantitated. Inoculation of MPL
produced a significant reduction in the number of bacteria recovered from the
nasopharynx at 6 and/or 12 h after the bacterial challenge, when compared with
control mice. The effect was dose dependent. MPL inoculation also induced the
early accumulation of neutrophils in the nasopharynx after exposure to bacteria.
MPL is effective for eliciting clearance of both NTHi and M. catarrhalis from the
nasopharynx. These results indicate the possibility of a new strategy against
Gram-negative bacterial infection that involves the stimulation of the innate
immune system by TLR4 agonists such as MPL.
PMID- 22092568
TI - Up-regulation of human bradykinin B1 receptor by secreted components of
Pseudomonas aeruginosa via a NF-kappaB pathway in epithelial cells.
AB - Pulmonary epithelial cells produce neutrophil chemotactic activity in response to
pathogenic bacterial infections, resulting in neutrophil migration to infection
sites. Elicited neutrophils in the inflamed tissues were found to be dependent on
bradykinin B1 receptor (B1R), which shows high affinity for the active
metabolites derived from bradykinin. Thus, the up-regulation of bradykinin and
B1R expression represents an important host defense response against invading
microbes such as Pseudomonas aeruginosa. However, the effect of P. aeruginosa on
the expression of B1R remains unclear, while P. aeruginosa infection is known to
stimulate the production of bradykinin. Here, we report that human B1R (hB1R)
transcription is up-regulated in host cells co-cultured with P. aeruginosa.
Components secreted from P. aeruginosa play a major role in the up-regulation,
and the secretion of the components is not controlled by either type III
secretion system or quorum sensing. Moreover, the B1R induction is mediated by a
NF-kappaB signaling pathway in human lung epithelial cells. Taken together, this
study demonstrates that P. aeruginosa is capable of up-regulating hB1R expression
via the NF-kappaB signaling pathway.
PMID- 22092569
TI - Viral and bacterial abundance and production in the Western Pacific Ocean and the
relation to other oceanic realms.
AB - We completed a transect through the Western Pacific Warm Pool to examine how
environmental variables may influence viral and bacterial abundance and
production rates in this globally important oceanic region. Of the variables
analyzed, viral abundance and production had the most significant relationship to
bacterial cell abundance: viral parameters were not significantly correlated to
the measured environmental variables, including temperature. Bacterial production
rates were significantly correlated to temperature in open ocean waters, but not
in waters close to land masses. Analyses of 16S rRNA gene by pyrosequencing
indicated only minor changes in eubacterial community structure across the
transect, with alpha-proteobacteria dominating all sampled populations. Diversity
within the prokaryotic community did not correlate directly with viral abundance
or activity. Comparisons to two other ocean-scale transects (> 8000 km of open
ocean in total) in the Atlantic Ocean indicated that correlations between viral
and bacterial abundance and production relative to environmental variables are
regime dependent. In particular, correlations to temperature showed remarkable
differences across the three transects. Collectively, our observations suggest
that seemingly similar oceanic regions may have very different microbial
community responses to environmental variables. Our observations and analyses
demonstrate that ocean-scale generalizations may not apply in the case of viral
ecology.
PMID- 22092570
TI - A possible melanoma discrimination index based on hyperspectral data: a pilot
study.
AB - BACKGROUND: Early detection and proper excision of the primary lesions of
malignant melanoma (MM) are crucial for reducing melanoma-related deaths. To
support the early detection of melanoma, automated melanoma screening systems
have been extensively studied and developed. In this article, we present a
hyperspectral melanoma screening system and propose a possible melanoma
discrimination index derived from the characteristics of the pigment molecules in
the skin, both of which have been derived from hyperspectral data (HSD). METHODS:
The index expresses the disordered nature of each lesion including variegation in
color based on variation in spectral information obtained from each lesion.
Performance of the index in discriminating melanomas from other pigmented skin
lesions has been studied in five cases of melanoma (41 HSD sets), one case of
Spitz nevus (13 HSD sets), 10 cases of seborrheic keratosis (78 HSD sets), three
cases of basal cell carcinoma (16 HSD sets), and nine cases of melanocytic nevus
(21 HSD sets), obtained from patients and volunteers, all of whom were Japanese.
RESULTS: Performance of the index, which reflects the disordered nature of a
lesion, discriminates melanomas with a sensitivity of 90%, a specificity of 84%,
and an area under the receiver operating characteristic curve of 0.93, on
resubstitution. CONCLUSION: An objective melanoma discrimination index at a
molecular pigmentary level, derived from HSD, has been proposed, and its
performance evaluated. This index was highly successful in discriminating MM from
non-melanoma, although the statistical population was small.
PMID- 22092571
TI - Hydrogen-rich water attenuates experimental periodontitis in a rat model.
AB - AIM: Reactive oxygen species (ROS) contribute to the development of
periodontitis. As molecular hydrogen can act as a scavenger of ROS, we examined
the effects of treatment with hydrogen-rich water on a rat model of
periodontitis. MATERIAL & METHODS: A ligature was placed around the maxillary
molars for 4 weeks to induce periodontitis, and the animals were given drinking
water with or without hydrogen-rich water. RESULTS: The rats with periodontitis
which were treated with pure water showed a time-dependent increase in serum ROS
level. Compared with the rats without periodontitis, the periodontitis-induced
rats which were given pure water also showed polymorphonuclear leucocyte
infiltration and alveolar bone loss at 4 weeks. Hydrogen-rich water intake
inhibited an increase in serum ROS level and lowered expression of 8
hydroxydeoxyguanosine and nitrotyrosine in the periodontal tissue at 4 weeks.
Such conditions prevented polymorphonuclear leucocyte infiltration and osteoclast
differentiation following periodontitis progression. Furthermore, inflammatory
signalling pathways, such as mitogen-activated protein kinases, were less
activated in periodontal lesions from hydrogen-rich water-treated rats as
compared with pure water-treated rats. CONCLUSION: Consuming hydrogen-rich water
might be beneficial in suppressing periodontitis progression by decreasing
gingival oxidative stress.
PMID- 22092572
TI - Enhancing disaster management by mapping disaster proneness and preparedness.
AB - The focus of most disaster management programmes is to deploy resources-physical
and human-from outside the disaster zone. This activity can produce a delay in
disaster mitigation and recovery efforts, and a consequent loss of human lives
and economic resources. It may be possible to expedite recovery and prevent loss
of life by mapping out disaster proneness and the availability of resources in
advance. This study proposes the development of two indices to do so. The Indian
census data of 2001 is used to develop a methodology for creating one index on
disaster proneness and one on resourcefulness for administrative units (tehsils).
Findings reveal that tehsil residents face an elevated risk of disaster and that
they are also grossly under-prepared for such events. The proposed indices can be
used to map regional service provision facilities and to assist authorities in
evaluating immediate, intermediate, and long-term disaster recovery needs and
resource requirements.
PMID- 22092573
TI - Differential gene expression in planktonic and biofilm cells of multiple
antibiotic-resistant Salmonella Typhimurium and Staphylococcus aureus.
AB - This study was designed to evaluate gene expression patterns of the planktonic
and biofilm cells of Staphylococcus aureus and Salmonella Typhimurium in
trypticase soy broth adjusted to pH 5.5 and pH 7.3. The planktonic and biofilm
cells of multiple antibiotic-resistant S. aureus (S. aureus(R) ) and S.
Typhimurium (S. Typhimurium(R) ) were more resistant to beta-lactams than those
of antibiotic-susceptible S. aureus (S. aureus(S) ) and S. Typhimurium (S.
Typhimurium(S) ) at pH 5.5 and pH 7.3. The relative gene expression levels of
norB, norC, and mdeA genes were increased by 7.0-, 4.7-, and 4.6-fold,
respectively, in the biofilm cells of S. aureus(S) grown at pH 7.3, while norB,
norC, mdeA, sec, seg, sei, sel, sem, sen, and seo genes were stable in the
biofilm cells of S. aureus(R) . This study provides useful information for
understanding gene expression patterns in the planktonic and biofilm cells of
antibiotic-resistance pathogens exposed to acidic stress.
PMID- 22092574
TI - Retrospective analysis of survival rates and marginal bone loss on short implants
in the mandible.
AB - OBJECTIVES: Short implants have become an interesting alternative to bone
augmentation in dental implantology. Design of shorter implants and longer
surveillance times are a current research issue. The goal of this study was to
show the survival rates of short implants below 9 mm in the partly edentulous
mandibular premolar and molar regions with fixed prosthetics. Marginal vertical
and 2D bone loss was evaluated additionally. Different implant designs are
orientationally evaluated. MATERIAL AND METHODS: A total of 247 dental implants
with fixed prosthetics (crowns and bridges) in the premolar and molar region of
the mandible were evaluated; 47 implants were 9 mm or shorter. Patient data were
evaluated to acquire implant survival rates, implant diameter, gender and age.
Panoramic X-rays were analysed for marginal bone loss. RESULTS: Average
surveillance time was 1327 days. Cumulative survival rate (CSR) of short implants
was 98% (1 implants lost) compared to 94% in the longer implants group without
significance. Thirty-five of the short implants were Astratech (0 losses) and 12
were Camlog Screw Line Promote Plus (1 loss). Early vertical and two-dimensional
marginal bone loss was not significantly different in short and regular length
implant group with an average of 0.6 mm and 0.7 mm(2) in short implants over the
observation period. CONCLUSIONS: Within the limitations of this study, we
conclude that short implants with a length of 9 mm or less have equal survival
rates compared with longer implants over the observation period of 1-3 years.
PMID- 22092576
TI - Centella asiatica extracts modulate hydrogen peroxide-induced senescence in human
dermal fibroblasts.
AB - Centella asiatica (C. asiatica) is a pharmacological plant in South Asia. It has
been demonstrated that C. asiatica extracts containing various pentacyclic
triterpenes exert healing effects, especially wound healing and collagen
synthesis in skin. However, there are few studies on the effect of C. asiatica
extracts on stress-induced premature senescence (SIPS). To determine whether H(2)
O(2) -induced senescence is affected by C. asiatica extracts, we performed
senescence analysis on cultured human dermal fibroblasts (HDFs). We also analysed
whole gene expression level using microarrays and showed that 39 mRNAs are
differentially expressed in H(2) O(2) -induced HDFs with and without treatment
with C. asiatica extracts. These genes regulate apoptosis, gene silencing, cell
growth, transcription, senescence, DNA replication and the spindle checkpoint.
Differential expression of FOXM1, E2F2, MCM2, GDF15 and BHLHB2 was confirmed
using semi-quantitative PCR. In addition, C. asiatica extracts rescued the H(2)
O(2) -induced repression of replication in HDFs. Therefore, the findings
presented here suggest that C. asiatica extracts might regulate SIPS by
preventing repression of DNA replication and mitosis-related gene expression.
PMID- 22092577
TI - Thymic stromal lymphopoietin exerts antimicrobial activities.
AB - Thymic stromal lymphopoietin (TSLP) is an interleukin-7-like cytokine expressed
by epithelial cells and reported to be involved in allergic diseases and atopic
eczema. The presence of several predicted alpha-helical regions in TSPL, a
structure characterizing many classical antimicrobial peptides (AMPs), prompted
us to investigate whether TSLP exerts antimicrobial activities. Recombinant human
TSLP exerted antimicrobial activity, particularly against Gram-negative bacteria.
Using synthetic overlapping peptide 20-mers of TSLP, it was demonstrated that the
antimicrobial effect is primarily mediated by the C-terminal region of the
protein. MKK34 (MKKRRKRKVTTNKCLEQVSQLQGLWRRFNRPLLK), a peptide spanning a C
terminal alpha-helical region in TSLP, showed potent antimicrobial activities, in
physiological salt conditions and in the presence of human plasma. Fluorescent
studies of peptide-treated bacteria, electron microscopy and liposome leakage
models showed that MKK34 exerted membrane-disrupting effects comparable to those
of the classical AMP LL-37. Moreover, TSLP was degraded into multiple fragments
by staphylococcal V8 proteinase. One major antimicrobial degradation fragment was
found to encompass the C-terminal antimicrobial region defined by the MKK34
peptide. We here describe a novel antimicrobial role for TSLP. The antimicrobial
activity is primarily mediated by the C-terminal part of the protein. In
combination with the previously known cytokine function of TSLP, our result
indicates dual functions of the molecule and a previously unknown role in host
defense.
PMID- 22092578
TI - Human keratinocytes express AIM2 and respond to dsDNA with IL-1beta secretion.
AB - Keratinocytes have been recognized to actively participate in the skin immune
response. It has been shown that keratinocytes express all components that are
necessary to form the NLRP3 inflammasome complex including the adapter protein
ASC and caspase-1. In this study, we investigated the presence and activity of
the recently identified absent in melanoma 2 (AIM2) inflammasome in human
keratinocytes. We were able to show that an AIM2 inflammasome is active in human
keratinocytes. IL-1 production by keratinocytes plays a pivotal role in
inflammatory processes in the skin. Activation of the AIM2 inflammasome in
keratinocytes represents another potential trigger factor for the development and
maintenance of inflammatory skin diseases.
PMID- 22092575
TI - The itchy scalp--scratching for an explanation.
AB - Scalp pruritus is a common complaint that is considered a diagnostically and
therapeutically challenging situation. Scalp skin has a unique neural structure
that contains densely innervated hair follicles and dermal vasculature. In spite
of the recent advances in our understanding of itch pathophysiology, scalp
itching has not been studied as yet. In this review, we summarize the current
knowledge on the neurobiology of scalp and hair follicles as well as itch
mediators and provide a putative mechanism for scalp itch with special emphasis
on neuroanatomy and pathophysiology.
PMID- 22092579
TI - Signalling and chemosensitivity assays in melanoma: is mutated status a
prerequisite for targeted therapy?
AB - Selection for targeted therapies in melanoma is currently based on the search for
mutations in selected genes. We aimed at evaluating the interest of signalling
and chemosensitivity studies in addition to genotyping for assessing the best
suitable treatment in an individual patient. We extracted genomic DNA and
melanoma cells from tumor tissue of a skin metastasis of a 17-year-old woman with
stage IV melanoma progressing despite three successive lines of treatment.
Despite the absence of mutation in BRAF, NRAS cKIT, the MAPK pathway was
activated and a significant response to sorafenib, a mitogen-activated protein
kinase (MAPK)/RAF inhibitor, was found in signalling and chemosensitivity assays.
A treatment combining sorafenib and dacarbazine produced a partial response for 9
months, with marked necrosis in some lesions. Chemosensitivity assays and
signalling pathway studies could be of great value in addition to genotyping for
assessing the most appropriate treatment in melanoma.
PMID- 22092580
TI - A novel mutation of the glomulin gene in an Italian family with autosomal
dominant cutaneous glomuvenous malformations.
AB - Glomuvenous malformations (GVM) are hamartomas characterized histologically by
glomus cells, which should be distinguished from glomus tumors. Familial GVM are
rare, often present as multiple lesions, and exhibit familial aggregation, with
autosomal dominant transmission. GVM are caused by mutations of the glomulin
(GLMN) gene on chromosome 1p21-p22. Their development is thought to follow the
'two-hit' hypothesis, with a somatic mutation required in addition to the
inherited germline mutation. We describe a novel GLMN mutation in an Italian
family with GVM in which some members present with the less commonly observed
phenotype of solitary lesions. A second somatic 'hit' mutation in GLMN was not
discovered in our family. We further provide histological, immunohistochemical
and electron microscopic data exhibiting the classic features of GVM. The
diagnosis of GVM is critical because of distinction from venous malformations and
blue rubber bleb nevus syndrome, which may demonstrate clinical similarities but
require different treatment.
PMID- 22092581
TI - Fitness consequences of social network position in a wild population of forked
fungus beetles (Bolitotherus cornutus).
AB - Social networks describe the pattern of intraspecific interactions within a
population. An individual's position in a social network often is expected to
influence its fitness, but only a few studies have examined this relationship in
natural populations. We investigated the fitness consequences of network position
in a wild beetle population. Copulation success of male beetles positively
covaried with strength (a measure of network centrality) and negatively covaried
with clustering coefficient (CC) (a measure of cliquishness). Further analysis
using mediation path models suggested that the activity level of individuals
drove the relationships between strength and fitness almost entirely. In
contrast, selection on CC was not explained by individual behaviours. Although
our data suggest that social network position can experience strong sexual
selection, it is also clear that the relationships between fitness and some
network metrics merely reflect variation in individual-level behaviours.
PMID- 22092582
TI - Errors in basophil enumeration with 3 veterinary hematology systems and
observations on occurrence of basophils in dogs.
AB - BACKGROUND: Most automated hematology analyzers cannot detect canine or feline
basophils. However, many veterinary laboratories continue to report basophils as
part of the automated 5-part differential leukocyte count for dogs and cats.
OBJECTIVES: The study objectives were to evaluate the performance of the Sysmex
XT-2000iV, Advia 2120, and CELL-DYN 3500 hematology analyzers in detecting
basophils using blood from dogs, cats, and rabbits with basophilia and to
investigate the concurrence of basophilia and other hematologic changes, sex, and
breed in dogs. METHODS: One or more of the 3 hematology analyzers was used to
analyze 11 canine blood samples with prominent basophilia (>= 5%) based on a
manual differential count. In addition, samples from 2 cats and 4 rabbits with
basophilia were analyzed with the Advia 2120. Leukocyte cytograms were inspected
for the likely location of basophil cell clusters. In a retrospective study of
canine patients, reports of hematologic results that included a manual leukocyte
differential count were identified using the laboratory information system and
examined for the occurrence of basophilia and other hematologic changes, sex, and
breed of the dogs. RESULTS: Canine basophils were not detected by the Sysmex XT
2000iV or CELL-DYN 3500 analyzers, and neither canine nor feline basophils were
detected by the Advia 2120. The Advia was able to detect basophils in rabbits. On
the Sysmex cytogram canine basophils were found slightly above or together with
neutrophils. On the Advia Perox cytogram canine basophils were located in upper
part of the lymphocyte box and in the area of large unstained cells (LUC). Dogs
with marked basophilia often had concurrent eosinophilia, and basophilia may be
found more frequently in Rottweiler dogs than in other breeds. In 5 dogs with
marked basophilia and without eosinophilia, marked thrombocytosis and anemia were
noted. CONCLUSIONS: Canine basophils were not detected by these automated
hematology analyzers, and careful analysis of instrument graphical displays or
increased LUC (Advia) may guide the need to examine a blood smear for basophils.
PMID- 22092583
TI - Surgical-site infections and routes of bacterial transfer: which ones are most
plausible?
AB - BACKGROUND: Surgical-site infections (SSIs) are an unfortunate consequence of
cutaneous surgery. Their incidence can be a significant problem for patients and
surgeons. Most SSIs are presumed to originate from the patient and operating room
staff. OBJECTIVES: To review the potential routes of iatrogenic bacterial
transfer during cutaneous surgery. METHODS: A review of the medical literature.
CONCLUSIONS: Potential routes of bacterial transfer during surgery include
respiratory droplets and nuclei, skin scales carried on air currents, direct
contact with the surgical team's skin, and contaminated fomites. The route with
the most significant potential for iatrogenic bacterial transfer is direct
physical contact. Strategies that minimize contact with infected fomites and with
the surgical team would probably have the best chances of reducing the incidence
of SSIs.
PMID- 22092584
TI - Risk of bias of animal studies on regenerative procedures for periodontal and
peri-implant bone defects - a systematic review.
AB - OBJECTIVES: (1) To assess the risk of bias of studies in which animal models were
used for investigating regenerative therapies for periodontal and peri-implant
defects. (2) To investigate changes in risk of bias by comparing samples drawn
from two different publication periods. MATERIAL & METHODS: We searched the
PubMed and LILACS electronic databases, independently and in duplicate, for
randomized and controlled trials published from 1998 to 2000 and from 2008 to
2010. Hand searching included search of 10 dental journals, in the issues
published between August 2008 and August 2010. Studies on non-human primates and
canines were included. We assessed independently and in triplicate the risk of
bias with reference to a six-item checklist based on the Cochrane Collaboration's
tool for assessing the risk of bias and information about formal sample size
calculation. RESULTS: One hundred and seven studies were included in the review.
Checklist items were poorly reported in the studies selected, and therefore for
most of the studies, the risk of bias was unclear. CONCLUSION: As a result of the
unclear risk of bias of animal studies in periodontal and peri-implant
treatments, it is difficult to determine the accuracy of treatment effect
estimates. There is a need for standardization of reporting procedures on animal
experiments.
PMID- 22092585
TI - Randomized trials for the treatment of burning mouth syndrome: an evidence-based
review of the literature.
AB - Burning mouth syndrome (BMS) is defined as a chronic pain condition,
characterized symptomatically by a generalized or localized burning sensation in
the oral cavity. Various drugs have been used in attempting to treat BMS, but
there is insufficient evidence to show the effect of any effective treatment. The
aim of this review was to assess the effectiveness of therapies for BMS.
Randomized controlled trials (RCTs) enrolling patients with a diagnosis of BMS
were identified by searching Pubmed and Scoppus databases. The methodological
quality of included studies was assessed on the basis of the method of allocation
concealment, blindness of the study, loss of participants, size sample, and
outcome concealment. A total of 12 relevant articles were analyzed. Therapies
that used capsaicin, alpha-lipoic acid (ALA), and clonazepam were those that
showed more reduction in symptoms of BMS. However, many studies of therapeutic
interventions in BMS lack consistency in their results, because they use in their
methodology, sample and a relatively short time of therapy and often do not
provide a follow-up of patients treated. Thus, future studies are required to
establish the treatment for patients suffering from this chronic and painful
syndrome.
PMID- 22092586
TI - Factors influencing transfer accuracy of cone beam CT-derived template-based
implant placement.
AB - OBJECTIVES: The aim of the present investigation was the analysis of the factors
presumptively affecting the accuracy outcome of cone-beam computed tomography
(CBCT)-derived laboratory-based surgical guides for implant placement in
partially edentulous patients. MATERIALS AND METHODS: In 52 partially edentulous
patients a total of 132 implants were placed following CBCT diagnostics with the
aid of laboratory-fabricated, tooth-borne templates. Based on the image fusion
technique measurements were done to calculate linear and angular deviations
between virtually planned and placed implants. The implant sites were stratified
according to four factors that presumably may influence the transfer accuracy:
(i) type of arch (maxilla/mandible), (ii) kind of template (single-tooth
gap/interrupted dental arch/shortened dental arch/reduced residual dentition),
(iii) surgical technique (flapless/open flap), (iv) number of sleeve-guided site
preparation steps (fully guided placement/freehand placement/freehand final
drilling). The data were analyzed using analysis of variance and the Bonferroni
test. RESULTS: The transfer accuracy of shoulder level, apex level, and
angulation was similar for maxilla and mandible as well as for flapless and open
flap approach. The differences were small in magnitude and reached no or only a
borderline statistical significance. At implant sites in the reduced residual
dentition group, the discrepancies were more pronounced than in the single-tooth
gap group, whereas no significant differences could be determined between free
ending templates in the shortened dental arch and bilateral anchored templates in
the interrupted dental arch. Implant placement through the guide allowed a more
accurate implementation of the virtual plan to the surgical site than freehand
insertion or freehand final drilling. CONCLUSION: CBCT-derived laboratory-based
surgical templates enabled an implant placement in the cancellous maxilla as well
as flapless procedures without compromising the transfer accuracy. The number and
distribution of the remaining teeth as well as the number of sleeve-guided
implant site preparation steps influenced the extent of deviation that can be
achieved in partial edentulism.
PMID- 22092587
TI - Biomechanical evaluation of a microstructured zirconia implant by a removal
torque comparison with a standard Ti-SLA implant.
AB - OBJECTIVES: The purpose of this study was to evaluate the biomechanical bone
tissue response to novel microstructured zirconia implants in comparison to
sandblasted and acid-etched (SLA) titanium implants through the analysis of
removal torque (RTQ) measurements. MATERIALS AND METHODS: Ti-SLA implants with a
sandblasted, large-grit and acid-etched surface were compared with zirconia
implants with an acid-etched surface. All implants had the same shape, a diameter
of 4.1 mm and a length of 10 mm. A total of 136 implants were placed in the
maxillae of 17 miniature pigs. Six animals were sacrificed after both 4 and 8
weeks and five animals were sacrificed after 12 weeks, thus providing a total of
102 implants for RTQ testing (34 implants were reserved for future histological
analysis). The RTQ analysis was successfully performed, using a mixed model
regression with P-values calculated using the nonparametric Brunner-Langer
method, on 100 of the 102 implants, two were excluded from the analysis. RESULTS:
The adjusted mean RTQ values for Ti-SLA implants were 131 Ncm (95% CI: 107-155)
at 4 weeks, 128 Ncm (108-148) Ncm at 8 weeks, and 180 Ncm (153-207 Ncm) at 12
weeks of healing, whereas RTQ values for the zirconia implants were 110 Ncm (86
134), 97 Ncm (76-118) and 147 Ncm (121-174) at the corresponding time intervals.
A comparison of the implant materials resulted in P-values of P = 0.114 at 4
weeks, P = 0.034 at 8 weeks and P = 0.105 at 12 weeks (significance set at P <
0.05). CONCLUSIONS: Within the limits of the present study, it could be confirmed
that the biomechanical bone-tissue response of the investigated zirconia implants
is non-inferior to that of the well-documented, roughened titanium surface, at
each time point, within the set tolerance. There were no statistically
significant differences between the two materials after a healing period of 4 and
12 weeks. The RTQ values of both implant types increased significantly from the 8
week to the 12-week time point.
PMID- 22092588
TI - Characterization of an UV- and VIS-absorbing, purpurogallin-derived secondary
pigment new to algae and highly abundant in Mesotaenium berggrenii
(Zygnematophyceae, Chlorophyta), an extremophyte living on glaciers.
AB - Mesotaenium berggrenii is one of few autotrophs that thrive on bare glacier
surfaces in alpine and polar regions. This extremophilic alga produces high
amounts of a brownish vacuolar pigment, whose chemical constitution and
ecological function is largely unknown until now. Field material was harvested to
isolate and characterize this pigment. Its tannin nature was determined by
photometric methods, and the structure determination was carried out by means of
HPLC-MS and 1D- and 2D-NMR spectroscopy. The main constituent turned out to be
purpurogallin carboxylic acid-6-O-beta-d-glucopyranoside. This is the first
report of such a phenolic compound in this group of algae. Because of its broad
absorption capacities of harmful UV and excessive VIS radiation, this secondary
metabolite seems to play an important role for the survival of this alga at
exposed sites. Attributes and abundances of the purpurogallins found in M.
berggrenii strongly suggest that they are of principal ecophysiological relevance
like analogous protective pigments of other extremophilic microorganisms. To
prove that M. berggrenii is a true psychrophile, photosynthesis measurements at
ambient conditions were carried out. Sequencing of the 18S rRNA gene of this
alpine species and of its arctic relative, the filamentous Ancylonema
nordenskioldii, underlined their distinct taxonomic position within the
Zygnematophyceae.
PMID- 22092590
TI - Age-related changes in the guinea pig auditory cortex: relationship with
brainstem changes and comparison with tone-induced hearing loss.
AB - Elderly people often show degraded hearing performance and have difficulties in
understanding speech, particularly in noisy environments. Although loss in
peripheral hearing sensitivity is an important factor in explaining these low
performances, central alterations also have an impact but their exact
contributions remained unclear. In this study, we focus on the functional effects
of aging on auditory cortex responses. Neuronal discharges and local field
potentials were recorded in the auditory cortex of aged guinea pigs (> 3 years),
and several parameters characterizing the processing of auditory information were
quantified: the acoustic thresholds, response strength, latency and duration of
the response, and breadth of tuning. Several of these parameters were also
quantified from auditory brainstem responses collected from the same animals, and
recordings obtained from a population of animals with trauma-induced hearing loss
were also included in this study. The results showed that aging and acoustic
trauma reduced the response strength at both brainstem and cortical levels, and
increased the response latencies more at the cortical level than at the brainstem
level. In addition to the brainstem hearing loss, aging induced a 'cortical
hearing loss' as judged by additive changes in the threshold and frequency
response seen in the cortex. It also increased the duration of neural responses
and reduced the receptive field bandwidth, effects that were not found in
traumatized animals. These effects substantiate the notion that presbycusis
involves both peripheral hearing loss and biological aging in the central
auditory system.
PMID- 22092589
TI - Clinical and radiographic evaluation of NobelActive(TM) dental implants.
AB - OBJECTIVES: To conduct a randomised controlled trial to evaluate the short-term
clinical and radiographic efficacy of the NobelActiveTM system and to evaluate
the relative importance of achieving primary stability at placement. MATERIALS
AND METHODS: A total of 32 subjects were recruited and, using a split-mouth
design, the NobelActive(TM) implant was compared with a contralaterally matched
Branemark implant. Both implants were placed in a single surgical procedure into
healed sites using a one-stage protocol and reviewed at monthly intervals.
NobelActive(TM) implants were functionally loaded with provisional restorations
at 1 month and all implants were restored with final crowns 3 months post-implant
placement. The implant was assessed using peak insertion torque values, resonance
frequency analysis (RFA), clinical parameters, digital subtraction radiography,
and cone beam computed tomography. RESULTS: The insertion torque was
significantly greater for the NobelActive(TM) implant group (P = 0.02), although
no observable difference in RFA values were found. Preliminary results of 6
months follow-up suggest comparable clinical and radiographic healing responses
between the test and control implants. Within the limits of the sample
population, the survival rates were lower with the test implants, although this
difference was not statistically significant. CONCLUSIONS: The NobelActive(TM)
implant system requires higher insertion torques and can also achieve greater
primary stability compared with a control implant system. Short-term survival and
marginal bone levels of NobelActive(TM) and control implants are comparable,
although the NobelActive(TM) implant system appeared to be more technique
sensitive.
PMID- 22092591
TI - Mutational analysis of YgfZ, a folate-dependent protein implicated in
iron/sulphur cluster metabolism.
AB - Proteins of the YgfZ family occur in all domains of life and are characterized by
the conserved dodecapeptide motif KGC[Y/F]-x-GQE-x(3) -[R/K]. YgfZ proteins are
known to participate in assembly or repair of iron/sulphur clusters, and to
require folate for biological activity, but their mechanism of action is unknown.
To assess the importance of individual residues in the conserved motif,
Escherichia coli Ygf Z was expressed from a plasmid in a DeltaygfZ strain and
subjected to alanine-scanning mutagenesis. The impacts on YgfZ functionality were
evaluated by assays of growth and of the in vivo activity of the iron/sulphur
enzyme MiaB, which modifies tRNA. By these criteria, the motif's tyrosine residue
(Y229) had a detectable influence but only the cysteine residue (C228) was
critical, for only the C228A mutant failed to complement the growth and MiaB
activity phenotypes of the DeltaygfZ strain. Immunoblots confirmed that the
latter result was not simply because of a low level of the C228A mutant protein.
Collectively, these data demonstrate a pivotal role for the Ygf Z motif's
cysteine residue and a subsidiary one for the adjacent tyrosine, and help
formulate a hypothesis about the folate requirement of Ygf Z proteins.
PMID- 22092592
TI - Completeness of reporting of radiation therapy planning, dose, and delivery in
veterinary radiation oncology manuscripts from 2005 to 2010.
AB - Surrounding a shift toward evidence-based medicine and widespread adoption of
reporting guidelines such as the Consolidated Standards of Reporting Trials
(CONSORT) statement, there has been a growing body of literature evaluating the
quality of reporting in human and veterinary medicine. These reviews have
consistently demonstrated the presence of substantive deficiencies in
completeness of reporting. The purpose of this study was to assess the current
status of reporting in veterinary radiation oncology manuscripts in regards to
treatment planning methods, dose, and delivery and to introduce a set of
reporting guidelines to serve as a standard for future reporting. Forty-six
veterinary radiation oncology manuscripts published between 2005 and 2010 were
evaluated for reporting of 50 items pertaining to patient data, treatment
planning, radiation dose, delivery of therapy, quality assurance, and adjunctive
therapy. A mean of 40% of checklist items were reported in a given manuscript
(range = 8-75%). Only 9/50 (18%) checklist items were reported in > or = 80%
manuscripts. The completeness of reporting was best in regards to a statement of
prescription radiation protocol (91-98% reported) and worst in regards to
specification of absorbed dose within target volumes and surrounding normal
tissues (0-6% reported). No manuscripts met the current International Commission
of Radiation Units and Measurements (ICRU) dose specification recommendations.
Incomplete reporting may stem from the predominance of retrospective manuscripts
and the variability of protocols and equipment in veterinary radiation oncology.
Adoption of reporting guidelines as outlined in this study is recommended to
improve the quality of reporting in veterinary radiation oncology.
PMID- 22092593
TI - Effect of intense pulsed light on rat skin.
AB - BACKGROUND: Intense pulsed light (IPL) is widely used in treating skin conditions
and has been reported to increase collagen and elastic fibers without damaging
the epidermis. OBJECTIVE: To evaluate the effect of variation in the number of
passes and intervals of IPL treatments on photorejuvenation in rats. MATERIALS
AND METHODS: Groups of two rats each were exposed to two or four passes of an IPL
source using a fluence of 30 J/cm(2) and a cut-off filter of 560 nm at 1- or 3
week intervals. The collagen and elastic fiber content in stained tissue biopsies
and the thickness of the collagen fibers of IPL-irradiated and unexposed skin
regions were compared. RESULTS: Collagen distribution and collagen fiber diameter
was in IPL-irradiated than in control regions. The number of passes did not
significantly affect the collagen fiber thickness, but the collagen fibers from
the 3-week-interval groups were thicker than those of the 1-week-interval groups
(p < .001). CONCLUSION: IPL increased dermal collagen fibers and collagen fiber
diameter, suggesting efficacy in photorejuvenation and wrinkle reduction.
PMID- 22092594
TI - Low neonatal Toll-like receptor 4-mediated interleukin-10 production is
associated with subsequent atopic dermatitis.
AB - BACKGROUND: Atopic dermatitis (AD) and respiratory syncytial virus lower
respiratory tract infection (RSV LRTI) are common diseases during early life.
Impaired Th1-cell polarizing Toll-like receptor (TLR) responses play an important
role in the pathogenesis of both diseases. Neonatal TLR-mediated production of
Th1-type cytokines is decreased at birth, but rapidly increases during the first
month of life. OBJECTIVE: To determine whether decreased TLR-mediated production
of Th1-polarizing cytokines, at the age of 1 month is associated with subsequent
AD or RSV LRTI. METHODS: A prospective healthy birth cohort study was performed.
Whole blood concentrations of innate immune cells and TLR-mediated cytokine
responses were measured at the age of 1 month in 291 neonates. AD was determined
by a physician questionnaire at the age of 1 year and RSV LRTI was defined as
parent-reported respiratory symptoms and presence of RSV RNA in a nose-throat
specimen. RESULTS: Of participating neonates, 45 (15%) developed AD and 41 (14%)
developed RSV LRTI. Risks of AD and RSV LRTI were not associated (chi(2) , P =
1.00). AD was associated with decreased concentrations of basophils (7.6 vs. 14.0
* 10(6) /mL, P = 0.002) and plasmacytoid dendritic cells (17.0 vs. 20.5 * 10(6)
/mL, P = 0.04), increased concentrations of NK-cells (79.7 vs. 45.1 * 10(6) /mL,
P = 0.03), and twofold lower TLR4-mediated IL-10 production (P = 0.001). In
contrast, RSV LRTI was associated neither with neonatal concentrations of innate
immune cells, nor with TLR-mediated TNF-alpha, IL-12p70, IL-10 or IFN-alpha
production. CONCLUSIONS AND CLINICAL RELEVANCE: Atopic dermatitis, but not RSV
LRTI, is associated with distinct pre-symptomatic differences in the innate
immune system. We hypothesize that decreased neonatal IL-10-mediated immune
regulation during early life might play a causal role in the initiation of AD.
PMID- 22092595
TI - Human leucocyte antigen-G: expression and function in airway allergic disease.
AB - Human leucocyte antigen-G (HLA-G) is a non-classical HLA class I molecule
demonstrated originally in placental trophoblast cells. Recognition of the
importance of HLA-G to the maternal immune accommodation of the semi-allogeneic
fetus has led to investigations of its role in the suppression of immune
responses and induction of tolerance. More recently, HLA-G has been shown to have
increased expression in several immunological diseases including asthma and
allergic rhinitis. The focus of this review is the potential role of HLA-G in
immunological airway diseases.
PMID- 22092597
TI - Effects of Coxiella burnetii on MAPKinases phosphorylation.
AB - Q fever is a disease caused by Coxiella burnetii, an obligate intracellular
bacterium. Acute Q fever is characterized by efficient immune response, whereas
chronic Q fever is characterized by dysregulated immune response as demonstrated
by the lack of granulomas, the failure of C. burnetii to induce
lymphoproliferation, and interferon-gamma production. The mitogen-activated
protein kinase (MAPK) signaling pathway plays crucial roles in innate immune
responses and control of bacterial infections. However, its role in Q fever has
not been addressed. First, we investigated the activation of MAPKs p38, c-jun N
terminal kinase (JNK) and extracellular signal-regulated kinase (ERK) 1/2 in
murine macrophages stimulated with C. burnetii. Coxiella burnetii NM phase I
(virulent) and NM phase II (avirulent) induced the activation of JNK and ERK1/2.
Avirulent C. burnetii activate p38, whereas C. burnetii did not induce the
phosphorylation of p38. Second, the level of p38 activation was studied in Q
fever patients. We found that p38 was activated in monocyte-derived macrophages
from healthy donors and patients with acute Q fever in response to a potent
agonist such as lipopolysaccharide. Interestingly, p38 was not activated in
patients with active chronic Q fever and was activated in patients with cured
chronic Q fever. These results suggest that the determination of p38 activation
may serve as a tool for measuring Q fever activity.
PMID- 22092596
TI - Knowledge of paediatricians regarding child oral health.
AB - BACKGROUND: In dentistry, clinical practice is directed towards attitudes that
promote oral health and the paediatricians occupy a privileged position in this
process. AIM: To assess the knowledge and attitudes of paediatricians in relation
to the oral health of their patients. DESIGN: A cross-sectional study was carried
out at the Institute of Integrative Medicine Professor Fernando Figueira, Recife,
Brazil. A total of 182 paediatricians participated by filling out a
questionnaire. RESULTS: A total of 63.9% believed the first visit to the dentist
should occur before the child completes 1 year of life. Moreover, 67.8%
considered their knowledge on oral health to be insufficient. Approximately 78%
of the paediatricians diagnosed caries through an analysis of cavities. Only
29.9% always recommended fluoride dentifrice. The term 'fluorosis' was unknown by
48.3% of the respondents. Concerning pacifiers, 32.6% did not allow it and 66.9%
did not either recommend it or restrict it. A total of 83.4% classified the oral
health content in their medical education as either nonexistent or deficient;
this figure remained high (72.4%) in relation to residency. CONCLUSIONS: It is
important to develop oral health information programmes to paediatricians.
Information on oral health should be included in medical curricula and residency.
PMID- 22092598
TI - Ciliate ingestion and digestion: flow cytometric measurements and regrowth of a
digestion-resistant Campylobacter jejuni.
AB - We measured ingestion and digestion rates of the pathogenic bacterium
Campylobacter jejuni by a freshwater ciliate Colpoda sp. to determine whether
Campylobacter is able to resist protist digestion. Campylobacter and the
nonpathogenic bacterium Pseudomonas putida LH1 were labeled with a 5
chloromethylfluorescein diacetate, which fluoresces in intact and active cells
but fades when exposed to low pH environments, such as protistan food vacuoles.
Ingestion and digestion rates were measured via flow cytometry as the change in
ciliate fluorescence over time, which corresponded to the quantity of
intracellular bacteria. The rate of Campylobacter ingestion exceeded the
digestion rate. Ciliates retained labeled Campylobacter 5 h after ingestion was
stopped. In contrast, ciliates grazing upon P. putida returned to baseline
fluorescence within 5 h, indicating that P. putida were completely digested. The
ability of intracellular Campylobacter to remain viable after ingestion was
tested by sorting individual ciliates and bacterial cells into Campylobacter
selective media. Campylobacter growth occurred in 15% (+/- 5 SE) of wells seeded
with highly fluorescent ciliates, whereas only 4% (+/- 1) of wells seeded with
free-living Campylobacter exhibited growth. A key advantage of this approach is
that it is rapid and should be applicable to other phagocytotis studies.
PMID- 22092599
TI - Incorporation of plant residue-derived carbon into the microeukaryotic community
in a rice field soil revealed by DNA stable-isotope probing.
AB - The microbial decomposition of plant residue is a central part of the carbon
cycle in soil ecosystems. Here, we explored the microeukaryotic community
responsible for the uptake of plant residue carbon in a rice field soil through
DNA-based stable-isotope probing (SIP) using dried rice callus labelled with (13)
C as a model substrate. Molecular fingerprinting with PCR-DGGE showed that the
total eukaryotic community in soil under drained (upland) conditions distinctly
changed within 3 days after the callus was applied and stable thereafter. The
predominant group of eukaryotes that incorporated callus carbon were fungi
affiliated with the Mucoromycotina (Mortierella), Ascomycota (Galactomyces,
Eleutherascus, Gibberella and Fusarium) and Zoopagomycotina (Syncephalis).
'Fungus-like' protists such as Pythium (stramenopiles) and Polymyxa (Cercozoa)
were also involved in carbon flow from the callus. Some of these fungi and
'fungus-like' protists took up soil organic matter with time, which suggested a
priming effect of the callus on the eukaryotic community. Our results
demonstrated the usefulness of SIP not only to trace the carbon flow from fresh
organic matter but also to study the effect of fresh organic matter on the
utilization of soil organic matter by the microbial community.
PMID- 22092600
TI - Where's the naevus? Inter-operator variability in the localization of melanocytic
lesion border.
AB - BACKGROUND: The first step in the analysis of a dermatoscopically imaged
melanocytic lesion is segmentation--informally, isolating those points in the
image belonging to the lesion from those belonging to the surrounding non
lesional skin. Although typically studied in the context of automated analysis,
segmentation is a necessary step even for human operators who plan to evaluate
quantitative features of a lesion (such as diameter or asymmetry). METHODS: In a
double blind evaluation of the segmentation of 77 digital dermatoscopic images,
we observed a significant inter-operator variability. RESULTS: The area of the
disagreement region was on average 15.28% of the area of the lesion itself, and
in 10% of the cases it was more than 28%. More experienced dermatologists showed
greater agreement among themselves than with less experienced dermatologists, and
a slight tendency toward 'tighter' segmentations. CONCLUSION: The evaluation
methodology addresses a number of crucial difficulties encountered in previous
studies and may be of independent interest. Our results underscore the necessity
of taking into account inter-operator variability in large epidemiological
studies, in particular those involving less experienced dermatologists, and of
striving toward techniques allowing greater standardization and replicability in
the evaluation of the fundamental visual parameters of lesions.
PMID- 22092601
TI - The development of doping use in high-level cycling: from team-organized doping
to advances in the fight against doping.
AB - In 1998, the Festina scandal at the Tour de France provided the first proof of
widespread doping in professional cycling. This doping scandal marked the end of
team-organized doping in professional cycling and ushered in a new period marked
by the increasing implementation of anti-doping measures. This article evaluates
the impact of the anti-doping rules and tests instituted since the Festina
scandal. We adopt a psychosocial approach to analyze the organization of doping
and the development of doping attitudes and practices in high-level cycling.
Sixteen cyclists were interviewed, of which eight were young, current cyclists
and eight were former cyclists who became professionals before the Festina
scandal. Our results show that although the fight against doping in the last
decade has reduced doping use in high-level cycling, anti-doping measures have
also had unexpected effects. The fight against doping in cycling is not over.
PMID- 22092602
TI - Direct visualization of the interaction between pilin and exopolysaccharides of
Myxococcus xanthus with eGFP-fused PilA protein.
AB - Type IV pili (TFP) and exopolysaccharides (EPS) are important components for
social behaviors in Myxococcus xanthus, including gliding motility and fruiting
body formation. Although specific interactions between TFP and EPS have been
proposed, there have as yet been no direct observations of these interactions
under native conditions. In this study, we found that a truncated PilA protein
(PilACt) containing only the C-terminal domain (amino acids 32-208) is sufficient
for EPS binding in vitro. Furthermore, an enhanced green fluorescent protein
(eGFP) and PilACt fusion protein were constructed and used to label the native
EPS in M. xanthus. Under confocal laser scanning microscope, the eGFP-PilACt
bound fruiting bodies, trail structures and biofilms exhibited similar patterns
as the wheat germ agglutinin lectin-labeled EPS structures. This study showed
that eGFP-PilACt fusion protein was able efficiently to label the EPS of M.
xanthus, providing evidence for the first time of the direct interaction between
the PilA protein and EPS under native conditions.
PMID- 22092603
TI - Inequalities in exposure and awareness of flood risk in England and Wales.
AB - This paper explores the environmental inequalities of living in the floodplains
of England and Wales and the differences in flood awareness of those 'at risk'.
An area comparison is made between an etic, objective flood risk exposure, and an
emic, subjective perception of that risk by social class. In all areas except the
Midlands, the working classes were more likely to reside in the floodplains; the
greatest exposure inequality is seen in the North East and Anglian regions. Flood
awareness in the Anglian regions was much lower than average, but there were no
significant class differences. In the Thames region, despite equal flood risk
exposure between classes, the most deprived displayed the least awareness of
flood risk. In the North East, inequalities in the distribution of flood risk
exposure accompanied inequalities in perception, resulting in the least aware and
most deprived experiencing the greatest flood risk.
PMID- 22092604
TI - Periodontal disease: a new factor associated with the presence of multiple
complex coronary lesions.
AB - BACKGROUND AND AIM: Periodontal disease, including bone loss, is thought to be
involved in coronary artery disease. Multiple complex coronary lesions relate to
multifocal destabilization of coronary plaques. We investigated whether bone loss
could be associated with the presence of multiple complex coronary lesions.
METHODS: This cross-sectional study included 150 patients with recent myocardial
infarction (<1 month). Multiple complex coronary lesions were determined at
coronary angiography. A panoramic dental X-ray including bone loss >50% was
performed. Patients with no or simple complex lesions were compared to patients
with multiple complex lesions. RESULTS: Over 20% of patients had multiple complex
coronary lesions. Patients with multiple complex lesion were less likely to be
women and more likely to have multivessel disease or elevated C-reactive protein
(CRP) than patients with no or single complex lesion. Bone loss >50% tended to be
more frequent in patients with multiple complex lesions (p = 0.063). In
multivariate analysis, multivessel disease, gender and CRP were associated with
multiple complex lesion. Bone loss >50% increased the risk of multiple complex
lesion. CONCLUSION: Bone loss was associated with complex multiple coronary
lesions, beyond systemic inflammation. These findings may bear important clinical
implications for the prevention and treatment of coronary artery disease.
PMID- 22092605
TI - A comparison between laser-doppler imaging and colorimetry in the assessment of
scarring: "a pilot study".
AB - BACKGROUND: This cross-sectional pilot-study investigated the reproducibility of
the LDI (Moor-LDI-B2; Moor Instruments) and the chromameter (Minolta chromameter
CR-300) when used in scar assessment. METHODS: Twenty-seven scars in 14 subjects
were included between January and June 2003. One observer performed two times
both measurements with 10 min apart. The intra-observer agreement is quantified
by means of the intra-class correlations (ICC) and the standard errors of
measurement (SEM) for both the LDI and the chromameter. RESULTS: Ignoring one
outlier, the ICC of the LDI = 0.856 and the SEM = 34.56. The chromameter shows a
better reproducibility with an ICC of 0.93 and a SEM of 0.79. CONCLUSION: This
pilot-study with a limited number of measurements shows a moderate
reproducibility of the LDI compared to the chromameter measurements, in the
assessment of respectively flux and redness in scars.
PMID- 22092606
TI - Periodontal health status and bacteraemia from daily oral activities: systematic
review/meta-analysis.
AB - AIM: The aim of this study was to investigate the robustness of the observations
on the influence of oral hygiene, gingival and periodontal status on the
development of bacteraemia from everyday oral activities (B-EOA), analysing its
prevalence, duration, magnitude and bacterial diversity. MATERIAL AND METHODS:
This systematic review/meta-analysis complies with PRISMA reporting guidelines.
MEDLINE-PubMed, the Cochrane Library and Embase were explored for detecting
studies on B-EOA. RESULTS: There were 290 potentially eligible articles, of which
12 article on B-EOA fulfilled the inclusion criteria and were processed for data
extraction (seven on toothbrushing, one on dental flossing and four on chewing).
Evaluating the influence of plaque and gingival indices on the prevalence of
bacteraemia following toothbrushing, the pooled odds ratios were 2.61 [95%
confidence interval (CI) = 1.45-4.69] and 2.77 (95% CI = 1.50-5.11),
respectively. None of five studies on bacteraemia following dental flossing and
chewing revealed a statistically significant association between oral hygiene,
gingival or periodontal status and the development of bacteraemia. CONCLUSIONS:
Meta-analysis showed that plaque accumulation and gingival inflammation scores
significantly increased the prevalence of bacteraemia following toothbrushing.
However, systematic review showed no relationship between oral hygiene, gingival
and periodontal status and the development of B-chewing, and there is no evidence
that gingival and periodontal health status affects B-flossing.
PMID- 22092607
TI - Enteropathogenic bacteria in dogs and cats: diagnosis, epidemiology, treatment,
and control.
AB - This report offers a consensus opinion on the diagnosis, epidemiology, treatment,
and control of the primary enteropathogenic bacteria in dogs and cats, with an
emphasis on Clostridium difficile, Clostridium perfringens, Campylobacter spp.,
Salmonella spp., and Escherichia coli associated with granulomatous colitis in
Boxers. Veterinarians are challenged when attempting to diagnose animals with
suspected bacterial-associated diarrhea because well-scrutinized practice
guidelines that provide objective recommendations for implementing fecal testing
are lacking. This problem is compounded by similar isolation rates for putative
bacterial enteropathogens in animals with and without diarrhea, and by the lack
of consensus among veterinary diagnostic laboratories as to which diagnostic
assays should be utilized. Most bacterial enteropathogens are associated with
self-limiting diarrhea, and injudicious administration of antimicrobials could be
more harmful than beneficial. Salmonella and Campylobacter are well-documented
zoonoses, but antimicrobial administration is not routinely advocated in
uncomplicated cases and supportive therapy is recommended. Basic practices of
isolation, use of appropriate protective equipment, and proper cleaning and
disinfection are the mainstays of control. Handwashing with soap and water is
preferred over use of alcohol-based hand sanitizers because spores of C.
difficile and C. perfringens are alcohol-resistant, but susceptible to bleach
(1:10 to 1:20 dilution of regular household bleach) and accelerated hydrogen
peroxide. The implementation of practice guidelines in combination with the
integration of validated molecular-based testing and conventional testing is
pivotal if we are to optimize the identification and management of
enteropathogenic bacteria in dogs and cats.
PMID- 22092608
TI - Diagnosis, treatment, control, and prevention of infections caused by Rhodococcus
equi in foals.
AB - Rhodococcus equi, a gram-positive facultative intracellular pathogen, is one of
the most common causes of pneumonia in foals. Although R. equi can be cultured
from the environment of virtually all horse farms, the clinical disease in foals
is endemic at some farms, sporadic at others, and unrecognized at many. On farms
where the disease is endemic, costs associated with morbidity and mortality
attributable to R. equi may be very high. The purpose of this consensus statement
is to provide recommendations regarding the diagnosis, treatment, control, and
prevention of infections caused by R. equi in foals.
PMID- 22092609
TI - Rhodococcus equi: clinical manifestations, virulence, and immunity.
AB - Pneumonia is a major cause of disease and death in foals. Rhodococcus equi, a
gram-positive facultative intracellular pathogen, is a common cause of pneumonia
in foals. This article reviews the clinical manifestations of infection caused by
R. equi in foals and summarizes current knowledge regarding mechanisms of
virulence of, and immunity to, R. equi. A complementary consensus statement
providing recommendations for the diagnosis, treatment, control, and prevention
of infections caused by R. equi in foals can be found in the same issue of the
Journal.
PMID- 22092610
TI - Hypoxic ischemic encephalopathy--what can we learn from humans?
AB - Hypoxic ischemic encephalopathy (HIE) is a condition that occurs in both human
newborns and foals. The condition is the subject of extensive current research in
human infants, but there have been no direct studies of HIE in foals, and hence,
knowledge of the condition has been extrapolated from studies in humans and other
animal models. The purpose of this review article is to highlight the most up-to
date and relevant research in the human field, and discuss how this potentially
might have an impact in the management of foals with HIE.
PMID- 22092611
TI - Sensitivity and specificity of canine pancreas-specific lipase (cPL) and other
markers for pancreatitis in 70 dogs with and without histopathologic evidence of
pancreatitis.
AB - BACKGROUND: Pancreatitis is a common disorder in dogs for which the antemortem
diagnosis remains challenging. OBJECTIVES: To compare the sensitivity and
specificity of serum markers for pancreatitis in dogs with histopathologic
evidence of pancreatitis or lack thereof. ANIMALS: Seventy dogs necropsied for a
variety of reasons in which the pancreas was removed within 4 hours of euthanasia
and serological markers were evaluated within 24 hours of death. METHODS:
Prospective study: Serum was analyzed for amylase and lipase activities, and
concentrations of canine trypsin-like immunoreactivity (cTLI) and canine pancreas
specific lipase (cPL). Serial transverse sections of the pancreas were made every
2 cm throughout the entire pancreas and reviewed using a semiquantitative
histopathologic grading scheme. RESULTS: The sensitivity for the Spec cPL (cutoff
value 400 MUg/L) was 21 and 71% in dogs with mild (n = 56) or moderate-severe
pancreatitis (n = 7), and 43 and 71% (cutoff value 200 MUg/L), respectively. The
sensitivity for the cTLI, serum amylase, and lipase in dogs with mild or moderate
severe pancreatitis was 30 and 29%; 7 and 14%; and 54 and 71%, respectively. The
specificity for the Spec cPL based on 7 normal pancreata was 100 and 86% (cutoff
value 400 and 200 MUg/L, respectively), whereas the specificity for the cTLI,
serum amylase, and lipase activity was 100, 100, and 43%, respectively.
CONCLUSION AND CLINICAL IMPORTANCE: The Spec cPL demonstrated the best overall
performance characteristics (sensitivity and specificity) compared to other serum
markers for diagnosing histopathologic lesions of pancreatitis in dogs.
PMID- 22092612
TI - Normal dogs treated with famotidine for 14 days have only transient increases in
serum gastrin concentrations.
AB - BACKGROUND: In people, serum gastrin concentrations increase in response to
administration of H(2) receptor antagonists, but the effect of famotidine
administration on serum gastrin concentrations has not been evaluated in dogs.
OBJECTIVES: To determine if serum gastrin concentrations increase in response to
14 days of famotidine treatment and the time needed to return to baseline after
discontinuation of famotidine; define stability of gastrin in samples held at
room temperature. ANIMALS: Eleven healthy dogs were included in part A
(famotidine treatment) and 7 healthy dogs in Part B (serum gastrin stability). In
part A, famotidine (0.5 mg/kg p.o. q12h) was administered for 14 days. Fasting
blood samples were collected on days 0, 3, 7, 11, 14, 16, 18, 20, and 22. In part
B, blood was collected after a 12-hour fast. Gastrin concentrations in serum
samples held at room temperature for <=30 minutes after sampling were compared to
concentrations in samples held at room temperature for 150 minutes after
sampling. RESULTS: Serum gastrin concentrations increased by day 3 of famotidine
administration and returned to baseline concentrations in all dogs by day 14
despite continued famotidine administration. Serum gastrin concentrations were
lower (20% mean decrease; P = .0005) in samples held at room temperature for 150
minutes. CONCLUSIONS AND CLINICAL IMPORTANCE: After 14 days of famotidine
administration, clinically healthy dogs have normal serum gastrin concentrations.
In a dog with clinical features consistent with gastrinoma, chronic famotidine
administration is unlikely to contribute to increases in serum gastrin
concentrations.
PMID- 22092613
TI - Utility of endoscopic biopsies of the duodenum and ileum for diagnosis of
inflammatory bowel disease and small cell lymphoma in cats.
AB - BACKGROUND: Endoscopic duodenal biopsies are relatively convenient, minimally
invasive tests for infiltrative intestinal disorders of cats. Ileal endoscopic
biopsies might not be performed because of technical difficulty and effort
required to prepare the colon. It is not known whether or not histopathology of
feline duodenal and ileal biopsies for detection of inflammatory bowel disease
(IBD) and small cell lymphoma (SC-LSA) provides comparable results. OBJECTIVES:
To evaluate the agreement between endoscopic biopsies of duodenum and ileum in
cats with IBD and SC-LSA. ANIMALS: Seventy client-owned cats with
gastrointestinal disease and adequate duodenal and ileal tissue biopsies obtained
endoscopically. METHODS: Retrospective study: Search of medical records of cats
with enteropathy and endoscopy. Samples were blinded and re-evaluated by single
pathologist (JM) for quality, number of biopsies, and diagnosis according to
WSAVA standards. Agreement of IBD and SC-LSA diagnoses among biopsy sites
assessed using Cohen's Kappa. RESULTS: Eighteen of 70 cats (26%) were diagnosed
with SC-LSA in duodenum, ileum, or both. Of these 18 cats, 7 (39%) were diagnosed
with only duodenal SC-LSA, 8 (44%) were diagnosed with only ileal SC-LSA, and 3
(17%) had SC-LSA in both duodenum and ileum. There was poor agreement on
diagnosis between duodenal and ileal biopsies (kappa = 0.23). CONCLUSIONS AND
CLINICAL IMPORTANCE: Although review by a single pathologist remains a limitation
of this study, results suggest that there is a population of cats in which
diagnosis of SC-LSA can be found only by evaluation of ileal biopsies. Clinicians
should consider performing both upper and lower GI endoscopic biopsies in cats
with infiltrative small bowel disease.
PMID- 22092614
TI - The presence of antiphospholipid antibodies in healthy Bernese Mountain Dogs.
AB - BACKGROUND: The role of antiphospholipid antibodies in the prolonged activated
partial thromboplastin time (aPTT) previously identified in healthy Bernese
Mountain Dogs remains unknown. In people, an isolated prolonged aPTT without
evidence of bleeding might be because of a thrombophilic condition caused by
antiphospholipid antibodies. OBJECTIVE: To examine if prolonged aPTT in healthy
Bernese Mountain Dogs is because of antiphospholipid antibodies. ANIMALS: Twenty
two healthy Bernese Mountain Dogs and 10 healthy adult dogs of various breeds.
METHODS: Prospective case control study. Healthy Bernese Moutain Dogs were
examined twice over 6 months. Dogs were investigated for the presence of lupus
anticoagulants and anticardiolipin (aCL) antibodies by the use of multiple aPTT
tests with low and high lupus anticoagulant sensitivities, a mixing study, and an
ELISA test for aCL antibody optical density to detect solid phase
antiphospholipid antibodies. RESULTS: In all, 15 of 22 healthy Bernese Mountain
Dogs were positive for lupus anticoagulants. The Bernese Mountain Dogs had
markedly higher levels of aCL antibodies compared with the control dogs (P =
.006). In all, 7 of 21 of the Bernese Mountain Dogs were positive for both lupus
anticoagulants and aCL antibodies, whereas 4 of 21 Bernese Mountain Dogs were
negative for both. CONCLUSIONS AND CLINICAL IMPORTANCE: Lupus anticoagulants and
aCL antibodies could be the cause of prolonged aPTT in healthy Bernese Mountain
Dogs. The importance of the antiphospholipid antibodies in the dogs remains
unknown.
PMID- 22092615
TI - Detection of Bartonella henselae IgM in serum of experimentally infected and
naturally exposed cats.
AB - BACKGROUND: Results of Bartonella henselae blood culture, polymerase chain
reaction (PCR) assay on blood, or IgG antibody assays do not always correlate
with the presence or absence of clinical disease in cats, and B. henselae IgG
antibodies in serum do not always correlate with bacteremia. However, little is
known concerning Bartonella spp. IgM antibodies in naturally exposed cats.
HYPOTHESIS: Bartonella spp. IgM antibodies in serum are associated with fever,
stomatitis, and bacteremia based on PCR assay results in experimentally infected
or client-owned cats. ANIMALS: Stored sera from cats experimentally infected with
B. henselae by exposure to Ctenocephalides felis, client-owned cats with and
without fever, and client-owned cats with and without stomatitis were studied.
METHODS: A Bartonella spp. IgM ELISA was titrated with samples from
experimentally infected cats and then test sera from client-owned cats were
assayed. Associations among IgM ELISA results, clinical findings, and bacteremia
as defined by Bartonella spp. PCR assay were assessed. RESULTS: All
experimentally infected cats developed Bartonella spp. IgM antibodies. Bartonella
spp. IgM antibody assay results were not always in agreement with PCR assay
results in client-owned cats (60%). Bartonella spp. DNA in blood, IgM antibodies,
and IgG antibodies were not associated with the presence of fever or stomatitis.
CONCLUSIONS AND CLINICAL IMPORTANCE: Because Bartonella spp. IgM antibodies as
measured by this assay were not associated with fever or stomatitis and were not
always in agreement with PCR assay results, there appears to be little need for
assessing individual client-owned cats for this antibody class alone.
PMID- 22092616
TI - Randomized, placebo controlled study of the effect of propentofylline on survival
time and quality of life of cats with feline infectious peritonitis.
AB - BACKGROUND: Currently there is no drug proven to effectively treat cats with
feline infectious peritonitis (FIP). HYPOTHESIS: Propentofylline (PPF) can
decrease vasculitis, and therefore prolong survival time in cats with FIP, and
increase their quality of life. ANIMALS: Twenty-three privately owned cats with
FIP. METHODS: Placebo-controlled double-blind trial. FIP was confirmed by
histology or immunostaining of feline coronavirus (FCoV) antigen in effusion or
tissue macrophages or both. The cats were randomly selected for treatment with
either PPF or placebo. All cats received additional treatment with
glucocorticoids, antibiotics, and low molecular weight heparin according to
methods. RESULTS: There was no statistically significant difference in the
survival time of cats treated with PPF (8 days, 95% CI 5.4-10.6) versus placebo
(7.5 days, 95% CI 4.4-9.6). The median survival time of all cats was 8 days (4-36
days). There was neither a difference in quality of life (day 7, P = .892), in
the amount of effusion (day 7, P = .710), the tumor necrosis factor-alpha (TNF
alpha) concentration (day 7, P = .355), nor in any other variable investigated in
this study, including a complete blood count, and a small animal biochemistry
profile. CONCLUSIONS AND CLINICAL IMPORTANCE: This study did not detect an effect
of PPF on the survival time, the quality of life, or any clinical or laboratory
parameter in cats with FIP. Therefore, PPF does not appear to be an effective
treatment option in cats with a late stage of the disease FIP.
PMID- 22092617
TI - Severe myositis associated with Sarcocystis spp. infection in 2 dogs.
AB - BACKGROUND: Dogs are definitive hosts for numerous species of the intracellular
protozoan parasite Sarcocystis. Reports of sarcocysts in muscles of dogs most
often represent incidental findings. HYPOTHESIS/OBJECTIVES: To report the
clinicopathologic, ultrastructural, and molecular findings in 2 dogs with
myositis associated with Sarcocystis spp. infection, as well as the response to
treatment with antiprotozoal drugs. ANIMALS: Two dogs with severe myositis in
association with massive sarcocystosis. METHODS: Retrospective case review.
Affected dogs were identified by a diagnostic laboratory. Attending clinicians
were contacted, and the medical records reviewed. Immunostaining and electron
microscopy were performed on muscle biopsies. Biopsies also were subjected to 18S
rRNA gene PCR. RESULTS: Both dogs had fever, lymphopenia, thrombocytopenia, and
increased serum alanine aminotransferase (ALT) activity when first evaluated. One
dog developed hyperbilirubinemia. Subsequently, both dogs had increased serum
creatine kinase activity and clinical signs of myositis, with reluctance to move,
generalized pain, and muscle wasting. Histopathology of muscle biopsies showed
severe inflammatory and necrotizing myopathy with numerous sarcocysts.
Ultrastructural studies and 18S rRNA gene sequence results were consistent with
infection with a Sarcocystis spp. other than Sarcocystis neurona. Both dogs
initially were treated unsuccessfully with clindamycin and anti-inflammatory
drugs. One dog died. The other dog subsequently responded to treatment with
decoquinate. CONCLUSIONS AND CLINICAL IMPORTANCE: Sarcocystis spp. infection
should be included in the differential diagnosis for dogs that develop fever,
thrombocytopenia, increased liver enzyme activities, and clinical and biochemical
evidence of myositis. Although additional studies are required, decoquinate holds
promise as an effective treatment for the disease.
PMID- 22092618
TI - Molecular prevalence of Bartonella, Babesia, and hemotropic Mycoplasma sp. in
dogs with splenic disease.
AB - BACKGROUND: Among diseases that cause splenomegaly in dogs, lymphoid nodular
hyperplasia (LNH), splenic hemangiosarcoma (HSA), and fibrohistiocytic nodules
(FHN) are common diagnoses. The spleen plays an important role in the immunologic
control or elimination of vector-transmitted, blood-borne pathogens, including
Bartonella sp., Babesia sp., and hemotropic Mycoplasma sp. OBJECTIVE: To compare
the prevalence of Bartonella sp., Babesia sp., and hemotropic Mycoplasma sp. DNA
in spleens from dogs with LNH, HSA, and FHN. MATERIALS AND METHODS: Paraffin
embedded, surgically obtained biopsy tissues from LNH (N = 50), HSA (N = 50), and
FHN (N = 37) were collected from the anatomic pathology archives. Spleens from
specific pathogen-free (SPF) dogs (N = 8) were used as controls. Bartonella sp.,
Babesia sp., and Mycoplasma sp. DNA was amplified by PCR, followed by DNA
sequencing. RESULTS: Bartonella sp. DNA was more prevalent in FHN (29.7%) and HSA
(26%) as compared to LNH (10%) (P = .019, .0373, respectively) or control spleens
(0.0%). The prevalence of Babesia sp. and hemotropic Mycoplasma sp. DNA was
significantly lower than Bartonella sp. DNA in HSA (P = .0005, .006,
respectively) and FHN (P = .003, .0004, respectively). There was no statistically
significant difference in DNA prevalence among the 3 genera in the LNH group.
CONCLUSIONS: The higher prevalence of Bartonella sp. in FHN and HSA warrants
future investigations to determine if this bacterium plays a role in the
development of these splenic diseases.
PMID- 22092619
TI - Quantitative and qualitative urine protein excretion in dogs with severe
inflammatory response syndrome.
AB - BACKGROUND: Proteinuria is an established characteristic of renal disease in
dogs, providing diagnostic and prognostic information. Little is known about the
occurrence and severity of proteinuria in dogs with severe inflammatory response
syndrome (SIRS). HYPOTHESIS: The quantitative and qualitative urinary protein
(UP) excretion is altered in dogs with SIRS. ANIMALS: Thirty-nine dogs with SIRS
and 15 healthy control dogs at admission. METHODS: A case control study was
performed. Diagnosis of SIRS was based on clinical and clinicopathological
findings. Urinary protein (UP) was measured by a colorimetric assay. Urinary
albumin (UAlb) and urinary retinol-binding protein (URBP) were measured by ELISA
and quantified in relation to urinary creatinine (UC). Sodium dodecyl sulfate
polyacrylamid-gel electrophoresis was conducted to identify the qualitative
pattern of proteinuria. Mann-Whitney U-test was used to assess differences in
UP/UC, UAlb/UC and URBP/UC between the groups. P-values < .05 were considered
significant. RESULTS: Dogs with SIRS had higher ratios of UP/UC, UAlb/UC and
URBP/UC (all P < .001) in comparison to healthy control dogs. Dogs with SIRS had
a total of 11 protein bands compared to 3 bands in healthy controls. In dogs with
SIRS, 58% of the total counted bands were in the low molecular weight range (<60
kDa) whereas 42% were in the middle (60-80 kDa)/high molecular weight range (>80
kDa). CONCLUSIONS AND CLINICAL IMPORTANCE: SIRS alters UP excretion in dogs.
Further studies should evaluate whether or not the magnitude of proteinuria is
predictive of the severity and outcome of dogs with SIRS.
PMID- 22092620
TI - Dose reduction of meloxicam in dogs with osteoarthritis-associated pain and
impaired mobility.
AB - BACKGROUND: Progressive nonsteroidal anti-inflammatory drug (NSAID) dose
reduction appears logical; however, there is no evidence-based medicine
indicating that efficacy is maintained as dose is reduced. OBJECTIVE: To
determine if NSAID dose can be reduced and pain relief and mobility can be
maintained in dogs with osteoarthritis (OA). ANIMALS: Client-owned dogs (n = 59)
with OA-associated impaired mobility and pain. METHODS: Prospective, randomized,
blinded study. After 14 days wash-out, dogs were randomized to reducing dose
(RDG) (n = 30) or maintenance dose (MDG) (n = 29). MDG received standard dose
meloxicam. RDG received a reducing dose from D28 onward, reducing to 0% of
maintenance for the final 2 weeks. Assessments were at D14, 28, 42, 56, 70, 84,
98 and 112 using subjective owner assessments, accelerometry (AM), and standing
percent body weight distribution (%BW). A Kaplan-Meier survival curve described
how dogs dropped out because of insufficient pain control. A Log-rank test
compared the groups. RESULTS: More dogs in RDG (13) dropped out because of owner
evaluated insufficient pain control compared with MDG (5) (P = .029; odds ratio:
3.67; median dropout time: 84 days in each group). For the dogs that did not drop
out (n = 41), there were no significant differences between groups in owner
assessments (P > .2 for each), %BW placed on the index limb (P = .750), or
accelerometer-measured activity (P = .14). CONCLUSION AND CLINICAL RELEVANCE:
Dose reduction is a less effective means of pain control compared with maintained
dosing. However, NSAID dose reduction with maintained efficacy is possible, but
success appears to be individual dog dependent.
PMID- 22092621
TI - Association between body condition and survival in dogs with acquired chronic
kidney disease.
AB - BACKGROUND: Obesity in people with chronic kidney disease (CKD) is associated
with longer survival. The purpose of this study was to determine if a
relationship exists between body condition score (BCS) and survival in dogs with
CKD. HYPOTHESIS/OBJECTIVES: Higher BCS is a predictor of prolonged survival in
dogs with CKD. ANIMALS: One hundred dogs were diagnosed with CKD (International
Renal Interest Society stages II, III or IV) between 2008 and 2009. METHODS:
Retrospective case review. Data regarding initial body weight and BCS,
clinicopathologic values and treatments were collected from medical records and
compared with survival times. RESULTS: For dogs with BCS recorded (n = 72), 13
were underweight (BCS = 1-3; 18%), 49 were moderate (BCS = 4-6; 68%), and 10 were
overweight (BCS = 7-9; 14%). For dogs with at least 2 body weights recorded (n =
77), 21 gained weight, 47 lost weight, and 9 had no change in weight. Dogs
classified as underweight at the time of diagnosis (median survival = 25 days)
had a significantly shorter survival time compared to that in both moderate
(median survival = 190 days; P < .001) and overweight dogs (median survival = 365
days; P < .001). There was no significant difference in survival between moderate
and overweight dogs (P = .95). CONCLUSIONS AND CLINICAL IMPORTANCE: Higher BCS at
the time of diagnosis was significantly associated with improved survival.
Further research on the effects of body composition could enhance the management
of dogs with CKD.
PMID- 22092622
TI - Radiographic heart size and its rate of increase as tests for onset of congestive
heart failure in Cavalier King Charles Spaniels with mitral valve regurgitation.
AB - BACKGROUND: In canine mitral regurgitation (MR) the rate of heart enlargement
increases in the last year before congestive heart failure (CHF). Measurement of
heart size and its rate of increase may be useful tests for CHF in MR.
OBJECTIVES: To determine the value of vertebral heart scale (VHS) and its rate of
increase (?VHS units/month) for diagnosing the presence and predicting the onset
of CHF. ANIMALS: Longitudinal study of 94 Cavalier King Charles Spaniels (CKCS).
METHODS: VHS was measured at intervals before CHF. ?VHS/month was calculated from
sequential pairs of VHS measurements and the interval between them. Diagnostic
accuracy and utility were determined by the areas under receiver operating
characteristic plots (AUROC), and likelihood ratios (LR). RESULTS: AUROC for VHS
at the onset of CHF was 0.93 (95% CI, 0.96-0.90), to predict CHF 1-12 months
before CHF was 0.74 (95% CI, 0.81-0.66), and for ?VHS/month at CHF was 0.98 (95%
CI, 0.99-0.96). Interval LRs and their cutoff values for CHF were for VHS: 13
(95% CI, 20-7.3) at >=12.7; 1.2 (95% CI, 2.0-0.68) between 12.7 and 12.0; 0.04
(95% CI, 0.18-0.01) at <=12.0, and for ?VHS/month: 15 (95% CI, 30-7.7) at >=0.08;
0.72 (95% CI, 2.0-0.25) between 0.08 and 0.06; and 0.05 (95% CI, 0.13-0.02) at
<=0.06. CONCLUSIONS AND CLINICAL IMPORTANCE: Under the conditions of this study,
VHS and particularly ?VHS/month are useful measurements for detecting onset of
CHF in CKCS with MR.
PMID- 22092623
TI - Comparisons of 2- and 3-dimensional echocardiographic methods for estimation of
left atrial size in dogs with and without myxomatous mitral valve disease.
AB - BACKGROUND: Two-dimensional (2D) and real-time three-dimensional (RT3D)
echocardiography can be used to assess left atrial (LA) size, but their
correlation in dogs remains unknown. HYPOTHESIS: Estimations of LA size differ
depending on the echocardiographic technique. ANIMALS: Privately owned dogs; 70
with myxomatous mitral valve disease and 32 healthy control dogs. METHODS:
Prospective observational study comparing RT3D volume at atrial end-diastole
(RT3DLAd) with 4 different 2D methods of estimating LA size: LA diameter and area
in short-axis (LA(sax) and LA(area)) and LA diameter in long-axis (LA(lax)), both
as indexed variables and as predictors of LA volume indexed to body weight (BW)
using allometric scaling and geometric assumption of sphericity. Furthermore,
agreement between indexed 2D based methods was studied using concordance
correlation coefficient (rho(c)) and Bland-Altman plots. RESULTS: None of the
indexed 2D methods of estimating LA size showed good correlation with BW-indexed
RT3DLAd volumes. Estimates of LA volumes from 2D measurements using allometric
scaling showed better correlation with RT3D volumes than corresponding calculated
volume approximations. The best correlation was found between RT3DLAd and
estimated LA volumes based on allometric scaling of LA(lax) (rho(c) = 0.89)
followed by LA(area) (rho(c) = 0.86) measurements. Comparing indexed 2D-based
measurements of LA size, best agreement was found between LA(sax) to aortic
diameter and LA(sax) to expected LA diameter, based on allometric scaling.
CONCLUSIONS AND CLINICAL IMPORTANCE: Allometric scaling of 2D-based measurements
of LA showed good correlation with RT3DLAd, whereas corresponding indexed
measurements or calculated volume approximations did not.
PMID- 22092624
TI - The effect of pimobendan on left atrial pressure in dogs with mitral valve
regurgitation.
AB - BACKGROUND: The effects of pimobendan on left atrial pressure (LAP) in dogs with
mitral valve disease (MR) have not been documented in a quantitative manner.
OBJECTIVE: The objective was to document and study the short-term effects of
pimobendan on LAP and echocardiographic parameters in MR dogs. ANIMALS: Eight
healthy Beagle dogs weighing 10.0-14.7 kg (3 males and 5 females; aged 2 years)
were used. METHODS: Experimental, cross-over, and interventional study. Dogs with
surgically induced MR received pimobendan at either 0.25 mg/kg or 0.50 mg/kg p.o.
q12h for 7 days and then, after a 7-day wash-out period, the other dosage. LAP
was measured for 30 minutes at baseline and again on days 1, 2, 4, and 7 of
pimobendan administration. RESULTS: Mean LAP was significantly decreased after
the administration of 0.25 mg/kg (15.81 +/- 5.44 mmHg to 12.67 +/- 5.71 mmHg, P <
.001) and 0.50 mg/kg (15.76 +/- 5.45 mmHg to 10.77 +/- 5.23 mmHg, P < .001).
Also, the 0.50 mg/kg group led to a significantly lower LAP (P < .01) compared
with the 0.25 mg/kg group. Significant reduction was seen for the first time 4
days after the administration of 0.25 mg/kg and a day after the administration of
0.50 mg/kg. CONCLUSIONS AND CLINICAL IMPORTANCE: Pimobendan decreased LAP in a
dose-dependent manner in dogs with acute MR caused by experimental chordal
rupture. This study did not evaluate adverse effects of high-dose pimobendan, and
additional studies in clinical patients are warranted.
PMID- 22092625
TI - Randomized, blinded comparison of epinephrine and vasopressin for treatment of
naturally occurring cardiopulmonary arrest in dogs.
AB - BACKGROUND: Administration of epinephrine during CPR is recommended for treatment
of cardiopulmonary arrest (CPA) in dogs. Administration of epinephrine during CPR
might be associated with deleterious adverse effects. Vasopressin has been
studied for use in CPR as an alternative. HYPOTHESIS: That administration of
vasopressin instead of epinephrine with standard CPR techniques will result in
improved outcome. ANIMALS: Seventy-seven client-owned dogs identified in the
ER/ICU with CPA were eligible for inclusion. METHODS: Randomized, prospective
clinical study. Dogs were randomized to receive epinephrine (0.01-0.02 mg/kg) or
vasopressin (0.5-1 U/kg) in a blinded fashion. Attending veterinarians were asked
to adhere to standardized CPR protocol for the 1st 6 minutes of CPR, during which
time doses of the study drug were administered at 3-minute intervals. RESULTS: A
total of 60 dogs completed this study with 31 receiving epinephrine and 29
receiving vasopressin. Overall rate of return of spontaneous circulation (ROSC)
was 60% (36/60), 32% (19/60) of dogs survived to 20 minutes, 18% (11/60) survived
to 1 hour. No difference was seen in rates of ROSC between the 2 groups (P =
.20). Dogs receiving epinephrine were more likely to survive to 1 hour (odds
ratio 5.86; 95% CI: 1.19-28.95) than those receiving vasopressin (P = .027).
CONCLUSIONS AND CLINICAL IMPORTANCE: ROSC was similar in dogs receiving
epinephrine or vasopressin. In this study, a survival advantage at 1 hour was
seen in those animals receiving epinephrine. No advantage of routine use of
vasopressin over epinephrine was detected. Further studies are required to
examine subgroups of dogs that might benefit from specific interventions.
PMID- 22092626
TI - Resting energy expenditure per lean body mass determined by indirect calorimetry
and bioelectrical impedance analysis in cats.
AB - BACKGROUND: Resting energy expenditure (REE) approximates >=60% of daily energy
expenditure (DEE). Accurate REE determination could facilitate sequential
comparisons among patients and diseases if normalized against lean body mass
(LBM). OBJECTIVE: (1) Validate open-flow indirect calorimetry (IC) system and
multifrequency bioelectrical impedance analysis (MF-BIA) to determine REE and
LBM, respectively, in healthy nonsedated cats of varied body conditions; (2)
normalize REE against LBM. ANIMALS: Fifty-seven adult neutered domestic short
haired cats with stable BW. METHODS: Continuous (45-min) IC-measurements
determined least observed metabolism REE. Cage gas flow regulated with mass flow
controllers was verified using nitrogen dilution; span gases calibrated gas
measurements. Respiratory quotient accuracy was verified using alcohol
combustion. IC-REE was compared to DEE, determined using doubly labeled water. MF
BIA LBM was validated against criterion references (deuterium, sodium bromide).
Intra- and interassay variation was determined for IC and MF-BIA. RESULTS: Mean
IC-REE (175 +/- 38.7 kcal; 1.5-14% intra- and interassay CV%) represented 61 +/-
14.3% of DEE. Best MF-BIA measurements were collected in sternal recumbency and
with electrodes in neck-tail configuration. MF-BIA LBM was not significantly
different from criterion references and generated LBM interassay CV% of 6.6
10.1%. Over- and underconditioned cats had significantly (P <= .05) lower and
higher IC-REE (kcal/kg) respectively, compared with normal-conditioned cats.
However, differences resolved with REE/LBM (approximating 53 +/- 10.3 kcal/LBM
[kg]). CONCLUSIONS AND CLINICAL IMPORTANCE: IC and MF-BIA validated herein
reasonably estimate REE and LBM in cats. REE/LBM(kg) may permit comparison of
energy utilization in sequential studies or among different cats.
PMID- 22092627
TI - White blood cell count and the sodium to potassium ratio to screen for
hypoadrenocorticism in dogs.
AB - BACKGROUND: Abnormal sodium to potassium (Na:K) ratios can raise suspicion for
hypoadrenocorticism (HA). Although dogs with HA usually have normal leukograms,
their white blood cell counts may be useful in screening for HA. OBJECTIVES: To
examine the utility of combining the Na:K ratio with white blood cell counts to
screen for HA in hospitalized dogs requiring fluid treatment administered i.v..
ANIMALS: Fifty-three dogs with confirmed HA and 110 sick dogs confirmed not to
have HA. METHODS: Retrospective, case-control study. Dogs were included if they
were hospitalized and administered fluids i.v., had a complete blood count and
measurement of serum Na and K concentrations. HA was diagnosed using an ACTH
stimulation test, or ruled out by measurement of basal serum cortisol
concentration. RESULTS: The receiver operating characteristic (ROC) curve for the
lymphocyte count was not significantly different from the ROC curve of the Na:K
ratio (P = .55). The ROC curve for the model combining the Na:K ratio and
lymphocyte count was superior for identifying dogs with HA compared to the Na:K
ratio (P = .02) or lymphocyte count (P = .005) alone. At the 100% sensitivity
cutoff, lymphocyte count was more specific for detection of HA than Na:K (P <
.001). CONCLUSIONS AND CLINICAL IMPORTANCE: A combination of the Na:K ratio and
lymphocyte count provides a better screening test for HA compared to the Na:K
ratio or lymphocyte count alone. At 100% sensitivity, the lymphocyte count is a
more specific test for HA than the Na:K.
PMID- 22092628
TI - The efficacy and safety of a novel lipophilic formulation of methimazole for the
once daily transdermal treatment of cats with hyperthyroidism.
AB - BACKGROUND: Previous studies on transdermal methimazole have used pluronic
lecithin organogel as the vehicle. This might not be the most suitable vehicle
for a lipophilic drug, such as methimazole. HYPOTHESIS/OBJECTIVES: Once daily
transdermal administration of a novel lipophilic formulation of methimazole is as
safe and effective as oral carbimazole in treating hyperthyroidism in cats.
ANIMALS: Forty-five client-owned cats diagnosed with hyperthyroidism. METHODS:
Prospective study. Cats with newly diagnosed, untreated hyperthyroidism were
treated with carbimazole (5 mg p.o., q12h) or methimazole (10 mg) applied to the
inner pinnae q24h. Cats were examined after 0, 1, 4, 8, and 12 weeks of
treatment. Clinical signs, body weight, systolic blood pressure, hematologic,
serum biochemical and urine parameters, total serum thyroxine concentrations
(TT4), and serum methimazole concentrations were recorded. RESULTS: No
significant differences between groups were detected at day 0. Both formulations
were effective in treating hyperthyroidism. No significant differences were
detected in thyroxine concentrations, body weight, blood pressure, heart rate,
alkaline phosphatase, alanine aminotransferase, creatinine, urea, and urine
specific gravity (USG) between groups. The serum methimazole concentrations
correlated poorly with TT4-concentrations in both groups. CONCLUSIONS AND
CLINICAL IMPORTANCE: In this 12-week trial, once daily application of a novel
formulation of transdermal methimazole applied to the pinnae was as effective and
safe as twice daily oral carbimazole in the treatment of cats with
hyperthyroidism. This novel formulation and transdermal application could have
practical advantages to some pet owners.
PMID- 22092629
TI - Transient evoked otoacoustic emissions testing for screening of sensorineural
deafness in puppies.
AB - BACKGROUND: Transient evoked otoacoustic emissions (TEOAE) are widely used for
human neonatal deafness screening, but have not been reported for clinical use in
dogs. HYPOTHESIS/OBJECTIVES: To investigate the feasibility of TEOAE testing in
conscious puppies and the ability of TEOAE testing to correctly identify deaf and
hearing ears, as defined by brainstem auditory evoked response (BAER). ANIMALS:
Forty puppies from 10 litters. METHODS: Prospective study on puppies presented
for hearing assessment as part of a congenital deafness BAER screening program.
Hearing status was determined using BAER. TEOAE testing was performed after the
BAER assessment and the results of the TEOAE testing were compared with the
hearing status for each ear. Parameters were tested for normality using the
D'Agostino Pearson test and comparisons between the deaf and hearing ears were
made using Mann-Whitney tests. RESULTS: TEOAE testing was readily performed in
puppies presented for congenital deafness screening. Using analysis parameters
based on those used in human neonatal hearing screening, TEOAE testing correctly
identified all deaf ears, as defined by BAER testing, with a sensitivity of 100%
(95% CI: 56-100%) for diagnosing deafness and specificity of 78% (95% CI: 66
87%). CONCLUSIONS AND CLINICAL IMPORTANCE: TEOAE testing is an effective
screening modality for identifying congenital sensorineural deafness in dogs. In
light of the simpler and less expensive equipment, TEOAE testing has the
potential to improve access to hearing screening and through this reduce the
prevalence of congenital deafness in the dog.
PMID- 22092630
TI - Epilepsy in the Petit Basset Griffon Vendeen: prevalence, semiology, and clinical
phenotype.
AB - BACKGROUND: Epilepsy with a genetic background is increasingly being identified.
In certain dog breeds, epilepsy occurs with a higher prevalence than the estimate
of 1-2% reported in the general dog population. HYPOTHESIS: The Petit Basset
Griffon Vendeen (PBGV) experiences an increased occurrence of epilepsy compared
to the general dog population. ANIMALS: The target population consisted of all
876 PBGV dogs registered in the Danish Kennel Club from January 1, 1999 to
December 31, 2008. The study population included 820 dogs that met the inclusion
criteria. METHODS: A population study was conducted to estimate the prevalence of
epilepsy in the Danish PBGV population. A mailed questionnaire was used to detect
possible signs of epilepsy. The information was subsequently validated by
telephone interviews of positive and possible positive responders and a negative
responder control group, using an extensive questionnaire developed to detect
epilepsy. Dogs evaluated as epilepsy positive after the telephone interview were
offered a clinical investigation. RESULTS: The prevalence of epilepsy was
estimated to be 8.9% (42/471) in the PBGV population. Average age of onset was
26.3 months. Sex and mode of response did not affect the prevalence, but a strong
litter effect was seen. Among euthanized dogs, epilepsy was the predominant cause
(6/45 = 13.3%). CONCLUSION AND CLINICAL IMPORTANCE: Petit Basset Griffon Vendeen
dogs experience an increased risk of epilepsy characterized by a relatively early
onset and dominated by focal seizures with and without secondary generalization.
With an estimated prevalence of 8.9% and substantial clustering within litters, a
genetic factor associated with epilepsy is suspected.
PMID- 22092631
TI - Incidence of postoperative seizures with and without levetiracetam pretreatment
in dogs undergoing portosystemic shunt attenuation.
AB - BACKGROUND: In dogs with congenital portosystemic shunts (CPS), postligation
seizures can be challenging to treat and often result in mortality. Levetiracetam
(LEV) is a novel anticonvulsive drug that is commonly used in humans with seizure
disorders who have hepatic comorbidity. OBJECTIVES: To compare the incidence of
postoperative seizures in dogs that underwent surgical attenuation of an
extrahepatic CPS and preoperatively received either LEV or no anticonvulsant
medication. ANIMALS: A total of 126 dogs undergoing attenuation of an
extrahepatic CPS that preoperatively received either LEV or no anticonvulsant
medication. METHODS: Retrospective case review. Information obtained included
signalment, duration of clinical signs, presence of neurologic abnormalities
before surgery, preoperative bile acid and ammonia concentrations, diagnostic
imaging modality, duration of hospitalization, postoperative complications
including seizures, and discharge status. Bayesian Poisson regression was used to
estimate the risk of seizures in LEV-treated dogs when compared with untreated
dogs. RESULTS: Levetiracetam was administered to 33% (42/126) of dogs. No dog
treated with LEV experienced postoperative seizures, whereas 5% (4/84) of dogs
not treated with LEV experienced postoperative seizures. The relative risk of
seizures was significantly (P < .0002) < 1 for the LEV-treated dogs, indicating
LEV protection against development of postoperative seizures. No dog that
experienced postoperative seizures survived to discharge from the hospital.
CONCLUSIONS AND CLINICAL IMPORTANCE: Levetiracetam administered at 20 mg/kg p.o.
q8h for a minimum of 24 hours before surgery significantly decreased the risk of
postoperative seizures and death in dogs undergoing surgical attenuation of
extrahepatic CPS with ameroid ring constrictors.
PMID- 22092632
TI - Clinical trial of vinblastine in dogs with transitional cell carcinoma of the
urinary bladder.
AB - BACKGROUND: Transitional cell carcinoma (TCC) of the urinary bladder of dogs can
be a difficult cancer to treat, and effective therapies are limited. Vinblastine
has been used in humans with TCC and has potent anti-proliferative effects
against canine TCC cells in vitro. OBJECTIVES: To determine the antitumor
activity and toxicoses of vinblastine in dogs with urinary bladder TCC. ANIMALS:
Animals selected were 28 privately owned dogs that presented to the Purdue
University Veterinary Teaching Hospital (PUVTH) with measurable, histologically
confirmed TCC. METHODS: Prospective clinical trial: The starting vinblastine
dosage was 3.0 mg/m(2) i.v. every 2 weeks. Treatment continued until cancer
progression or unacceptable toxicoses occurred. Complete evaluations (physical
exam, complete blood count [CBC], serum biochemical profile, urinalysis, thoracic
radiography, abdominal ultrasound [US]) were performed at 8-week intervals.
Urinary tract US with bladder tumor mapping was performed monthly. Toxicoses were
graded according to Veterinary Co-Operative Oncology Group (VCOG) criteria.
RESULTS: Tumor responses included 10 (36%) partial remission, 14 (50%) stable
disease, and 4 (14%) progressive disease. The median progression free interval
was 122 days (range, 28-399 days). The median survival time was 147 days (range,
28-476 days) from 1st vinblastine treatment to death and 299 days (range, 43-921
days) from diagnosis to death. The majority of dogs (27 of 28) did not have
clinically relevant adverse effects. Seventeen of 28 (61%) dogs required dosage
reductions because of neutropenia. CONCLUSION AND CLINICAL IMPORTANCE:
Vinblastine has antitumor activity against TCC in dogs and can be considered
another treatment option for this cancer.
PMID- 22092633
TI - Pharmacodynamic monitoring of canine T-cell cytokine responses to oral
cyclosporine.
AB - BACKGROUND: Pharmacodynamic assays measure the immunosuppressive effects of
cyclosporine on T-cells and offer an alternative assessment of efficacy in
individual patients. OBJECTIVE: To assess the immunosuppressive effects of high
and low dosage cyclosporine on canine T-cells and to develop a novel testing
system for individualized dose adjustment. ANIMALS: Seven healthy female Walker
hounds. METHODS: Experimental study using a paired comparison design. Flow
cytometry was used to measure T-cell expression of IL-2, IL-4, and IFN-gamma.
Cytokine expression 8 days after oral administration of high and low dosages of
cyclosporine was compared to baseline and washout values, respectively. The high
dosage was initially 10 mg/kg q12h and was then adjusted to attain established
immunosuppressive trough blood drug concentrations (>600 ng/mL). The low dosage
was 5 mg/kg q24h. RESULTS: High dosage cyclosporine resulted in significant
decreases in IL-2 and IFN-gamma expression (P = .0156, P = .0156), but not IL-4
expression (P = .2188). Low dosage cyclosporine was associated with a significant
decrease in IFN-gamma expression (P = .0156), while IL-2 expression was not
affected (P = .1094). CONCLUSIONS AND CLINICAL IMPORTANCE: T-cell function is
suppressed at trough blood drug concentrations exceeding 600 ng/mL, and is at
least partially suppressed in some dogs at low dosages. Direct evaluation of T
cell function could be an effective, more sensitive alternative to measuring
blood drug concentrations for monitoring immunosuppressive therapy.
PMID- 22092634
TI - Canine cutaneous perivascular wall tumors at first presentation: clinical
behavior and prognostic factors in 55 cases.
AB - BACKGROUND: Canine cutaneous perivascular wall tumors (c-PWT) are soft tissue
sarcomas recently identified when hemangiopericytomas were reclassified. No
previous clinical data are available for c-PWT. HYPOTHESIS/OBJECTIVES: To define
the clinical behavior and prognostic role of clinical and pathological variables
in a homogeneous population of c-PWT. ANIMALS: Fifty-five c-PWT in 53 client
owned dogs at first presentation undergoing surgery. METHODS: Retrospective case
series. The endpoint was the relapse of tumor (local and/or distant). The
prognostic values of clinical (age, sex, weight, site and tumor size, adjuvant
therapy) and pathological (status of surgical margins, histological grade,
mitosis, percentage of tumor necrosis) variables were investigated by univariate
and bivariate analyses (P < .05). The pattern of associations between variables
was explored by multivariate correspondence analysis (MCA). RESULTS: Twelve dogs
had a relapse. Ten dogs had local recurrence, 1 had metastatic disease, and 1 had
both. The estimated probability of local recurrence was 0.02, 0.08, 0.20, and
0.24 at 6 months, 1, 2, and 3 years, respectively. Size of the tumor was a
significant prognostic factor while status of margins had only a clinically
relevant hazard ratio. In MCA evaluation, young age, tumor size (< 5 cm), grade
I, and location in the extremities were associated. Association was also observed
for older age, tumor size (> 5 cm), grade II, and other location. CONCLUSION AND
CLINICAL IMPORTANCE: C-PWT tend to locally recur a long time after surgery. An
early diagnosis of c-PWT associated with small tumor size (< 5 cm) and clean
surgical margins ensures a good prognosis independently of histological grade.
PMID- 22092635
TI - Collection of peripheral blood CD34+ progenitor cells from healthy dogs and dogs
diagnosed with lymphoproliferative diseases using a Baxter-Fenwal CS-3000 Plus
blood cell separator.
AB - BACKGROUND: Canine peripheral blood mononuclear cell (PBMC) apheresis using a
Baxter-Fenwal CS-3000 Plus automated blood cell separator has not been reported.
OBJECTIVE: To determine the feasibility and safety of using a CS-3000 Plus blood
cell separator with a small volume separation container holder (SVSCH) and small
volume collection chamber (SVCC) to harvest canine PBMCs from dogs weighing <50
kg. ANIMALS: Eight healthy mongrel dogs and 11 client-owned dogs in clinical
remission for lymphoproliferative diseases (LPD). METHODS: In this prospective
study, aphereses were performed using a Baxter-Fenwal CS-3000 Plus blood cell
separator, with or without recombinant human granulocyte colony-stimulating
factor (rhG-CSF) treatment. RESULTS: Aphereses from 6 healthy dogs given rhG-CSF
yielded an average of 1.1 * 10(7) +/- 8.2 * 10(6) CD34+ cells/kg. Aphereses from
LPD dogs given rhG-CSF yielded an average of 5.4 * 10(6) +/- 3.25 * 10(6) CD34+
cells/kg (P = .17). Higher hematocrit in both groups of dogs receiving rhG-CSF
correlated with an increased number of CD34+ cells/kg harvested (healthy, P =
.04; LPD, P = .05). Apheresis was well tolerated by all dogs. CONCLUSIONS AND
CLINICAL IMPORTANCE: Canine PBMC apheresis using the Baxter-Fenwal CS-3000 Plus
cell separator with an SVSCH and SVCC is a feasible and safe option for
harvesting an adequate number of CD34+ peripheral blood progenitor cells from
dogs weighing >=17 kg for hematopoietic cell transplantation.
PMID- 22092636
TI - Serial measurement of lactate concentration in horses with acute colitis.
AB - BACKGROUND: Serial measurement of lactate concentration is utilized for
therapeutic and prognostic purposes in human critical care. The prognostic value
of serial lactate measurement in equine acute colitis warrants investigation.
HYPOTHESIS: Serial lactate concentrations are predictive of outcome in horses
with colitis. ANIMALS: A total of 101 horses with colitis. METHODS: Retrospective
study. Plasma L-lactate concentrations were measured at admission and at 4-8 and
24 hours after admission. Associations between admission, early (4-8 hours) and
late (24 hours) lactate concentrations, and survival status were determined. The
percent reduction in lactate concentration between admission and the early time
point, and between admission and the late time point, was calculated. Using a
cutoff value, associations between percent reduction in lactate and survival
status and associations between percent reduction in lactate and clinical and
clinicopathologic data were determined. RESULTS: There was no association between
admission plasma lactate concentration and survival status (P = .26). The 4-8 and
24 hour after admission lactate concentrations were associated with survival
status (P = .023, .013, respectively). Lactate cutoffs of <=2.3 and <=1.5 mmol/L
had the maximum sensitivity and specificity for predicting survival at the 4-8
and 24 hour time points, respectively. When lactate reduction >=30% at 4-8 hours
and >=50% at 24 hours after admission were used as the cutoffs, the percent
reduction of lactate concentration was significantly associated with survival (P
= .012 and .019, respectively). CONCLUSION AND CLINICAL IMPORTANCE: The
prognostic ability of serial measurement of blood lactate concentration warrants
prospective study as a measure of therapeutic response in horses with colitis.
PMID- 22092637
TI - Hyperlipemia in a population of aged donkeys: description, prevalence, and
potential risk factors.
AB - BACKGROUND: Hyperlipemia is a common disorder of the donkey, with mortality rates
of up to 80% reported. Such a poor prognosis makes prevention of this disorder or
amelioration in the early stages crucial. OBJECTIVES: The objective of this study
was to describe and determine the prevalence of hyperlipemia in a population of
donkeys and to determine risk factors for development of the disease. ANIMALS: A
total of 449 cases were investigated from a population of 3829 donkeys; donkeys
were resident at The Donkey Sanctuary, a charity providing refuge for unwanted
donkeys in the UK. Animals were selected on the basis of presence of clinical
disease. METHODS: A retrospective case-control study design was used, and all
donkeys presenting with hyperlipemia over a 4-year period were included. Each
case was matched with 2 controls that had not suffered from hyperlipemia in the
previous month. Multivariable analysis was carried out to determine risk factors.
RESULTS: A total of 449 clinical cases of hyperlipemia were reported with an
associated mortality rate of 48.5%. Concurrent disease was present in 72% of
donkeys and was the greatest risk factor (OR = 76.98); others included cardboard
bedding (OR = 3.86), movement (OR = 3.94), weight loss (OR = 6.4), dental disease
(OR = 1.73), and concentrate feeding (OR = 1.87). CONCLUSIONS: This study shows
that this population of donkeys in the UK often develops hyperlipemia,
particularly in response to stress or primary illness, and provides useful
insights in to health and management risk factors that may be addressed to
decrease the risk of hyperlipemia both in the study population and in other
similar donkey populations.
PMID- 22092638
TI - The relationship between serum calcium concentration and outcome in horses with
renal failure presented to referral hospitals.
AB - BACKGROUND: Hypercalcemia is common in horses with renal failure, but it is not
known whether it impacts prognosis. HYPOTHESIS/OBJECTIVES: The primary objective
of this study was to determine whether hypercalcemia was associated with
decreased likelihood of survival to discharge in horses with renal failure.
Secondary objectives were to determine whether hypercalcemia was more common in
acute (ARF) or chronic renal failure (CRF), whether feeding alfalfa was
associated with hypercalcemia, and whether serum creatinine concentration was
associated with survival. ANIMALS: Medical records of 63 horses presented to
referral hospitals for renal failure were evaluated. Cases were classified as ARF
or CRF based on historical and clinical findings. METHODS: The distribution of
hypocalcemic, normocalcemic, and hypercalcemic cases in the ARF and CRF groups
was determined. Mean serum calcium and creatinine concentrations for survivors
and nonsurvivors, and for ARF and CRF cases, were compared. Mean serum calcium
concentrations for cases fed alfalfa or not fed alfalfa were compared. RESULTS:
Hypercalcemia was significantly more common in CRF than ARF cases. CRF cases fed
alfalfa were significantly more likely to be hypercalcemic. There was no
significant difference in serum calcium concentration between survivors and
nonsurvivors. Serum creatinine concentration was significantly higher in
nonsurvivors and in ARF cases. CONCLUSIONS AND CLINICAL IMPORTANCE: Horses with
CRF are more likely to be hypercalcemic than horses with ARF. Hypercalcemia was
not associated with outcome in renal failure cases in this study. Additional
research on the impact of dietary calcium on long-term well-being in horses with
CRF is warranted.
PMID- 22092639
TI - Comparison of cortisol and ACTH responses after administration of thyrotropin
releasing hormone in normal horses and those with pituitary pars intermedia
dysfunction.
AB - BACKGROUND: Changes in both adrenocorticotropin (ACTH) and cortisol concentration
in response to thyrotropin releasing hormone (TRH) administration have been used
to diagnose equine pituitary pars intermedia dysfunction (PPID), but the use of
the 2 hormones has not been compared. HYPOTHESES: Measuring ACTH concentration is
superior to measuring cortisol concentration after TRH administration in
differentiating between normal horses and those with PPID, and the 2 hormone
concentrations are disassociated in PPID horses. ANIMALS: Eleven horses and 2
ponies with PPID and 19 normal horses. METHODS: A study evaluating cortisol and
ACTH concentrations before and at 14, 30, and 60 minutes after TRH
administration. RESULTS: At 14 and 30 minutes after TRH administration, cortisol
concentration increased in PPID horses, and ACTH increased in all groups; ACTH,
but not cortisol concentration, was significantly higher in PPID horses compared
with normal horses. A relationship between cortisol concentration and ACTH
concentration was seen in normal horses, but not in horses with PPID. Compared
with normal castrated males, normal female horses had a greater change in
cortisol concentration per unit change of ACTH concentration. CONCLUSIONS AND
CLINICAL IMPORTANCE: ACTH and cortisol concentrations are disassociated in horses
with PPID. Measuring ACTH concentration after TRH administration appears superior
to measuring cortisol concentration as a diagnostic test for PPID.
PMID- 22092640
TI - Equine degenerative myeloencephalopathy in Lusitano horses.
AB - BACKGROUND: Equine degenerative myeloencephalopathy (EDM) is a neurodegenerative
disorder that has been previously associated with low vitamin E concentrations.
OBJECTIVE: To describe the clinical, electrophysiologic, and pathologic features
of EDM in a group of related Lusitano horses. ANIMALS: Fifteen Lusitano horses.
PROCEDURES: Neurologic examinations were conducted, and serum vitamin E
concentrations were measured. Three neurologically abnormal horses were further
evaluated by ophthalmologic examination, electroretinography,
electroencephalography, muscle and nerve biopsies, and post-mortem examination.
RESULTS: Six horses appeared neurologically normal, 6 were neurologically
abnormal, and 3 had equivocal gait abnormalities. Abnormal horses demonstrated
ataxia and paresis. An inconsistent menace response was noted in 4 neurologically
abnormal horses and in 1 horse with equivocal findings. All horses had low serum
vitamin E concentrations (<1.5 ppm). Ophthalmologic examinations,
electroretinograms, electroencephalograms, and muscle and peripheral nerve
biopsies were unremarkable in 3 neurologically abnormal horses. At necropsy,
major neuropathological findings in these horses were bilaterally symmetric,
severe, neuro axonal degeneration in the gracilis, cuneatus medialis, cuneatus
lateralis, and thoracicus nuclei and bilaterally symmetric axonal loss and
demyelination mainly in the dorsolateral and ventromedial tracts of the spinal
cord. A diagnosis of EDM was made based on these findings. Pedigree analysis
identified 2 sires among the affected horses. CONCLUSIONS AND CLINICAL RELEVANCE:
Equine degenerative myeloencephalopathy is a neurodegenerative disorder that
causes ataxia and, in severe cases, paresis, in young Lusitano horses. The
disease appears to have a genetic basis, and although vitamin E deficiency is a
common finding, low serum vitamin E concentrations also may occur in apparently
unaffected related individuals.
PMID- 22092641
TI - A randomized clinical trial evaluating a farm-of-origin autogenous Moraxella
bovis vaccine to control infectious bovine keratoconjunctivis (pinkeye) in beef
cattle.
AB - BACKGROUND: A randomized, masked, 2-arm parallel trial was conducted to assess
the efficacy of a Moraxella bovis (M. bovis) autogenous vaccine to prevent
naturally occurring infectious bovine keratoconjunctivis (IBK) in beef calves.
HYPOTHESIS: The null hypothesis was that treatment group was not associated with
either risk of IBK or last observed weight. ANIMALS: The trial was conducted
between May and November 2009 and 2010 on a university-owned farm in Iowa. The
vaccine contained 2 randomly selected M. bovis from IBK cases that occurred at
the farm in 2008. Calves born between January and May 2009 and 2010 without
visible corneal lesions were randomly allocated to receive vaccine (n = 191) or
placebo (n = 178). METHODS: Two s.c. doses were administered 21-28 days apart.
Allocation to treatment was concealed using bottles marked A or B. Staff
observing the animals for IBK could not determine the treatment grouping. The
herd met the "at-risk" criteria (ie, >15% IBK in unvaccinated calves and M. bovis
detection in IBK cases). Analysis was "per-protocol". RESULTS: The risk of IBK
was 58/185 (31%) in vaccinated calves and 66/173 (38%) in unvaccinated calves
(adjusted risk ratio = 0.78; 95% CI, 0.49-1.24). Average weight before sale did
not differ between the vaccinated calves (196.6 kg, SD +/- 39.9) and unvaccinated
calves (198.1 kg, SD +/- 42.7) (P value = .19). No adverse effects were noted.
CONCLUSION AND CLINICAL IMPORTANCE: Combination of the study results with
previous studies suggests that autogenous M. bovis vaccines often are ineffective
in controlling naturally occurring IBK.
PMID- 22092642
TI - Renal tubular acidosis associated with zonisamide therapy in a dog.
PMID- 22092643
TI - Dynamic collapse of the common pharynx in a cat.
PMID- 22092644
TI - Ultrasonographic evaluation of vincristine-induced gastric hypomotility and the
prokinetic effect of mosapride in dogs.
AB - BACKGROUND: Vincristine induces gastrointestinal motility disorders in humans.
Adverse gastrointestinal events are commonly observed in dogs receiving
vincristine. OBJECTIVES: To evaluate gastric motility after vincristine
administration in dogs and the prophylactic effect of a prokinetic agent,
mosapride. ANIMALS: Five healthy Beagle dogs. METHODS: Five dogs received
vincristine i.v. at a dosage of 0.75 mg/m(2). The motility index (MI) of the
antral contraction was ultrasonographically evaluated 30 minutes postfeeding
before administration of vincristine and for 6 days after vincristine treatment.
After a 6-week washout period, the dogs received vincristine with mosapride (2
mg/kg p.o., q24h for 6 days), and the MI was re-evaluated. Adverse
gastrointestinal events were evaluated according to the Veterinary Co-operative
Group Common Terminology Criteria for Adverse Events (VCOG-CTCAE). RESULTS: After
vincristine administration, a significant decrease (P < .05) in MI was observed
on days 3 (6.64 +/- 0.30) and 4 (8.02 +/- 0.94), compared with pretreatment
levels (10.00 +/- 0.62). Gastrointestinal adverse events were observed in 4 dogs
(grade 2 decreased appetite: 3 dogs; grade 1 vomiting: 2 dogs; and grade 1
diarrhea and grade 2 hematochezia: 1 dog). When mosapride citrate was
administered with vincristine and for the next 5 days, no decrease in MI was
observed. Furthermore, adverse gastrointestinal events occurred less frequently
(grade 1 vomiting and grade 2 hematochezia in 1 dog each). CONCLUSIONS AND
CLINICAL IMPORTANCE: Vincristine (0.75 mg/m(2)) induces gastric hypomotility in
dogs. Preventive administration of mosapride citrate (2.0 mg/kg p.o., q24h)
improves hypomotility and may decrease the adverse gastrointestinal effects of
vincristine.
PMID- 22092645
TI - Comparison of four refractometers for the investigation of the passive transfer
in beef calves.
AB - BACKGROUND: Failure of passive transfer (FPT) in beef calves can be detected by
refractometry. Nevertheless, different models of refractometers are available,
and few studies compare them for the detection of FPT. OBJECTIVES: To compare the
accuracy of 4 different refractometers for measuring serum total protein
concentrations in comparison with results obtained by the biuret method and,
based on the serum IgG threshold of 1,600 mg/mL, to determine, for each
refractometer, the optimal serum protein concentration's lowest threshold for
successful passive transfer. ANIMALS: One hundred and eight healthy beef calves,
3-8 days of age. METHODS: Observational study. The concentrations of serum total
proteins were determined with 4 different models of refractometers and compared
with the biuret method by a Bland-Altman statistical method. The optimal serum
protein concentration's lowest threshold for successful passive transfer was
determined for each refractometer by receiver operating characteristic (ROC)
analysis. In addition, the serum immunoglobulin G (IgG) concentration was
compared with the serum gamma-glutamyl transferase (gamma-GT) activity and with
the total immunoglobulin concentration. RESULTS: The refractometric measurements
were highly correlated with those obtained by the biuret method. Serum total
protein concentration threshold values of 56, 58, 54, and 56 g/L were found
respectively for the Atago, Atago ATC, Wolf ATC, and digital ATC refractometers.
Immunoglobulins were highly correlated with IgG, whereas gamma-GT only reflected
colostrum uptake by the calf. CONCLUSIONS AND CLINICAL IMPORTANCE: All
refractometers could be used for the assessment of passive transfer using their
individual serum protein concentration threshold.
PMID- 22092647
TI - Pre-operative measurement of the volume of bone graft in sinus lifts using
CompuDent.
AB - OBJECTIVE: The aim of this study was to calculate the volume of graft necessary
for rehabilitation using varying lengths of implants, and to evaluate the
usefulness of the planning dental software in determining the pre-operative
volume of bone graft in maxillary sinus floor lifts. MATERIAL AND METHODS: Using
the CompuDent program, we calculated the volume of graft necessary for 62 sinus
lifts. This volume was measured to raise the floor of each sinus to 13.4 and 15
mm in height. RESULTS: The average volume of graft to achieve a lift of 13.4 mm
was 2.61 +/- 0.69 cm3 for the right maxillary sinus, with the range of 1.38-4.1
cm3, and 2.68 +/- 0.81 cm3 for the left maxillary sinus, with the range of 1.1
4.25 cm3. The average volume of graft to achieve a lift of 15 mm was 3.13 +/-
0.75 cm3 for the right maxillary sinus, with the range of 1.59-4.81 cm3, and 3.29
+/- 1.02 cm3 for the left maxillary sinus, with the range of 1.48-5.11 cm3. The
statistical results showed a significant inversely proportional correlation
between the average of the heights and the volume of graft in the right sinus.
CONCLUSIONS: The planning dental software is an effective tool in determining the
volume of bone graft given the tool's simplicity, rapidness and possibility of
standardization in all pre-surgical procedures.
PMID- 22092648
TI - Strength, diversity and plasticity of postmating reproductive barriers between
two hybridizing oak species (Quercus robur L. and Quercus petraea (Matt) Liebl.).
AB - Very little is known about the nature and strength of reproductive isolation (RI)
in Quercus species, despite extensive research on the estimation and evolutionary
significance of hybridization rates. We characterized postmating pre- and
postzygotic RI between two hybridizing oak species, Quercus robur and Quercus
petraea, using a large set of controlled crosses between different genotypes.
Various traits potentially associated with reproductive barriers were quantified
at several life history stages, from pollen-pistil interactions to seed set and
progeny fitness-related traits. Results indicate strong intrinsic postmating
prezygotic barriers, with significant barriers also at the postzygotic level, but
relatively weaker extrinsic barriers on early hybrid fitness measures assessed in
controlled conditions. Using general linear modelling of common garden data with
clonal replicates, we showed that most traits exhibited important genotypic
differences, as well as different levels of sensitivity to micro-environmental
heterogeneity. These new findings suggest a large potential genetic diversity and
plasticity of reproductive barriers and are confronted with hybridization
evidence in these oak species.
PMID- 22092649
TI - Bovine immune-mediated hemolytic anemia: 13 cases (November 2008-August 2009).
AB - BACKGROUND: Immune-mediated hemolytic anemia (IMHA) occurs in cattle; however,
there are few reported cases. OBJECTIVE: The aim of this study was to investigate
the prevalence of IMHA in cattle with anemia, describe the associated clinical
and laboratory findings, including osmotic fragility, and identify potential
causative infectious agents or drugs. METHODS: This study included 42 anemic
cattle (HCT < 27.5%) comprising 31 females and 11 bulls with a mean age of 3.5
years referred to the University of Tehran Veterinary Teaching Hospital during a
10-month period. CBCs, saline osmotic fragility tests, direct Coombs' tests, and
biochemical profiles were performed, and blood smears were evaluated for
spherocytosis, parasites, and microscopic agglutination. Five clinically healthy
cattle were used as controls for testing osmotic fragility of RBCs. RESULTS: The
Coombs' test was positive in 13/42 (30%) cattle; 5 had no evidence of concurrent
disease or history of drug administration, and 8 had underlying or concurrent
diseases, positivity for BLV, or exposure to drugs. The HCT (mean +/- SE) of
Coombs'-positive cattle (16 +/- 1.7%) was significantly lower than that of
Coombs'-negative animals (21 +/- 0.8%). Hematologic and biochemical findings in
cattle with IMHA included anisocytosis (2), polychromasia (2), basophilic
stippling (2), spherocytosis (2), hyperfibrinogenemia (5), left-shifted
neutrophilia (3), and hyperbilirubinemia (8). RBCs from Coombs'-positive anemic
cattle were more fragile than those from Coombs'-negative anemic cattle. Four
osmotically different populations of RBCs were detected in cattle with IMHA,
whereas RBC populations were homogeneous in the Coombs'-negative anemic cattle
and in normal cattle. CONCLUSION: IMHA was identified in a significant proportion
of anemic cattle. Idiopathic IMHA and IMHA secondary to infectious diseases and
administration of certain drugs occur in cattle.
PMID- 22092650
TI - An investigation of coagulation cascade activation and induction of fibrinolysis
using foam sclerotherapy of reticular veins.
AB - BACKGROUND: Coagulation parameters have not been investigated when foam
sclerotherapy is used to treat reticular leg veins. OBJECTIVE: To evaluate the
effect of foam bubbles on coagulation and fibrinolysis by measuring platelet
count and concentrations of clotting factors and fibrinogen after foam
sclerotherapy with sodium tetradecyl sulfate (STS) mixed with room air. MATERIALS
AND METHODS: Patients with reticular veins received treatment with up to 30 mL of
foam sclerotherapy prepared with STS and room air in a 1:4 ratio (1 mL STS, 4 mL
room air) using the double-syringe technique. Venous blood was drawn immediately
beforesclerotherapy and 15 minutes after the procedure. Pre- and post-treatment
laboratory values were compared. RESULTS: Subjects treated with up to 30 mL of
foam (30 mL foam = 6 mL sclerosing solution and 24 mL air) showed no
statistically significant difference in coagulation or fibrinolysis, as measured
according to platelet count and concentrations of clotting factors and
fibrinogen, after foam sclerotherapy with STS mixed with room air. CONCLUSION:
STS foam made with room air, up to 30 mL, when injected into reticular veins does
not affect coagulation parameters.
PMID- 22092651
TI - Semaphorin 3C is not required for the establishment and target specificity of the
GABAergic septohippocampal pathway in vitro.
AB - The septohippocampal (SH) pathway comprises cholinergic and GABAergic fibers.
Whereas the former establish synaptic contacts with all types of hippocampal
neurons, the latter form complex baskets specifically on interneurons. The
GABAergic SH function is associated with the control of hippocampal synchronous
networks. Little is known about the mechanisms involved in the formation of the
GABAergic SH pathway. Semaphorin (Sema) 3C is expressed in most hippocampal
interneurons targeted by these axons. To ascertain whether Sema 3C influences the
formation of the SH pathway, we analyzed the development of this connection in
Sema 3C-deficient mice. As these animals die at birth, we developed an in vitro
organotypic co-culture model reproducing the postnatal development of the SH
pathway. In these SH co-cultures, the GABAergic SH pathway developed with target
specificity similar to that present in vivo. SH axons formed incipient baskets on
several types of hippocampal interneurons at 7 days in vitro, which increased
their complexity by 18-25 days in vitro. These SH fibers formed symmetric
synaptic contacts on GABAergic interneurons. This synaptic specificity was not
influenced by the absence of entorhinal afferents. Finally, the absence of Sema
3C in target neurons or its blockage by neuropilin-1 and -2 ectodomains in slice
co-cultures did not lead to major changes in either the target specificity of the
GABAergic SH pathway or its density of innervation. We conclude that the
formation and synaptic specificity of the GABAergic SH pathway relies on robust
molecular mechanisms, independent of Sema 3C, that are retained in our in vitro
co-culture model.
PMID- 22092652
TI - Conjunctival provocation with airborne allergen in patients with atopic
keratoconjunctivitis.
AB - BACKGROUND: Atopic keratoconjunctivitis (AKC) is a chronic eye disease with
periods of exacerbations. Many patients experience no obvious seasonal variation,
although a majority of patients are allergic to common airborne allergens.
OBJECTIVE: To investigate the allergic reaction, to conjunctival provocation with
airborne allergens, in patients with AKC. METHODS: Eleven patients with AKC and
birch and/or grass pollen allergy participated in the study, which was performed
outside the pollen season. Five patients with seasonal allergic conjunctivitis
(SAC) and five healthy subjects were included for validation purposes. The
challenge was performed in one eye with the allergen, to which the patient was
reactive, and with dilution buffer in the other eye. Signs and symptoms from both
eyes were graded at baseline and at 10 min, 8 and 48 h after provocation. Tear
fluid was collected from both eyes for cytokine analyses at baseline and at 8 and
48 h. RESULTS: A significant change in clinical symptoms and signs, (redness and
chemosis) was evident 10 min after provocation compared with baseline (P = 0.005)
and compared with the unprovoked eye (P = 0.005) in AKC subjects. These
parameters were normalized after 8 and 48 h. A significant increase for IFN-gamma
(P = 0.021) and IL-6 (P = 0.015), and a near significant increase for IL-10 (P =
0.066) were seen in the tear fluid of the challenged eye at 48 h after
provocation vs. baseline and vs. the control eye for IFN-gamma (P = 0.005), IL-6
(P = 0.028) and IL-10 (P = 0.008) in AKC subjects. CONCLUSION AND CLINICAL
RELEVANCE: In this single dose allergen provocation study, AKC patients responded
with a typical IgE-mediated allergic reaction. An increase in cytokines at 48 h
after the challenge was demonstrated and might, with further studies, give us a
better understanding of the nature of inflammation in AKC.
PMID- 22092653
TI - Histomorphometrical and molecular evaluation of endosseous dental implants sites
in humans: correlation with clinical and radiographic aspects.
AB - OBJECTIVE: To evaluate the correlations between clinical-radiographical aspects
and histomorphometric-molecular parameters of endosseous dental implant sites in
humans. MATERIAL AND METHODS: The study sample consisted of bone implant sites
from the jawbones of 32 volunteers, which were classified according to two
different systems: (1) based only on periapical and panoramic images (PP); (2) as
proposed by Lekholm & Zarb (L&Z). Bone biopsies were removed using trephine
during the first drilling for implant placement. Samples were stained with
haematoxylin-eosin (HE), and histomorphometric analysis was performed to obtain
the following parameters: trabecular thickness (Tb.Th), trabecular number, bone
volume density (BV/TV), bone specific surface (BS/BV), bone surface density and
trabecular separation (Tb.Sp). In addition, immunohistochemistry analysis was
performed on bone tissue samples for the proteins, Receptor activator of nuclear
factor kappa-B (RANK), RANK ligand (RANKL), osteoprotegerin (OPG) and Osteocalcin
(OC). Also, the determination of the relative levels of gene expression was
performed using Reverse transcription-real-time Polymerase Chain Reaction (RT
PCR). RESULTS: PP and L&Z classification systems revealed a moderate correlation
with BV/TV, BS/BV, Tb.Th and Tb.Sp. L&Z's system identified differences among
bone types when BV/TV, BS/BV, Tb.Th and Tb.Sp were compared. A weak correlation
between PP/L&Z classifications and the expression of bone metabolism regulators
(RANK, RANKL, OPG e OC) was found. The analysis of mRNA expression showed no
difference between the bone types evaluated. CONCLUSIONS: Our results suggest
that PP and L&Z subjective bone-type classification systems are related to
histomorphometric aspects. These data may contribute to the validation of these
classifications. Bone remodelling regulatory molecules do not seem to influence
morphological aspects of the jawbone .
PMID- 22092654
TI - Immunohistochemical expression of Rho GTPases in ameloblastomas.
AB - Rho GTPases are proteins that regulate cell cycle, shape, polarization, invasion,
migration, and apoptosis, which are important characteristics of normal and
neoplastic cells. Rho GTPases expression has been reported in normal tooth germ
and several pathologies; however, it has not been evaluated in ameloblastomas.
The aim of this study was to analyze the expression and distribution of RhoA,
RhoB, Rac1, and Cdc42 Rho GTPases in solid and unicystic ameloblastomas. Three
micrometer sections from paraffin-embedded specimens were evaluated by using an
avidin-biotin immunohistochemical method with antibodies against the proteins
mentioned above. RhoA and RhoB staining was observed in a high number of cells (P
< 0.05) and greater intensity in non-polarized ones. Rac1 was not observed, and
Cdc42 did not show any statistical differences between the number of non
polarized and basal positive cells (P > 0.05). Upon comparing the studied
ameloblastomas, a higher number of positive cells in the unicystic variant was
observed than that in the solid one (P < 0,05). The results obtained suggest that
these GTPases could play a role in the ameloblastoma neoplastic epithelial cell
phenotype determination (polarized or non-polarized), as well as in variant
(solid or unicystic) and subtype (follicular or plexiform) determination.
Furthermore, they could participate in solid ameloblastoma invasion mechanisms.
PMID- 22092656
TI - CT features of pleural masses and nodules.
AB - Pleural space masses and nodules are rarely described on computed tomography (CT)
in veterinary medicine and have only been described in patients with neoplasia.
Our purpose was to describe the CT findings and diagnoses in seven patients with
pleural masses and nodules. Two patients had broad-based, plaque-like pleural
masses, both of which were due to neoplasia (primary pleural carcinoma,
metastatic thymoma). Two patients had well-defined pleural nodules and nodular
pleural thickening, one of which had mesothelial hypertrophy, and another of
which had metastatic hemangiosarcoma. Three patients had ill-defined pleural
nodules to nodular pleural thickening, one of which had metastatic pulmonary
carcinoma, while the other two had bacterial infection with mesothelial
proliferation (n = 2), fibrinous pleuritis (n = 1), and severe mediastinal
pleuritis/mediastinitis (n = 2). Five of the seven patients had focal, multifocal
or diffuse smooth, and/or irregular pleural thickening. Five of seven patients
had pleural effusion, and postcontrast CT was useful in several patients for
delineating the pleural lesions from the effusion. All patients except one had
additional lesions identified on CT besides those in the pleural space. CT is
useful in identifying and characterizing pleural space lesions and could be used
to guide further diagnostic procedures such as thoracoscopy or exploratory
thoracotomy. Both neoplastic and nonneoplastic diseases should be considered in
the differential diagnoses for pleural space masses and nodules found on CT.
PMID- 22092655
TI - Longitudinal relationship of early life immunomodulatory T cell phenotype and
function to development of allergic sensitization in an urban cohort.
AB - BACKGROUND: Immunomodulatory T cells are thought to influence development of
allergy and asthma, but early life longitudinal data on their phenotype and
function are lacking. OBJECTIVES: As part of the Urban Environment and Childhood
Asthma (URECA) study, we investigated the development of immunomodulatory T cell
phenotype and function, and characterized their relation to allergic disease
progression from birth through to 2 years of age. METHODS: Immunomodulatory T
cell phenotype and function in cord blood mononuclear cells (CBMC) and peripheral
blood mononuclear cells (PBMC) at 1 and 2 years of age were characterized by
analysing CD25(bright) and FoxP3(+) expression, proliferative responses and
cytokine production. The relation of immunomodulatory T cell characteristics to
allergic sensitization and disease at 1- and 2-years of age was investigated.
RESULTS: The proportion of CD4(+)CD25(bright) and CD4(+)CD25(+)FoxP3(+)T cells (n
= 114, 83, 82 at birth, 1- and 2-years respectively) increased significantly,
whereas there were no significant changes in the suppressive function of CD25(+)T
cells (n = 78, 71, 81 at birth, 1- and 2-years respectively). Birth
immunomodulatory T cell characteristics were not related to subsequent allergic
sensitization or disease. However, increases in the numbers of CD4(+)CD25(bright)
cells and their ability to suppress lymphoproliferative responses at 1 year of
age were associated with reduced allergic sensitization at 1 (P = 0.03) and 2 (P
= 0.02) years of age. Production of the anti-inflammatory cytokine IL-10 by
CD25(+)T cells appeared to mediate this protective suppressive function. In
contrast, by 2 years of age, we observed the emergence of a positive association
of CD4(+)CD25(+) FoxP3(+) T cell numbers with allergic sensitization (P = 0.05)
and eczema (P = 0.02). CONCLUSIONS AND CLINICAL RELEVANCE: These findings suggest
that the relationship between immunomodulatory T cell subsets, allergic
sensitization and eczema is developmentally regulated. In the first year of life,
CD4(+)CD25(+) IL-10 producing T cells are associated with a reduced incidence of
allergic sensitization. Once allergic sensitization or eczema is established,
CD4(+)CD25(+)FoxP3(+)T-reg cells expand to potentially counteract the allergic
inflammatory response. Understanding the relationship between development of
immunoregulatory T cells and early onset atopy could lead to new preventive
strategies for allergic diseases.
PMID- 22092657
TI - Development, ultrastructural pathology, and taxonomic revision of the
Microsporidial genus, Pseudoloma and its type species Pseudoloma neurophilia, in
skeletal muscle and nervous tissue of experimentally infected zebrafish Danio
rerio.
AB - The microsporidium Pseudoloma neurophilia was initially reported to infect the
central nervous system of zebrafish causing lordosis and eventually death.
Subsequently, muscle tissue infections were also identified. To understand the
infection process, development, and ultrastructural pathology of this
microsporidium, larval and adult zebrafish were fed P. neurophilia spores. Spores
were detected in the larval fish digestive tract 3-h postexposure (PE). By 4.5-d
PE, developing parasite stages were identified in muscle tissue. Wet preparations
of larvae collected at 8-d PE showed aggregates of spores in the spinal cord
adjacent to the notochord. All parasite stages, including spores, were present in
the musculature of larval fish 8-d PE. Adult zebrafish sacrificed 45-d PE had
fully developed infections in nerves. Ultrastructural study of the developmental
cycle of P. neurophilia revealed that proliferative stages undergo karyokinesis,
producing tetranucleate stages that then divide into uninucleate cells. The
plasmalemma of proliferative cells has a previously unreported glycocalyx-like
coat that interfaces with the host cell cytoplasm. Sporogonic stages form
sporophorous vacuoles (SPOV) derived from the plasmalemmal dense surface coat,
which "blisters" off sporonts. Uninucleate sporoblasts and spores develop in the
SPOV. The developmental cycle is identical in both nerve and muscle. The SPOV
surface is relatively thick and is the outermost parasite surface entity; thus,
xenomas are not formed. Based on the new information provided by this study, the
taxonomic description of the genus Pseudoloma and its type species, P.
neurophilia, is modified and its life cycle described.
PMID- 22092658
TI - Immediate rehabilitation of the edentulous mandible with screw type implants:
results after up to 10 years of clinical function.
AB - OBJECTIVES: The aim of this prospective case series was to evaluate the results
of an immediate loading concept using four Xi VE S plus implants in the
edentulous mandible, after a period of up to 10 years of clinical function.
MATERIAL AND METHODS: Thirty patients were treated with four implants each placed
interforaminally and provisionally restored within 1 week. Radiographic bone
levels, condition of the peri-implant mucosa, implant survival and success were
recorded annually from implant insertion (baseline) up to 10 years after final
restoration. RESULTS: A total of 120 Xi VE S plus implants were placed in the
interforaminal region. A significant coronal bone loss of 1.80 mm (SD +/- 0.65)
was recorded within the first 8 years of function (P < 0.001). Within the next
years no further significant increase of bone resorption was observed. The mean
values of the plaque, calculus, bleeding and mucosal indices and probing depth
remained low throughout this period. All implants were inserted with an insertion
torque of more than 32 N cm. Two losses (1.7%) occurred prior to permanent
restoration (1 and 3 months post-insertion), resulting in a survival rate of
98.3% over the entire observation period. Four implants were recorded as failures
due to excessive bone resorption, resulting in an overall success rate of 95%.
CONCLUSIONS: The results of this study indicate that in selected patients
immediate restoration of dental implants in the edentulous mandible will achieve
a clinically predictable outcome.
PMID- 22092659
TI - Diversity and expression of different forms of RubisCO genes in polluted
groundwater under different redox conditions.
AB - Groundwater polluted with methyl-tert-butyl ether (MTBE) and ammonium was
investigated for chemolithoautotrophic CO(2) fixation capabilities based on
detailed analyses of ribulose-1,5-bisphosphate carboxylase/oxygenase (RubisCO)
large subunit genes. Samples retrieved from a groundwater conditioning unit,
characterized by different redox conditions, were examined for the presence of
form IA, form IC (cbbL) and form II (cbbM) RubisCO genes and transcripts obtained
from DNA- and RNA-extracts. Form IA RubisCO sequences, which revealed a complex
and distinct variety in different sampling stations, were expressed in the
original groundwater and in samples amended with oxygen, but not in the aquifer
groundwater enriched with nitrate. Form IC RubisCO genes were exclusively
detected in groundwater supplied with oxygen and sequences were affiliated with
cbbL genes in nitrifying bacteria. cbbM genes were not expressed in the oxygen
amended groundwater, probably due to the low CO(2) /O(2) substrate specificity
of this enzyme. Most form II RubisCO transcripts were affiliated with RubisCO
genes of denitrifiers, which are important residents in the groundwater supplied
with nitrate. The distinct distribution pattern and diversity of RubisCO genes
and transcripts obtained in this study suggest that the induction of different
RubisCO enzymes is highly regulated and closely linked to the actual
environmental conditions.
PMID- 22092660
TI - The magnitude of intramuscular deoxygenation during exercise is an unreliable
measure to diagnose the cause of leg pain.
AB - We measured intramuscular oxygenation in the anterior tibial muscle of 176
patients with exercise-induced leg pain by noninvasive near-infrared spectroscopy
before, during, and after an exercise test that elicited the symptoms. Clinical
investigation was performed after each test. Intramuscular pressure was measured
in patients with nonconclusive findings. Chronic anterior compartment syndrome
(CACS) was diagnosed in 47 patients, and other causes for the leg pain were
diagnosed in 129 patients by clinical means. The mean level of oxygenation
decreased to 33 +/- 19% in patients with CACS and to 34 +/- 19% in patients
without CACS compared with baseline level (100%) at rest before exercise. The
level of oxygenation was below 20% during the exercise test in 12 of 47 (26%)
patients with CACS and in 30 of 129 (23%) patients without CACS. The time
required for reoxygenation after the cessation of exercise was 61 +/- 34 s in
patients with CACS and 46 +/- 20 s in patients without CACS (P < 0.05). We
conclude that the magnitude of intramuscular deoxygenation during exercise is an
unreliable measure to diagnose CACS. However, the time for reoxygenation
returning to baseline level following an exercise test is a valuable adjunct in
diagnosing CACS.
PMID- 22092661
TI - Granulomatous reaction to hyaluronic acid: a case series and review of the
literature.
PMID- 22092662
TI - Inferior alveolar nerve injury associated with implant surgery.
AB - OBJECTIVES: Inferior alveolar nerve (IAN) is the most commonly injured nerve
(64.4%) during implant treatment. At present, no standardized protocol exists for
clinicians to manage IAN injury related with implant surgery. Therefore, the
purposes of the present article were to analyze the reasons for nerve injury and
to propose guidelines in managing IAN injury. MATERIAL AND METHODS: Patients with
IAN sensory disturbances after implant treatment were recruited for the study.
Sixteen patients, eight men and eight women, with a mean age of 52.2 +/- 8.1
years participated in this study. Patient examination, treatment, and IAN sensory
function recovery monitoring were performed following six-step IAN injury during
dental implant surgery (IANIDIS) protocol. The control group was composed of 25
healthy volunteers who never had IAN sensory disturbances or any trauma in the
maxillofacial region. RESULTS: The IAN sensory disturbances were scored as
following: 5 (31.25%) had hyperalgesia and 11 (68.75%) expressed hypoalgesia. The
mean asymmetry index (AI) was calculated for each patient and varied from 0.6 to
3.2. Overall, 31.3% of nerve injury patients were classified as mild, 31.3% as
moderate, and remaining 37.5% as severe injury. All patients were successfully
treated with proposed IANIDIS protocol. CONCLUSION: The most frequent (50%) risk
factor for IAN injury was intraoperative bleeding during bone preparation. The
most common (56.3%) etiological risk factor of nerve injury was dental implant. A
six-step protocol aimed at managing patients with IAN injury, during dental
implant surgery, was a useful tool that could provide successful treatment
outcome.
PMID- 22092663
TI - Immunochemical studies of Salmonella Dakar and Salmonella Telaviv O-antigens
(serogroup O:28).
AB - Salmonella Dakar and Salmonella Telaviv bacteria belong to serogroup O:28, which
represents 107 serovars and possesses only the epitope O28. Salmonella Telaviv
has the subfactors O28(1) and O28(2) , whereas S. Dakar has O28(1) and O28(3) .
So far, only limited serological and immunological information for this serogroup
is available in the literature. Knowledge of the structures of their O
polysaccharides and the immunochemical investigations performed in this work
allowed to reveal the nature of subfactor O28(1) as attributed to the presence of
3-linked (or 3,4-disubstituted) alpha-d-GalpNAc in the main chains of S. Dakar
and S. Telaviv O-polysaccharides. An explanation for the cross-reactions between
Salmonella enterica O28 O-antigens and other Salmonella O-polysaccharides and
their structural similarity to Escherichia coli O-serogroups is also given.
PMID- 22092664
TI - Hydration measurements of the stratum corneum: comparison between the capacitance
method (digital version of the Corneometer CM 825(r)) and the impedance method
(Skicon-200EX(r)).
AB - BACKGROUND: Measurement of stratum corneum (SC) hydration often involves the use
of commercial instruments. The aim of this study was to compare and validate two
recent instruments: the Corneometer 825(r) (digital probe) and the Skicon-200
EX(r). METHODS: In vitro calibration was carried out on filter pads using
different solvents, measurements over different layers of plastic foils, and
evaluation of desorption kinetics. In vivo measurements were carried out on skin
sites covering a range of very dry to well-hydrated skin areas. RESULTS:
Conductance measurements are influenced by electrolytes while capacitance
measurements are not. Dielectric constant of the solvents influences the values
of both instruments (r respectively 0.92 and 0.99). The capacitance method
carries information from deeper layers (up to 45 MUm) compared with the
conductance instrument (up to 15 MUm). Desorption experiments show a strong
relation between the amount of water and respectively the capacitance and the
conductance values. The in vivo experiments revealed a strong relation between
the two methods (r = 0.97). Sensitivity of the capacitance method is limited for
the highest hydration values. CONCLUSIONS: Both instruments allow a certain
calibration and both give good estimates of the SC hydration.
PMID- 22092665
TI - Validation of a Korean version of the Child Oral Health Impact Profile (COHIP)
among 8- to 15-year-old school children.
AB - OBJECTIVES: The purpose of this study was to assess reliability, discriminant
validity, and convergent validity of the Oral Health Impact Profile (COHIP)
Korean version in a representative community sample of 8- to 15-year-old Korean
children. METHODS: A Korean version of COHIP was developed according to the
standard procedure of cross-cultural adaptation of self-reported instruments. A
representative community sample of 2236 schoolchildren was selected by cluster
sampling method. RESULTS: Mean age of the participants was 11.8 years. Mean and
median of the overall COHIP score were 103.3 (SD 13.3) and 106, respectively.
Internal reliability and retest reliability were excellent with Chronbach's alpha
0.88 and intraclass correlation coefficient 0.88. Face validity was confirmed
with 98% of participants reporting the COHIP questionnaire was easy to answer.
Nonclinical factors such as self-rated oral health or satisfaction with oral
health were significantly related with overall COHIP score and five subscale
scores (P < 0.001) in a consistent manner. Children with carious permanent teeth
and with orthodontic treatment need had highly significantly lower overall COHIP
score (P < 0.01). CONCLUSION: The Korean version of the COHIP was successfully
developed. The internal reliability, retest reliability, face validity,
discriminant validity, and convergent validity of the COHIP Korean version were
confirmed.
PMID- 22092666
TI - Treatment of experimental periodontal disease with antimicrobial photodynamic
therapy in nicotine-modified rats.
AB - BACKGROUND: The aim of this study was to compare antimicrobial photodynamic
therapy (aPDT) as an adjunctive treatment to scaling and root planing (SRP) for
induced periodontitis in nicotine-modified rats. MATERIAL & METHODS: A total of
240 rats were evenly divided into two groups: C - saline solution treatment; N -
nicotine treatment. Periodontal disease was induced in both groups at the first
mandibular molar. After 7 days, the ligature was removed. All animals were
submitted to SRP and were divided according to the following treatments: SRP -
irrigation with saline solution; Toluidine Blue-O (TBO) - irrigation with
phenothiazinium dye (100 MUg/ml); LLLT - laser irradiation (660 nm; 0.03 W; 4 J);
and aPDT - TBO and laser irradiation. Ten animals in each group/treatment were
euthanized at 7, 15 and 30 days. The histometric and immunohistochemical values
were statistically analysed. RESULTS: Intragroup analysis demonstrated that in
both groups the aPDT treatment resulted in lower bone loss (BL) when compared to
SRP in all experimental periods. Intergroup analysis demonstrated that aPDT
treatment resulted in lower BL in Group N than in Group C treated with SRP in all
experimental periods. CONCLUSION: Antimicrobial photodynamic therapy was an
effective adjunctive treatment to SRP for induced periodontitis in nicotine
modified rats.
PMID- 22092667
TI - Reactive oxygen and oxidative stress tolerance in plant pathogenic Pseudomonas.
AB - Reactive oxygen species (ROS) are a key feature of plant (and animal) defences
against invading pathogens. As a result, plant pathogens must be able to either
prevent their production or tolerate high concentrations of these highly reactive
chemicals. In this review, we focus on plant pathogenic bacteria of the genus
Pseudomonas and the ways in which they overcome the challenges posed by ROS. We
also explore the ways in which pseudomonads may exploit plant ROS generation for
their own purposes and even produce ROS directly as part of their infection
mechanisms.
PMID- 22092668
TI - Haptoglobin and fibrinogen concentrations and leukocyte counts in the clinical
investigation of caseous lymphadenitis in sheep.
AB - BACKGROUND: Corynebacterium pseudotuberculosis is the etiologic agent of caseous
lymphadenitis (CLA), a disease that affects small ruminants and is responsible
for economic losses, including condemnation of carcasses and damaged hides.
OBJECTIVE: The goal of this study was to determine if serum haptoglobin and
plasma fibrinogen concentrations and peripheral blood leukocyte counts are
biologic markers of CLA in sheep. METHODS: Blood from 38 clinically healthy Santa
Ines ewes selected and segregated from a commercial flock of 2500 sheep in an
area endemic for C. pseudotuberculosis was collected every 30 days for 6 months.
An indirect ELISA was used to detect IgM and IgG antibodies against C.
pseudotuberculosis. Serum haptoglobin concentration was measured using a
hemoglobin-binding assay and plasma fibrinogen concentration by refractometry
following heat precipitation. Total leukocyte counts were determined using a
hemocytometer, and differential leukocyte counts were performed on smears of
peripheral blood. RESULTS: Twenty-one sheep were seropositive at the start of the
study; 15 became seropositive during the study. Only 2 sheep were seronegative at
the conclusion of the study. Haptoglobin and fibrinogen concentrations and WBC
counts were not significantly different for seropositive and seronegative
animals. Nine sheep, 5 that were seropositive positive at the start and 4 that
became seropositive during the study period, developed abscesses in peripheral
lymph nodes. There were 15 animals that became seropositive during the study, and
their values did not differ significantly among the 3 phases--seronegative, acute
(IgM+/IgG+/-), and chronic (IgM-/IgG+)--of infection. However, 11 of these sheep
did not develop peripheral abscesses and had significantly higher haptoglobin
concentrations and lower monocyte counts during the acute phase of the disease
than did the 4 sheep that later developed abscesses. CONCLUSION: Serum
haptoglobin concentration and monocyte counts may be potential markers for
progression of CLA in sheep.
PMID- 22092669
TI - Skin hydration and cooling effect produced by the Voltaren(r) vehicle gel.
AB - BACKGROUND: Voltaren vehicle gel is the carrier substance of the topical Voltaren
products. This vehicle gel is especially formulated to be easily applied on the
skin, while providing some sensory benefits. The present study aims to
substantiate the widely perceived hydrating and cooling effect of Voltaren
vehicle gel. METHODS: Volar forearm skin hydration and transepidermal water loss
(TEWL) were measured and user satisfaction was evaluated by questionnaires, after
application in 31 healthy, female volunteers. The cooling effect was investigated
for 40 min with thermal imaging on 12 forearm sites of six healthy subjects.
RESULTS: Voltaren vehicle gel application increased skin hydration by 13.1% (P =
0.0002) when compared with the untreated site, 8 h after the final treatment
after 2 weeks. TEWL decreased on both treated (0.37 g/m(2) /h) and untreated
(0.74 g/m(2) /h) forearm sites after 2 weeks (8 h after last treatment),
demonstrating a relative increase of 6.5% in water loss. Voltaren vehicle gel
application resulted in a rapid reduction of skin surface temperature by 5.1
degrees C after only 3 min with an average maximum reduction of 5.8 degrees C
after 10 min. The cooling effect was experienced by 94% subjects, while 74% felt
that their skin became softer. No adverse events, including skin irritation, were
reported during the study and by the 37 participants. CONCLUSION: This study
showed a statistically significant increase in skin hydration as well as a rapid
cooling effect lasting approximately 30 min, after application of Voltaren
vehicle gel. The small relative increase in water loss may be attributed to an
additional skin surface water loss secondary to the increased water content
brought into the skin by the Voltaren vehicle gel. The use did not induce any
skin irritation and was found acceptable to use by the majority of participants.
PMID- 22092670
TI - Bone modelling at fresh extraction sockets: immediate implant placement versus
spontaneous healing: an experimental study in the beagle dog.
AB - OBJECTIVES: The purpose of this investigation is to describe histologically the
undisturbed healing of fresh extraction sockets when compared to immediate
implant placement. METHODS: In eight beagle dogs, after extraction of the 3P3 and
4P4, implants were inserted into the distal sockets of the premolars, while the
mesial sockets were left to heal spontaneously. Each animal provided four socket
sites (control) and four implant sites (test). After 6 weeks, animals were
sacrificed and tissue blocks were dissected, prepared for ground sectioning.
RESULTS: The relative vertical buccal bone resorption in relation to the lingual
bone was similar in both test and control groups. At immediate implant sites,
however, the absolute buccal bone loss observed was 2.32 (SD 0.36) mm, what may
indicate that while an apical shift of both the buccal and lingual bone crest
occurred at the implant sites, this may not happen in naturally healing sockets.
CONCLUSIONS: The results from this investigation showed that after tooth
extraction the buccal socket wall underwent bone resorption at both test and
control sites. This resorption appeared to be more pronounced at the implant
sites, although the limitations of the histological evaluation method utilized
preclude a definite conclusion.
PMID- 22092671
TI - Sex difference in fluid balance responses during prolonged exercise.
AB - Maintaining a proper fluid balance is important during exercise as athletes are
prone to develop dehydration during exercise. Although several factors may
regulate the fluid balance, little is known about the role of sex during
prolonged moderate-intensity exercise. Therefore, we compared body mass changes
and fluid balance parameters in men vs women in a large heterogeneous group of
participants during prolonged exercise. Ninety-eight volunteers walked 30-50 km
at a self-selected pace. Exercise duration (8 h, 32 min) and intensity (69%
HRmax) were comparable between groups. Men demonstrated a significantly larger
change in body mass than women (-1.6% vs -0.9%, respectively, P < 0.001) and a
higher incidence of dehydration (defined as >= 2% body mass loss) compared with
women (34% vs 12%, respectively, odds ratio = 4.2, 95% CI = 1.1-16.7). Changes in
blood sodium levels were significantly different between men (+1.5 mmol/L) and
women (-0.4 mmol/L), while 27% of the men vs 0% of the women showed postexercise
hypernatremia (sodium levels >= 145 mmol/L). Moreover, men demonstrated a
significantly lower fluid intake (2.9 mL/kg/h) and higher fluid loss (5.0
mL/kg/h) compared with women (3.7 and 4.8 mL/kg/h, respectively). Taken together,
our data suggest that men and women demonstrate different changes in fluid
balance in response to a similar bout of exercise.
PMID- 22092672
TI - The aberdeen knot: a sliding knot for dermatology.
PMID- 22092673
TI - HIV-1 gp120 upregulates matrix metalloproteinases and their inhibitors in a rat
model of HIV encephalopathy.
AB - Matrix metalloproteinases (MMPs) are implicated in diverse processes, such as
neuroinflammation, leakiness of the blood-brain barrier (BBB) and direct cellular
damage in neurodegenerative and other CNS diseases. Tissue destruction by MMPs is
regulated by their endogenous tissue inhibitors (TIMPs). TIMPs prevent excessive
MMP-related degradation of extracellular matrix components. In a rat model of
human immunodeficiency virus (HIV)-related encephalopathy, we described MMP-2 and
MMP-9 upregulation by HIV-1 envelope gp120, probably via gp120-induced reactive
oxygen species. Antioxidant gene delivery blunted gp120-induced MMP production.
We also studied the effect of gp120 on TIMP-1 and TIMP-2 production. TIMP-1 and
TIMP-2 levels increased 6 h after gp120 injection into rat caudate-putamen (CP).
TIMP-1 and TIMP-2 colocalized mainly with neurons (92 and 95%, respectively). By
24 h, expression of these protease inhibitors diverged, as TIMP-1 levels remained
high but TIMP-2 subsided. Gene delivery of the antioxidant enzymes Cu/Zn
superoxide dismutase or glutathione peroxidase into the CP before injecting gp120
there reduced levels of gp120-induced TIMP-1 and TIMP-2, recapitulating the
effect of antioxidant enzymes on gp120-induced MMP-2 and MMP-9. A significant
correlation was observed between MMP/TIMP upregulation and BBB leakiness. Thus,
HIV-1 gp120 upregulated TIMP-1 and TIMP-2 in the CP. Prior antioxidant enzyme
treatment mitigated production of these TIMPs, probably by reducing MMP
expression.
PMID- 22092674
TI - Use of fraction flow reserve to predict changes over time in management of
superficial femoral artery.
AB - BACKGROUND: Peripheral arterial disease is a condition characterized by
progressive arterial narrowing, which affects patients' quality of life. The
purposes of this study were to (1) establish the feasibility of obtaining
peripheral fractional flow reserve (pFFR) in the peripheral vascular circulation,
(2) demonstrate an association between baseline pFFR and peak systolic velocity
(PSV) measured by duplex ultrasound, and (3) correlate postintervention pFFR with
future restenosis using the change in PSV over time as a surrogate. METHODS:
Twenty patients underwent baseline ankle brachial index (ABI) and PSV testing.
Pre- and postintervention pFFR was performed. Patients were followed with three
ABI and PSV recordings during the 1 year follow-up period. The association
between baseline PSV, ABI, and pFFR with changes in PSV over time were explored.
Predictors of postprocedural PSV over time were determined. RESULTS: The baseline
translesional-resting ratio was significantly different from the pFFR using
adenosine (0.79 +/- 0.08 vs. 0.71 +/- 0.09, P = 0.01). Baseline PSV was
significantly associated with preintervention pFFR (-0.77, P < 0.001). Compared
to patients with a postprocedure pFFR > 0.95, patients with a postprocedure pFFR
< 0.95 had a significantly more rapid rise in PSV over time (P = 0.009).
CONCLUSION: This is the first study to demonstrate that the peripheral vascular
bed does respond to vasodilatation thereby supporting the use of pFFR for this
procedure. In our study, postintervention pFFR < 0.95 predicted a more rapid
increase in PSV over time, which is a reasonably accepted surrogate for
restenosis.
PMID- 22092676
TI - Reliability and failure modes of anterior single-unit implant-supported
restorations.
AB - PURPOSE: Failures of implant-abutment connections have been observed clinically,
especially in single-tooth replacements. This study sought to evaluate the
reliability and failure modes of implant-supported anterior crowns restored with
different implant systems. MATERIALS AND METHODS: Forty-two Ti-6Al-4V dental
implants (~4 mm diameter) were used for single anterior crown replacement and
divided into two groups according to tested system: (NB) Replace Select system,
Nobel Biocare (n = 21); and (IL) Internal connection system, Intra-Lock
International (n = 21). Proprietary abutments were screwed to the implants and
anatomically correct maxillary central incisor metal crowns were cemented and
subjected to step-stress-accelerated life testing in water. Use-level probability
Weibull curves and reliability for a mission of 50,000 cycles at 200 N (95% 2
sided confidence intervals) were calculated. Polarized-light and scanning
electron microscopes were used for failure analyses. RESULTS: The Beta values for
NB and IL (2.09 and 2.05, respectively) indicated that fatigue accelerated the
failure of both groups. The calculated reliability for the NB system (0.81) was
lower than for the IL system (0.96), but no significant difference was observed
between groups. Screw and abutment fracture was the chief failure mode in group
NB, while screw fracture was most representative in specimens of group IL.
CONCLUSIONS: Reliability of implant-supported maxillary central incisor crowns
was not significantly different between NB and IL abutments. Failure modes
differed between implant systems.
PMID- 22092675
TI - Anaphylaxis in Turkish children: a multi-centre, retrospective, case study.
AB - BACKGROUND: Anaphylaxis is a serious and potentially lethal systemic reaction
affecting more than one organ or system. OBJECTIVE: We aimed to describe the
demographic characteristics, clinical features, causes, settings, and
administered therapy in Turkish children. METHODS: This retrospective, case note
study included all children referred to the outpatient clinics of the Pediatric
Allergy Departments of the participating study centres from 1 July 1999 to 30
June 2009 for investigation of anaphylaxis or who were seen by us at the moment
of the reaction during the same period and who met the clinical criteria of
anaphylaxis. RESULTS: Two hundred and twenty-four cases of anaphylaxis were
reported in 137 children (88 boys, P = 0.0001). The mean +/- SD age at the
referral was 7.7 +/- 4.2 years (range: 4 months-17 years). Ninety-eight episodes
(43.8%) occurred at home. The symptoms were cutaneous in 222 (99.1%) episodes,
respiratory in 217 (96.9%), neuro-psychiatric in 118 (52.7%), cardiovascular in
92 (41.1%), and gastrointestinal in 88 (39.3%). Biphasic reaction was reported in
seven episodes (3.1%, 95% CI: 1.5-6.3). Death occurred in one case (0.4%, 95% CI:
0.08-2.4). Treatment was available in 158 episodes (70.5%). Of them, 148 (93.7%)
received antihistamines, 132 (83.5%) corticosteroids, 51 (32.3%) epinephrine, and
17 (10.8%) beta-2-mimetics. The causative agents were foods in 86 (38.4%)
episodes, hymenoptera venom in 84 (37.5%), drugs and medications in 47 (21.0%),
and latex in 5 (2.2%). In two episodes (0.9%), the causative agent was
unidentified. Allergy to the trigger was known prior to anaphylaxis in 116
(51.8%) episodes. An epinephrine auto-injector had been prescribed for 70
children (51.1%). CONCLUSIONS AND CLINICAL RELEVANCE: Anaphylaxis was seen
significantly more in boys. Most of the reactions occurred at home. Foods were
the most frequent cause. Epinephrine, the first-line treatment of anaphylaxis,
was administered in only a third of the children.
PMID- 22092677
TI - Complete mitochondrial genome sequence of the phytopathogenic fungus Penicillium
digitatum and comparative analysis of closely related species.
AB - The complete mitochondrial genome of Penicillium digitatum (Pers.:Fr) Sacc is
reported, the first time in a phytopathogenic Penicillium species. Comparative
analysis revealed its close relationship to mitochondrial genomes of other
Penicillium and Aspergillus species, both in gene content and in arrangement. The
intron content of protein coding genes revealed several differences. The
different exon-intron organization of Cytochrome Oxidase Subunit 1 genes
indicated their common origin before the divergence of Penicillium and
Aspergillus, and that, largely, their introns were transmitted vertically.
PMID- 22092678
TI - Pseudomonas syringae pv. phaseolicola effector HopF1 inhibits pathogen-associated
molecular pattern-triggered immunity in a RIN4-independent manner in common bean
(Phaseolus vulgaris).
AB - Plant pathogens usually promote pathogenesis by secreting effector proteins into
host plant cells. One of the secreted effectors of Pseudomonas syringae pv.
phaseolicola, the causative agent of halo-blight disease in common bean
(Phaseolus vulgaris), HopF1, activates effector-triggered immunity (ETI) in a
bean cultivar containing R1 resistance gene, but displays virulence function in a
bean cultivar without the R1 gene. The virulence mechanism of the effector
remained unknown, although it was identified more than a decade ago. Here we
demonstrated that HopF1 can inhibit pathogen-associated molecular pattern
triggered immunity (PTI) in a susceptible bean cultivar Tendergreen. HopF1
directly interacted with two RPM1-interacting protein 4 (RIN4) orthologs of bean,
PvRIN4a and PvRIN4b. Like RIN4 in Arabidopsis, both PvRIN4 orthologs negatively
regulated the PTI responses in bean. However, the virulence function of HopF1 was
enhanced in Tendergreen silencing PvRIN4. Furthermore, silencing PvRIN4a
compromised the avrbeta1-induced hypersensitive response (HR), which previously
was reported to be suppressed by HopF1. Together, these results demonstrated that
PvRIN4 orthologs were not the virulence target of HopF1 for inhibiting PTI, but
probably for interfering with ETI.
PMID- 22092679
TI - Adherence and motility characteristics of clinical Acinetobacter baumannii
isolates.
AB - Acinetobacter baumannii continues to be a major health problem especially in
hospital settings. Herein, features that may play a role in persistence and
disease potential were investigated in a collection of clinical A. baumannii
strains from Australia. Twitching motility was found to be a common trait in A.
baumannii international clone I strains and in abundant biofilm formers, whereas
swarming motility was only observed in isolates not classified within the
international clone lineages. Bioinformatic analysis of the type IV fimbriae
revealed a correlation between PilA sequence homology and motility. A high level
of variability in adherence to both abiotic surfaces and epithelial cells was
found. We report for the first time the motility characteristics of a large
number of A. baumannii isolates and present a direct comparison of A. baumannii
binding to nasopharyngeal and lung epithelial cells.
PMID- 22092680
TI - In vitro activity of deferoxamine against Porphyromonas gingivalis.
AB - Deferoxamine (DFO), an FDA-approved iron chelator used for treatment of iron
poisoning, affects bacteria as iron availability is intimately connected with
growth and several virulence determinants. However, little is known about the
effect on oral pathogens. In this study, the effect of DFO on Porphyromonas
gingivalis, a major periodontopathogen which has an essential growth requirement
for hemin (Fe(3+)-protoporphyrin IX), was evaluated. The viability of P.
gingivalis W83 was not affected by 0.06-0.24 mM DFO, whereas the doubling time of
the bacterium was considerably prolonged by DFO. The inhibitory effect was
evident at earlier stages of growth and reduced by supplemental iron. UV-visible
spectra using the pigments from P. gingivalis cells grown on blood agar showed
that DFO inhibited MU-oxo bisheme formation by the bacterium. DFO decreased
accumulation and energy-driven uptake of hemin by P. gingivalis. Antibacterial
effect of H(2)O(2) and metronidazole against P. gingivalis increased in the
presence of DFO. Collectively, DFO is effective for hemin deprivation in P.
gingivalis suppressing the growth and increasing the susceptibility of the
bacterium to other antimicrobial agents such as H(2)O(2) and metronidazole.
Further experiments are necessary to show that DFO may be used as a therapeutic
agent for periodontal disease.
PMID- 22092681
TI - Cloning and expression of serum opacity factor in fish pathogenic Streptococcus
dysgalactiae and its application to discriminate between fish and mammalian
isolates.
AB - Lancefield group C Streptococcus dysgalactiae (GCSD) is known as a causative
agent of bovine mastitis and cardiopulmonary diseases in humans. Recently, GCSD
has been isolated from diseased fish in Japan. Almost all culture supernatants
and sodium dodecyl sulfate extracts obtained from GCSD isolated from farmed fish
possessed serum opacity activity. Serum opacity factor (SOF) is a bifunctional
cell-associated protein that causes serum opacification. In this study, a gene
coding SOF, which was named sof-FD, was identified from GCSD isolated from fish.
The amino acid sequence of sof-FD showed 40.1-46.5% identity to those of other
SOFs from mammalian strains of S. dysgalactiae and Streptococcus pyogenes.
Repetitive fibronectin binding domains were also observed in sof-FD, the
structures of which were similar to those of other SOFs, as previously reported.
The amino acid sequence of SOF was identical among fish isolates. A primer set
targeting the sof-FD gene was designed and applied to a PCR assay for
discriminating fish isolates from mammalian isolates.
PMID- 22092682
TI - BopC is a type III secreted effector protein of Burkholderia pseudomallei.
AB - Burkholderia pseudomallei, the causative agent of melioidosis, exploits the Bsa
type III secretion system (T3SS) to deliver effector proteins into host cells.
These effectors manipulate host cell functions; thus, contributing to the ability
of the bacteria to evade the immune response and cause disease. Only two Bsa
secreted effectors have been conclusively identified to date. Here, we report the
identification of the third B. pseudomallei type III secreted effector protein,
designated BopC. BopC is encoded by the bpss1516 gene abutting bpss1517, which
encodes its putative chaperone. The genes are located in the close proximity to
the bsa T3SS gene cluster of B. pseudomallei K96243 (Fig. 1). BopC was secreted
into culture supernatant by the wild-type B. pseudomallei strain, but its
secretion was abolished in the bsaZ T3SS mutant. Using pull down and co
purification assays, we confirmed that BopC interacts with its putative
chaperone, BPSS1517, in vitro. Furthermore, the first 20 N-terminal amino acids
of BopC were found to be sufficient to mediate the T3SS-dependent translocation
of a reporter protein from a heterologous enteropathogenic Escherichia coli host
into mammalian cells. Finally, bopC mutant was found to be less invasive than the
wild-type strain in the epithelial cells.
PMID- 22092683
TI - Lactobacillus alvi sp. nov., isolated from the intestinal tract of chicken.
AB - Strain R54(T) was isolated from the gizzard of hens. The isolate was Gram
positive, facultative anaerobic, gas-forming, catalase-negative, nonmotile,
nonspore-forming and short-rod-shaped. The optimal temperature for growth was 40
degrees C and the DNA G+C content was 42.7 mol%. The 16S rRNA gene sequences
similarity showed that strain R54(T) was most closely related to Lactobacillus
ingluviei LMG 20380(T) (97.5%), followed by Lactobacillus coleohominis CIP
106820(T) (96.1%), Lactobacillus secaliphilus DSM 17896(T) (95.6%) and
Lactobacillus gastricus LMG 22113(T) (95.4%). The DNA-DNA relatedness between
strain R54(T) and L. ingluviei LMG 20380(T) , was 43.3%. The predominant cellular
fatty acids of strain R54(T) were C(18:1 omega9c) (64.9%) and C(16:0) (20.0%),
and the major polar lipid group was phospholipids. On the basis of polyphasic
taxonomy approach, strain R54(T) represents a novel species of the genus
Lactobacillus, for which the name Lactobacillus alvi sp. nov. is proposed (type
strain R54(T) = KCCM 90099(T) = JCM 17644(T)).
PMID- 22092684
TI - Induction of the Escherichia coli cysK gene by genetic and environmental factors.
AB - Cysteine synthase A encoded by cysK catalyzes the synthesis of cysteine from O
acetylserine. Expression of cysK in Escherichia coli is under the control of
CysB, a LysR family transcription factor. Herein we showed that the expression of
cysK is regulated by several genetic and environmental factors in addition to
CysB: two genetic factors, OmpR and CysE, and lithium. Based on the findings, we
constructed the high-level expression system of cysK.
PMID- 22092685
TI - Effect of glucocorticoid administration on adrenal gland size and sonographic
appearance in beagle dogs.
AB - Our aim was to evaluate the influence of glucocorticoids on the adrenal gland
using ultrasonography. Eleven healthy beagles were used in a prospective placebo
controlled study. All dogs received hydrocortisone at 10 mg/kg twice a day per os
for 4 months or a gelatin capsule twice a day per os as a placebo. Clinical and
endocrinologic examination of the dogs and ultrasonographic evaluation of adrenal
echogenicity, shape, and measurement of the length and height of the cranial and
caudal pole were performed at baseline (TO), at 1 (T1) and 4 months (T4) after
the beginning of treatment, and 2 months after the end of the treatment including
1 month of tapering and 1 month without treatment (T6). The dogs were assigned
randomly to the glucocorticoid (n = 6) and placebo groups (n = 5). At T1, the
difference between the two groups for the height of the cranial and caudal pole
was not ultrasonographically remarkable despite a statistically significant
difference (P = 0.0165 and P = 0.0206). Decreased height and length of entire
gland were observed at T4 (P < 0.0001, P = 0.0015, and P = 0.0035, respectively).
Percentages of atrophy were variable between dogs. Both adrenal glands regained
normal size and shape 1 month after cessation of glucocorticoid administration.
As not all dogs developed marked adrenal gland atrophy and the degree of atrophy
varied widely between individuals, ultrasonography cannot be the technique of
choice to detect iatrogenic hypercortisolism. Ultrasonographic changes are
reversible within 1 month after the end of glucocorticoid administration.
PMID- 22092686
TI - Serum bone formation marker correlation with improved osseointegration in
osteoporotic rats treated with simvastatin.
AB - OBJECTIVE: Simvastatin has been shown to enhance osseointegration of pure
titanium implants in osteoporotic rats. This study aimed to evaluate the
relationship between the serum level of bone formation markers and the
osseointegration of pure titanium implants in osteoporotic rats treated with
simvastatin. MATERIALS AND METHODS: Fifty-four female Sprague-Dawley rats, aged 3
months, were randomly divided into three groups: Sham-operated group (SHAM; n =
18), ovariectomized group (OVX; n = 18), and ovariectomized with simvastatin
treatment group (OVX + SIM; n = 18). Fifty-six days after ovariectomy, screw
shaped titanium implants were inserted into the tibiae. Simvastatin was
administered orally at 5 mg/kg each day after the placement of the implant in the
OVX + SIM group. The animals were killed at either 28 or 84 days after
implantation and the undecalcified tissue sections were processed for
histological analysis. Total alkaline phosphatase (ALP), bone-specific alkaline
phosphatase (BALP) and bone Gla protein (BGP) were measured in all animal sera
collected at the time of euthanasia and correlated with the histological
assessment of osseointegration. RESULTS: The level of ALP in the OVX group was
higher than the SHAM group at day 28, with no differences between the three
groups at day 84. The level of BALP in the OVX + SIM group was significantly
higher than both OVX and SHAM groups at days 28. Compared with day 28, the BALP
level of all three groups showed a significant decrease at day 84. There were no
significant differences in BGP levels between the three groups at day 28, but at
day 84, the OVX + SIM group showed significantly higher levels than both the OVX
and SHAM groups. There was a significant increase in BGP levels between days 28
and 84 in the OVX + SIM group. The serum bone marker levels correlated with the
histological assessment showing reduced osseointegration in the OVX compared to
the SHAM group which is subsequently reversed in the OVX + SIM group. CONCLUSION:
The results from this study indicate that the serum level of bone formation
markers, especially BALP and BGP, could be correlated with the degree of
osseointegration around titanium implants in osteoporotic rats treated with
simvastatin.
PMID- 22092687
TI - Post-mating prezygotic barriers to gene exchange between hybridizing field
crickets.
AB - Studies of sexual selection in speciation have traditionally focused on mate
preference, with less attention given to traits that act between copulation and
fertilization. However, recent work suggests that post-mating prezygotic barriers
may play an important role in speciation. Here, we evaluate the role of such
barriers in the field crickets, Gryllus firmus and Gryllus pennsylvanicus.
Gryllus pennsylvanicus females mated with G. firmus males produce viable, fertile
offspring, but when housed with both species produce offspring sired primarily by
conspecifics. We evaluate patterns of sperm utilization in doubly mated G.
pennsylvanicus females and find no evidence for conspecific sperm precedence. The
reciprocal cross (G. firmus female * G. pennsylvanicus male) produces no progeny.
Absence of progeny reflects a barrier to fertilization rather than reduced sperm
transfer, storage or motility. We propose a classification scheme for mechanisms
underlying post-mating prezygotic barriers similar to that used for premating
barriers.
PMID- 22092688
TI - Efficacy of pulsed electromagnetic energy in postoperative recovery from
blepharoplasty.
AB - BACKGROUND: A novel medical device that has been approved by the Food and Drug
Administration is available for treatment of postsurgical edema. The device emits
a low-level, pulsed electromagnetic energy field, which modulates resting cell
membrane potential, allowing a return to physiologic resting membrane potential.
OBJECTIVE: To investigate the benefits of electromagnetic energy in eyelid wound
healing. METHODS: Fifty-seven individuals participated in this randomized, double
blinded study. All patients underwent upper blepharoplasty. At the postoperative
visit, patients rated pain, edema, and ecchymosis, and the physician rated edema,
ecchymosis, and erythema. RESULTS: There was no difference (p = .76) in patient
pain rating when comparing placebo (1.6) with the patch (1.3). Patients reported
6% less edema (p = .11) and 10% less ecchymosis (p = .17) with the active patch
eye than in control eye. The physician-graded edema, ecchymosis, and erythema had
a mean Likert-type scale difference between placebo and active eyes of -0.3 (p =
.12), -0.3 (p = .17), and -0.2 (p = .004) respectively. CONCLUSION: The use of
pulsed electromagnetic energy did not have an effect on postoperative pain,
edema, or ecchymosis as rated by patients and physicians. There was a
statistically significant reduction in physician-graded erythema for active patch
eyes versus placebo.
PMID- 22092689
TI - Osseointegration in periodontitis susceptible individuals.
AB - OBJECTIVES: The aim of the present study was to examine tissue integration of
implants placed (i) in subjects who had lost teeth because of advanced
periodontal disease or for other reasons, (ii) in the posterior maxilla
exhibiting varying amounts of mineralized bone. MATERIAL AND METHODS: Thirty-six
subjects were enrolled; 19 had lost teeth because of advanced periodontitis
(group P) while the remaining 17 subjects had suffered tooth loss from other
reasons (group NP). As part of site preparation for implant placement, a 3 mm
trephine drill was used to remove one or more 2 mm wide and 5-6 mm long block of
hard tissue [biopsy site; Lindhe et al. (2011). Clinical of Oral Implants
Research, DOI: 10.1111/j.1600-0501.2011.02205.x]. Lateral to the biopsy site a
twist drill (diameter 2 mm) was used to prepare the hard tissue in the posterior
maxilla for the placement of a screw-shaped, self-tapping micro-implant (implant
site). The implants used were 5 mm long, had a diameter of 2.2 mm. After 3 months
of healing, the micro-implants with surrounding hard tissue cores were retrieved
using a trephine drill. The tissue was processed for ground sectioning. The
blocks were cut parallel to the long axis of the implant and reduced to a
thickness of about 20 MUm and stained in toluidine blue. The percentage of (i)
implant surface that was in contact with mineralized bone as well as (ii) the
amount of bone present within the threads of the micro-implants (percentage bone
area) was determined. RESULTS: Healing including hard tissue formation around
implants placed in the posterior maxilla was similar in periodontitis susceptible
and non-susceptible subjects. Thus, the degree of bone-to-implant contact (about
59%) as well as the amount of mineralized bone within threads of the micro
implant (about 45-50%) was similar in the two groups of subjects. Pearson's
coefficient disclosed that there was a weak negative correlation (-0.49; P <
0.05) between volume of fibrous tissue (biopsy sites) and the length of bone to
implant contact (BIC) while there was a weak positive correlation (0.51; P <
0.05) between the volume of bone marrow and BIC.
PMID- 22092690
TI - Recruitment order of the abdominal muscles varies with postural task.
AB - Abdominal muscle recruitment strategies in response to a postural perturbation
contradict the theory that the deeper abdominal muscles are always recruited in
advance of the more superficial muscles. The purpose of this study was to
determine whether such contrasting muscle recruitment patterns are due to the
postural task or the predictability of a postural task. Participants performed an
arm raise task as well as an unpredictable and a predictable balance perturbation
task (i.e. support-surface translation) while intramuscular electromyographic
(EMG) recordings were obtained from the deep [transversus abdominis (TrA)] and
superficial [obliquus externus (OE)] abdominal muscles. The abdominal muscle
recruitment order was dependent on the postural task but not on the
predictability of a postural perturbation. Whereas arm raises elicited similar
EMG onset latencies in TrA and OE, the OE onset latency was 48 ms earlier than
the TrA following an unpredictable translation (P = 0.003). The early OE
activation persisted when the translation was made predictable to the participant
(P = 0.024). These results provide evidence that the abdominal muscle recruitment
order varies with the trunk stability requirements specific to each task.
Rehabilitation strategies focusing on an early TrA activation to improve postural
stability may not be appropriate for all everyday tasks.
PMID- 22092691
TI - Evaluation of moldable, in situ hardening calcium phosphate bone graft
substitutes.
AB - OBJECTIVE: Moldable in situ self-stabilizing and hardening bone graft materials
facilitate handling and may be suitable for membrane-free bone regeneration
methods. This study aimed to compare two moldable synthetic calcium phosphate
materials in a rabbit calvarial defect model. METHOD: In 12 New Zealand white
rabbits, four evenly distributed 6 mm diameter defects were drilled in the
calvarial bone. Three filler materials were randomly applied to 48 defects: an in
situ hardening polylactide-coated beta-tricalcium phosphate (TCP), an in situ
hardening polylactide-coated biphasic calcium phosphate (BCP), and a granular
deproteinized bovine bone matrix (DBBM, positive control). One defect remained
untreated and served as a negative control. Six animals were sacrificed after 4
weeks, and the remaining animals were sacrificed after 16 weeks.
Biocompatibility, bone graft substitute integration and resorption, bone
formation, defect bridging, and height of reconstructed hard tissue were assessed
histologically and histomorphometrically. RESULTS: All tested materials showed
good biocompatibility. Semi-quantitative analysis and pair-wise comparison
suggested that BCP was more efficient in centripetal bone formation when compared
with TCP. After 4 weeks, significantly more bone had formed in the defects
treated with either TCP or BCP materials compared with the untreated sites. BCP
and DBBM did not show macroscopic signs of degradation, whereas the TCP material
was partially resorbed after 16 weeks. Otherwise, no major differences were
detected between the three materials. CONCLUSION: The moldable, synthetic calcium
phosphates are safe and suitable bone graft substitutes with outcomes that are
comparable to the control material.
PMID- 22092692
TI - Treatment and secondary prevention effects of the probiotics Lactobacillus
paracasei or Bifidobacterium lactis on early infant eczema: randomized controlled
trial with follow-up until age 3 years.
AB - BACKGROUND: Allergic disease has been associated with altered intestinal
microbiota. Therefore, probiotics have been suggested as a potential treatment
for eczema. OBJECTIVE: We investigated whether dietary supplementation of infants
with eczema at age 3-6 months with Lactobacillus paracasei CNCM I-2116 or
Bifidobacterium lactis CNCM I-3446 had a treatment effect or altered allergic
disease progression. METHODS: Primary outcome included eczema severity (SCORing
Atopic Dermatitis, SCORAD) 3 months post-randomization. Secondary: SCORAD (other
visits); infant dermatitis quality of life (IDQoL); gastrointestinal
permeability; urinary eosinophilic protein X; allergen-sensitization; allergic
symptoms (age 12, 18, 36 months). A total of 208 infants aged 3-6 months with
physician-diagnosed eczema were recruited; 137/208 (SCORAD >= 10, consuming >=
200 mL standard formula/day) were randomized to daily supplements containing L.
paracasei or B. lactis or placebo for a 3-month period, while receiving
extensively hydrolysed whey-formula (dairy-free diet). There were two open
observational groups, one group exclusively breastfed (n = 22) and the other,
standard formula-fed (n = 49). TRIAL NUMBER: ISRCTN41490500. RESULTS: Eczema
severity decreased significantly over time in all groups. No significant
difference was observed between randomized groups after 12-week treatment-period
(SCORAD-score pre-/post-intervention: B. lactis 25.9 [95% CI: 22.8-29.2] to 12.8
[9.4-16.6]; L. paracasei 25.4 [22.1-29] to 12.5 [9.2-16.4]; placebo 26.9 [23.4
30.6] to 11.8 [9.6-14.3]; P = 0.7). Results were similar when analysis was
controlled for allergen-sensitization, or when only sensitized infants were
analysed. No differences were found for secondary outcomes. No difference was
observed in SCORAD-score between randomized and observational groups. CONCLUSION
AND CLINICAL RELEVANCE: We found no benefit from supplementation with B. lactis
or L. paracasei in the treatment of eczema, when given as an adjunct to basic
topical treatment, and no effect on the progression of allergic disease from age
1 to 3 years.
PMID- 22092693
TI - Non-syndromic multiple supernumerary teeth: meta-analysis.
AB - BACKGROUND: Non-syndromic multiple supernumerary teeth (NSMST) is a condition
with a very low prevalence and which is opportunely described in the literature
by case reports or group of cases. This represents a problem when it comes to
describing and discussing the characteristics of this disorder. MATERIALS AND
METHODS: A search was conducted in PubMed, Web of Knowledge, Science Direct, and
Scopus databases to locate and identify cases and series of cases about articles
on multiple supernumerary teeth not associated with syndromes that have been
reported so far. The following data were recorded: age, gender, total number of
supernumerary teeth, number of teeth in both the maxilla and mandible,
respectively, and number of teeth in each dental series (incisor, canine
premolar, and molar). Bilaterality in dental series and its localization in
either maxilla or mandible were also determined. RESULTS: A total of 46 cases
including patients of both genders with a mean age of 19 years (SD = 6.826) and a
ratio of 2.14:1 were identified. A total of 393 supernumeraries were reported;
43.26% (n = 170) were located in the maxilla and 56.74% (n = 223) in the
mandible. No significant differences were found between genders with respect to
the total number, involvement of the dental series, or distribution of
supernumerary teeth in each of the arches. CONCLUSION: Although NSMST is a
condition that has a higher incidence in the male gender, no differences have
been found as to their number and localization in both genders. Bilaterality
seems to be a common characteristic of this disorder.
PMID- 22092694
TI - Micro-marsupialization as an alternative treatment for mucocele in pediatric
dentistry.
AB - BACKGROUND: Mucocele is a common oral lesion in children and adolescents.
Different techniques have been described for the treatment; however, all of them
are invasive. AIM: This work studied the efficacy of micro-marsupialization for
the treatment for mucoceles in paediatric patients. DESIGN: A retrospective
review was performed using the clinical records of patients aged between 0 and 18
years with a clinical diagnosis of mucocele. The following data were obtained:
age, gender, location and size of the lesion, duration of mucocele development,
and type of treatment and its results. RESULTS: The mean age of the patients was
11.1 +/- 3.95 years. Mucoceles were found in the lower lip (83.7%), buccal mucosa
(11.6%), and tongue (4.7%). From the overall cohort of 86 cases, 33 were treated
by micro-marsupialization, of which five developed a recurrence that required
surgical excision. The other 53 cases were treated by surgical excision, and
three of these had recurrent disease. No statistically significant difference was
found between the treatment methods. CONCLUSIONS: Micro-marsupialization can be
used to treat mucoceles in paediatric dentistry. It is simpler to perform,
minimally invasive, requires no local infiltration of anaesthesia, has a lower
postoperative complications rate, and is well-tolerated by patients.
PMID- 22092695
TI - Vertical bone augmentation with 3D-synthetic monetite blocks in the rabbit
calvaria.
AB - INTRODUCTION: Long-term success of osteointegrated dental implants requires
sufficient volume of healthy bone at the recipient sites. However, this is
frequently lacking as a result of trauma, tooth loss, or infection. Onlay
autografting is amongst the most predictable techniques for craniofacial vertical
bone augmentation, however, complications related to donor site morbidity are
common and alternatives to onlay autografts are desirable. AIM: To develop and
evaluate a new synthetic onlay block for vertical bone augmentation. MATERIAL AND
METHODS: Sixteen synthetic monetite monolithic discs-shaped blocks were prepared
using a 3D-printing technique. The blocks were computer-designed, and had a
diameter of 9.0 mm, a thickness of either 4.0 mm (n = 8) or 3.0 mm (n = 8) and
one 0.5-mm wide central hole that enabled their surgical fixation with
osteosynthesis screws. The blocks were randomly allocated to each side of the
calvaria (right or left) of eight New Zealand rabbits and fixed with screws to
achieve vertical bone augmentation. Eight weeks after the surgical intervention,
the animals were sacrificed and the calvaria were retrieved for histological
analysis. The following parameters were analysed: the interaction between the
graft and the original bone surface, the amount of bone ingrowth within the graft
and the gain in bone height achieved by the procedure. Wilcoxon t-test was used
to evaluate significant differences between the two types of monetite bone block
grafts. RESULTS: The blocks were easy to handle and no damage or fracture was
registered while being screw-fixated to the calvarial bone. As a result, the
surgical procedure was easy and quick. After a healing of 8 weeks, the synthetic
blocks were strongly fused to the calvarial bone surface. Upon histological
observation, the monetite blocks appeared to be infiltrated by newly formed bone,
without histological signs of necrosis, osteolysis or foreign body reaction.
Histomorphometry revealed that bone augmentation occurred within and over the
monetite block. The 4.0- and 3.0-mm high blocks were filled with newly formed
bone with 35% and 41% of their respective volumes. These observations indicated
that craniofacial bone augmentations of at least 4 mm could be achieved with
synthetic monetite blocks. CONCLUSION: Within the limits of our study, this novel
material may be able to eliminate the need for autologous bone transplantation
for the augmentation of large vertical bone defects.
PMID- 22092696
TI - Morphological and molecular characterization of Hematodinium perezi (Dinophyceae:
Syndiniales), a dinoflagellate parasite of the harbour crab, Liocarcinus
depurator.
AB - Hematodinium perezi Chatton and Poisson 1931 (Dinophyceae: Syndiniales) is
reported from one of its type hosts, Liocarcinus depurator, from Rye Bay in the
English Channel, a site in a similar geographical location to that of the type
description. The histology and ultrastructure of vegetative trophont stages, and
rDNA sequences of the parasite infecting this host are reported for the first
time. Ultrastructurally, H. perezi was confirmed by the presence of condensed
chromatin profiles, trichocysts, an alveolar membrane, and micropores. The
pathology of H. perezi was similar to other Hematodinium descriptions with large
numbers of parasites present within the haemolymph and host tissues. No host
responses against the parasite were observed. Molecular analysis of the ITS rRNA
regions from H. perezi infecting L. depurator suggests that Callinectes sapidus
from the United States, and Portunus trituberculatus and Scylla serrata from
China are infected with different genotypes of H. perezi. The morphological and
molecular characterization of H. perezi in one of the type hosts from Europe will
allow for a better understanding of the phylogeny of these pathogens of
commercially important Crustacea.
PMID- 22092697
TI - Criteria for diagnosing pemphigus vulgaris and pemphigus foliaceus by reflectance
confocal microscopy.
AB - BACKGROUND: Pemphigus is an autoimmune, bullous disease affecting the skin and
mucous membranes. The aim of the study was to evaluate whether pemphigus vulgaris
(PV) and pemphigus foliaceus (PF), may be diagnosed using reflectance confocal
microscopy (RCM). METHODS: Thirty patients (18 with PV and 12 with PF) were
included into the study. In total, 36 PV lesions and 29 PF lesions were examined.
Healthy-appearing skin adjacent to skin lesions and not adjacent to skin lesions
was also investigated. RESULTS: Intraepidermal clefts (bullae) with acantholytic
cells were observed in 47% of PV lesions and 59% of PF lesions. Multiple dilated
blood vessels in the upper dermis were observed using RCM in 61% of PV lesions
and in 86% of PF lesions. RCM features of pemphigus also included presence of
inflammatory infiltrates, loss of typical honeycomb pattern of the epidermis, and
detachment of the outer root sheath in hair follicles. In 20-64% of cases, RCM
features, which are characteristic for PV and PF lesions were also observed in
the proximity of these lesions within the healthy-appearing skin. Following
criteria for RCM diagnosis of pemphigus were developed: (i) acantholytic clefts
in RCM of a lesion, (ii) acantholytic clefts in RCM of healthy-appearing skin
adjacent to a lesion, (iii) multiple-dilated blood vessels in RCM of a lesion.
Fulfillment of two of these three criteria is sufficient to establish a RCM
diagnosis of pemphigus. These criteria do not differentiate between PV and PF.
CONCLUSIONS: RCM is useful for rapid, non-invasive, in-office differential
diagnosis of pemphigus. RCM does not replace immunologic and histopathologic
examinations, which remain the gold standard for establishing the final diagnosis
of PV and PF.
PMID- 22092698
TI - Hidradenitis suppurativa: surgical and other management techniques.
AB - BACKGROUND: Hidradenitis suppurativa (HS) is a chronic, recurrent, painful,
debilitating, and emotionally distressing disease. OBJECTIVE: This article aims
to provide a comprehensive review of HS, with a focus on surgical and procedural
therapies for this devastating disease. MATERIALS AND METHODS: By searching
PubMed using the keyword "hidradenitis suppurativa," this author identified 718
articles on this disease, among which surgical and other procedural treatments
for HS represent the most common topic. This literature was reviewed. RESULTS:
Management of this devastating disease comprises medical, surgical, and other
procedural therapies. Medical management can be successful in controlling mild
diseases, but recurrences are frequent. Surgery is considered the only curative
therapy for HS. CONCLUSION: More randomized controlled trials are needed to
clarify the relative efficacy of various treatment modalities; however, surgical
and procedural treatments can be more successful than medical treatments,
especially for patients with severe diseases.
PMID- 22092699
TI - Effects of skin pressure from compression legwear on resting salivary cortisol
and urinary catecholamines excretion in women.
AB - BACKGROUND: The benefits of compression legwear (CL) have been demonstrated in
the improvement of vascular function and venous return of the lower extremities,
but their effect on autonomic nervous system (ANS) activities and human stress
response remain controversial. OBJECTIVE: To investigate the possible effects of
CLs on ANS activities and in inducing stress on the human body. MATERIALS AND
METHODS: Resting salivary cortisol and urinary catecholamine (adrenaline and
noradrenaline) excretions were examined in 12 healthy women. The effects of
different skin pressure levels exerted by CL were studied by conducting a 4-hour
prolonged standing and sitting wear trial with intermittent sampling of the
aforementioned biochemical parameters. RESULTS: No statistically significant
differences in resting salivary cortisol and urinary catecholamines were found
between control and different clothing pressure conditions (light, mild,
moderate, and strong), although the secretion of salivary cortisol (SSC) showed a
significant decrease during the 180 minutes of the testing period that was
maintained up to 10 minutes after the CL was removed for the studied pressure
conditions. Urinary excretion of adrenaline and noradrenaline decreased with
increasing pressure levels and was lower in response to higher clothing pressure
when tested in the afternoon. CONCLUSION: Constant pressure exerted by CL did not
cause any stimulation of the sympathetic nervous system. The prolonged wearing of
CL while standing or sitting did not induce any stress on the human body,
suggesting that CL can be safely used in the treatment of patients with venous
disorders of the lower extremities.
PMID- 22092700
TI - Mobilizable narrow host range plasmids as natural suicide vectors enabling
horizontal gene transfer among distantly related bacterial species.
AB - Klebsiella pneumoniae 287-w carries three small narrow host range (NHR) plasmids
(pIGMS31, pIGMS32, and pIGRK), which could be maintained in several closely
related species of Gammaproteobacteria, but not in Alphaproteobacteria. The
plasmids contain different mobilization systems (MOB), whose activity in
Escherichia coli was demonstrated in the presence of the helper transfer system
originating from plasmid RK2. The MOBs of pIGMS31 and pIGMS32 are highly
conserved in many bacterial plasmids (members of the MOB family), while the
predicted MOB of pIGRK has a unique structure, encoding a protein similar to
phage-related integrases. The MOBs of pIGMS31 and pIGMS32 enabled the transfer of
heterologous replicons from E. coli into both gammaproteobacterial and
alphaproteobacterial hosts, which suggests that these NHR plasmids contain broad
host range MOB systems. Such plasmids therefore represent efficient carrier
molecules, which may act as natural suicide vectors promoting the spread of
diverse genetic information (including other types of mobile elements, e.g.
resistance transposons) among evolutionarily distinct bacterial species. Thus,
mobilizable NHR plasmids may play a much more important role in horizontal gene
transfer than previously thought.
PMID- 22092701
TI - Assimilatory nitrate utilization by bacteria on the West Florida Shelf as
determined by stable isotope probing and functional microarray analysis.
AB - Dissolved inorganic nitrogen (DIN) uptake by marine heterotrophic bacteria has
important implications for the global nitrogen (N) and carbon (C) cycles.
Bacterial nitrate utilization is more prevalent in the marine environment than
traditionally thought, but the taxonomic identity of bacteria that utilize
nitrate is difficult to determine using traditional methodologies. (15) N-based
DNA stable isotope probing was applied to document direct use of nitrate by
heterotrophic bacteria on the West Florida Shelf. Seawater was incubated in the
presence of 2 MUM (15) N ammonium or (15) N nitrate. DNA was extracted,
fractionated via CsCl ultracentrifugation, and each fraction was analyzed by
terminal restriction fragment length polymorphism (TRFLP) analysis. TRFs that
exhibited density shifts when compared to controls that had not received (15) N
amendments were identified by comparison with 16S rRNA gene sequence libraries.
Relevant marine proteobacterial lineages, notably Thalassobacter and
Alteromonadales, displayed evidence of (15) N incorporation. RT-PCR and
functional gene microarray analysis could not demonstrate the expression of the
assimilatory nitrate reductase gene, nasA, but mRNA for dissimilatory pathways,
i.e. nirS, nirK, narG, nosZ, napA, and nrfA was detected. These data directly
implicate several bacterial populations in nitrate uptake, but suggest a more
complex pattern for N flow than traditionally implied.
PMID- 22092702
TI - High frequency of glucose-utilizing mutants in Shewanella oneidensis MR-1.
AB - Shewanella oneidensis MR-1 has conventionally been considered unable to use
glucose as a carbon substrate for growth. The genome sequence of S. oneidensis MR
1 however suggests the ability to use glucose. Here, we demonstrate that during
initial glucose exposure, S. oneidensis MR-1 quickly and frequently gains the
ability to utilize glucose as a sole carbon source, in contrast to wild-type S.
oneidensis, which cannot immediately use glucose as a sole carbon substrate. High
performance liquid chromatography and (14)C glucose tracer studies confirm the
disappearance in cultures and assimilation and respiration, respectively, of
glucose. The relatively short time frame with which S. oneidensis MR-1 gained the
ability to use glucose raises interesting ecological implications.
PMID- 22092703
TI - Evidence for an exercise induced increase of TNF-alpha and IL-6 in marathon
runners.
AB - Regular physical activity of moderate intensity improves cardiovascular risk
factors including low-grade inflammation. However, acute vigorous exercise such
as marathon running results in marked increases of circulating pro-inflammatory
markers. Up to now, the origin of this pro-inflammatory boost is still debated
equivocally. We analyzed the change of interleukin-6 (IL-6), tumor necrosis
factor-alpha (TNF-alpha), and leptin from pre- to immediately post-race in 15
male runners (age 43 +/- 10.9 years and body mass index 24.5 +/- 2.7 kg/m(2) )
both on the protein level in the plasma and on the messenger ribonucleic acid
(mRNA) level in blood mononuclear cells (BMNC). We observed a significant
increase of IL-6 (prerace 2.08 +/- 0.10 ng/L and postrace 40.14 +/- 24.85 ng/L, P
< 0.001) and TNF-alpha (prerace 8.14 +/- 1.38 ng/L and postrace 12.40 +/- 3.15
ng/L, P < 0.001) and a decrease of leptin (prerace 1.64 +/- 2.64 MUg/L and
postrace 0.80 +/- 1.70 MUg/L, P = 0.04) serum levels after the marathon race.
Furthermore, TNF-alpha, IL-6, and leptin were expressed (mRNA level) in BMNC.
However no significant differences in mRNA levels were seen before and after the
run in these cells. We found an up-regulation of TNF-alpha and IL-6 in the plasma
during vigorous exercise. This increase is not attributable to BMNC. We assume a
local production in, or release from, exercised tissues.
PMID- 22092704
TI - Reference intervals for Greyhounds and Lurchers using the Sysmex XT-2000iV
hematology analyzer.
AB - BACKGROUND: The need for breed-specific reference intervals (RIs) for Greyhounds
has been identified. As Lurchers are a sighthound cross-breed, specific RIs may
also be needed for these dogs. Hematologic RIs for Greyhounds and Lurchers using
the Sysmex XT-2000iV hematology analyzer have not been established. OBJECTIVES:
The aims of this study were to establish RIs for Greyhounds using the Sysmex XT
2000iV, to investigate whether RIs for Greyhound and nonsighthound dogs could be
transferred to Lurchers, and to establish new RIs for Lurchers if transference
was not possible. METHODS: Data were retrieved retrospectively from a database of
blood donor dogs. Greyhound RIs were established using nonparametric methods
based on a reference population of 179 dogs. For the RI transference study, 38
Lurchers were selected, following guidelines proposed by the Clinical and
Laboratory Standards Institute. When transference was not appropriate, new RIs
were generated using the robust method. RESULTS: Greyhound RIs for the Sysmex
hematology analyzer reflected known differences in this breed with a tendency
toward higher RBC mass and lower WBC and platelet counts. RIs for hemoglobin
concentration, HCT, MCV, MCH, MCHC, and WBC, neutrophil, lymphocyte, monocyte,
and platelet counts for Greyhounds were suitable for transference to Lurchers.
For RBC and eosinophil counts, new RIs were established. CONCLUSION: Our study
suggests that Lurchers share many hematologic characteristics with Greyhounds,
but had higher reference limits for RBC and eosinophil counts.
PMID- 22092705
TI - Analysis of the influence of residual alveolar bone height on sinus augmentation
outcomes.
AB - INTRODUCTION: Maxillary sinus augmentation is a predictable implant site
development technique for posterior atrophic maxillary ridges. However, graft
consolidation requires adequate angiogenesis and migration of osteogenic cells
from native bone. Therefore, the amount of residual bone height (RBH) may play a
role in the rate of graft maturation. The purpose of this study was to analyze
the influence of RBH in the histomorphometric outcomes of maxillary sinus
augmentation procedures. MATERIAL AND METHODS: Patients in need of sinus
augmentation were recruited for the study. Customized radiographic guides were
fabricated and a cone-beam computerized tomography scan was obtained at baseline.
Two examiners measured RBH on the scans at the locations marked by the
radiographic guide. Sinus grafting was performed by a lateral window approach
using a particulated mineralized allograft. Patients were followed up for 6
months. At the time of implant placement, bone core biopsies were harvested using
the radiographic guide, which was converted into a surgical guide. Samples were
histomorphometrically analyzed. Proportion of vital bone (%VB), remaining
allograft particles (%RA), and non-mineralized tissue (%NMT) were quantified.
Categorical analysis of correlation of RBH (<4 or >=4 mm) with%VB and%RA was
performed using a statistical model. RESULTS: Twenty-one patients underwent sinus
augmentation for a total of 21 sinuses. One patient developed an infection after
grafting and was excluded. Histomorphometric analysis revealed that mean%VB was
20.47 +/- 18.25, mean %RA was 29.04 +/- 24.94, and average %NMT was 50.47 +/-
12.76. No significant correlation between RBH and %VB (r = 0.016; P = 0.951), and
RBH and % (r = 0.009; P = 0.971) was found. Similarly, categorical analysis of
correlation showed no statistical significance. CONCLUSION: These findings
suggest that the remaining alveolar bone height does not appear to influence the
maturation and consolidation of an allograft in the maxillary sinus.
PMID- 22092706
TI - Abiotic heterogeneity drives parasite local adaptation in coevolving bacteria and
phages.
AB - Spatial abiotic heterogeneity can result in divergent selection, hence might
increase the magnitude of host-parasite local adaptation (the mean difference in
fitness of sympatric vs. allopatric host-parasite combinations). We explicitly
tested this hypothesis by measuring local adaptation in experimentally coevolved
populations of bacteria and viruses evolved in the same or different nutrient
media. Consistent with previous work, we found that mean levels of evolved phage
infectivity and bacteria resistance varied with nutrient concentration, with
maximal levels at nutrient concentrations that supported the greatest densities
of bacteria. Despite this variation in evolved mean infectivity and resistance
between treatments, we found that parasite local adaptation was greatly increased
when measured between populations evolved in different, compared with the same,
media. This pattern is likely to have resulted from different media imposing
divergent selection on bacterial hosts, and phages in turn adapting to their
local hosts. These results demonstrate that the abiotic environment can play a
strong and predictable role in driving patterns of local adaptation.
PMID- 22092707
TI - Seasonal patterns in the sunlight sensitivity of bacterioplankton from
Mediterranean surface coastal waters.
AB - The sensitivity of coastal marine bacterioplankton to natural photosynthetically
active radiation (PAR, 400-700 nm) and ultraviolet radiation (UVR, 280-400 nm)
was evaluated in five experiments over a seasonal cycle in the Blanes Bay, NW
Mediterranean Sea. Exposure to natural solar radiation generally inhibited bulk
bacterial activities or damaged membrane integrity when irradiances were high
(i.e. spring and summer experiments) and, in general, UVB (280-320 nm) accounted
for most of the inhibition. When assessing activity ((3) H-leucine uptake) at the
single-cell level by microautoradiography and rRNA gene probing, seasonally
varying responses and sensitivities were found among bacterial groups. While
autumn and winter irradiances seemed too low to cause changes in activity,
variable effects were found in spring and summer. SAR11 was consistently
inhibited by UVR and PAR exposure, whereas Gammaproteobacteria and Bacteroidetes
showed higher resistance. Roseobacter, Synechococcus and the NOR5 clade were
occasionally photostimulated in their activity, mainly because of PAR. Our
results indicate that a component of seasonality exists in the bacterial
responses to solar radiation, which vary not only depending on the irradiance and
the spectral characteristics, but also on the previous light history and the
taxonomic composition of the community.
PMID- 22092708
TI - The copper responding surfaceome of Methylococccus capsulatus Bath.
AB - Proteins on the cellular surface of a bacterium, its surfaceome, are part of the
interface between the bacterium and its environment, and are essential for the
cells response to its habitat. Methylococcus capsulatus Bath is one of the most
extensively studied methane-oxidizers and is considered as a model-methanotroph.
The composition of proteins of the surfaceome of M. capsulatus Bath varies with
the availability of copper and changes significantly upon only minor changes of
copper concentration in the sub-MUM concentration range. Proteins that respond to
the changes in copper availability include the assumed copper acquisition protein
MopE, c-type heme proteins (SACCP, cytochrome c(553o) proteins) and several
proteins of unknown function. The most intriguing observation is that multi-heme
c-type cytochromes are major constituents of the M. capsulatus Bath surfaceome.
This is not commonly observed in bacteria, but is a feature shared with the
dissimilatory metal-reducing bacteria. Their presence on the M. capsulatus Bath
cellular surface may be linked to the cells ability to efficiently adapt to
changing growth conditions and environmental challenges. However, their possible
role(s) in methane oxidation, nitrogen metabolism, copper acquisition, redox
reactions and/or electron transport remain(s) at present an open question. This
review will discuss the possible significance of these findings.
PMID- 22092709
TI - The chemotaxis-like Che1 pathway has an indirect role in adhesive cell properties
of Azospirillum brasilense.
AB - The Azospirillum brasilense chemotaxis-like Che1 signal transduction pathway was
recently shown to modulate changes in adhesive cell surface properties that, in
turn, affect cell-to-cell aggregation and flocculation behaviors rather than
flagellar-mediated chemotaxis. Attachment to surfaces and root colonization may
be functions related to flocculation. Here, the conditions under which A.
brasilense wild-type Sp7 and che1 mutant strains attach to abiotic and biotic
surfaces were examined using in vitro attachment and biofilm assays combined with
atomic force microscopy and confocal microscopy. The nitrogen source available
for growth is found to be a major modulator of surface attachment by A.
brasilense and could be promoted in vitro by lectins, suggesting that it depends
on interaction with surface-exposed residues within the extracellular matrix of
cells. However, Che1-dependent signaling is shown to contribute indirectly to
surface attachment, indicating that distinct mechanisms are likely underlying
flocculation and attachment to surfaces in A. brasilense.
PMID- 22092710
TI - The rhamnolipid stress response of Bacillus subtilis.
AB - Rhamnolipids are biosurfactants produced by the soil bacterium P seudomonas
aeruginosa. In addition to their high industrial potential as surface-active
molecules, rhamnolipids also have antimicrobial properties. In densely populated
habitats, such as the soil, production of antimicrobial compounds is important to
inhibit growth of competitors. For the latter, it is crucial for survival to
sense and respond to the presence of those antibiotics. To gain a first insight
into the biological competition involving biosurfactants, we investigated the
cellular response of the model organism B acillus subtilis upon exposure to
rhamnolipids by genome-wide transcriptional profiling. Most of the differentially
expressed genes can be assigned to two different regulatory networks: the cell
envelope stress response mediated by the two-component system LiaRS and the
extracytoplasmic function sigma factor sigma(M) and the CssRS-dependent secretion
stress response. Subsequent phenotypic analysis demonstrated a protective
function of LiaRS and sigma(M) against cell lysis caused by rhamnolipids. Taken
together, we present the first evidence that a single antimicrobial compound can
simultaneously induce genes from two independent stress stimulons.
PMID- 22092711
TI - Ploidy in cyanobacteria.
AB - A recently developed real-time PCR method for the determination of genome copy
numbers was optimized for the application to cyanobacteria. Three species were
chosen to represent a fresh water species, a salt water species, and two strains
of a widely used laboratory species. Synechococcus PCC 7942 and Synechococcus
WH7803 were found to contain 3-4 genome copies per cell and are thus oligoploid,
confirming earlier publications. In contrast, Synechocystis PCC 6803 is highly
polyploid. The motile wild-type strain contains 218 genome copies in exponential
phase and 58 genome copies in linear and in stationary growth phase. The GT wild
type strain contains 142 genome copies in exponential phase and 42 genome copies
in linear and stationary growth phase. These are the highest numbers found for
any cyanobacterial species. Notably these values are much higher than the value
of 12 genome copies published for the 'Kazusa' strain more than 20 years ago. The
results reveal that for Synechocystis PCC 6803 strain differences exist and that
the ploidy level is highly growth phase-regulated. A compilation of the ploidy
levels of all investigated cyanobacterial species gives an overview of the genome
copy number distribution and shows that monoploid, oligoploid, and polyploid
cyanobacteria exist.
PMID- 22092712
TI - Subcellular localization of N-deoxyribosyltransferase in Lactobacillus fermentum:
cell surface association of an intracellular nucleotide metabolic enzyme.
AB - N-deoxyribosyltransferases are essential enzymes in the nucleotide salvage
pathway of lactobacilli. They catalyze the exchange between the purine or
pyrimidine bases of 2'-deoxyribonucleosides and free pyrimidine or purine bases.
In general, N-deoxyribosyltransferases are referred to as cytoplasmic enzymes,
although there is no experimental evidence for this subcellular localization. In
this work, the subcellular localization of N-deoxyribosyltransferase II (NTD)
from Lactobacillus fermentum was examined by subcellular fractionation,
transmission electron microscopy, and fluorescence microscopy. Our results
indicate that L. fermentum NTD are distributed not only in the cytoplasm but also
on the cell wall surface, and further studies showed that surface-attached NTD
can be released into the culture broth and conventional buffers.
PMID- 22092713
TI - Quantitative proteomics of Chlorobaculum tepidum: insights into the sulfur
metabolism of a phototrophic green sulfur bacterium.
AB - Chlorobaculum (Cba.) tepidum is a green sulfur bacterium that oxidizes sulfide,
elemental sulfur, and thiosulfate for photosynthetic growth. To gain insight into
the sulfur metabolism, the proteome of Cba. tepidum cells sampled under different
growth conditions has been quantified using a rapid gel-free, filter-aided sample
preparation (FASP) protocol with an in-solution isotopic labeling strategy. Among
the 2245 proteins predicted from the Cba. tepidum genome, approximately 970
proteins were detected in unlabeled samples, whereas approximately 630-640
proteins were detected in labeled samples comparing two different growth
conditions. Wild-type cells growing on thiosulfate had an increased abundance of
periplasmic cytochrome c-555 and proteins of the periplasmic thiosulfate
oxidizing SOX enzyme system when compared with cells growing on sulfide. A dsrM
mutant of Cba. tepidum, which lacks the dissimilatory sulfite reductase DsrM
protein and therefore is unable to oxidize sulfur globules to sulfite, was also
investigated. When compared with wild type, the dsrM cells exhibited an increased
abundance of DSR enzymes involved in the initial steps of sulfur globule
oxidation (DsrABCL) and a decreased abundance of enzymes putatively involved in
sulfite oxidation (Sat-AprAB-QmoABC). The results show that Cba. tepidum
regulates the cellular levels of enzymes involved in sulfur metabolism and other
electron-transferring processes in response to the availability of reduced sulfur
compounds.
PMID- 22092714
TI - High-resolution melting for analysis of short sequence repeats in Mycobacterium
avium subsp. paratuberculosis.
AB - Analysis of micro- and minisatellite loci is widely used in sub-typing of
Mycobacterium avium subsp. paratuberculosis. Microsatellite (short sequence
repeat, SSR) loci have shown highest discriminatory power, but direct sequencing
of amplicons is required for correct assignment of the repeat number. We
developed an alternative method to sequencing, focusing on the SSR8 locus
(constituted by GGT triplets from three to six repeats). The approach is based on
asymmetric quantitative PCR, followed by high-resolution melting analysis with
unlabelled probes (UP-HRM). Data showed perfect concordance between direct
sequencing and UP-HRM, which is faster, simpler and more cost effective.
PMID- 22092715
TI - Helicobacter pylori CagA-mediated IL-8 induction in gastric epithelial cells is
cholesterol-dependent and requires the C-terminal tyrosine phosphorylation
containing domain.
AB - Upon infection of the gastric epithelial cells, the Helicobacter pylori cytotoxin
associated gene A (CagA) virulence protein is injected into the epithelial cells
via the type IV secretion system (TFSS), which is dependent on cholesterol.
Translocated CagA is targeted by the membrane-recruited c-Src family kinases in
which a tyrosine residue in the Glu-Pro-Ile-Tyr-Ala (EPIYA)-repeat region, which
can be phosphorylated, induces cellular responses, including interleukin-8 (IL-8)
secretion and hummingbird phenotype formation. In this study, we explored the
role of EPIYA-containing C-terminal domain (CTD) in CagA tethering to the
membrane lipid rafts and in IL-8 activity. We found that disruption of the lipid
rafts reduced the level of CagA translocation/phosphorylation as well as CagA
mediated IL-8 secretion. By CagA truncated mutagenesis, we identified that the
CTD, rather than the N-terminal domain, was responsible for CagA tethering to the
plasma membrane and association with detergent-resistant membranes, leading to
CagA-induced IL-8 promoter activity. Our results suggest that CagA CTD-containing
EPIYAs directly interact with cholesterol-rich microdomains that induce efficient
IL-8 secretion in the epithelial cells.
PMID- 22092716
TI - Streptococcus sanguinis induces foam cell formation and cell death of macrophages
in association with production of reactive oxygen species.
AB - Streptococcus sanguinis, a normal inhabitant of the human oral cavity, is a
common streptococcal species implicated in infective endocarditis. Herein, we
investigated the effects of infection with S. sanguinis on foam cell formation
and cell death of macrophages. Infection with S. sanguinis stimulated foam cell
formation of THP-1, a human macrophage cell line. At a multiplicity of infection
>100, S. sanguinis-induced cell death of the macrophages. Viable bacterial
infection was required to trigger cell death because heat-inactivated S.
sanguinis did not induce cell death. The production of cytokines interleukin
1beta and tumor necrosis factor-alpha from macrophages was also stimulated during
bacterial infection. Inhibition of the production of reactive oxygen species
(ROS) resulted in reduced cell death, suggesting an association of ROS with cell
death. Furthermore, S. sanguinis-induced cell death appeared to be independent of
activation of inflammasomes, because cleavage of procaspase-1 was not evident in
infected macrophages.
PMID- 22092717
TI - Listeria monocytogenes adapts to long-term stationary phase survival without
compromising bacterial virulence.
AB - Bacteria withstand starvation during long-term stationary phase through the
acquisition of mutations that increase bacterial fitness. The evolution of the
growth advantage in stationary phase (GASP) phenotype results in the ability of
bacteria from an aged culture to outcompete bacteria from a younger culture when
the two are mixed together. The GASP phenotype was first described for
Escherichia coli, but has not been examined for an environmental bacterial
pathogen, which must balance long-term survival strategies that promote fitness
in the outside environment with those that promote fitness within the host.
Listeria monocytogenes is an environmental bacterium that lives as a saprophyte
in soil, but is capable of replicating within the cytosol of mammalian cells.
Herein, we demonstrate the ability of L. monocytogenes to express GASP via the
acquisition of mutations during long-term stationary growth. Listeria
monocytogenes GASP occurred through mechanisms that were both dependent and
independent of the stress-responsive alternative sigma factor SigB. Constitutive
activation of the central virulence transcriptional regulator PrfA interfered
with the development of GASP; however, L. monocytogenes GASP cultures retained
full virulence in mice. These results indicate that L. monocytogenes can accrue
mutations that optimize fitness during long-term stationary growth without
negatively impacting virulence.
PMID- 22092718
TI - Effect of interactions between Mip and PrtA on the full extracellular protease
activity of Xanthomonas campestris pathovar campestris.
AB - Mip (macrophage infectivity potentiator) and Mip-like proteins have been
demonstrated to be involved in virulence of several animal pathogens, but as yet
none of their native bacterial targets has been identified. Our previous work
demonstrated that the Mip-like protein found in the plant pathogen Xanthomonas
campestris pv. campestris (Xcc) (hereafter called Mip(Xcc)) is also involved in
virulence. Inactivation of the mip(Xcc) gene leads to a significant reduction in
exopolysaccharide production and extracellular protease activity via an unknown
mechanism. The Xcc genome encodes six extracellular proteases, all of which are
secreted via the type II secretion system. The serine protease PrtA makes the
largest contribution to Xcc's total extracellular proteolytic activity. In this
study, Western blotting analysis demonstrated that Mip(Xcc) was located in the
periplasm. Bacterial two-hybrid and far-Western analysis indicated that Mip(Xcc)
interacted with PrtA directly. Purified Mip(Xcc) was found to be able to rescue
the protease activity of periplasmic proteins extracted from the mip(Xcc) mutant.
These findings show that Mip(Xcc) plays a role in the maturation of PrtA, which
is the novel native target for at least one Mip or Mip-like protein.
PMID- 22092719
TI - Effect of substrate type on bacterial community composition in biofilms from the
Great Barrier Reef.
AB - Natural and anthropogenic impacts such as terrestrial runoff, influence the water
quality along the coast of the Great Barrier Reef (GBR) and may in turn affect
coral reef communities. Associated bacterial biofilms respond rapidly to
environmental conditions and are potential bioindicators for changes in water
quality. As a prerequisite to study the effects of water quality on biofilm
communities, appropriate biofilm substrates for deployment in the field must be
developed and evaluated. This study investigates the effect of different
settlement substrates (i.e. glass slides, ceramic tiles, coral skeletons and reef
sediments) on bacterial biofilm communities grown in situ for 48 days at two
locations in the Whitsunday Island Group (Central GBR) during two sampling times.
Bacterial communities associated with the biofilms were analysed using terminal
restriction fragment length polymorphism (T-RFLP) and clone library analyses of
16S rRNA genes. Findings revealed that substrate type had little influence on
bacterial community composition. Of particular relevance, glass slides and coral
skeletons exhibited very similar communities during both sampling times,
suggesting the suitability of standardized glass slides for long-term biofilm
indicator studies in tropical coral reef ecosystems.
PMID- 22092720
TI - Isolation of the fenoxaprop-ethyl (FE)-degrading bacterium Rhodococcus sp. T1,
and cloning of FE hydrolase gene feh.
AB - An enrichment culture which completely degraded fenoxaprop-ethyl (FE) was
acquired by using FE as sole carbon source. An efficient FE-degrading strain T1
was isolated from the enrichment culture and identified as Rhodococcus sp. Strain
T1 could degrade 94% of 100 mg L(-1) FE within 24 h and the metabolite fenoxaprop
acid (FA) was identified by HPLC/MS analysis. This strain converted FE by
cleavage of the ester bond, but could not further degrade FA. Strain T1 could
also efficiently degrade haloxyfop-R-methyl, quizalofop-p-ethyl, cyhalofop-butyl
and clodinafop-propargyl. FE hydrolase capable of hydrolysing FE to FA was found
in the cell-free extract of strain T1 by zymogram analysis. A novel gene feh
encoding FE hydrolase was cloned by shotgun library construction and successfully
expressed in Escherichia coli.
PMID- 22092721
TI - A neuro-endocrine-immune symphony.
AB - The inflammatory response provides a powerful means for the body to fight an
infection. The neuroendocrine system plays an important role in controlling the
magnitude and duration of this response and maintaining homeostasis in the
inflamed state. Glucocorticoids released following activation of the hypothalamic
pituitary-adrenal axis limit the synthesis of pro-inflammatory molecules, whereas
the neurohypophysial hormones vasopressin and oxytocin act both within the brain
and in the periphery to maintain cardiovascular and metabolic homeostasis and to
limit the rise in body temperature.
PMID- 22092722
TI - Assessment of melanocytic skin lesions with a high-definition laser Doppler
imaging system.
AB - BACKGROUND: Early detection is a major goal in the management of malignant
melanoma. Besides clinical assessment many noninvasive technologies such as
dermoscopy, digital dermoscopy and in vivo laser scanner microscopy are used as
additional methods. Herein we tested a system to assess lesional perfusion as a
tool for early melanoma detection. METHODS: Laser Doppler flow (FluxExplorer) and
mole analyser (MA) score (FotoFinder) were applied to histologically verified
melanocytic nevi (33) and malignant melanomas (12). RESULTS: Mean perfusion and
MA scores were significantly increased in melanoma compared to nevi. However,
applying an empirically determined threshold of 16% perfusion increase only 42%
of the melanomas fulfilled the criterion of malignancy, whereas with the mole
analyzer score 82% of the melanomas fulfilled the criterion of malignancy.
CONCLUSION: Laser Doppler imaging is a highly sensitive technology to assess skin
and skin tumor perfusion in vivo. Although mean perfusion is higher in melanomas
compared to nevi the high numbers of false negative results hamper the use of
this technology for early melanoma detection.
PMID- 22092723
TI - An analysis of the decision-making process for single implant treatment in
general practice.
AB - PURPOSE: To study the frequency of and factors associated with the decision to
perform single implant treatment after tooth extraction by general practitioners
in a private, fee-for-service setting. MATERIAL AND METHODS: One hundred
practitioners with a general dental practice in Ghent were randomly selected.
Clinicians were asked to fill in a study form for every single extraction they
performed during an 8-week period. The form related to the treatment decision and
a number of patient- and clinician-related factors. RESULTS: Ninety-four general
dentists (52 men, 42 women; mean age 49; range 24-68) agreed to participate and
extracted 1180 single teeth. After exclusion of third molars and cases where the
reason for tooth loss would generally prohibit replacement, 900 cases were
identified. In 24% of these patients, there was no treatment decision and in 18%
replacement was deemed unnecessary. When replacement was necessary (n = 526),
removable partial denture (RPD), fixed partial denture (FPD), single implant
treatment and resin-bonded bridge were chosen in 54%, 24%, 21% and 1% of the
patients, respectively. Multinomial logistic regression was used to evaluate the
decision-making process for single implant treatment against RPD and FPD. In
relation to RPD, single implant treatment was more likely in highly educated
patients with few missing teeth and no bone loss at adjacent teeth. In relation
to FPD, single implant treatment was more likely in patients with intact adjacent
teeth and when the tooth was extracted by a female dentist. Experience in implant
prosthetics was positively associated with single implant treatment in all
analyses. CONCLUSIONS: Single implant treatment is not the most common procedure
in daily practice to restore a single tooth gap. Patient's education, oral
factors and clinician-related factors may affect the decision-making process,
whereas medical factors may not.
PMID- 22092724
TI - Predictive value of ridge dimensions on autologous bone graft resorption in
staged maxillary sinus augmentation surgery using Cone-Beam CT.
AB - INTRODUCTION: No studies are available that provide predictive parameters
regarding the expected amount of resorption after maxillary sinus augmentation
surgery using autologous bone grafts. Therefore, the aim of this study was to
determine parameters influencing the outcome of the bone graft resorption
process. MATERIAL AND METHODS: In 20 patients, three-dimensional analysis of
alveolar ridge dimensions and bone graft volume change in the atrophic posterior
maxilla was performed by Cone-Beam Computerized Tomography imaging. Ridge
dimensions were assessed before maxillary sinus augmentation surgery. Bone graft
volumes were compared after maxillary sinus floor augmentation surgery and a
graft healing interval of several months. To analyze the relation between bone
volume changes with the independent variables, patients' gender, age, alveolar
crest height and width, and graft healing time interval, a multi-level extension
of linear regression was applied. RESULTS: A residual bone height of 6.0 mm (SD =
3.6 mm) and 6.2 mm (SD = 3.6 mm) was found at the left and right sides,
respectively. Moreover, alveolar bone widths of 6.5 mm (SD = 2.2 mm) and 7.0 mm
(SD = 2.3 mm) at the premolars, and 8.8 mm (SD = 2.2 mm) and 8.9 mm (SD = 2.5 mm)
at the molars regions were found at the left and right site, respectively. Bone
graft volume decreased by 25.0% (SD = 21.0%) after 4.7 months (SD = 2.7, median =
4.0 months) of healing time. The variables "age" (P = 0.009) and mean alveolar
crest "bone height" (P = 0.043), showed a significant influence on bone graft
resorption. A decrease of 1.0% (SE = 0.3%) of bone graft resorption was found for
each year the patient grew older, and an increase in bone graft resorption of
1.8% (SE = 0.8%) was found for each mm of original bone height before sinus floor
augmentation. CONCLUSIONS: Graft resorption occurs when using autologous bone
grafts for maxillary sinus augmentation. Alveolar crest bone height and patient
age have a significant effect on graft resorption, with increased resorption for
higher alveolar crest bone height and decreased resorption for older patients.
Consequently, patient characteristics that affect the process of bone graft
resorption should be given full consideration, when performing sinus augmentation
surgery.
PMID- 22092725
TI - Quality of care for cardiovascular disease and diabetes amongst individuals with
serious mental illness and those using antipsychotic medications.
AB - Individuals living with serious mental illness (SMI) experience excess mortality
due to natural causes. Cardiovascular disease (CVD) and diabetes are twice as
prevalent in SMI populations as in the general population, and this may be partly
related to unhealthy lifestyle behaviors and the use of antipsychotic
medications. This review examined comparative studies of quality of care in SMI
and non-SMI populations, and studies investigating cardio-metabolic screening in
patients prescribed antipsychotics. We identified that individuals with SMI are
around 30% less likely than those without SMI to receive hospital care for CVD
and diabetes complications, to receive blood glucose, lipid, and other diabetes
tests, to undergo invasive procedures, and to be prescribed medications known to
be effective in the treatment of CVD and diabetes. In addition, less than 30% of
individuals with SMI may receive examinations for weight, blood glucose, and
lipids, before or during treatment with antipsychotics. Evidence from studies
within the U.S.' Veteran Affairs health care system indicates that the
integration of physical and mental health services may be beneficial in reducing
disparities in health care for individuals with SMI. Clear policies, which
identify practitioner responsibilities for cardio-metabolic screening in patients
receiving antipsychotic therapy must be disseminated.
PMID- 22092726
TI - Biological complications and peri-implant clinical and radiographic changes at
immediately placed dental implants. A prospective 5-year cohort study.
AB - OBJECTIVES: To evaluate clinically and radiographically immediate implants 5
years after insertion and to compare them with delayed-placed implants in the
same subjects. MATERIAL AND METHODS: Twenty-two consecutive patients that needed
at least two implants for replacing hopeless teeth, one immediately upon
extraction and the other in a delayed fashion (at least 4 months post-extraction)
were selected in this prospective cohort study. Post-extraction immediate
implants (II) and delayed implants (DI) groups were defined. One and 5 years
after implant loading, clinical and radiographical outcome variables were
recorded and analysed both at site and at implant level. Intra-group and inter
group comparisons were performed. RESULTS: The intergroup comparison did not show
significant differences for plaque index, bleeding on probing and suppuration.
These parameters worsen in both groups along the study. This trend was stronger
for the plaque index in the group II, which increased from 15.6% at 1 year to
25.9% at 5 years (P < 0.04). One year after loading, the sites with probing depth
>=5 mm were higher for the group II compared to DI (2.5% vs. 0%; P = 0.049). At
the end of the study, no significant statistical differences were found.
Radiographically, bone crestal changes did not yield significant differences.
During the follow-up period, 25% of the implants (26.4% in group II and 23.5% in
DI) showed biological complications: mucositis (20%) and/or periimplantitis
(5.8%). No differences between groups were found. CONCLUSIONS: Within the same
patients, the implants placed with the immediate protocol demonstrated a higher
tendency to crestal bone loss and to peri-implantitis, although these differences
were not statistically significant.
PMID- 22092727
TI - Fractionated 1550-nm erbium-doped fiber laser for the treatment of periorbital
hyperpigmentation.
PMID- 22092730
TI - Continuous ultra-low-intensity artificial daylight is not as effective as red LED
light in photodynamic therapy of multiple actinic keratoses.
AB - BACKGROUND/PURPOSE: Daylight-mediated photodynamic therapy (PDT) is a simple and
tolerable treatment of nonmelanoma skin cancer. It is of interest which light
intensity is sufficient to prevent accumulation of protoporphyrin IX (PpIX) and
effectively treat actinic keratoses (AKs). We compared the efficacy of PDT with
light-emitting diode (LED) to daylight-mediated PDT with very low-intensity
artificial daylight ('daylight') in the treatment of multiple AKs in the face or
scalp. METHODS: Twenty patients were treated with conventional methyl
aminolevulinate (MAL) PDT in one area. Another area was, after half an hour of
occlusive treatment with MAL, illuminated for 2.5 h with low-intensity 'daylight'
(0.5 mW/cm(2) -3.7 mW/cm(2)) that corresponds to midday outdoor intensity in the
Scandinavian winter. RESULTS: After 3 months, with a response rate of 52%, low
dose artificial daylight was less effective than conventional LED-PDT (63%) (P =
0.0017). The mean PpIX light dose during 'daylight' exposure was 2.23 J/cm(2) and
the lower the PpIX light intensity, the higher the accumulation of PpIX (P =
0.003). CONCLUSIONS: Even very low-intensity/dose artificial daylight-mediated
PDT of multiple AKs resulted in a response rate of more than 50%. However, to
ensure efficacies equivalent to conventional LED-PDT, the treatment should not be
conducted on very overcast days.
PMID- 22092728
TI - Nitric oxide and related enzymes in asthma: relation to severity, enzyme function
and inflammation.
AB - BACKGROUND: Exhaled nitric oxide (FeNO) associates with asthma and eosinophilic
inflammation. However, relationships between nitric oxide synthases, arginase,
FeNO, asthma severity and inflammation remain poorly understood. OBJECTIVES: To
determine the relationships of iNOS expression/activation and arginase 2
expression with asthma severity, FeNO, nitrotyrosine (NT) and eosinophilic
inflammation. METHODS: Bronchial brushings and sputum were obtained from 25
normal controls, eight mild/no inhaled corticosteroids (ICS), 16 mild
moderate/with ICS and 35 severe asthmatics. The FeNO was measured the same day by
ATS/ERS standards. The iNOS, arginase2 mRNA/protein and NT protein were measured
in lysates from bronchial brushings by quantitative real-time PCR and Western
blot. Induced sputum differentials were obtained. RESULTS: Severe asthma was
associated with the highest levels of iNOS protein and mRNA, although the index
of iNOS mRNA to arginase2 mRNA most strongly differentiated severe from milder
asthma. When evaluating NO-related enzyme functionality, iNOS mRNA/protein
expression both strongly predicted FeNO (r = 0.61, P < 0.0001 for both). Only
iNOS protein predicted NT levels (r = 0.48, P = 0.003) with the strongest
relationship in severe asthma (r = 0.61, P = 0.009). The iNOS protein, FeNO and
NT, all correlated with sputum eosinophils, but the relationships were again
strongest in severe asthma. Controlling for arginase 2 mRNA/protein did not
impact any functional outcome. CONCLUSIONS AND CLINICAL RELEVANCE: These data
suggest that while iNOS expression from epithelial brushings is highest in severe
asthma, factors controlling arginase2 mRNA expression significantly improve
differentiation of severity. In contrast, functionality of the NO pathway as
measured by FeNO, NT and eosinophilic inflammation, is strongly associated with
iNOS expression alone, particularly in severe asthma.
PMID- 22092731
TI - Compliance with age and skin type restrictions following the introduction of
indoor tanning legislation in Melbourne, Australia.
AB - BACKGROUND/PURPOSE: The study aimed to establish compliance of indoor tanning
businesses with 2009 legislation, particularly with requirements to provide
information on skin cancer and exclude people under 18 or with fair skin.
METHODS: Compliance was tested through surveys and in-person visits to 30
businesses in Melbourne, Australia. Research assistants presented as potential
customers with different profiles: young adults eligible to use a sunbed, young
adults with fair skin, under age customers who prompted with their age and under
age customers who concealed their age and claimed to be 18 if asked. RESULTS:
Communicating the risks of skin cancer during the visit improved from 70% in
2003, prior to the introduction of legislation, to 97% in 2009. While there were
improvements in restricting access to sunbeds among high-risk groups, compliance
of indoor tanning businesses with age and skin type restrictions remained less
than optimal. Almost half (47%) allowed access to fair-skinned research
assistants, compared with 90% in 2003. Only one of the 30 operators allowed
access to a teenager who prompted with her age; in 2003, 52% of under age
teenagers were granted access without parental consent. However, when teenagers
concealed their age or claimed to be 18, 80% of operators granted them access.
CONCLUSIONS: The findings suggest that regulation of the indoor tanning industry
is a better approach to this health issue than voluntary standards and/or
education. Nonetheless, inadequate compliance with requirements to exclude high
risk groups lends weight to calls for stricter monitoring and enforcement, or an
absolute ban.
PMID- 22092732
TI - Comparison of topical 8-methoxypsoralen and narrowband ultraviolet B with
narrowband ultraviolet B alone in treatment-resistant sites in plaque-type
psoriasis: a placebo-controlled study.
AB - BACKGROUND: Combination of topical methoxsalen and narrowband ultraviolet B
(NBUVB) was shown to be more effective than NBUVB alone in treating plaque-type
psoriasis. OBJECTIVE: To find out whether topical methoxsalen and NBUVB had any
benefit in induction of remission on treatment-resistant plaques of psoriasis on
the legs in comparison with NBUVB alone. METHODS: Ten patients were included. Two
symmetric lesions with similar Psoriasis Severity Index score on the lower legs
were randomly assigned to be treated with cold cream as placebo or 0.1% 8
methoxypsoralen (8MOP) cream 15 min before phototherapy with NBUVB. Phototherapy
was given three times per week for up to three months. Severity scores were
recorded each week and side effects were observed before each session. RESULTS:
Significant decrease in the severity score was observed in both groups (P-value <
0.001), but none of the lesions cleared completely at the end of the study. The
decrease of the score in the 8MOP arm was greater than the control arm; however,
the difference was not significant. The only side effect was pigmentation that
occurred in all of the 8MOP-treated patients after 2 weeks. CONCLUSION: We could
not confirm any significant benefit of topical methoxsalen and NBUVB in
comparison to NBUVB alone in treating resistant plaques of psoriasis on the legs.
PMID- 22092733
TI - Effects of ultraviolet radiation exposure on FOXP3+ infiltration in cutaneous
squamous cell carcinoma and basal cell carcinoma.
AB - PURPOSE: To analyze the prevalence and significance of FOXP3+ infiltration into
(pre)malignant skin carcinomas following ultraviolet radiation (UVR) exposure.
The possible pathways that UVR impacts on FOXP3 are to be discussed. BACKGROUND:
FOXP3+ regulatory T cells (FOXP3+ Tregs) are correlated to cutaneous squamous
tumor progression. However, there is no information describing the prevalence of
FOXP3+ infiltration in cutaneous premalignant and malignant squamous carcinomas
with UVR exposure. METHODS: We investigated the prevalence of FOXP3+ infiltration
in 14 patients with Bowen's disease, 40 squamous cell carcinoma SCC patients and
21 patients with basal cell carcinoma (BCC) by immunohistochemistry. RESULTS: The
percentages of FOXP3+ vs. total peri-neoplasm infiltration cells (FOXP3+ PCT)
were significantly higher in Bowen's disease and well-differentiated SCC that
were exposed to UVR than these diseases not exposed to UVR (t = 3.5776, P =
0.0038; t' = 5.9214, P < 0.01, respectively). FOXP3+ PCT was also higher in less
pigmented than pigmented sites in BCC (t = 3.369, P = 0.0032). CONCLUSIONS: This
study shed some light on the effect of UVR on FOXP3+ infiltration in skin
(pre)malignant carcinomas. Our data suggested that FOXP3+ infiltration was
positively related to UVR exposure. The mechanisms merit further investigation.
PMID- 22092734
TI - Vitamin D serum level changes in psoriatic patients treated with narrowband
ultraviolet B phototherapy are related to the season of the irradiation.
AB - BACKGROUND: Vitamin D is produced in the human skin by short wavelength (290-315
nm) ultraviolet (UV) radiation. PURPOSE: The aim of the study was to investigate
how outdoor conditions may influence the serum levels of 25(OH) vitamin D in
psoriasis patients under narrowband ultraviolet B (UVB) phototherapy. METHODS:
The winter and summer groups of patients received almost the same narrowband UV
(nUVB) doses during whole-body phototherapy. The 25(OH)D serum concentration was
measured before and after two series of 10 exposures. The cabinet doses were
compared with potentially available cumulative solar doses. The solar doses
(unweighted UVB and vitamin D effective dose) and duration of solar intensity
sufficient to produce vitamin D were calculated using a model based on local
atmospheric data. RESULTS: After an initial 10 nUVB treatments, 25(OH)D serum
concentration increased by 68% for winter patients in relation to the level
before therapy, whereas a 20% increase was found for the summer patients. The
next 10 treatments caused a much lower increase in 25(OH)D concentration: 5% and
3.5% for the winter and summer patients, respectively. No statistically
significant relationship was observed between post-therapy 25(OH)D serum
concentration and solar radiation variability. CONCLUSIONS: The different
baseline values of 25(OH)D serum levels in winter and summer patients result from
seasonal variability in solar irradiance. Thus, outdoor solar radiation affects
the patients over a much longer period, and artificial UV light is the main
factor responsible for increase in 25(OH)D serum level over a 30-day period of
cabinet therapy.
PMID- 22092735
TI - Ultraviolet exposure for different outdoor sports in Valencia, Spain.
AB - BACKGROUND: The purpose of this study is to quantify ultraviolet (UV) exposure of
several groups of amateur athletes in their training or recreational schedules.
METHODS: The athletes were monitored using dosimeters (VioSpor).The study took
place in Valencia, Spain, from May to July 2010, and involved a group of 10
mountaineers, four tennis players and five runners. RESULTS: The mean daily
personal UV exposure for mountaineers was 9.48 +/- 3.23 standard erythema dose
(SED). The tennis players received a mean of 10.65 +/- 1.57 SED for every 2 days
of training, and the runners received a mean of 7.62 +/- 4.28 SED for every 5
days of training. CONCLUSION: Mountaineers received a higher dose of UV exposure
and have a higher exposure ratio than the tennis players, probably because they
spent more time outdoors. However, the runners received a low dose of UV
exposure, perhaps because their training takes place in the evening. Mean daily
UV exposure of the mountaineers and tennis players exceeded 5 SED, which means
that, in the case of non-sun-adapted skin type III and the non-use of sun
protection, erythema may be induced in these subjects. Accordingly, it is
necessary to encourage the use of high protection sunscreens and protective
clothing, and to avoid UV exposure in the hottest part of the day.
PMID- 22092736
TI - UVA-activated synthesis of metalloproteinases 1, 3 and 9 is prevented by a broad
spectrum sunscreen.
AB - BACKGROUND: Specific sunscreens against ultraviolet (UV) A and B radiations are
essential to prevent matrix degradation and the activation of intracellular
signaling pathways involved in photoaging and photocarcinogenesis. Matrix
degradation results from UVA-induced production of matrix metalloproteinases
(MMP) and activation of intracellular pathways in fibroblasts and keratinocytes.
In particular, in keratinocytes, UVA radiation induces beta-catenin nuclear
translocation and stimulates MMP gene transcription. Our study was aimed at
assessing the efficacy of a specific broad-spectrum sunscreen in preventing beta
catenin translocation and MMPs enhanced expression in cultured keratinocytes
after UVA irradiation. METHODS: Sunscreen or the vehicle was spread on quartz
sheet. Irradiation of HaCaT cells with 6 J/cm(2) UVA was performed through the
sheet, and cells were collected for beta-catenin immunostaining then
visualization by confocal microscopy, and quantitative real-time polymerase chain
reaction analysis of MMP-1, -3 and -9 gene expression. RESULTS: As shown by
immunostaining and confocal microscopy, the sunscreen abrogated UVA-induced beta
catenin translocation to the nucleus, in comparison with control groups. MMP-1,
3 and -9 mRNA expression was enhanced by 7, 7 and 4 folds (P < 0.0001, P < 0.001
and P < 0.01, respectively) in unprotected UVA-irradiated cells compared to the
non-irradiated control. Sunscreen protection of the cells significantly reduced
UVA-induced expression of MMP-1, -3 and -9 by 83% (P < 0.01), 80% (P < 0.01) and
65% (P < 0.05), respectively. CONCLUSION: This study demonstrated the efficacy of
this broad-spectrum sunscreen in preventing UVA-induced effects on the markers of
photoaging and photocarcinogenesis in vitro. It was able to protect HaCaT
keratinocytes from UVA-induced beta-catenin translocation to the nucleus and MMPs
expression.
PMID- 22092737
TI - Narrowband ultraviolet B phototherapy for alopecia areata.
AB - Although narrowband ultraviolet B (NB UVB) phototherapy is a well-established
treatment in many dermatosis, there is little evidence of efficacy of this method
for alopecia areata (AA) treatment in the literature. We undertook a
retrospective review of the 25 AA patients treated with NB UVB. Intramuscular
triamcinolone acetonide injections per month were used as concomitant treatment
in some patients who did not have any contraindication. Eight patients (32%)
received monthly intramuscular corticosteroid injections. Four (22.2%) and two
(20%) patients achieved excellent response in extensive patchy hair loss patients
and entire scalp hair loss patients, respectively. Four of six patients who
achieved excellent response also received monthly intramuscular corticosteroid
injections. When patients receiving systemic corticosteroid injections were
compared with patients given only NB UVB with respect to the treatment responses,
a statistically significant difference was seen in patients who achieved
excellent response. NB UVB is not an effective treatment with only 20% excellent
treatment responses in patients with severe AA, most of whom were also treated
with systemic corticosteroids.
PMID- 22092738
TI - Dysfunction of melanocytes in photoleukomelanoderma following photosensitivity
caused by hydrochlorothiazide.
AB - We report a 68-year-old Japanese man who developed photoleukomelanoderma
following prolonged photosensitivity caused by hydrochlorothiazide. He showed
complete recovery from the leukomelanoderma with the discontinuation of the
responsive drug and with topical application of tacrolimus hydrate and
corticosteroid. Histological and immunohistochemical examination revealed that
there were no melanin-positive cells in the hypopigmented area, despite the
presence of melanocytes. These results and the clinical course indicate that
leukomelanoderma is postulated temporary dysfunction of melanocytes. We also
conducted a review of previous case reports regarding drug-induced
photoleukomelanoderma.
PMID- 22092739
TI - The impact of ultraviolet therapy on stratum corneum ceramides and barrier
function.
AB - The ceramide profile as well as the barrier function is known to be deteriorated
in atopic eczema and psoriasis, and ultraviolet (UV) light is known to improve
the barrier function. The impact of UV light on ceramides, however, is not
clarified. The aim of this study was to examine the effect of UV therapy in
dermatological patients on ceramides and skin barrier function. We found that UV
light treatment does not change the ratio of important stratum corneum lipids,
but we confirm earlier findings of decreased susceptibility to irritants after UV
therapy.
PMID- 22092740
TI - Photodynamic therapy for a hypertrophic scarring: a promising choice.
AB - The case we report is about a female patient, 69 years old, who had a
hypertrophic scarring on the right cheek because of a bite by her dog. She had
attempted many types of topical and intralesional treatments but without success.
The patient underwent photodynamic therapy (PDT), employing a methyl ester of 5
aminolevulinic acid (MAL) as topical photosensitizer and a non-coherent red light
at a wavelength of 632 nm. This session was then repeated three more times at 2
week intervals. A month after the last session, the scarred area significantly
softened, becoming more flexible, less erythematous, smoother and reduced in
volume. The patient was greatly satisfied with the clinical and cosmetic result,
she had no more than rough scarring on the cheek, and her skin in the area around
the lesion was very smooth, wrinkle-free. She did not show any recurrence of her
hypertrophic scarring after 1 year of follow-up. PDT revealed to be the most
effective approach if compared with previous therapeutic options received by the
patient, but further studies are necessary to evaluate protocols to be used for
the best results in this kind of application.
PMID- 22092741
TI - Failure of omalizumab (Xolair(r)) in the treatment of a case of solar urticaria
caused by ultraviolet A and visible light.
AB - Solar urticaria is a rare photodermatosis probably caused by a chromophore, that
if activated by light of a specific spectrum - binds to mast cell-bound IgE and
elicits degranulation. In our patient an action spectrum in ultraviolet A and
visible light range was found, in the autologous serum test the presence of a
serum chromophore for the same action spectra could be demonstrated, which may
underline this pathogenetic hypothesis. Symptoms did not improve using
antihistamines and sun protection. Photo hardening was denied from the patient,
immunosuppression and plasmapheresis were discussed but not considered. So a
treatment with Omalizumab was started that recently was successfully used in 4
case reports. After 3 doses of Xolair(r) there was no changing in the
phototesting results and after 4 doses no subjective improvement.
PMID- 22092742
TI - Photosensitivity induced by naproxen.
AB - We present two cases of systemic photosensitivity due to naproxen that presented
as photodistributed erythema multiforme (EM) and lichenoid photodermatitis (LP).
Although naproxen is a commonly used nonsteroidal antinflammatory drug and has
the capacity of causing systemic photosensitivity, there are very few reports
about it in the literature. The diagnosis was suspected by the recent ingestion
of the drug and the photodistribution of the lesions. A positive photopatch test
in the first patient and the normalization of the MED-UVB after discontinuing
naproxen in the second patient supported the diagnosis.
PMID- 22092743
TI - Quantitative method for measuring therapeutic efficacy of the 308 nm excimer
laser for vitiligo.
AB - BACKGROUND: There are several available treatments for vitiligo, but measurement
of their therapeutic efficacy is not standardized and is somewhat arbitrary based
largely on the global impression of the overall response. The purpose of this
study was to develop a quantitative method for evaluating the treatment response
of vitiligo measuring changes in area using digital image analysis. We applied
this parametric model to the evaluation of efficacy of the 308 nm excimer laser.
METHODS: This study was a retrospective study, designed as a before and after
trial with a single arm. A total of 18 patients were enrolled who had been
treated with a 308 nm excimer laser as monotherapy twice a week for 20 sessions.
The repigmentation percentage was calculated by measuring changes in area before
and after treatment using digital image analysis and graded on a five-point
ordinal scale [global assessment scale (GAS)]. GAS was also measured by physician
and patient for comparison with our estimates. Additional GASs were also measured
by four different evaluators for inter-rater variability. RESULTS: The mean
repigmentation percentage after treatment was 45.3% (range, 0.7-100%). The
changes in area after treatment were statistically significant (P < 0.05). A
substantial agreement of outcomes was observed between physicians and digital
image analysis (kappa(w) = 0.78), but lower agreement was observed between
patients and digital image analysis (kappa(w) = 0.49). The inter-rater
variability for GAS was substantially low (Krippendorff's alpha = 79.3%).
CONCLUSION: Measurement of changes in area using digital image analysis could be
used as a quantitative method in evaluating efficacy of treatment for vitiligo.
Because vitiligo lesions can occur in any location with various shapes and sizes,
digital image analysis would be a more objective method for measuring treatment
response than a GAS.
PMID- 22092744
TI - Matrix metalloproteinase-8 expression in periodontal tissues surgically removed
from diabetic and non-diabetic patients with periodontal disease.
AB - BACKGROUND: Although it is known that periodontal matrix metalloproteinase-8 (MMP
8) expression is associated with periodontal disease, the information concerning
the periodontal MMP-8 expression in diabetic patients with periodontal disease is
insufficient. MATERIALS AND METHODS: Periodontal tissue specimens were collected
from seven patients without periodontal disease and diabetes (Group 1), 15
patients with periodontal disease alone (Group 2) and 10 patients with both
periodontal disease and diabetes (Group 3). The frozen sections were prepared and
MMP-8 protein expression was detected using immunohistochemistry and quantified.
For in vitro study, human U937 mononuclear cells were pre-exposed to normal or
high glucose and then treated with lipopolysaccharide (LPS). RESULTS: The
nonparametric Kruskal-Wallis test showed that the difference in MMP-8 protein
levels among the three groups were statistically significant (p = 0.003).
Nonparametric analysis using Jonckheere-Terpstra test showed a tendency of
increase in periodontal MMP-8 levels across Group 1 to Group 2 to Group 3 (p =
0.0002). In vitro studies showed that high glucose and LPS had a synergistic
effect on MMP-8 expression. CONCLUSION: Our current study showed an increasing
trend in MMP-8 protein expression levels across patients without both periodontal
disease and diabetes, patients with periodontal disease alone and patients with
both diseases.
PMID- 22092745
TI - Supportive periodontal therapy and dental implants: an analysis of patients'
compliance.
AB - OBJECTIVES: The purpose of this retrospective study was to evaluate the
compliance to supportive periodontal therapy (SPT) in a population of adult
periodontal patients, and to determine the impact of clinical procedures
performed such as the type of active periodontal therapy and the insertion of
dental implants. MATERIAL AND METHODS: Ninety-six patients were evaluated. The
subjects were divided into two groups, according to the active periodontal
procedure (surgical/non-surgical), and were also classified as having or not
having osseointegrated implants. The number of visits for SPT after the end of
active therapy was evaluated, and subjects were classified as compliant or non
compliant. RESULTS: At the end of a 5-year evaluation, 77.1% of patients
completely complied with SPT while 22.9% of patients had insufficient compliance
degree. No significant difference was found in compliance between subjects that
had surgical or non-surgical periodontal treatment. However, patients that had
the insertion of one or more dental implant registered a significant higher
degree of compliance than patients that had no fixtures insertion. CONCLUSION:
The results suggest that it is possible to have excellent degree of patients'
compliance for SPT. The performance of periodontal surgical therapy itself is not
a reason able to motivate the patients to maintenance care, while the insertion
of osseointegrated implants seems to be a reliable reason to positively affect
the compliance with SPT.
PMID- 22092746
TI - Serum resistance in Haemophilus parasuis SC096 strain requires outer membrane
protein P2 expression.
AB - Haemophilus parasuis outer membrane protein P2 (OmpP2), the most abundant protein
in the outer membrane, has been identified as an antigenic protein and a
potential virulence factor. To study the precise function of OmpP2, an ompP2
deficient mutant (DeltaompP2) of a H. parasuis serovar 4 clinical strain SC096
was constructed by a modified natural transformation system. Compared with the
wild-type SC096 strain, the DeltaompP2 mutant showed a pronounced growth defect
and exhibited significantly greater sensitivity to the bactericidal action of
porcine and rabbit sera, whereas the complemented strain could restore the growth
and serum resistance phenotypes. The results indicated that H. parasuis OmpP2
from SC096 strain is an important surface protein involved in serum resistance.
PMID- 22092747
TI - Inflammation and oxidative stress are lower in physically fit and active adults.
AB - The objective of this study was to determine if the inverse relationship between
perceived physical fitness (pFIT) and exercise frequency (ExFreq) levels and
chronic inflammation and oxidative stress exists after making statistical
adjustments for confounders including body mass index (BMI), age, gender, and
cigarette smoking. Study participants (60% female and 40% male; n = 998) varied
widely in age (18-85 years) and BMI (16.7-52.7 kg/m(2)) completed an extensive
medical/health and lifestyle questionnaire, and data were used to establish pFIT
and ExFreq tertiles. Biomarkers included serum C-reactive protein (CRP), total
blood leukocytes, five plasma cytokines [interleukin (IL)-6, IL-10, tumor
necrosis factor-alpha (TNF-alpha), monocyte chemoattractant protein-1 (MCP1), and
granulocyte colony-stimulating factor (GCSF)], F2 -isoprostanes, ferric reducing
ability of plasma (FRAP), and oxygen radical absorbance capacity (ORAC). A
general linear model was used to examine relationships between pFIT and ExFreq
with inflammation and oxidative stress while controlling for age, gender, BMI,
and smoking. Benjamini-Hochberg method for false discovery rate correction was
used for multiple testing corrections. Significant tests (P < 0.05) for trend
were found for the effect of pFIT and ExFreq on CRP, white blood cell, IL-6, TNF
alpha, GCSF, and F2 -isoprostanes, but not MCP1, IL-10, FRAP, and ORAC, after
adjustment for confounders. These data indicate that an inverse relationship
exists among chronic inflammation, oxidative stress, and pFIT and ExFreq at the
community level even after adjustment for important confounders.
PMID- 22092748
TI - Microdontia and hypodontia of premolars and permanent molars in childhood cancer
survivors after chemotherapy.
AB - BACKGROUND: Adverse long-term general and dental health effects of cancer and
cancer therapy during childhood have been reported. AIM: To examine the
association between chemotherapy before the age of 8 years and (1): microdontia;
(2): hypodontia of premolars and permanent molars. MATERIAL AND METHODS: In The
Danish Registry of Childhood Cancer (DBCR), we identified 203 children who met
the following inclusion criteria: (1) age below 8 years at the start of
treatment; (2) age between 12 to 18 years upon dental examination; (3) had
received chemotherapy The exclusion criterion was radiotherapy to the head and
neck. A total of 150 children fulfilled the inclusion criteria. As controls, a
random sample of 193 age-matched unexposed children was included. RESULTS:
Microdontia was found in a total of 88 teeth in 29 (19.3%) of the 150 children
who had been exposed to chemotherapy, while none of the controls had microdontia
of premolars or permanent molars (difference: 19.3%; 95% CL: 13.5%; 26.4%). The
earlier the exposure, the more frequent was microdontia. We found a total of 27
missing premolars and permanent molars in 14 (9.3%) of the exposed children and a
total of 18 missing premolars and permanent molars in 8 (4.1%) of the controls
(difference: 5.2%; 95% CL: -0.1%; 11.3%). CONCLUSION: The present study confirms
findings from previous studies that chemotherapy, especially in very young
children, causes microdontia and hypodontia of premolars and permanent molars.
PMID- 22092749
TI - Increased chitinase expression and fungal-specific antibodies in the
bronchoalveolar lavage fluid of asthmatic children.
AB - BACKGROUND: Increasing evidence highlights the contribution of chitinases and
fungal infection to the development of asthma. OBJECTIVE: The purpose of this
study was to characterize chitinase expression and serological markers of fungal
infection in children with severe asthma. METHODS: Bronchoalveolar lavage fluid
(BALF) was collected from children undergoing clinically indicated flexible
bronchoscopy. A diagnosis of asthma was confirmed by pulmonary function testing.
BALF was tested for chitinase activity and YKL-40 (an enzymatically inactive
chitinase) concentrations. Specimens were cultured for fungal organisms and
tested for cryptococcal antigen by ELISA. IgG and IgA reactivity to whole extract
fungal (Aspergillus fumigatus, Alternaria alternata, Cryptococcus neoformans and
Candida albicans) proteins were determined by immunoblot assay. RESULTS: Among
the 37 patients studied, 30 were asthmatic and 7 were non-asthmatic. Asthmatics
exhibited elevated serum IgE levels (median: 748 IU/mL, IQR: 219-1765 IU/mL).
Chitinase activity was greater in the BALF of asthmatics (mean, 0.85 +/- 1.2
U/mL) compared with non-asthmatics (mean: 0.23 +/- 0.21 U/mL, P = 0.012).
Likewise YKL-40 concentrations were higher in the BALF of asthmatics and
correlated with chitinase activity. There was a trend towards increased fungal
specific IgG in the BALF of asthmatics compared with non-asthmatics and for C.
albicans this difference reached statistical significance. IgA reactivity to C.
neoformans and A. fumigatus was greater in the BALF of asthmatics compared with
non-asthmatics. CONCLUSIONS AND CLINICAL RELEVANCE: Compared with non-asthmatics,
asthmatic children exhibited increased chitinase activity and increased YKL-40
levels in BALF. Increased IgG and IgA reactivity to fungal proteins in the BALF
of asthmatics may reflect a local response to fungal infection. Our findings are
consistent with and suggest a role for chitinases in asthma pathogenesis among
Bronx children and provide serological evidence of an association between fungal
infection and severe asthma.
PMID- 22092750
TI - Estimation of effective concentrations of ATP-regenerating enzymes in cilia of
Paramecium caudatum.
AB - The phosphoarginine shuttle system effectively regenerates ATP in the cilia of
Paramecium caudatum. To estimate the effective concentration of ATP-regenerating
enzymes, we attempted to reconstitute certain ATP-regenerating systems within the
cilia of intact cortical sheets using exogenous enzymes and high-energy
substances. The addition of phosphoenolpyruvate, which is one of the substrates
in glycolysis, did not increase the ciliary beat frequency, whereas
phosphocreatine together with exogenous creatine kinase, effectively increased
the ciliary beat frequency. In the presence of 0.6 mg/ml creatine kinase and 0.4
mM phosphocreatine, the ciliary beat frequency was comparable to that produced by
the addition of phosphoarginine. This result indicates that the reconstituted
phosphocreatine shuttle system can work as an artificial ATP-regenerating system
for ciliary movements. The effective concentration of creatine kinase in the
reconstituted phosphocreatine shuttle system was estimated to be about 7.4 MUM
based on the molecular mass of creatine kinase (MW 81,000). Therefore, the
effective concentration of arginine kinase in the cilia of live Paramecium is
approximately 10 MUM. This estimated concentration of intraciliary arginine
kinase is sufficient to maintain a high ATP concentration throughout the cilia of
P. caudatum.
PMID- 22092751
TI - Duplication of the great saphenous vein: a definition problem and implications
for therapy.
AB - BACKGROUND: In the literature there is a range from 1% to 20 % of duplication (up
to 20%) of the great saphenous vein (GSV) reported, because there is a lack of an
accurate definition of the GSV and objective parameters for an anatomical
identification. OBJECTIVE: To investigate the frequency of true duplications of
the GSV. MATERIALS AND METHODS: A systematic review of the literature, a
retrospective analysis of duplex examinations, and a prospective study of duplex
examinations to investigate the frequency of true duplications of the GSV.
RESULTS: In the literature review, a great variety of definitions is used for
duplication of the GSV. Before the consensus of the Union International de
Phlebologie (UIP) in 2006, Only in a small number of studies, the definition of
the GSV in the saphenous compartment between the fascial blades is mentioned.
CONCLUSION: Phlebographic studies have been the criterion standard for the
identification of venous anatomy. Now, duplex is regarded as the criterion
standard for accurate detection of the veins. True duplication of the GSV is less
common than the previous literature has suggested, namely 1.6% to 2%. It is
recommended that the duplicated GSV should be treated to avoid an important risk
of recurrence of venous insufficiency.
PMID- 22092752
TI - Laser tattoo removal: a review.
AB - BACKGROUND: Tattoos have played an important role in human culture for thousands
of years, and they remain popular today. The development of quality-switched (QS)
lasers has revolutionized the removal of unwanted tattoos. OBJECTIVES: To
thoroughly review the literature on laser tattoo removal pertaining to its
history, its theoretical basis, the various devices used, potential adverse
effects, and future developments. MATERIAL AND METHODS: An extensive literature
review of publications related to tattoo removal was conducted. RESULTS: Reports
exist demonstrating the efficacy of laser removal of different tattoo types,
including professional, amateur, traumatic, cosmetic, and medical. The literature
supports the use of different QS lasers for removal of tattoos. Some colors have
a more-complete response using particular wavelengths. CONCLUSION: QS lasers can
effectively and safely remove different types of unwanted tattoos.
PMID- 22092753
TI - Preliminary molecular identification of cylindrospermopsin-producing
Cyanobacteria in two Polish lakes (Central Europe).
AB - The presence of toxigenic cyanobacteria capable of biosynthesis of
cylindrospermopsin (CYN) was measured in 24 water samples collected from the
lakes Bytynskie (BY) and Bninskie (BN) in the Western Poland. The study also
covered analysis of toxigenicity and production of CYN by the culture of
Cylindrospermopsis raciborskii isolated from BY. The cyrJ gene associated with
CYN production was identified in 22 water samples collected in the summer seasons
of 2006 and 2007. The presence of CYN was confirmed in 16 samples. The homology
searches revealed that amplified sequences of four water samples, which were
selected from among all the samples, displayed a strong 99% homology to cyrJ gene
of Aphanizomenon sp. 10E6. The culture of C. raciborskii did not contain the cyrJ
gene nor the CYN. The specificity of C. raciborskii was confirmed by application
of a fragment of the rpoC1. These first genetic analyses have shown that
Aphanizomenon seems to be the main cyanobacterial genus responsible for the
production of CYN in the Polish lakes. The lack of toxigenicity of the isolated
C. raciborskii suggests that it is possible that this invasive species does not
demonstrate toxigenic activity in Polish water bodies.
PMID- 22092754
TI - Expanding the mycobacterial diversity of metalworking fluids (MWFs): evidence
showing MWF colonization by Mycobacterium abscessus.
AB - Nontuberculous mycobacteria (NTM) have been associated with hypersensitivity
pneumonitis in machinists. Only two species of NTM, namely Mycobacterium
immunogenum and Mycobacterium chelonae, have been reported thus far to have the
ability to colonize contaminated metalworking fluids (MWFs). Here, we report, for
the first time, the presence and characterization (phenotypic and genotypic) of a
third species, Mycobacterium abscessus, colonizing these harsh alkaline machining
fluids. Two Mycobacterium morphotypes, smooth (S) and rough (R), were isolated
(two isolates each) from an in-use industrial MWFs. Biocide susceptibility
analysis using triclosan as a model yielded the same minimal inhibitory
concentration for the two morphotypes. PCR-restriction analysis-based speciation
of the morphotypes confirmed their identity as M. abscessus. Genotyping based on
partial DNA sequences corresponding to the variable regions of the hsp65 gene and
16S-23S rRNA operon internal transcribed spacer region and randomly amplified
polymorphic DNA-PCR analysis showed that both morphotypes belong to a single
genotype. In addition, we isolated and confirmed two novel mycobacterial
genotypes, one each of M. immunogenum and M. chelonae from additional in-use MWF
screening. Taken together, this study expands the known mycobacterial species-
and strain-diversity colonizing MWF. Furthermore, the study emphasizes the need
for including M. abscessus species in the existing mycobacterial screening of
contaminated MWF.
PMID- 22092755
TI - Phylogenetic characterization of bacteria in the gut of house flies (Musca
domestica L.).
AB - House flies (Musca domestica L.) are cosmopolitan, ubiquitous, synanthropic
insects that serve as mechanical or biological vectors for various
microorganisms. To fully assess the role of house flies in the epidemiology of
human diseases, it is essential to understand the diversity of microbiota
harbored by natural fly populations. This study aimed to identify the diversity
of house fly gut bacteria by both culture-dependent and culture-independent
approaches. A total of 102 bacterial strains were isolated from the gut of 65
house flies collected from various public places including a garden, public park,
garbage/dump area, public toilet, hospital, restaurant/canteen, mutton
shop/market, and house/human habitation. Molecular phylogenetic analyses placed
these isolates into 22 different genera. The majority of bacteria identified were
known potential pathogens of the genera Klebsiella, Aeromonas, Shigella,
Morganella, Providencia, and Staphylococcus. Culture-independent methods involved
the construction of a 16S rRNA gene clone library, and sequence analyses
supported culture recovery results. However, additional bacterial taxa not
determined via culture recovery were revealed using this methodology and included
members of the classes Alphaproteobacteria, Deltaproteobacteria, and the phylum
Bacteroidetes. Here, we show that the house fly gut is an environmental reservoir
for a vast number of bacterial species, which may have impacts on vector
potential and pathogen transmission.
PMID- 22092756
TI - Three-dimensional bone structure and bone mineral density evaluations of
autogenous bone graft after sinus augmentation: a microcomputed tomography
analysis.
AB - OBJECTIVE: The purpose of this study was to determine the relationships and
differences in three-dimensional (3D) bone mineral density (BMD) and
microtrabecular structures between autogenous bone grafts and their adjacent
native bone after a healing period following maxillary sinus augmentation.
MATERIALS AND METHODS: Nine rod-shaped human bone biopsy samples were taken from
patients receiving two-stage sinus augmentation therapy in implantation areas and
analyzed using microcomputed tomography (micro-CT). Before micro-CT scanning, two
BMD phantoms were placed near to the bone biopsy samples for executing BMD
calculations of the grafted and native bone samples. In addition, 3D structural
parameters of the trabeculae were analyzed for both the grafted and native bone,
including percentage of bone volume [bone volume (BV)/tissue volume (TV)], bone
specific surface [bone surface (BS)/BV], trabecular thickness (Tb.Th), trabecular
number (Tb.N), trabecular separation (Tb.Sp), trabecular pattern factor (Tb.Pf),
and structure model index (SMI). RESULTS: No significant correlations with regard
to BMD and trabecular-structure parameters were found between native bone and
grafted bone; however, BS/BV and Tb.Pf were higher and Tb.Th and Tb.Sp were
37.35% and 12.74% lower in grafted bone than in native bone. For grafted bone,
there were significant correlations (P < 0.05) between BMD and BV/TV, and Tb.N.
CONCLUSIONS: When using autogenous bone as a graft material, BMD and
micromorphological conditions of grafted bone were not influenced by the
condition of the native bone in the maxilla. Differences were found in surface
complexity, trabecular thickness, trabecular separation, and the connectivity of
trabeculae between grafted and native bone. The BMD in grafted bone was affected
by the quantity of the trabeculae.
PMID- 22092757
TI - Histoplasma mechanisms of pathogenesis--one portfolio doesn't fit all.
AB - Histoplasma capsulatum is the leading cause of endemic mycosis in the world.
Analyses of clinical isolates from different endemic regions show important
diversity within the species. Recent molecular studies of two isolates, the
Chemotype I NAm2 strain G217B and the Chemotype II Panamanian strain G186A,
reveal significant genetic, structural, and molecular differences between these
representative Histoplasma strains. Some of these variations have functional
consequences, representing distinct molecular mechanisms that facilitate
Histoplasma pathogenesis. The realization of Histoplasma strain diversity
highlights the importance of characterizing Histoplasma virulence factors in the
context of specific clinical strain isolates.
PMID- 22092759
TI - Saliva promotes survival and even proliferation of Candida species in tap water.
AB - Candida yeasts colonize the human oral cavity as commensals or opportunistic
pathogens. They may be isolated from water circulating in dental unit waterlines
mixed with traces of saliva mainly because of the dysfunction of antiretraction
valves. This study deals with the growth ability of Candida albicans, Candida
glabrata and Candida parapsilosis in tap water with saliva (0-20% v/v). Results
show that C. glabrata is the most susceptible species in tap water. Furthermore,
saliva promotes both survival and proliferation of the three studied Candida
species in tap water.
PMID- 22092758
TI - NADPH-dependent pgi-gene knockout Escherichia coli metabolism producing shikimate
on different carbon sources.
AB - We explored the physiological and metabolic effects of different carbon sources
(glucose, fructose, and glucose/fructose mixture) in phosphoglucose isomerase
(pgi) knockout Escherichia coli mutant producing shikimic acid (SA). It was
observed that the pgi(-) mutant grown on glucose exhibited significantly lower
cell growth compared with the pgi(+) strain and its mixed glucose/fructose
fermentation grew well. Interestingly, when fructose was used as a carbon source,
the pgi(-) mutant showed the enhanced SA production compared with the pgi(+)
strain. In silico analysis of a genome-scale E. coli model was then conducted to
characterize the cellular metabolism and quantify NAPDH regeneration, which
allowed us to understand such experimentally observed attenuated cell growth and
enhanced SA production in glucose- and fructose-consuming pgi(-) mutant,
respectively with respect to cofactor regeneration.
PMID- 22092760
TI - Variation and predicted structure of the flagellin gene in Actinoplanes species.
AB - Members of the genus Actinoplanes are considered to be representative of motile
actinomycetes. To infer the flagellar diversity of Actinoplanes species, novel
degenerate primers were designed for the flagellin (fliC) gene. The fliC gene of
21 Actinoplanes strains was successfully amplified and classified into two groups
based on whether they were large (type I) or small (type II). Comparison of the
translated amino acid sequences revealed that this size difference could be
attributed to large number of gaps located in the central variable region.
However, the C- and N- terminal regions were conserved. Except for a region on
the flagellum surface, structural predictions of type I and II flagellins
revealed that the two flagellin types were strongly correlated with each other.
Phylogenetic analysis of the 115-amino acid N-terminal sequences revealed that
the Actinoplanes species formed three clusters, and type II flagellin gene
containing three type strains were phylogenetically closely related each other.
PMID- 22092761
TI - Development of antibiotic resistance and up-regulation of the antimutator gene
pfpI in mutator Pseudomonas aeruginosa due to inactivation of two DNA oxidative
repair genes (mutY, mutM).
AB - Prevention and correction of oxidative DNA lesions in Pseudomonas aeruginosa is
ensured by the DNA oxidative repair system (GO). Single inactivation of mutT,
mutY and mutM involved in GO led to elevated mutation rates (MRs) that correlated
to increased development of resistance to antibiotics. In this study, we
constructed a double mutant in mutY and mutM (PAOMY-Mgm) and characterized the
phenotype and the gene expression profile using microarray and RT-PCR. PAOMY-Mgm
presented 28-fold increases in MR compared with wild-type reference strain PAO1.
In comparison, the PAOMYgm (mutY) single mutant showed only a fivefold increase,
whereas the single mutant PAOMMgm (mutM) showed a nonsignificant increase in MR
compared with PAO1 and the single mutants. Mutations in the regulator nfxB
leading to hyperexpression of MexCD-OprJ efflux pump were found as the mechanism
of resistance to ciprofloxacin in the double mutant. A better fitness of the
mutator compared with PAO1 was found in growth competition experiments in the
presence of ciprofloxacin at concentrations just below minimal inhibitory
concentration. Up-regulation of the antimutator gene pfpI, that has been shown to
provide protection to oxidative stress, was found in PAOMY-Mgm compared with
PAO1. In conclusion, we showed that MutY and MutM are cooperating in the GO of P.
aeruginosa, and that oxidative DNA lesions might represent an oxidative stress
for the bacteria.
PMID- 22092762
TI - Cloning, expression analysis, and sequence diversity of genes encoding two
different immunodominant membrane proteins in poinsettia branch-inducing
phytoplasma (PoiBI).
AB - Poinsettia branch-inducing phytoplasma (PoiBI) is a phytopathogenic bacterium
that infects poinsettia, and is associated with the free-branching morphotype
(characterized by many axillary shoots and flowers) of many commercially grown
poinsettias. The major membrane proteins of phytoplasmas are classified into
three general types, that is, immunodominant membrane protein (Imp),
immunodominant membrane protein A (IdpA), and antigenic membrane protein (Amp).
These membrane proteins are often used as targets for the production of
antibodies used in phytoplasma detection. Herein, we cloned and sequenced the imp
and idpA genes of PoiBI strains from 26 commercial poinsettia cultivars. Although
the amino acid sequences of the encoded IdpA proteins were invariant, those of
the encoded Imp varied among the PoiBI isolates, with no synonymous nucleotide
substitution. Western blotting and immunohistochemical analyses revealed that the
amount of Imp expressed exceeded that of IdpA, in contrast to the case of a
related phytoplasma-disease, western X-disease, for which the major membrane
protein appears to be IdpA, not Imp. These results suggest that even
phylogenetically close phytoplasmas express different types of major membrane
proteins.
PMID- 22092763
TI - Fbl is not involved in the invasion of eukaryotic epithelial and endothelial
cells by Staphylococcus lugdunensis.
AB - For several Staphylococci, such as Staphylococcus aureus, Staphylococcus
saprophyticus, and Staphylococcus epidermidis, invasion of eukaryotic cells has
been described and this mechanism has been considered an important part of the
infection process. The fibrinogen-binding protein (Fbl) of Staphylococcus
lugdunensis, a homolog of the clumping factor A of S. aureus, has been described
as fibrinogen-binding adhesin and might promote invasion of cells. We therefore
characterized several clinical strains of S. lugdunensis in terms of whole cell
fibrinogen and fibronectin binding and correlated these results with the invasion
of epithelial and endothelial cells by S. lugdunensis. We described for the first
time invasion of cells by S. lugdunensis. As invasion of cells by S. lugdunensis
was only partly inhibited by cytochalasin D in contrast to a complete inhibition
of invasion of cells by S. aureus, further invasion mechanisms are likely to be
present in S. lugdunensis. In addition, the Fbl of S. lugdunensis is not involved
in the invasion of cells as ruled out by an isogenic fbl mutant.
PMID- 22092764
TI - Functional characterization of the type II PamI restriction-modification system
derived from plasmid pAMI7 of Paracoccus aminophilus JCM 7686.
AB - Plasmid pAMI7 of the methylotrophic bacterium Paracoccus aminophilus JCM 7686
(Alphaproteobacteria) encodes a functional type II restriction-modification (R-M)
system designated PamI. Homologous systems were identified in the genomes of
distinct taxonomic groups of Bacteria and Archaea, which provides evidence that
horizontal gene transfer has contributed to the wide dissemination of R-M modules
- even between domains. Analysis of the cleavage specificity of the R.PamI
endonuclease revealed that this protein is an isoschizomer of restriction enzyme
NcoI. Interestingly, bioinformatic analyses suggest that R.PamI and NcoI are
accompanied by methyltransferases of different methylation specificities (C5
methylcytosine and N4-methylcytosine methyltransferases, respectively), which
possibly exemplifies recombinational shuffling of genes coding for individual
components of R-M systems. The PamI system can stabilize plasmid pAMI7 in a
bacterial population, most probably at the postsegregational level. Therefore, it
functions in an analogous manner to plasmid-encoded toxin-antitoxin (TA) systems.
Since the TA system of pAMI7 is nonfunctional, it is highly probable that this
lack is compensated by the stabilizing activity of PamI. This indicates the
crucial role of the analyzed R-M system in the stable maintenance of pAMI7, which
is, to our knowledge, the first report of 'symbiosis' between a R-M system and a
plasmid in the Alphaproteobacteria.
PMID- 22092765
TI - Effects of microplusin, a copper-chelating antimicrobial peptide, against
Cryptococcus neoformans.
AB - Microplusin is an antimicrobial peptide isolated from the cattle tick
Rhipicephalus (Boophilus) microplus. Its copper-chelating ability is putatively
responsible for its bacteriostatic activity against Micrococcus luteus as
microplusin inhibits respiration in this species, which is a copper-dependent
process. Microplusin is also active against Cryptococcus neoformans (MIC(50) =
0.09 MUM), the etiologic agent of cryptococcosis. Here, we show that microplusin
is fungistatic to C. neoformans and this inhibitory effect is abrogated by copper
supplementation. Notably, microplusin drastically altered the respiratory profile
of C. neoformans. In addition, microplusin affects important virulence factors of
this fungus. We observed that microplusin completely inhibited fungal
melanization, and this effect correlates with the inhibition of the related
enzyme laccase. Also, microplusin significantly inhibited the capsule size of C.
neoformans. Our studies reveal, for the first time, a copper-chelating
antimicrobial peptide that inhibits respiration and growth of C. neoformans and
modifies two major virulence factors: melanization and formation of a
polysaccharide capsule. These features suggest that microplusin, or other copper
chelation approaches, may be a promising therapeutic for cryptococcosis.
PMID- 22092766
TI - The Vibrio parahaemolyticus pvuA1 gene (formerly termed psuA) encodes a second
ferric vibrioferrin receptor that requires tonB2.
AB - We previously reported that the Vibrio parahaemolyticus pvsABCDE and psuA
pvuABCDE operons are involved in the biosynthesis and transport of its own
siderophore, vibrioferrin (VF). Of these, psuA and pvuA encode TonB-dependent
outer-membrane proteins (OMPs). Although pvuA was characterized as the ferric
vibrioferrin receptor gene, the role of the psuA product remains unknown. In this
study, a growth assay of isogenic psuA, pvuA, and psuA-pvuA double-deletion
mutants followed by complementation of the double-deletion mutant with psuA or
pvuA was used to identify psuA as a gene encoding an OMP involved in the uptake
of ferric VF. Thus, psuA and pvuA were renamed pvuA1 and pvuA2, respectively.
Moreover, we clarified the TonB specificities of PvuA1 and PvuA2, because V.
parahaemolyticus has three sets of the TonB systems. The triple deletion of
pvuA1, tonB1, and tonB2, and the double deletion of pvuA2 and tonB2 resulted in
the complete loss of growth promotion by VF. This finding indicates that the
energy required for PvuA1 and PvuA2 to transport ferric VF across the outer
membrane is provided by the TonB2 system and by both the TonB1 and TonB2 systems,
respectively.
PMID- 22092767
TI - Proteomics and multilocus sequence analysis confirm intraspecific variability of
Vibrio tapetis.
AB - Vibrio tapetis is the etiological agent of brown ring disease (BRD) in clams.
Phenotypic, antigenic and genetic variability have been demonstrated, with three
groups being established associated with host origin. In this work we analyze the
variability of representative strains of these three groups, CECT 4600(T) and
GR0202RD, isolated from Manila clam and carpet-shell clam, respectively, and
HH6087, isolated from halibut, on the basis of the whole proteome analysis by 2D
PAGE and multilocus sequence analysis (MLSA). A quantitative analysis of the
proteome match coefficient showed a similarity of 79% between the clam isolates,
whereas fish isolate showed similarities lower than 70%. A preliminary mass
spectrometry (MS) assay allowed the identification of 27 proteins including 50S
ribosomal protein L9, riboflavin synthase beta subunit, ribose-phosphate
pyrophosphokinase and succinyl-CoA synthase alpha subunit. The MLSA approach gave
similar results, showing a 99.4% similarity of the clam isolates, which was
higher than that observed between the fish isolate and either clam strain
(98.2%). The topology of the maximum parsimony tree, obtained from 2D-PAGE
analysis, and the phylogenetic tree, constructed with the maximum likelihood
algorithm from concatenated sequences of 16S rRNA gene and five housekeeping
genes (atpA, pyrH, recA, rpoA and rpoD), was very similar, confirming the closer
relationship between the two clam isolates.
PMID- 22092768
TI - Influence of bone augmentation procedures on the short-term prognosis of
simultaneously placed implants.
AB - OBJECTIVES: The purpose of this study was to investigate the effect of
simultaneous bone-augmentation procedures, and their combination, on the survival
of dental implants and on the incidence of complications. MATERIAL AND METHODS:
Within a retrospective analysis, 958 implants placed in 404 patients (mean age
58.18) were selected from a prospective clinical study. In 304 cases of reduced
bone width, bone spreading (n = 217) with hand osteotomes, or bone splitting (n =
15), or guided bone regeneration (n = 72) combined with autogenous bone grafts
were also performed. Eighty-eight implants were placed in combination with
simultaneous internal sinus floor elevation without using graft material. For 194
additional implants, several augmentation procedures were combined because of
extensive bone deficits. Three-hundred and seventy-two conventionally placed
implants served as controls. Implant failures and complications were recorded
after a mean observation period of 2.1 years (maximum 6.9 years). RESULTS:
Seventeen failures and nine additional implant-related complications were
observed. After 4 years, Kaplan-Meier curves revealed a probability of survival
without complication of 97.5% for conventionally placed implants, and 95.8% for
implants placed in combination with a single augmentation technique. If several
augmentation techniques were combined, success decreased to 94.1%. Complication
free survival differences between combined augmentation techniques and
conventionally placed implants were significant (P = 0.004). Age, gender, and
location showed no effect on implant survival. CONCLUSIONS: It can be concluded
that simultaneous bone-augmentation techniques slightly reduce short-term
prognosis for dental implants. This effect was more pronounced when advanced
defects required the combination of several augmentation procedures.
PMID- 22092769
TI - Role of teeth adjacent to implants installed immediately into extraction sockets:
an experimental study in the dog.
AB - AIM: To evaluate the influence of the presence of both adjacent teeth on the
level of alveolar bony crest at sites where implants were installed into the
socket immediately after tooth extraction. MATERIAL AND METHODS: Six Labrador
dogs were used. Extractions of all teeth from the second premolar to the first
molar were performed in the right side of the mandible, after full-thickness flap
elevation. In the left side of the mandible, an endodontic treatment of the
mesial root of the third and fourth premolars was performed. Full-thickness flaps
were elevated, the teeth hemi-sected, and the distal roots removed. Immediately
after, implants were bilaterally installed with the margin flush to the buccal
bony crest. The implants were placed in the center of the alveolus at the third
premolars and toward the lingual bony plate of the alveolus at the fourth
premolars. After 3 months of healing, the animals were euthanized. RESULTS: All
implants were integrated in mature bone. More bone resorption was observed at the
test compared to the control sites. At the buccal aspect, a resorption of 2.8 +/-
0.5 and 1.6 +/- 0.4 mm at the third premolars and of 2.4 +/- 0.6 and 0.8 +/- 0.7
mm at the fourth premolars were found, at the test and control sites,
respectively. At the lingual aspect, the bony crest was apically located in
relation to the implant shoulder 1.5 +/- 0.3 and 0.5 +/- 0.5 mm at the third
premolars and 1.6 +/- 0.6 and 0.3 +/- 1.1 mm at the fourth premolars, at the test
and control sites, respectively. A lower buccal bone resorption was found at the
control implants placed lingually. CONCLUSION: Multiple extractions of teeth
adjacent to a socket into which implants were installed immediately after, tooth
extraction induced more alveolar bone recession compared to sites where the
adjacent teeth were preserved. Moreover, an implant placed more lingually yielded
less recession of the buccal aspect of the implant.
PMID- 22092770
TI - Novel protein identification methods for biomarker discovery via a proteomic
analysis of periodontally healthy and diseased gingival crevicular fluid samples.
AB - AIM: To identify possible novel biomarkers in gingival crevicular fluid (GCF)
samples from chronic periodontitis (CP) and periodontally healthy individuals
using high-throughput proteomic analysis. MATERIALS AND METHODS: Gingival
crevicular fluid samples were collected from 12 CP and 12 periodontally healthy
subjects. Samples were trypically digested with trypsin, eluted using high
performance liquid chromatography, and fragmented using tandem mass spectrometry
(MS/MS). MS/MS spectra were analysed using PILOT_PROTEIN to identify all
unmodified proteins within the samples. RESULTS: Using the database derived from
Homo sapiens taxonomy and all bacterial taxonomies, 432 human (120 new) and 30
bacterial proteins were identified. The human proteins, angiotensinogen,
clusterin and thymidine phosphorylase were identified as biomarker candidates
based on their high-scoring only in samples from periodontal health. Similarly,
neutrophil defensin-1, carbonic anhydrase-1 and elongation factor-1 gamma were
associated with CP. Candidate bacterial biomarkers include 33 kDa chaperonin,
iron uptake protein A2 and phosphoenolpyruvate carboxylase (health-associated)
and ribulose biphosphate carboxylase, a probable succinyl-CoA:3-ketoacid-coenzyme
A transferase, or DNA-directed RNA polymerase subunit beta (CP-associated). Most
of these human and bacterial proteins have not been previously evaluated as
biomarkers of periodontal conditions and require further investigation.
CONCLUSIONS: The proposed methods for large-scale comprehensive proteomic
analysis may lead to the identification of novel biomarkers of periodontal health
or disease.
PMID- 22092771
TI - Surgical treatment of nail apparatus melanoma in situ: the use of artificial
dermis in reconstruction.
PMID- 22092772
TI - Targeted 307 nm UVB-phototherapy in psoriasis. A pilot study comparing a 307 nm
excimer light with topical dithranol.
AB - BACKGROUND: Phototherapy is a cornerstone in treatment of moderate-to-severe
psoriasis. Narrow-band UVB has been shown to be a potent therapeutic tool. To
reduce the potential carcinogenic risk, targeted phototherapy has been developed
using excimer lasers or excimer light devices (ELD). OBJECTIVE: The role of
excimer light therapy in practice and modes of action are not completely
understood. We wanted to investigate a 307 nm ELD for plaque psoriasis in
comparison with topical dithranol therapy twice daily. METHODS: We conducted a
pilot trial in 21 adult patients with moderate plaque-type psoriasis. Two target
lesions of comparable size and plaque-modified Psoriasis Activity and Severity
Index (PSI) scores were selected. Lesion A was treated three times using a newly
developed 307 nm ELD. Lesion B was treated twice daily with dithranol ointment.
The mean period of treatment was 9 days. Clinical evaluation included PSI scores,
safety, time needed to treat, and patient's satisfaction. In addition,
fluorescence-remission imaging technique was used for objective evaluation.
RESULTS: Both treatments improved the PSI score (mean 3.0 points). The treatments
were safe but ELD was more convenient for patients. The time needed to treat the
target lesion was significantly shorter with ELD. Targeted UVB therapy normalized
NADH fluorescence in lesional skin. CONCLUSIONS: The 307 nm excimer light therapy
for plaque type psoriasis was equipotent to twice daily topical dithranol.
Efficacy, safety, and convenience suggest that targeted UVB therapy with quasi
monochromatic light is a new useful treatment option for patients with limited
psoriatic plaques.
PMID- 22092773
TI - The effect of abutment dis/reconnections on peri-implant bone resorption: a
radiologic study of platform-switched and non-platform-switched implants placed
in animals.
AB - OBJECTIVES: The purpose of this animal study was to radiologically measure the
influence of abutment disconnection on bone resorption and to compare this
influence on platform-switched vs. non-platform-switched implants. METHODS: The
study design included extraction of all mandibular premolars in five canines .
After 2 months, six implants were placed in each dog. Four of them were platform
switched (PS) implants and two were non-platform-switched (NPS) implants. Some or
all of the abutments connected to the implants were disconnected at pre-ordained
post-surgical intervals. Radiographs were taken at the time of implant placement
and at every handling. The values for mesial (horizontal and vertical) and distal
(horizontal and vertical) bone resorption were taken and compared for each
implant at every abutment dis/reconnection. RESULTS: The average vertical bone
resorption around NPS implants after four dis/reconnections was 1.09 mm (SD 0.25
mm), and the average horizontal bone resorption was 0.98 mm (SD 0.27 mm). The
average vertical bone resorption around PS implants after four dis/reconnections
was 0.24 mm, (SD 0.08 mm) and the average horizontal bone resorption was 0.24 mm
(SD 0.13 mm). The difference of the average horizontal and vertical bone
resorption around NPS (site D) and PS (site A) implants was statically
significant (P < 0.05). The average mesial and distal bone resorption values
around PS (site A) implant adjacent to a tooth were compared, and statically
significant differences were found (P < 0.05). CONCLUSIONS: Implants with a PS
design show less peri-implant bone resorption during the healing process and as
their abutments are disconnected, than do comparably dis/reconnected NPS
implants. The location of the PS implant next to a tooth may decrease
radiographically visible peri-implant bone resorption significantly.
PMID- 22092774
TI - Are reptile and amphibian species younger in the Northern Hemisphere than in the
Southern Hemisphere?
AB - A previous analysis of molecular phylogenies suggested that intraspecific
diversification had occurred more recently in temperate-zone Northern Hemisphere
reptiles and amphibians than in Southern Hemisphere taxa. Here, we test potential
explanations for this pattern. We examined published phylogenetic analyses,
derived from genetic sequence data, to generate two estimates of the age of
species: (i) the oldest intraspecific diversification event within each taxon and
(ii) the inferred timing of the split between two sister species. The timing of
splits between species shows the same pattern as splits within species, and thus
may be due to climatically driven cladogenic and extinction events or may be an
artefact of differing levels of taxonomic knowledge about the fauna. Current
rates of species descriptions suggest that many more taxa remain to be described
in the Southern Hemisphere than the Northern Hemisphere; for that bias to fully
explain our results on species age differences, the proportion of undescribed
Southern taxa would need to be >= 12% in reptiles and >= 51% in anurans. For
reptiles, taxonomic ignorance plausibly explains the apparent difference in mean
age of species between the Southern and Northern Hemispheres; but this
explanation can apply to amphibians only if a vast number of Southern taxa remain
to be described.
PMID- 22092775
TI - Grey eosinophils in sighthounds: frequency in 3 breeds and comparison of
eosinophil counts determined manually and with 2 hematology analyzers.
AB - BACKGROUND: Grey eosinophils (GE) reported to occur in Greyhounds, and
occasionally in other breeds, have clear granules, probably due to abnormal
staining properties. OBJECTIVES: The aims of this study were to investigate the
frequency of GE in Greyhounds and 2 other sighthound breeds, and to assess the
capacity of the ADVIA 120 and Sysmex XT-2000iV hematology analyzers to correctly
identify GE. METHODS: Blood samples from 20 Greyhounds, 29 Italian Greyhounds,
and 24 Whippets were analyzed using the ADVIA and Sysmex hematology analyzers,
and blood smears stained with May-Grunwald Giemsa were evaluated microscopically.
The frequency of samples with GE detected on smears was recorded for each breed.
Manual and automated eosinophil counts were compared using a Wilcoxon signed-rank
test. Agreement between methods was assessed using Passing-Bablok and Bland
Altman plots. RESULTS: GE were detected in all 3 breeds: 9/20 Greyhounds (45.0%),
10/29 Italian Greyhounds (34.5%), and 5/24 Whippets (62.5%) with no significant
differences in the frequency of GE among the breeds. In samples containing GE,
both analyzers underestimated the percentage of eosinophils and occasionally
eosinophils were not detected at all. When a novel "GE gate" was used, the
percentage of eosinophils reported by the Sysmex was similar to that obtained by
manual counting. CONCLUSIONS: GE are found in the blood of sighthounds other than
Greyhounds. Hematology analyzers may underestimate the percentage of GE, probably
due to their abnormal physical or chemical features. Underestimation is slight
and usually clinically insignificant, but occasionally eosinophils are completely
misclassified. Using the Sysmex analyzer, a GE gate can be designed to normalize
the eosinophil count.
PMID- 22092776
TI - Characterization of the fecal bacteria communities of forage-fed horses by
pyrosequencing of 16S rRNA V4 gene amplicons.
AB - The diversity of the equine fecal bacterial community was evaluated using
pyrosequencing of 16S rRNA gene amplicons. Fecal samples were obtained from
horses fed cool-season grass hay. Fecal bacteria were characterized by amplifying
the V4 region of bacterial 16S rRNA gene. Of 5898 mean unique sequences, a mean
of 1510 operational taxonomic units were identified in the four fecal samples.
Equine fecal bacterial richness was higher than that reported in humans, but
lower than that reported in either cattle feces or soil. Bacterial classified
sequences were assigned to 16 phyla, of which 10 were present in all samples. The
largest number of reads belonged to Firmicutes (43.7% of total bacterial
sequences), Verrucomicrobia (4.1%), Proteobacteria (3.8%), and Bacteroidetes
(3.7%). The less abundant Actinobacteria, Cyanobacteria, and TM7 phyla presented
here have not been previously described in the gut contents or feces of horses.
Unclassified sequences represented 38.1% of total bacterial sequences; therefore,
the equine fecal microbiome diversity is likely greater than that described. This
is the first study to characterize the fecal bacterial community in horses by the
use of 16S rRNA gene amplicon pyrosequencing, expanding our knowledge of the
fecal microbiota of forage-fed horses.
PMID- 22092777
TI - Impact of fatigue on performance in registered nurses: data mining and
implications for practice.
AB - Performance of nurses has a direct effect on the quality and safety of care that
is delivered. Fatigue has been identified as a factor that leads to performance
decrements in healthcare workers, especially nurses. Determining associations
between dimensions of fatigue and performance is imperative to better
understanding fatigue in nurses and the potential implications for both patient
and provider safety. This article identifies associations between ranges of
fatigue levels and significant differences in perceived performance, and analyzes
interactions between fatigue dimensions in relation to perceived performance
scores. Overall, mental fatigue tended to have higher perceived performance
decrements than physical and total fatigue in the highest fatigue ranges. As
physical fatigue begins to develop in nurses, physical exertion rather than
discomfort is more critical to perceived performance. As acute fatigue levels
increase, perceived performance levels continue to decrease, whereas the role of
chronic fatigue is relatively constant. Minimizing the development of acute
fatigue may help in maintaining higher performance levels. The findings from this
study provide valuable information in quantifying the changes in perceived
performance with regard to specific fatigue levels, as well as an initial
understanding of how the individual dimensions and states of fatigue vary in
their association with perceived performance decrements.
PMID- 22092778
TI - Gateway to doping? Supplement use in the context of preferred competitive
situations, doping attitude, beliefs, and norms.
AB - Nutritional supplement (NS) use is widespread in sport. This study applied an
integrated social cognitive approach to examine doping attitudes, beliefs, and
self-reported doping use behavior across NS users (n = 96) and nonusers (n =
116). Following ethical approval, 212 competitive athletes (age mean = 21.4, s =
4.5; 137 males) completed self-reported measures of doping-related social
cognitions and behaviors, presented in an online format where completion implied
consent. Significantly more NS users (22.9%) reported doping compared with
nonusers (6.0%; U = 4628.0, P < 0.05). NS users presented significantly more
positive attitudes toward doping (U = 3152.0, P < 0.05) and expressed a
significantly greater belief that doping is effective (U = 3152.0, P < 0.05).
When presented with the scenario that performance-enhancing substances are
effective and increase the possibility of winning, NS users were significantly
more in favor of competing in situations that allow doping (U = 3504.5, P <
0.05). In sum, doping use is three-and-a-half times more prevalent in NS users
compared with nonusers. This finding is accompanied by significant differences in
doping attitudes, norms, and beliefs. Thus, this article offers support for the
gateway hypothesis; athletes who engage in legal performance enhancement
practices appear to embody an "at-risk" group for transition toward doping.
Education should be appropriately targeted.
PMID- 22092779
TI - Results of drug hypersensitivity evaluations in a large group of children and
adults.
AB - BACKGROUND: Proven IgE or T-cell mediated drug hypersensitivity reactions (DHRs)
seem less common in children compared with adults. However, this has never been
proved by data. OBJECTIVE: To determine and compare proven DHR prevalence in
children and adults. METHODS: Using the DAHD (Drug Allergy and Hypersensitivity
Database) cohort, children with proven DHRs were compared with adults. The
international EAACI-ENDA recommendations were followed. Patients were divided
into four groups: index reaction and test during childhood (C/C), index reaction
at childhood and test at adulthood (C/A), index reactions at childhood and
adulthood and test at adulthood (CA/A), index reaction and test at adulthood
(A/A). RESULTS: A total of 3275 patients (67.9% female), comprising a total of
4370 patient-episodes, were evaluated (74.5% belonged to the A/A group).
Prevalence of positive tests was 15.2% (95%CI, 14.1-16.2) for all tested classes,
10.6% (8.3-13.0) for C/C, 10.6% (7.5-13.6) for C/A, 22.1% (12.8-31.3) for CA/A
and 16.5% (15.2-17.8) for A/A. The prevalence was lower in group C/C compared
with groups A/A (P < 0.0001) and CA/A (P = 0.003). It was also lower in group C/A
compared with the two latter groups (respectively P = 0.003 and P = 0.005).
Significant differences were found for maculopapular exanthemas only, and not for
urticaria/angioedema and anaphylaxis. The difference was mainly observed with
beta-lactams and not for NSAIDs. CONCLUSION AND CLINICAL RELEVANCE: Suspicions of
DHRs are less likely to be confirmed in children.
PMID- 22092780
TI - Tensegrity and plasma for skin regeneration.
AB - BACKGROUND: Mechanical stresses induce variations in tissue tensegrity leading to
cell proliferation and differentiation thus contributing to tissue remodelling.
Besides mechanical forces, skin remodelling may be induced by the application of
plasma, a new type of energy delivery resulting in controlled heat damage. Here
we demonstrate that mechanical stress induced by the application of vacuum
increases the efficacy of plasma in skin regeneration treatment. METHODS: Vacuum
alone and vacuum plus plasma at different energies were applied to rat skin and
biopsies collected at different time intervals after treatments. Skin integrity,
collagen arrangement, inflammation and myofibroblast differentiation were
assessed by Masson's trichrome staining. Procollagen synthesis was evaluated by
immunohistochemistry. RESULTS: Vacuum alone induced significant and temporary
alterations in the distribution of collagen bundles, with concomitant procollagen
synthesis in the dermis; no myofibroblasts and no signs of inflammation were
observed. Vacuum plus plasma determined an important spatial modification of
collagen bundles, more intense than vacuum or plasma alone. Significant increase
of procollagen synthesis, numerous myofibroblasts but slight sign of inflammation
appeared after the treatment. CONCLUSION: Vacuum mechanically stimulated
fibroblasts, producing changes in collagen arrangement and procollagen synthesis.
Plasma led to the same effects through thermal damage. Application of a combined
treatment consisting in vacuum plus plasma induced more remarkable effects on
skin regeneration with relatively low plasma energies and no relevant side
effects.
PMID- 22092781
TI - Management of severe anogenital acne inversa (hidradenitis suppurativa).
AB - BACKGROUND: Severe anogenital acne inversa (AI) is a debilitating chronic
inflammatory disease with a major negative effect on quality of life. OBJECTIVES:
To evaluate the role of surgery in the treatment of severe anogenital AI.
METHODS: We analyzed the records of patients with anogenital AI from 2000 to
2010. Assessment was done using the Hidradenitis Suppurativa Lesion, Area, and
Severity Index (HS-LASI), pain visual analogue scale, physician global assessment
(PhGA), and patient global assessment (PaGA). Comorbidities and adverse events
were analyzed. RESULTS: Sixty-seven patients with a Hurley score of 3 were
identified. Mean follow-up was 56.9 +/- 41.3 months. A number of comorbidities
were observed. After surgery, mean pain scores decreased from 6.3 +/- 1.5 to 0.8
+/- 0.7, PhGA improved from 6.8 +/- 1.2 to 0.9 +/- 0.6, PaGA improved from 7.3 +/
1.2 to 1.1 +/- 0.5, and HS-LASI decreased from 41.8 +/- 21.3 to 2.4 +/- 2.8.
Adverse reactions were seen in 10.4%. The total relapse rate was 6% (5 patients)
with only one case with healing by secondary intention (2% of 49 patients).
CONCLUSIONS: Surgery is the cornerstone of treatment for advanced AI. Healing by
secondary intention results in significant reduction of complaints and achieves
satisfying body contouring.
PMID- 22092782
TI - Influence of aerobic cycle exercise training on patellar tendon cross-sectional
area in older women.
AB - Nine to 12 weeks of resistance exercise training in young individuals induces
quadriceps muscle (~6%) and region-specific patellar tendon (4-6%) hypertrophy.
However, 12 weeks of resistance exercise training (~1 h total exercise time) in
older individuals (60-78 years) induces quadriceps muscle hypertrophy (9%)
without impacting patellar tendon size. The current study examined if a different
loading paradigm using cycle exercise would promote patellar tendon hypertrophy
or alter the internal tendon properties, measured with magnetic resonance imaging
signal intensity, in older individuals. Nine women (70 +/- 2 years) completed 12
weeks of aerobic upright cycle exercise training (~28 h total exercise time).
Aerobic exercise training increased (P < 0.05) quadriceps muscle size (11 +/- 2%)
and VO2max (30 +/- 9%). Mean patellar tendon cross-sectional area (CSA) (2 +/-
1%) and signal intensity (-1 +/- 2%) were unchanged (P > 0.05) over the 12 weeks
of training. Region-specific CSA was unchanged (P > 0.05) at the proximal (-1 +/-
3%) and mid regions (2 +/- 2%) of the tendon but tended (P = 0.069) to increase
at the distal region (5 +/- 3%). Region-specific signal intensity differed along
the tendon but was unchanged (P > 0.05) with training. Although more studies are
needed, exercise-induced patellar tendon hypertrophy, compared with skeletal
muscle, appears to be attenuated in older individuals, while the loading pattern
associated with aerobic exercise seems to have more impact than resistance
exercise in promoting patellar tendon hypertrophy.
PMID- 22092783
TI - Upper cutaneous lip reconstruction after tumor excision.
PMID- 22092784
TI - Acellular dermal matrix allograft versus subepithelial connective tissue graft in
treatment of gingival recessions: a 5-year randomized clinical study.
AB - AIM: The present randomized clinical trial compared the long-term results of
subepithelial connective tissue graft (SCTG) versus acellular dermal matrix
allograft (ADMA) in treatment of gingival recessions. MATERIALS AND METHODS: In
16 patients with bilateral Miller Class I/II gingival recessions, one side was
treated with SCTG and the other side with ADMA. Clinical parameters were measured
at baseline, 6 months, and at 5 years post-surgery. RESULTS: Fifteen patients
completed the study. At 6 months, all parameters showed significant improvement
in ADMA and SCTG groups [complete root coverage (CRC): 73.3% versus 26.7%, p =
0.027; reduction of recession depth (RD): 2.6 +/- 1.1 mm versus 2.2 +/- 1.1 mm, p
= 0.376; reduction of recession width (RW): 3.0 +/- 1.4 mm versus 2.4 +/- 1.4 mm,
p = 0.207 respectively]. At 5 years, significant relapses were detected in CRC
and reduction of RD and RW in both groups with no statistically significant
difference (CRC: 20.0% versus 13.3%, p = 1.00; RD: 1.6 +/- 1.2 mm versus 1.5 +/-
1.4mm, p = 0.838; RW: 1.8 +/- 1.4 mm versus 1.3 +/- 1.5mm, p = 0.367). Patients
practicing horizontal toothbrushing habit showed more relapse (OR = 11.2; p =
0.01). Compared with baseline, the gingival width (GW) did not increase in ADMA
treated sites (p = 0.903). CONCLUSION: Five-year results of SCTG and ADMA were
similar in terms of CRC and reduction of RD and RW. Both techniques showed a
significant relapse associated with returning to horizontal toothbrushing habit.
Increase of GW was stable in SCTG-treated sites, but reached to pre-surgical
values in ADMA-treated cases.
PMID- 22092785
TI - Emotional contagion of dental fear to children: the fathers' mediating role in
parental transfer of fear.
AB - BACKGROUND: Dental fear is considered to be one of the most frequent problems in
paediatric dentistry. According to literature, parents' levels of dental fear
play a key role in the development of child's dental anxiety. HYPOTHESIS OR AIM:
We have tried to identify the presence of emotional transmission of dental fear
among family members and to analyse the different roles that mothers and fathers
might play concerning the contagion of dental fear to children. We have
hypothesized a key role of the father in the transfer of dental fear from mother
to child. DESIGN: A questionnaire-based survey (Children's Fear Survey Schedule
Dental Subscale) has been distributed among 183 schoolchildren and their parents
in Madrid (Spain). Inferential statistical analyses, i.e. correlation and
hierarchical multiple regression, were carried out and possible mediating effects
between variables have been tested. RESULTS: Our results support the hypothesis
that family members' levels of dental fear are significantly correlated, and they
also allow us to affirm that fathers' dental fear is a mediating variable in the
relationship between mothers and children's fear scores. CONCLUSIONS: Together
with the presence of emotional transmission of dental fear among family members,
we identified the relevant role that fathers play as regards the transfer of
dental fear from parents to children.
PMID- 22092786
TI - Staphylococcal-derived superantigen enhances peanut induced Th2 responses in the
skin.
AB - BACKGROUND: The allergen-induced activation and expansion of IL-4 producing T
helper type 2 (Th2) cells is a key event in the initiation and progression of
allergic disease. Intriguingly, concomitant early childhood staphylococcal skin
infections are being increasingly implicated in the allergen-induced switch of
primary T cell responses towards the Th2 phenotype. OBJECTIVE: We sought to
identify whether or not staphylococcal-derived superantigen can influence the
primary T cell response in the skin to food allergens with a view to determining
whether such exposures create the immune pathology that predisposes to the
development of food allergy. METHODS: Using a novel Th2 reporter model, we
determined the ability of the staphylococcal superantigen (SEB) to influence
priming in the skin of IL-4 expressing Th2 cells by peanut extract (PE). Factors
including the effect of SEB on the magnitude of the Th2 response in the skin
draining lymph nodes, T cell receptor V region usage and the influence of
endotoxin were evaluated. RESULTS: Primary exposure to PE and SEB lead to
significantly enhanced PE specific Th2 responses when the mice were subsequently
exposed to PE alone. The enhancement of the Th2 response was dependent on the
Vbeta-binding properties of the SEB, but was not affected by endotoxin-mediated
TLR-4 effects or strain differences in the mice. CONCLUSIONS AND CLINICAL
RELEVANCE: These results identify that in the skin environment, the presence of
SEB can significantly increase the numbers of allergen-induced Th2 cells which
develop in response to subsequent allergen exposure. These data highlight the
process by which individuals may become pathologically sensitized to food
allergens in early life.
PMID- 22092787
TI - Sulfidogenesis in hypersaline chloride-sulfate lakes of Kulunda Steppe (Altai,
Russia).
AB - The activity and culturable diversity of sulfidogens were investigated in anoxic
sediments of four hypersaline lakes with pH 7.6-8.2 in the Kulunda Steppe (Altai,
Russia). Sulfate reduction rates were low, varying from 0.1 to 6.0 nmol HS(-)
/(cm(3) h) with a maximum in the top 10 cm layer. Potential sulfidogenic rates
with thiosulfate and sulfur as the e-acceptors were higher than with sulfate and
were stimulated by formate, lactate, and acetate. Sulfidogenesis was optimal at
salt concentrations below 2 M NaCl. Cultivation at 2 M NaCl resulted in the
isolation of several strains of moderately halophilic SRB, but no growth of SRB
was observed at 4 M NaCl. At lithotrophic conditions (i.e., with formate or H(2)
as e-donors), several closely related alkalitolerant strains belonging to the
genus Desulfonatronovibrio were isolated. Enrichments at heterotrophic conditions
with lactate, propionate, acetate, or butyrate using sulfate or thiosulfate as e
acceptors yielded isolates related to Desulfosalsimonas propionicica,
Desulfohalobium utahense, and Desulfocella halophila. Sulfur-reducing enrichments
at 2 M NaCl with ethanol produced a member of the genus Halanaerobium, while
enrichments at 4 M NaCl with acetate were dominated by archaea, demonstrating for
the first time such type of catabolism in haloarchaea.
PMID- 22092788
TI - Effects of platelet-rich and -poor plasma on the reparative response of gingival
fibroblasts.
AB - OBJECTIVES: Although platelet-rich plasma (PRP) has been proposed as a
therapeutic tool to enhance wound repair, the cellular and molecular mechanisms
stimulated by this agent are still not completely understood. The present study
was designed to characterize the effects of PRP and platelet-poor plasma (PPP)
supernatants on cell responses involved in gingival tissue repair. METHODS: We
studied the response of human gingival fibroblasts (HGF) to PRP and PPP fractions
on: matrix contraction, cell migration, myofibroblastic differentiation,
production of matrix components and proteolytic enzymes. PRP and PPP were
obtained from donors using a commercial kit. Matrix contraction was evaluated by
means of collagen lattices in the presence of matrix metalloproteinase (MMP) and
actin polymerization inhibitors. The production of matrix molecules and
proteinases was assessed through Western-blot. RhoA activity was evaluated
through a pull-down assay. Actin distribution and focal adhesions were assessed
through immunofluorescence. Transforming growth factor-beta (TGF-beta) was
quantified through ELISA. RESULTS: Both PRP and PPP stimulated human gingival
fibroblasts-populated collagen gel contraction and Ilomastat and cytochalasin D
inhibited this response. PRP and PPP also stimulated MT1-MMP and TIMP-2
production, RhoA activation and actin cytoskeleton remodeling, cell
migration/invasion and myofibroblastic differentiation. TGF-beta1 was found at
significantly higher concentrations in PRP than in PPP. CONCLUSIONS: Both PRP and
PPP promote wound tissue remodeling and contraction through the stimulation of
actin remodeling, the activity of MMPs, promotion of cell migration, and
myofibroblastic differentiation. The similar biological responses induced by PRP
and PPP suggest that both platelet-derived fractions may exert a positive effect
on gingival repair.
PMID- 22092789
TI - Stenotrophomonas interspecies differentiation and identification by gyrB sequence
analysis.
AB - Stenotrophomonas species are found commonly in environmental and clinical
samples; Stenotrophomonas maltophilia is an important opportunistic pathogen of
humans. Traditional phenotyping protocols, as well as genotyping by 16S rRNA gene
sequence analysis, do not reliably distinguish the species of Stenotrophomonas.
Sequence analyses of two targeted PCR-amplified regions of the gyrB gene, which
encodes the beta-subunit of DNA gyrase, enabled resolution and identification of
these species. Most type strains of the different species of Stenotrophomonas
exhibited more than 7% dissimilarity in the gyrB gene sequences. Among these,
strains identified as the same species exhibited sequence dissimilarities up to
4.6% and 5.9% for the two regions, respectively. Strains identified as S.
maltophilia, with 16S rRNA gene sequence similarities > 99.0%, were grouped
within a 'S. maltophilia complex'; these organisms exhibited gyrB similarities as
low as 93%. Many of these strains possessed genomic DNA similarities with the
type strain of S. maltophilia CCUG 5866(T) below 70%. These data, including gyrB
sequence comparisons, indicate that strains identified as S. maltophilia may
comprise distinct, new species.
PMID- 22092790
TI - Wound microbiology: tabula rosa, a blank slate.
PMID- 22092791
TI - The importance of a multifaceted approach to characterizing the microbial flora
of chronic wounds.
AB - Chronic wounds contain complex polymicrobial communities of sessile organisms
that have been underappreciated because of limitations of standard culture
techniques. The aim of this work was to combine recently developed next
generation investigative techniques to comprehensively describe the microbial
characteristics of chronic wounds. Tissue samples were obtained from 15 patients
with chronic wounds presenting to the Johns Hopkins Wound Center. Standard
bacteriological cultures demonstrated an average of three common bacterial
species in wound samples. By contrast, high-throughput pyrosequencing revealed
increased bacterial diversity with an average of 17 genera in each wound. Data
from microbial community profiling of chronic wounds were compared with published
sequenced analyses of bacteria from normal skin. Increased proportions of
anaerobes, Gram-negative rods and Gram-positive cocci were found in chronic
wounds. In addition, chronic wounds had significantly lower populations of
Propionibacterium compared with normal skin. Using epifluorescence microscopy,
wound bacteria were visualized in highly organized thick confluent biofilms or as
scattered individual bacterial cells. Fluorescent in situ hybridization allowed
for the visualization of Staphylococcus aureus cells in a wound sample. Quorum
sensing molecules were measured by bioassay to evaluate signaling patterns among
bacteria in the wounds. A range of autoinducer-2 activities was detected in the
wound samples. Collectively, these data provide new insights into the identity,
organization, and behavior of bacteria in chronic wounds. Such information may
provide important clues to effective future strategies in wound healing.
PMID- 22092792
TI - Clinical efficacy of basic fibroblast growth factor on pressure ulcers: case
control pairing study using a new evaluation method.
AB - A basic fibroblast growth factor (bFGF) case and a control case whose total
scores of Pressure Ulcer Healing Process-Ohura (PUHP-Ohura) and risk factors for
pressure ulcers, and level of care for pressure ulcers were equivalent were
paired. Twenty-three such eligible pairs were enrolled in this study. Both cases
in each pair were treated under conditions in which extrinsic factors such as the
use of a pressure-relief mattress and the frequency of postural change were
equivalent. The efficacy of bFGF was assessed by analyzing the data obtained over
time as the scores of PUHP-Ohura for nine observation items using the SAS MIXED
procedure. Treatment of pressure ulcers with bFGF accelerated wound healing over
time more significantly than the control in six observation items (exudate
volume, ulcer depth, granulation formation, wound edge, epithelialization, total
score of the PUHP-Ohura). These data suggest that it may be possible to evaluate
drugs for the treatment of pressure ulcers using the PUHP-Ohura wound-assessment
tool.
PMID- 22092793
TI - Prevalence rate for inherited thrombophilia in patients with chronic and
recurrent venous leg ulceration.
AB - The aim of the study was to determine the prevalence rate for inherited
thrombophilia (IT) in patients with chronic (CVU) and recurrent venous leg
ulceration. We also investigated and evaluated the severity of the clinical
pattern of CVU in patients with and without IT. We examined 110 patients with CVU
(the study group) and 110 healthy subjects (the control group). We prepared a
questionnaire to be completed by each study participant. Ultrasound Doppler color
imaging or/and duplex ultrasonography was performed to evaluate the efficiency of
the venous system. The ankle-brachial index was calculated to determine the
efficiency of the arterial system. We examined both groups for the presence of
IT. IT was diagnosed in 30% of study group and in 1.8% of control group. Our
diagnoses of deep vein thrombosis (DVT) were based on medical interviews,
physical examinations, and an ultrasonography of the venous system and concerned
64 study group patients (58.2%), 35 of whom (31.8%) experienced recurrent DVT.
Proximal and/or distal DVT was determined in an interview and/or by an
ultrasonography performed for all patients with CVU and IT. In 94% of these
patients, DVT was recurrent, and in 88% of patients with CVU and IT, we observed
recurrent DVT and CVU. It recurred more often and persisted longer when compared
to patients with CVU and no IT, despite similar management. No differences were
observed in ulcer size, localization, or pain level related to ulceration between
patients with CVU and IT and those with CVU and no IT.
PMID- 22092794
TI - Clinical wound assessment using DESIGN-R total score can predict pressure ulcer
healing: pooled analysis from two multicenter cohort studies.
AB - There are few clinical tools with both predictive validity for pressure ulcer
healing and availability in broad populations. We evaluated whether the total
scores from DESIGN-R tool could predict pressure ulcer healing. We followed 3,196
patients with pressure ulcers from two multicenter cohort studies until wound
healing, patient death, or discharge. Wound severity was evaluated by DESIGN-R
tool from 0 (healed) to 66 (greatest severity). In the multivariate Cox
proportional hazard model, higher DESIGN-R total scores at baseline were
associated with lower healing rates (hazard ratio 0.90, 95% confidence interval
0.89-0.92), independent of the patient's characteristics, setting types, and
wound depth or location. DESIGN-R had discriminative value for wound healing up
to 90 days; the area under the receiver-operating characteristics curve from
univariate analysis was 0.81 for healing within 30 days and 0.74 for healing
within 30-90 days. The cutoff points were 9 for healing within 30 days and 18
within 30-90 days (positive and negative predictive value 78.8 and 74.1%; 63.9
and 81.1%, respectively). These points were validated for both superficial and
deep ulcers. DESIGN-R can be a useful tool to predict pressure ulcer healing for
a wide range of patient populations, settings, and wound locations.
PMID- 22092795
TI - Stromal cell-derived factor 1 (SDF-1) and its receptor CXCR4 in the formation of
postburn hypertrophic scar (HTS).
AB - Recent data support the involvement of stromal cell-derived factor 1 (SDF-1) in
the homing of bone marrow-derived stem cells to wound sites during skeletal,
myocardial, vascular, lung, and skin wound repair as well as some fibrotic
disorders via its receptor CXCR4. In this study, the role of SDF-1/CXCR4
signaling in the formation of hypertrophic scar (HTS) following burn injury and
after treatment with systemic interferon alpha2b (IFNalpha2b) is investigated.
Studies show SDF-1/CXCR4 signaling was up-regulated in burn patients, including
SDF-1 level in HTS tissue and serum as well as CD14+ CXCR4+ cells in the
peripheral blood mononuclear cells. In vitro, dermal fibroblasts constitutively
expressed SDF-1 and deep dermal fibroblasts expressed more SDF-1 than superficial
fibroblasts. Lipopolysaccharide increased SDF-1 gene expression in fibroblasts.
Also, recombinant SDF-1 and lipopolysaccharide stimulated fibroblast-conditioned
medium up-regulated peripheral blood mononuclear cell mobility. In the burn
patients with HTS who received subcutaneous IFNalpha2b treatment, increased SDF
1/CXCR4 signaling was found prior to treatment which was down-regulated after
IFNalpha2b administration, coincident with enhanced remodeling of their HTS. Our
results suggest that SDF-1/CXCR4 signaling is involved in the development of HTS
by promoting migration of activated CD14+ CXCR4+ cells from the bloodstream to
wound sites, where they may differentiate into fibrocyte and myofibroblasts and
contribute to the development of HTS.
PMID- 22092796
TI - Aminated beta-1,3-D-glucan has a dose-dependent effect on wound healing in
diabetic db/db mice.
AB - Inflammatory responses are common in diabetes and are operative in angiopathy,
neuropathy, and wound healing. There are indications of incomplete macrophage
activation in diabetes and reduced expression of growth factors. We have
previously found that up to 15 topical applications of the macrophage-stimulant,
aminated beta-1,3-D-glucan (AG), improved wound healing in db/db mice. The
present open-label study was undertaken to examine dose-dependent effects of AG
over 40 days in db/db mice. AG was given as a single dose (group 1), one dose
every 10th day (group 2), five initial doses on consecutive days (group 3), and
>=15 doses (group 4). Controls were db/db mice receiving platelet-derived growth
factor + insulin-like growth factor-1 (group 5), topical placebo (NaCl 9 mg/mL)
and insulin (group 6), placebo (group 7), and a nondiabetic group receiving
placebo (group 8). Seven to 14 animals were allocated to each group. Percentage
wound closure 17 days after surgery in groups 1 and 2 were (mean +/- standard
error of the mean) 25.5 +/- 5.3 and 32.2 +/- 6.3, respectively. Corresponding
closure in groups 3, 4, and 5 was 55.7 +/- 5.0, 57.3 +/- 5.0, and 55.6 +/- 4.8,
respectively (p < 0.05 vs. groups 1 and 2). Groups 6, 7, and 8 closed 32.0 +/-
4.5, 38.2 +/- 5.3, and 98.5 +/- 0.4%, respectively. Significant association
between the number of AG-dosages and wound closure indicates dose-related effects
in db/db mice.
PMID- 22092797
TI - Evaluation of closed incision management with negative pressure wound therapy
(CIM): hematoma/seroma and involvement of the lymphatic system.
AB - The objective of this porcine study was to evaluate the effect of closed incision
management with negative pressure wound therapy (CIM) on hematoma/seroma
formation, fluid removal into the CIM canister, and involvement of the lymphatic
system. In each swine (n = 8), two sets of ventral contralateral subcutaneous
dead spaces with overlying sutured incisions were created. Stable isotope-labeled
nanospheres were introduced into each subcutaneous dead space. Each contralateral
incision was assigned to CIM (continuous -125 mmHg negative pressure) and control
(semipermeable film dressing), respectively. Following 4 days of therapy,
hematoma/seroma was weighed, total fluid volume in canisters was measured, five
pre-identified lymph nodes were harvested, and five key organs were biopsied.
There was 25 +/- 8 g (standard error [SE]) (63%) less hematoma/seroma in CIM
sites compared to control sites (p = 0.002), without any fluid collection in the
CIM canister. In lymph nodes, there were ~60 MUg (~50%) more 30- and 50-nm
nanospheres from CIM sites than from control sites (p = 0.04 and 0.05,
respectively). There was significantly greater nanosphere incidence from CIM
sites than from control sites in lungs, liver, and spleen (p < 0.05); no
nanospheres were detected in kidney biopsies. Thus, in this porcine model,
application of CIM significantly decreased hematoma/seroma levels without fluid
collection in the canister, which may be explained by increased lymph clearance.
PMID- 22092798
TI - Selection of proangiogenic ascorbate derivatives and their exploitation in a
novel drug-releasing system for wound healing.
AB - The pathophysiology leading to delayed wound healing is complex and efficient
therapeutic approaches for accelerated wound healing currently do not exist. We
developed a novel drug-eluting platform for the potential use in wound dressings.
Here, we report on the potential of eluting ascorbic acid-2-phosphate (ASC-2P), a
highly stable variant of ascorbic acid, to induce angiogenesis and to promote
collagen synthesis by fibroblasts. The drug-eluting platform device (DEPD)
consists of biocompatible polymeric layers comprising polyethylene terephtalate,
polyvinyl alcohol (PVA), and polyurethane with PVA as the solvent for ASC-2P. The
angiogenic potential of ASC-2P was evaluated in the endothelial cell tube
formation assay (TFA) and in the chorion allantoic membrane (CAM) model. Collagen
synthesis by ASC-2P-stimulated fibroblasts was determined by Sirius Red staining.
ASC-2P significantly induced angiogenesis in five independent TFA and CAM assays
and induced collagen synthesis in two different fibroblast cell lines. The
eluting kinetics of ASC-2P was determined by the ultraviolet NanoDrop method and
the functional 2,2'-Azinobis-(3-ethylbenzthiazolin-6-sulfonic acid) method.
Eluting profiles showed a continuous release in the range of biologically
effective concentrations >10 days. This is the first report showing the
proangiogenic- and collagen-promoting features of ASC-2P. DEPD loaded with ASC-2P
ought to be further evaluated as wound dressings or as supplementary pads for
topical treatment of delayed wound healing in preclinical studies.
PMID- 22092799
TI - Wound healing in skin promoted by inoculation with Pseudomonas aeruginosa PAO1:
The critical role of tumor necrosis factor-alpha secreted from infiltrating
neutrophils.
AB - Wound healing is promoted by the presence of replicating microorganisms adhering
to the wounded tissue, but the precise mechanism is not fully understood. In the
present study, using a rat model with full-thickness dermal wounds, we examined
the effect of Pseudomonas aeruginosa inoculation on wound healing and the role of
neutrophils infiltrating the wound site. Within 3 days, inoculation with this
bacterium had accelerated re-epithelialization, epidermal cell proliferation, and
neo-vascularization, as well as the local infiltration of neutrophils, which
reached a peak at 24 hours. Tumor necrosis factor (TNF)-alpha was detected in the
wound tissues on the mRNA and protein levels within 24 hours. Flow cytometry and
immunohistochemical analyses detected higher levels of TNF-alpha in the
infiltrating neutrophils in rats inoculated with P. aeruginosa than in
uninoculated rats. Neutropenic rats treated with anti-neutrophil mAb or
cyclophosphamide exhibited significant attenuation in re-epithelialization,
epidermal cell proliferation, neo-vascularization, and TNF-alpha synthesis
compared with control; administration of TNF-alpha reversed these attenuations.
These wound-healing responses were decelerated in rats treated with anti-TNF
alpha mAb, as was the infiltration of neutrophils. These results indicate that
inoculation with P. aeruginosa promotes wound healing by inducing the
infiltration of neutrophils, which play a critical role as a major source of TNF
alpha.
PMID- 22092800
TI - Spatiotemporal progression of cell death in the zone of ischemia surrounding
burns.
AB - Burns are dynamic injuries, characterized by progressive death of surrounding
tissue over time. Although central to an understanding of burn injury
progression, the spatiotemporal degrees and rates of cellular necrosis and
apoptosis in the zone of ischemia surrounding burns are not well characterized.
Using a validated porcine hot comb model, we probed periburn tissue at 1, 4, and
24 hours after injury for high-mobility group box 1 as a marker of necrosis and
activated cleaved caspase-3 as a marker of apoptosis, followed by spatiotemporal
morphometric analysis. We found that necrosis was the most prominent mechanism of
cell death in burn injury progression, with significant progression between 1 and
4 hours postburn. Apoptosis appeared not to play a role in early burn injury
progression but was observed in cells at the interface of necrotic and viable
tissue at 24 hours postburn. Our findings imply that intervention within the
first 4 hours following injury is likely necessary to limit burn injury
progression. Additionally, based on high-mobility group box 1 staining patterns,
we define distinct early, intermediate, and late pathological signs of cell
necrosis that may facilitate delineation of causal mechanistic relationships of
burn injury progression in vivo.
PMID- 22092801
TI - Acceleration of diabetic-wound healing with PEGylated rhaFGF in healing-impaired
streptozocin diabetic rats.
AB - Molecular modification with polyethylene glycol (PEGylation) is an effective
approach to improve protein biostability, in vivo lifetime and therapeutic
potency. In the present study, the recombinant human acid fibroblast growth
factor (rhaFGF) was site-selectively PEGylated with 20 kDa mPEG-butyraldehyde.
Mono-PEGylated rhaFGF was purified to near homogeneity by Sephadex G 25-gel
filtration followed by a Heparin Sepharose TM CL-6B affinity chromatography.
PEGylated rhaFGF has less effect than the native rhaFGF on the stimulation of 3T3
cell proliferation in vitro; however, its relative thermal stability at normal
physiological temperature and structural stability were significantly enhanced,
and its half-life time in vivo was significantly extended. Then, the
physiological function of PEGylated rhaFGF on diabetic-wound healing was
evaluated in type 1 diabetic Sprague Dawley rats. The results showed that,
compared with the group of animal treated with native rhaFGF, the group treated
with PEGylated rhaFGF exhibited better therapeutic efficacy with shorter healing
time, quicker tissue collagen generation, earlier and higher transforming growth
factor (TGF)-beta expression, and dermal cell proliferation. In addition, in vivo
analysis showed that both native and PEGylated rhaFGF were more effective in the
wound healing in the diabetic group compared with the nondiabetic one. Taken
together, these results suggest that PEGylation of rhaFGF could be a more
effective approach to the pharmacological and therapeutic application of native
rhaFGF.
PMID- 22092802
TI - The electric field near human skin wounds declines with age and provides a
noninvasive indicator of wound healing.
AB - Due to the transepidermal potential of 15-50 mV, inside positive, an injury
current is driven out of all human skin wounds. The flow of this current
generates a lateral electric field within the epidermis that is more negative at
the wound edge than at regions more lateral from the wound edge. Electric fields
in this region could be as large as 40 mV/mm, and electric fields of this
magnitude have been shown to stimulate human keratinocyte migration toward the
wounded region. After flowing out of the wound, the current returns through the
space between the epidermis and stratum corneum, generating a lateral field above
the epidermis in the opposite direction. Here, we report the results from the
first clinical trial designed to measure this lateral electric field adjacent to
human skin wounds noninvasively. Using a new instrument, the Dermacorder(r), we
found that the mean lateral electric field in the space between the epidermis and
stratum corneum adjacent to a lancet wound in 18-25-year-olds is 107-148 mV/mm,
48% larger on average than that in 65-80-year-olds. We also conducted extensive
measurements of the lateral electric field adjacent to mouse wounds as they
healed and compared this field with histological sections through the wound to
determine the correlation between the electric field and the rate of epithelial
wound closure. Immediately after wounding, the average lateral electric field was
122 +/- 9 mV/mm. When the wound is filled in with a thick, disorganized epidermal
layer, the mean field falls to 79 +/- 4 mV/mm. Once this epidermis forms a
compact structure with only three cell layers, the mean field is 59 +/- 5 mV/mm.
Thus, the peak-to-peak spatial variation in surface potential is largest in fresh
wounds and slowly declines as the wound closes. The rate of wound healing is
slightly greater when wounds are kept moist as expected, but we could find no
correlation between the amplitude of the electric field and the rate of wound
healing.
PMID- 22092804
TI - Minimally invasive transcrestal sinus floor elevation with graft biomaterials. A
randomized clinical trial.
AB - OBJECTIVES: The present study was performed to comparatively evaluate the
effectiveness and post-operative morbidity of transcrestal sinus floor elevation
(tSFE) performed with a minimally invasive procedure (Smart Lift technique)
combined with the additional use of two graft biomaterials. METHODS: Thirty sites
in 30 patients were randomly assigned to tSFE in association with either
deproteinized bovine bone mineral (DBBM) (n = 15) or synthetic hydroxyapatite in
a collagen matrix (S-HA) (n = 15). In both groups, the extent of the sinus lift
(SL) and the height of the graft apical to the implant apex (aGH) were assessed
on periapical radiographs taken immediately after surgery and at 6 months
following surgery. Surgical and post-surgical complications as well post-surgical
pain and discomfort were also assessed. RESULTS: The results of the study
indicated that (i) immediately post-surgery, both DBBM and S-HA resulted in
substantial SL and aGH, which were maintained at 6 months, (ii) greater aGH and
SL were observed in S-HA group compared with DBBM group at 6 months post-surgery
and (iii) limited surgical complications and post-operative pain/discomfort were
associated with the use of both graft biomaterials. CONCLUSIONS: The Smart Lift
technique in conjunction with the additional use of either S-HA or DBBM may
provide a predictable elevation of the maxillary sinus floor along with limited
post-surgical complications and post-operative pain/discomfort. SL and aGH were
significantly greater at 6 months for S-HA than DBBM.
PMID- 22092805
TI - Pasteuria endospores from Heterodera cajani (Nematoda: Heteroderidae) exhibit
inverted attachment and altered germination in cross-infection studies with
Globodera pallida (Nematoda: Heteroderidae).
AB - The Pasteuria group of Gram-positive, endospore-forming bacteria are parasites of
invertebrates and exhibit differences in host specificity. We describe a cross
infection study between an isolate of Pasteuria from pigeon pea cyst nematode,
Heterodera cajani, which also infects the potato cyst nematode, Globodera
pallida, from the United Kingdom. A proportion of the attached endospores, 13% on
H. cajani and 22% on G. pallida adhere to the cuticle in an inverted orientation.
Inverted and conventionally attached endospores germinated and produced bacillus
like rods that completed their life cycle in < 15 weeks within females of G.
pallida. This is the first example in which the life cycle of a Pasteuria
population was systematically followed in two different nematode genera. A 1430
base pair fragment of the 16S rRNA gene sequence of the Pasteuria isolate from H.
cajani revealed 98.6% similarity to the orthologous gene in Pasteuria nishizawae.
Additionally, their respective endospore sizes were not significantly different,
in contrast their host ranges are. Potential reasons for this remain unclear and
are discussed.
PMID- 22092806
TI - Titanium-zirconium alloy narrow-diameter implants (Straumann Roxolid((r))) for
the rehabilitation of horizontally deficient edentulous ridges: prospective study
on 18 consecutive patients.
AB - AIM OF THE STUDY: (i) To evaluate the survival and success rates of the new
Roxolid narrow diameter implant placed in horizontally deficient ridges; and (ii)
to evaluate the incidence of prosthetic complications. MATERIALS AND METHODS: In
a 24-month period (2009-2010) 18 partially or totally edentulous patients
received 51 Straumann Roxolid (13 tissue level, 38 bone level) implants.
Prosthetic loading of implants was either immediate (four implants; one patient)
or delayed (2-12 months after placement; 47 implants; 17 patients). The patients
were rehabilitated with either fixed (16 patients; 45 implants) or removable (two
patients; six implants) prostheses. RESULTS: All implants successfully achieved
osseointegration and all patients completed the planned prosthetic
rehabilitation. Peri-implant bone resorption values ranged from 0 to 1 mm at the
end of the observation period (range: 3-19 months). Implant survival and success
rates were therefore 100%. No prosthetic complications occurred and all implants
are still in function; therefore the prosthesis success rate was 100%.
CONCLUSION: Narrow diameter implants fabricated with the new titanium-zirconium
alloy were demonstrated to be reliable in supporting both fixed and removable
prosthetic rehabilitations in horizontally deficient ridges. Implant survival,
peri-implant bone resorption, and prosthetic complication rates were consistent
with those reported in the literature for standard diameter implants placed in
non-deficient edentulous ridges.
PMID- 22092807
TI - The preliminary study of the relationship between facial movements and wrinkle
formation.
AB - BACKGROUND: As facial wrinkles develop particularly in areas of the skin that are
subject to repeated facial movements, the degree of facial movements may be
related to the degree of facial wrinkles, although no data have been reported.
METHODS: Wrinkles were graded on the faces of Japanese female subjects when they
made strong voluntary facial movements (eyes closed tightly, raised eyebrows, and
frowns) and also when they were at rest using a set of photos (a photo scale)
obtained from subjects with different degrees of wrinkles in the static and
dynamic states. Scores obtained were compared between the dynamic and static
states, and were analyzed in relation to age and to facial region. RESULTS: We
found that the levels of wrinkles in both states showed a significant positive
correlation with age. Further, the distribution of wrinkles in the dynamic state
was found to be similar to those in the static states. Finally, the correlations
between static and dynamic wrinkles in the same facial sites were higher than
those in different facial sites. CONCLUSION: Facial movements cause wrinkling in
both the dynamic and the static states and also that static wrinkles may work at
the kinetic origins of dynamic wrinkles.
PMID- 22092808
TI - Aspergillus biofilms: clinical and industrial significance.
AB - The biofilm phenotype is an increasingly important concept in mycological
research. Recently, there has been a developing interest in whether Aspergillus
species are truly able to form biofilms or not. Industrial mycologists have long
been aware of biofilms and their benefit in fermentation processes, whereas
clinically their role is uncertain. This review provides an update on the impact
that Aspergillus biofilms have medically and industrially, and will discuss
biofilm development, and our current understanding of its molecular basis. The
role of exopolymeric substance and how this substance relates to antimicrobial
recalcitrance will also be discussed.
PMID- 22092809
TI - The pro-region of Streptomyces hygroscopicus transglutaminase affects its
secretion by Escherichia coli.
AB - Streptomyces transglutaminase (TGase) is secreted as a zymogen (pro-TGase) in
liquid cultures and is then processed by the removal of its N-terminal region,
resulting in active TGase. To date, there is no report describing TGase (or pro
TGase) secretion in Escherichia coli. In this study, the pro-TGase from
Streptomyces hygroscopicus was efficiently secreted by E. coli BL21(DE3) using
the TGase signal peptide or the pelB signal peptide. The secreted pro-TGase was
efficiently transformed into active TGase by adding dispase to the culture
supernatant of the recombinant strains. Mutational analysis showed that deletion
of the first six amino acids of the N-terminal of the pro-region reduced the
secretion of pro-TGase, and removal of the next 10 amino acids resulted in the
formation of insoluble pro-TGase. These results suggest that the pro-region of
TGase is essential for its efficient secretion and solubility in E. coli.
PMID- 22092810
TI - Response to mercury (II) ions in Methylococcus capsulatus (Bath).
AB - The mercury (II) ion is toxic and is usually detoxified in Bacteria by reduction
to elemental mercury, which is less toxic. This is catalysed by an NAD(P)H
dependent mercuric reductase (EC 1.16.1.1). Here, we present strong evidence that
Methylococcus capsulatus (Bath) - a methanotrophic member of the
Gammaproteobacteria - uses this enzyme to detoxify mercury. In radiorespirometry
studies, it was found that cells exposed to mercury dissimilated 100% of [(14) C]
methane provided to generate reducing equivalents to fuel mercury (II) reduction,
rather than the mix of assimilation and dissimilation found in control
incubations. The detoxification system is constitutively expressed with a
specific activity of 352 (+/-18) nmol NADH oxidized min(-1) (mg protein)(-1) .
Putative mercuric reductase genes were predicted in the M. capsulatus (Bath)
genome and found in mRNA microarray studies. The MerA-derived polypeptide showed
high identity (> 80%) with MerA sequences from the Betaproteobacteria.
PMID- 22092811
TI - Plasmid-mediated transfer of the bla(NDM-1) gene in Gram-negative rods.
AB - The latest threat of multidrug-resistant Gram-negative bacteria corresponds to
the emergence of carbapenemase NDM-1 (New Delhi metallo-beta-lactamase)
producers, mostly in Enterobacteriacae. Five bla(NDM) (-1) -positive plasmids of
different incompatibility groups (IncL/M, FII, A/C and two untypeable plasmids)
from clinical Enterobacteriaceae were evaluated for conjugation properties and
host specificity. Successful conjugative transfers were obtained using all tested
enterobacterial species as recipients (Escherichia coli, Klebsiella pneumoniae,
Salmonella typhimurium and Proteus mirabilis) and all plasmid types. Conjugation
frequencies varied from 1 * 10(-4) to 6 * 10(-8) transconjugants per donor.
Higher conjugation rates were obtained for two plasmids at 30 degrees C compared
with that observed at 25 and 37 degrees C. Carbapenems used as selector did not
lead to higher conjugation frequencies. None of the five plasmids was
transferable to Acinetobacter baumannii or Pseudomonas aeruginosa by conjugation.
This work underlines how efficient the spread of the carbapenemase bla(NDM) (-1)
gene could be among Enterobacteriaceae.
PMID- 22092812
TI - Genetic analysis of the capsular polysaccharide synthesis locus in 15
Streptococcus suis serotypes.
AB - The capsular polysaccharide (CPS) synthesis locus of 13 Streptococcus suis
serotypes (serotype 1, 3, 4, 5, 7, 8, 9, 10, 14, 19, 23, 25 and 1/2) was
sequenced and compared with that of serotype 2 and 16. The CPS synthesis locus of
these 15 serotypes falls into two genetic groups. The locus is located on the
chromosome between orfZ and aroA. All the translated proteins in the CPS
synthesis locus were clustered into 127 homology groups using the tribemcl
algorithm. The general organization of the locus suggested that the CPS of S.
suis could be synthesized by the Wzy-dependent pathway. The capsule of serotypes
3, 4, 5, 7, 9, 10, 19 and 23 was predicted to be amino-polysaccharide. Sialic
acid was predicted to be present in the capsule of serotypes 1, 2, 14, 16 and
1/2. The characteristics of the CPS synthesis locus suggest that some genes may
have been imported into S. suis (or their ancestors) on multiple occasions from
different and unknown sources.
PMID- 22092813
TI - Multilocus sequence typing (MLST) for the infra-generic taxonomic classification
of entomopathogenic Rickettsiella bacteria.
AB - The genus Rickettsiella comprises intracellular bacterial pathogens of a wide
range of arthropods that are currently classified in four recognized species and
numerous further pathotypes. However, both the delineation of and the
synonymization of pathotypes with species are highly problematic. In the sequel
of a previous phylogenomic study at the supra-generic level, nine selected genes
the 16S and 23S rRNA genes and the protein-encoding genes dnaG, ftsY, gidA,
ksgA, rpoB, rpsA, and sucB - were evaluated for their potential as markers for
the generic and infra-generic taxonomic classification of Rickettsiella-like
bacteria. A methodological approach combining phylogenetic reconstruction with
likelihood-based significance testing was employed on the basis of sequence data
from the species Rickettsiella grylli and Rickettsiella popilliae, pathotypes
'Rickettsiella melolonthae' and 'Rickettsiella tipulae'. This study provides the
first multilocus sequence typing (MLST) data for the genus Rickettsiella and
identifies two new genetic markers, gidA and sucB, for the infra-generic
classification within this taxon. In particular, aforesaid genes were found more
reliable and informative markers than the corresponding 16S rRNA-encoding
sequences that failed to produce strictly significant infra-generic taxonomic
assignments. However, gidA- and sucB-based phylogenies were consistent with the
currently accepted view of species delineation and species-pathotype
synonymization within the genus Rickettsiella.
PMID- 22092814
TI - Characterization of the Streptococcus suis XerS recombinase and its
unconventional cleavage of the difSL site.
AB - XerC and XerD are members of the tyrosine recombinase family and mediate site
specific recombination that contributes to the stability of circular chromosomes
in bacteria by resolving plasmid multimers and chromosome dimers to monomers
prior to cell division. Homologues of xerC/xerD genes have been found in many
bacteria, and in the lactococci and streptococci, a single recombinase called
XerS can perform the functions of XerC and XerD. The xerS gene of Streptococcus
suis was cloned, overexpressed and purified as a maltose-binding protein (MBP)
fusion. The purified MBP-XerS fusion showed specific DNA-binding activity to both
halves of the dif site of S. suis, and covalent protein-DNA complexes were also
detected with dif site suicide substrates. These substrates were also cleaved in
a specific fashion by MBP-XerS, generating cleavage products separated by an 11
bp spacer region, unlike the traditional 6-8-bp spacer observed in most tyrosine
recombinases. Furthermore, xerS mutants of S. suis showed significant growth and
morphological changes.
PMID- 22092815
TI - SlyA regulator is involved in bile salts stress response of Enterococcus
faecalis.
AB - SlyA is a newly transcriptional regulator identified in Enterococcus faecalis
that is involved in the virulence, persistence in mouse kidneys and liver, and
survival inside peritoneal macrophages. In this study we searched for
environmental conditions that affect expression of the corresponding gene. Of the
several stress conditions tested, only bile salts (0.08%) significantly induced
transcription of slyA. In addition, the growth of DeltaslyA mutant strain was
significantly impaired in the presence of bile salts. To increase knowledge of
SlyA regulon, real-time quantitative PCR was performed and revealed that
expression of EF_3005, which encodes a choloylglycine hydrolase, is negatively
regulated by SlyA.
PMID- 22092816
TI - Isoalantolactone protects against Staphylococcus aureus pneumonia.
AB - Staphylococcus aureus is a versatile pathogen that can cause life-threatening
infections. The growing emergence of methicillin-resistant S. aureus strains and
a decrease in the discovery of new antibiotics warrant the search for new
therapeutic targets to combat infections. Staphylococcus aureus produces many
extracellular virulence factors that contribute to its pathogenicity. Therefore,
targeting bacterial virulence as an alternative strategy to the development of
new antimicrobials has gained great interest. alpha-Toxin is a 33.2-kDa, water
soluble, pore-forming toxin that is secreted by most S. aureus strains. alpha
Toxin is essential for the pathogenesis of pneumonia, as strains lacking alpha
toxin display a profound defect in virulence. In this report, we demonstrate that
isoalantolactone (IAL), a naturally occurring compound found in Inula helenium
(Compositae), has no anti-S. aureus activity as per MIC evaluation in vitro.
However, IAL can markedly inhibit the expression of alpha-toxin in S. aureus at
very low concentrations. Furthermore, the in vivo data indicate that treatment
with IAL protects mice from S. aureus pneumonia.
PMID- 22092817
TI - Identification of the Vibrio parahaemolyticus type III secretion system 2
associated chaperone VocC for the T3SS2-specific effector VopC.
AB - The enteropathogen Vibrio parahaemolyticus possesses two sets of type III
secretion systems, T3SS1 and T3SS2. Effector proteins secreted by these T3SSs are
delivered into host cells, leading to cell death or diarrhea. However, it is not
known how specific effectors are secreted through a specific T3SS when both T3SSs
are expressed within bacteria. One molecule thought to determine secretion
specificity is a T3SS-associated chaperone; however, no T3SS2-specific chaperone
has been identified. Therefore, we screened T3SS2 chaperone candidates by a pull
down assay using T3SS2 effectors fused with glutathione-S-transferase. A
secretion assay revealed that the newly identified cognate chaperone VocC for the
T3SS2-specific effector VopC was required for the efficient secretion of the
substrate through T3SS2. Further experiments determined the chaperone-binding
domain and the amino-terminal secretion signal of the cognate effector. These
findings, in addition to the previously identified T3SS1-specific chaperone,
VecA, provide a strategy to clarify the specificity of effector secretion through
T3SSs of V. parahaemolyticus.
PMID- 22092818
TI - Generating thermotolerant colonies by pairing Beauveria bassiana isolates.
AB - Low thermotolerance in entomopathogenic fungi is the main impediment to their
industrialization. This research, for the first time, describes the generation of
a thermotolerant colony by pairing and subculturing (cycling) two Beauveria
bassiana isolates without sexual reproduction. A mixture of B. bassiana ERL1578
and ERL1576 was inoculated on quarter-strength Sabouraud dextrose agar with yeast
extract (1/4SDAY). The paired culture (ERL1578 + 1576) was cycled three times to
increase the frequency of possible hyphal fusion at the first cycle (c. 5/5 *
10(5) conidia), followed by a heat treatment as a selection pressure. Two non
paired isolates served as controls. Two morphologically different colonies
(BbHet1 and BbHet2) were isolated from the pairing. BbHet1 colony had the highest
conidial yield. BbHet2 had the most rapid mycelial growth and produced sponge
like mycelial masses (the others were flat), and its conidia were darker than the
non-paired colonies under a microscope (400*). BbHet2 conidia had 60.7%
germination after exposure to 45 degrees C for 60 min (the others had < 15%)
without significant loss of virulence against Western flower thrips,
Frankliniella occidentalis; however, there was a slight decrease in conidial
yield. The new phenotypes formed suggested that a genetic variation happened as a
result of heterokaryosis and/or recombination, more than environmental
adaptation, when mixing different conidia. This methodology seems to be very
useful for enhancing thermotolerance in fungi.
PMID- 22092819
TI - Mutation of pfm affects the adherence of Pseudomonas aeruginosa to host cells and
the quorum sensing system.
AB - The Pseudomonas aeruginosa quorum sensing (QS) system is controlled by the signal
molecules acyl homoserine lactones (AHLs) that are synthesized from acyl enoyl
acyl carrier proteins (acyl-ACPs) provided by the fatty acid biosynthesis cycle.
Pfm (PA2950), an enoyl-CoA reductase, has previously been shown to affect
swimming mobility and fatty acid biosynthesis. In this report, we further show
that pfm influences bacterial adherence to human cells. Microarray assay results
suggest that pfm affects bacterial adherence through its influence on the QS
system. Further experiments confirmed that the pfm mutant strain produces
significantly less QS signal molecules than the corresponding wild-type strain.
Using strains Escherichia coli DH5alpha(pECP64, lasB'-lacZ) and E. coli
DH5alpha(pECP61.5, rhlA'-lacZ), biosensors for N-(3-oxododecanoyl) homoserine
lactone (3O-C(12) -HSL) and N-butyryl homoserine lactone (C(4) -HSL),
respectively, we found that pfm mutant strain produces decreased amounts of both
signal molecules. Elastase activity and pyocyanin measurements further confirmed
the reduced levels of 3O-C(12) -HSL and C(4) -HSL in the pfm mutant. Finally,
bacterial virulence, as assessed by the Caenorhabditis elegans worm killing
assay, is decreased in the pfm mutant. Taken together, these data indicate that
pfm can be an important target for the control of P. aeruginosa infectivity.
PMID- 22092820
TI - Characterization of ISR region and development of a PCR assay for rapid detection
of the fish pathogen Tenacibaculum soleae.
AB - The aims of this work were to characterize the 16S-23S internal spacer region of
the fish pathogen Tenacibaculum soleae and to develop a PCR assay for its
identification and detection. All T. soleae strains tested displayed a single
internal spacer region class, containing tRNA(I) (le) and tRNA(A) (la) genes;
nevertheless, a considerable intraspecific heterogeneity was observed. However,
this region proved to be useful for differentiation of T. soleae from related and
non-related species. Species-specific primers were designed targeting the 16S
rRNA gene and the internal spacer region region, yielding a 1555-bp fragment.
Detection limit was of 1 pg DNA per reaction (< 30 bacterial cells) when using
pure cultures. The detection level in the presence of DNA from fish or other
bacteria was lower; however, 10 pg were detected at a target/background ratio of
1 : 10(5) . The PCR assay proved to be more sensitive than agar cultivation for
the detection of T. soleae from naturally diseased fish, offering a useful tool
for diagnosis and for understanding the epidemiology of this pathogen.
PMID- 22092821
TI - Human cecum content modulates production of extracellular proteins by food and
probiotic bacteria.
AB - Lactic acid bacteria (LAB) are responsible for different types of food
fermentations that provide humans with many different classes of fermented
products. During the 20th century, some LAB strains as well as several members of
the genus Bifidobacterium started to be extensively used in human nutrition as
probiotics because of their health-promoting effects. Nowadays, the subset of
extracellular proteins is being investigated as potential mediators of the
process known as bacteria-host molecular crosstalk. Inclusion of human cecum
extracts in laboratory culture medium modified the production of extracellular
proteins by food and probiotic microorganisms. By proteomic and genetic means,
the specific overproduction of two proteins was revealed to occur at
transcriptional level. This work sheds light on the potential molecular effectors
that food bacteria could use for interacting with the human gut and revealed that
they may be produced under very specific environmental conditions.
PMID- 22092822
TI - Periodontitis could be related factors on metabolic syndrome among Koreans: a
case-control study.
AB - AIM: Several studies have suggested that metabolic disorders are related to
periodontitis. The objective of this study is to assess whether periodontitis is
associated with the metabolic syndrome (MetS) among Koreans. MATERIAL AND
METHODS: This case-control study was performed among 167 cases with MetS and 166
healthy controls from Shiwha-Banwol Environmental Health Cohort (N = 1853). The
community periodontal index (CPI) was used to assess periodontitis (CPI 3-4).
MetS was an outcome variable and periodontitis was a main explanatory variable.
Age-gender-matched conditional logistic regression models were applied. Monthly
household income, smoking, drinking, physical activity and diabetes mellitus were
factored as confounders. We also performed stratified analyses according to
confounders. RESULTS: Those with periodontitis are more likely to be patients
with MetS than those without periodontitis. The adjusted odds ratio of
periodontitis for MetS was 1.76. There was no significant dose-effect response on
the relationship between the number of sextants with periodontitis and MetS.
Links became higher in adults aged 45-60 years and adults without diabetes
mellitus. CONCLUSIONS: These results suggest that periodontitis could be an
independently related factor on MetS. Hence, dentists and physicians should be
aware of the importance of periodontitis as a potential source of inflammatory
burden.
PMID- 22092824
TI - Gram-positive bacteria on grass pollen exhibit adjuvant activity inducing
inflammatory T cell responses.
AB - BACKGROUND: Recently, it has been established that pollen grains contain Th2
enhancing activities besides allergens. OBJECTIVE: The aim of this study was to
analyse whether pollen carry additional adjuvant factors like microbes and what
immunological effects they may exert. METHODS: Timothy pollen grains were
collected and disseminated on agar plates, and the growing microorganisms were
cultivated and defined. Furthermore, the immunologic effects of microbial
products on DC and T cell responses were analysed. RESULTS: A complex mixture of
bacteria and moulds was detected on grass pollen. Besides Gram-negative bacteria
that are known to favour Th1-directed immune responses, moulds were identified as
being sources of allergens themselves. Herein, we focused on Gram-positive
bacteria that were found in high numbers, e.g. Bacillus cereus and Bacillus
subtilis. Contact of immature dendritic cells (DC) from grass pollen allergic
donors with supernatants of homogenized Gram-positive bacteria induced maturation
of DC as measured by up-regulation of CD80, CD83 and CD86 and by enhanced
production of IL-6, IL-12p40 and TNF-alpha, which was less pronounced compared
with effects induced by lipopolysaccharide (LPS). Consequently, stimulation of
autologous CD4(+) T cells with supernatants of homogenized Gram-positive
bacteria plus grass pollen allergen-pulsed DC led to an enhanced proliferation
and production of IL-4, IL-13, IL-10, IL-17, IL-22 and IFN-gamma production
compared with T cells that were stimulated with allergen-pulsed immature DC
alone, whereas production of the transcription factor for regulatory T cells
FoxP3 was not significantly affected. CONCLUSIONS AND CLINICAL RELEVANCE: These
data indicate that grass pollen is colonized by several microorganisms that
influence the immune response differently. Similar to LPS, supernatants of
homogenized Gram-positive bacteria may serve as adjuvants by augmenting DC
maturation and inflammatory Th1, Th2 and Th17 responses helping to initiate
allergic immune responses.
PMID- 22092825
TI - A prospective study on implants installed with flapless-guided surgery using the
all-on-four concept in the mandible.
AB - AIM: Clinical data are scarce on flapless-guided surgery in the mandible using
the all-on-four concept. In addition, limited documentation exists on the latter
under immediate loading conditions with a pre-fabricated implant bridge. The aim
was to provide detailed documentation focusing on clinical and radiographic
outcome and complications. MATERIAL AND METHODS: Sixteen systemically healthy non
smoking patients (10 women, 6 men, average age 59 years) with sufficient bone
volume in the mandible were operated via flapless-guided surgery using the all-on
four concept. Clinical and radiographic data and complications were registered at
3, 6 and 12 months. RESULTS: The overall implant survival rate was 90% with a
trend for higher failure of short implants (P = 0.098). The mean bone level after
12 months of function was 0.83 mm with a maximum of 1.07 mm. Technical
complications were common (15/16 patients). These mainly related to a misfit
between the pre-fabricated prosthesis and abutment(s) (13/16 patients).
CONCLUSION: If immediate loading of implants is pursued fabrication of the
implant bridge should be based on actual impression of the implants at the time
of surgery and not on their virtual position.
PMID- 22092826
TI - Toivo Suutarinen--father of Finnish pediatric anesthesia.
PMID- 22092827
TI - Methicillin-resistant Staphylococcus aureus from Northwest marine and freshwater
recreational beaches.
AB - The aim of the study was to determine the spatial distribution of methicillin
resistant Staphylococcus aureus (MRSA) at two marine and one freshwater
recreational beaches in the Seattle area. Fifty-six marine water, 144 freshwater,
and 96 sand samples were collected from June through August 2010. Isolates were
biochemically verified as MRSA. Staphylococcal cassette chromosome mec (SCCmec)
typing, multilocus sequence typing (MLST), pulse field gel electrophoresis and
the presence of other antibiotic resistance genes were determined. Twenty-two
freshwater (15.3%; n = 144), one dry sand (1.9%; n = 53), six wet sand (14%; n =
43), and two marine water samples (3.6%; n = 56) were MRSA positive. Of the 27
freshwater stream sites sampled multiple times, 37% of the sites were positive
for MRSA and/or S. aureus >= 2 times. Twenty-one (67.7%) of 31 MRSA were SCCmec
type IV, 15 (48.4%) of the isolates had MLST types not previously associated with
humans, and 29 (93.5%) of the isolates carried other antibiotic resistance genes.
This study is the first to report and characterize repeated MRSA-positive samples
from freshwater drainages and creeks surrounding popular recreational beaches.
PMID- 22092828
TI - Patient satisfaction among Spanish-speaking patients in a public health setting.
AB - Despite the growing literature on health care quality, few patient satisfaction
studies have focused upon the public health setting; where many Hispanic patients
receive care. The purpose of this study was to examine the differences in
satisfaction between English and Spanish-speaking patients in a local health
department clinical setting. We conducted a paper-based satisfaction survey of
patients that visited any of the seven Jefferson County Department of Health
primary care centers from March 19 to April 19, 2008. Using Chi-squared analyses
we found 25% of the Spanish-speaking patients reported regularly having problems
getting an appointment compared to 16.8% among English-speakers (p < .001).
Results of logistic regression analyses indicated that, despite the availability
of interpreters at all JCDH primary care centers, differences in satisfaction
existed between Spanish and English speaking patients controlling for center
location, purpose of visit, and time spent waiting. Specifically, Spanish
speaking patients were more likely to report problems getting an appointment and
less likely to report having their medical problems resolved when leaving their
visit as compared to those who spoke English. Findings presented herein may
provide insight regarding the quality of care received, specifically regarding
patient satisfaction in the public health setting.
PMID- 22092829
TI - In vivo investigations on the penetration of various oils and their influence on
the skin barrier.
AB - BACKGROUND: The skin represents a potent barrier to the environment, which can be
enhanced by the topical application of skin care products, such as oil and oil
based formulations by moisturizing the skin. METHODS: The aim of this study was
the investigation of the penetration behaviour of four vegetable oils and of
paraffin oil into the stratum corneum by laser scanning microscopy. In addition,
the occlusion capacity of these substances was assessed by transepidermal water
loss (TEWL) measurements. Petrolatum served as a positive control for skin
occlusion. The study was conducted in vivo and included six healthy volunteers.
RESULTS: Paraffin oil, as well as the vegetable oils, penetrated only into the
first upper layers of the stratum corneum. TEWL measurements indicated that the
application of the vegetable oils (except jojoba oil) as well as paraffin oil,
led to a similar occlusion of the skin surface. The most effective occlusion was
found for petrolatum. CONCLUSION: For the investigated oils, a deeper penetration
than into the first upper layers of the stratum corneum could be excluded. The
decreased TEWL values indicate that the application of the oils leads to a semi
occlusion of the skin surface as it is intended by the use of oils to retain
moisture in skin.
PMID- 22092830
TI - Inferiorly based crescentic "sliding" cheek flaps for the reconstruction of
paranasal surgical defects.
PMID- 22092831
TI - Anti-infective surgical therapy of peri-implantitis. A 12-month prospective
clinical study.
AB - AIM: The aim of this prospective cohort study was to evaluate an anti-infective
surgical protocol for the treatment of peri-implantitis. MATERIALS AND METHODS:
Thirty-six implants in 24 partially dentate patients with moderate to advanced
peri-implantitis were treated using an anti-infective surgical protocol
incorporating open flap debridement and implant surface decontamination, with
adjunctive systemic amoxicillin and metronidazole. Treatment outcomes were
assessed at 3, 6 and 12 months. Patient-based statistical analyses using multiple
regression analyses were performed. RESULTS: There was 100% survival of treated
implants at 12 months. At 3 months, there were statistically significant (P <
0.01) reductions in mean probing depths (PD), Bleeding on Probing (BoP) and
suppuration. The greater the mean PD at baseline, the greater the PD reduction at
3 months. At 3 months, there was also a significant mean facial mucosal recession
of 1 mm (P < 0.001). All these changes were maintained at 6 and 12 months. At 12
months, all treated implants had a mean PD < 5 mm, while 47% of the implants had
complete resolution of inflammation (BoP negative). At 12 months, 92% of implants
had stable crestal bone levels or bone gain. There were no significant effects of
smoking on any of the treatment outcomes. CONCLUSIONS: For the treatment of peri
implantitis, an anti-infective protocol incorporating surgical access, implant
surface decontamination and systemic antimicrobials followed by a strict
postoperative protocol was effective at 3 months with the results maintained for
up to 12 months after treatment.
PMID- 22092832
TI - Do patients with chronic patellar tendinopathy have an altered somatosensory
profile? A Quantitative Sensory Testing (QST) study.
AB - The prevalence of tendinopathies in sports is high. The etiology and pain
mechanisms of tendinopathies are not completely understood. Currently, little is
known whether, or to which degree, somatosensory changes within the nervous
system may contribute to the pain in tendinopathies. We conducted a patient
controlled study in which we used the standardized QST protocol developed by the
German Research Network on Neuropathic Pain. This protocol consists of seven
different tests that measures 13 somatosensory parameters and can be seen as the
gold standard to measure somatosensory function. Twelve athletes with clinically
diagnosed chronic patellar tendinopathy (PT) mean duration 30 months (range 6
120) and 20 controls were included in the study. In two of the 13 QST parameters
namely Mechanical Pain Threshold (P < 0.05) and Vibration Disappearance Threshold
(P < 0.5) injured athletes were significantly more sensitive for the applied
stimuli. None of the athletes had signs of Dynamic Mechanical Allodynia. Reduced
mechanical pain thresholds or pinprick allodynia reflects the involvement of
central sensitization upon the myelinated (Adelta-fibre) nociceptive input. From
this explorative study, we conclude that sensitization may play a prominent role
in the pain during and after sports activity in patella tendinopathy patients.
PMID- 22092833
TI - Fatigue in female breast cancer patients: might its origins be more generic than
we think?
PMID- 22092834
TI - Interactions of bacteria with different mechanisms for chitin degradation result
in the formation of a mixed-species biofilm.
AB - In this study, interactions between bacteria possessing either released or cell
associated enzymes for polymer degradation were investigated. For this, a co
culture of Aeromonas hydrophila strain AH-1N as an enzyme-releasing bacterium and
of Flavobacterium sp. strain 4D9 as a bacterium with cell-associated enzymes was
set up with chitin embedded into agarose beads to account for natural conditions,
under which polymers are usually embedded in organic aggregates. In single
cultures, strain AH-1N grew with embedded chitin, while strain 4D9 did not. In co
cultures, strain 4D9 grew and outcompeted strain AH-1N in the biofilm fraction.
Experiments with cell-free culture supernatants containing the chitinolytic
enzymes of strain AH-1N revealed that growth of strain 4D9 in the co-culture was
based on intercepting N-acetylglucosamine from chitin degradation. For this,
strain 4D9 had to actively integrate into the biofilm of strain AH-1N. This study
shows that bacteria using different chitin degradation mechanisms can coexist by
formation of a mixed-species biofilm.
PMID- 22092835
TI - The use of transcutaneous oximetry to predict complications of chronic wound
healing: a systematic review and meta-analysis.
AB - Transcutaneous oximetry (P(tc) O(2) ) has been proposed as a method to predict
nonhealing of chronic wounds and the occurrence of healing complications.
However, the validity of this method as an independent predictor and an optimal
threshold value for this tool are poorly defined. We undertook a systematic
review and meta-analysis to address these questions, searching five major medical
databases, relevant review articles, and reference lists. We included all studies
that used multivariable analysis to evaluate P(tc) O(2) for its ability to
predict chronic wound healing complications. Article selection and data
abstraction were conducted independently and in duplicate. Four studies,
enrolling 901 patients with 910 lower extremity chronic wounds, met our inclusion
criteria. These studies showed that a peri-wound P(tc) O(2) level below a cutoff
of 20 mmHg or 30 mmHg was an independent predictor of chronic wound healing
complications (odds ratio 3.21, 95% confidence interval 1.07-9.69, I(2) = 77%).
A threshold value of 20 mmHg was used most frequently in the included studies and
showed the strongest association. This review was limited by the small number of
studies, a possible reporting bias, and heterogeneity. These results suggest that
P(tc) O(2) measurements have independent prognostic value in the assessment of
chronic wounds, but further research is required to confirm these findings and
define an optimal threshold value.
PMID- 22092836
TI - The effect of honey-coated bandages compared with silver-coated bandages on
treatment of malignant wounds-a randomized study.
AB - Malignant wounds (MWs) occur in 5-10% of all cancer patients. Malodor and
exudation are the most common side effects. The aim was to determine the
influence of honey-coated compared with silver-coated bandages on treatment of
MWs. Patients were randomly selected to enter either group A (honey-coated
bandages) or group B (silver-coated bandages). Parameters were the following:
wound size, cleanliness, malodor, exudation, and wound pain. Digital photographs,
visual analog scales (VAS), and wound morphology registration were used for
measurement at baseline and following the 4-week intervention. Sixty-nine
patients with MWs and advanced cancer, aged 47-90 (median 65.6), were included.
No statistically significant difference was noted between the groups with respect
to wound size, degree of cleanliness, exudation, malodor, and wound pain. There
was a median decrease in wound size of 15 cm2 and 8 cm2 in group A and B,
respectively (p = 0.63). Based on post-intervention pooled data from the groups,
improvement was seen in 62% of the participants with respect to wound size and in
58% (n = 69) with respect to cleanliness. The VAS score for malodor (p = 0.007)
and exudation (p < 0.0001) improved significantly post-intervention. Patients
with reduced wound size had a median survival time of 387 days compared with 134
days in patients with no wound reduction (p = 0.003). The use of honey-coated and
silver-coated bandages improved the outcome of MWs. No differences were found
between the two regimens. Both types of bandages are recommended for use by
patients with MWs containing tumor debris and necrosis.
PMID- 22092837
TI - Reflectance confocal microscopy for the evaluation of acute epidermal wound
healing.
AB - The dynamic process of wound healing is routinely evaluated by clinical or
histological evaluation. Recently, a number of non-invasive imaging techniques
have been evaluated for their clinical applicability in dermatology. Among them,
reflectance confocal microscopy (RCM) represents a non-invasive imaging technique
that allows the in vivo characterization of the skin at near-histological
resolution. The aim of this study was to monitor epidermal wound repair using RCM
in a model of tissue damage induced by cryosurgery. For this purpose, contact
cryosurgery was performed at -32 degrees C for 10 seconds on the volar forearm
of five healthy volunteers. Clinical and RCM evaluations were performed at nine
consecutive time points. RCM allowed the visualization of edema formation and
blood vessel dilatation immediately after cryosurgery, as well as morphologic
features of wound repair, including the formation of finger-like protusions of
keratinocytes into the wound bed, the appearance of hairpin-like vessels, and
inflammatory cells. This pilot study illustrates that RCM represents a promising
technique for quasi-real-time monitoring the kinetics of wound repair non
invasively and over time, thus offering new insights into in vivo processes of
cutaneous wound repair and angiogenesis, as well as potential effects of
topically applied drugs on the process of tissue repair.
PMID- 22092838
TI - Everolimus-induced loss of wound strength can be prevented by a short
postoperative delay in its administration.
AB - The use of mammalian target of rapamycin inhibitors coincides with an increased
incidence of surgical complications. In previous experiments, serious negative
effects of postoperative everolimus on anastomotic strength were found. This
study aims to investigate if delayed drug administration can prevent loss of
wound strength. Ten groups of Wistar rats each received daily oral doses of 1.0
or 2.0 mg/kg everolimus, starting the day of anastomotic construction in both
ileum and colon, or 1, 2, 3, or 4 days later. The 11th group received saline.
Seven days later, wound strength in anastomoses and in the abdominal wall and
wound hydroxyproline levels were measured. Mean wound strength was significantly
and dose-dependently reduced if everolimus was started on the day of operation.
In ileum and colon, strength was not affected if drug administration was delayed
until the third or second day, respectively. In abdominal fascia, this was the
case only if everolimus was withheld until day 4. In general, changes in wound
hydroxyproline content showed similarities to changes in wound strength. Thus,
delaying administration of everolimus for 2-4 days after operation can prevent a
serious loss of wound strength, both in the intestine and in the abdominal
fascia.
PMID- 22092839
TI - Collagen matrix-bound clotting factors (CMBCF) promote healing-associated events
independent of factor XIII in an in vitro model.
AB - We have previously explored in vitro as well as in vivo models of the biological
effects of liquid fibrin glue (FG) containing factor XIII. The fixed combination
of a collagen matrix and coagulation factors I and IIa (TachoSil((r)) , Nycomed,
Linz, Austria) is void of factor XIII. We aimed to determine whether (1) this
preparation exerts similar effects to liquid FG on cells in an in vitro system,
or (2) this effect is modulated by factor XIII. In an in vitro model, the effect
of the fixed combination of collagen matrix and coagulation factors I and IIa
(collagen matrix-bound clotting factor [CMBCF]) on the expression and secretion
of growth factors (vascular endothelial growth factor, platelet-derived growth
factor, fibroblast growth factor-2) by gastric epithelial (AGS) and mesenchymal
cells (fibroblasts), as well as their proliferative response (WST-test), was
compared in the presence and absence of factor XIII. The use of CMBCF compared
with collagen type I matrix resulted in an increased proliferation rate of
fibroblasts; there was an increased secretion of fibroblast growth factor-2.
Gastric epithelial cells secreted more vascular endothelial growth factor and
platelet-derived growth factor into the culture supernatant in the presence of
CMBCF. All responses remained unaltered by the addition of factor XIII in
different concentrations. In conclusion, CMBCF exerted effects similar to liquid
FG in an in vitro model of healing. The addition of factor XIII did not alter the
response of mesenchymal or epithelial cells, with respect to proliferation and
growth factor secretion.
PMID- 22092840
TI - Acceleration of cutaneous healing by electrical stimulation: degenerate
electrical waveform down-regulates inflammation, up-regulates angiogenesis and
advances remodeling in temporal punch biopsies in a human volunteer study.
AB - We previously demonstrated the beneficial effect of a novel electrical
stimulation (ES) waveform, degenerate wave (DW) on skin fibroblasts, and now
hypothesize that DW can enhance cutaneous wound healing in vivo. Therefore, a
punch biopsy was taken from the upper arm of 20 volunteers on day 0 and repeated
on day 14 (NSD14). A contralateral upper arm biopsy was taken on day 0 and
treated with DW for 14 days prior to a repeat biopsy on day 14 (ESD14). A near
completed inflammatory stage of wound healing in ESD14, compared to NSD14 was
demonstrated by up-regulation of interleukin-10 and vasoactive intestinal peptide
using quantitative real time polymerase chain reaction and down-regulation of CD3
by immunohistochemistry (IHC) (p < 0.05). In addition to up-regulation (p < 0.05)
of mRNA transcripts for re-epithelialization and angiogenesis, IHC showed
significant overexpression (p < 0.05) of CD31 (15.5%), vascular endothelial
growth factor (66%), and Melan A (8.6 cells/0.95 mm2) in ESD14 compared to NSD14
(9.5%, 38% and 4.3 cells/0.95 mm2, respectively). Furthermore, granulation tissue
formation (by hematoxylin and eosin staining), and myofibroblastic proliferation
demonstrated by alpha-smooth muscle actin (62.7%) plus CD3+ T lymphocytes (8.1%)
showed significant up-regulation (p < 0.05) in NSD14. In the remodeling stage,
mRNA transcripts for fibronectin, collagen IV (by IHC, 14.1%) and mature collagen
synthesis (by Herovici staining, 71.44%) were significantly up-regulated (p <
0.05) in ESD14. Apoptotic (TUNEL assay) and proliferative cells (Ki67) were
significantly up-regulated (p < 0.05) in NSD14 (5.34 and 11.9 cells/0.95 mm2)
while the proliferation index of ESD14 was similar to normal skin. In summary,
cutaneous wounds receiving DW electrical stimulation display accelerated healing
seen by reduced inflammation, enhanced angiogenesis and advanced remodeling
phase.
PMID- 22092841
TI - Augmentation of rat skin flap viability by relaxin-expressing adenovirus.
AB - Relaxin (RLX) has multiple vascular actions, including vasodilation and
angiogenesis, which occur via induction of vascular endothelial growth factor
(VEGF) expression. We generated a RLX-expressing (dE1-RGD/lacZ/RLX) adenovirus
and investigated whether it enhances skin flap survival. Thirty Sprague-Dawley
rats were divided into three groups: RLX-expressing adenovirus group, control
virus group, and phosphate-buffered saline (PBS) group. Two days before surgery
and immediately after flap elevation, the caudally based flap that was 3 * 9 cm
in size was subdermally injected with the dE1-RGD/lacZ/RLX virus (107 PFU), dE1
RGD/lacZ virus (107 PFU), or PBS. The surviving area of the flap and the amount
of blood flow were measured. On postoperative day 10, CD31-positive vessels and
VEGF protein expression were examined. We observed a significant increase in the
survival area of the flap in the RLX group. Doppler measurement also showed
significantly increased blood flow immediately after the operation and on
postoperative days 7 and 10. CD31-positive vessels and VEGF protein expression
were significantly greater in the RLX group. Thus, administration of RLX
expressing adenovirus into elevated skin flaps increased VEGF expression, the
number of capillaries, and blood flow to the flap, thereby improving skin flap
survival.
PMID- 22092842
TI - Extracellular matrix-derived tripeptide proline-glycine-proline inhibits
keratinocyte proliferation and migration.
AB - Keratinocytes are the predominant cell type in epidermis, and are primarily
responsible for the epithelialization phase of wound healing. Previous studies by
our group showed a positive correlation between IL-8 concentration and delayed
healing of porcine cutaneous partial-thickness wounds. Interleukin-8 and collagen
breakdown product N-acetyl-Pro-Gly-Pro (PGP) are known as chemoattractant
molecules for neutrophils during inflammation. The activity of both molecules is
dependent on chemokine receptors CXCR1 and CXCR2. In addition to neutrophils,
keratinocytes also express CXCR1 and CXCR2. Here we investigated the effects of
IL-8 and PGP on keratinocyte proliferation and migration. Our results showed that
IL-8 up to 100 ng/mL does not have any significant impact on keratinocyte
proliferation or migration. ECM-derived tripeptide PGP chemotactically attracts
neutrophils but not keratinocytes. PGP strongly inhibits keratinocyte
proliferation and migration in a cell-type specific manner. Thus, collagen
breakdown product PGP plays a key role in modulating both the inflammatory and
epithelialization phases of wound healing.
PMID- 22092843
TI - Measurements of wound edge microvascular blood flow during negative pressure
wound therapy using thermodiffusion and transcutaneous and invasive laser Doppler
velocimetry.
AB - The effects of negative pressure wound therapy (NPWT) on wound edge microvascular
blood flow are not clear. The aim of the present study was therefore to further
elucidate the effects of NPWT on periwound blood flow in a porcine peripheral
wound model using different blood flow measurement techniques. NPWT at -20, -40,
80, and -125 mmHg was applied to a peripheral porcine wound (n = 8).
Thermodiffusion, transcutaneous, and invasive laser Doppler velocimetry were used
to measure the blood perfusion 0.5, 1.0, and 2.5 cm from the wound edge.
Thermodiffusion (an invasive measurement technique) generally showed a decrease
in perfusion close to the wound edge (0.5 cm), and an increase further from the
edge (2.5 cm). Invasive laser Doppler velocimetry showed a similar response
pattern, with a decrease in blood flow 0.5 cm from the wound edge and an increase
further away. However, 1.0 cm from the wound edge blood flow decreased with high
pressure levels and increased with low pressure levels. A different response
pattern was seen with transcutaneous laser Doppler velocimetry, showing an
increase in blood flow regardless of the distance from the wound edge (0.5, 1.0,
and 2.5 cm). During NPWT, both increases and decreases in blood flow can be seen
in the periwound tissue depending on the distance from the wound edge and the
pressure level. The pattern of response depends partly on the measurement
technique used. The combination of hypoperfusion and hyperperfusion caused by
NPWT may accelerate wound healing.
PMID- 22092844
TI - Multiplexed molecular descriptors of pressure ulcers defined by imaging mass
spectrometry.
AB - The pathogenesis of impaired healing within pressure ulcers remains poorly
characterized and rarely examined. We describe the results of a pilot study that
applies matrix-assisted laser desorption/ionization imaging mass spectrometry
technology for direct tissue analysis to evaluate proteomic signatures ranging
from 2 to 20 kDa and phospholipids from 300-1,200 Da in focal regions within the
wound microenvironment. Distinguishing molecular differences were apparent
between upper vs. lower regions of ulcers and further contrasted against adjacent
dermis and epidermal margins using protein profiles, ion density maps, principal
component analysis and significant analysis of microarrays. Several proteins
previously uncharacterized in pressure ulcers, the alpha-defensins (human
neutrophil peptide [HNP]-1, -2, -3), are potential markers indicating whether the
wound status is improving or being prolonged in a deleterious, chronic state.
Thymosin beta4 appears to be a favorable protein marker showing higher relative
levels in adjacent dermis and maturing areas of the wound bed. Lipidomic
examination revealed the presence of major lipid classes: glycerophosphocholines,
glycerophosphoglycerols, glycerophosphoinositols, and triacylglycerols. Our pilot
data examined from either a global perspective using proteomic or lipidomic
signatures or as individual distributions reveal that imaging mass spectrometry
technology can be effectively used for discovery and spatial mapping of molecular
disturbances within the microenvironment of chronic wounds.
PMID- 22092845
TI - CEACAM1 deficiency delays important wound healing processes.
AB - Cutaneous wound healing is a complex process that requires the coordination of
many cell types to achieve proper tissue repair. Four major overlapping processes
have been identified in wound healing: hemostasis, inflammation,
reepithelialization and granulation tissue formation, and tissue remodeling.
Carcinoembryonic antigen-related cell adhesion molecule 1 (CEACAM1) is a
glycoprotein expressed in epithelial, endothelial, lymphoid, and myeloid cells.
Given its known roles in angiogenesis, cell migration, and immune functions, we
hypothesized that CEACAM1 might also be involved in cutaneous wound healing and
that a number of relevant CEACAM1-positive cell types might contribute to wound
healing. To evaluate the role of CEACAM1 in these processes, 6-mm-diameter skin
wounds were inflicted on Ceacam1(-/-) and wild-type mice. Herein, we demonstrate
that CEACAM1 deletion indeed affects wound healing in three key ways.
Infiltration of F4/80(+) macrophages was decreased in Ceacam1(-/-) wounds,
altering inflammatory processes. Reepithelialization in Ceacam1(-/-) wounds was
delayed. Furthermore, the vascular density of the granulation tissue in Ceacam1(
/-) wounds was significantly diminished. These results confirm CEACAM1's role as
an important regulator of key processes in cutaneous wound healing, although
whether this works via a specific cell type or alterations in the functioning of
multiple processes remains to be determined.
PMID- 22092846
TI - Platelet-rich fibrin matrix improves wound angiogenesis via inducing endothelial
cell proliferation.
AB - The economic, social, and public health burden of chronic ulcers and other
compromised wounds is enormous and rapidly increasing with the aging population.
The growth factors derived from platelets play an important role in tissue
remodeling including neovascularization. Platelet-rich plasma (PRP) has been
utilized and studied for the last four decades. Platelet gel and fibrin sealant,
derived from PRP mixed with thrombin and calcium chloride, have been exogenously
applied to tissues to promote wound healing, bone growth, hemostasis, and tissue
sealing. In this study, we first characterized recovery and viability of as well
as growth factor release from platelets in a novel preparation of platelet gel
and fibrin matrix, namely platelet-rich fibrin matrix (PRFM). Next, the effect of
PRFM application in a delayed model of ischemic wound angiogenesis was
investigated. The study, for the first time, shows the kinetics of the viability
of platelet-embedded fibrin matrix. A slow and steady release of growth factors
from PRFM was observed. The vascular endothelial growth factor released from PRFM
was primarily responsible for endothelial mitogenic response via extracellular
signal-regulated protein kinase activation pathway. Finally, this preparation of
PRFM effectively induced endothelial cell proliferation and improved wound
angiogenesis in chronic wounds, providing evidence of probable mechanisms of
action of PRFM in healing of chronic ulcers.
PMID- 22092847
TI - The efficacy of silver dressings and antibiotics on MRSA and MSSA isolated from
burn patients.
AB - In this study our objectives were (1) to investigate whether meticillin-resistant
Staphylococcus aureus (MRSA) showed an increased tolerance to silver wound
dressings compared with meticillin-sensitive S. aureus (MSSA); and (2) to
evaluate the effects of bacterial phenotypic states of MRSA and MSSA, and pH, on
the activity of silver wound dressings and two antibiotics, ampicillin and
clindamycin. Twenty MRSA strains and 10 MSSA strains isolated from burns patients
in South Africa were evaluated for their susceptibility to a silver alginate and
a silver carboxymethyl cellulose wound dressing, employing a corrected zone of
inhibition assay, conducted on Mueller Hinton agar and a poloxamer-based biofilm
model. When exposed to the two silver dressings, all 30 S. aureus strains showed
susceptibility. Possible enhanced antimicrobial efficacy of the silver dressings
occurred when pH was lowered to 5.5, compared with a pH of 7.0. When all S.
aureus were grown in the biofilm phenotypic state and exposed to both silver
dressings and antibiotics, enhanced tolerance was noted. Susceptibility to silver
was overall higher for MRSA when compared with MSSA. This study showed that the
effect of pH and bacterial phenotypic state must be considered when the
antimicrobial activity of silver wound dressings is being investigated. It is
evident from the data generated that both pH and the bacterial phenotypic state
are factors that induce changes that affect both antimicrobial performance and
bacterial susceptibility.
PMID- 22092848
TI - Multiple pass ultrasound tightening of skin laxity of the lower face and neck.
AB - BACKGROUND: Skin laxity is a common complaint of patients who request skin
rejuvenation. Radiofrequency and infrared light are widely used for nonablative
treatment of skin laxity. Intense focused ultrasound (IFUS) has been investigated
as a tool for the treatment of solid benign and malignant tumors for many decades
but is only now beginning to emerge as a potential noninvasive alternative to
conventional nonablative therapy. OBJECTIVES: To evaluate the efficacy of IFUS
for the treatment of face and neck laxity. METHODS: Twelve female volunteers were
enrolled in the study, and 10 were ultimately evaluated. The device under
investigation was an IFUS. Areas treated included the face and neck. For
treatment, the 4-MHz, 4.5-mm probe was used first, followed by the 7-MHz, 3.0-mm
probe. Two blinded, experienced clinicians evaluated paired pretreatment and post
treatment (day 90) photographs. Patient self-assessments were also obtained.
RESULTS: On the first primary outcome measure, two blinded clinicians felt that 8
of 10 subjects (80%) showed clinical improvement 90 days after treatment. Nine of
10 subjects (90%) reported subjective improvement. CONCLUSIONS: IFUS has many
advantages for skin tightening.
PMID- 22092849
TI - Knee injuries related to sports in young adult males during military service -
incidence and risk factors.
AB - The population-based incidence and risk factors for knee injuries in young adults
were assessed in Finnish male conscripts performing their compulsory military
service (n = 128,584). The main outcome variables were (1) hospitalization due to
knee injuries overall and (2) hospitalization due to knee disorders as
categorized into specific International Classification of Diseases, tenth
revision diagnoses (cruciate and collateral ligament tears, meniscal tears,
traumatic chondral lesions, and patellar dislocations). Person-time injury
incidence rates were calculated by dividing the number of persons with a
diagnosed knee injury by the total exposure time of 97,503 person-years. The
number of subjects with surgical operations and military service class changes
indicative of longer term notable disability are also reported. Risk factor
analyses were performed by logistic regression. The person-based incidence of
hospitalizations for knee injury was 11 cases per 1000 person-years [95%
confidence interval (CI): 10.4-11.7]. The most important risk factors were higher
age (odds ratio 1.7; 95% CI: 1.3-2.2) and obesity (odds ratio 1.6; 95% CI: 1.03
2.5). Two thirds of all subjects hospitalized for knee injuries had surgery, and
one third had longer term notable disability. These findings indicate that knee
injuries cause a significant burden of hospitalizations, often leading to surgery
and longer term disability.
PMID- 22092850
TI - Patch testing: what allergists should know.
AB - Patch testing is a standardized, in vivo diagnostic test for type IV
hypersensitivity reactions, resulting in allergic contact dermatitis, which
clinically resembles eczema. Common allergens include fragrance chemicals, hair
dyes, metals, rubber accelerators and preservatives. Known allergens at
particular concentrations in optimal vehicles are tested on the upper back under
occlusion for 2 days. Readings according to international criteria are usually
performed on days 2 and 4. Irritant reactions can closely resemble allergic ones,
and further tests may be necessary to discriminate. Interpretation of the
relevance of the reactions can also be difficult, perhaps requiring repeated open
application testing, work-site visits etc. Monitoring of trends in patch test
positivity can be effective in primary prevention of type IV allergy.
PMID- 22092851
TI - Effect of mismatching abutments on implants with wider platforms--an experimental
study in dogs.
AB - AIM: To evaluate the effect of mismatching abutments on implants with a wider
platform on the peri-implant hard tissue remodeling and the soft tissue
dimensions. MATERIAL AND METHODS: Mandibular premolars and first molars of six
Labrador dogs were extracted bilaterally. After 3 months of healing, one tapered
implant was installed on each side of the mandibular molar region with the
implant shoulder placed at the level of the buccal alveolar bony crest. On the
right side of the mandible, an abutment of reduced diameter in relation to the
platform of the implant was used, creating a mismatch of 0.85 mm (test), whereas
an abutment of the same diameter of the implant platform was affixed in the left
side of the mandible (control). The flaps were sutured to allow a non-submerged
healing. After 4 months, the animals were sacrificed and ground sections were
obtained for histometric assessment. RESULTS: All implants were completely osseo
integrated. Bone levels were superior at the test than at the control sites.
However, statistically significant differences were found only at the buccal and
proximal aspects. The soft tissue vertical dimension was higher at the control
compared with the test sites. However, statistically significant differences were
demonstrated only at the buccal aspects. CONCLUSIONS: A mismatch of 0.85 mm
between the implant and the abutment yielded more coronal levels of bone-to
implant contact and a reduced height of the peri-implant soft tissue (biologic
width), especially at the buccal aspect, if the implant shoulder was placed flush
with the level of the buccal alveolar bony crest.
PMID- 22092852
TI - Sublethal ciprofloxacin treatment leads to resistance via antioxidant systems in
Proteus mirabilis.
AB - This study investigates new aspects of the possible role of antioxidant defenses
in the mechanisms of resistance to ciprofloxacin in Proteus mirabilis. Four
ciprofloxacin-resistant variants (CRVs), selected in vitro by repeated cultures
in a sub-minimum inhibitory concentration (MIC) concentration of ciprofloxacin,
attained different levels of antibiotic resistance and high Ferric reducing
antioxidant power, with 10(-6) frequencies. However, no mutations occurred in
positions 83 or 87 of gyrA, 464 or 466 of gyrB, or 78, 80 or 84 of parC,
suggesting that resistance took place without these typical mutations in DNA
gyrase or topoisomerase IV. Assays with ciprofloxacin and the pump inhibitor
carbonyl cyanide m-chlorophenylhydrazone showed that in addition to the
antioxidant mechanisms, the influx/efflux mechanism also contributed to the
increase in the resistance to ciprofloxacin in one CRV. Moreover, lipid oxidation
to malondialdehyde and protein oxidation to carbonyls and advanced oxidation
protein products were higher in sensitive than in the resistant strains, as a new
factor involved in the mechanisms of resistance in P. mirabilis. The oxidative
stress cross-resistance to telluride in CRVs enhanced the role of the
antioxidants in the ciprofloxacin resistance of P. mirabilis, which was
reinforced during the assays of reduction of susceptibility to ciprofloxacin by
glutathione and ascorbic acid.
PMID- 22092853
TI - ICD arrhythmia detection and discrimination algorithms: whose is best?
PMID- 22092854
TI - Using optical coherence tomography for the longitudinal non-invasive evaluation
of epidermal thickness in a murine model of chronic skin inflammation.
AB - BACKGROUND: Non-invasive methods are desirable for longitudinal studies examining
drug efficacy and disease resolution defined as decreases in epidermal thickness
in mouse models of psoriasiform skin disease. This would eliminate the need for
either sacrificing animals or collecting serial skin biopsies to evaluate changes
in disease progression during an individual study. The quantitation of epidermal
thickness using optical coherence tomography (OCT) provides an alternative to
traditional histology techniques. METHODS: Using the KC-Tie2 doxycycline
repressible psoriasiform skin disease mouse model, OCT imaging was completed on
diseased back skin of adult KC-Tie2 (n = 3-4) and control (n = 3-4) mice,
followed immediately by the surgical excision of the same region for histologic
analyses. Animals were then treated with doxycycline to suppress transgene
expression and to reverse the skin disease and additional OCT images and tissues
were collected 2 and 4 weeks following. Epidermal thickness was measured using
OCT and histology. RESULTS: Optical coherence tomography and histology both
demonstrated that KC-Tie2 mice had significantly thicker epidermis (~4-fold; P <
0.0001) than control animals. By 2 weeks following gene repression, decreases in
epidermal thickness were observed using both OCT and histology, and were
sustained through 4 weeks. Correlation analyses between histology and OCT values
at all time points and in all animals revealed high significance (R(2) = 0.78);
with correlation being highest in KC-Tie2 mice (R(2) = 0.92) compared to control
animals (R(2) = 0.16). CONCLUSION: Non-invasive OCT imaging provided similar
values as those collected using standard histologic measures in thick skin of KC
Tie2 mice but became less reliable in thinner control mouse skin, possibly
reflecting limitations in resolution of OCT. Future advances in resolution of OCT
may improve and allow greater accuracy of epidermal thickness measurements.
PMID- 22092855
TI - The Tat protein export pathway and its role in cyanobacterial metalloprotein
biosynthesis.
AB - The Tat pathway is a common protein translocation system that is found in the
bacterial cytoplasmic membrane, as well as in the cyanobacterial and plant
thylakoid membranes. It is unusual in that the Tat pathway transports fully
folded, often metal cofactor-containing proteins across these membranes. In
bacteria, the Tat pathway plays an important role in the biosynthesis of
noncytoplasmic metalloproteins. By compartmentalizing protein folding to the
cytoplasm, the potentially aberrant binding of non-native metal ions to
periplasmic proteins is avoided. To date, most of our understanding of Tat
function has been obtained from studies using Escherichia coli as a model
organism but cyanobacteria have an extra layer of complexity with proteins
targeted to both the cytoplasmic and thylakoid membranes. We examine our current
understanding of the Tat pathway in cyanobacteria and its role in metalloprotein
biosynthesis.
PMID- 22092856
TI - Passage through Tetrahymena tropicalis enhances the resistance to stress and the
infectivity of Legionella pneumophila.
AB - Legionella pneumophila is a gram-negative bacterium prevalent in fresh water
which accidentally infects humans and is responsible for the disease called
legionellosis. Intracellular growth of L. pneumophila in Tetrahymena is
inconsistent; in the species Tetrahymena tropicalis stationary-phase forms (SPFs)
of L. pneumophila differentiate into mature intracellular forms (MIFs) without
apparent bacterial replication and are expelled from the ciliate as pellets
containing numerous MIFS. In the present work, we tested the impact of L.
pneumophila passage through T. tropicalis. We observed that MIFs released from T.
tropicalis are more resistant to various stresses than SPFs. Under our
conditions, MIFs harboured a higher gentamicin resistance, maintained even after
3 months as pellets. Long-term survival essays revealed that MIFs survived better
in a nutrient-poor environment than SFPs, as a reduction of only about 3 logs was
observed after 4 months in the MIF population, whereas no cultivable SPFs were
detected after 3 months in the same medium, corresponding to a loss of about 7
logs. We have also observed that MIFs are significantly more infectious in human
pneumocyte cells compared with SPFs. These results strongly suggest a potential
role of ciliates in increasing the risk of legionellosis.
PMID- 22092857
TI - Bacillus thuringiensis Cry2Ab is active on Anopheles mosquitoes: single D block
exchanges reveal critical residues involved in activity.
AB - Cry2Aa exhibits dual activity to Lepidoptera and Diptera. Cry2Ab differs in amino
acid sequence from Cry2Aa by 13% and has shown significant lepidopteran activity,
but no mosquitocidal activity. Previous studies implicate 23 Cry2Aa specificity
conferring residues of domain II, which differ in Cry2Ab. Nine residues are
putatively involved in conferring Cry2Aa dipteran specificity. To explore Cry2Ab
dipteran toxicity, site-directed mutagenesis was employed to exchange Cry2Ab
residues with Cry2Aa D (dipteran) block residues. Cry2Ab wild type demonstrated
high toxicity (LC(50) of 540 ng mL(-1)) to Anopheles gambiae, but not to Aedes or
Culex, within a 24-h time period. Cry2Ab should be reclassified as a dual active
Cry toxin. Cry2Ab mutagenesis revealed critical residues for Cry2Ab protein
function, as well as enhanced activity against the malarial mosquito, An.
gambiae.
PMID- 22092858
TI - Duplication of partial spinosyn biosynthetic gene cluster in Saccharopolyspora
spinosa enhances spinosyn production.
AB - Spinosyns, the secondary metabolites produced by Saccharopolyspora spinosa, are
the active ingredients in a family of insect control agents. Most of the S.
spinosa genes involved in spinosyn biosynthesis are found in a contiguous c. 74
kb cluster. To increase the spinosyn production through overexpression of their
biosynthetic genes, part of its gene cluster (c. 18 kb) participating in the
conversion of the cyclized polyketide to spinosyn was obtained by direct cloning
via Red/ET recombination rather than by constructing and screening the genomic
library. The resultant plasmid pUCAmT-spn was introduced into S. spinosa CCTCC
M206084 from Escherichia coli S17-1 by conjugal transfer. The subsequent single
crossover homologous recombination caused a duplication of the partial gene
cluster. Integration of this plasmid enhanced production of spinosyns with a
total of 388 (+/- 25.0) mg L(-1) for spinosyns A and D in the exconjugant S.
spinosa trans1 compared with 100 (+/- 7.7) mg L(-1) in the parental strain.
Quantitative real time polymerase chain reaction analysis of three selected genes
(spnH, spnI, and spnK) confirmed the positive effect of the overexpression of
these genes on the spinosyn production. This study provides a simple avenue for
enhancing spinosyn production. The strategies could also be used to improve the
yield of other secondary metabolites.
PMID- 22092859
TI - Rapid detection of vip1-type genes from Bacillus cereus and characterization of a
novel vip binary toxin gene.
AB - A PCR-restriction fragment length polymorphism (PCR-RFLP) method for identifying
vegetative insecticidal protein (vip) 1-type genes from Bacillus cereus was
developed by designing specific primers based on the conserved regions of the
genes to amplify vip1-type gene fragments. PCR products were digested with
endonuclease AciI, and four known vip1-type genes were identified. Vip1Ac and
vip1Aa-type genes appeared in 17 of 26 B. cereus strains. A novel vip1-type gene,
vip1Ac1, was identified from B. cereus strain HL12. The vip1Ac1 and vip2Ae3 genes
were co-expressed in Escherichia coli strain BL21 by vector pCOLADuet-1. The
binary toxin showed activity only against Aphis gossypii (Homoptera), but not for
Coleptera (Tenebrio molitor, Holotrichia oblita), Lepidoptera (Spodoptera exigua,
Helicoverpa armigera, and Chilo suppressalis), Diptera (Culex quinquefasciatus).
The LC(50) of this binary toxin for A. gossypii is 87.5 (34.2-145.3) ng mL(-1) .
This is probably only the second report that Vip1 and Vip2 binary toxin shows
toxicity against homopteran pests. The PCR-RFLP method developed could be very
useful for identifying novel Vip1-Vip2-type binary toxins, and the novel binary
toxins, Vip1Ac1 and Vip2Ae3, identified in this study may have applications in
biological control of insects, thus avoiding potential problems of resistance.
PMID- 22092860
TI - Phylogeographic relationships in the polypore fungus Pycnoporus inferred from
molecular data.
AB - The genus Pycnoporus forms a group of four species known especially for producing
high redox potential laccases suitable for white biotechnology. A sample of 36
Pycnoporus strains originating from different geographical areas was studied to
seek informative molecular markers for the typing of new strains in laboratory
culture conditions and to analyse the phylogeographic relationships in this
cosmopolitan group. ITS1-5.8S-ITS2 ribosomal DNA and partial regions of beta
tubulin and laccase lac3-1 gene were sequenced. Phylogenetic trees inferred from
these sequences clearly differentiated the group of Pycnoporus cinnabarinus
strains from the group of Pycnoporus puniceus strains into strongly supported
clades (100% bootstrap value). Molecular clustering based on lac 3-1 sequences
enabled the distribution of Pycnoporus sanguineus and Pycnoporus coccineus
through four distinct, well supported clades and sub-clades. A neotropical sub
clade, grouping the P. sanguineus strains from French Guiana and Venezuela,
corresponded to P. sanguineus sensu stricto. A paleotropical sub-clade,
clustering the strains from Madagascar, Vietnam and New Caledonia, was defined as
Pycnoporus cf. sanguineus. The Australian clade corresponded to P. coccineus
sensu stricto. The Eastern Asian region clade, clustering the strains from China
and Japan, formed a P. coccineus-like group. Laccase gene (lac 3-1) analysis
within the Pycnoporus species can highlight enzyme functional diversity
associated with biogeographical origin.
PMID- 22092861
TI - Effect of Bacillus subtilis BsuM restriction-modification on plasmid transfer by
polyethylene glycol-induced protoplast fusion.
AB - Polyethylene glycol (PEG)-induced cell fusion is a promising method to transfer
larger DNA from one cell to another than conventional genetic DNA transfer
systems. The laboratory strain Bacillus subtilis 168 contains a restriction (R)
and modification (M) system, BsuM, which recognizes the sequence 5'-CTCGAG-3'. To
study whether the BsuM system affects DNA transfer by the PEG-induced cell fusion
between R(+)M(+) and R(-)M(-) strains, we examined transfer of plasmids pHV33 and
pLS32neo carrying no and eight BsuM sites, respectively. It was shown that
although the transfer of pLS32neo but not pHV33 from the R(-)M(-) to R(+)M(+)
cells was severely restricted, significant levels of transfer of both plasmids
from the R(+)M(+) to R(-)M(-) cells were observed. The latter result shows that
the chromosomal DNA in the R(-)M(-) cell used as the recipient partially survived
restriction from the donor R(+)M(+) cell, indicating that the BsuM R(-)M(-)
strain is useful as a host for accepting DNA from cells carrying a restriction
system(s). Two such examples were manifested for plasmid transfer from Bacillus
circulans and Bacillus stearothermophilus strains to a BsuM-deficient mutant, B.
subtilis RM125.
PMID- 22092862
TI - Genetic analysis of the pnp-deaD genetic region reveals membrane lipoprotein NlpI
as an independent participant in cold acclimatization of Salmonella enterica
serovar Typhimurium.
AB - The cold acclimatization response in many bacterial species is a tightly
regulated process, which ensures the correct folding of macromolecules. In
enterobacteria, this response is in part dependent on polynucleotide
phosphorylase, which is encoded by the gene pnp. Based on transcriptional
analysis of the pnp locus of Salmonella enterica serovar Typhimurium, we show
that pnp and the adjacent membrane lipoprotein nlpI gene form an operon with both
genes contributing independently to the cold acclimatization response at 15
degrees C. Our findings thereby define a new role for NlpI in bacterial cold
acclimatization.
PMID- 22092863
TI - Direct and indirect control of Lrp on LEE pathogenicity genes of Citrobacter
rodentium.
AB - Citrobacter rodentium is a mouse pathogen that, because of its similarities with
human enteropathogenic (EPEC) and enterohemorrhagic (EHEC) strains of Escherichia
coli is widely used as a model system for in vivo and in vitro studies. Similarly
to EPEC and EHEC, C. rodentium carries the LEE (locus of enterocyte effacement)
pathogenicity island, encoding virulence factors essential for causing
transmissible colonic hyperplasia in mice by attaching and effacing (A/E)
lesions. Expression of the genes carried by the LEE pathogenicity island is
controlled by complex networks of transcriptional factors, including the global
regulators H-NS, IHF, and Fis. In this study, we analyzed the role of Lrp,
another global regulator of gene expression in enteric bacteria, on the
expression of LEE genes of C. rodentium. To this aim, a real-time PCR approach
was used and revealed a negative role of Lrp on the expression of all analyzed
LEE genes. Mobility-shift experiments indicated that Lrp action is direct on LEE1
and indirect on all other analyzed LEE genes.
PMID- 22092864
TI - Cloning and characterization of a novel cold-active endoglucanase establishing a
new subfamily of glycosyl hydrolase family 5 from a psychrophilic deep-sea
bacterium.
AB - The gene of a novel endo-beta-1,4-glucanase (named Cel5M) was isolated from the
psychrophilic deep-sea bacteria Pseudomonas sp. MM15. The deduced protein
sequence lacked the typical cellulase domain structures of the carbohydrate
binding module and the linker region. Cel5M showed relatively higher activity
toward carboxymethyl cellulose, but much lower activity toward p-nitrophenyl-beta
D-galactopyranoside and no activity toward avicel. Cel5M was identified as a cold
active cellulase with an optimal temperature of 30 degrees C and it was active
within a narrow pH range with an optimum at pH 4.5. Phylogenetic analysis showed
that Cel5M represented a new subfamily of the glycosyl hydrolase family 5,
representing an opportunity for research into and applications of novel cold
active cellulases.
PMID- 22092865
TI - Comparative genome analysis of Lactococcus garvieae using a suppression
subtractive hybridization library: discovery of novel DNA signatures.
AB - Lactococcus garvieae, the pathogenic species in the genus Lactococcus, is
recognized as an emerging pathogen in fish, animals, and humans. Despite the
widespread distribution and emerging clinical significance of L. garvieae, little
is known about the genomic content of this microorganism. Suppression subtractive
hybridization was performed to identify the genomic differences between L.
garvieae and Lactococcus lactis ssp. lactis, its closest phylogenetic neighbor,
and the type species of the genus Lactococcus. Twenty-seven clones were specific
to L. garvieae and were highly different from Lactococcus lactis in their
nucleotide and protein sequences. Lactococcus garvieae primer sets were
subsequently designed for two of these clones corresponding to a pyrH gene and a
novel DNA signature for application in the specific detection of L. garvieae. The
primer specificities were evaluated relative to three previously described 16S
rRNA gene-targeted methods using 32 Lactococcus and closely related strains. Both
newly designed primer sets were highly specific to L. garvieae and performed
better than did the existing primers. Our findings may be useful for developing
more stable and accurate tools for the discrimination of L. garvieae from other
closely related species.
PMID- 22092866
TI - Characterization of four lytic transducing bacteriophages of luminescent Vibrio
harveyi isolated from shrimp (Penaeus monodon) hatcheries.
AB - Four lytic bacteriophages designated as phiVh1, phiVh2, phiVh3, and phiVh4 were
isolated from commercial shrimp hatcheries, possessing broad spectrum of
infectivity against luminescent Vibrio harveyi isolates, considering their
potential as biocontrol agent of luminescent bacterial disease in shrimp
hatcheries, and were characterized by electron microscopy, genomic analysis,
restriction enzyme analysis (REA), and pulsed-field gel electrophoresis (PFGE).
Three phages phiVh1, phiVh2, and phiVh4 had an icosahedral head of 60-115 nm size
with a long, noncontractile tail of 130-329 * 1-17 nm, belonged to the family
Siphoviridae. phiVh3 had an icosahedral head (72 +/- 5 nm) with a short tail (27
* 12 nm) and belonged to Podoviridae. REA with DraI and PFGE of genomic DNA
digested with ScaI and XbaI and cluster analysis of their banding patterns
indicated that phiVh3 was distinct from the other three siphophages. PFGE-based
genome mean size of the four bacteriophages phiVh1, phiVh2, phiVh3, and phiVh4
was estimated to be about 85, 58, 64, and 107 kb, respectively. These phages had
the property of generalized transduction as demonstrated by transduction with
plasmid pHSG 396 with frequencies ranging from 4.1 * 10(-7) to 2 * 10(-9) per
plaque-forming unit, suggesting a potential ecological role in gene transfer
among aquatic vibrios.
PMID- 22092867
TI - Changes of lipid domains in Bacillus subtilis cells with disrupted cell wall
peptidoglycan.
AB - The cell wall is responsible for cell integrity and the maintenance of cell shape
in bacteria. The Gram-positive bacterial cell wall consists of a thick
peptidoglycan layer located on the outside of the cytoplasmic membrane. Bacterial
cell membranes, like eukaryotic cell membranes, are known to contain domains of
specific lipid and protein composition. Recently, using the membrane-binding
fluorescent dye FM4-64, helix-like lipid structures extending along the long axis
of the cell and consisting of negatively charged phospholipids were detected in
the rod-shaped bacterium Bacillus subtilis. It was also shown that the
cardiolipin-specific dye, nonyl acridine orange (NAO), is preferentially
distributed at the cell poles and in the septal regions in both Escherichia coli
and B. subtilis. These results suggest that phosphatidylglycerol is the principal
component of the observed spiral domains in B. subtilis. Here, using the
fluorescent dyes FM4-64 and NAO, we examined whether these lipid domains are
linked to the presence of cell wall peptidoglycan. We show that in protoplasted
cells, devoid of the peptidoglycan layer, helix-like lipid structures are not
preserved. Specific lipid domains are also missing in cells depleted of MurG, an
enzyme involved in peptidoglycan synthesis, indicating a link between lipid
domain formation and peptidoglycan synthesis.
PMID- 22092868
TI - Low-dose rhBMP2/7 heterodimer to reconstruct peri-implant bone defects: a micro
CT evaluation.
AB - OBJECTIVES: To delineate the dynamic micro-architectures of bone induced by low
dose bone morphogenetic protein (BMP)-2/7 heterodimer in peri-implant bone
defects compared to BMP2 and BMP7 homodimer. MATERIAL AND METHODS: Peri-implant
bone defects (8 mm in diameter, 4 mm in depth) were created surrounding SLA
treated titanium implants (3.1 mm in diameter, 10 mm in length) in minipig's
calvaria. We administrated collagen sponges with adsorbed low-dose (30 ng/mm(3) )
BMP2/7 to treat the defects using BMP2, BMP7 or no BMP as controls.2, 3 and 6
weeks after implantation, we adopted micro-computer tomography to evaluate the
micro-architectures of new bone using the following parameters: relative bone
volume (BV/TV), trabecular number (Tb.N), trabecular thickness (Tb.Th),
trabecular separation (Tb.Sp), connectivity density, and structure mode index
(SMI). Bone implant contact (BIC) was also revealed histologically. RESULTS:
Consistent with 2 and 3 weeks, after 6 weeks post-operation, BMP2/7 resulted in
significantly higher BV/TV (63.033 +/- 2.055%) and significantly lower SMI (
4.405 +/- 0.500) than BMP2 (BV/TV: 43.133 +/- 2.001%; SMI: -0.086 +/- 0.041) and
BMP7 (BV/TV: 41.467 +/- 1.850%; SMI: -0.044 +/- 0.016) respectively. Significant
differences were also found in Tb.N, Tb.Th and Tb.Sp at all time points. At 2
weeks, BMP2/7 resulted in significantly higher BIC than the controls.
CONCLUSIONS: Low-dose BMP2/7 heterodimer facilitated more rapid bone regeneration
in better quality in peri-implant bone defects than BMP2 and BMP7 homodimers.
PMID- 22092869
TI - Reference intervals for hematologic and coagulation tests in adult alpacas
(Vicugna pacos).
AB - BACKGROUND: Species-specific reference intervals are needed for interpretation of
laboratory tests. Reference interval studies of alpacas have been limited by low
numbers and use of outdated methods. OBJECTIVES: The aims of this study were to
establish reference intervals for hematologic and coagulation tests in alpacas
using a laser-based hematology analyzer and a mechanical clot detection
coagulation analyzer, respectively; to compare results for automated and manual
differential WBC and platelet counts and fibrinogen concentrations; and to
examine the effect of herd and sex on hematologic tests in a population of
alpacas. METHODS: Blood collected from clinically healthy female and male adult
alpacas (Vicugna pacos) from 5 herds underwent full CBC analysis using an ADVIA
2120 (n = 65). Blood smears were examined for manual differential WBC counts,
platelet estimates, and morphologic examination of blood cells. PCV and plasma
protein and heat-precipitable fibrinogen concentration measured by refractometry
were also determined. Partial thromboplastin time, prothrombin time, and
clottable fibrinogen concentration were measured using a STA Compact analyzer (n
= 13). Reference intervals were established using 2.5th and 97.5th percentiles
for hematologic analytes and minimum and maximum values for coagulation tests.
Automated and manual differential WBC counts, platelet counts, and fibrinogen
concentrations were compared. Results were also evaluated for herd- and sex
associated effects. RESULTS: Hematologic reference intervals for alpacas were
similar to those reported previously, except for lower RBC-related results, which
showed a herd bias. Correlations between automated and manual neutrophil,
lymphocyte, eosinophil, and platelet counts were moderate to good, with weak to
poor correlations for monocyte and basophil counts and fibrinogen concentrations.
Owing to the low number of samples analyzed, reference intervals for coagulation
tests should be considered estimated intervals. CONCLUSIONS: Reference intervals
will be useful guides for interpreting hematologic and coagulation results in
alpacas, particularly when using the same instrumentation and reagents.
PMID- 22092870
TI - Assessment of human exposure to indoor organic contaminants via dust ingestion in
Pakistan.
AB - Ingestion of indoor dust has been acknowledged as an important route of
exposure to organic contaminants (OCs). We investigated the presence of
polybrominated diphenyl ethers (PBDEs), novel brominated flame retardants
(NBFRs), organophosphate flame retardants (OPFRs), polychlorinated biphenyls
(PCBs), and organochlorine pesticides (OCPs) in indoor floor dust from rural
homes (N=31) and mosques (N=12) in Gujrat, Pakistan. Low concentrations were
observed for most contaminants. OPFRs were the principle contaminants, with tri
(2-butoxyethyl)-phosphate (TBEP) and tri-phenyl-phosphate (TPP) having medians of
66 and 109 ng/g, respectively. PBDEs were only minor constituents in the
investigated samples, with BDE 209 (median 40 ng/g) being the most important
congener. Levels and profile of ?PBDEs, ?NBFRs, ?HCHs, ?DDTs, and ?PCBs revealed
no difference (P<0.05) between samples of dust from homes and mosques, indicating
similar emission sources. Exposure scenarios using 5th percentile, median, mean,
and 95th percentile levels were estimated for both adult and toddlers. Typical
high-end, using median levels and high dust ingestion, exposure for adults were
0.02, 0.02, 0.03, <0.01, and 0.65 ng/kg bw/day and for toddlers 0.39, 0.45, 0.69,
0.01, and 15.2 ng/kg bw/day for ?PBDEs, ?NBFRs, ?OCPs, ?PCBs, and ?OPFRs,
respectively. To the authors' knowledge, this is the first study to document the
presence of indoor OCs in Pakistani dust. PRACTICAL IMPLICATIONS: This is the
first report on the analysis of various contaminants in indoor dust from
Pakistan. Some of these chemicals are currently being used in different consumer
products. The study will help to further an understanding of the levels of
different organic contaminants (OCs) in Pakistani indoor environments and will
enlighten the generally ignored area of environmental pollution in Pakistan.
Furthermore, studies based on animal models have shown that some of the analyzed
chemicals can cause different types of chronic toxicities. However, our results
showed that the levels of estimated exposure via dust ingestion for all chemicals
were several orders of magnitude lower than their reference dose (RfD) values or
than those reported in studies from Belgium, China, Singapore, and the UK (Ali et
al., 2011a; Harrad et al., 2008; Tan et al., 2007a,b; Van den Eede et al., 2011a;
Wang et al., 2010).
PMID- 22092871
TI - Production of viruses during a spring phytoplankton bloom in the South Pacific
Ocean near of New Zealand.
AB - Lagrangian studies of virus activity in pelagic environments over extended
temporal scales are rare. To address this, viruses and bacteria were examined
during the course of a natural phytoplankton bloom in the pelagic South Pacific
Ocean east of New Zealand. Daily samples were collected in a mesoscale eddy from
year days 263-278 (September 19th-October 4th, 2008). The productive bloom
transitioned from a diatom to a pico- and nanoplankton-dominated system,
resulting in chlorophyll a concentrations up to 2.43 MUg L(-1) . Virus abundances
fluctuated c. 10-fold (1.8 * 10(10) -1.3 * 10(11) L(-1) ) over 16 days. The
production rates of virus particles were high compared with those reported in
other marine systems, ranging from 1.4 * 10(10) to 2.1 * 10(11) L(-1) day(-1)
. Our observations suggest viruses contributed significantly to the mortality of
bacteria throughout the bloom, with 19-216% of the bacterial standing stock being
lysed daily. This mortality released nutrient elements (N, Fe) that likely helped
sustain the bloom through the sampling period. Parametric analyses found
significant correlations with both biotic (e.g. potential host abundances) and
abiotic parameters (e.g. nutrient concentrations, temperature). These
observations demonstrate that viruses may be critical in the extended maintenance
of regeneration-driven biological production.
PMID- 22092872
TI - Interleukin-4 and interleukin-13 prime migrational responses of haemopoietic
progenitor cells to stromal cell-derived factor-1alpha.
AB - BACKGROUND: Lung-homing of progenitor cells is associated with inflammatory and
remodelling changes in asthma. Factors that modulate the increased traffic of
progenitor cells to the site of inflammation in asthma remain to be defined.
Interleukin (IL)-4 and IL-13 are Th2 cytokines that are key regulators of asthma
pathology. OBJECTIVE: We investigated the role of IL-4 and IL-13 in modulating
the trans-migrational responses of haemopoietic progenitor cells (HPC). METHODS:
HPC were enriched from cord blood (CB) and peripheral blood (PB) samples.
Migration of HPC was assessed using transwell migration assays, and responding
cells were enumerated by flow cytometry. RESULTS: IL-4 and IL-13 primed migration
of CB- and PB-derived HPC (CD34(+) 45(+) cells) to stromal cell-derived factor
1alpha (SDF-1alpha), in vitro. However, these cytokines had no effect on
migrational responses of eosinophil-lineage committed progenitors (CD34(+) 45(+)
IL-5Ralpha(+) cells) or mature eosinophils to SDF-1alpha. For HPC, priming
effects of IL-4 (0.1 ng/mL) and IL-13 (0.1 ng/mL) were detectable within 1 h and
optimal at 18-h post-incubation, and IL-4 was the more effective priming agent.
Pre-incubation with IL-4 or IL-13 had no effect on the intensity of cell surface
expression of SDF-1alpha receptor, CXCR4. Disruption of cell membrane cholesterol
content by pre-incubation with polyene antibiotics inhibited IL-4 priming of SDF
1alpha stimulated migration of HPC indicating that increased incorporation of
CXCR4 into membrane lipid rafts mediated the cytokine primed migrational response
of HPC. This was confirmed by confocal fluorescent microscopy. CONCLUSIONS AND
CLINICAL RELEVANCE: IL-4 and IL-13 prime the migrational response of HPC to SDF
1alpha by enhancing the incorporation of CXCR4 into lipid rafts. The priming
effect of these cytokines is specific to primitive HPC. These data suggest that
increased local production of IL-4 and IL-13 within the lungs may promote
increased SDF-1alpha mediated homing of HPC to the airways in asthma.
PMID- 22092873
TI - Variation in arterial supply to the floor of the mouth and assessment of relative
hemorrhage risk in implant surgery.
AB - OBJECTIVES: Bleeding in the floor of the mouth during implant surgery is
attributed to arterial injuries in the sublingual space: clinicians may injure
the submental and sublingual arteries, which originate from the facial and
lingual arteries, respectively. This study aimed to clarify the three-dimensional
courses of submental and sublingual arteries and their topographic relation to
the mandible. MATERIALS AND METHODS: During the gross anatomy course at the
Faculty of Dentistry and Graduate School, Niigata University (2009-2011), we
investigated the relationship between the courses of submental and sublingual
arteries and their dividing patterns of the mylohyoid muscle, sublingual gland,
and mandible using 27 human cadavers. RESULTS: The courses of submental and
sublingual arteries were divided into four patterns: (1) the sublingual space was
supplied by the sublingual artery (type I: 63%), (2) it was supplied by both the
sublingual and submental arteries (type II: 5.6%), (3) it was supplied by the
submental artery without the sublingual artery (type III: 29.6%), and (4) type
III without the deep lingual artery originated from the lingual artery (type IV:
1.8%). In type II, III, and IV, the submental artery perforates the mylohyoid
muscle or takes a roundabout route to travel near the surface of the mandible.
The percentage occurrence of arteries traveling between the sublingual gland and
mandible in type II, III, and IV (55%) is higher than that in type I (8.8%).
CONCLUSION: Susceptibility of the submental artery in type II, III, and IV to
injury during implant surgery is suggested.
PMID- 22092874
TI - Octreotide as therapeutic option for congenital idiopathic chylothorax: a case
series.
AB - BACKGROUND: Octreotide, a somatostatin analogue, is used for the management of
patients with refractory chylothorax although its safety and efficacy in neonates
have not been evaluated in controlled clinical trials. We present one of the
largest case series about the use of octreotide in congenital idiopathic
chylothorax. METHODS: Six cases of congenital chylothorax (CC) were prospectively
collected, who were managed with same unit protocol for octreotide. Mean (SD)
gestation was 34.5 (+/-2.2) weeks, and birthweight was 3410 (+/-840.4) g. All
infants required chest drains from day 1 of life, and the mean (SD) duration of
insertion was 36.1 (+/-8.5) days. Octreotide was commenced at a median age of
13.5 days (range 8-22), given for a median duration of 20 days (range 12-27). The
starting dose was 0.5-1 MUg/kg/h with an increment of 1-2 MUg/kg/day to a maximum
of 10 MUg/kg/day. Resolution of chylothorax was achieved in five patients, being
resistant to treatment in the sixth patient. None had adverse effects from
octreotide. Full enteral feeds were reached at a mean age of 44 days. CONCLUSION:
Early commencement of octreotide is recommended although further reports to
evaluate the safety and efficacy would add to the profile of this medication in
the treatment of CC.
PMID- 22092875
TI - Effect of thread size on the implant neck area: preliminary results at 1 year of
function.
AB - OBJECTIVES: To evaluate and compare the effect of the coronal thread size on the
marginal bone loss around the fixtures, when both implants were provided with
threads to the top of fixture. MATERIALS AND METHODS: Two groups of implants, one
with a macro-thread to the top of the fixture (A) and the other with a micro
thread to the top of the fixture (B), were placed adjacent to each other in the
partially edentulous areas of 20 patients. Bone loss around each implant was
analyzed after 1 year of functional loading. The bone losses after loading were
compared using Wilcoxon's signed-rank test. RESULTS: The mean marginal bone
losses (A, 0.154 +/- 0.144 mm; B, 0.125 +/- 0.136 mm) were not statistically
significant between the two groups (P = 0.669). CONCLUSIONS: There was no
significant difference between implant with macro- and micro-neck thread in terms
of marginal bone loss after 1 year of loading.
PMID- 22092876
TI - Engineering of a periodontal ligament construct: cell and fibre alignment induced
by shear stress.
AB - AIM: We report an in vitro technique to establish alignment of collagen fibres
and cells within a three-dimensional tissue equivalent that mimics the natural
periodontal ligament (PDL) using a novel custom-designed bioreactor. MATERIAL AND
METHODS: Shear stress was applied to the tissue equivalent prepared with collagen
solution and seeded with human PDL cells. Stress-strain dynamics and the
alignment of collagen fibres and PDL cells in tissue equivalents were analysed.
RESULTS: Shear stress aligned collagen fibres and PDL cells in a direction
parallel to the principle strain vector. PDL cells and Collagen fibres aligned in
strained tissue equivalents with higher uniformity than in unstrained tissue
equivalents. CONCLUSIONS: The cell and fibre alignment of the engineered PDL was
precisely guided by mechanical shear stress along the direction of principal
strain vector using a custom-designed bioreactor, suggesting that the enhanced
functional property of engineered PDL constructs could be achieved with this
technique.
PMID- 22092877
TI - My eyes, your eyes--the relationship between CMS five-star rating of nursing
homes and family rating of experience of care in Maryland.
AB - In 2008, the Centers for Medicaid and Medicare Services (CMS) launched the Five
Star Quality Rating System to help consumers compare nursing homes. The quality
rating system consists of three domains: nursing home inspection results,
staffing, and quality measures (QMs) and an overall rating calculated from the
three domains. The Five-Star System has both advocates and detractors. One source
of criticism about the rating system is its lack of input from consumer surveys.
Although different dimensions of quality have been recognized as important by the
experts and studied in the literature, how these dimensions are linked with each
other is largely unknown. This article describes an analysis of the relationship
between overall experience of care ratings from a family survey and ratings
obtained on the CMS Five-Star Quality Rating for Maryland nursing homes. The
results indicated a strong positive correlation between family experience of care
score and two five-star domains, namely health inspections and nurse staffing,
and no relationship with the quality domain. The lack of relationship between the
quality domain and the family score may be due to inadequate risk adjustment or
that each rating system measures different aspects of quality.
PMID- 22092878
TI - Autologous fibroblast culture in the repair of aging skin.
AB - BACKGROUND: Human cell cultures are being developed to replace various body
tissues. OBJECTIVE: To assess the safety and efficacy of dermal regeneration with
the injection of young autologous fibroblasts obtained from culture containing
serum from patients themselves. MATERIALS AND METHODS: Dermal tissue from the
groin of five patients was cultivated in M199 medium supplemented with 10% human
serum. Four population doublings were obtained. The fibroblasts were injected
intradermally into forehead wrinkles and periorbital and paranasal areas. RESULT:
At the fourth population doubling, a mean of 3.85 * 10(6) cells/mL was obtained;
viability was 98%. Sixty days after completing treatment, with four injections
given at 15-day intervals, periorbital tonicity had improved significantly,
although the quantity of fibroblasts used resulted in little improvement to
surface lines and no improvement at all in deeper wrinkles. After 6 months, no
further changes were found beyond the initial results obtained. CONCLUSION:
Injection of skin fibroblasts cultivated in medium supplemented with human serum
is a viable technique and provokes no side effects. Four injections given at 15
day intervals containing a total of 6.4 * 10(6) fibroblasts/mL resulted in
significant improvement in periorbital skin flaccidity. Further studies should be
conducted with larger sample sizes.
PMID- 22092880
TI - Cancer survivors' experiences of discharge from hospital follow-up.
AB - Discharge from hospital follow-up is a key time point in the cancer journey. With
recommendations for earlier discharge of cancer survivors, attention to the
discharge process is likely to become increasingly important. This study explored
cancer survivors' experiences of discharge from hospital follow-up. Survivors of
breast, colorectal and prostate cancer (n= 1275), 5-16 years post diagnosis were
approached to take part in a questionnaire survey. The questionnaire included
questions about discharge status, provision of time/information prior to
discharge, feelings at discharge and satisfaction with how discharge was managed.
Completed questionnaires were returned by 659 survivors (51.7%). Approximately
one-third of respondents were not discharged from follow-up 5-16 years post
diagnosis. Of those discharged, a substantial minority reported insufficient time
(27.9%), information (24.5-45.0%) or adverse emotions (30.9%) at the time of
discharge. However, 90.6% of respondents reported satisfaction with how discharge
from hospital follow-up was managed. Despite high levels of satisfaction,
discharge of cancer survivors from hospital follow-up could be improved with the
provision of additional time, information and support. Better structuring of the
final hospital appointment or a review appointment in primary care at this time
could help to ensure that discharge from hospital follow-up is managed optimally
for cancer survivors.
PMID- 22092879
TI - Phylogenetic relationship of Lotus uliginosus symbionts with bradyrhizobia
nodulating genistoid legumes.
AB - Lotus species are legumes with potential for pastures in soils with low-fertility
and environmental constraints. The aim of this work was to characterize bacteria
that establish efficient nitrogen-fixing symbiosis with the forage species Lotus
uliginosus. A total of 39 isolates were obtained from nodules of L. uliginosus
naturally growing in two different locations of Portugal. Molecular
identification of the isolates plus the commercial inoculant strain NZP2039 was
performed by REP-PCR, 16S rRNA RFLP, and 16S rRNA, glnII and recA sequence
analyses. Limited genetic diversity was found among the L. uliginosus symbionts,
which showed a close phylogenetic relationship with the species Bradyrhizobium
japonicum. The symbiotic nifH, nodA and nodC gene sequences were closely related
with the corresponding genes of various Bradyrhizobium strains isolated from
Lupinus and other genistoid legumes and therefore were phylogenetically separated
from other Lotus spp. rhizobia. The L. uliginosus bradyrhizobia were able to
nodulate and fix nitrogen in association with L. uliginosus, could nodulate Lotus
corniculatus with generally poor nitrogen-fixing efficiency, formed nonfixing
nodules in Lotus tenuis and Lupinus luteus roots and were unable to nodulate
Glycine soja or Glycine max. Thus, L. uliginosus rhizobia seem closely related to
B. japonicum biovar genistearum strains.
PMID- 22092881
TI - Dynamic analysis for mental sweating of a group of eccrine sweat glands on a
human fingertip by optical coherence tomography.
AB - BACKGROUND: An important function of skin physiology is mental sweating, where
sweating is accelerated via the sympathetic nerve by mental or physical stress
externally applied to a volunteer. AIM: Activity of the sympathetic nerve (ASN)
is evaluated by quantitative measurement of mental sweating. MATERIAL AND
METHODS: Optical coherence tomography (OCT) is highly potential for in vivo
observation of human sweating dynamics which affects ASN. We demonstrate dynamic
OCT analysis of mental sweating of a group of eccrine sweat glands. The sweating
dynamics is tracked simultaneously for 19 sweat glands by time-sequential piled
up en-face OCT images with the frame spacing of 3.3 s. The en-face OCT images of
the spiral lumen of the eccrine sweat gland are constructed by data acquisition
of the 128 B-mode OCT images. RESULTS: It is thus found that the response to
mental stress is different for each sweat gland even though the sweat glands are
adjacent to each other. Such strong non-uniformity is observed in mental sweating
where the amount of excess sweat is different for each sweat gland although the
sweat glands are adjacent to each other. DISCUSSION: The non-uniformity should be
necessary to adjust as precisely the total amount of excess sweat as possible
through the sympathetic nerve in response to strength of the stress.
PMID- 22092882
TI - Cardiac electromechanical delay is increased during recovery from 40 km cycling
but is not mediated by exercise intensity.
AB - Cardiac electrical-mechanical delay (cEMD), left ventricular (LV) function, and
cardiac troponin I (cTnI) were assessed after 40 km cycle time trials completed
at high (HIGH) and moderate (MOD) intensities in 12 cyclists. Echocardiograms and
blood samples were collected before, 10, and 60 min after cycling. cEMD as
assessed by time from QRS onset to peak systolic (S') tissue velocity was
lengthened after both bouts of cycling but was not mediated by cycling intensity
(HIGH: 174 +/- 52 vs 198 +/- 26 ms; MOD: 151 +/- 40 vs 178 +/- 52 ms, P < 0.05).
Global LV systolic function was unaltered by exercise. cEMD from QRS to peak
early (E') diastolic tissue velocity was also increased post-exercise (HIGH: 524
+/- 95 vs 664 +/- 68 ms; MOD: 495 +/- 62 vs 604 +/- 91 ms, P < 0.05). Indices of
LV diastolic function was reduced after cycling but were not mediated by exercise
intensity. cTnI was elevated in two participants after HIGH trial (0.06 ug/L;
0.04 ug/L) and one participant after MOD trial (0.02 ug/L). While cEMD is
lengthened and LV diastolic function was reduced post-cycling, altering time
trial intensity had little impact upon cEMD, LV function, and cTnI release.
PMID- 22092883
TI - Obesity is associated with increased asthma severity and exacerbations, and
increased serum immunoglobulin E in inner-city adults.
AB - BACKGROUND: Obesity is associated with increased asthma and atopy. OBJECTIVE: To
determine whether or not obesity in inner-city adults is associated with
increased asthma prevalence, severity and exacerbations and IgE responses.
METHODS: This retrospective study involved 246 adults with asthma and other
atopic disorders who were seen at an asthma clinic in New York City between 1997
and 2010. Height, weight, asthma diagnosis and symptoms, peak flow (PF),
spirometry, serum IgE levels and white blood cell differentials were recorded.
RESULTS: Asthmatic patients had higher body mass index than non-asthmatics
(median, interquartile range: 30.5, 10.2 vs. 27.8, 8.8; Mann-Whitney U-test, P =
0.0006). Class I and II/III obesity were associated with increased asthma (I: OR:
2.35, 95% CI: 1.04-5.34, P = 0.04; II/III: OR: 3.25, 95% CI: 1.36-7.74, P =
0.008). Class I and II/III obesity were associated with worsened asthma severity
(ordinal logistic regression; I: OR: 4.23, 95% CI: 1.61-11.06, P = 0.003; II/III:
OR: 2.76, 95% CI: 1.08-7.09, P = 0.03). Class II/III obesity was associated with
increased asthma exacerbations requiring oral corticosteroids (repeated measures
logistic regression, OR: 1.13, 95% CI: 1.03-1.25; P = 0.01) and increased
requirement of inhaled corticosteroid for long-term asthma management (OR: 1.45,
95% CI: 1.29-1.62; P < 0.0001). In asthmatics, class II/III obesity was
associated with decreased PF (general linear model, least squares mean +/- SEM:
333.8 +/- 37.4 vs. 396.2 +/- 32.1 L/min; P = 0.007), forced expiratory volume in
1 s (75.2 +/- 4.6 vs. 88.4 +/- 5.6%; P = 0.03) and forced vital capacity (83.2 +/
4.7 vs. 109.2 +/- 6.0%; P = 0.0002) and increased serum IgE (480.2 +/- 88.3 vs.
269.0 +/- 66.6 IU/mL; P = 0.04) and neutrophils (66.6 +/- 3.7 vs. 60.1 +/- 3.8%;
P = 0.02). Class I obesity was also associated with increased serum IgE (458.7 +/
68.9, P = 0.03). CONCLUSION AND CLINICAL RELEVANCE: Obesity in inner-city adults
may be both a risk and exacerbating factor for atopic asthma.
PMID- 22092884
TI - The trigeminocardiac reflex and dermatologic surgery.
PMID- 22092885
TI - Atlas-based versus individual-based fiber tracking of the corpus callosum in
patients with multiple sclerosis: reliability and clinical correlations.
AB - BACKGROUND AND PURPOSE: In multiple sclerosis (MS), the presence of lesions and
normal-appearing white matter damage may affect the reliability of diffusion
tensor (DT) magnetic resonance imaging (MRI)-based tractography. We compared the
performance of an individual-based method for corpus callosum (CC) fiber tracking
in MS with those of two atlas-based methods. METHODS: Brain DT MRI scans were
acquired from 35 patients with MS and 18 age-matched healthy volunteers (HV). DT
derived metrics from the CC-the mean diffusivity (MD) and fractional anisotropy
(FA)-were calculated using an individual-based and two atlas-based methods with
different types of subject registration (linear and nonlinear) to a CC atlas.
Customized termination criteria were applied to stop the tracking algorithm when
using the individual-based method. RESULTS: All the methods were able to
distinguish between MS patients and HV. Using the individual-based method,
stronger relationships were found between CC DT-derived metrics and the subjects'
clinical condition. CONCLUSION: CC DT tractography using an individual-based
method is more sensitive than the atlas-based ones to tract-specific alterations
related to MS disability. An atlas-based method with nonlinear registration can
be a valid alternative when an automated postprocessing is warranted, such as in
the case of high volumes of data.
PMID- 22092886
TI - High prevalence of shoulder pain among elite Norwegian female handball players.
AB - Clinical experience indicates that a substantial number of handball players may
suffer from shoulder pain, but they continue to play despite having shoulder pain
problems. The aim of this study was to evaluate the prevalence and consequences
of shoulder pain problems among Norwegian female elite handball players. In the
preseason of the 2007-2008 season, 179 players from all 12 teams of the Norwegian
elite league went through the following tests: internal and external shoulder
range of motion, apprehension, relocation test, and shooting velocity. All
players completed the Fahlstrom questionnaire and, for players with current pain,
the Western Ontario shoulder instability index questionnaire. Sixty-five (36%)
players reported shoulder pain on the test day, and 40 (22%) players reported
previous shoulder pain. Two thirds of the players with pain reported a gradual
onset. For players with current or previous pain, 22 (36%) and 14 (36%) had
missed match play, and 43 (68%) and 28 (76%) reported changing their training
habits. A positive apprehension and relocation test was found among 51 (29%) of
the players. In conclusion, a high proportion of female elite handball players
experience shoulder pain and problems and have an unstable shoulder.
PMID- 22092887
TI - Are marginal bone levels and implant stability/mobility affected by single-stage
platform switched dental implants? A comparative clinical study.
AB - OBJECTIVES: The aim of this study was to evaluate short-term bone level and
stability/mobility measurement alterations at platform switched (PS) and standard
platform (SP) implants placed in mandibular premolar/molar regions using a single
stage protocol. MATERIALS AND METHODS: Sixteen PS and 16 SP implants restorated
with fixed prosthesis were included. Standard implant dimensions were used for
both implant systems. After 3 months of osseointegration, implants were connected
to abutments and final restorations were performed. Marginal bone loss was
measured by standardized periapical radiographs. Implant stability/mobility was
determined by resonance frequency analysis (RFA) and mobility measuring (MM)
device values. Peri-implant parameters were evaluated with clinical periodontal
indices and all parameters were assessed at baseline, 1, 3, and 6 months after
the surgery. RESULTS: After 6 months, all implants showed uneventful healing.
Radiographic evaluation showed a mean bone loss of 0.72 mm for PS and 0.56 mm for
SP implants, and there were no significant differences between implant types. At
6 months, mean implant stability quotient (ISQ) values were 73.38 and 77 for PS
and SP implants, respectively. Mean MM values were -4.75 for PS and -6.38 for SP
implants. Mean MM values were lower for SP implants compared to PS implants at
all time points. No significant differences were detected between implant types
according to clinical peri-implant parameters. CONCLUSIONS: The micro-gap at
crestal level which immediately exposed to the oral cavity in non-submerged two
part implants seems to have adverse influence on the marginal bone level.
PMID- 22092888
TI - Signal integration by the Cpx-envelope stress system.
AB - The Cpx-envelope stress system coordinates the expression and assembly of surface
structures important for the virulence of Gram-negative pathogenic bacteria. It
is comprised of the membrane-anchored sensor kinase CpxA, the cytosolic response
regulator CpxR and the accessory protein CpxP. Characteristic of the group of two
component systems, the Cpx system responds to a broad range of stimuli including
pH, salt, metals, lipids and misfolded proteins that cause perturbation in the
envelope. Moreover, the Cpx system has been linked to inter-kingdom signalling
and bacterial cell death. However, although signal specificity has been assumed,
for most signals the mechanism of signal integration is not understood. Recent
structural and functional studies provide the first insights into how CpxP
inhibits CpxA and serves as sensor for misfolded pilus subunits, pH and salt.
Here, we summarize and reflect on the current knowledge on signal integration by
the Cpx-envelope stress system.
PMID- 22092889
TI - Anatomic variations and lesions of the maxillary sinus detected in cone beam
computed tomography for dental implants.
AB - OBJECTIVE: To evaluate the presence of anatomic variations and lesions of the
maxillary sinus in cone beam computed tomography (CBCT) of the maxilla required
for dental implant planning. MATERIAL AND METHODS: This transversal prevalence
study evaluated a sample of 500 consecutive CBCT exams. The inclusion criteria
were CBCT exams of the maxilla required for dental implant planning. The CBCT
exams were independently evaluated by two oral and maxillofacial radiologists who
assessed the presence of anatomic variations and lesions of the maxillary sinus.
As most of the CBCT exams did not allow the evaluation of the area close to the
maxillary sinus roof, anatomic variations that take place at this site were not
assessed. RESULTS: The anatomic variations detected were pneumatization (83.2%),
antral septa (44.4%), hypoplasia (4.8%), and exostosis (2.6%). The identified
lesions were mucosal thickening (<=3 mm in 54.8% and >3 mm in 62.6%), polypoid
lesions (21.4%), discontinuity of the sinus floor (17.4%), air-fluid level
(4.4%), bone thickening of the maxillary sinus wall (3.8%), antroliths (3.2%),
discontinuity of the sinus lateral wall (2.6%), sinus opacification (1.8%), and
foreign body (1.6%). CONCLUSION: Anatomic variations and lesions of the maxillary
sinus were common findings in CBCT exams of the maxilla required for dental
implant planning. As some of these conditions can modify dental implant planning
and must require specialized treatment, its recognition is noteworthy in dental
practice, and especially in implantology. The amount and significance of the
anatomic variations and lesions detected in this study reinforces the importance
of computed tomography in preoperative dental implant planning.
PMID- 22092890
TI - Evaluation of the catalase promoter for expressing the alkaline xylanase gene
(alx) in Aspergillus niger.
AB - Aspergillus niger represents a promising host for the expression of recombinant
proteins, but only a few expression systems are available for this organism. In
this study, the inducible catalase promoter (PcatR) from A. niger was
characterized. For this, constructs were developed and checked for the expression
of the alkaline xylanase gene transcriptionally fused under the cat R promoter.
Two versions of the catalase (catR) promoter sequence from A. niger (P(cat300,)
P(cat924)) were isolated and tested for their ability to drive expression of the
alkaline xylanase (alx) gene. P(cat924) showed better efficiency (more than 10
fold increase in AlX activity compared to P(cat300)) under the optimized culture
conditions. Induction of the catR promoter with 0.20% H(2)O(2) and 1.5% CaCO(3)
in the culture medium, further increased expression of AlX 2.61- and 2.20-fold,
respectively, clarifying its inducible nature. Specific induction or repression
of the catR promoter provides the possibility for utilization of this promoter in
heterologous protein production.
PMID- 22092891
TI - Do we need to reconsider the desirable blood level of vitamin B12?
PMID- 22092892
TI - The low rate of bacterial meningitis in children, ages 6 to 18 months, with
simple febrile seizures.
AB - OBJECTIVES: This evidence-based review examines the risk of bacterial meningitis
as diagnosed by lumbar puncture (LP) in children presenting to the emergency
department (ED) with a simple febrile seizure. The study population consists of
fully immunized children between ages 6 and 18 months of age with an unremarkable
history and normal physical examination. METHODS: MEDLINE, EMBASE, and Cochrane
Library databases were searched for studies that enrolled children who presented
with simple febrile seizure to the ED and had LP performed to rule out
meningitis. The primary outcome measure was the risk of bacterial meningitis
based on findings of the LP. The secondary outcome was the rate of cerebrospinal
fluid (CSF) pleocytosis in children who were pretreated with antibiotics.
RESULTS: Two studies enrolling a total of 150 children met the inclusion and
exclusion criteria. The overall rate of meningitis was 0% (95% confidence
interval [CI] = 0.0% to 3.0%). The rate of CSF pleocytosis in children who were
pretreated with antibiotics was 2.5% (95% CI = 0.0% to 14.0%). CONCLUSIONS: The
sample size of the studies included in this review is too small to draw any
definitive conclusion. However, their findings suggest that that the risk of
bacterial meningitis in children presenting with simple febrile seizure is very
low.
PMID- 22092893
TI - Validation and refinement of the difficult intravenous access score: a clinical
prediction rule for identifying children with difficult intravenous access.
AB - OBJECTIVES: The difficult intravenous access (DIVA) score, a proportionally
weighted four-variable (vein palpability, vein visibility, patient age, and
history of prematurity) clinical rule, has been developed to predict failure of
intravenous (IV) placement in children. This study sought to externally validate
and refine the DIVA score. METHODS: Patients undergoing peripheral IV placement
by pediatric emergency department (ED) nurses were enrolled. The outcome of
interest was defined as failure of cannulation on first attempt. Proposed
refinement predictor variables include history of newborn intensive care unit
(NICU) stay, operator experience characteristics (years since graduation, years
of pediatric nursing experience, and IVs started per month), and skin shade.
Adjusted multivariate models were constructed using logistic regression. Receiver
operating characteristic (ROC) curves were constructed and areas under the curve
(AUC) calculated for each model. RESULTS: A total of 366 subjects were enrolled
(mean age = 5.4 years, SD +/- 5.6 years) and of them, 118 (32.2%) subjects failed
the first IV attempt. The original four-variable model tested in this data set
resulted in an AUC of 0.72 (95% confidence interval [CI] = 0.67 to 0.78).
Patients with a DIVA score of 4 or greater had more than 50% likelihood of failed
first IV attempt. A three-variable rule (vein palpability, vein visibility, and
patient age) was evaluated and found to possess similar discriminating ability
(AUC = 0.72, 95% CI = 0.67 to 0.78). CONCLUSIONS: This study validated the
previously derived four-variable DIVA score. A simpler three-variable rule was as
predictive of failed IV placement on first attempt as the four-variable rule.
Validation in nonpediatric EDs is needed to thoroughly evaluate generalizability.
PMID- 22092894
TI - L-carnitine increases survival in a murine model of severe verapamil toxicity.
AB - OBJECTIVES: L-carnitine is an essential compound involved in cellular energy
production through free fatty acid metabolism. It has been theorized that severe
verapamil toxicity "shifts" heart energy production away from free fatty acids
and toward other sources, contributing to profound cardiogenic shock. The primary
study objective was to determine whether intravenous (IV) L-carnitine affects
survival in severe verapamil toxicity. Secondary objectives were to determine the
effects on hemodynamic parameters. The authors hypothesized that IV L-carnitine
would increase both survival and hemodynamic parameters in severe verapamil
toxicity. METHODS: This was a controlled, blinded animal investigation. Sixteen
male rats were anesthetized, ventilated, and instrumented to record mean arterial
pressure (MAP) and heart rate. Verapamil toxicity was achieved by a constant
infusion of 5 mg/kg/hr. After 5 minutes a bolus of 50 mg/kg of either L-carnitine
or normal saline was given. The experiment concluded when either 10% of baseline
MAP was achieved or 150 minutes had elapsed. The data were analyzed using Kaplan
Meier analysis, log rank test, and analysis of variance. RESULTS: The median
survival for the animals in the L-carnitine group was 140.75 minutes
(interquartile range [IQR] = 98.6 to 150 minutes), and for those in the normal
saline group it was 49.19 minutes (IQR = 39.02 to 70.97 minutes; p = 0.0001). At
15 minutes the MAP was 20.45 mm Hg greater in the animals in the L-carnitine
group than in the animals in the normal saline group (95% confidence interval
[CI] = 0.25 to 40.65; p = 0.047). CONCLUSIONS: When compared with saline, IV L
carnitine increases survival and MAP in a murine model of severe verapamil
toxicity.
PMID- 22092895
TI - Levamisole exposure and hematologic indices in cocaine users.
AB - OBJECTIVES: Levamisole is an antihelminthic agent found in nearly 70% of seized
U.S. cocaine. Sporadic case literature describes a life-threatening
agranulocytosis associated with levamisole exposure secondary to cocaine use. The
authors compared the distribution of hematologic indices in a population of
cocaine users with and without a confirmed exposure to levamisole. METHODS: The
records of all patients in the Lifespan hospital system who underwent
comprehensive toxicologic testing between September 2009 and December 2009 (n =
799) were reviewed. Of these, 95 patients were eligible for inclusion (cocaine
positive with a simultaneous complete blood count). Patients were grouped into
levamisole-positive (n = 47) and -negative (n = 48) groups. The primary outcome
measures were total white blood cell count (WBC), absolute neutrophil count
(ANC), and absolute lymphocyte count (ALC); secondary outcome measures included
percent neutrophils, lymphocytes, eosinophils, monocytes, and basophils, as well
as identified co-ingestants. RESULTS: Both groups had a similar makeup of age,
sex, and race. The total WBC count, ANC, and ALC were not significantly different
between the two groups. There was no significant difference in relative
proportion of neutrophils, eosinophils, basophils, or monocytes between the
groups. There was one neutropenic patient in the levamisole-positive group, while
three patients were neutropenic in the negative group. Additionally, a literature
review of case reports describing levamisole-induced agranulocytosis (n = 33) was
conducted. In 52% of these cases, patients presented with an oropharyngeal chief
complaint; in an additional 27%, patients presented with soft tissue infections
or purpura. CONCLUSIONS: The overall incidence of neutropenia was 4.2% in all
cocaine users and 2.1% in the levamisole-positive group. A striking number of the
reported patients with levamisole-associated neutropenia have presented to care
with oropharyngeal complaints, vasculitis, or fever. A clinical algorithm for
identifying levamisole toxicity in the emergency department setting is provided.
Further research is necessary to determine the circumstances required for
levamisole-associated neutropenia.
PMID- 22092896
TI - Short, subjective measures of numeracy and general health literacy in an adult
emergency department.
AB - OBJECTIVES: The objective was to evaluate the reliability and validity of brief
subjective measures of numeracy and general health literacy in the adult
emergency department (ED) setting. METHODS: A convenience sample of adult ED
patients completed subjective measures of general health literacy (Short Literacy
Survey [SLS]) and numeracy (Subjective Numeracy Scale [SNS]). These patients also
completed two objective tests of literacy (the Short Test of Functional Health
Literacy in Adults [S-TOFHLA] and the Rapid Estimate of Adult Literacy in
Medicine [REALM]) and an objective test of numeracy (Wide Range Achievement Test
4 [WRAT4]). Internal reliability of the subjective measures was assessed using
Cronbach's alpha. Construct validity of the subjective measures was assessed by
correlating them against the S-TOFHLA, REALM, and WRAT4, using Spearman's rank
correlation coefficients, receiver operating characteristics (ROC) curves, and
hierarchical, multiple linear regression with adjustment for patient age, sex,
race, and education. RESULTS: The median age of the 207 patients surveyed was 46
years (interquartile range [IQR] = 32 to 59 years); 27% were African American.
Sixty-one percent of patients reported that their highest level of education was
high school or below. As measured by the S-TOFHLA and REALM, most patients had
adequate literacy levels (89% and 80%, respectively), while 44% of patients had
below average numeracy skills on the WRAT4. The median SLS score was 14 (IQR = 12
to 15) on a scale of 3 to 15; the median SNS score was 36 (IQR = 30 to 42) on a
scale of 6 to 48. The SLS and SNS had good internal reliability, with Cronbach's
alphas of 0.74 and 0.82, respectively. The SLS Spearman's rank order correlation
coefficient was 0.33 (95% confidence interval [CI] = 0.20 to 0.45) for the S
TOFHLA, with a standardized beta coefficient of 0.36 (p < 0.05) after adjustment
for patient demographics. The SLS correlation coefficient was 0.26 (95% CI = 0.13
to 0.38) for the REALM, with a standardized beta coefficient of 0.38 (p < 0.05)
after adjustment for patient demographics. The area under the ROC curve (AUC) for
the SLS was 0.74 (95% CI = 0.68 to 0.80) when compared to the S-TOFHLA and 0.72
(95% CI = 0.65 to 0.78) when compared to the REALM. The SNS predicted numeracy
well, with a correlation coefficient of 0.57 (95% CI = 0.47 to 0.65) for the
WRAT4, a standardized beta coefficient of 0.30 (p < 0.05) after adjustment for
patient demographics, and an AUC of 0.77 (95% CI = 0.70 to 0.82). CONCLUSIONS:
The SNS and SLS are reliable, valid tests that can be used to rapidly estimate
general health literacy and numeracy skill levels in adult ED patients.
Continuing work is needed to establish their ability to predict clinical
outcomes.
PMID- 22092897
TI - Emergency severity index triage system correlation with emergency department
evaluation and management billing codes and total professional charges.
AB - OBJECTIVES: All services provided by physicians to patients during an emergency
department (ED) visit, including procedures and "cognitive work," are described
by common procedural terminology (CPT) codes that are translated by coders into
total professional (physician) charges for the visit. These charges do not
include the technical (facility) charges. The objectives of this study were to
characterize associations between Emergency Severity Index (ESI) acuity level, ED
Evaluation and Management (E&M) billing codes 99281-99285 and 99291, and total ED
provider charges (sum of total procedure and E&M professional charges). Secondary
objectives were to identify factors that might affect these associations and to
evaluate the performance of ESI and identified variables to predict E&M code and
average total professional charges. METHODS: The authors reviewed 276,824 patient
records for calendar year 2007, of which 193,952 adult ED visits from three
different ED types (community, university-based academic, and non-university
based academic) met inclusion criteria. Correlations between 1) ESI level and E&M
billing code per visit by institution and 2) ESI and total professional charges
were analyzed using Spearman rank correlation. Linear regression analysis was
performed to identify variables that significantly affected these correlations.
RESULTS: ESI level and E&M codes were moderately correlated (Spearman r = 0.51).
ESI levels corresponded proportionately to higher E&M codes. ESI 1, 2, and 3 most
frequently corresponded with E&M level 5 (50, 62, and 45%, respectively), and ESI
4 and 5 most frequently corresponded with E&M level 3 (56 and 67%, respectively).
Only age by decade significantly affected the association between ESI level and
E&M billing code. The mean total professional charge for all patient encounters
was $421 (SD +/- $204) with increasing mean charges per patient by increasing ESI
acuity. Race and E&M code significantly affected the relationship between ESI
level and total ED professional charges per patient (adjusted r(2) = 0.66).
CONCLUSIONS: A moderate, nonlinear correlation exists between ESI acuity levels
and ED E&M billing codes. Increasing age affects this correlation. Race and E&M
code affect the correlation between ESI level and total professional charges. As
such, basic triage data can be used to estimate E&M code and total professional
charges. Future studies are needed to validate these findings across other
institutional settings.
PMID- 22092898
TI - Comparison of experimental chest compression data to a theoretical model for the
mechanics of constant peak displacement cardiopulmonary resuscitation.
AB - OBJECTIVES: The objective was to validate an existing theoretical model for the
mechanics of constant peak displacement cardiopulmonary resuscitation (CPR) using
experimental data taken using various back support surfaces at different chest
compression (CC) rates. METHODS: A CPR simulator was used to perform constant
peak displacement CC on a weighted full-body CPR training manikin supported on
surfaces of varying stiffness at different CC rates. The net sternum-to-spine
displacement, combined chest and mattress displacement, and axial reaction force
were measured during each test. The experimental results were compared to
theoretical predictions from the constant peak displacement CPR model. RESULTS:
The theoretical model predictions matched the experimental data to within a mean
difference of 11.7% at a CC rate of 42 compressions per minute (cpm), 10.0% at a
CC rate of 60 cpm, and 10.1% at a CC rate of 96 cpm, for a target maximum sternal
displacement of 5.0 cm. The model predictions also show that when the back
support stiffness is less than 250 N/cm, the benefit of using a backboard is
greater than for stiffer support surfaces. CONCLUSIONS: Good quantitative
agreement between the experimental data and the theoretical model suggests that
the constant peak displacement CPR model provides reasonable prediction of CC
mechanics during CPR over a wide range of CC rates. Conflicts in the literature
are also explained by showing that backboards can significantly enhance CPR CC
performance when the back support stiffness is less than 250 N/cm, while for
surfaces with higher stiffness, the benefit of using a backboard is reduced.
PMID- 22092899
TI - The association between emergency medical services field performance assessed by
high-fidelity simulation and the cognitive knowledge of practicing paramedics.
AB - OBJECTIVES: The objective of this study was to assess the association between the
performance of practicing paramedics on a validated cognitive exam and their
field performance, assessed on a simulated emergency medical services (EMS)
response. METHODS: This was an observational study of paramedics from a single
tiered, urban, advanced life support EMS agency. A high-fidelity simulated
response to a medical emergency on environmentally realistic sound stages, and
the cognitive portion of the national paramedic certification exam, were each
assessed as pass or fail. Participants were randomly assigned to one of six
simulations designed by the agency's educational staff, medical director, and
representatives from the National Registry of EMTs to be equivalently difficult.
Simulations were pilot tested to assess content and face validity. Each
participant was classified as failing a simulation scenario if his or her score
was one standard deviation (SD) below the population mean. RESULTS: There were
107 paramedics who participated in the study. Participants reported a median of
7.7 years of service (interquartile range [IQR] = 4.1 to 12.8 years). Simulation
scores were normally distributed. Ninety-two (86.0%) participants received a
passing score for the simulation and 77 (72.0%) passed the cognitive exam. There
were 70 (65.4%) individuals who passed both the simulation and the cognitive
exam, eight (7.5%) who failed both the simulation and the cognitive exam, 22
(20.6%) who passed the simulation but failed the cognitive exam, and seven (6.5%)
who failed the simulation but passed the cognitive exam. There was a significant
association between passing the cognitive exam and passing the simulation (chi
square p-value = 0.02). CONCLUSIONS: This study simultaneously assessed cognitive
knowledge and simulated field performance. Utilization of these measurement
techniques allowed for the assessment and comparison of field performance and
cognitive knowledge. Results demonstrated an association between a practicing
paramedic's performance on a cognitive examination and field performance,
assessed by a simulated EMS response.
PMID- 22092900
TI - The Millennial Generation and "the lecture".
AB - This lecture can be viewed in its entirety online by visiting
http://vimeo.com/24148123.
PMID- 22092901
TI - Society for Academic Emergency Medicine position statement on teacher-learner
relationships.
PMID- 22092902
TI - Emergency care and the affordable care act: how can we learn from the past to
predict the future?
PMID- 22092903
TI - PeRLs: changing the way we view lectures.
PMID- 22092904
TI - Consensus conference follow-up: inter-rater reliability assessment of the Best
Evidence in Emergency Medicine (BEEM) rater scale, a medical literature rating
tool for emergency physicians.
AB - BACKGROUND: Studies published in general and specialty medical journals have the
potential to improve emergency medicine (EM) practice, but there can be delayed
awareness of this evidence because emergency physicians (EPs) are unlikely to
read most of these journals. Also, not all published studies are intended for or
ready for clinical practice application. The authors developed "Best Evidence in
Emergency Medicine" (BEEM) to ameliorate these problems by searching for,
identifying, appraising, and translating potentially practice-changing studies
for EPs. An initial step in the BEEM process is the BEEM rater scale, a novel
tool for EPs to collectively evaluate the relative clinical relevance of EM
related studies found in more than 120 journals. The BEEM rater process was
designed to serve as a clinical relevance filter to identify those studies with
the greatest potential to affect EM practice. Therefore, only those studies
identified by BEEM raters as having the highest clinical relevance are selected
for the subsequent critical appraisal process and, if found methodologically
sound, are promoted as the best evidence in EM. OBJECTIVES: The primary objective
was to measure inter-rater reliability (IRR) of the BEEM rater scale. Secondary
objectives were to determine the minimum number of EP raters needed for the BEEM
rater scale to achieve acceptable reliability and to compare performance of the
scale against a previously published evidence rating system, the McMaster Online
Rating of Evidence (MORE), in an EP population. METHODS: The authors
electronically distributed the title, conclusion, and a PubMed link for 23
recently published studies related to EM to a volunteer group of 134 EPs. The
volunteers answered two demographic questions and rated the articles using one of
two randomly assigned seven-point Likert scales, the BEEM rater scale (n = 68) or
the MORE scale (n = 66), over two separate administrations. The IRR of each scale
was measured using generalizability theory. RESULTS: The IRR of the BEEM rater
scale ranged between 0.90 (95% confidence interval [CI] = 0.86 to 0.93) to 0.92
(95% CI = 0.89 to 0.94) across administrations. Decision studies showed a minimum
of 12 raters is required for acceptable reliability of the BEEM rater scale. The
IRR of the MORE scale was 0.82 to 0.84. CONCLUSIONS: The BEEM rater scale is a
highly reliable, single-question tool for a small number of EPs to collectively
rate the relative clinical relevance within the specialty of EM of recently
published studies from a variety of medical journals. It compares favorably with
the MORE system because it achieves a high IRR despite simply requiring raters to
read each article's title and conclusion.
PMID- 22092905
TI - Ethics seminar: the hospice patient in the ED: an ethical approach to
understanding barriers and improving care.
AB - Emergency physicians (EPs) are asked to evaluate and treat a growing population
of hospice patients who present to the emergency department (ED) for a number of
important reasons. Hospice patients pose unique ethical challenges, and "best
practices" for these patients can differ from the life-preserving interventions
of usual ED care. Having a solid understanding of professional responsibilities
and ethical principles is useful for guiding EP management of these patients. In
end-of-life care, EPs need to recognize that there are barriers and complexities
to the best management of hospice patients, but they need to commit to strategies
that optimize their care. This article describes the case of a hospice patient
who presented with sepsis and end-stage cancer to the ED. Patient, system, and
physician factors made management decisions in the ED difficult. The goal in the
ED should be to determine the best way to address terminally ill patient needs
while respecting wishes to limit interventions that will only increase suffering
near the end of life.
PMID- 22092906
TI - Association of direct helicopter versus ground transport and in-hospital
mortality in trauma patients: a propensity score analysis.
AB - OBJECTIVES: Helicopter emergency medical services (HEMS) transport of trauma
patients has been used for decades. Its use, however, is still a subject of
debate, including issues such as high costs, increasing numbers of crashes, and
conflicting results regarding effectiveness in reducing mortality. The aim of
this study was to examine whether mode of transport (HEMS vs. ground EMS) is
independently associated with mortality among trauma patients transported
directly from the scene of injury to definitive care. METHODS: All trauma
patients transported directly to a Level I or Level II trauma center by either
air or ground EMS over a 4-year period were selected from the Oklahoma State
Trauma Registry. Multivariable logistic regression was used to develop propensity
scores based on variables measured at the scene of injury. The propensity scores
represented the predicted probabilities of a patient being transported by HEMS
given a specific set of characteristics and were used as a composite confounding
variable in subsequent models of the association of mortality and mode of
transport. Along with the propensity scores, Injury Severity Scores (ISS),
initial Revised Trauma Score (RTS), and distance from the trauma center were
included in a Cox proportional hazards model of the association of mode of
transport and 24-hour and 2-week mortality. RESULTS: Overall, the hazard ratio
(HR) for 2-week mortality in patients transported by HEMS was 33% lower (HR =
0.67, 95% confidence interval [CI] = 0.54 to 0.84) than in patients transported
by ground EMS from the scene of injury, after adjustment for the propensity score
and other covariates. In subanalyses, the apparent association of a reduction in
the hazard of early mortality among patients transported by HEMS was most evident
for patients with an RTS based on injury scene vital signs of 3 to 7 (HR = 0.61,
95% CI = 0.46 to 0.82). The point estimate of the HR was similar (HR = 0.65 95%
CI = 0.34 to 1.2) in the 75% of cases who had normal vital signs at the scene of
injury, although it was no longer statistically significant because crude
mortality was very low (1.7%) in this group. Among those with a RTS of 3 or less
at the scene, crude mortality was 58%, and mode of transport was not associated
with mortality (HR = 1.02, 95% CI = 0.68 to 1.6). CONCLUSIONS: Helicopter EMS
transport was associated with a decreased hazard of mortality among certain
patients transported from the scene of injury directly to definitive care.
Refinements in scene triage and transport guidelines are needed to more
effectively select patients that may benefit from HEMS transport from those
unlikely to benefit.
PMID- 22092907
TI - Commentary: the good, the bad, and the ugly of social media.
PMID- 22092908
TI - A case of a young girl with fever and seizure.
PMID- 22092910
TI - Assessment of Streptococcus pneumoniae pilus islet-1 prevalence in carried and
transmitted isolates from mother-infant pairs on the Thailand-Burma border.
AB - Streptococcus pneumoniae pilus islet-1 (PI-1)-encoded pilus enhances in vitro
adhesion to the respiratory epithelium and may contribute to pneumococcal
nasopharyngeal colonization and transmission. The pilus subunits are regarded as
potential protein vaccine candidates. In this study, we sought to determine PI-1
prevalence in carried pneumococcal isolates and explore its relationship with
transmissibility or carriage duration. We studied 896 pneumococcal isolates
collected during a longitudinal carriage study that included monthly
nasopharyngeal swabbing of 234 infants and their mothers between the ages of 1
and 24 months. These were cultured according to the WHO pneumococcal carriage
detection protocol. PI-1 PCR and genotyping by multilocus sequence typing were
performed on isolates chosen according to specific carriage and transmission
definitions. Overall, 35.2% of the isolates were PI-1-positive, but PI-1 presence
was restricted to ten of the 34 serotypes studied and was most frequently
associated with serotypes 19F and 23F; 47.5% of transmitted and 43.3% of non
transmitted isolates were PI-1-positive (OR 1.2; 95% CI 0.8-1.7; p 0.4). The
duration of first-ever infant pneumococcal carriage was significantly longer with
PI-1-positive organisms, but this difference was not significant at the
individual serotype level. In conclusion, PI-1 is commonly found in pneumococcal
carriage isolates, but does not appear to be associated with pneumococcal
transmissibility or carriage duration.
PMID- 22092909
TI - Clinical pathology of Greyhounds and other sighthounds.
AB - Owing to the development of Greyhounds as racing sighthounds, these dogs have
acquired unique physiologic adaptations that distinguish them from other breeds.
Reference intervals for many analytes in retired racing Greyhounds (RRGs) differ
from those of other breeds; most of the hematologic differences have also been
described in other sighthounds. In this review, we provide a survey of the
literature on clinical pathology of Greyhounds and other sighthounds and results
of laboratory testing, including analysis of CBCs, biochemical profiles,
coagulation tests, and blood gases, in RRGs at The Ohio State University. Major
clinicopathologic differences in this breed include higher RBC mass, creatinine
concentration, glomerular filtration rate, activities of hepatic enzymes, and
concentration of cardiac troponin, as well as lower WBC, neutrophil, and platelet
counts, thromboelastographic values, and concentrations of serum haptoglobin,
total globulins, and T4.
PMID- 22092911
TI - Comparative evaluation of different calcium phosphate-based bone graft granules -
an in vitro study with osteoblast-like cells.
AB - OBJECTIVE: Granule-shaped calcium phosphate-based bone graft materials are often
required for bone regeneration especially in implant dentistry. Two newly
developed bone graft materials are Ceracell((r)) , an open-celled highly porous
bioceramic from beta-tricalcium phosphate (beta-TCP) under addition of bioglass
and Osseolive((r)) , an open porous glass ceramic with the general formula Ca2
KNa(PO4 )2 . The goal of this study was to characterize different modifications
of the two bone graft materials in vitro in comparison to already established
ceramic bone grafts Cerasorb M((r)) , NanoBone((r)) and BONIT Matrix((r)) .
MATERIALS AND METHODS: Adhesion and proliferation of SaOS-2 osteoblast-like cells
were evaluated quantitatively by determining DNA content and lactate
dehydrogenase (LDH) activity and qualitatively by scanning electron microscopy
(SEM). In addition, MTT cell-vitality staining was applied to confirm the
attachment of viable cells to the different materials. Osteogenic differentiation
was evaluated by measurement of alkaline phosphatase (ALP) activity as well as
gene expression analysis of osteogenic markers using reverse transcriptase PCR.
RESULTS: DNA content and LDH activity revealed good cell attachment and
proliferation for Ceracell and Cerasorb M. When pre-incubated with cell-culture
medium, also Osseolive showed good cell attachment and proliferation. Attachment
and proliferation of osteoblast-like cells on NanoBone and BONIT Matrix was very
low, even after pre-incubation with cell-culture medium. Specific ALP activity on
Ceracell((r)) , Osseolive ((r)) and Cerasorb M((r)) increased with time and
expression of bone-related genes ALP, osteonectin, osteopontin and bone
sialoprotein II was demonstrated. CONCLUSIONS: Ceracell as well as Osseolive
granules support proliferation and osteogenic differentiation in vitro and may be
promising candidates for in vivo applications.
PMID- 22092912
TI - NsrR-dependent method for detecting nitric oxide accumulation in the Escherichia
coli cytoplasm and enzymes involved in NO production.
AB - A beta-galactosidase assay for detecting the accumulation of NO in the
Escherichia coli cytoplasm has been developed based on the sensitive response of
the transcription repressor, NsrR, to NO. The hcp promoter is repressed by NsrR
in the absence of nitric oxide, but repression is relieved when NO accumulates in
the cytoplasm. Most, but not all, of this NO is formed by the interaction of the
membrane-associated nitrate reductase, NarG, with nitrite. External NO at
physiologically relevant concentrations does not equilibrate across the E. coli
membrane with NsrR in the cytoplasm. The periplasmic nitrite reductase, NrfAB, is
not required to prevent equilibration of NO across the membrane. External NO
supplied at the highest concentration reported to occur in vivo does not damage
FNR sufficiently to affect transcription from the hcp or hmp promoters or from a
synthetic promoter. We suggest that the capacity of E. coli to reduce NO is
sufficient to prevent its accumulation from external sources in the cytoplasm.
PMID- 22092913
TI - Oral hygiene, periodontal health and chronic obstructive pulmonary disease
exacerbations.
AB - AIM: To assess the associations of oral hygiene and periodontal health with
chronic obstructive pulmonary disease (COPD) exacerbations. MATERIAL AND METHODS:
In total, 392 COPD patients were divided into frequent and infrequent
exacerbation (>=2 times and <2 times in last 12 months) groups. Their lung
function and periodontal status were examined. Information on oral hygiene
behaviours was obtained by interview. RESULTS: In the univariate analysis, fewer
remaining teeth, high plaque index (PLI) scores, low tooth brushing times, and
low regular supra-gingival scaling were significantly associated with COPD
exacerbations (all p-values <0.05). After adjustment for age, gender, body mass
index, COPD severity and dyspnoea severity, the associations with fewer remaining
teeth (p = 0.02), high PLI scores (p = 0.02) and low tooth brushing times (p =
0.008) remained statistically significant. When stratified by smoking, fewer
remaining teeth (OR = 2.05, 95% CI: 1.04-4.02) and low tooth brushing times (OR =
4.90, 95% CI: 1.26-19.1) among past smokers and high PLI scores (OR = 3.43, 95%
CI: 1.19-9.94) among never smokers were significantly associated with COPD
exacerbations. CONCLUSIONS: Fewer remaining teeth, high PLI scores, and low tooth
brushing times are significant correlates of COPD exacerbations, indicating that
improving periodontal health and oral hygiene may be a potentially preventive
strategy against COPD exacerbations.
PMID- 22092914
TI - Emotional responses of mothers of late-preterm and term infants.
AB - OBJECTIVE: To compare the emotional responses of mothers of late-preterm infants
(34 0/7 to 36 6/7 weeks gestation) with those of mothers of full-term infants.
DESIGN: A mixed method comparative study. SETTING: A southeastern tertiary
academic medical center postpartum unit. PARTICIPANTS: Sixty mothers: 29 mothers
of late-preterm infants and 31 mothers of full-term infants. METHODS: Measures of
maternal emotional distress (four standardized measures of anxiety, postpartum
depression, posttraumatic stress symptoms, and worry about infant health) and
open-ended semistructured maternal interviews were conducted in the hospital
following birth and by phone at one month postpartum. RESULTS: Mothers of late
preterm infants experienced significantly greater emotional distress immediately
following delivery, and their distress levels continued to be higher at one month
postpartum on each of the standardized measures. Mothers of late-preterm infants
also discussed the altered trajectories in their birth and postpartum experiences
and feeling unprepared for these unexpected events as a source of ongoing
emotional distress. CONCLUSION: Mothers of late-preterm infants have greater
emotional distress than mothers of term infants for at least one month after
delivery. Our findings suggest that it may not be a single event that leads to
different distress levels in mothers of late-preterm and full-term infants but
rather the interaction of multiple alterations in the labor and delivery process
and the poorer-than-expected infant health outcomes. In the future, researchers
need to examine how and when mothers' emotional responses change over time and
how their responses relate to parenting and infant health and development.
PMID- 22092915
TI - No detectable beneficial systemic immunomodulatory effects of a specific
synbiotic mixture in infants with atopic dermatitis.
AB - BACKGROUND: In a murine model of allergic inflammation, Bifidobacterium breve M
16V has been shown to reduce IL-4 and IgE by inducing IL-10 and IFN-gamma.
However, it remains unknown whether this strain has the same effect in humans
with allergic disease. OBJECTIVE: To determine the effects of Bifidobacterium
breve M-16V combined with a prebiotic oligosaccharide mixture (synbiotic) on
atopic markers, ex vivo cytokine production by peripheral blood mononuclear cells
(PBMCs) and circulating regulatory T cell percentage in infants with atopic
dermatitis. METHODS: In a double-blind, placebo-controlled multi-centre trial, 90
infants with atopic dermatitis, age <7 months, were randomized to receive an
infant formula with Bifidobacterium breve M-16V and a mixture of short chain
galactooligosaccharides and long chain fructooligosaccharides (Immunofortis((r))
), or the same formula without synbiotics during 12 weeks. At week 0 and 12,
plasma levels of IL-5, IgG1, IgG4, CTACK and TARC, ex vivo cytokine responses by
PBMCs and percentage of regulatory T cells, were determined. RESULTS: There were
no significant differences between the synbiotic and the placebo group in IL-5,
IgG1, IgG4, CTACK and TARC levels and ex vivo cytokine production by anti
CD3/anti-CD28-stimulated PBMCs. With allergen-specific stimuli, we found a
decreased IL-12p40/70 and IL-12p70 production in response to egg allergen (P =
0.04 and P = 0.01, respectively) and decreased IL-12p70 production in response to
peanut allergen (P = 0.003) in the synbiotic compared with the placebo group.
Circulating regulatory T cell percentage did not significantly differ between the
groups. CONCLUSIONS AND CLINICAL RELEVANCE: This synbiotic mixture has no
detectable effect on plasma levels of the analysed atopic disease markers, ex
vivo cytokine production and circulating regulatory T cell percentage in infants
with atopic dermatitis, besides down-regulation of IL-12 production in egg- and
peanut-stimulated PBMCs. These results do not support the use of this synbiotic
in clinical practice.
PMID- 22092916
TI - Labial bone assessment surrounding dental implant using cone-beam computed
tomography: an in vitro study.
AB - OBJECTIVE: Stable esthetic restoration is required for anterior dental implants,
assessed over a long-term follow-up. Cross-sectional images obtained using cone
beam computed tomography (CBCT) allow visualization of the bucco-lingual bone
surrounding dental implants; however, the thin labial bone surrounding anterior
dental implants is unclear. So, the minimum labial bone thickness surrounding
dental implants detected using CBCT images was estimated in the present in vitro
study. MATERIALS AND METHODS: One dry mandible with five aluminum steps and a
titanium rod for simulation of the thin labial bone and dental implant were used.
CBCT was performed three times using three exposure volumes, and voxel values of
each aluminum step were plotted. Then, the maximum voxel value in each aluminum
step as a percentage of that of the 1-mm-thick aluminum step was calculated.
RESULTS: The rates for the 0.6-mm-thick aluminum step with a 51- and 102-mm
diameter exposure volume were approximately 50% or greater. CONCLUSIONS: When
labial bone surrounding the dental implant was approximately 0.6 mm or greater,
it was suggested that it might be visually detectable using CBCT images with a 51
and 102-mm-diameter exposure volume.
PMID- 22092917
TI - Decreased colonization of fecal Clostridium coccoides/Eubacterium rectale species
from ulcerative colitis patients in an in vitro dynamic gut model with mucin
environment.
AB - The mucus layer in the colon, acting as a barrier to prevent invasion of
pathogens, is thinner and discontinuous in patients with ulcerative colitis (UC).
A recent developed in vitro dynamic gut model, the M-SHIME, was used to compare
long-term colonization of the mucin layer by the microbiota from six healthy
volunteers (HV) and six UC patients and thus distinguish the mucin adhered from
the luminal microbiota. Although under the same nutritional conditions, short
chain fatty acid production by the luminal communities from UC patients showed a
tendency toward a lower butyrate production. A more in-depth community analysis
of those microbial groups known to produce butyrate revealed that the diversity
of the Clostridium coccoides/Eubacterium rectale and Clostridium leptum group,
and counts of Faecalibacterium prausnitzii were lower in the luminal fractions of
the UC samples. Counts of Roseburia spp. were lower in the mucosal fractions of
the UC samples. qPCR analysis for butyryl-CoA:acetate CoA transferase,
responsible for butyrate production, displayed a lower abundance in both the
luminal and mucosal fractions of the UC samples. The M-SHIME model revealed
depletion in butyrate producing microbial communities not restricted to the
luminal but also in the mucosal samples from UC patients compared to HV.
PMID- 22092918
TI - Cutaneous resonance running time is decreased in psoriatic lesions.
AB - BACKGROUND/AIMS: Psoriasis is characterized by lower stratum corneum (SC)
hydration and dermal inflammation. Both SC hydration and cutaneous inflammation
influence cutaneous resonance running time (CRRT). However, the characteristics
of CRRT in psoriatic lesions are largely unknown. In the present study, we
assessed whether changes in CRRT occur in psoriatic lesions in Chinese. METHODS:
A Reviscometer RVM600 and Corneometer CM 825 were used to measure CRRTs and SC
hydration, respectively, in psoriatic lesions (psoriasis vulgaris) on the
extensor of forearm in 111 subjects (58 men, 53 women), aged 23-80 years (50.42
+/- 1.23 years). The contralateral uninvolved sites served as control. RESULTS:
In comparison with contralateral uninvolved sites, CRRTs in psoriatic lesions
were reduced significantly in all directions. There was neither gender nor age
difference in the extent of reduction in CRRTs. However, the reduction of CRRTs
varied with measurement directions. Positive correlations of SC hydration with
CRRTs were found at some directions in uninvolved and involved sites in young men
whereas CRRTs in psoriatic lesions were not correlated with SC hydration in
either aged or young women. Moreover, CRRT at 0-6 o'clock direction was
positively correlated with SC hydration in involved sites of aged men.
CONCLUSION: Cutaneous resonance running times are decreased in psoriatic lesions.
Reduction of CRRTs varies with measurement directions, but not gender or age.
Measurement of CRRTs could be another valuable approach to assess the severity of
psoriasis and the efficacy of its treatment.
PMID- 22092919
TI - Interview with a quality leader: Carol Wagner on Washington State Hospital
Association (WSHA) and their statewide improvement. Interview by Susan V. White.
AB - Carol Wagner, RN, MBA is the Vice President for Patient Safety at the Washington
State Hospital Association (WSHA). She is recognized nationally as an innovative
patient safety leader. She led the development of WSHA's Patient Safety Program
and Rural Healthcare Quality Network. Under Carol's leadership, the WSHA received
the 2010 John Eisenberg Patient Safety and Quality Award for national innovation
given by The Joint Commission and the National Quality Forum. The association
also received the 2011 Dick Davidson Award for Allied Association Leadership.
These national awards are two of the highest honors an organization can receive
for patient safety and quality. Through close working relationships with national
and state regulatory bodies and professional organizations, Carol designs
programs to improve quality and safety in every hospital in Washington State.
Under Carol's leadership, all hospitals in Washington participated in the
Institute for Healthcare Improvement's (IHI) 5 Million Lives Campaign. The effort
led to implementation of the largest number of rapid response teams in a
geographic area. Carol also created the first statewide learning collaborative to
reduce hospital-acquired infections. Carol is a registered nurse with an MBA
focusing on finance and operations. She worked clinically in a variety of
settings, provided leadership as a nursing administrator, and transitioned to
lead hospital strategic planning, budgeting, and cost accounting. She studied
management and system change at The Wharton School at the University of
Pennsylvania. Her quality training was with Dr. W. Edwards Deming and at
Intermountain Health Care with Dr. Brent James. Her experience includes work in
for-profit and not-for-profit environments and supporting community, tertiary,
and academic medical centers. This includes Huntington Hospital in Pasadena,
California and a national for-profit hospital system with more than 100
hospitals.
PMID- 22092921
TI - Fractional thermoablation using an erbium-doped yttrium aluminum garnet
fractionated laser for the treatment of pulsed dye laser-resistant port wine
stain birthmarks.
PMID- 22092920
TI - Drivers of epsilonproteobacterial community composition in sulfidic caves and
springs.
AB - Epsilonproteobacteria are widely distributed in marine, freshwater, and
terrestrial environments, although most well-studied groups are from hydrothermal
vents and the human intestinal tract. The environmental variables that control
epsilonproteobacterial communities in sulfidic terrestrial environments, however,
are poorly understood. Here, the environmental variables that influence
epsilonproteobacterial community composition in geographically separated sulfidic
caves and springs were determined by coarse and fine-scale approaches: denaturing
gradient gel electrophoresis profiling of 23S rRNA PCR amplicons and clone
library sequencing of the 16S-ITS-23S rRNA operon. Sequences retrieved from this
study were not closely related to cultured representatives, indicating that
existing culture collections do not adequately capture the diversity of
terrestrial Epsilonproteobacteria. Comparisons of 16S-ITS-23S rRNA operon
sequences from four sites revealed that some distant communities (> 8000 km)
share closely related populations of Epsilonproteobacteria, while other sites
have nearly clonal and phylogenetically distinct populations. Statistical
evaluations of sequence data reveal that multiple environmental variables (e.g.
temperature, pH, salinity, dissolved oxygen, and bicarbonate concentrations)
influence Epsilonproteobacteria community composition. Locations with clonal
populations tended to be from higher temperatures and intermediate dissolved
oxygen concentrations. rRNA operon sequences outside of the 16S rRNA gene may be
critical to recognizing environmental drivers of epsilonproteobacterial community
composition.
PMID- 22092922
TI - Effect of acquisition time and chemical fat suppression on meningeal enhancement
on MR imaging in dogs.
AB - Our purpose was to characterize meningeal gadolinium enhancement on magnetic
resonance (MR) imaging in dogs with inflammatory and neoplastic diseases, and to
assess interobserver variability and the impact of delayed acquisition and
chemical fat saturation on its conspicuity. Transverse T1-weighted FLAIR images
were acquired prior to, and immediately following gadolinium injection (T0), and
at 5 (T5) and 15-20 min delay (TD), with and without fat suppression, in 155
consecutive dogs imaged for suspected brain disease. The agreement on meningeal
enhancement was globally substantial (kappa = 0.61) and the likelihood of
obtaining a definite diagnosis was significantly increased with the use of fat
suppression (P < or = 0.004). Meningeal enhancement was judged definitively
present by consensus in 46 of 155 (30%) dogs. Of these, meningeal enhancement was
characterized qualitatively and quantitatively in 30 dogs with a clinical
diagnosis (18 inflammatory, 11 neoplastic, 1 infarct), and image sequences were
compared. Meningeal enhancement was more often diffuse and leptomeningeal in
animals with inflammation versus neoplasia (50% vs. 42%, and 69% vs. 48%,
respectively), but significant associations were not found. Meningeal thickness
and contrast ratio were higher with neoplasia (P < or = 0.02), but results did
not vary significantly between series for either group. Yet, images with fat
suppression were most useful 50% of the time for definite diagnosis and/or
characterization of meningeal enhancement. While delayed image acquisition
following gadolinium injection does not improve characterization of meningeal
enhancement in dogs, fat suppression is beneficial qualitatively.
PMID- 22092923
TI - Complications associated with implant migration into the maxillary sinus cavity.
AB - BACKGROUND: Migration of dental implants into the maxillary sinus is an uncommon,
but increasingly reported complication. Implant migration may result from initial
lack of primary stability, intrasinusal and nasal pressure changes, autoimmune
reaction to the implant or incorrect distribution of occlusal forces. This
retrospective study aims at analyzing the factors that may influence implant
migration into the maxillary sinus cavity. MATERIAL AND METHODS: Fourteen
patients presenting a total 15 implants that migrated into the maxillary sinus
were recruited. Diagnosis of this complication was based on imaging techniques,
such as cone beam computerized tomography scan and panoramic radiography.
Clinical data were recorded in all cases and processed for statistical analysis.
RESULTS: ABH was below 6 mm in the majority of cases. However, almost 50% of the
patients did not receive any site preparation treatment prior to implant
insertion. Five patients (33.3%) were treated by osteotome techniques, but only
one of them had bone grafting. Therefore, 73.3% of sites did not receive any
biomaterial to increase available bone height. The most common complication
associated factors found on this study were related to implant design
(cylindrical), implant dimension (diameter), implant restoration/rehabilitation
method (partial removable denture), site-specific anatomy (initial residual bone
height between 5 and 6.9 mm), demographics (age), and biomaterials. CONCLUSION:
Patient selection and proper treatment planning, as well as the application of
the appropriate sinus augmentation technique, are critical aspects that should be
controlled to minimize the risk of implant migration into the maxillary sinus
cavity.
PMID- 22092924
TI - Structural, biochemical, cellular, and functional changes in skeletal muscle
extracellular matrix with aging.
AB - The extracellular matrix (ECM) of skeletal muscle is critical for force
transmission and for the passive elastic response of skeletal muscle. Structural,
biochemical, cellular, and functional changes in skeletal muscle ECM contribute
to the deterioration in muscle mechanical properties with aging. Structural
changes include an increase in the collagen concentration, a change in the
elastic fiber system, and an increase in fat infiltration of skeletal muscle.
Biochemical changes include a decreased turnover of collagen with potential
accumulation of enzymatically mediated collagen cross-links and a buildup of
advanced glycation end-product cross-links. Altered mechanotransduction, poorer
activation of satellite cells, poorer chemotactic and delayed inflammatory
responses, and a change in modulators of the ECM are important cellular changes.
It is possible that the structural and biochemical changes in skeletal muscle ECM
contribute to the increased stiffness and impairment in force generated by the
contracting muscle fibers seen with aging. The cellular interactions provide and
potentially coordinate an adaptation to mechanical loading and ensure successful
regeneration after muscle injury. Some of the changes in skeletal muscle ECM with
aging may be preventable with resistance or weight training, but it is clear that
more human studies are needed on the topic.
PMID- 22092925
TI - IL1 gene polymorphisms and unsuccessful dental implants.
AB - OBJECTIVES: This study aimed to analyse the association between polymorphisms in
the IL1 gene cluster and failure of dental implants in a Portuguese population.
MATERIAL AND METHODS: A total of 155 Caucasian Portuguese subjects were divided
into two groups: 100 with successful dental implants and 55 with unsuccessful
dental implants. DNA was obtained through an oral mucosa scraping. PCR was used
to identify the polymorphisms: single nucleotide changes in positions -889 of
IL1A gene and +3953 of IL1B gene. RESULTS: The prevalence of the polymorphisms
889IL1A gene and +3953IL1B gene, determined by the positive result of TGP
(Genetic Test for Periodontitis; CGC, Genetics, Portugal), in the studied
population rehabilitated with dental implants was of 33.50%. Allele 1 of the IL1B
gene was the most prevalent (62.20%), followed by allele 1 of the IL1A gene
(54.80%) and the least frequent was allele 2 of IL1B gene (37.40%). Success of
dental implants was mainly associated with a negative TGP result, whereas no
success was found to be related to a positive result. There were no statistically
significant differences between the alleles 1 and 2 of the genes IL1A and IL1B
and the tobacco and alcohol consumption for the success or no success of the
dental implants. CONCLUSIONS: The alleles 1 and 2 of IL1A gene and the alleles 1
and 2 of IL1B gene were statistically associated with the success or no success
of the dental implants. Tobacco habit and alcohol consumption showed no
statistically significant association with success or no success of the dental
implants.
PMID- 22092927
TI - Hoping for the best, preparing for the worst: the lived experiences of women
undergoing ovarian cancer surgery.
AB - In this study, the lived experiences of women undergoing ovarian cancer surgery
were explored, aiming to provide a patient perspective on being newly diagnosed
and starting treatment for ovarian cancer. The study period ran from the first
visit in the outpatient clinic, till 8 weeks later, when the women had either
begun chemotherapy or completed their recovery. Ten women participated in two
qualitative research interviews each, before and after surgery. By applying a
phenomenological-hermeneutic text interpretation methodology, the findings were
systematically identified, put into meaning-structures, interpreted and
discussed. This process constituted the theme: 'Hoping for the best, preparing
for the worst'. Final diagnostics and treatment start were extensive life events,
where life itself was threatened, although hope and will were present. The women
intuitively prepared themselves for the diagnosis and treatment. However, the
ability to prepare was influenced by personal lifestyle, social conditions,
coping strategies, and experiences of hope. The ability to prepare could be
strengthened by providing adjusted information, psychosocial support and physical
optimisation during the perioperative period. By offering targeted family
counselling and taking good care of the women's general health and well-being,
hope could be sustained and early cancer rehabilitation initiated.
PMID- 22092926
TI - Basic pharmacology of topical imiquimod, 5-fluorouracil, and diclofenac for the
dermatologic surgeon.
AB - BACKGROUND: Ultraviolet radiation (UVR) contributes to the vast majority of
nonmelanoma skin cancer (NMSC). As the incidence of NMSC continues to rise,
topical therapies will be used with increasing frequency. Topical therapies may
benefit high-risk surgical candidates as an alternative treatment modality and
may improve overall cosmesis. The most commonly employed topical therapies are
imiquimod, 5-fluorouracil (5-FU), and diclofenac. OBJECTIVE: To review the
detailed mechanism of action and side-effect profiles of each topical therapy
used to treat NMSC and to explore newly discovered actions. Uncommon adverse
events are also presented. MATERIALS AND METHODS: An extensive literature search
was performed to describe the pharmacologic actions of imiquimod, 5-FU, and
diclofenac. CONCLUSION: A keen understanding of the pharmacologic concepts of
these topical therapies may aid the dermatologic surgeon in making sound choices
before, during, and after surgery.
PMID- 22092928
TI - Work-relief ratios and imbalances of load application in sport climbing: another
link to overuse-induced injuries?
AB - An imbalanced load application of the upper extremity may contribute to overuse
induced injuries of the fingers. Thus, the present study evaluated load
application symmetry between the right and the left hand and its work-relief
ratios (WRR) depending on climbing ability and pre-exhaustion level. Twenty-eight
sport climbers (age: 29 +/- 8 years; body mass index: 22 +/- 2 kg/m(2); years of
climbing: 10 +/- 6; climbing level: 6+ UIAA to 9 UIAA) were assigned to a group
of recreational (<=8-UIAA, n = 14) or a group of ambitious (>=8 UIAA, n = 14)
climbers. Blood lactate and perceived exertion level were recorded at the end of
the climbing attempt. Load application and WRR were derived from video analysis
separately for the left and the right hand. Differences in load-application time
between the left (47 +/- 4%) and the right (53 +/- 4%) hand (P < 0.001) were
observed. Irrespective of side differences, the overall WRR was 5:1. Increasing
climbing level leads to a more symmetric load application (r = -0.42, P < 0.03).
Differences of lactate concentration and exertion level were found between the
pre- and the non-pre-exhausted group. Depending on climbing ability and
exhaustion level, load application for the dominant hand (right) prevails.
Further longitudinal studies should focus on imbalanced load application and
overuse-induced climbing injuries.
PMID- 22092929
TI - Incidental findings from cone beam computed tomography of the maxillofacial
region: a descriptive retrospective study.
AB - OBJECTIVE: To evaluate the type and prevalence of incidental findings from cone
beam computed tomography (CBCT) of the maxillofacial region. Findings are divided
into those that require (i) intervention/referral, (ii) monitoring, and (iii) no
further evaluation. METHODS: Three hundred consecutive CBCT scans conducted in
the University of North Carolina School of Dentistry Oral and Maxillofacial
Radiology Clinic from January 1 to August 31, 2008 were retrospectively reviewed.
Findings were categorized into airway, soft tissue calcifications, bone,
temporomandibular joint (TMJ), endodontic, dental developmental, and pathological
findings. RESULTS: A total of 272 scans revealed 881 incidental findings (3.2
findings/scan). The most prevalent was airway findings (35%) followed by soft
tissue calcifications (20%), bone (17.5%), TMJ (15.4%), endodontic (11.3%),
dental developmental (0.7%), and pathological (0.1%). 16.1% required
intervention/referral, 15.6% required monitoring, and the remainder (68.3%)
required neither. CONCLUSION: This study underscores the need to thoroughly
examine all CBCT volumes for clinically significant findings within and beyond
the region of interest.
PMID- 22092930
TI - Reference intervals for biochemical analytes in serum and heparinized plasma and
serum protein fractions in adult alpacas (Vicugna pacos).
AB - BACKGROUND: Species-specific reference intervals for laboratory tests are
required for diagnosis and management of disease. Few reports of reference
intervals for biochemical analytes and protein fractions are available for
alpacas. OBJECTIVES: The aims of this study were to establish reference intervals
for biochemical analytes measured in both serum and plasma and in serum protein
fractions, and to determine the influence of herd and sex on test results in a
population of alpacas. METHODS: Blood was collected from 74 healthy male and
female adult alpacas (Vicugna pacos) from 5 herds into tubes with no
anticoagulant or with sodium heparin and analyzed within 4 hours. Biochemical
analytes and ionized calcium were measured using a Hitachi P modular automated
chemistry analyzer and an ABL-800 Flex blood-gas analyzer, respectively, and
protein fractions were measured by agarose gel electrophoresis of serum.
Nonparametric statistical methods were used to determine reference intervals,
results obtained from serum and plasma were compared, and effects of herd and sex
were examined. RESULTS: Serum and plasma samples from 71 and 74 alpacas,
respectively, were used to establish reference intervals for serum and plasma
biochemical analytes. Intervals were similar, although clinically relevant
differences between creatine kinase activity and phosphate concentration were
found in individual animals. Serum proteins from 60 alpacas were analyzed by
electrophoresis. There were significant herd- and sex-associated differences in
some biochemical analytes and protein fractions; however, most had minimal impact
on reference interval determination, with the exception of herd-associated
effects on concentrations of urea nitrogen, ionized calcium, and bile acids and
transferrin saturation. CONCLUSIONS: Serum and plasma reference intervals are
interchangeable; however, consistency of sample type is imperative when
performing serial testing. Use of laboratory- and instrument-specific reference
intervals is optimal; however, intervals reported here may be used as a guide for
interpreting laboratory results from alpacas, especially when test methods are
the same.
PMID- 22092931
TI - Periodontitis in non-smoking type 1 diabetic adults: a cross-sectional study.
AB - AIM: To compare levels of periodontitis in non-smoking type 1 diabetic patients
and controls. MATERIAL AND METHODS: Type 1 diabetic patients, aged 20-55 years,
were recruited from outpatient clinics at five hospitals in Glasgow, UK. Control
subjects were recruited from physiotherapy clinics, using the buddy system and
through an advertisement in a free newspaper. The primary outcome was severe
periodontitis defined by clinical attachment level >=6 mm on >=1 tooth. RESULTS:
There were 34 well controlled patients (HbA1c <= 7.5%), 169 poorly controlled
patients and 112 non-diabetic subjects. Prevalence of severe periodontitis was
higher in all type 1 diabetic patients [24.1% (95% CI: 18.4-30.6%)] and poorly
controlled patients [27.2% (20.7-34.6%)] than in controls [20.5% (13.5-29.2%)].
The fully adjusted odds ratios (ORs) in never smokers comparing the whole
diabetic group, and the poorly controlled group, with the control group were 1.35
[0.66-2.8] (p = 0.41) and 1.58 [0.75-3.33] (p = 0.23), respectively. Mean
clinical attachment level was higher in all type 1 diabetic and poorly controlled
patients than in controls (both p < 0.001). CONCLUSIONS: These results indicate
worse periodontal health in type 1 diabetic patients than in control subjects.
TRIAL REGISTRATION: This study was registered with the UKCRN, ID: 9314 and
Scottish Diabetes Research Network registration number: 128.
PMID- 22092932
TI - Cytological evaluation of the effect of azoxystrobin and alternative oxidase
inhibitors in Botrytis cinerea.
AB - Azoxystrobin (AZ), a strobilurin-derived fungicide, is known to inhibit
mitochondrial respiration in fungi by blocking the electron transport chain in
the inner mitochondrial membrane. Germination was strongly inhibited when
Botrytis cinerea spore suspension was treated with AZ and the alternative oxidase
(AOX) inhibitors, salicylhydroxamic acid (SHAM) and n-propyl gallate. However,
chemical death indicators trypan blue and propidium iodide showed that those
spores were still alive. When the spore suspension in the AZ and SHAM solution
was replaced with distilled water, the germination rate almost recovered, at
least during the first 2 days of incubation with AZ and SHAM solution. No
morphological alteration was detected in the cells treated with AZ and SHAM,
especially in mitochondria, using transmission electron microscopy. Therefore,
simultaneous application of AZ and AOX inhibitors has a fungistatic, rather than
a fungicidal, action.
PMID- 22092933
TI - The relation between coronary artery calcification in asymptomatic subjects and
both traditional risk factors and living in the city centre: a DanRisk substudy.
AB - OBJECTIVE: To evaluate the association between the risk factor for living in the
city centre as a surrogate for air pollution and the presence of coronary artery
calcification (CAC) in a population of asymptomatic Danish subjects. DESIGN AND
SUBJECTS: A random sample of 1825 men and women of either 50 or 60 years of age
were invited to take part in a screening project designed to assess risk factors
for cardiovascular disease (CVD). Noncontrast cardiac computed tomography was
performed on all subjects, and their Agatston scores were calculated to evaluate
the presence of subclinical coronary atherosclerosis. The relationship between
CAC and several demographic and clinical parameters was evaluated using
multivariate logistic regression. RESULTS: A total of 1225 individuals
participated in the study, of whom 250 (20%) were living in the centres of major
Danish cities. Gender and age showed the greatest association with the presence
of CAC: the odds ratio (OR) for men compared with women was 3.2 [95% confidence
interval (CI) 2.5-4.2; P < 0.0001], and the OR for subjects aged 60 versus those
aged 50 years was 2.2 (95% CI 1.7-2.8; P < 0.0001). Other variables independently
associated with the presence of CAC were diabetes and smoking with ORs of 2.0
(95% CI 1.1-3.5; P = 0.03) and 1.9 (95% CI 1.4-2.5, P < 0.0001), respectively.
The adjusted OR for subjects living in city centres compared to those living
outside was 1.8 (95% CI 1.3-2.4; P = 0.0003). CONCLUSION: Both conventional risk
factors for CVD and living in a city centre are independently associated with the
presence of CAC in asymptomatic middle-aged subjects.
PMID- 22092934
TI - In vivo reflectance confocal microscopy for varicella prompt diagnosis and
treatment in a severely immunosuppressed patient.
PMID- 22092936
TI - Alkaline Fe(III) reduction by a novel alkali-tolerant Serratia sp. isolated from
surface sediments close to Sellafield nuclear facility, UK.
AB - Extensive denitrification resulted in a dramatic increase in pH (from 6.8 to 9.5)
in nitrate-impacted, acetate-amended sediment microcosms containing sediment
representative of the Sellafield nuclear facility, UK. Denitrification was
followed by Fe(III) reduction, indicating the presence of alkali-tolerant, metal
reducing bacteria. A close relative (99% 16S rRNA gene sequence homology) to
Serratia liquefaciens dominated progressive enrichment cultures containing
Fe(III)-citrate as the sole electron acceptor at pH 9 and was isolated
aerobically using solid media. The optimum growth conditions for this
facultatively anaerobic Serratia species were investigated, and it was capable of
metabolizing a wide range of electron acceptors including oxygen, nitrate, FeGel,
Fe-NTA and Fe-citrate and electron donors including acetate, lactate, formate,
ethanol, glucose, glycerol and yeast extract at an optimum pH of c. 6.5 at 20
degrees C. The alkali tolerance of this strain extends the pH range of highly
adaptable Fe(III)-reducing Serratia species from mildly acidic pH values
associated with acid mine drainage conditions to alkali conditions representative
of subsurface sediments stimulated for extensive denitrification and metal
reduction.
PMID- 22092935
TI - Presence of functional, autoreactive human milk-specific IgE in infants with
cow's milk allergy.
AB - BACKGROUND: Occasionally, exclusively breastfed infants with cow's milk allergy
(CMA) remain symptomatic despite strict maternal milk avoidance. OBJECTIVE: To
determine whether or not persistence of symptoms could be due to sensitization
against endogenous human milk proteins with a high degree of similarity to bovine
allergens. METHODS: Ten peptides representing known bovine milk IgE-binding
epitopes [alpha-lactalbumin (ALA), beta- and kappa-casein] and the corresponding,
highly homologous human milk peptides were labelled with sera from 15 breastfed
infants with CMA, aged 3 weeks to 12 months, and peptide (epitope)-specific IgE
antibodies were assessed. Nine of the 15 breastfed infants became asymptomatic
during strict maternal avoidance of milk and other major food allergens; six
infants remained symptomatic until weaned. Ten older children, aged 5-15 years,
with CMA were also assessed. The functional capacity of specific IgE antibodies
was assessed by measuring beta-hexosaminidase release from rat basophilic
leukaemia cells passively sensitized and stimulated with human and bovine ALA.
RESULTS: A minimum of one human milk peptide was recognized by IgE antibodies
from 9 of 15 (60%) milk-allergic infants, and the majority of older children with
CMA. Genuine sensitization to human milk peptides in the absence of IgE to bovine
milk was occasionally seen. There was a trend towards specific IgE being detected
to more human milk peptides in those infants who did not respond to the maternal
milk elimination diet than in those who did (P = 0.099). Functional IgE antibody
to human ALA was only detected in infants not responding to the maternal diet.
CONCLUSIONS AND CLINICAL RELEVANCE: Endogenous human milk epitopes are recognized
by specific IgE from the majority of infants and children with CMA. Such
autoreactive, human milk-specific IgE antibodies appear to have functional
properties in vitro. Their role in provoking allergic symptoms in infants
exclusively breastfed by mothers strictly avoiding dietary milk remains unclear.
PMID- 22092937
TI - Bio-Oss(r) blocks combined with BMP-2 and VEGF for the regeneration of bony
defects and vertical augmentation.
AB - OBJECTIVES: The aim of this study was to evaluate the bone formation rate and
osseointegration of Bio-Oss((r)) blocks combined with rhBMP-2 and rhVEGF in bony
defects and after vertical augmentation. MATERIAL AND METHODS: Bio-Oss((r))
blocks plus rhBMP-2 (BMP), Bio-Oss((r)) blocks plus rhVEGF (VEGF), or Bio
Oss((r)) blocks plus rhBMP-2 and rhVEGF (BMPVEGF) were inserted in "critical size
defects" (CSD) in the calvariae of adult pigs. Control defects were filled with
collagen carrier (Lyostypt((r)) ) plus growth factors and untreated Bio-Oss((r))
blocks (CO). In a second group, Bio-Oss((r)) blocks plus growth factors and
untreated Bio-Oss((r)) blocks were used for vertical augmentation of the
calvariae. In the first group, the investigation time was 30 days, in the second
group it was 30 and 60 days. The bone samples were investigated
histomorphometrically, and the newly formed bone (BV/TV) was judged by
microradiographic investigation. RESULTS: In the CSD model, the newly formed bone
in the region of interest was not significantly different within the groups. In
the second setting, the inserted bone blocks exhibited sufficient volume
stability with increasing bone formation up to 9.33% +/- 3.92% for BMP, 10.42% +/
1.81% for BMP/VEGF, 11.01% +/- 4.78% for VEGF, and 10.02% +/- 5.43% for the
control group after 60 days. CONCLUSION: In the chosen setting and time frame, de
novo bone formation did not increase with the additional use of growth factors.
PMID- 22092938
TI - On electronic fetal heart rate monitoring.
PMID- 22092939
TI - Relation between bone quality values from ultrasound transmission velocity and
implant stability parameters--an ex vivo study.
AB - AIM: The objective of this study was to determine the relationship between bone
qualities measured by ultrasound transmission velocity (UTV) and primary implant
stability parameters measured by radiofrequency analysis (RFA) and push-out test
(POT) in an ex-vivo model. MATERIALS AND METHODS: Three blocks of fresh porcine
bone samples were obtained from different anatomic regions, correlating to
cortical, mixed and cancellous bone. Mechanical bone qualities of these samples
were measured using UTV (expressed in m/s) prior to implantation. Three similar
implants (4.1 * 11 mm, AstraTech OS) were inserted into each of the procured bone
blocks. The evaluation of implant-bone interface stability was evaluated by RFA
expressed as implant stability quotient (ISQ), and POT measured in Newton (N).
RESULTS: For cortical, mixed and cancellous bone samples UTV values showed a mean
of 2049.33, 1728.67 and 1427.67 m/s, respectively. For the implants inserted into
cortical, mixed and cancellous bone samples the mean RFA (ISQ) values were 94.33,
81.33 and 63.11, whereas the POT values were >2000, 680 and 290 N, respectively.
There was a strong correlation between UTV values and implant stability
parameters that was shown descriptively by scatter box plots. CONCLUSION: The
bone quality measurements obtained by UTV values significantly correlated to
primary implant stability values measured by RFA and push-out test. Moreover, UTV
was able to significantly differentiate between the different bone types. This
suggested that UTV may be considered as a reasonable instrument to measure bone
quality preoperatively and would help clinicians predict primary implant
stability before implant insertion.
PMID- 22092940
TI - Risk variables in the association between frequency of alcohol consumption and
periodontitis.
AB - OBJECTIVE: Investigate the association between the frequency of alcohol
consumption and periodontitis. Moreover, evaluate the influence of biological,
behavioural, and social risk variables in this association. METHODS: Sample was
comprised by 542 subjects of both genders, 35-55 years of age, who underwent a
complete periodontal examination, and was divided into four groups according to
the frequency of alcohol use, based on alcohol use disorders identification test
(AUDIT) and Cut-down, Annoyed, Guilty, Eye-opener (CAGE) instruments: (1) no or
occasional alcohol use (NA), (2) moderate alcohol use (MA), (3) intense alcohol
use (IA) and (4) alcohol dependence (DA). Associations between the occurrence of
periodontitis and potential risk variables were analysed by univariate and
multivariate logistic regression stratified by smoking status when appropriate.
RESULTS: The prevalence of periodontitis in NA, MA, IA and DA groups were 17.2%,
24.0%, 29.6% and 53%, respectively. Alcohol odds ratio (OR) estimates
significantly increased with an increase in consumption frequency (DA>IA>MA>NA)
and were approximately two times higher in smokers (OR = 3.43 to 7.91) compared
to non-smokers (OR = 1.22 to 3.02). CONCLUSION: Occurrence of periodontitis among
alcohol users were high and the frequency of alcohol consumption increased the
odds of periodontitis incrementally mainly in smokers.
PMID- 22092941
TI - Maintaining tissue orientation during mohs micrographic surgery: scalpel versus
marker.
AB - BACKGROUND: Critical to the accuracy of Mohs surgery is the ability to maintain
proper orientation of excised tissue with respect to the surrounding skin.
Several techniques have been described for maintaining this orientation, although
no prior investigations directly compare these techniques. OBJECTIVE: To compare
the incidence of tissue orientation loss resulting from inability to identify
skin score marks with that occurring from failure to identify marks made using a
gentian violet marker during Mohs micrographic surgery (MMS). We also sought to
determine the incidence of scars resulting from skin scoring. MATERIALS AND
METHODS: Patients undergoing MMS were prospectively randomized to have their
tissue margins oriented using light scoring using a scalpel versus marking them
using a gentian violet marker. Incidence of scoring scars and tissue orientation
loss were the primary outcome measures. RESULTS: Data were analyzed for 101
tumors. There were no instances of tissue orientation loss in the scalpel or
marker arms, nor were there any visible score mark scars at follow-up.
CONCLUSION: Incidence of excessive scars resulting from lightly scored tissue or
loss of tissue orientation caused by lost gentian violet markings appears to be
low. Both methods worked well within the confines of this study.
PMID- 22092942
TI - Commentary on treatment of acne scars in Asian Patients using a 2,790-nm
fractional yttrium scandium gallium garnet laser.
PMID- 22092943
TI - Giant schwannoma of the little finger.
PMID- 22092944
TI - Letter: "mushroom-cloud sign" of melanoma.
PMID- 22092945
TI - Letter: successful treatment of multiple miliary osteomas of the face using an
erbium-doped yttrium aluminum garnet laser.
PMID- 22092946
TI - Letter: treatment of ingrown toenail with a novel instrument.
PMID- 22092947
TI - Prevalence and diversity of allergic rhinitis in regions of the world beyond
Europe and North America.
AB - BACKGROUND: There is comparatively little information in the public domain on the
diversity in prevalence and triggers/factors associated with allergic rhinitis
(AR) or allergic rhinoconjunctivitis (AR/C) in countries beyond western-Europe
and North America. OBJECTIVE: To review the prevalence and the sensitizing
agents/triggers and factors associated with AR/C in several countries in Africa,
the Asia-Pacific region, Australia, Eastern Europe, Latin America, Middle East
and Turkey. METHODS: Articles published in English in peer-reviewed journals were
assessed and selected for further review, following an extensive literature
search using the Medline database. RESULTS: This review demonstrated that
prevalence of AR and AR/C in these regions has predominantly been investigated in
children; with studies indicating wide inter- and intra-regional variations
ranging from 2.9% AR and 3.8% AR/C in 10-18-years-old children from one region in
Turkey to 54.1% AR and 39.2% AR/C in 13-14-years-old children in one region in
Nigeria. Moreover, the prevalence of AR and AR/C has increased markedly over the
last decade particularly in some of the more affluent African countries, China
Taiwan and several Middle East countries, likely as a consequence of improved
living standards leading to increased exposure to multiple traditional and non
traditional sensitizing agents and risk factors similar to those noted in western
Europe and North America. CONCLUSIONS AND CLINICAL RELEVANCE: Our findings
suggest that the greater diversity in prevalence of AR or AR/C in populations in
these regions is in contrast to the lower diversity of AR or AR/C in the 'western
populations (USA and Europe), which tend to be more uniform. This review provides
a comprehensive database of the important allergens and triggers which are likely
to influence the prevalence of allergic rhinitis in these diverse regions, where
the prevalence of allergic rhinitis is increasing and its adverse impact on the
quality of life of affected individuals is increasingly recognised.
PMID- 22092948
TI - Just scratching the surface: an expanding view of the Cpx envelope stress
response.
AB - To detect and effectively respond to damage to the cell envelope, Gram-negative
bacteria possess multiple envelope stress responses. Among these, the CpxAR two
component system has been shown to sense the presence of misfolded periplasmic
proteins and increase the production of envelope-localized protein folding and
degrading factors in response. However, recent studies have revealed that
additional parameters, such as adhesion and central metabolism, can also be
sensed by the Cpx signalling system. The discovery that the Cpx regulon contains
dozens to hundreds of genes indicates that the cellular functions of the Cpx
response are also likely much broader than previously realized. These newly
recognized functions include other aspects of envelope maintenance, communication
with other regulatory pathways, and pathogenesis. A new model is emerging in
which the Cpx response integrates diverse signals and promotes cell survival by
protecting the envelope in multiple ways.
PMID- 22092949
TI - Twelve-month fall outcomes among delirium subtypes.
AB - PURPOSE: The purpose of this study was to examine falls as an outcome measure at
12 months for two-group comparison (delirium cases and noncases) and five-group
comparison (noncases, hypoactive, hyperactive, mixed delirium cases, and
subsyndromal delirium cases). DESIGN: Three hundred and twenty patients enrolled
in the Delirium in Rural Long-Term Care Facilities Study, which examined subjects
for delirium during a 28-day surveillance period, were followed longitudinally
for fall events for 12 months. Fall events were recorded and data analyzed using
date of "first fall" as the referent for statistical analysis. METHODS: Fall
reports were completed for all subjects for 12 months following delirium
surveillance. Fall records were reviewed and the number of days until the first
fall event was calculated. Data were censored for deaths that occurred during the
12-month period. FINDINGS: Five group analysis of variance (noncases, hyperactive
delirium, hypoactive delirium, mixed delirium, and subsyndromal delirium) showed
significant differences in Functional Independence Measure scores (p = .001) and
number of medications (p = .001). The percentage of patients who fell was higher
in all delirium subtypes than in noncases at 12 months and was statistically
significant for subsyndromal subjects. Two patients, one each from the
subsyndromal and mixed delirium subtypes, died from injuries sustained during
falls. CONCLUSIONS: Delirium appears to increase the risk for falls. CLINICAL
RELEVANCE: Increased surveillance and fall prevention strategies are needed for
patients who experience transient cognitive changes such as delirium and
subsyndromal delirium, even after delirium resolution.
PMID- 22092950
TI - Skin surface hydration decreases rapidly during long distance flights.
AB - INTRODUCTION: Dehydration of the stratum corneum leads to sensations and symptoms
of 'dry skin' such as skin tightness and itchiness. As these complaints are
frequently experienced by airline travellers, the aim of this study was to
investigate the changes in skin surface hydration during long distance flights.
METHODS: The study was performed on four healthy Caucasian, and on four Japanese
women aged 29-39 years, travelling on long distance flights. They had stopped
using skin care products at least 12 h before, and did not apply them during the
flights. The air temperature and relative humidity inside the cabin, as well as
skin capacitance of the face and forearm of participants, were registered at
several time points before and during the flights. RESULTS: Relative humidity of
the aircraft cabin dropped to levels below 10% within 2 h after take-off and
stayed at this value throughout the flight. Skin capacitance decreased rapidly on
both the face and forearms with most pronounced changes on the cheeks where it
decreased by up to 37%. CONCLUSION: Our results demonstrate that during long
distance flights, the aircraft cabin environment leads to a rapid decrease in
stratum corneum hydration, an alteration, which probably accounts for the
discomfort experienced by long distance aircraft travellers.
PMID- 22092952
TI - Midface rejuvenation: an innovative technique to restore cheek volume.
PMID- 22092951
TI - Microbial community analysis in the termite gut and fungus comb of Odontotermes
formosanus: the implication of Bacillus as mutualists.
AB - The microbial communities harbored in the gut and fungus comb of the fungus
growing termite Odontotermes formosanus were analyzed by both culture-dependent
and culture-independent methods to better understand the community structure of
their microflora. The microorganisms detected by denaturing gradient gel
electrophoresis (DGGE), clonal selection, and culture-dependent methods were
hypothesized to contribute to cellulose-hemicellulose hydrolysis, gut
fermentation, nutrient production, the breakdown of the fungus comb and the
initiation of the growth of the symbiotic fungus Termitomyces. The predominant
bacterial cultivars isolated by the cultural approach belonged to the genus
Bacillus (Phylum Firmicutes). Apart from their function in lignocellulosic
degradation, the Bacillus isolates suppressed the growth of the microfungus
Trichoderma harzianum (genus Hypocrea), which grew voraciously on the fungus comb
in the absence of termites but grew in harmony with the symbiotic fungus
Termitomyces. The in vitro studies suggested that the Bacillus sp. may function
as mutualists in the termite-gut-fungus-comb microbial ecosystem.
PMID- 22092953
TI - Imaging diagnosis--Ventriculo-peritoneal shunt associated infection in a dog.
AB - Ventriculo-peritoneal shunting is a surgical treatment for hydrocephalus.
Complications of this procedure are not well described in dogs. The most common
complication in humans is infection, which can be fatal if not diagnosed and
treated quickly. We describe the magnetic resonance (MR) imaging characteristics
of a shunt-associated cerebral infection in a dog. The MR features of the
infection included hyperintensity of the lining of the ventricular system visible
on a T2-weighted FLAIR sequence and marked linear contrast enhancement of the
ependymal layer on T1-weighted sequences, similar to that described in people.
PMID- 22092954
TI - Bacterivory by phototrophic picoplankton and nanoplankton in Arctic waters.
AB - Mixotrophy, the combination of phototrophy and heterotrophy within the same
individual, is widespread in oceanic systems. Yet, neither the presence nor
ecological impact of mixotrophs has been identified in an Arctic marine
environment. We quantified nano- and picoplankton during early autumn in the
Beaufort Sea and Canada Basin and determined relative rates of bacterivory by
heterotrophs and mixotrophs. Results confirmed previous reports of low microbial
biomass for Arctic communities in autumn. The impact of bacterivory was
relatively low, ranging from 0.6 * 10(3) to 42.8 * 10(3) bacteria mL(-1) day(-1)
, but it was often dominated by pico- or nanomixotrophs. From 1% to 7% of the
photosynthetic picoeukaryotes were bacterivorous, while mixotrophic nanoplankton
abundance comprised 1-22% of the heterotrophic and 2-32% of the phototrophic
nanoplankton abundance, respectively. The estimated daily grazing impact was
usually < 5% of the bacterial standing stock, but impacts as high as 25%
occurred. Analysis of denaturing gradient gel electrophoresis (DGGE) band
patterns indicated that communities from different depths at the same site were
appreciably different and that there was a shift in community diversity at the
midpoint of the cruise. Sequence information from DGGE bands reflected microbes
related to those from other Arctic studies, particularly from the Beaufort Sea.
PMID- 22092955
TI - The effect of using a relaxation tape on pulse, respiration, blood pressure and
anxiety levels of surgical patients.
AB - AIM: This study aims to investigate the effect of a relaxation tape on levels of
anxiety in surgical patients. BACKGROUND: Surgery is a stressful event for
patients. Because of uncertainty regarding surgery and anaesthesia, patients
often experience heightened anxiety and fear. DESIGN: A one-group pretest-post
test quasi-experimental design. METHODS: Samples were taken from surgical
patients in a medical centre in northern Taiwan. The patients were given
relaxation tapes the day before their scheduled surgery. Tests were conducted
before and after patients listened to the tapes. STAI and respiration, pulse and
blood pressure were used to collect data measurements on the anxiety level of
these patients. RESULTS: The average age of 80 patients was 43.14 (SD 17.27)
years. After the patients listened to the relaxation tape, their respiration rate
dropped from 18.4 (SD 6.9) -17.8 (SD 7.4), pulse rate dropped from 81.9 (SD 33.5)
- (SD 33.7), systolic blood pressure decreased from 125.4 (SD 16) mmHg - 121.5
(SD 13.4) mmHg and STAI score dropped from 50.9 (SD 11.1) - 41.1 (SD 9.8). They
all showed a significant level of difference (p < 0.05). A one-time listen to the
tape during the entire hospital stay was the experience of the majority (66.3%)
and indicated that the STAI score can be further reduced by increasing the number
of tape listening sessions (F = 14.1, p < 0.001). CONCLUSION: The results show
that a relaxation tape can significantly reduce the level of anxiety and vital
signs related to anxiety in surgical patients. Relevance to clinical practice.
The results of this study can provide an empirical basis for nursing treatments.
We recommend that relaxation tapes be included in standard of care to alleviate
anxiety in surgical patients.
PMID- 22092956
TI - Bacterial communities associated with a mineral weathering profile at a sulphidic
mine tailings dump in arid Western Australia.
AB - We investigated bacterial community assemblages and functions down a hill slope
contaminated by tailings from a volcanogenic massive sulphide mine in arid
Western Australia. Weathering of waste rock, high in S and Fe, had resulted in a
varying elemental dispersal down a face of the tailings hill. Bacterial community
assemblage, characterised by PCR-DGGE fingerprinting, was significantly
associated with electrical conductivity (E.C.) (rho = 0.664; P < 0.01). Analysis
of mobile salts showed that E.C. values were driven by ionic S, Zn, Cl and Al.
The bacterial community assemblage was directly characterised across an E.C.
gradient using an oligonucleotide microarray (PhyloChip). The dominant taxa at
the site were Proteobacteria, Actinobacteria and Firmicutes; however, 37 phyla
were detected. The most responsive taxa to variation in E.C. was Acidobacteria
(negative correlation). Patterns of heterotrophic processes (BioLog analysis)
were also best explained by variation in E.C. (rho = 0.53; P < 0.01), showing a
link between primary mineral weathering by lithotrophic bacteria and abiotic
processes, and secondary biogeochemical processes by heterotrophic taxa. These
data significantly broaden our knowledge of the bacteria present in
metallomorphic ecosystems, establish that mobile phase elements are key drivers
of community structure, and that primary biogeochemical cycling is directly
influencing other geochemical interactions in the samples.
PMID- 22092957
TI - The effect of loading in regenerated bone in dehiscence defects following a
combined approach of bone grafting and GBR.
AB - OBJECTIVES: To evaluate by histology the effect of loading on the regenerated
bone at dehiscence type defects around implants when treated with a combined
approach of bone grafting and guided bone regeneration (GBR). MATERIALS AND
METHODS: In twelve Gottingen mini-pigs, the lower premolars and first molars were
extracted and the alveolar process was reduced in width. After 3 months, two
Straumann SLActive (Straumann AG, Basel, Switzerland) implants were placed in
each hemi-mandible. Twelve implants were placed into the reduced alveolar ridge
(group P) with no further defect or treatment on the site, while on 36 implants,
buccal dehiscence defects were created and treated as follows: Group T1:
synthetic bone substitute (Straumann Bone Ceramic, SBC, Straumann AG). Group T2:
SBC with a polyethylene glycol membrane (Straumann MembraGel, Straumann AG);
Group N: the dehiscence remained untreated. Three months following implantation,
long, custom-made, healing abutments were placed in one hemi-mandible only to
ensure functional loading. After 2 months, histological analysis was performed.
RESULTS: A trend for lower residual defect height and higher bone-to-implant
contact was observed in the loaded sites compared with non-loaded sites in groups
P, T1 and N. In group T2, the opposite effect was observed. In terms of bone
formation, sites treated with SBC grafting and GBR (group T2) exhibited the
largest surface area of regenerated bone followed by T1 and N. Significant
resorption of the graft particles was noted in group T2 and the graft surface
area occupied by SBC was significantly higher in group T1 compared with group T2
(P < 0.05). CONCLUSIONS: Loading may have a positive effect on bone-to-implant
contact in implants inserted in pristine bone or inserted in dehiscence sites and
treated by grafting/no grafting.
PMID- 22092958
TI - Comparative investigation of the effects of different materials used with a
titanium barrier on new bone formation.
AB - OBJECTIVES: In this study, we aimed to study the effects on new bone formation of
autogenous blood, deproteinized bovine bone graft (DBBG), and biphasic calcium
phosphate (BCP) bioceramic graft materials placed under titanium barriers.
MATERIAL AND METHODS: Twenty-four adult male New Zealand white rabbits were used
in this study. Two titanium barriers were fixed on each rabbit's calvarium. The
study included four groups, one of which was a control group. The experiment
groups, autogenous blood, DBBG (Bio-Oss((r)) ; Geistlich Biomaterials, Wolhusen,
Switzerland) and BCP (4Bone(TM) ; Biomatlante, Vigneux de Bretagne, France) were
placed under titanium barriers; in the control group, no materials were used.
Half of the animals were sacrificed after 1 month, and the rest were sacrificed
after 3 months. After this, micro-computerized tomography images were taken, and
a histomorphometric evaluation was carried out. RESULTS: The amounts of new bone
formation were found to be higher at the third month than at the first month. The
differences between the groups were examined with both a micro-computerized
tomography and histomorphometric analysis, and no statistical significance was
noted in the first month. In contrast, the increase in the amount of new bone
formation at the third month was found to be statistically significant only
between the DBBG and control groups (P < 0.05). CONCLUSION: Autogenous blood with
titanium barriers in guided bone regeneration seems to be as successful as DBBG
and BCP bioceramic graft material.
PMID- 22092959
TI - Detecting and measuring small numbers of viable Coxiella burnetii.
AB - Coxiella burnetii is an acidophilic, intracellular bacterium that causes the
human disease Q fever. In some studies, it is important to distinguish between
viable and nonviable C. burnetii. We compared four methods for detecting and
measuring viable C. burnetii in biological samples as follows: growth in two
different cell culture lines, infection of severe combined immunodeficient (SCID)
mice (leading to death) and infection of SCID mice with detection of C. burnetii
in their spleen (after euthanasia at day 50 postinfection). Two isolates of C.
burnetii were used ('Henzerling' and 'Arandale'). Our in-house qPCR assay for C.
burnetii DNA was used as a control. SCID mouse inoculation was more sensitive
than cell culture. The assay that detected C. burnetii in SCID mouse spleens was
slightly more sensitive than SCID mice deaths alone. Approximately one viable C.
burnetii cell could be detected by this method, making it suitable for
determining the viability of C. burnetii in a sample.
PMID- 22092960
TI - Physiological and performance adaptations to an in-season soccer camp in the
heat: associations with heart rate and heart rate variability.
AB - The aim of the present study was to examine the associations between adaptive
responses to an in-season soccer training camp in the heat and changes in
submaximal exercising heart rate (HRex, 5-min run at 9 km/h), postexercise HR
recovery (HRR) and HR variability (HRV). Fifteen well-trained but non-heat
acclimatized male adult players performed a training week in Qatar (34.6 +/- 1.9
degrees C wet bulb globe temperature). HRex, HRR, HRV (i.e. the standard
deviation of instantaneous beat-to-beat R-R interval variability measured from
Poincare plots SD1, a vagal-related index), creatine kinase (CK) activity, plasma
volume (PV) changes, and post-5-min run rate of perceived exertion (RPE) were
collected at six occasions in temperate environmental conditions (22 degrees C).
Players also performed the yo-yo intermittent recovery test level 1 (Yo-Yo IR1)
in the same environmental conditions (22 degrees C), both at the beginning and at
the end of the training week. Throughout the intervention, HRex and HRV showed
decreasing (P < 0.001) and increasing (P < 0.001) trends, respectively, while HRR
remained unaffected (P = 0.84). Changes in HRex [-0.52, 90% confidence limits (
0.64; -0.38), P < 0.001] and SD1 [0.35 (0.19; 0.49), P < 0.001] were correlated
with those in PV. There was no change in RPE (P = 0.92), while CK varied
according to training contents (P < 0.001), without association with HR-derived
measures. Yo-Yo IR1 performance increased by 7 +/- 9% (P = 0.009), which was
correlated with changes in HRex [-0.64 (-0.84; -0.28), P = 0.01]. In conclusion,
we found that an in-season soccer training camp in the heat can significantly
improve PV and soccer-specific physical performance; both of which are associated
with changes in HRex during a 5-min submaximal run.
PMID- 22092961
TI - Immunohistochemical analysis of matrix metalloproteinase-9, vascular endothelial
growth factor, bone sialoprotein and i-nitric oxide synthase in calvaria vs.
iliac crest bone grafts.
AB - OBJECTIVES: The aim of this study was to investigate, in parallel to clinical and
histological modifications, the expression of specific proteins involved in
different extraoral autologous bone grafts integration in humans. MATERIAL AND
METHODS: Patients needing oral rehabilitation of posterior maxilla, with
inadequate bone volume for implant placement, received bone grafts from calvaria
(Group 1) and iliac crest (Group 2), respectively. From five patients from each
group, with a total of 10 subjects, bone biopsy specimens were collected at two
different experimental time points: at bone blocks withdrawal for grafting (T0),
from donor sites, and after 4 months, from reconstructed sites. Samples were
processed for light microscope and immunohistochemical analyses to evaluate MMP9,
VEGF, BSP, iNOS expression. RESULTS: Morphological analysis of T0 calvaria
evidenced areas of extracellular matrix, uniformly stained and organized in
concentric mineralized lamellae edging few vascular canals, while T0 iliac crest
showed greater cellularity compared to calvaria, with rare mineralized areas,
surrounding wide bone marrow lacunae. In T1, Group 1 samples showed large areas
of extracellular matrix, uniformly stained, at the same time as Group 2 samples
disclosed few areas of mineralized tissue. Although no significant differences
were found in proteins expression among calvaria and iliac crest T0 samples,
MMP9, VEGF and BSP expression at T1 were discovered higher in Group 1 samples
than in Group 2 ones, while iNOS expression increased in Group 2 samples compared
to the others. In any group, molecules expression increased passing from T0 to
T1. CONCLUSION: These findings suggested that, even though clinically both
extraoral sources of autologous bone could be considered suitable for grafting in
case of large oral rehabilitation, some differences might be detected
microscopically and biologically. Calvaria bone graft seemed to enhance not only
the quantity of bone tissue at the defect site, but also its quality, better than
iliac crest bone do. Then, while both grafts appeared to promote a suitable
neoangiogenesis, as showed by morphological analysis and by MMP9 and VEGF
expression, in terms of new bone formation and lack of occurrence of inflammatory
events, calvaria could be considered a more suitable donor site for bone grafts.
PMID- 22092962
TI - Double-blind, randomized, controlled clinical trial to compare safety and
efficacy of a metallic cannula with that of a standard needle for soft tissue
augmentation of the nasolabial folds.
AB - BACKGROUND: Injection-related side effects and complications are likely to occur
during or after filler injections; they are mainly caused by the injection
technique. OBJECTIVE: To assess safety and efficacy of a metallic cannula to
inject hyaluronic acid (HA) filler in the nasolabial folds and to compare the
safety of this cannula with that of a standard needle. METHODS: This was a
prospective, randomized, phase II, double-blinded study that included 25
participants with Grade 2 to 3 for bilateral nasolabial folds according to the
Modified Fitzpatrick Wrinkle Scale (MFWS). The side of the injection was
randomized (cannula or needle), and 0.5 mL of HA was injected into each
nasolabial fold. RESULTS: At day 3, mean MFWS score fell from 2.40 +/- 0.40 to
1.46 +/- 0.52 (p < .001) on the cannula-injected side and from 2.40 +/- 0.40 to
1.48 +/- 0.60 (p < .001) on the regular needle-injected side. Participants
reported fewer side effects on the side injected with the new tool on the day of
the injections: pain (p = .03), edema (p < .001), redness (p = .01) and hematoma
(p < .001) than on the needle side. CONCLUSION: The new cannula is a safe and
useful tool to inject HA fillers in the nasolabial folds, producing less pain,
edema, hematoma, and redness than regular needles.
PMID- 22092963
TI - Asymptomatic Achilles, patellar, and quadriceps tendinopathy: a longitudinal
clinical and ultrasonographic study in elite fencers.
AB - Lower limb tendon changes detected at imaging are common among asymptomatic
athletes. We aimed to prospectively assess the clinical status, tendon structure,
and vascularity of lower limb tendons of elite fencers, and predict the risk of
developing symptoms over time. Clinical examination, changes at ultrasonography
(US), and Power Doppler (PD) flow of both the Achilles, patellar, and quadriceps
tendon were assessed in 37 elite fencers in January 2007 and 3 years after. Two
hundred and twenty-two tendons were examined. At the last appointment, patellar
tendons diagnosed as abnormal at baseline were more likely to develop symptoms
than those normal at baseline (P < 0.05, Fisher's exact test), while US and PD
abnormalities on Achilles and quadriceps tendons were no predictive for
development of symptoms over years. A very low percentage of tendons diagnosed as
normal at baseline (1.45%) showed US abnormalities at 3-year follow-up. In
asymptomatic elite fencers, structural changes are relatively common at US and PD
assessment of Achilles, quadriceps, and patellar tendons. It seems unlikely that
additional PD investigations provide further information or change prognosis in
patients with US diagnosis of tendinopathy.
PMID- 22092964
TI - Evaluation of Staphylococcus aureus virulence factors using a silkworm model.
AB - Previous studies have indicated that the silkworm model is useful for identifying
virulence genes of Staphylococcus aureus, a human pathogenic bacterium. Here we
examined the scope of S. aureus virulence factors that can be evaluated using the
silkworm model. Gene-disrupted mutants of the agr locus, arlS gene and saeS gene,
which regulate the expression of cell surface adhesins and hemolysins, exhibited
attenuated virulence in silkworms. Mutants of the hla gene encoding alpha
hemolysin, the hlb gene encoding beta-hemolysin, and the psmalpha and psmbeta
operons encoding cytolysins, however, showed virulence in silkworms
indistinguishable from that of the parent strain. Thus, these S. aureus
cytolysins are not required for virulence in silkworms. In contrast, the gene
disrupted mutants of clfB, fnbB and sdrC, which encode cell-wall-anchored
proteins, attenuated S. aureus virulence in silkworms. In addition, the mutant of
the srtA gene encoding sortase A, which anchors cell-wall proteins, showed
attenuated virulence in silkworms. These findings suggest that the silkworm model
can be used to evaluate S. aureus cell-wall proteins and regulatory proteins as
virulence factors.
PMID- 22092965
TI - What is your diagnosis? Serum biochemical data from Sprague-Dawley rats in a
toxicology study.
PMID- 22092966
TI - Effect of dust storm events on daily emergency admissions for respiratory
diseases.
AB - BACKGROUND AND OBJECTIVE: The harmful effect of dust storm on lung health is
controversial. This study aimed to assess any associations between dust storms
and emergency hospital admissions due to respiratory disease in Hong Kong.
METHODS: Data on daily emergency admissions for respiratory diseases to major
hospitals in Hong Kong, and indices of air pollutants and meteorological
variables from January 1998 to December 2002 were obtained from several
government departments. We identified five dust storm days during the study
period. Independent t-tests were used to compare the mean daily number of
admissions on dust storm and non-dust storm days. Case-crossover analysis using
the Poisson regression was used to examine the effects of PM(10) to emergency
hospital admissions due to respiratory diseases. RESULTS: Significant increases
in emergency hospital admission due to COPD were found 2 days after dust storm
episode. The relative risk of PM(10) for lag 2 days was 1.05 (95% CI: 1.01-1.09)
per 10 ug/m(3) . CONCLUSIONS: Dust storms have an adverse effect on emergency
hospital admission for COPD in Hong Kong. This also suggests the adverse effect
of coarse particles on lung health.
PMID- 22092967
TI - Evaluation of therapeutic sublingual vaccines in a murine model of chronic house
dust mite allergic airway inflammation.
AB - BACKGROUND: Second generation therapeutic vaccines based upon recombinant
allergens or natural extracts, potentially formulated in vector systems or
adjuvants, are being developed. To this aim, preclinical studies in relevant
animal models are needed to select proper allergens, formulations and
administration schemes. OBJECTIVE: To develop a chronic house dust mite (HDM)
allergy model to evaluate sublingual therapeutic vaccine candidates. METHODS: The
BABL/c mice that were used were sensitized with Dermatophagoides pteronyssinus
(Dpte) and Dermatophagoides farinae (Dfar) mite extracts by intraperitoneal
injections followed by aerosol exposures. Animals subsequently underwent
sublingual immunotherapy (SLIT) with either Dpte, Dfar or Dpte/Dfar extracts,
twice a week for 8 weeks. SLIT efficacy was assessed by whole body
plethysmography, lung histology and broncho-alveolar lavages cell counts.
Specific T cell and antibody responses to major and minor HDM allergens were
monitored in tissues and serum/saliva, respectively. RESULTS: Mice sensitized to
Dpte and Dfar allergens exhibited strong airway hyperresponsiveness (AHR) and
lung inflammatory infiltrates including eosinophils. Sensitized animals mounted
Th2-biased cellular and humoral responses specific for group 1 and 2 major
allergens, as well as group 5, 7 and 10 minor allergens. This phenotype was
sustained for at least 2 months, allowing the evaluation of immunotherapeutic
protocols with HDM extracts-based vaccines. In this model, SLIT decreased AHR and
Th2 responses and induced HDM-specific IgAs in saliva. The Dpte/Dfar mix proved
the most efficacious when compared to Dpte or Dfar extracts alone. CONCLUSIONS
AND CLINICAL RELEVANCE: The efficacy of a sublingual vaccine based on a Dpte/Dfar
allergen extract mix was demonstrated in a well standardized murine model of
chronic allergic airway inflammation based on clinically relevant mite allergens.
The latter will be used as a benchmark for evaluation of future vaccines,
including recombinant allergens. This HDM allergic airway inflammation animal
model is a useful tool to design and select candidate vaccines to be tested in
humans.
PMID- 22092968
TI - Serum high-density lipoprotein cholesterol level associated with the extent of
periodontal inflammation in type 1 diabetic subjects.
AB - AIM: High-density lipoprotein (HDL) cholesterol is known for its anti
inflammatory and antioxidant activities in protection against cardiovascular
diseases. We investigated whether a protective association also exists between
serum HDL and periodontal inflammation in type 1 diabetic subjects (T1DM).
METHODS: Plaque and periodontal inflammation (bleeding and PD >= 4 mm) were
examined in 80 subjects with T1DM. The serum levels of glycosylated haemoglobin
(HbA1c, %) and HDL (mmol/l) were determined. Adjusted associations between
inflammation and serum HDL were analysed using linear regression analysis. To
study the linearity of the association, the subjects were categorized into HDL
tertiles (I-III). RESULTS: A statistically significant negative association was
observed between serum HDL level and the extent of bleeding and PD >= 4 mm.
Subjects in HDL tertiles II and III (high HDL) presented significantly fewer
inflamed sites when compared with the subjects in tertile I (low HDL), whereas no
significant difference in the number of inflamed sites was observed between
tertiles II and III. CONCLUSIONS: Based on the finding of a negative association
between serum HDL and periodontal inflammation, HDL may be considered a marker of
susceptibility to periodontal inflammation. A longitudinal study is needed to
verify possible causal relationship between serum HDL and inflammation.
PMID- 22092969
TI - Changes of oxygen content in facial skin before and after cigarette smoking.
AB - BACKGROUND/PURPOSE: Cigarette smoking not only causes systemic health problems,
but may also be an underlying cause of premature skin aging. Cigarette smokers
frequently have morphological changes in facial skin that may be attributed to
reduced oxygen in this region. The purpose of this study was to measure the
oxygen content in facial skin before and after smoking. METHODS: Twenty-five
volunteers participated in this study. Changes in oxygen content of the facial
skin were measured before and after 30 min of cigarette smoking. Skin temperature
and oxygen content were evaluated in the periorbital and periolar regions.
RESULTS: There was a significant increase in temperature after smoking. The oxy
hemoglobin and partial pressure of oxygen decreased in both the periocular and
perioral areas after smoking. There were no changes in deoxy hemoglobin and
partial pressure of carbon dioxide at these areas. CONCLUSION: Significant
changes were seen in temperature and oxygen content after only 30 min of smoking.
The results from this study suggest that alterations in the skin temperature and
oxygen content in facial skin after smoking may be an underlying cause of
premature skin aging.
PMID- 22092970
TI - Expression and regulation of CCL15 by human airway smooth muscle cells.
AB - BACKGROUND: Structural cells are an important reservoir of chemokines that
coordinate the influx of various immune cells to the lungs of asthmatics. Airway
smooth muscle cells (ASMC) are an important source of these chemokines. CCL15 is
a recently described chemo-attractant for neutrophils, eosinophils, monocytes and
lymphocytes. OBJECTIVE: To determine the production and the regulation of CCL15
by ASMC and to investigate its production in asthmatic airways. METHODS: Human
ASMC were obtained from main bronchial airway segments of patients with mild,
moderate and severe asthma. To induce chemokine production, cells were incubated
with IL-4, IL-13, TNF-alpha or IFN-gamma in presence or absence of dexamethasone,
mithramycin A (SP-1 inhibitor) or the IKK-2 inhibitor, AS602868. CCL15 mRNA
expression was evaluated by real-time PCR. Immunoreactive CCL15 was detected by
immuno-fluorescence and CCL15 protein concentration in the supernatant was
measured using ELISA. RESULTS: CCL15 is constitutively expressed in human ASMC
and is strongly up-regulated by TNF-alpha. This up-regulation is inhibited by
dexamethasone, mithramycin A and AS602868. TNF-alpha-induced CCL15 levels can be
synergistically enhanced by the presence of IFN-gamma, at both the
transcriptional and translation level. This synergism is NF-kappaB-dependent.
Asthmatic biopsies demonstrated higher expression of CCL15 compared with non
asthmatic controls. CONCLUSION AND CLINICAL RELEVANCE: Our results show that ASMC
are a potent source of CCL15 in the airways and may directly participate in the
recruitment of inflammatory cells to asthmatic airways. Targeting the production
of CCL15 by ASMC might reduce the inflammatory response within the airways of
asthmatic patients.
PMID- 22092971
TI - Carbon source control of the phosphorylation state of the Bacillus subtilis
carbon-flux regulator Crh in vivo.
AB - Bacillus subtilis possesses carbon-flux regulating histidine protein (Crh), a
paralog of the histidine protein (HPr) of the phosphotransferase system (PTS).
Like HPr, Crh becomes (de)phosphorylated in vitro at residue Ser46 by the
metabolite-controlled HPr kinase/phosphorylase HPrK/P. Depending on its
phosphorylation state, Crh exerts regulatory functions in connection with
carbohydrate metabolism. So far, knowledge on phosphorylation of Crh in vivo has
been limited and derived from indirect evidence. Here, we studied the dynamics of
Crh phosphorylation directly by non-denaturing gel electrophoresis followed by
Western analysis. The results confirm that HPrK/P is the single kinase catalyzing
phosphorylation of Crh in vivo. Accordingly, phosphorylation of Crh is triggered
by the carbon source as observed previously for HPr, but with some differences.
Phosphorylation of both proteins occurred during exponential growth and
disappeared upon exhaustion of the carbon source. During exponential growth, ~80%
of the Crh molecules were phosphorylated when cells utilized a preferred carbon
source. The reverse distribution, i.e. around 20% of Crh molecules
phosphorylated, was obtained upon utilization of less favorable substrates. This
clear-cut classification of the substrates into two groups has not previously
been observed for HPr(Ser)~P formation. The likely reason for this difference is
the additional PTS-dependent phosphorylation of HPr at His15, which limits
accumulation of HPr(Ser)~P.
PMID- 22092972
TI - Evaluation of cortical bone thickness and root proximity at maxillary
interradicular sites for mini-implant placement.
AB - OBJECTIVES: The purpose of this study was to evaluate the interradicular cortical
bone thickness, alveolar process width and root proximity for planning mini
implant placement in the maxillary alveolar process. MATERIAL AND METHODS: Eighty
maxillae (right and left sides) of 40 Japanese adult skulls were examined. The
samples were imaged and measured using a micro-CT system. Buccal and palatal
interradicular cortical bone thickness, alveolar process width, and root
proximity were measured in six interradicular sites from distal of central
incisor to mesial of second molar. Buccal and palatal interradicular cortical
bone thickness and alveolar process width were measured at 10 different vertical
levels. Root proximity was measured at four different vertical levels. RESULTS:
Buccal and palatal interradicular cortical bone thickness and alveolar process
width tended to increase from crest to base of alveolar process. The buccal
interradicular cortical bone thickness between canine and first premolar or
between first premolar and second premolar was the greatest, and between central
incisor and lateral incisor was the least. The palatal interradicular cortical
bone was significantly thicker than the buccal. The root proximity between second
premolar and first molar or first premolar and second premolar was the widest and
between central incisor and lateral incisor it was the narrowest. CONCLUSIONS:
The findings of this study suggest that recommendations when low dose 3D
multislice CT or low dose cone beam imaging is not available, the results of this
research may be useful in providing indicators for selecting the design of the
placement site.
PMID- 22092973
TI - Reimplantation of cultivated human bone cells from the posterior maxilla for
sinus floor augmentation. Histological results from a randomized controlled
clinical trial.
AB - OBJECTIVES: The aim of the present randomized clinical study was to evaluate
histologically whether the addition of cultivated, autogenous bone cells to a
composite graft of deproteinized bovine bone mineral (DBBM) and autogenous bone
(AB) for sinus floor augmentation (SFA) enhance bone formation compared with what
achieved after SFA with DBBM + AB alone. MATERIAL AND METHODS: Twenty patients
with remaining posterior maxillary alveolar crest height of less than 3 mm
received SFA after randomization either with an DBBM and AB composite in a 1 : 1
ratio or with DBBM + AB supplemented with autogenous bone cells, which were
cultivated from a bone biopsy harvested earlier from the tuberosity area. Four
months after SFA, two cylindrical biopsies were taken from the augmented sinuses
concomitantly with the implant site preparation by means of a trephine bur. An
additional biopsy was taken from the tuberosity area. Bone density at the
augmented sinus and the tuberosity area and the height of augmentation were
estimated on non-decalcified histological sections prepared from the biopsies. A
relative bone density index (RBD) was also calculated by dividing bone density at
the augmented sinus with bone density at the tuberosity area. RESULTS: All
patients but one could receive two implants after SFA; in one patient, only one
implant could be placed. All implants were osseointegrated and could be loaded.
Median bone density in the sinus was 30% and 25% in the cell seeded and no-cells
added DBBM + AB groups, respectively. Bone augmentation height averaged 6.0 and
5.4 mm and RBD averaged 0.48 and 0.73 in the cell seeded and no-cells added DBBM
+ AB groups, respectively. None of the differences between groups was
statistically significant. CONCLUSIONS: Cultivated autogenous bone cell seeded to
a DBBM + AB composite did not significantly improve bone formation (density and
height) after SFA, compared with what was achieved with DBBM + AB alone. Both
approaches resulted into enough bone to support implant placement and
osseointegration.
PMID- 22092974
TI - Five years of experience using a dermal substitute: indications, histologic
studies, and first results using a new single-layer tool.
AB - BACKGROUND: Dermal substitutes have been used in Europe since 1996 as a mean of
reconstructing the dermal layer. OBJECTIVES: To introduce the dermal substitute
as a dual-stage reconstructing procedure using the dual-layer version and as a
single-stage procedure, combining the single layer with a skin graft to achieve
immediate closure. Our further objective was to evaluate the persistence of a
commercial dermal substitute in the host's dermal layer using serial histologic
studies. MATERIALS AND METHODS: The dermal substitute used was a membrane made
using a porous coprecipitate of type I bovine collagen and glycosaminoglycan
organized in a three-dimensional structure that allows the host's cell to migrate
into it. It is available in a double-layer structure, covered by a silicone
sheet, and in a single-layer structure without silicon. RESULTS AND CONCLUSION:
We describe the dermal substitute indications in dermatologic surgery and our
first results with the single layer as a single-stage procedure with an 80% to
100% take rate. Our histological studies of both products show their perfect
integration and the persistence of the peculiar three-dimensional structure
(neodermis) 5 years from implantation of the dual-layer dermal substitute.
PMID- 22092975
TI - Synergistic use of local flaps for total lower lip reconstruction.
PMID- 22092976
TI - Tie-over dressing with criss-cross lacing pattern.
PMID- 22092977
TI - Postradiation chronic scalp ulcer: a challenge for wound healing experts.
PMID- 22092978
TI - Pitfalls of using dermatoscopy in defining surgical margins of basal cell
carcinoma.
PMID- 22092979
TI - Recurrence after epidermal grafting in segmental vitiligo.
PMID- 22092980
TI - Keratoacanthoma, trauma, and cryotherapy.
PMID- 22092981
TI - Radix nasi transposition flap for medial canthus and nasal sidewall defects.
PMID- 22092982
TI - The electron beam attenuating properties of SuperFlab, Play-Doh, and wet gauze,
compared to plastic water.
AB - Bolus material is used commonly with electron treatments. The purpose of this
study was to compare the electron beam attenuating properties of SuperFlab, Play
Doh, and wet gauze to that of plastic water, and evaluate their characteristics
as bolus materials for electron beam therapy. Electron beams of 5, 6, 7, 8, 10,
and 12 MeV were used. Dose reduction from a range of bolus thicknesses from 2 mm
to a thickness well beyond the thickness required to reach peak ioization was
measured for each of the bolus materials to establish independent isodose curves.
Measurements performed at the known water Dmax for all bolus materials indicated
similar results for SuperFlab and plastic water with less than 3% difference for
most energies. Play-Doh resulted in more attenuation or less dose buildup
compared with plastic water, especially at lower energies. The difference was as
high as 24.7% for the beam energy of 5 MeV for Play-Doh. Evaluation of the dose
build up curves for all materials indicated the peak dose build up for wet gauze
and Play-Doh occurred at lesser thicknesses compared to plastic water and
SuperFlab, particularly at lower energies. If Play-Doh and wet gauze are to be
used for electron bolus materials, dose build up curves should be established for
the machine being used and the appropriate thickness of bolus material be chosen
based on those curves.
PMID- 22092983
TI - Key physiological properties contributing to rhizosphere adaptation and plant
growth promotion abilities of Azospirillum brasilense.
AB - Azospirillum brasilense is a plant growth promoting rhizobacterium (PGPR) that is
being increasingly used in agriculture in a commercial scale. Recent research has
elucidated key properties of A. brasilense that contribute to its ability to
adapt to the rhizosphere habitat and to promote plant growth. They include
synthesis of the auxin indole-3-acetic acid, nitric oxide, carotenoids, and a
range of cell surface components as well as the ability to undergo phenotypic
variation. Storage and utilization of polybetahydroxyalkanoate polymers are
important for the shelf life of the bacteria in production of inoculants,
products containing bacterial cells in a suitable carrier for agricultural use.
Azospirillum brasilense is able to fix nitrogen, but despite some controversy, as
judging from most systems evaluated so far, contribution of fixed nitrogen by
this bacterium does not seem to play a major role in plant growth promotion. In
this review, we focus on recent advances in the understanding of physiological
properties of A. brasilense that are important for rhizosphere performance and
successful interactions with plant roots.
PMID- 22092984
TI - Meeting the national health goal to reduce unintended pregnancy.
AB - In spite of advances in health care, the rate of unintended pregnancy has not
diminished. Healthy People 2020 and the Affordable Care Act of 2010 have promoted
prevention as the most effective means of ensuring the health of the citizens of
the United States. This shift in the national approach to the promotion of
prevention holds the potential to appropriately address pregnancy planning from a
comprehensive public health framework.
PMID- 22092985
TI - Effects of frequency on gross efficiency and performance in roller ski skating.
AB - The purpose of the present study was to examine the effect of frequency on
efficiency and performance during G3 roller ski skating. Eight well-trained male
cross-country skiers performed three submaximal 5-min speeds (10, 13, and 16
km/h) and a time-to-exhaustion (TTE) performance (at 20 km/h) using the G3
skating technique using freely chosen, high, and low frequency at all four
speeds. All tests were done using roller skis on a large treadmill at 5% incline.
Gross efficiency (GE) was calculated as power divided by metabolic rate. Power
was calculated as the sum of power against frictional forces and power against
gravity. Metabolic rate was calculated from oxygen consumption and blood lactate
concentration. Freely chosen frequency increased from 60 to 70 strokes/min as
speed increased from 10 to 20 km/h. GE increased with power. At high power (20
km/h performance test), both efficiency and performance were significantly
reduced by high frequency. In regard to choice of frequency during G3 roller ski
skating, cross-country skiers seems to be self-optimized both in relation to
energy saving (efficiency) and performance (TTE).
PMID- 22092986
TI - Composition dynamics of epilithic intertidal bacterial communities exposed to
high copper levels.
AB - Copper has a dual role for organisms, both as micronutrient and toxic element.
Copper mining activities have an enormous ecological impact because of the
extraction process and the consequent release of copper-containing waste
materials to the environment. In northern Chile, mainly in the Chanaral coastal
area, this phenomenon is clearly evident. The released waste material has caused
a strong modification of the area, and copper enrichment of beaches and rocky
shores has provoked a decrease in the richness and diversity of many species of
macroorganisms. However, the effects that copper enrichment has on microbial
(e.g. bacterial epilithic) communities associated with the rocky shore
environment are poorly understood. Using a culture-independent molecular
approach, field sampling and laboratory microcosm experiments, we determined the
effects of copper enrichment on bacterial communities inhabiting the rocky shore
environment. Field samples showed a strong effect of copper on the structure of
the natural bacterial epilithic communities, and microcosm experiments
demonstrated rapid changes in bacterial community when copper is added, and
reversibility of this effect within 48 h after copper is removed.
PMID- 22092987
TI - Complementary therapies in rehabilitation: nurses' narratives. Part 1.
AB - AIMS AND OBJECTIVES: To document the narratives of nursing staff in a Thai
rehabilitation centre where complementary therapies are used and to discuss
perceived progress of these complementary therapies on stroke patients. Specific
complementary therapies used at this rehabilitation centre include Thai massage
and herbal therapies. BACKGROUND: In Thailand, there is cultural acknowledgement
of a range of traditional therapies (including complementary therapies) widely
used in Thai health care. For example, meditation enjoys wide acceptance in Thai
culture and attracts strong participation from visitors to Thai Buddhist centres
because of growing interest in developing a personal health regime for future
preventative health problems. DESIGN: Qualitative study using narrative inquiry
and discourse analysis framed by poststructural theory. METHOD: Six nursing staff
and six stroke patients were interviewed about their involvement in complementary
therapy practice and treatments and their experiences of these therapies in
rehabilitation. This paper reports the six nurses' narratives of their
involvement with patients and complementary therapies. RESULTS: The overall
findings revealed two strong themes: nurses' professional landscape and changes
in stroke patients' embodiment. These two themes were interwoven in a main
discourse of nurses attending to and enabling holistic care. CONCLUSION: The
contexts of temporality, spatiality and other people influencing the progress of
patients' recovery are significant in this study. In particular, the findings
illustrate the importance of the nurses' discourse in preparing stroke patients
for a state of readiness to heal. RELEVANCE TO CLINICAL PRACTICE: The nurses'
role becomes much more significant in health care as demonstrated through these
stories. Their part in establishing a holistic approach through motivating,
advising, educating, calming and imparting a sense of family enables a strong
connection with mind, body and spirit potentiating recovery for stroke patients.
PMID- 22092988
TI - Changes in patient flow among five hospitals participating in a learning
collaborative.
AB - This was an evaluation of the efforts of five hospitals that participated in a
collaborative aimed at improving patient flow and reducing emergency department
(ED) crowding. Interviews with hospital implementation team members were
conducted at two separate times, and multivariate linear regression models and
bivariate logistic models were constructed to assess changes in ED length of stay
(LOS) and left without being seen (LWBS). By the end of the collaborative, four
of the five hospitals had at least one fully implemented improvement strategy.
Those hospitals experienced modest improvements in patient flow: a hospital that
implemented front-end improvements and devoted additional resources to fast track
had a 51-min reduction in ED LOS, another that implemented only front-end
improvements had a 9-min reduction in LOS, a third hospital that improved
communication between the ED and inpatient units to facilitate admissions
decreased LWBS from 0.6% to 0.4%, and a fourth hospital reduced LOS by 59 min for
mid-acuity patients by establishing a new care process for them. Results suggest
that relatively small changes may lead to improvements in measures of patient
flow that are modest, at best.
PMID- 22092989
TI - Myeloperoxidase-positive acute megakaryoblastic leukemia in a dog.
AB - A 16-month-old female spayed Labrador Retriever was referred to the University of
Edinburgh for exercise intolerance, inappetence, and severe anemia. A CBC showed
severe nonregenerative anemia and moderate numbers of atypical cells with
morphologic features most consistent with megakaryoblastic origin. Similar cells
were identified in a bone marrow aspirate and accounted for 23% of all nucleated
cells. Atypical promegakaryocytes and megakaryocytes were also noted.
Myelodysplastic syndrome affecting the megakaryocytic lineage was suspected.
Cytologic examination of a fine-needle aspirate of the spleen revealed rare
megakaryoblasts similar to those in blood and bone marrow. At necropsy, the bone
marrow consisted of atypical megakaryoblasts and megakaryocytes that were also
infiltrating spleen, liver, lymph nodes, renal perihilar tissue, and visceral
adipose tissue, consistent with acute megakaryoblastic leukemia.
Immunohistochemical analysis of splenic sections confirmed megakaryoblastic
origin (immunoreactive for CD61 and von Willebrand factor). Some leukemic cells
were also immunoreactive for myeloperoxidase (MPO). This aberrant immunophenotype
suggested both megakaryocytic and granulocytic/monocytic differentiation of the
leukemic cells. To our knowledge, this is the first report of MPO-positive acute
megakaryoblastic leukemia in a dog.
PMID- 22092990
TI - Bacterial leakage along the implant-abutment interface: culture and DNA
Checkerboard hybridization analyses.
AB - OBJECTIVE: Bacterial species have been found harboring the internal surface of
dental implants as consequence of their failed connections. The aim of the
present study was to compare the detection frequency of bacterial leakage from
human saliva through the implant-abutment interface, under non-loading
conditions, using either DNA Checkerboard or culture method. MATERIALS AND
METHODS: Thirty dental implants with hexagonal platforms were connected to pre
machined abutments according to the manufacturers' specifications. The assemblies
were individually incubated in human saliva under anaerobic conditions for 7 days
at 37 degrees C. Afterward, contents from the inner parts of the implants were
collected and evaluated with either DNA Checkerboard (s = 15) or culture (n =
15). Subsequently, identification and quantitation of bacterial species from
saliva and implants were carried out for the group evaluated with the DNA
Checkerboard method. RESULTS: Both DNA Checkerboard and culture showed positive
signals of bacterial leakage in 6 of the 15 evaluated samples. Capnocytophaga
gingivalis and Streptococcus mutans were the most frequently detected species
harboring the internal surface of the implants followed by Veillonella parvula.
CONCLUSION: Occurrence of bacterial leakage along the implant-abutment interface
is comparably detected with both DNA Checkerboard hybridization and conventional
culture methods.
PMID- 22092991
TI - Soft tissue surrounding switched platform implants: an immunohistochemical
evaluation.
AB - OBJECTIVES: This clinical and immunohistochemical study was designed to
characterize the cellular and molecular patterns for bone loss of soft tissues
surrounding implants restored with different implant platform configurations.
MATERIAL AND METHODS: A total of 32 implants were restored using abutments with
the following mismatches: 0 mm (control group), 0.25 mm (test group(1)), 0.5 mm
(test group(2)) and 0.85 mm (test group(3)). Four years after, loading all sites
were clinically healthy, and soft tissue samples were harvested and processed for
immunohistochemical analysis. Amounts of lymphocytes T (LyT) -B (LyB), IL-17 and
RANKL were assessed. RESULTS: No significant difference was found between groups
(P > 0.05) in terms of infiltrated T and B cell counts, IL-17 and RANKL
expressions. When pooled data were analysed, lymphocytes T counts and IL-17 were
higher than lymphocytes B counts and RANKL. LyT and LyB counts were highly
negatively correlated (Pearson's r > 0.7) and IL-17 was correlated (Pearson's r >
0.4, <0.7) to LyT and LyB. CONCLUSIONS: After prolonged exposure of abutments in
the oral cavity, the configuration of the implant abutment interface does not
seem to affect the inflammatory cellular and molecular pattern responsible for
bone loss.
PMID- 22092992
TI - Fitness testing as a discriminative tool for the diagnosis and monitoring of
fibromyalgia.
AB - We aimed to determine the ability of a set of physical fitness tests to
discriminate between presence/absence of fibromyalgia (FM) and moderate/severe
FM. The sample comprised 94 female FM patients (52 +/- 8 years) and 66 healthy
women (54 +/- 6 years). We assessed physical fitness by means of the 30-s chair
stand, handgrip strength, chair sit and reach, back scratch, blind flamingo, 8
feet up and go, and 6-min walking tests. Patients were classified as having
moderate FM if the score in the Fibromyalgia Impact Questionnaire (FIQ) was <70
and as having severe FM if the FIQ was >=70. FM patients and patients with severe
FM performed worse in most of the fitness tests studied (P < 0.001). Except the
back scratch test, all the tests were able to discriminate between presence and
absence of FM [area under the curve (AUC) = 0.66 to 0.92; P <= 0.001], and four
tests also discriminated FM severity (AUC = 0.62 to 0.66; P <= 0.05). The 30-s
chair stand test showed the highest ability to discriminate FM presence and
severity (AUC = 0.92, P < 0.001; and AUC = 0.66, P = 0.008, respectively), being
the corresponding discriminating cutoffs 9 and 6 repetitions, respectively.
Physical fitness in general, and particularly the 30-s chair stand test, is able
to discriminate between women with FM from those without FM, as well as between
those with moderate FM from their peers with severe FM.
PMID- 22092993
TI - Effects of adhesive dressings on stratum corneum conductance.
AB - BACKGROUND: Stratum corneum is a fundamental layer of epidermis. It acts as a
barrier, with antimicrobial features, regulating skin permeability and integrity
as well. Adhesive dressings and their removal could alter this layer, affecting
cutaneous water balance and lipid composition of stratum corneum. These changes
could be monitored by measurement of cutaneous hydration. METHODS: Ninety-two
patients affected by wounds dressed with adhesive tapes or plasters have been
studied. Measurement of skin conductance under tape/plaster and in the
surrounding healthy skin, immediately after removal of dressing has been
performed. Dressing age, wound localization, and characteristics were also
considered. RESULTS: Adhesive dressings alter significantly stratum corneum
conductance. Although healthy skin hydration has significant variations
throughout the body, cutaneous conductance under adhesive dressing in different
areas displays no significative changes. Moreover, the increase in hydration due
to adhesive tapes/plasters showed no association with wound dehiscence.
DISCUSSION: Adhesive dressings cause a significative increase in stratum corneum
conductance, acting as a barrier to apocrine secretions. Although different
hydration levels have been observed in healthy skin throughout the body, no
difference exists under adhesive dressing among different regions, suggesting no
contraindications in their employment throughout the body. CONCLUSION: Increase
in cutaneous hydration showed no correlations with wound dehiscence, thus
confirming safety and practicality of these dressings.
PMID- 22092994
TI - The RANKL-OPG system in clinical periodontology.
AB - BACKGROUND AND OBJECTIVES: The receptor activator of NF-kappaB ligand
osteoprotegerin (RANKL-OPG) bi-molecular system is the "bottle-neck" regulator of
osteoclastogenesis and bone resorption, both in physiological and pathological
conditions. This review aims to elaborate the current knowledge on RANKL and OPG
in periodontal disease, and to evaluate their diagnostic and prognostic potential
as biomarkers of the disease. MATERIALS AND METHODS: To pursue this aim,
electronic and manual searches were performed for identifying clinical and in
vivo studies on RANKL and OPG in gingival tissue, gingival crevicular fluid,
saliva and blood. Smoking and diabetes mellitus were also considered for their
potential effects. RESULTS: Papers fulfilling the inclusion criteria demonstrate
that RANKL is up-regulated, whereas OPG is down-regulated in periodontitis,
compared to periodontal health, resulting in an increased RANKL/OPG ratio. This
ratio is further up-regulated in smokers and diabetics, and is not affected by
conventional periodontal treatment. CONCLUSIONS: The increased RANKL/OPG ratio
may serve as a biomarker that denotes the occurrence of periodontitis, but may
not necessarily predict on-going disease activity. Its steadily elevated levels
post treatment may indicate that the molecular mechanisms of bone resorption are
still active, holding an imminent risk for relapse of the disease. Additional
adjunct treatment modalities that would "switch-off" the RANKL/OPG ratio may
therefore be required.
PMID- 22092995
TI - Orally administered heat-killed Lactobacillus gasseri TMC0356 can upregulate
cell-mediated immunity in senescence-accelerated mice.
AB - The present study was conducted to test the ability of probiotic lactobacilli to
alter age-related immunosenescence in host animals. Senescence-accelerated mouse
prone 1 mice were orally fed heat-killed Lactobacillus gasseri TMC0356 (TMC0356)
for 4 and 8 weeks at dosages of 10 mg day(-1) after a 16-week period of
prefeeding with a standard diet. After 4 and 8 weeks of TMC0356 intervention,
splenic activation of natural killer (NK) cells and mRNA expression of cytokines
and other immune molecules in the lungs were analysed. After 4 and 8 weeks,
splenic NK cell activities were significantly higher in the TMC0356-fed mice
compared with control mice (P < 0.05). After 4 weeks, mRNA expression of
interleukin-2 and interferon-(alpha and beta) receptor 1 in lung cells isolated
from the TMC0356-fed mice also increased significantly compared with that in lung
cells from the control mice (P < 0.05). These results suggest that lactobacilli,
especially certain selected strains, might enhance cell-mediated immunity in host
animals and thereby alter age-related immunosenescence.
PMID- 22092996
TI - Bet v 1-like pollen allergens of multiple Fagales species can sensitize atopic
individuals.
AB - BACKGROUND: In the temperate climate zone of the Northern hemisphere, Fagales
pollen allergy represents the main cause of winter/spring pollinosis. Among
Fagales trees, pollen allergies are strongly associated within the Betulaceae and
the Fagaceae families. It is widely accepted that Fagales pollen allergies are
initiated by sensitization against Bet v 1, the birch pollen major allergen,
although evidence is accumulating that the allergenic activity of some Bet v 1
like molecules has been underestimated. OBJECTIVE: To investigate the allergenic
potential of the clinically most important Fagales pollen allergens from birch,
alder, hazel, hornbeam, hop-hornbeam, oak, beech and chestnut. METHODS: To obtain
the full spectrum of allergens, the three previously unavailable members of the
Bet v 1-family, hop-hornbeam Ost c 1, chestnut Cas s 1 and beech Fag s 1, were
identified in the respective pollen extracts, cloned and produced as recombinant
proteins in E. coli. Together with recombinant Bet v 1, Aln g 1, Car b 1, Cor a 1
and Que a 1, the molecules were characterized physicochemically, mediator release
assays were performed and IgE cross-reactivity was evaluated by ELISA and Immuno
Solid-phase Allergen Chip (ISAC) IgE inhibition assays. RESULTS: All allergens
showed the typical Bet v 1-like secondary structure elements, and they were all
able to bind serum IgE from Fagales allergic donors. Strong IgE binding was
observed for Betuloideae and Coryloideae allergens, however, cross-reactivity
between the two subfamilies was limited as explored by inhibition experiments. In
contrast, IgE binding to members of the Fagaceae could be strongly inhibited by
serum pre-incubation with allergens of the Betuloideae subfamily. CONCLUSIONS AND
CLINICAL RELEVANCE: The data suggest that Bet v 1-like allergens of the
Betuloideae and Coryloideae subfamily might have the potential to induce IgE
antibodies with different specificities, while allergic reactions towards
Fagaceae allergens are the result of IgE cross-reactivity.
PMID- 22092997
TI - An explorative study of non-invasive ultra-weak photon emission and the anti
oxidative influence of oral zinc sulphate in light-sensitive patients with
erythropoietic protoporphyria.
AB - BACKGROUND: Erythropoietic protoporphyria (EPP) is a rare, inherited disorder of
haem biosynthesis owing to deficient ferrochelatase (FECH) and accumulation of
protoporphyrin IX (PPIX). This results in acute cutaneous photosensitivity upon
light exposure with production of reactive oxygen species (ROS) and ultra-weak
photon emission (UPE) as a by-product. We investigated if UPE evaluated the light
sensitivity in EPP patients and influence of zinc treatment. METHODS: Fourteen
EPP patients took zinc sulphate (3 * 200 mg/day) during spring and summer. Using
a photomultiplier (PM), UPE was measured from the buttock skin and dorsal hand
before and after solar-simulated light (SUN) exposure. Blood samples were
analysed routinely for plasma zinc, iron, ferritin, transferrin, haemoglobin,
erythrocyte PPIX and Zn-PPIX. RESULTS: UPE in EPP patients resembled that seen in
healthy individuals. Without treatment, a seasonal decrease was seen from spring
to summer in four control patients. However, oral zinc treatment reduced ROS
formation significantly regardless of SUN exposure. After SUN exposure, the
initial burst was correlated to plasma iron and erythrocyte PPIX. During
treatment, an inverse correlation was found between plasma zinc concentration and
the initial burst. CONCLUSION: Measurements of UPE can be used for monitoring UVA
induced oxidative processes in vivo in the skin of EPP patients.
PMID- 22092998
TI - An investigation of nursing students' experiences in an Iranian psychiatric unit.
AB - This qualitative study aimed to investigate and explain clinical experiences of
nursing students in a psychiatric unit in an Iranian hospital. The researcher
performed a Heideggerian hermeneutic phenomenological study by conducting in
depth interviews of 13 participants. An analysis based on Diekelmann's method
helped to interpret the data and uncover common themes. The following four
correlated themes were obtained: anxiety, maturation, dissatisfaction and
enthusiasm. These results present distinctive insights on contextualizing,
developing and implementing clinical education in Iran, especially in psychiatric
units. The exploration and description of students' experiences will help nurse
educators to plan the clinical learning opportunities such that they are less
stressful, thus ensuring that nursing students are equipped to act as therapeutic
professionals.
PMID- 22092999
TI - Widespread use of real-time PCR for rickettsial diagnosis.
AB - We report 2 years of experience with rickettsial molecular diagnosis using real
time PCR at the French National Reference Center. All Rickettsia genomes
available were compared to discover specific sequences to design new sets of
primers and probes. The specificity was verified in silico and against a panel of
30 rickettsial species. Sensitivity was determined using 10-fold serial
dilutions. Finally, primers and probes that were both specific and sensitive were
routinely used for the diagnosis of rickettsial infections from clinical
specimens. We retained sets of primers and probes to detect spotted fever group
Rickettsia, typhus group Rickettsia,Rickettsia conorii,Rickettsia
slovaca,Rickettsia africae and Rickettsia australis; 643 clinical samples were
screened for the presence of Rickettsia DNA. Overall, 45 positive samples were
detected, including 15 Rickettsia africae, nine R. conorii, five Rickettsia
sibirica mongolitimonae, four R. slovaca, two R. australis, four Rickettsia
massiliae, one Rickettsia honei, one Rickettsia typhi and eight Rickettsia sp.
Positive samples were detected mainly from cutaneous biopsies and swabs (31/45).
Widespread use of real-time PCR is inexpensive and reduces delay in the diagnosis
of rickettsial infections. These real-time PCR assays could be implemented easily
in laboratories that have molecular facilities and may be added to existing
molecular tools as a point-of-care strategy.
PMID- 22093000
TI - Community-specific pH response of denitrification: experiments with cells
extracted from organic soils.
AB - Denitrifying prokaryotes are phylogenetically and functionally diverse. Little is
known about the relationship between soil denitrifier community composition and
functional traits. We extracted bacterial cells from three cultivated peat soils
with contrasting native pH by density gradient centrifugation and investigated
their kinetics of oxygen depletion and NO2 -, NO, N(2) O and N(2) accumulation
during initially hypoxic batch incubations (0.5-1 MUM O(2)) in minimal medium
buffered at either pH 5.4 or 7.1 (2 mM glutamate, 2 mM NO3 -). The three
communities differed strikingly in NO2 - accumulation and transient N(2) O
accumulation at the two pH levels, whereas NO peak concentrations (24-53 nM) were
similar across all communities and pH treatments. The results confirm that the
communities represent different denitrification regulatory phenotypes, as
indicated by previous denitrification bioassays with nonbuffered slurries of the
same three soils. The composition of the extracted cells resembled that of the
parent soils (PCR-TRFLP analyses of 16S rRNA genes, nirK, nirS and nosZ), which
were found to differ profoundly in their genetic composition (Braker et al., ).
Together, this suggests that direct pH response of denitrification depends on
denitrifier community composition, with implications for the propensity of soils
to emit N(2) O to the atmosphere.
PMID- 22093001
TI - Fate of autologous and fresh-frozen allogeneic block bone grafts used for ridge
augmentation. A CBCT-based analysis.
AB - OBJECTIVES: To evaluate dimensional changes in autologous (AT) and fresh-frozen
allogeneic (AL) block bone grafts 6 months after alveolar ridge augmentation.
MATERIAL AND METHODS: Twenty-six partially or totally edentulous patients treated
either with fresh-frozen AL bone or AT bone onlay block grafts prior to implant
placement (13 patients in each group), were included in this analysis. Patients
received CBCT (i-CAT Classic) examinations prior to surgery and 14 days and 6
months after grafting. Differences in alveolar ridge area among the various
observation times were evaluated by planimetric measurements on two-dimensional
CBCT images of the grafted regions. Nineteen grafted blocks from each group were
evaluated. RESULTS: Significant increase in alveolar ridge dimensions, allowing
implant placement, was obtained with both types of grafts 6 months after
grafting; no significant differences in alveolar ridge area were observed between
the groups at the various observation times. However, graft resorption in the AL
group was significantly larger compared to that in the AT group at 6 months.
CONCLUSIONS: Larger bone graft resorption was seen in patients treated with fresh
frozen AL bone than in those treated with AT bone 6 months following alveolar
ridge augmentation.
PMID- 22093002
TI - White globules in melanocytic neoplasms: in vivo and ex vivo characteristics.
PMID- 22093003
TI - Inflammation and remodelling patterns in early stage chronic rhinosinusitis.
AB - BACKGROUND: A distinct set of inflammatory and remodelling factors have been
found elevated in chronic rhinosinusitis. OBJECTIVE: The investigation of their
expression in early stage disease may reveal early events in this common disease.
METHODS: Sinonasal mucosal samples from nine patients with early stage CRSsNP
were taken from the inferior and middle turbinates, the uncinate process,
maxillary sinus, anterior ethmoid, bulla ethmoidalis and the posterior ethmoid
and measured for TGF-beta 1 and it's receptors, MPO protein as well as pro
inflammatory cytokines (TNF-alpha and IL-1beta) and the Th1 cell signature (IFN
gamma and T-bet). As outcome parameter for TGF-beta signalling collagen
deposition was analysed. Inferior turbinates from patients undergoing (rhino-)
septoplasty were collected as controls. RESULTS: TGF-beta 1 protein
concentrations were significantly increased in the maxillary sinuses (P = 0.006),
the uncinate process (P = 0.01), the anterior ethmoid including the bulla
ethmoidalis (P = 0.005) and the posterior ethmoid (P = 0.037) when compared to
the inferior and middle turbinates. Collagen deposition was significantly
increased in the maxillary sinus when compared to the inferior turbinates (P =
0.008). In contrast, mRNA for TGF-beta receptors, Th1 related markers (IFN-gamma
and T-bet), pro-inflammatory cytokines (IL-1 beta and TNF-alpha), and MPO protein
as neutrophil marker were expressed at all locations but showed no significant
differences between the various locations. TGF-beta 1 mRNA expression in inferior
turbinates of CRSsNP was significantly higher when compared to inferior
turbinates of controls (P = 0.017). The pro-inflammatory cytokines and Th1
related cytokines did not show an upregulation in inferior turbinates of CRSsNP
when compared to controls. CONCLUSIONS: In early stage chronic sinus disease, TGF
beta protein is expressed in significantly higher concentrations within the
paranasal sinuses when compared to turbinates, whereas pro-inflammatory,
neutrophilic and Th1 markers did not show any difference. These findings suggest
that TGF-beta plays a central role in the initiation of CRSsNP, and represents a
major target for further research and future intervention.
PMID- 22093004
TI - Differential photoinhibition of bacterial and archaeal ammonia oxidation.
AB - Inhibition by light potentially influences the distribution of ammonia oxidizers
in aquatic environments and is one explanation for nitrite maxima near the base
of the euphotic zone of oceanic waters. Previous studies of photoinhibition have
been restricted to bacterial ammonia oxidizers, rather than archaeal ammonia
oxidizers, which dominate in marine environments. To compare the photoinhibition
of bacterial and archaeal ammonia oxidizers, specific growth rates of two ammonia
oxidizing archaea (Nitrosopumilus maritimus and Nitrosotalea devanaterra) and
bacteria (Nitrosomonas europaea and Nitrosospira multiformis) were determined at
different light intensities under continuous illumination and light/dark cycles.
All strains were inhibited by continuous illumination at the highest intensity
(500 MUE m(-2) s(-1)). At lower light intensities, archaeal growth was much more
photosensitive than bacterial growth, with greater inhibition at 60 MUE m(-2) s(
1) than at 15 MUE m(-2) s(-1), where bacteria were unaffected. Archaeal ammonia
oxidizers were also more sensitive to cycles of 8-h light/16-h darkness at two
light intensities (60 and 15 MUE m(-2) s(-1)) and, unlike bacterial strains,
showed no evidence of recovery during dark phases. The findings provide evidence
for niche differentiation in aquatic environments and reduce support for
photoinhibition as an explanation of nitrite maxima in the ocean.
PMID- 22093005
TI - Adjunctive daily supplementation with encapsulated fruit, vegetable and berry
juice powder concentrates and clinical periodontal outcomes: a double-blind RCT.
AB - AIM: A double-blind randomized controlled trial to determine whether dietary
supplementation with fruit/vegetable/berry juice powder concentrates,
simultaneously with non-surgical periodontal therapy, improved 2-month treatment
outcomes. METHODS: Volunteers with chronic periodontitis were randomly assigned
to one of three groups: fruit/vegetable (FV), fruit/vegetable/berry (FVB) or
placebo. Supplements were taken daily during non-surgical debridement and
maintenance and outcomes assessed at 2, 5 and 8 months after completion. Primary
outcomes were mean probing pocket depth (PPD), clinical attachment gain, % sites
bleeding on probing (% BOP) at 2 months. Adherence and plasma beta-carotene were
determined. RESULTS: Sixty-one nutritionally replete (by serum biochemistry)
volunteers enrolled and 60 (n = 20 per arm) completed the 2-month review.
Clinical outcomes improved in all groups at 2 months, with additional improvement
in PPD versus placebo for FV (p < 0.03). Gingival crevicular fluid volumes
diminished more in supplement groups than placebo (FVB; p < 0.05) at 2 months,
but not at later times. The % BOP (5 months) and cumulative plaque scores (8
months) were lowered more in the FV group (p < 0.05). CONCLUSIONS: Adjunctive
juice powder concentrates appear to improve initial pocket depth reductions in
nutritionally replete patients, where plasma micronutrient bioavailability is
attainable. Definitive multicentre studies in untreated and treated patients are
required to ascertain the clinical significance of such changes.
PMID- 22093006
TI - Baby oil therapy for uremic pruritus in haemodialysis patients.
AB - AIMS: The purpose of this study was to investigate the effectiveness of
chilled/un-chilled baby oil therapy for treating uremic pruritus in haemodialysis
patients. BACKGROUND: Uremic pruritus affects 50-90% of haemodialysis patients,
which makes it one of the most common medical problems in this population.
Pruritus can cause skin infection, desquamation, pathological skin change, sleep
disorder, anxiety, depression and social dysfunction. DESIGN: A prospective,
pretest-post-test quasi-experimental design was used. METHODS: Haemodialysis
patients with uremic pruritus were recruited and randomly assigned to one of
three groups: experimental group 1 (chilled baby oil treatment; n = 30),
experimental group 2 (un-chilled baby oil treatment; n = 31) and a control group
(routine care only; n = 32). Participants in experimental group 1 and
experimental group 2 were treated with chilled and un-chilled baby oil,
respectively, for 15 minutes at least once daily for three weeks. The control
group received no intervention other than standard care. Data collection included
demographic data and itch severity. Medical records were also reviewed. RESULTS:
The baseline characteristics of subjects in this study were as follows: 59% were
male, mean age was 61.88 (SD 12.7) years, mean duration of haemodialysis was 5.31
years, mean duration of uremic pruritus was 40.58 (SD 37.8) months and mean
intensity of uremic pruritus was mild. The anti-pruritic effects were
significantly larger in subjects treated with either chilled or un-chilled baby
oil than in those who received routine care. Anti-pruritic effects did not
significantly differ between experimental group 1 and experimental group 2.
CONCLUSIONS: The study confirmed that, for relieving pruritus in haemodialysis
patients, either chilled or un-chilled baby oil is as effective as moisturising
lotions and cooling soothing agents. RELEVANCE TO CLINICAL PRACTICE: Applying
baby oil is a simple, safe, inexpensive and easily administered treatment for
itchy skin in haemodialysis patients. By preventing or reducing uremic pruritus,
baby oil treatment may also improve quality of life in this patient group.
PMID- 22093008
TI - The clinical evaluation of penicillin allergy: what is necessary, sufficient and
safe given the materials currently available?
PMID- 22093009
TI - Unravelling gene-by-environment effects in asthma and allergy: the glutathione
pathway as an early success story.
PMID- 22093010
TI - A statement on cefazolin immediate hypersensitivity: data from a large database,
and focus on the cross-reactivities.
AB - BACKGROUND: More perioperative cefazolin use has resulted in an increased risk of
cefazolin-associated reactions. OBJECTIVE: The aim of this article is to study
immediate reactions to cefazolin and attempt to determine possible allergic cross
reactivity with other beta-lactams using data from the Drug Allergy and
Hypersensitivity Database (DAHD). METHODS: All 25 cefazolin-associated reactions
in the DAHD were reviewed. The cases identified were then investigated according
to the European Network for Drug Allergy (ENDA) recommendations by skin testing
and challenges. RESULTS: A total of 10 individuals with proven IgE-mediated
cefazolin hypersensitivity were identified between January 1999 and July 2009.
All the index reactions were compatible with an acute IgE-mediated process, six
with anaphylaxis, two with systemic allergic reactions without hypotension, and
two with urticaria/angioedema. Cefazolin skin tests were positive in seven
individuals and cefazolin challenges were positive in three more individuals. In
the eight cefazolin allergic patients who had challenges with other beta-lactams,
there was no positive reaction noted. CONCLUSION AND CLINICAL RELEVANCE: In this
cohort of patients with IgE-mediated reactions to cefazolin, a majority tolerated
amoxicillin and several patients tolerated other cephalosporins. This implies
that the R1 side-chain may play an essential role in IgE-mediated reactions to
cefazolin. No clear rule to predict cross-reactivity with other beta-lactams
could be determined. More research on IgE-mediated hypersensitivity to cefazolin
and other cephalosporins is needed.
PMID- 22093011
TI - Effective treatment of experimental ragweed-induced asthma with STAT-6-IP, a
topically delivered cell-penetrating peptide.
AB - BACKGROUND: Treatment of allergic airways disease including asthma remains
primarily local immunosuppression with topical corticosteroid and symptomatic
management with antihistamines and anti-leucotrienes. We have developed a novel
topical therapy designed to specifically inhibit the events associated with Th2
cell activation. OBJECTIVE: We assessed the efficacy of our cell-penetrating STAT
6 inhibitory peptide (STAT-6-IP), a novel treatment for allergic airways disease,
in a model of chronic ragweed-induced asthma. METHODS: Six- to eight-week-old
mice were sensitized over 5 weeks with intranasal (IN) exposures to whole ragweed
allergen without adjuvant. Mice were then IN challenged with Amba 1 with and
without treatment IN with STAT-6-IP and allergic responses assessed. Two weeks
later, some animals were rechallenged with Amba 1 with or without STAT-6-IP.
RESULTS: Animals exposed to IN ragweed developed significant airway
hyperresponsiveness and airways inflammation upon challenge. Cell cultures showed
increases in Th2 cytokines IL-4 and IL-13. Topical STAT-6-IP treatment reduced
production of Th2 cytokines, demonstrated increased expression of IL-10 and
reduced frequency of cultured IL-4 positive CD4+ T cells derived from treated
mice, suggesting that STAT-6-IP treatment may be immunomodulatory. Airway
responsiveness to methacholine challenge in the treatment group was similarly
reduced to that of the non-allergic PBS-exposed animals. Importantly, STAT-6-IP
treated mice remained hyporesponsive following second ragweed challenge 2 weeks
after treatment. CONCLUSIONS AND CLINICAL RELEVANCE: These data suggest that
topical application of the STAT-6-IP is sufficient to inhibit allergic airways
responses in animals chronically sensitized and challenged with ragweed. Data
show that a single topical treatment course is sufficient to block signs of
allergic responses to ragweed in the airways for at least 2 weeks. STAT-6-IP is a
novel potential treatment for chronic allergic asthma.
PMID- 22093013
TI - Dimensions of buccal bone and mucosa at immediately placed implants after 7
years: a clinical and cone beam computed tomography study.
AB - OBJECTIVE: The objective of this study was to evaluate the dimensions of buccal
bone and soft tissue at immediately placed implants over a 7-year period.
MATERIAL AND METHODS: Twenty-four patients, that participated in a clinical
prospective study and received implants immediately placed into extraction
socket, were enrolled for this study. Residual bone defects were grafted with
xenogenic bone substitute and covered by means of collagen membrane. Baseline
examination included measurements of full-mouth plaque and bleeding scores, width
of keratinized mucosa, and dimensions of residual bone defects at the buccal
aspect. Seven years after implant placement, full-mouth plaque score, full-mouth
bleeding score, width of keratinized mucosa, and probing pocket depth were
assessed and cone beam computed tomography images acquired. Dimensions of buccal
bone and soft tissue were evaluated on the cross-sectional cone beam computed
tomography reconstructions. Differences between two time-points were tested using
the two-sided t-test. Correlation analysis was used to investigate the influence
of baseline bone defect dimensions on the bone dimensions measured at the 7-year
follow-up. RESULTS AND CONCLUSIONS: Fourteen patients attended the follow-up
examination. In five implants almost no buccal bone was detected, whereas in the
remaining nine implants the buccal bone was found covering the rough implant
surface. No correlation was found between initial bone defects and bone
dimensions at the follow-up examination. The sites without radiographically
detectable buccal bone at the 7-year control presented with 1 mm more apical
mucosal level in comparison to implants with intact buccal bone.
PMID- 22093014
TI - Experimental evidence for interfacial biochemical bonding in osseointegrated
titanium implants.
AB - OBJECTIVES: (i) To identify and quantify an interfacial biochemical bond and the
bonding strength of osseointegrated implants with bioactive titanium oxide
chemistry, ATiO(x)B (A, metal cations; TiO(x) , titanium oxides/hydroxides; B,
non-metal anions) and (ii) to provide quantitative evidence for the biochemical
bond theory of osseointegration proposed by Sul et al. for description and
explanation of why and how the implants with ATiO(x) B surface oxide chemistry
may exhibit a significantly stronger bone response, in spite of the fact that the
roughness values approached zero, or were equivalent to or significantly lower
than those of the control implants. MATERIALS AND METHODS: We applied a newly
developed biochemical bond measurement (BBM) method to model implant surfaces
that were "perfectly" smooth nanotopography near-zero roughness as the constant
parameter, and used the bioactive surface chemistry of titanium oxide, ATiOx B
chemistry as a variable parameter in rabbit tibiae for 10 weeks. In this manner,
we determined an interfacial biochemical bond and quantified its bonding
strength. RESULTS: The increase in biochemical bond strengths of the test implant
relative to the control implant was determined to be 0.018 (+/-0.008) MPa (0.031
vs 0.021 MPa, n = 10) for tensile strength and 8.9 (+/-6.1) Ncm (33.0 vs 24.1
Ncm, n = 10) for removal torque. Tensile and removal torque show strong
correlation in the Pearson test (r = 0.901, P <= 0.001). In addition,
histomorphometric measurements including bone-to-metal-contact (BMC, P = 0.007),
bone area and newly formed bone showed significant increases in the mean values
for ATiO(x) B chemistry (P = 0.007, n = 10). Biochemical bond theory states that
the surface oxide chemistry, ATiO(x) B must have more electrical and chemical
molecular polarity that fractionally charges the surfaces denoted as delta(+) and
delta(-) and leads to electrostatic and electrodynamic interactions with the bone
healing cascade, eventually leading to the formation of biochemical bonding at
the bone/implant interface. CONCLUSIONS: The present study has provided
quantitative evidence for biochemical bond theory of osseointegration of implants
with bioactive surface oxide chemistry, ATiO(x) B. The theory of biochemical
bonds may provide a scientific rationale pertinent to recent emerging trends and
technologies for surface chemistry modifications of implants.
PMID- 22093015
TI - Caring for women with unintended pregnancies.
AB - Unintended pregnancy is a common reproductive health event. Professional
responsibilities for nurses providing care to women with unintended pregnancies
include appropriate assessment, options counseling, provision of or referral for
desired services, care coordination, and prevention efforts aimed at decreasing
future unintended pregnancies. Nurses' awareness of available services and their
involvement in referring or providing services is an essential component to
reproductive health care.
PMID- 22093016
TI - Can we define a tolerable level of risk in food allergy? Report from a
EuroPrevall/UK Food Standards Agency workshop.
AB - BACKGROUND: There is an emerging consensus that, as with other risks in society,
zero risk for food-allergic people is not a realistic or attainable option. Food
allergy challenge data and new risk assessment methods offer the opportunity to
develop quantitative limits for unintended allergenic ingredients which can be
used in risk-based approaches. However, a prerequisite to their application is
defining a tolerable level of risk. This requires a value judgement and is
ultimately a 'societal' decision that has to involve all relevant stakeholders.
OBJECTIVE: The aim of the workshop was to bring together key representatives from
the stakeholders (regulators, food industry, clinical researchers and patients),
and for the first time ever discuss the definition of a tolerable level of risk
with regard to allergic reactions to food. RESULTS: The discussions revealed a
consensus that zero risk was not a realistic option and that it is essential to
address the current lack of agreed action levels for cross-contamination with
allergens if food allergen management practice is to be improved. The discussions
also indicated that it was difficult to define and quantify a tolerable level of
risk, although both the clinical and the industry groups tried to do so. A
consensus emerged that doing nothing was not a viable option, and there was a
strong desire to take action to improve the current situation. CONCLUSIONS AND
CLINICAL RELEVANCE: Two concrete actions were suggested: (1) Action levels should
be derived from the data currently available. Different scenarios should be
examined and further developed in an iterative process. On the basis of this
work, a tolerable level of risk should be proposed. (2) 'One-dose' clinical trial
with a low challenge dose should be performed in multiple centres to provide
additional information about the general applicability of dose-distribution
models and help validate the threshold levels derived.
PMID- 22093017
TI - The use of delayed gadolinium enhanced magnetic resonance imaging of cartilage
and T2 mapping to evaluate articular cartilage in the normal canine elbow.
AB - Commonly used diagnostic tools used to evaluate articular cartilage lack the
sensitivity, specificity, and objectivity to measure early changes associated
with osteoarthritis. Two techniques using magnetic resonance (MR) imaging have
been developed to detect the biology of articular cartilage are delayed
gadolinium-enhanced MR imaging of cartilage (dGEMRIC) and T2 mapping. Both
techniques have been validated and are used to study the degenerative and
adaptive nature of articular cartilage in people. The use of these techniques as
a diagnostic tool in dogs has not been well described. We evaluated articular
cartilage in the region of the medial coronoid process (MCP) of six healthy dogs
free of detectable orthopedic disease using both MR imaging techniques. Histology
and proteoglycan (PG) content of the MCP were used to confirm normal articular
cartilage. All dogs had ground reaction forces consistent with normal function.
Mean dGEMRIC index (T1 value) was 400 +/- 47 ms and mean T2 value was 56 +/- 8
ms. Intra- and interobserver variability was low. dGEMRIC and T2 values for
normal cartilage in the elbow of the dog can be generated reproducibly using 3T
MR imaging. Using these techniques as objective outcome measures for clinical
studies in dogs with OA conditions should help delineate the efficacy of some
disease interventions.
PMID- 22093018
TI - A longitudinal investigation of sports-related risk factors for disordered eating
in aesthetic sports.
AB - Previous studies have indicated a higher risk of disordered eating in certain
types of elite sports such as aesthetic sports (e.g., rhythmical gymnastics,
figure skating). But even though some studies on risk factors for disordered
eating in sports exist, most research on this topic is based on cross-sectional
data with limitations on causal inferences. We examined sports-related risk
factors for disordered eating in a 1-year longitudinal study with two assessment
points. The participants were 65 adolescent athletes from aesthetic sports (mean
age 14.0 +/-.2.2 years) who completed measures of disordered eating, social
pressure from the sports environment, sports-related body dissatisfaction, desire
to be leaner to improve sports performance, and emotional distress resulting from
missed exercise sessions. All variables were relatively stable in the mean.
Individual changes in the desire to be leaner to improve sports performance were
associated with individual changes in disordered eating. Furthermore, a cross
lagged partial correlation analysis showed that the desire to be leaner to
improve sports performance was predictive of disordered eating and not vice
versa. The results of our study indicate that athletes are more at risk for
disordered eating if they believe it is possible to enhance their sports
performance through weight regulation.
PMID- 22093019
TI - Reliability and fatigue failure modes of implant-supported aluminum-oxide fixed
dental prostheses.
AB - OBJECTIVES: To investigate failure modes and reliability of implant-supported
aluminum-oxide three-unit fixed dental prostheses (FDPs) using two different
veneering porcelains. MATERIAL AND METHODS: Thirty-six aluminum-oxide FDP
frameworks were computer-aided designed and computer-aided manufactured and
either hand-veneered(n = 18) or over-pressed(n = 18). All FDPs were adhesively
luted to custom-made zirconium-oxide-abutments attached to dental implant
fixtures (regular platform 4 * 13 mm). Specimens were stored in water before
mechanical testing. A step-stress accelerated life test (SSALT) with three
load/cycles varying profiles was developed based on initial single-load-to
failure testing. Failure was defined by veneer chipping or chipping in
combination with framework fracture. SSALT was performed on each FDP inclined 30
degrees with respect to the applied load direction. For all specimens, failure
modes were analyzed using polarized reflected light microscopy and scanning
electron microscopy. Reliability was computed using Weibull analysis software
(Reliasoft). RESULTS: The dominant failure mode for the over-pressed FDPs was
buccal chipping of the porcelain in the loading area of the pontic, while hand
veneered specimens failed mainly by combined failure modes in the veneering
porcelain, framework, and abutments. Chipping of the porcelain occurred earlier
in the over-pressed specimens (350 N/85 k, load/cycles) than in the hand-veneered
ones (600 N/110 k) (profile I). Given a mission at 300 N load and 100 or 200 k
cycles, the computed Weibull reliability (two-sided at 90% confidence bounds) was
0.99(1/0.98) and 0.99(1/0.98) for hand-veneered FDPs and 0.45(0.76/0.10) and
0.05(0.63/0) for over-pressed FDPs, respectively. CONCLUSIONS: In the range of
average clinical loads (300-700 N), hand-veneered aluminum-oxide FDPs showed
significantly less failure by chipping of the veneer than the over-pressed. Hand
veneered FDPs under fatigue loading failed at loads >=600 N.
PMID- 22093020
TI - Computer-aided pattern classification system for dermoscopy images.
AB - BACKGROUND: Computer-aided pattern classification of melanoma and other pigmented
skin lesions is one of the most important tasks for clinical diagnosis. To
differentiate between benign and malignant lesions, the extraction of color,
architectural order, symmetry of pattern and homogeneity (CASH) is a challenging
task. METHODS: In this article, a novel pattern classification system (PCS) based
on the clinical CASH rule is presented to classify among six classes of patterns.
The PCS system consists of the following five steps: transformation to the CIE
L*a*b* color space, pre-processing to enhance the tumor region and removal of
hairs, tumor-area segmentation, color and texture feature extraction, and
finally, classification based on a multiclass support vector machine. RESULTS:
The PCS system is tested on a total of 180 dermoscopic images. To test the
performance of the PCS diagnostic classifier, the area under the receiver
operating characteristics curve (AUC) is utilized. The proposed classifier
achieved a sensitivity of 91.64%, specificity of 94.14%, and AUC of 0.948.
CONCLUSION: The experimental results demonstrate that the proposed pattern
classifier is highly accurate and classify between benign and malignant lesions
into some extend. The PCS method is fully automatic and can accurately detect
different patterns from dermoscopy images using color and texture properties.
Additional pattern features can be included to investigate the impact of pattern
classification based on the CASH rule.
PMID- 22093021
TI - Large-scale improvement initiatives in healthcare: a scan of the literature.
AB - CONTEXT: The goal of this article is to provide a succinct scan of the literature
as it relates to the current thinking and practice in large-scale improvement
initiatives in healthcare. METHOD: We employed a scan of the literature using a
modified Delphi technique. A standard review form was used. The scan was limited
to large-scale spread efforts in hospitals and healthcare systems. Each of the
main factors that emerged during the scan was linked to secondary factors and
organized using a driver diagram. FINDINGS: Four primary drivers (factors)
emerged during our scan that inform large-scale change initiatives in healthcare:
Planning and Infrastructure; Individual, Group, Organizational, and System
Factors; The Process of Change; and Performance Measures and Evaluation.
CONCLUSION: Our scan identified a tremendous amount of work being done around the
world to improve healthcare. In general, our findings suggest these initiatives
tend to be fragmented from an implementation standpoint. We identified primary
and secondary drivers (factors) that can be used by those responsible for
implementing large-scale improvement initiatives both at a strategy level and in
their daily work. These drivers could serve as a "checklist" of ideas to consider
in different testing and implementation situations.
PMID- 22093022
TI - Tooth loss in periodontally treated patients: a long-term study of periodontal
disease and root caries.
AB - AIM: To study periodontal conditions, root caries, number of lost teeth and
causes for tooth loss during 11-14 years after active periodontal treatment.
MATERIAL AND METHODS: Sixty-four patients participated in the follow-up study.
Reasons for tooth loss were identified through previous case books, radiographs
and clinical photos. To identify factors contributing to tooth loss, a logistic
multilevel regression analysis was used. RESULTS: The number of lost teeth was
211. The main reason was periodontal disease (n = 153). Due to root caries and
endodontic complications, 28 and 17 teeth, respectively, were lost. Thirteen
teeth were lost for other reasons. The number of teeth (p = 0.05) and prevalence
of probing pocket depths, 4-6 mm (p = 0.01) at baseline, smoking (p = 0.01) and
the number of visits at dental hygienists (p = 0.03) during maintenance,
significantly contributed to explain the variation in tooth loss. CONCLUSION:
Previously treated patients at a specialist clinic for periodontology continued
to lose teeth in spite of maintenance treatments at general practitioners and
dental hygienists. The main reason for tooth loss was periodontal disease. Tooth
loss was significantly more prevalent among smokers than non-smokers. Tooth
related risk factors were smoking, low numbers of teeth and prevalence of
periodontal pockets, 4-6 mm.
PMID- 22093023
TI - Sample pooling obscures diversity patterns in intertidal ciliate community
composition and structure.
AB - The aim of this study was to assess the effect of sample pooling on the portrayal
of ciliate community structure and composition in intertidal sediment samples.
Molecular ciliate community profiles were obtained from nine biological
replicates distributed in three discrete sampling plots and from samples that
were pooled prior to RNA extraction using terminal restriction fragment
polymorphism (T-RFLP) analyses of SSU rRNA. Comparing the individual replicates
of one sampling plot with each other, we found a differential variability among
the individual biological replicates. T-RFLP profiles of pooled samples displayed
a significantly different community composition compared with the cumulative
individual biological replicate samples. We conclude that sample pooling obscures
diversity patterns in ciliate and possibly also other microbial eukaryote
studies. However, differences between pooled samples and replicates were less
pronounced when community structure was analyzed. We found that the most abundant
T-RFLP peaks were generally shared between biological replicates and pooled
samples. Assuming that the most abundant taxa in an ecosystem under study are
also the ones driving ecosystem processes, sample pooling may still be effective
for the analyses of ecological key players.
PMID- 22093024
TI - Basal cell carcinoma, syringocystadenoma papilliferum, trichilemmoma, and
sebaceoma arising within a nevus sebaceus associated with pigmented nevi.
PMID- 22093025
TI - Mechanical stability of the femoral fixation for single- and double-bundle ACL
reconstruction in an in vitro experimental model.
AB - Anterior cruciate ligament ACL reconstruction using the double-bundle (DB)
technique is gaining popularity. A possible weak link in the DB technique could
be that two tendon grafts of smaller diameters are used. The purpose of this
study was to test different femoral fixation methods and graft diameters
representing single-bundle (SB) and DB ACL reconstructions and compare their
biomechanical properties. We hypothesized that SB 6-mm graft constructs had
inferior biomechanical properties than SB 9-mm grafts or DB 2 * 6-mm grafts.
Furthermore, we hypothesized that interference (IF) screw fixation would
demonstrate less elongation and a higher stiffness than Endobutton (Smith &
Nephew(r), Inc., Andover, Massachusetts, USA) fixation (EBF). We performed an in
vitro study using porcine knees and extensor tendons. The mechanical test
consisted of a cyclic test followed by a load-to-failure test. We found that 6-mm
graft constructs had an ultimate failure load that was up to 40% less than both
the 9-mm and 2 * 6-mm graft constructs, despite the fixation method (P-values >=
0.004). Comparing fixation methods, EBF was superior to IF concerning maximum
load to failure (P < 0.001); IF resulted in a higher stiffness of the femur/graft
complex than the EBF (P < 0.001) but no significant difference in elongation
between fixation methods. Since the two graft strands are subjected to different
loads in different knee flexion angles, the reduced strength of the individual
graft strands in DB ACL reconstruction could be a concern.
PMID- 22093026
TI - A single-center study of hematopoietic stem cell transplantation for primary
immune deficiencies (PIDD).
AB - PIDD are rare inherited disorders that can result in life-threatening infections.
Allogeneic HSCT is the only cure for many primary immune deficiencies; however,
the specific diseases and optimal type(s) of transplants are not clear. This
study compares transplant outcomes in a large cohort with a relatively uniform
pre- and post-transplant management strategies. We conducted a retrospective
analysis of 39 pediatric patients who underwent HSCT for SCID (n = 25) or other
immune deficiencies (n = 14) from 1986 to 2010. A structured case report form was
used to collect clinical information. The outcomes of survival, immune
reconstitution, engraftment, incidence of GvHD and IVIG dependency were
tabulated. Overall survival rates were 88% for SCID and 86% for other primary
immune deficiencies, which are high compared to other historical series. No
single variable was associated with mortality. Immunoglobulin dependence occurred
only in patients who had X-linked SCID and a parental donor haploidentical
transplant. Because of improved supportive care and use of alternative donors and
conditioning regimens, HSCT has become an acceptable option for an increasing
number of PIDD subtypes not previously transplanted with high frequency. This
study encourages greater use of transplantation.
PMID- 22093027
TI - A novel fingerprint method to assess the diversity of methanogens in microbial
systems.
AB - Understanding the ecology of methanogens in natural and engineered environments
is a prerequisite to predicting or managing methane emissions. In this study, a
novel high-throughput fingerprint method was developed for determining methanogen
diversity and relative abundance within environmental samples. The method
described here, designated amplicon length heterogeneity PCR of the mcrA gene (LH
mcrA), is based on the natural length variation in the mcrA gene. The mcrA gene
encodes the alpha-subunit of the methyl-coenzyme M reductase, which is involved
in the terminal step of methane production by methanogens. The methanogenic
communities from stored swine and dairy manures were distinct from each other. To
validate the method, methanogenic communities in a plug flow-type bioreactor
(PFBR) treating swine manure were characterized using LH-mcrA method and
correlated to mcrA gene clone libraries. The diversity and relative abundance of
the methanogenic groups were assessed. Methanobrevibacter, Methanosarcinaceae,
Methanoculleus, Methanogenium, Methanocorpusculum and one unidentified group were
assigned to particular LH-mcrA amplicons. Particular phylotypes related to
Methanoculleus were predominant in the last compartment of the PFBR where the
bulk of methane was produced. LH-mcrA method was found to be a reliable, fast and
cost-effective alternative for diversity assessment of methanogenic communities
in microbial systems.
PMID- 22093028
TI - Effect of prednisone administration on coagulation variables in healthy Beagle
dogs.
AB - BACKGROUND: Long-term corticosteroid therapy has been associated with increased
risk of thrombotic disease in dogs. OBJECTIVE: The purpose of this prospective
study was to use thrombelastography (TEG) and thrombin generation (TG) to detect
development of a hypercoagulable state in healthy Beagle dogs receiving oral
prednisone. We hypothesized that administration of corticosteroids would result
in a hypercoagulable profile on TEG tracings and an increase in TG. METHODS: Six
healthy adult Beagles from the University of Montreal's research colony were used
to conduct a prospective longitudinal study in which all dogs received 1 mg/kg of
prednisone orally once daily for 2 weeks, followed by a 6-week washout period,
and then 4 mg/kg of prednisone orally once daily for 2 weeks. TEG tracings on
citrated whole blood and TG measurements on frozen-thawed platelet-poor plasma
were obtained before prednisone administration (baseline), at the end of the
washout period, and at the end of both corticosteroid trials. RESULTS:
Significant differences compared with baseline values were obtained for K, alpha,
and MA, with tracings compatible with a hypercoagulable profile following both
corticosteroid trials. There was a significant increase in endogenous thrombin
potential only after low-dose (1 mg/kg) prednisone. CONCLUSION: Administration of
prednisone to healthy Beagles resulted in hypercoagulability as indicated by TEG
tracings, whereas the effect on TG was more variable. Further studies are needed
to determine the underlying mechanisms of hypercoagulability and its clinical
impact.
PMID- 22093029
TI - The effect of hydrophilic titanium surface modification on macrophage
inflammatory cytokine gene expression.
AB - OBJECTIVES: Chemical modification of microrough titanium dental implants to
produce a hydrophilic surface with increased wettability and improved surface
energy has been demonstrated clinically to achieve superior bone wound healing
and osseointegration compared to that achieved with a microrough titanium surface
alone. As the recruitment of the necessary osseoinductive precursors involved in
bone wound healing and osseointegration to the wound site is facilitated by the
action of cytokines, this study sought to determine the in vitro effect of
hydrophilic surface modification on the expression of pro-inflammatory cytokines
from adherent macrophages. MATERIAL AND METHODS: The surface topography and
composition of the titanium surfaces was characterized by scanning electron
microscopy and X-ray photoelectron spectroscopy. Macrophage attachment and
proliferation was assessed using an MTT assay. The expression of 84 pro
inflammatory cytokines and chemokines by adherent RAW 264.7 cells, a murine
leukaemic monocyte cell line, was assessed by PCR array after 24 h culture on
either smooth polished, sand-blasted acid-etched (SLA) or hydrophilic-modified
SLA (SLActive) titanium surfaces. RESULTS: Following 24 h culture on titanium,
surface microroughness activated pro-inflammatory cytokine gene transcription in
RAW 264.7 cells. Although there was no significant difference in the degree of
cellular attachment or proliferation of RAW 264.7 cells to the different titanium
surfaces, by 24 h the hydrophilic surface elicited a gene expression profile with
significant down-regulation of the key pro-inflammatory cytokines Tnfalpha, IL
1alpha, IL-1beta and the chemokine Ccl-2. CONCLUSIONS: Down-regulation of the
expression of pro-inflammatory cytokine genes may thus modulate the inflammatory
response and may facilitate the enhanced bone wound healing and osseointegration
observed clinically using implants with a microrough hydrophilic surface.
PMID- 22093030
TI - Earlobe keloids: classification according to gross morphology determines proper
surgical approach.
AB - BACKGROUND: A previous study described the outcomes of a treatment protocol using
a prospective design and identified three clinical risk factors for recurrent
keloids. OBJECTIVE: To introduce a novel classification of earlobe keloids
through a retrospective study and describe the appropriate surgical methods
according to this new classification. METHODS: One thousand twenty-seven earlobe
keloids were treated at Kangbuk Samsung Hospital from March 2001 to February
2011. All cases were studied retrospectively and classified. RESULTS: The earlobe
keloids were classified into five groups. The frequency of earlobe keloids in
descending order were a sessile type, single nodular pattern; pedunculated type;
sessile type, multinodular pattern; buried type; and mixed type. Different
surgical methods were used based on the Chang-Park classification according to
gross morphology, including core extirpation using a penetrating technique,
standard keloidectomy, radical keloidectomy, keloidectomy with core extirpation,
and a combination of these. All cases were closed primarily without skin grafting
or sacrifice of the surrounding tissue. CONCLUSIONS: This novel classification
for earlobe keloids can lead to a better understanding of the different types of
earlobe keloids and inform decisions regarding surgical methods.
PMID- 22093031
TI - Virus-triggered autophagy in viral hepatitis - possible novel strategies for drug
development.
AB - Autophagy is a very tightly regulated process that is important in many cellular
processes including development, differentiation, survival and homoeostasis. The
importance of this process has already been proven in numerous common diseases
such as cancer and neurodegenerative disorders. Emerging data indicate that
autophagy plays an important role in some liver diseases including liver injury
induced by ischaemia reperfusion and alpha-1 antitrypsin Z allele-dependent liver
disease. Autophagy may also occur in viral infection, and it may play a crucial
role in antimicrobial host defence against pathogens, while supporting cellular
homoeostasis processes. Here, the latest findings on the role of autophagy in
viral hepatitis B and C infection, which are both serious health threats, will be
reviewed.
PMID- 22093032
TI - Chronic hepatitis C infection blocks the ability of dendritic cells to secrete
IFN-alpha and stimulate T-cell proliferation.
AB - Dendritic cells (DCs) are likely to play a key role in the compromised T-cell
function associated with hepatitis C Virus (HCV) infection. However, studies of
DC function in HCV-infected patients to date have yielded conflicting findings
possibly because of patient and virus heterogeneity. Here, we report the
characterization of monocyte-derived DCs obtained from a homogenous cohort of
women who were infected with HCV genotype 1b following exposure to contaminated
anti-D immunoglobulin from a single donor source. Patients included in the study
had not received anti-viral therapy and all had mild liver disease. We show that
phenotypically normal monocyte-derived dendritic cells (MDDCs) (CD11c(+) HLA(-)
DR(+) CD1a(+) CD14(lo) ) can be obtained from these patients. These cells respond
to both Poly(I:C) and LPS, by up-regulating expression of CD86. They secrete high
levels of IL-8 and CCL5 in response to LPS, an indication that the MyD88
dependent and MyD88-independent signalling pathways downstream of TLR4 ligation
are functioning normally. However, these cells are poor stimulators of T-cell
proliferation in allogeneic mixed lymphocyte reactions. Furthermore, patient
MDDCs fail to secrete IFN-alpha in response to poly(I:C) or IFN-beta stimulation.
Altered DC function may contribute to impaired cellular immune responses and
chronicity of disease following HCV infection in this cohort. An effective
therapeutic vaccine for chronic HCV infection will most likely need to target DCs
to elicit an appropriate cellular response; therefore, it is important to resolve
how the DCs of different patient cohorts respond to stimulation via TLRs.
PMID- 22093033
TI - Hepatitis C virus and the immunological response to hepatitis B virus vaccine in
dialysis patients: meta-analysis of clinical studies.
AB - It is well known that the seroconversion rate of patients following hepatitis B
virus (HBV) vaccination is lower in uraemic than healthy subjects. A variety of
inherited or acquired factors have been implicated in this diminished response,
and the high prevalence of hepatitis C virus (HCV) infection among patients on
maintenance dialysis has been suggested to play a role. However, the impact of
HCV on the immune response to HB vaccine in patients receiving long-term dialysis
is not entirely understood. Here, we evaluate the influence of HCV infection on
the immunological response to HBV vaccine in dialysis population by performing a
systematic review of the literature with a meta-analysis of clinical studies.We
used the random-effects model of DerSimonian and Laird with heterogeneity and
sensitivity analyses. The end-point of interest was the rate of patients showing
seroprotective anti-hepatitis B titres at completion of HBV vaccine schedule
among HCV-positive versus HCV-negative patients on chronic dialysis. We
identified eight studies involving 520 unique patients on long-term dialysis.
Aggregation of study results did not show a significant decrease in response
rates among HCV-infected versus noninfected patients [pooled odds ratio = 0.621
(95% CI, 0.285; 1.353)]. The P-value was 0.007 for our test of study
heterogeneity. Stratified analysis in various subgroups of interest did not
meaningfully change our results. Our meta-analysis showed no association between
immunological response to hepatitis B vaccine and HCV infection in individuals on
long-term dialysis. These results support the use of recombinant vaccine against
hepatitis B in patients on regular dialysis with HCV infection.
PMID- 22093034
TI - Clinical features and risk factors of creatine kinase elevations and myopathy
associated with telbivudine.
AB - With the extensive use of telbivudine, more and more studies reported its
association with creatine kinase (CK) elevations and myopathy. However, clinical
features of these adverse effects were poorly understood. The aim of the present
study was to investigate the clinical features and risk factors of CK elevations
and myopathy associated with telbivudine. The serum CK levels of 200 patients who
were treated with telbivudine for chronic hepatitis B (CHB) between January 2007
and July 2010 were monitored and analysed along with clinical manifestations. The
3-year cumulative incidence of CK elevations and myopathy was 84.3% and 5%,
respectively. CK elevations occurred more frequently in men than in women, and
patients aged <=45 years and with negative HBeAg had higher incidence of CK
elevations. There was no difference in CK elevations among patients with
different HBV DNA levels. Male, younger age and HBeAg negativity were independent
predictors of CK elevations by multivariate Cox regression analysis. There was no
association between the occurrence of myopathy and variables including age, sex,
HBeAg and HBV DNA. No risk factors of myopathy were identified. CK elevations
usually occurred 21 months after starting treatment, and most patients resolved
spontaneously without interruption of telbivudine therapy except three patients
who had to switch to other agents. In conclusion, CK elevations are common
adverse reactions associated with telbivudine therapy, while myopathy is rare.
Male, younger age and HBeAg negativity might be risk factors of CK elevations.
PMID- 22093035
TI - Effect of dietary citric acid on the performance and mineral metabolism of
broiler.
AB - The objective of this study was to investigate the effect of dietary citric acid
(CA) on the performance and mineral metabolism of broiler chicks. A total of 1720
Ross PM3 broiler chicks (days old) were randomly assigned to four groups (430 in
each) and reared for a period of 35 days. The diets of groups 1, 2, 3 and 4 were
supplemented with 0%, 0.25%, 0.75% or 1.25% CA by weight respectively. Feed and
faeces samples were collected weekly and analysed for acid insoluble ash, calcium
(Ca), phosphorus (P) and magnesium (Mg). The pH was measured in feed and faeces.
At the age of 28 days, 10 birds from each group were slaughtered; tibiae were
collected from each bird for the determination of bone mineral density, total
ash, Ca, P, Mg and bone-breaking strength, and blood was collected for the
measurement of osteocalcin, serum CrossLaps((r)), Ca, P, Mg and 1,25(OH)(2)Vit-D
in serum. After finishing the trial on day 37, all chicks were slaughtered by
using the approved procedure. Birds that were fed CA diets were heavier (average
body weights of 2030, 2079 and 2086 g in the 0.25%, 0.75% and 1.25% CA groups,
respectively, relative to the control birds (1986 g). Feed conversion efficiency
(weight gain in g per kg of feed intake) was also higher in birds of the CA-fed
groups (582, 595 and 587 g/kg feed intake for 0.25%, 0.75% and 1.25% CA
respectively), relative to the control birds (565 g/kg feed intake). The
digestibility of Ca, P and Mg increased in the CA-fed groups, especially for the
diets supplemented with 0.25% and 0.75% CA. Support for finding was also
indicated in the results of the analysis of the tibia. At slaughter, the birds
had higher carcass weights and higher graded carcasses in the groups that were
fed the CA diets. The estimated profit margin was highest for birds fed the diet
containing 0.25% CA. Birds of the 0.75% CA group were found to have the second
highest estimated profit margin. Addition of CA up to a level of 1.25% of the
diet increased performance, feed conversion efficiency, carcass weight and
carcass quality, but only in numerical terms. The addition of CA up to 0.75%
significantly increased the digestibility of macro minerals, bone ash content,
bone mineral density and bone strength of the broiler chicks. It may, therefore,
be concluded that the addition of 0.75% CA in a standard diet is suitable for
growth, carcass traits, macromineral digestibility and bone mineral density of
broiler chicks.
PMID- 22093036
TI - Successful treatment of cosmetic mucosal tattoos via Q-switched laser.
AB - BACKGROUND: Tattoo removal using Q-switched lasers is well established in the
medical literature, but it is not clear how tattoos on mucosal membranes should
be treated because of their infrequent presentation. OBJECTIVE: To report
successful cosmetic tattoo removal using Q-switched laser irradiation on the oral
mucosal surface. MATERIALS AND METHODS: Three men with cosmetic tattoos on the
orolabial mucosa of the lower lip sought permanent removal. Each patient received
treatments using a Q-switched neodymium-doped yttrium aluminum garnet (Nd:YAG)
laser to the desired endpoint. RESULTS: Treatment of the affected area with the
Nd:YAG laser resulted in clearing of the pigment without scarring. CONCLUSION: Q
switched laser treatment is a safe and very effective means of removing cosmetic
mucosal tattoos on the inner lip and should be considered the criterion standard
treatment option.
PMID- 22093038
TI - Development of new strains and related SCAR markers for an edible mushroom,
Hypsizygus marmoreus.
AB - New fast-growing and less bitter varieties of Hypsizygus marmoreus were developed
by crossing monokaryotic mycelia from a commercial strain (Hm1-1) and a wild
strain (Hm3-10). Six of the better tasting new strains with a shorter cultivation
period were selected from 400 crosses in a large-scale cultivation experiment. We
attempted to develop sequence characterized amplified region (SCAR) markers to
identify the new strain from other commercial strains. For the SCAR markers, we
conducted molecular genetic analysis on a wild strain and the eight most
cultivated H. marmoreus strains collected from various areas in East Asia by
randomly amplified polymorphic DNA. Ten unique DNA bands for a commercial Hm1-1
strain and the Hm3-10 strain were extracted and their sequences were determined.
Primer sets were designed based on the determined sequences. PCR reactions with
the primer sets revealed that four primer sets successfully discriminated the new
strains from other commercial strains and are thus suitable for commercial
purposes.
PMID- 22093037
TI - Microbial diversity in bovine papillomatous digital dermatitis in Holstein dairy
cows from upstate New York.
AB - Papillomatous digital dermatitis (PDD) is one of the most prevalent diseases of
cattle, adversely affecting the dairy industry by its negative effect on milk
production and reproductive performance. Our objective was to use culture
independent methods to determine the microbial diversity in different strata of
PDD lesions of three Holstein dairy cows, analyzing whether major differences
exist compared to foot skin of three non-infected cows. Both group-specific 16S
rRNA gene PCR-denaturing gradient gel electrophoresis and clone library
sequencing of broad-range 16S rRNA gene showed differences between the microbial
composition of healthy dairy cows and the different strata of the lesion. The
predominant bacterial community in the lesion, regardless of the stratum,
consisted of 166 specific phylotypes belonging to seven bacterial phyla.
Spirochetes (particularly, treponemes) was the most prominent group detected in
PDD deep biopsies and was only found in samples from the lesion. Additionally,
one phylotype phylogenetically affiliated with uncultured Euryarchaeota was
detected in two strata of the lesion. Sequences from healthy foot skin samples
revealed 86 specific phylotypes that were affiliated with Firmicutes and
Proteobacteria. Our study corroborates the theory that treponemes are involved in
PDD disease etiology and suggests, for the first time, the presence of archaeal
members in this particular bovine infection.
PMID- 22093039
TI - Evaluation of the safety and efficiency of novel metallic ultrasonic scaler tip
on titanium surfaces.
AB - AIM: To evaluate the safety and efficiency of novel ultrasonic scaler tips,
conventional stainless-steel tips, and plastic tips on titanium surfaces.
MATERIAL AND METHODS: Mechanical instrumentation was carried out using
conventional ultrasonic scalers (EMS, Nyon, Switzerland) with novel metallic
implant tip (BS), a plastic-headed tip (ES), a plastic tip (PS) and a
conventional stainless-steel tip (CS) on 10 polished commercially pure titanium
disks (Grade II) per group. Arithmetic mean roughness (R(a) ) and maximum height
roughness (R(y) ) of titanium samples were measured and dissipated power of the
scaler tip in the tip-surface junction was estimated to investigate the scaling
efficiency. The instrumented surface morphology of samples was viewed with a
scanning electron microscope (SEM) and surface profile of the each sample was
investigated using contact mode with a commercial atomic force microscope (AFM).
RESULTS: There were no significant differences in surface roughness (R(a) and
R(y) ) among BS, ES, and PS group. However, CS group showed significant higher
surface roughness (R(a) and R(y) ). The efficiency of CS tip is twice as much
higher than that of BS tip, the efficiency of BS tip is 20 times higher than that
of PS tip, and the efficiency of BS tip is 90 times higher than that of ES tip.
CONCLUSION: Novel metallic copper alloy ultrasonic scaler tips may minimally
influence the titanium surface, similar to plastic tip. Therefore, they can be a
suitable instrument for implant maintenance therapy.
PMID- 22093040
TI - Methods for evaluation of cosmetic antioxidant capacity.
AB - The skin as the largest part of human body is one of the main targets for
ultraviolet radiation, environmental pollution, toxic chemicals and some metal
ions, which share responsibility for the formation of free radicals. The
resulting free radicals, both oxygen and nitrogen species are one of the main
causes of aging due to impaired regulation of cell respiratory metabolism
involving incomplete oxygen reduction in mitochondria and production a superoxide
anion, hydroxyl radicals et al. In modern cosmetology to minimize the adverse
effects of free radicals, antioxidants, which inhibit free radical reactions,
mainly autoxidation processes are used. Currently, not only many cosmetic
products containing antioxidants are available, but a large diversity of methods
for determination of cosmetics antioxidant activity is also accessible. These
methods can be divided into three main groups: in vitro, in vivo, and ex vivo as
reported herein. Due to lack of standardization and validation it is necessary to
use a variety of methods as well as conditions for those purposes, which are
presented to the context.
PMID- 22093041
TI - Evaluation of the child with atopic dermatitis.
AB - Atopic dermatis (AD) is a very common inflammatory skin disease in childhood.
Various doctors such as paediatricians, general practitioners, allergologists and
dermatologists are regularly consulted by these children and their parents, but
there is no clear consensus on the diagnostic work-up that should be performed
when evaluating a child with eczema. A careful history, clinical examination and
adequate documentation of disease severity are essential in all children with
eczema, irrespective of their disease severity. AD is a clinical diagnosis;
diagnostic criteria, such as the UK diagnostic criteria, can be helpful for an
accurate definition of the disease. A careful history, including alarm symptoms,
respiratory symptoms and the impact of the disease on psychosocial functioning is
important. Clinical scoring lists such as SCORAD and EASI are well validated for
clinical studies; they are, however, not very suitable tools in clinical
practice. More simple scoring systems, such as Three Item Severity Score (TIS)
and Investigator Global Assessment (IGA), are more easy to use for clinical
record keeping in daily practice. Allergen testing in children with AD without a
history of acute non-eczematous reactions after allergen exposure is not
necessary. In very young children with eczema, not yet exposed to foods, routine
allergen testing is not necessary. If in individual cases, the decision is made
to perform allergen tests, oral challenges should performed to confirm the
diagnoses of food allergy.
PMID- 22093042
TI - The proteome and gene expression profile of cementoblastic cells treated by bone
morphogenetic protein-7 in vitro.
AB - AIM: Regenerative periodontal therapy is often unpredictable and limited.
Cementum regeneration is necessary for the proper repair of a periodontal
ligament. The precise mechanism how bone morphogenetic protein-7 (BMP7) induces
differentiation and mineralization of cementoblasts remains undetermined. The
purpose of this study was to evaluate the effect of BMP7 on early proteome and
gene expression profile of cementoblastic OCCM.30 cells in vitro. MATERIALS AND
METHODS: Immortalized murine cementoblasts (OCCM.30) were exposed to BMP7 and
evaluated for: (1) proliferation; (2) mineralization; (3) early proteome profile
using liquid chromatography-mass spectrometry (LC-MS); and (4) gene expression by
quantitative RT-PCR. RESULTS: Bone morphogenetic protein-7 increased the cell
proliferation at 24 h and 48 h, while higher doses suppressed the cell
proliferation at 48 h. BMP7 induced the mineralization of cementoblasts following
8 days of therapy. Using LC-MS we identified 1117 proteins from the cell lysate.
Many belonged to extracellular matrix formation such as PCPE1, collagens,
annexins and integrin receptors. RT-PCR analyses revealed a BMP7 dose-dependent
upregulation of BMP1, TGFbeta1, osterix, osteoprotegerin, procollagen I and II,
PCPE1, and noggin, while BMP6 and chordin expression were decreased. The high
BMP7 dose down regulated most of the genes 24 h following therapy. CONCLUSION:
Bone morphogenetic protein-7 promotes differentiation and mineralization of
cementoblasts via inducing PCPE1 and BMP1 responsible for processing of type I
collagen.
PMID- 22093043
TI - Use of the Internet as a source of health information amongst participants of
antenatal classes.
AB - AIM: To describe the pattern of use of the Internet as a source of health
information by participants of antenatal classes. Background. There is a lack of
information about the frequency of Internet use amongst expectant mothers and
fathers who attend antenatal classes. DESIGN: A cross-sectional descriptive
study. METHODS: Women (n = 114) and men (n = 21) were recruited. Data were
collected anonymously using a self-administered questionnaire, containing
questions about Internet use, the frequency of that use, sources of information
about pregnancy, preference over other non-Internet sources, positive and
negative feelings generated due to the use of the Internet and willingness to
receive instructions on Internet use. RESULTS: The average age of participants
was 31.4 (SD 6.1) and their stage of pregnancy ranged from 24-38 weeks. 83.5%
were expecting their first child. 93.5% reported that they used the Internet on a
regular basis and no significant difference was found between men and women.
Amongst Internet users, 97.7% sought, at some point, information on pregnancy on
the Internet and 26.9% had done so in the last 24 hours. The Internet was the
most popular source of information on pregnancy topics (18.5% of women and 25.8%
of men used it as their primary source of information) after a physician.
Commercial websites were more frequently used by people looking for information
on pregnancy than sites maintained by not-for-profit organisations or
professional unions. CONCLUSIONS: The Internet is widely used as a source of
information amongst participants of antenatal classes, both male and female.
Approximately 95% have used it at some point to find information during
pregnancy, but the majority (approximately 90%) had no knowledge of websites run
by not-for-profit organisations and preferred commercial websites. Relevance to
clinical practice. Instead of disregarding the use of the Internet as a source
of information during pregnancy, midwives should keep up to date and give their
patients links to high-quality sites.
PMID- 22093044
TI - Rhinitis symptoms caused by grass pollen are associated with elevated basophile
allergen sensitivity and a larger grass-specific immunoglobulin E fraction.
AB - BACKGROUND: The mechanisms responsible for the difference between clinically
irrelevant IgE-sensitization and allergic rhinitis are not fully understood.
OBJECTIVE: We evaluated the humoral and cellular mechanisms that may be
associated with the presence of allergic rhinitis symptoms. METHODS: We selected
26 subjects with positive grass pollen skin tests and IgE antibodies to Timothy
(g6) and the major grass allergens rPhl p 1, 5b. Fourteen of those patients
reported a history of allergic rhinitis. During winter, we performed a grass
pollen CD63 basophile activation test using four log allergen concentrations,
followed by a grass nasal provocation test (NPT). We obtained symptom scores in
the subsequent pollination season. RESULTS: We showed that subjects with a
positive NPT have significantly higher CD63 basophile grass pollen responsiveness
than NPT-negative subjects, preferably at submaximal allergen concentrations,
which represent cellular sensitivity. Moreover, basophile sensitivity positively
correlated with the size of the grass-specific IgE fraction in relation to total
IgE, and it was highly predictive of allergic rhinitis symptoms in the following
pollination season. CONCLUSION AND CLINICAL RELEVANCE: Allergic rhinitis symptoms
are significantly associated with allergen-specific basophile sensitivity. In
vitro evaluation of basophile sensitivity should prove useful for distinguishing
clinical phenotype of allergic sensitization.
PMID- 22093045
TI - Staphylococcal enterotoxin B compromises the immune tolerant status in the airway
mucosa.
AB - BACKGROUND: The breakdown of immune tolerance plays a critical role in allergic
disorders; the mechanism of breaching immune tolerance remains largely unknown.
OBJECTIVE: The present study aimed to investigate the role of Staphylococcal
enterotoxin B (SEB) in the interference of the immune tolerance in the nasal
mucosa. METHODS: The immune tolerant components, tolerogenic dendritic cells
(TolDC) and regulatory T cells (Treg), were assessed in the surgically removed
nasal mucosa from patients with allergic rhinitis (AR) or non-AR chronic
rhinitis. The contents of SEB and integrin alphavbeta6 (avb6) in the nasal
epithelium were assessed using enzyme-linked immunoassay. The ability of avb6 on
TolDC induction and the effect of SEB on suppression of avb6 in nasal epithelial
cells were observed in cell culture. RESULTS: Compared with that in the non-AR
nasal mucosa, the frequencies of TolDCs/Tregs were lower, the contents of SEB
were higher and the contents of avb6 were lower in the AR nasal mucosa. Avb6 had
the ability to induce the development of TolDCs in vitro; the latter had the
ability to induce Treg development. The expression of avb6 was detected in nasal
epithelial cells in culture that could be suppressed by SEB. CONCLUSIONS AND
CLINICAL RELEVANCE: The components of immune tolerance machinery, TolDCs and
Tregs were suppressed in the AR nasal mucosa. The increases in SEB and decreases
in avb6 in nasal epithelium are associated with the compromises of immune
tolerance in the nasal mucosa. SEB has the ability to suppress the expression of
avb6 in nasal epithelial cells.
PMID- 22093046
TI - Yellow fever vaccination in organ transplanted patients: is it safe? A
multicenter study.
AB - BACKGROUND: Yellow fever (YF) may be very serious, with mortality reaching 50%.
Live attenuated virus YF vaccine (YFV) is effective, but may present, although
rare, life-threatening side effects and is contraindicated in immunocompromised
patients. However, some transplant patients may inadvertently receive the
vaccine. METHODS: A questionnaire was sent to all associated doctors to the
Brazilian Organ Transplantation Association through its website, calling for
reports of organ transplanted patients who have been vaccinated against YF.
RESULTS: Twelve doctors reported 19 cases. None had important side effects. Only
one had slight reaction at the site of YFV injection. Eleven patients were male.
Organs received were 14 kidneys, 3 hearts, and 2 livers. Twelve patients received
organs from deceased donors. Mean age at YFV was 45.6 +/- 13.6 years old (range
11-69); creatinine: 1.46 +/- 0.62 mg/dL (range 0.8-3.4); post-transplant time: 65
+/- 83.9 months (range 3-340); and time from YFV at the time of survey: 45 +/- 51
months (range 3-241). Immunosuppression varied widely with different drug
combinations: azathioprine (7 patients), cyclosporine (8), deflazacort (1),
mycophenolate (10), prednisone (11), sirolimus (3), and tacrolimus (4).
CONCLUSIONS: YFV showed no important side effects in this cohort of solid organ
transplanted patients. However, owing to the small number of studied patients, it
is not possible to extend these findings to the rest of the transplanted
population, assuring safety. Therefore, these data are not strong enough to
safely recommend YFV in organ transplanted recipients, as severe, even life
threatening side effects may occur.
PMID- 22093047
TI - Clinical and radiographic evaluation of early loaded narrow diameter implants - 1
year follow-up.
AB - OBJECTIVE: To evaluate the clinical performance of Astra Tech OsseoSpeed TX 3.0S
implants using one-stage surgical procedure and early loading in the anterior
region. MATERIAL AND METHODS: This is a prospective, single arm, multi-centre
study. Patients missing teeth at positions 12, 22 and 32-42 were eligible to
enter the study. The implants (OsseoSpeed TX 3.0S) used in the study were of 3 mm
diameter and of different lengths. One-stage surgery was performed, and healing
abutments were used during the 6-10 weeks healing period. Clinical and
radiographic examinations were assessed at implant installation, loading and at
the 6- and 12-month follow-up visits. RESULTS: Ninety-seven implants were placed
in 69 patients at six different study centres in Denmark, Germany, Italy, Spain,
Sweden and the United Kingdom. The survival rate was 95.9%. No implants have been
lost after loading (100% survival rate after loading). Mean marginal bone loss 1
year after installation was 0.065 mm (SD = 1.018). The frequency of bone loss >=
1 mm was 6.6% and 51.3% of the implants demonstrated no bone loss or even bone
gain from the surgical visit to the first year follow-up visit. Mean probing
pocket depth and gingival zenith score were stable from crown placement to the 6-
and 1-year follow-up visits. CONCLUSION: Treatment with OsseoSpeed TX 3.0S
implants is a safe and predictable option in the anterior region where physical
space is limited. Minimal marginal bone loss was observed during the first year
follow-up.
PMID- 22093048
TI - Determination of the protection efficacy and homogeneity of the distribution of
sunscreens applied onto skin pre-treated with cosmetic products.
AB - BACKGROUND: The efficacy of sunscreens depends decisively on the homogeneity of
its distribution on the skin surface, which is sensitively reflected by two
spectroscopic data - the factor of inhomogeneity and the sum transmission -
measured after tape stripping. The aim of this study was to investigate the
influence of a skin pre-treatment with body lotion on the layout of the
formulations. METHODS: Six healthy volunteers were pre-treated using the body
lotion, Nivea Body (Beiersdorf AG, Hamburg, Germany). The sunscreen was an
oil/water (o/w) emulsion, Roc SPF 8 (RoC SA, Paris, France). The tape stripped
tesa films were measured with a modified UV/VIS spectrometer Lambda 5
(PerkinElmer, Frankfurt/Main, Germany), the data of which were used to calculate
both measurands. RESULTS: The obtained results made clear that the original
spectroscopic data must be corrected taking into account the changed amounts of
horny layer particles removed with the individual tape strips after pre
treatment. As a consequence of the correction, the factors of inhomogeneity as
well as the sum transmissions confirm a more homogeneous distribution of the
applied sunscreen. CONCLUSION: The findings confirm that the applied method is
well suited to obtain information on the distribution of topically applied
substances, and furthermore that the influence of the pre-treatment of the skin
with the body lotion enhances the homogeneity of distribution and thus the
sunscreen efficacy.
PMID- 22093049
TI - Timing of rectosigmoid resection for diverticular disease: the patient's view.
AB - AIM: The study aimed to determine the patient's view on the timing of elective
resection for sigmoid diverticulitis. METHOD: A questionnaire was answered by 162
(69%) of 236 consecutive patients who had a resection of the rectosigmoid for
diverticulitis from July 2002 to August 2005. Patients (n=45) having resection at
or after the first inflammatory attack were excluded, leaving 117 eligible for
analysis. Questions asked included those concerning symptoms before surgery,
improvement of symptoms after surgery and the timing of surgery. RESULTS: Patient
assessment of symptoms after surgery was as follows: no improvement (2%; n=2),
some improvement (10%; n=12), marked improvement (34%; n=39) and complete
resolution (54%; n=63). Forty-five (38%) patients would have preferred an earlier
operation. Preference for earlier surgery related to the number of previous
inflammatory attacks was expressed as follows: 13 (34%) of 38 patients after two
inflammatory attacks, 5 (18%) of 28 patients after three, seven (37%) of 19
patients after four, four (44%) of nine patients after five, and 16 (70%) of 23
patients after six or more inflammatory attacks would have preferred earlier
surgery. Statistically significant factors influencing this potential choice were
number of episodes of pain (P=0.006, OR=1.23, 95% CI: 1.060-1.430) and number of
attacks of inflammation (P=0.048, OR=1.27, 95% CI: 1.002-1.598). CONCLUSION:
Surgery for recurrent diverticulitis resulted in a marked improvement or complete
relief of symptoms in 88% of patients. A large proportion of patients with
recurrent episodes of sigmoid diverticulitis would have preferred earlier
resection.
PMID- 22093050
TI - Continuity of care between family practice physicians and hospitalist services.
AB - PURPOSE: Hospitalist services are increasing in popularity and fewer primary care
providers (PCPs) are caring for patients while hospitalized. Due to concerns with
discontinuity of care, this study evaluated communication and medication
discrepancies on admission and discharge between PCPs without admitting
privileges and hospitalist physicians. METHODS: This retrospective analysis
evaluated patients from a PCP office admitted to hospitalist services from
January 2009 through July 2009. Patient charts were evaluated for PCP, age,
gender, insurance, modes and timeliness of PCP notification, medications on
admission and discharge, and medication discrepancies. RESULTS: A total of 120
charts were evaluated. Physicians were contacted by receipt of admission
summaries for 93% of patients and by phone for 5.8% of patients. Twenty-one
percent of admission summaries were received by the PCP after the patient was
discharged from the hospital and 7% of discharge summaries were received after
the first hospital follow-up with the PCP. Medication errors occurred frequently
and the rate increased in patients at least 65 years old, if they had Medicare,
Medicaid, or were without insurance coverage. CONCLUSION: Better communication
and documentation of medication regimens are needed to improve continuity of care
of patients between outpatient and inpatient settings and avoid potentially
harmful medication errors.
PMID- 22093051
TI - Tissue integration of collagen-based matrices: an experimental study in mice.
AB - OBJECTIVES: To test whether or not tissue integration, biodegradation, and new
blood vessel formation in two collagen-based matrices depend on the level of
chemical cross-linking. MATERIAL AND METHODS: Two collagen matrices with high
(CM1) and low (CM2) levels of chemical cross-linking were randomly implanted in
two pouches in 14 athymic nude mice. Three and 6 weeks later, the animals were
euthanized. Histologic and histomorphometric measurements were performed on
paraffin-embedded sections. RESULTS: Both collagen matrices integrated well into
the surrounding soft tissues. The level of cross-linking and duration of
implantation had an effect on the formation of new blood vessels. More blood
vessels (n = in absolute numbers) were found in outer compartments compared to
the central compartments of the matrices, reaching 5.6 (CM2) vs. 4.3 (CM1) at 3
weeks, and 5.3 (CM2) vs. 7.3 (CM1) at 6 weeks. Similarly, connective tissue
formation increased for both matrices between 3 and 6 weeks, whereas the amount
of remaining collagen network gradually decreased over time being more pronounced
for CM1 (-50%) compared to CM2 (-15%). CONCLUSIONS: The degree of cross-linking
was negatively correlated for all outcome measures resulting in improved tissue
integration, superior matrix stability and enhanced angiogenic patterns for the
less cross-linked collagen matrix (CM2) in this experimental study in mice.
PMID- 22093052
TI - Defining a periodontitis case: analysis of a never-treated adult population.
AB - AIM: The purpose of the present study was to assess the extent to which the three
periodontitis case definition systems proposed by van der Velden, Tonetti &
Claffey and Page & Eke identify the same cases in a population of never-treated
adults with limited tradition for oral hygiene procedures. MATERIAL AND METHODS:
Based on data on clinical attachment level (CAL), probing pocket depth (PD) and
bleeding on probing (BOP) of four sites in all teeth present among 1,130 adult
Kenyans we classified the population according to the three case definition
systems, and according to the occurrence of the concomitant presence of CAL and
BOP at the site level. RESULTS: The case definitions by Tonetti & Claffey and by
Page & Eke yielded similar results, which were also quite similar to the results
of simply identifying a case of periodontitis as a person having at least one
site showing both CAL >= 4 mm and BOP. CONCLUSIONS: The results indicate that it
should be feasible for the periodontal community to reach an agreement over the
distinction between a case and a non-case. The classification system proposed by
van der Velden is better suited for providing clinicians with a clear image of
the case.
PMID- 22093053
TI - Topical timolol for a refractory wound.
PMID- 22093054
TI - Combined treatment with a nonablative infrared device and hyaluronic acid filler
does not have enhanced efficacy in treating nasolabial fold wrinkles.
AB - BACKGROUND: Although hyaluronic acid (HA) fillers are extensively used for the
correction of facial wrinkles and folds, there is a limit to patient
satisfaction, especially in patients with severe wrinkles. OBJECTIVE: To evaluate
the potential for synergistic effects with combined treatment using a nonablative
infrared (IR) device and HA filler in the treatment of nasolabial fold (NLF)
wrinkles. MATERIALS AND METHODS: This was a split-face randomized study in which
12 Korean patients were treated with HA filler on both NLFs and with a
nonablative IR device on the experimental side of the face. Clinical evaluations
from subjects and investigators and photographs were obtained at baseline, just
before and after the procedures, and 1 and 2 months after completion of the
procedures. RESULTS: There were no significant differences between wrinkle
severity and global aesthetic scores for HA gel implantation alone and HA gel
with nonablative IR device treatment at any point. CONCLUSION: Combining the use
of a nonablative IR device with HA filler does not appear to be superior to HA
filler alone in the treatment of moderate to severe NLF wrinkles.
PMID- 22093055
TI - Female soccer knee injury: observed knowledge gaps in injury prevention among
players/parents/coaches and current evidence (the KNOW study).
AB - This study sought to determine if knowledge regarding the risk for knee injuries
and the potential for their prevention is being translated to female adolescent
soccer players (13-18 years), their parents, and coaches. Eligible participants
in the 2007 indoor soccer season were surveyed to determine their knowledge of
the risk for and the potential to prevent knee injuries, and their knowledge of
effective prevention strategies, if they felt that injury prevention was
possible. Team selection was stratified to be representative of both competitive
and recreational level play and age group distributions within the selected
soccer association. Of the study subjects, 773/1396 (55.4%) responded to the
survey: 408 (53%) players, 292 (38%) parents, and 73 (9%) coaches. Most
respondents (538 [71%]) were aware of the risk for knee injury. Coaches and
parents were more likely than players to view knee injuries as preventable;
however, appropriate prevention strategies were often not identified. Four
hundred eighty-four (63.8%) respondents reported that they had never received
information on knee injuries. Substantial knowledge gaps regarding knee injury
prevention and effective preventative strategies were identified. Given the
predominance of knee injuries in female adolescent soccer players, there is an
urgent need for knowledge translation of prevention strategies to decrease both
incidence and long-term consequences of knee injuries.
PMID- 22093056
TI - Development and assessment of the constipation-related disability scale.
AB - BACKGROUND: Chronic constipation is associated with impaired quality of life and
physical discomfort. Although inability to engage in day-to-day activities has
been significantly associated with psychological distress, limited research has
examined this relationship in constipated samples. AIM: To develop and validate
the Constipation-Related Disability Scale (CRDS), which assesses the extent of
disability caused by constipation. METHODS: A total of 240 constipated
participants and 103 healthy controls completed the CRDS. Reliability was
measured with Cronbach's coefficient alpha and test-retest reliability was
assessed with intraclass correlation coefficients. Convergent, divergent and
predictive validity were assessed. RESULTS: Component and factor analyses were
used to derive two factors: Work/Leisure Activities and Activities of Daily
Living, as well as a total CRDS score. Good reliability was found, with alphas >=
0.87 and intraclass correlation coefficients >= 0.85. All scales were negatively
correlated with the physical health subscales of the SF-36 (P < 0.001) and were
not significantly correlated with the Epworth Sleepiness Scale and Social
Desirability Scale, providing support for convergent and divergent validity,
respectively. Evidence of predictive validity was supported by associations
between the total CRDS with number of physician visits per year (P < 0.01),
missed work in the last year (odds ratio [OR = 1.11, 95% confidence interval [CI]
= 1.06-1.19, P < 0.001) and ER visits in the last year (OR = 1.08, 95% CI = 1.00
1.16, P < 0.05). CONCLUSIONS: The Constipation-Related Disability Scale is the
first instrument that assesses the impact of constipation on daily activities.
There is evidence of strong reliability and validity of the instrument.
PMID- 22093057
TI - A randomized, double-blind, placebo-controlled trial on the effect of long-acting
testosterone treatment as assessed by the Aging Male Symptoms scale.
AB - Study Type - Therapy (RCT). Level of Evidence 1b. What's known on the subject?
and What does the study add? Testosterone deficiency syndrome can be treated with
testosterone replacement in the form of injectable, transdermal, buccal and oral
preparations. Long-acting i.m. testosterone undecanoate 1000 mg, which is given
at 10-14 week intervals, has been shown to be adequate for sustaining normal
testosterone levels in hypogonadal men. This study confirms that long-acting i.m.
testosterone undecanoate is effective in improving the health-related quality of
life in men with testosterone deficiency syndrome as assessed by the improvement
in the Aging Male Symptoms scale. Testosterone treatment can be indicated in men
who have poor health-related quality of life resulting from testosterone
deficiency syndrome. OBJECTIVE: * To evaluate the effect of i.m. injection of
testosterone undecanoate 1000 mg over 12 months on the Aging Male Symptom (AMS)
scale scores in men with testosterone deficiency syndrome (TDS). PATIENTS AND
METHODS: * A total of 120 men >40 years old with TDS (total testosterone < 12
nmol/L and total AMS scores >= 27) were randomized into i.m. injection of either
placebo or testosterone undecanoate 1000 mg. * In all, 56 and 58 participants
from the active treatment and placebo groups, respectively completed the study. *
An i.m. injection of either placebo or testosterone undecanoate 1000 mg was given
at weeks 0, 6, 18, 30 and 48. * Self-administered AMS questionnaires were
completed at weeks 0, week 18 and week 48. RESULTS: * Improvement in the total
AMS score was significantly greater in the treatment group than in the placebo
group (F: 4.576, P= 0.017) over the 48-week period. * The mean (sd) total AMS
score was 38.46 (11.85) at baseline and 33.59 (1.69) at 48 weeks for the placebo
group, and 41.73 (12.73) at baseline and 32.61 (9.67) at 48 weeks for the
treatment group. * The mean change in the total AMS score was -12.6% in the
placebo group and -21.9% in the treatment group. * The mean psychological and
somatovegetative domain scores decreased significantly more in the treatment
group than in the placebo group (-2.8 vs -1.2, P= 0.03; and -3.2 vs -1.8, P=
0.016). * The difference in change between the randomized groups for the sexual
domain scores followed the same trend, though the difference was not significant.
CONCLUSION: * Long-acting testosterone is effective in improving health-related
quality of life as assessed by the AMS scale in men with TDS.
PMID- 22093058
TI - Acclimatization improves submaximal exercise economy at 5533 m.
AB - We tested whether the better subjective exercise tolerance perceived by
mountaineers after altitude acclimatization relates to enhanced exercise economy.
Thirty-two mountaineers performed progressive bicycle exercise to exhaustion at
490 m and twice at 5533 m (days 6-7 and day 11), respectively, during an
expedition to Mt. Muztagh Ata. Maximal work rate (W(max)) decreased from mean +/-
SD 356 +/- 73 watts at 490 m to 191 +/- 49 watts and 193 +/- 45 watts at 5533 m,
days 6-7 and day 11, respectively; corresponding maximal oxygen uptakes (VO2max )
were 50.7 +/- 9.5, 26.3 +/- 5.6, 24.7 +/- 7.0 mL/min/kg (P = 0.0001 5533 m vs 490
m). On days 6-7 (5533 m), VO(2) at 75% W(max) (152 +/- 37 watts) was 1.75 +/-
0.45 L/min, oxygen saturation 68 +/- 8%. On day 11 (5533 m), at the same
submaximal work rate, VO(2) was lower (1.61 +/- 0.47 L/min, P < 0.027) indicating
improved net efficiency; oxygen saturation was higher (74 +/- 7%, P < 0.0004) but
ratios of VO(2) to work rate increments remained unchanged. On day 11,
mountaineers climbed faster from 4497 m to 5533 m than on days 5-6 but perceived
less effort (visual analog scale 50 +/- 15 vs 57 +/- 20, P = 0.006) and reduced
symptoms of acute mountain sickness. We conclude that the better performance and
subjective exercise tolerance after acclimatization were related to regression of
acute mountain sickness and improved submaximal exercise economy because of lower
metabolic demands for non-external work-performing functions.
PMID- 22093059
TI - Decreased gallbladder emptying in dogs with biliary sludge or gallbladder
mucocele.
AB - Biliary sludge in dogs is dismissed commonly as an incidental finding. On the
other hand, gallbladder mucocele is reported increasingly in dogs and can lead to
biliary obstruction or gallbladder rupture. Cholestasis is suspected to play a
role in development of sludge and mucoceles, though there are no data in dogs to
support this. We investigated gallbladder emptying, a key factor in biliary flow,
in dogs with mobile sludge, immobile sludge, or gallbladder mucocele and in
healthy controls. Gallbladder ejection fraction estimated by ultrasonography was
used as the index of gallbladder emptying. The ejection fraction at 60 min after
eating was significantly decreased in all three abnormal groups. Moreover, all
dogs with sludge or a mucocele had gallbladder distension. These changes were the
greatest in the mucocele group. Thus, biliary stasis occurs not only in dogs with
gallbladder mucocele but also in dogs with biliary sludge. Cholestasis may play a
role in the pathogenesis or progression of these diseases in dogs.
PMID- 22093060
TI - Genetic diversity of Mimosa pudica rhizobial symbionts in soils of French Guiana:
investigating the origin and diversity of Burkholderia phymatum and other beta
rhizobia.
AB - The genetic diversity of 221 Mimosa pudica bacterial symbionts trapped from eight
soils from diverse environments in French Guiana was assessed by 16S rRNA PCR
RFLP, REP-PCR fingerprints, as well as by phylogenies of their 16S rRNA and recA
housekeeping genes, and by their nifH, nodA and nodC symbiotic genes.
Interestingly, we found a large diversity of beta-rhizobia, with Burkholderia
phymatum and Burkholderia tuberum being the most frequent and diverse symbiotic
species. Other species were also found, such as Burkholderia mimosarum, an
unnamed Burkholderia species and, for the first time in South America,
Cupriavidus taiwanensis. The sampling site had a strong influence on the
diversity of the symbionts sampled, and the specific distributions of symbiotic
populations between the soils were related to soil composition in some cases.
Some alpha-rhizobial strains taxonomically close to Rhizobium endophyticum were
also trapped in one soil, and these carried two copies of the nodA gene, a
feature not previously reported. Phylogenies of nodA, nodC and nifH genes showed
a monophyly of symbiotic genes for beta-rhizobia isolated from Mimosa spp.,
indicative of a long history of interaction between beta-rhizobia and Mimosa
species. Based on their symbiotic gene phylogenies and legume hosts, B. tuberum
was shown to contain two large biovars: one specific to the mimosoid genus Mimosa
and one to South African papilionoid legumes.
PMID- 22093061
TI - Acute humoral rejection in pediatric renal transplant recipients receiving
steroid minimization immunosuppression.
AB - SM protocols have increasingly gained acceptance owing to their favorable side
effect profile with comparable cellular rejection rates. After encountering SM
patients with AHR, we performed a case-control study to identify predictors
associated with AHR in this cohort. Patients with (n = 4) and without (n = 19)
biopsy proven AHR on a SM regimen were compared using the Student's t-tests. The
median age at transplant was 13.8 yr. Compared to controls, the AHR cohort was
older (15.9 vs. 12.1 yr, p = 0.01). Children with AHR had a lower mean tacrolimus
trough level and were more likely to have a sub-therapeutic trough at six months
(3.5 vs. 5.5 ng/mL, p = 0.05); mean MMF doses were lower at all times points
except three months in the AHR group (not statistically significant). This
occurred in spite of higher MPA trough levels at all study points in the AHR
group (significant at 3 [p = 0.019] and 6 [p = 0.03] months). Children receiving
a SM regimen have a lower safety net and may benefit from more intensive
monitoring of tacrolimus exposure. MMF dose modifications based on MPA trough
determinations should be resisted in the setting of SM.
PMID- 22093062
TI - The benefits and risks of stem cell technology.
AB - The potential impact of stem cell technology on medical and dental practice is
vast. Stem cell research will not only provide the foundation for future
therapies, but also reveal unique insights into basic disease mechanisms.
Therefore, an understanding of stem cell technology will be necessary for
clinicians in the future. Herein, we give a basic overview of stem cell biology
and therapeutics for the practicing clinician.
PMID- 22093063
TI - Chromatophoroma in a crevice kelpfish (Gibbonsia montereyensis).
AB - A captive adult crevice kelpfish, Gibbonsia montereyensis, developed a cutaneous
mass, approximately 9 * 7 mm on the right side of the head in an area of
nonscaled skin. Following surgical debulking, examination of both impression
smears and histologic sections of the tumor revealed a predominant population of
round to spindloid to polygonal cells with a moderate amount of lightly
basophilic cytoplasm. The cytoplasm was filled with round, variably-sized reddish
brown granules that often obscured the nucleus. Nuclei were round to ovoid with
coarsely granular chromatin. There was minimal anisocytosis and anisokaryosis.
The cytoplasmic granules in histologic sections were weakly positive by the
Fontana-Masson method, and staining was eliminated with melanin bleach.
Immunohistochemical staining was strongly positive with a murine monoclonal
antibody for melan A. As the specificity of melan A for melanophores is not
clearly defined in nonmammalian species, the tumor was examined by transmission
electron microscopy. Melanophores were not detected. Instead, neoplastic cells
were filled with numerous intracytoplasmic organelles with triple-limiting
membranes composed of concentric lamellae; these structures were most compatible
with pterinosomes, which are the pigment-containing organelles of cells called
xanthophores and erythrophores. As both of these organelles are ultrastructurally
indistinguishable and as kelpfish skin is known to contain both xanthophores and
erythrophores, a diagnosis of a mixed pigment cell tumor or chromatophoroma was
made. As the tumor was grossly reddish-brown, the possibility of a neoplastic
population of only erythrophores could not be excluded. Pigment cell tumors,
arising from cells of the embryonic neural crest, are common in reptiles and bony
fish.
PMID- 22093064
TI - Development of a novel set of Gateway-compatible vectors for live imaging in
insect cells.
AB - Insect genomics is a growing area of research. To exploit fully the genomic data
that are being generated, high-throughput systems for the functional
characterization of insect proteins and their interactomes are required. In this
work, a Gateway-compatible vector set for expression of fluorescent fusion
proteins in insect cells was developed. The vector set was designed to express a
protein of interest fused to any of four different fluorescent proteins [green
fluorescent protein (GFP), cyan fluorescent protein (CFP), yellow fluorescent
protein (YFP) and mCherry] by either the C-terminal or the N-terminal ends.
Additionally, a collection of organelle-specific fluorescent markers was
assembled for colocalization with fluorescent recombinant proteins of interest.
Moreover, the vector set was proven to be suitable for simultaneously detecting
up to three proteins by multiple labelling. The use of the vector set was
exemplified by defining the subcellular distribution of Mal de Rio Cuarto virus
(MRCV) outer coat protein P10 and by analysing the in vivo self-interaction of
the MRCV viroplasm matrix protein P9-1 in Forster resonance energy transfer
(FRET) experiments. In conclusion, we have developed a valuable tool for high
throughput studies of protein subcellular localization that will aid in the
elucidation of the function of newly described insect and virus proteins.
PMID- 22093065
TI - Identification of RCN1 and RSA3 as ethanol-tolerant genes in Saccharomyces
cerevisiae using a high copy barcoded library.
AB - Saccharomyces cerevisiae (S. cerevisiae) encounters a multitude of stresses
during industrial processes such as wine fermentation including ethanol toxicity.
High levels of ethanol reduce the viability of yeast and may prevent completion
of fermentation. The identification of ethanol-tolerant genes is important for
creating stress-resistant industrial yeast, and S. cerevisiae genomic resources
have been utilized for this purpose. We have employed a molecular barcoded yeast
open reading frame (MoBY-ORF) high copy plasmid library to identify ethanol
tolerant genes in both the S. cerevisiae S288C laboratory and M2 wine strains. We
find that increased dosage of either RCN1 or RSA3 improves tolerance of S288C and
M2 to toxic levels of ethanol. RCN1 is a regulator of calcineurin, whereas RSA3
has a role in ribosome maturation. Additional fitness advantages conferred upon
overproduction of RCN1 and RSA3 include increased resistance to cell wall
degradation, heat, osmotic and oxidative stress. We find that the M2 wine yeast
strain is generally more tolerant of stress than S288C with the exception of
translation inhibition, which affects M2 growth more severely than S288C. We
conclude that regulation of ribosome biogenesis and ultimately translation is a
critical factor for S. cerevisiae survival during industrial-related
environmental stress.
PMID- 22093066
TI - Identification of a Dau c PRPlike protein (Dau c 1.03) as a new allergenic
isoform in carrots (cultivar Rodelika).
AB - BACKGROUND: Up to 25% of food allergic subjects in central Europe suffer from
carrot allergy. Until now, two isoforms of the major carrot (Daucus carota)
allergen Dau c 1 have been described: Dau c 1.01, comprising five variants (Dau c
1.0101-Dau c 1.0105) and Dau c 1.02. OBJECTIVE: To investigate potential
allergenic properties of a Dau c PRPlike protein, a novel isoform of the PR-10
protein family in carrot. METHODS: Dau c PRPlike cDNA from carrot roots (cv
Rodelika) was cloned after RT-PCR and 5'RACE. Dau c PRPlike protein was expressed
in E. coli, purified under native conditions by Ni-NTA chromatography and
analysed by CD spectroscopy. Immuno-reactivity of the rDau c PRPlike protein was
compared with rDau c 1.0104 and rDau c 1.0201 in terms of IgE binding
(immunoblotting, ImmunoCAP), IgE cross-reactivity (ELISA inhibition) and in vitro
mediator release with sera from carrot allergic patients. mRNA expression of Dau
c PRPlike protein in wild-type and transgenic carrot roots was analysed by qRT
PCR. RESULTS: The Dau c PRPlike protein was identified as a new allergenic
isoform, Dau c 1.03, in carrot roots. 68% of carrot allergic patients were
sensitized to rDau c 1.03. The IgE-reactivity of rDau c 1.03 strongly correlated
with reactivity to rDau c 1.0104, but not to rDau c 1.0201. The extent of IgE
cross-reactivity and allergenic potency of Dau c 1 isoforms varied between the
individual sera tested. Dau c 1.03 mRNA transcripts were up-regulated in Dau c
1.01 and Dau c 1.02 gene-silenced carrot roots. CONCLUSION AND CLINICAL
RELEVANCE: Dau c 1 isoforms display distinct IgE epitope heterogeneity. Dau c
1.03 appears to contribute to the allergenicity of carrots and the manifestation
of carrot allergy. The epitope diversity of different Dau c 1 isoforms should be
considered for component-resolved diagnosis and gene silencing of carrot
allergens.
PMID- 22093067
TI - Reactions of non-immunologic contact urticaria on scalp, face, and back.
AB - BACKGROUND: This study compared the reactivity of scalp, face, and back to
nonimmunologic contact urticants (NICU) to ascertain relative responsiveness.
METHODS: Model urticants, benzoic acid (BA) and hexyl nicotinate (HN) with 3
concentrations of each were applied to marked skin of 10 bald males during 6
weeks. One urticant was applied to one side of nasolabial fold, back, and scalp
and the other applied to the contralateral side. Reactivity was assessed by
visual scores (VS) and biophysical instruments. Subjects ranked skin sensation
with a 10-point visual analogue scale. RESULTS: With 0.25% HN application, upper
back VS significantly (p<0.05) exceeded scalp and back VS also showed
significantly (p<0.05) stronger reaction than face at 60 min post-application;
however, at 2.5% BA site, VS of face exhibited significantly (p<0.05) higher than
back at 15 min post-application but with 0.625% BA site, VS of back was
significantly (p<0.05) higher than face. The a* value was significantly (p<0.05)
higher on back than scalp with 0.625% BA treatment. CONCLUSION: Thus symptoms and
measurements vary among sites. Differences may be related to solubility related
percutaneous penetration. We encourage investigation into this relatively
neglected but clinically important arena, to help explain difference in
consumer/patient acceptance of topical formulations.
PMID- 22093069
TI - An endo-beta-N-acetylglucosaminidase from Enterococcus faecalis V583 responsible
for the hydrolysis of high-mannose and hybrid-type N-linked glycans.
AB - It has been demonstrated previously that Enterococcus faecalis produces secreted
endoglycosidases that enable the bacteria to remove N-linked glycans from
glycoproteins. One enzyme potentially responsible for this activity is EF0114,
comprising a typical GH18 endoglycosidase domain and a GH20 domain. We have
analyzed the other candidate, EF2863, and show that this predicted single domain
GH18 protein is an endo-beta-N-acetylglucosaminidase. EF2863 hydrolyzes the
glycosidic bond between two N-acetylglucosamines (GlcNAc) in N-linked glycans of
the high-mannose and hybrid type, releasing the glycan and leaving one GlcNAc
attached to the protein. The activity of EF2863 is similar to that of the well
known deglycosylating enzyme EndoH from Streptomyces plicatus. According to the
CAZy nomenclature, the enzyme is designated EfEndo18A.
PMID- 22093070
TI - Feed consumption, diet digestibility and mineral utilization in captive blackbuck
(Antelope cervicapra) fed different levels of concentrates.
AB - A feeding trial was conducted to know the level of concentrates in the diet of
Antelope cervicapra at which diet digestibility and mineral utilization were
optimum. Fifteen blackbucks (25-33 kg BW) were distributed into three groups of
five each. Fresh oat (Avena sativa) and berseem (Trifolium alexandrinum) fodders
were offered ad libitum to all the animals. In addition, animals in groups II and
III received concentrate mixture at the rate of 0.5 and 1% of BW, whereas animals
in group I received no concentrates. As the level of concentrates increased,
consumption of fodder decreased resulting in decreased consumption of neutral
detergent fibre (NDFom), acid detergent fibre (ADFom), hemicellulose and
cellulose. However, overall total dry matter (DM) and organic matter (OM) intake
was not significantly different between the groups. Digestibility of DM, OM and
gross energy (GE) increased while that of NDFom and ADFom decreased with
increased level of concentrates in the diet. Intake of P, Zn, Cu and Mn increased
with increased level of concentrate supplementation; however, consumption of Ca
and Fe followed the reverse trend. Absorption of P and Zn increased with
increased level of concentrate supplementation. Serum concentration of Zn
increased when concentrate was supplemented at the rate of 0.5% BW beyond which
there was no further improvement. Increasing the level of concentrates in the
diet was resulted in increased serum glucose and cholesterol levels. Forage-only
diet was inadequate in supply of energy, P and zinc. Supplementation of
concentrates at the rate of 0.5% BW was able to meet the requirement of these
nutrients. Supplementation at the rate of 1% BW supplied energy and P in excess
of requirement. It was concluded that the feeding of concentrates to the captive
blackbuck fed forage-based diets should be restricted to 0.5% of BW.
PMID- 22093071
TI - Acute effects of fractional laser on photo-aged skin.
AB - BACKGROUND: Nonablative fractional photothermolysis (FP) laser treatment has
shown clinical efficacy on photo-aged skin. Few studies have examined the
molecular responses to FP. OBJECTIVE: To characterize the dynamic alterations
involved in dermal matrix remodeling after FP laser treatment. METHODS: A single
multipass FP treatment was performed. Baseline, day 1, and day 7 biopsies were
obtained. Biopsies were sectioned and stained for histology and
immunofluorescence confocal microscopic. Heat shock protein-70 (HSP-70) and
matrix metalloproteinase-1 (MMP-1) expression and extracellular matrix (ECM)
autofluorescence were examined. Quantitative real-time polymerase chain reaction
(qRT-PCR) experiments were performed probing for collagen 1A1 (COL1A1) and
COL3A1. RESULTS: All three patients were Caucasian women aged 49, 62, and 64 with
Fitzpatrick skin types II, III, and IV. Transient neutrophilic infiltration found
on day 1. Protein expression of HSP-70 and MMP-1 were up-regulated on day 1,
reverting to baseline by day 7. ECM autofluorescence decreased from baseline to
day 7. qRT-PCR showed a minor decrease in COL1A1 and COL3A1 messenger RNA 1 day
after treatment. Variable results between patients receiving equal treatment were
evident.
PMID- 22093072
TI - The cytocompatibility and osseointegration of the Ti implants with XPEED(r)
surfaces.
AB - OBJECTIVES: This study evaluated cytocompatibility and osseointegration of the
titanium (Ti) implants with resorbable blast media (RBM) surfaces produced by
grit-blasting or XPEED((r)) surfaces by coating of the nanostructured calcium.
MATERIAL AND METHODS: Ti implants with XPEED((r)) surfaces were hydrothermally
prepared from Ti implants with RBM surfaces in a solution containing alkaline
calcium. The surface characteristics were evaluated by using a scanning electron
microscope (SEM) and surface roughness measuring system. Apatite formation was
measured with SEM after immersion in modified-simulated body fluid and the amount
of calcium released was measured by inductively coupled plasma optical emission.
The cell proliferation was investigated by MTT assay and the cell attachment was
evaluated by SEM in MC3T3-E1 pre-osteoblast cells. Thirty implants with RBM
surfaces and 30 implants with XPEED((r)) surfaces were placed in the proximal
tibiae and in the femoral condyles of 10 New Zealand White rabbits. The
osseointegration was evaluated by a removal torque test in the proximal tibiae
and by histomorphometric analysis in the femoral condyles 4 weeks after
implantation. RESULTS: The Ti implants with XPEED((r)) surfaces showed a similar
surface morphology and surface roughness to those of the Ti implants with RBM
surfaces. The amount of calcium ions released from the surface of the Ti implants
with XPEED((r)) surfaces was much more than the Ti implants with RBM surfaces (P
< 0.05). The cell proliferation and cell attachment of the Ti implants showed a
similar pattern to those of the Ti implants with RBM surfaces (P > 0.1). Apatite
deposition significantly increased in all surfaces of the Ti implants with
XPEED((r)) surfaces. The removable torque value (P = 0.038) and percentage of
bone-to-implant contact (BIC%) (P = 0.03) was enhanced in the Ti implants with
XPEED((r)) surfaces. CONCLUSION: The Ti implants with XPEED((r)) surfaces
significantly enhanced apatite formation, removal torque value, and the BIC%. The
Ti implants with XPEED((r)) surfaces may induce strong bone integration by
improving osseointegration of grit-blasted Ti implants in areas of poor quality
bone.
PMID- 22093073
TI - Potential impact of surgical periodontal therapy on oral health-related quality
of life in patients with periodontitis: a pilot study.
AB - AIM: To gain insight into the impact of periodontal surgery on oral health
related quality of life (QoL) of patients with periodontitis. MATERIAL AND
METHODS: Study participants were recruited from moderate to severe periodontitis
patients. After initial periodontal therapy, participants received periodontal
surgery. Oral Health-related Quality of Life Model for Dental Hygiene (OHRQL) was
used to assess participant's QoL at each time point of periodontal evaluation
(baseline, at least 3 weeks after initial therapy and 3-4 months after surgery).
RESULTS: A total of 21 patients completed OHRQL assessment after surgery.
Compared with baseline, a progressive improvement in periodontal parameters was
observed during the periodontal therapy. The total mean OHRQL score at baseline
(25.5 +/- 11.4) was significantly reduced (improved) after initial therapy and
after surgery (16.7 +/- 9.5 and 15.0 +/- 9.7, respectively; p < 0.01). However,
no significant difference was found between the OHRQL score after initial therapy
and that after surgery. CONCLUSIONS: No significant differences in patients' oral
health-related QoL were observed between post-initial therapy and post-surgery
intervals, although a tendency of surgery to determine an improvement in QoL was
observed when compared with post-initial treatment.
PMID- 22093074
TI - Urinary concentrations of 15-epimer of lipoxin A(4) are lower in patients with
aspirin-intolerant compared with aspirin-tolerant asthma.
AB - BACKGROUND: Although an abnormality in arachidonic acid metabolism may be
responsible for aspirin-intolerant asthma (AIA), there is little knowledge about
the concentrations of urinary lipoxin A(4) (LXA(4)) and the 15-epimer of LXA(4)
(15-epi-LXA(4)) in relation to asthma severity in AIA subjects. OBJECTIVE: The
purpose of this study is to estimate urinary LXA(4) and the 15-epimer
concentrations to investigate lipoxins in AIA. METHODS: In this study, we
examined AIA, aspirin-tolerant asthma (ATA) and healthy control groups. The AIA
and ATA groups were subdivided into the severe asthma and non-severe asthma
subgroups. Urinary LXA(4), 15-epi-LXA(4) and leukotriene E(4) (LTE(4) ) were
quantified using enzyme immunoassay after separating these compounds using high
performance liquid chromatography. RESULTS: The urinary LXA(4) concentration was
significantly lower than the 15-epi-LXA(4) concentration in the asthmatic
subjects. The AIA group showed significantly lower urinary 15-epi-LXA(4) (P <
0.01) and higher urinary LTE(4) concentrations (P < 0.05) than the ATA group.
Comparison of 15-epi-LXA(4) concentrations between the severe asthmatic and non
severe asthmatic subjects in the AIA and ATA groups revealed that the decreased
15-epi-LXA(4) concentration may be related to aspirin intolerance, but not asthma
severity. Receiver operator characteristic curves demonstrated that the
concentration ratio of LTE(4) to 15-epi-LXA(4) was superior to 15-epi-LXA(4)
concentration and LTE(4) concentration as a predictive factor for aspirin
intolerance. CONCLUSIONS AND CLINICAL RELEVANCE: We have demonstrated for the
first time that urinary 15-epi-LXA(4) concentration is significantly higher than
LXA(4) concentration in both the AIA and ATA groups. 15-Epi-LXA(4) concentration
was significantly lower in the AIA group with an increased urinary LTE(4)
concentration than in the ATA group. An imbalance between proinflammatory
cysteinyl-leukotrienes and anti-inflammatory 15-epi-LXA(4) may be involved in AIA
pathogenesis.
PMID- 22093075
TI - Clinical impact of the use of 16S rRNA sequencing method for the identification
of "difficult-to-identify" bacteria in immunocompromised hosts.
AB - Molecular method of 16S rRNA sequencing is reported to be helpful in the accurate
identification of organisms with ambiguous phenotypic profiles. We analyzed the
use of 16S rRNA sequencing method to identify clinically significant, "difficult
to-identify" bacteria recovered from clinical specimens, and evaluated its role
in patient management and consequent clinical outcome. Among the 172 "difficult
to-identify" bacteria recovered over a 4-year period, 140 were gram-positive
cocci or gram-negative bacilli; identification by 16S rRNA did not play a role in
the management of patients infected with these bacteria. From 32 patients, 33
"difficult-to-identify" gram-positive bacilli were identified; the organisms were
mycobacteria, Nocardia, Tsukamurella, Rhodococcus, and Gordonia. In 24 patients
for whom clinical data were available, results from the 16S rRNA sequencing
method led to treatment change in 14 immunocompromised patients (including 7
hematopoietic stem cell recipients and 1 liver transplant recipient). Therapy was
modified in 9 patients, initiated in 3 patients, and discontinued in 2 patients.
Most patients' therapy was switched to oral antibiotics with discontinuation of
intravascular catheters, facilitating early hospital discharge. All 14 patients
were alive 30 days after infection onset. The present study demonstrates the
clinical application of 16S rRNA sequencing method to identify "difficult-to
identify" mycobacteria and other gram-positive bacilli in clinical specimens,
particularly in immunocompromised hosts.
PMID- 22093076
TI - RCT comparing minimally with moderately rough implants. Part 2: microbial
observations.
AB - BACKGROUND: Most current implants have a moderately rough surface (compared with
older minimally rough "turned" implants) to facilitate osseointegration. This
randomized controlled trial (RCT), with split-mouth design, examined whether this
increased surface roughness influenced the initial subgingival plaque formation.
MATERIAL AND METHODS: Ten fully edentulous and eight partially edentulous
patients, all with a history of severe periodontitis, received 4-6 implants
(mandible or maxilla). Per jaw, both minimally (turned) and moderately rough
(TiUnite) implants (MKIII; Nobel Biocare) were alternated. Also, the healing and
final abutments had similar surface characteristics. Subgingival biofilm
formation was followed up for 1 year, and samples were analyzed by culture
technique, qPCR and checkerboard RESULTS: Over the entire period, no
statistically significant differences could be detected in subgingival microbiota
between the minimally and moderately rough surfaces. In partially edentulous
patients, the biofilm matured to a higher concentration of pathogens when
compared with fully edentulous patients. The subgingival implant composition and
concentration in partially edentulous patients were comparable to the subgingival
microbiota along teeth. CONCLUSION: The roughness of the more modern implants did
not influence the biofilm formation during the first year of implant loading.
PMID- 22093077
TI - African ancestry, early life exposures, and respiratory morbidity in early
childhood.
AB - BACKGROUND: Racial disparities persist in early childhood wheezing and cannot be
completely explained by known risk factors. OBJECTIVE: To evaluate the
associations of genetic ancestry and self-identified race with early childhood
recurrent wheezing, accounting for socio-economic status (SES) and early life
exposures. METHODS: We studied 1034 children in an urban, multi-racial,
prospective birth cohort. Multivariate logistic regression was used to evaluate
the association of genetic ancestry as opposed to self-identified race with
recurrent wheezing (>3 episodes). Sequential models accounted for demographic,
socio-economic factors and early life risk factors. Genetic ancestry, estimated
using 150 ancestry informative markers, was expressed in deciles. RESULTS:
Approximately 6.1% of subjects (mean age 3.1 years) experienced recurrent
wheezing. After accounting for SES and demographic factors, African ancestry (OR:
1.16, 95% CI: 1.02-1.31) was significantly associated with recurrent wheezing. By
self-reported race, hispanic subjects had a borderline decrease in risk of wheeze
compared with African Americans (OR: 0.44, 95% CI: 0.19-1.00), whereas white
subjects (OR: 0.46, 95% CI: 0.14-1.57) did not have. After further adjustment for
known confounders and early life exposures, both African (OR: 1.19, 95% CI: 1.05
1.34) and European ancestry (OR: 0.84, 95% CI: 0.74-0.94) retained a significant
association with recurrent wheezing, as compared with self-identified race
(OR(whites) : 0.31, 95% CI: 0.09-1.14; OR(hispanic) : 0.47, 95% CI: 0.20-1.08).
There were no significant interactions between ancestry and early life factors on
recurrent wheezing. CONCLUSIONS AND CLINICAL RELEVANCE: In contrast to self
identified race, African ancestry remained a significant, independent predictor
of early childhood wheezing after accounting for early life and other known risk
factors associated with lung function changes and asthma. Genetic ancestry may be
a powerful way to evaluate wheezing disparities and a proxy for differentially
distributed genetic and early life risk factors associated with childhood
recurrent wheezing.
PMID- 22093078
TI - Early peri-implant endosseous healing of two implant surfaces placed in
surgically created circumferential defects. A histomorphometric and fluorescence
study in dogs.
AB - OBJECTIVE: Several implant surfaces are being developed, some in the nanoscale
level. In this study, two different surfaces had their early healing properties
compared in context of circumferential defects of various widths. MATERIAL AND
METHODS: Six dogs had the mandibular premolars extracted. After 8 weeks, four
implants were placed equicrestally in each side. One acted as control, while the
others were inserted into sites with circumferential defects of 1.0, 1.5 and 2.0
mm wide and 5 mm deep. A nano-modified surface was used on one side and a micro
rough on the other. Bone markers were administered on the third day after implant
placement and then after 1, 2, 4 weeks to investigate the bone formation dynamic
through fluorescence analysis. Ground sections were prepared from 8-week healing
biopsies and histomorphometry was performed. RESULTS: The fluorescence evaluation
of the early healing showed numerically better results for the nano-modified
group; however this trend was not followed by the histomorphometric evaluation. A
non-significant numerical superiority of the micro-rough group was observed in
terms of vertical bone apposition, defect bone fill, bone-to-implant contact and
bone density. In the intra-group analysis, the wider defects showed the worse
results while the control sites showed the best results for the different
parameters, but without statistical relevance. CONCLUSION: Both surfaces may lead
to complete fill of circumferential defects, but the gap width has to be
considered as a challenge. The nano-scale modification was beneficial in the
early stages of bone healing, but the micro-rough surface showed numerical better
outcomes at the 8-week final period.
PMID- 22093079
TI - Evolutionary origin of the Rhodobacter sphaeroides specialized RpoN sigma
factors.
AB - Gene duplication and horizontal gene transfer (HGT) are two events that enable
the generation of new genes. Rhodobacter sphaeroides (WS8 and 2.4.1 strains) has
four copies of the rpoN gene that are not functionally interchangeable. Until
now, this is the only example of specialization of this sigma factor. In this
work, we aimed to determine whether the multiple copies of this gene originated
from HGT or through gene duplication. Our results suggest a multiplication origin
of the different rpoN copies that occurred after the Rhodobacter clade separated.
Functional tests indicate that the specialization of the rpoN genes is not
restricted to R. sphaeroides. We propose that the rpoN copy involved in nitrogen
fixation is the ancestral gene and that the other rpoN genes have acquired new
specificities.
PMID- 22093080
TI - The impact of dietary induced hyperparathyroidism on healthy and diseased
periodontia: an experimental study in rats.
AB - BACKGROUND AND OBJECTIVE: Nutrition may be a potential modifying factor in
periodontal conditions. The present study investigated this phenomenon for
dietary induced hyperparathyroidism (dHPT) by revealing the histopathological and
histomorphometrical profiles of healthy and diseased periodontia in dHPT.
METHODS: Dietary induced hyperparathyroidism was induced in 12 rats by dietary
calcium/phosphorous imbalance and 12 rats were fed standard diet (SD).
Periodontitis was induced on the right mandibular molar teeth (mmt) of these rats
by injecting an endotoxin + saline solution whereas injecting pure saline to the
left mmt. Thus, four study groups were created: dHPT + saline (group 1), dHPT +
endotoxin (group 2), SD + endotoxin (group 3) and SD + saline (group 4).
Histological sections were obtained from the second mmt and examined using light
microscope. RESULTS: Group 1 demonstrated inflammatory and degenerative
alterations in periodontium without pocket formation. Periodontitis was evident
in groups 2 and 3. Group 2 revealed the highest amounts of gingival inflammatory
cell and vessel counts (group 2 > group 3 > group 1 > group 4), attachment and
bone losses (group 2 > group 3 > groups 1 > group 4) and osteoclast count (group
2 > group 3 > group 1 > group 4) (p < 0.05). CONCLUSION: These results propose
that dHPT may impair the health status of periodontium and may worsen the
pathobiology of periodontal diseases.
PMID- 22093081
TI - The role of massage in scar management: a literature review.
AB - BACKGROUND: Many surgeons recommend postoperative scar massage to improve
aesthetic outcome, although scar massage regimens vary greatly. OBJECTIVE: To
review the regimens and efficacy of scar massage. METHODS: PubMed was searched
using the following key words: "massage" in combination with "scar," or "linear,"
"hypertrophic," "keloid," "diasta*," "atrophic." Information on study type, scar
type, number of patients, scar location, time to onset of massage therapy,
treatment protocol, treatment duration, outcomes measured, and response to
treatment was tabulated. RESULTS: Ten publications including 144 patients who
received scar massage were examined in this review. Time to treatment onset
ranged from after suture removal to longer than 2 years. Treatment protocols
ranged from 10 minutes twice daily to 30 minutes twice weekly. Treatment duration
varied from one treatment to 6 months. Overall, 65 patients (45.7%) experienced
clinical improvement based on Patient Observer Scar Assessment Scale score,
Vancouver Scar Scale score, range of motion, pruritus, pain, mood, depression, or
anxiety. Of 30 surgical scars treated with massage, 27 (90%) had improved
appearance or Patient Observer Scar Assessment Scale score. CONCLUSIONS: The
evidence for the use of scar massage is weak, regimens used are varied, and
outcomes measured are neither standardized nor reliably objective, although its
efficacy appears to be greater in postsurgical scars than traumatic or postburn
scars. Although scar massage is anecdotally effective, there is scarce scientific
data in the literature to support it.
PMID- 22093082
TI - Adherence to the European Society of Cardiology (ESC) guidelines for chronic
heart failure--a national survey of the cardiologists in Pakistan.
AB - BACKGROUND: The aims of this study were to evaluate the awareness of and
attitudes towards the 2005 European Society of Cardiology (ESC) guidelines for
Heart Failure (HF) of the cardiologists in Pakistan and assess barriers to
adherence to guidelines. METHODS: A cross-sectional survey was conducted in
person from March to July 2009 to all cardiologists practicing in 4 major cities
in Pakistan (Karachi, Lahore, Quetta and Peshawar). A validated, semi-structured
questionnaire assessing ESC 2005 Guidelines for HF was used to obtain information
from cardiologists. It included questions about awareness and relevance of HF
guidelines (See Additional File 1). Respondents' management choices were compared
with those of an expert panel based on the guidelines for three fictitious
patient cases. Cardiologists were also asked about major barriers to adherence to
guidelines. RESULTS: A total of 372 cardiologists were approached; 305 consented
to participate (overall response rate, 82.0%). The survey showed a very high
awareness of CHF guidelines; 97.4% aware of any guideline. About 13.8% considered
ESC guidelines as relevant or very relevant for guiding treatment decisions while
92.8% chose AHA guidelines in relevance. 87.2% of respondents perceived that they
adhered to the HF guidelines. For the patient cases, the proportions of
respondents who made recommendations that completely matched those of the
guidelines were 7% (Scenario 1), 0% (Scenario 2) and 20% (Scenario 3).
Respondents considered patient compliance (59%) and cost/health economics (50%)
as major barriers to guideline implementation. CONCLUSION: We found important
self reported departures from recommended HF management guidelines among
cardiologists of Pakistan.
PMID- 22093083
TI - Towards continuous improvement of endoscopy standards: validation of a
colonoscopy assessment form.
AB - AIM: The assessment of procedural colonoscopy skills is important and topical.
The aim of this study was to develop and validate a competency-based colonoscopy
assessment form that would be easy to use, suitable for the assessment of junior
and senior endoscopists and potentially a useful instrument to detect differences
in performance standards following different training interventions. METHOD: A
standardized assessment form was developed incorporating a checklist with
dichotomous yes/no responses and a global assessment section incorporating
several different elements. This form was used prospectively to evaluate
colonoscopy cases during the period of the study in several university teaching
hospitals. Results were analysed using ANOVA with Bonferroni corrections for post
hoc analysis. RESULTS: Eighty-one procedures were assessed, performed by eight
consultant and 19 trainee endoscopists. There were no serious errors. When
divided into three groups based on previous experience (novice, intermediate and
expert) the assessment form demonstrated statistically significant differences
between all three groups (P<0.05). When separate elements were taken into
account, the global assessment section was a better discriminator of skill level
than the checklist. CONCLUSION: This form is a valid, easy-to-use assessment
method. We intend to use it to assess the value of simulator training in trainee
endoscopists. It also has the potential to be a useful training tool when
feedback is given to the trainee.
PMID- 22093084
TI - Infant mortality in South Africa--distribution, associations and policy
implications, 2007: an ecological spatial analysis.
AB - BACKGROUND: Many sub-Saharan countries are confronted with persistently high
levels of infant mortality because of the impact of a range of biological and
social determinants. In particular, infant mortality has increased in sub-Saharan
Africa in recent decades due to the HIV/AIDS epidemic. The geographic
distribution of health problems and their relationship to potential risk factors
can be invaluable for cost effective intervention planning. The objective of this
paper is to determine and map the spatial nature of infant mortality in South
Africa at a sub district level in order to inform policy intervention. In
particular, the paper identifies and maps high risk clusters of infant mortality,
as well as examines the impact of a range of determinants on infant mortality. A
Bayesian approach is used to quantify the spatial risk of infant mortality, as
well as significant associations (given spatial correlation between neighbouring
areas) between infant mortality and a range of determinants. The most
attributable determinants in each sub-district are calculated based on a
combination of prevalence and model risk factor coefficient estimates. This
integrated small area approach can be adapted and applied in other high burden
settings to assist intervention planning and targeting. RESULTS: Infant mortality
remains high in South Africa with seemingly little reduction since previous
estimates in the early 2000's. Results showed marked geographical differences in
infant mortality risk between provinces as well as within provinces as well as
significantly higher risk in specific sub-districts and provinces. A number of
determinants were found to have a significant adverse influence on infant
mortality at the sub-district level. Following multivariable adjustment
increasing maternal mortality, antenatal HIV prevalence, previous sibling
mortality and male infant gender remained significantly associated with increased
infant mortality risk. Of these antenatal HIV sero-prevalence, previous sibling
mortality and maternal mortality were found to be the most attributable
respectively. CONCLUSIONS: This study demonstrates the usefulness of advanced
spatial analysis to both quantify excess infant mortality risk at the lowest
administrative unit, as well as the use of Bayesian modelling to quantify
determinant significance given spatial correlation. The "novel" integration of
determinant prevalence at the sub-district and coefficient estimates to estimate
attributable fractions further elucidates the "high impact" factors in particular
areas and has considerable potential to be applied in other locations. The
usefulness of the paper, therefore, not only suggests where to intervene
geographically, but also what specific interventions policy makers should
prioritize in order to reduce the infant mortality burden in specific
administration areas.
PMID- 22093085
TI - The effect of ergometer design on rowing stroke mechanics.
AB - The effect of rowing ergometer design upon power delivery and coordination
patterns of the rowing stroke was analyzed for 14 elite rowers. Rowers were
tested in three ergometer conditions: the fixed stretcher Concept2c ergometer,
the Concept2c ergometer mounted on sliding rails, and the sliding stretcher
RowPerfect ergometer. Ergometers were instrumented to measure the external force
generated at the handle and the foot stretcher and a nine-segment inverse
dynamics model used to calculate joint and overall power delivery. Peak power
generation and absorption at the knee joint was significantly greater, and total
power delivered to the ergometer delayed on the fixed stretcher ergometer when
compared to the sliding stretcher ergometers. No differences were found in the
mechanical energy delivered to the handle of the three ergometers; however,
greater joint mechanical energy production of the lower limb reduced mechanical
efficiency when rowing the Concept2c fixed ergometer. The fixed foot stretcher on
the Concept2c fixed ergometer acts to increase the inertial forces that the rower
must overcome at the catch, increasing the moment and power output at the knee,
and affecting the coordination pattern during the recovery phase.
PMID- 22093086
TI - Cutaneous ureterostomy using the transverse mesocolon.
AB - Cutaneous ureterostomy cannot be carried out by the retroperitoneal method in
cases showing an insufficient length of the available ureter. We therefore
proposed and carried out cutaneous ureterostomy transperitoneally on a ureter of
minimum length using the transverse mesocolon. The right and left ureters are
drawn from the retroperitoneum into the peritoneal cavity in the renal hilus
area. The right ureter is then led from the root of the transverse mesocolon to
the area attached to the transverse colon under the subserous part of the
transverse mesocolon, and penetrates the gastrocolic ligament. The left ureter is
led to the area attached to the transverse mesocolon under the subserous part of
the transverse mesocolon, and penetrates the transverse mesocolon, bursa
omentalis and gastrocolic ligament. Next, both the right and left ureters are
drawn up to the abdominal wall and a ureterstoma is constructed. The transverse
mesocolon can be used as supporting tissue for the ureter. Furthermore, this also
ensures blood flow in the ureter.
PMID- 22093088
TI - Mammalian lipocalin allergens--insights into their enigmatic allergenicity.
AB - Most of the important mammal-derived respiratory allergens, as well as a milk
allergen and a few insect allergens, belong to the lipocalin protein family. As
mammalian lipocalin allergens are found in dander, saliva and urine, they
disperse effectively and are widely present in the indoor environments.
Initially, lipocalins were characterized as transport proteins for small,
principally hydrophobic molecules, but now they are known to be involved in many
other biological functions. Although the amino acid identity between lipocalins
is generally at the level of 20-30%, it can be considerably higher. Lipocalin
allergens do not exhibit any known physicochemical, functional or structural
property that would account for their allergenicity, that is, the capacity to
induce T-helper type 2 immunity against them. A distinctive feature of mammalian
lipocalin allergens is their poor capacity to stimulate the cellular arm of the
human or murine immune system. Nevertheless, they induce IgE production in a
large proportion of atopic individuals exposed to the allergen source. The poor
capacity of mammalian lipocalin allergens to stimulate the cellular immune system
does not appear to result from the function of regulatory T cells. Instead, the T
cell epitopes of mammalian lipocalin allergens are few and those examined have
proved to be suboptimal. Moreover, the frequency of mammalian lipocalin allergen
specific CD4(+) T cells is very low in the peripheral blood. Importantly, recent
research suggests that the lipocalin allergen-specific T cell repertoires differ
considerably between allergic and healthy subjects. These observations are
compatible with our hypothesis that the way CD4(+) T-helper cells recognize the
epitopes of mammalian lipocalin allergens may be implicated in their
allergenicity. Indeed, as several lipocalins exhibit homologies of 40-60% over
species, mammalian lipocalin allergens may be immunologically at the borderline
of self and non-self, which would not allow a strong anti-allergenic immune
response against them.
PMID- 22093087
TI - Evolutionary and functional analyses of cytochrome P4501A promoter polymorphisms
in natural populations.
AB - The functional importance of variable, transcriptional regulatory sequences
within and among natural populations is largely unexplored. We analysed the
cytochrome P4501A (CYP1A) promoter in three populations of the minnow, Fundulus
heteroclitus, because two SNPs in the promoter and first intron of CYP1A are
under selection in populations adapted to pollutants. To define the importance of
these SNPs, 1630 bp of the CYP1A promoter and first intron and exon were
sequenced in eight individuals from three populations: a population from a
polluted environment resistant to some aromatic pollutants and two flanking
reference populations. CYP1A is induced by many aromatic pollutants, but in
populations adapted to pollutants, CYP1A has been shown to be refractory to
induction. We were interested in understanding whether variation in the CYP1A
promoter explains mechanism(s) of adaptation to these aromatic pollutants. The
CYP1A promoter was extremely variable (an average of 9.3% of the promoter
nucleotides varied among all populations) and exhibited no fixed differences
between populations. As CYP1A is poorly inducible in adapted fish, we
hypothesized that CYP1A promoter regions might vary functionally between
populations. Unexpectedly, in vitro analysis showed significantly greater
transcription from CYP1A promoters found in the population from the polluted
environment relative to promoters found in both reference populations. Thus,
despite extensive variation among populations and lack of fixed differences
between populations, individuals from a polluted environment have significantly
enhanced promoter activity. These data demonstrate that intraspecific variation,
which provides the raw material for natural selection to act on, can occur while
maintaining promoter function.
PMID- 22093089
TI - Analysis of rotavirus antigenemia in hematopoietic stem cell transplant
recipients.
AB - Systemic rotavirus infection, such as rotavirus antigenemia, has been found in
immunocompetent rotavirus gastroenteritis patients. However, the pathogenesis of
rotavirus infection in immunocompromised transplant recipients remains unclear.
Enzyme-linked immunosorbent assay was used to measure rotavirus antigen levels in
serially collected serum samples obtained from 62 pediatric patients receiving
allogeneic hematopoietic stem cell transplants (HSCT). Rotavirus antigen was
detected in 43 (6.8%) of 633 serum samples (8 of 62 patients). The duration of
rotavirus antigenemia ranged between 1 and 10 weeks, and diarrhea was concurrent
with rotavirus antigenemia in Cases 3, 6, 7, and 8. The level of viral antigen in
the transplant recipients (0.19 +/- 0.20) was significantly lower than that
observed in serum samples collected from immunocompetent patients on either day 1
(0.49 +/- 0.18, P = 0.0011) or day 3 (0.63 +/- 0.09, P = 0.0005). A patient who
received a graft from a human leukocyte antigen (HLA)-mismatched donor was at
significant risk for rotavirus antigenemia (P = 0.024; odds ratio = 9.44) in
comparison to patients who received grafts from HLA-matched donors. Although the
duration of antigenemia was clearly longer in HSCT patients than in
immunocompetent rotavirus gastroenteritis patients, the levels of viral antigen
were not as high. Therefore, mismatched HLA may be a risk factor for rotavirus
antigenemia after HSCT.
PMID- 22093091
TI - Transperineal prostate biopsy detects significant cancer in patients with
elevated prostate-specific antigen (PSA) levels and previous negative transrectal
biopsies.
AB - Several authors have previously reported that transrectal prostate biopsy has a
false-negative rate of 20-30%, and that a number of prostate cancers missed on
transrectal biopsy can be detected by transperineal biopsy. It has also been
shown that most of these tumours are located anteriorly in the prostate gland.
The present study showed a high rate of prostate cancer in patients with previous
negative transrectal biopsies but elevated PSA levels, and that the cancers were
located anteriorly in the prostate gland. Also, most of these cancers were
clinically significant in patients that underwent RP, i.e. a high proportion of
cancers were high-grade/high-stage tumours. We also showed that the transperineal
biopsy technique can be applied successfully to patients with a closed anal
orifice after previous surgery for rectal cancer. Transperineal biopsy can be
done safely without routine antibiotic prophylaxis. OBJECTIVE: To investigate the
outcomes of transperineal prostate biopsies in patients with elevated prostate
specific antigen (PSA) levels and negative transrectal biopsies. The aim of this
retrospective study was to evaluate the diagnostic yield of the transperineal
biopsy approach in these patients, and to evaluate the pathology findings in
subsequent radical prostatectomy (RP) specimens in patients undergoing RP.
PATIENTS AND METHODS: In all, 69 consecutive patients with previous negative
transrectal biopsies but elevated PSA levels investigated at urological units in
Norway who had been referred to The Norwegian Radium Hospital were included. The
patients had undergone a mean (median; range) of 2.42 (2; 0-7) transrectal
biopsies. The mean (range) age was 63.1 (42-78) years. The median (range) PSA
level was 12 (4.3-229) ng/mL. The patients were examined with transperineal
biopsy of the prostate between July 2007 and February 2009. The results of the
transperineal biopsies were reviewed for Gleason biopsy score, and these were
compared with the histopathology results of the RP specimens, i.e. final Gleason
scores. Pathological stage of the prostate specimens and tumour volume were also
reviewed. RESULTS: Prostate cancer was found in the biopsies of 38 of 69 patients
(55%). In all, 20 of 38 patients had a Gleason score estimated at >=3 + 4 = 7. In
all, 26 patients underwent RP. The surgical specimens revealed pathological stage
pT2c in 65%, pT3a in 27% and pT3b in 8% of the cases. In all, 23 of the 26 RP
specimens showed a final Gleason score of >=7. The vast majority of cancers
detected were situated in the anterior/ventral portion of the prostate.
CONCLUSIONS: Transperineal biopsy of the prostate in patients with an elevated
PSA level after negative transrectal prostate biopsies appears to be a feasible
and important option for further investigation to detect prostate cancer. The
present study shows that the transperineal biopsy allows good access of the
anterior/ventral part of the prostate. Histopathology reports on the RP specimens
obtained from the patients that underwent RP revealed significant cancer.
PMID- 22093090
TI - Fractalkine/CX3CL1 protects striatal neurons from synergistic morphine and HIV-1
Tat-induced dendritic losses and death.
AB - BACKGROUND: Fractalkine/CX3CL1 and its cognate receptor CX3CR1 are abundantly
expressed in the CNS. Fractalkine is an unusual C-X3-C motif chemokine that is
important in neuron-microglial communication, a co-receptor for HIV infection,
and can be neuroprotective. To assess the effects of fractalkine on opiate-HIV
interactive neurotoxicity, wild-type murine striatal neurons were co-cultured
with mixed glia from the striata of wild-type or Cx3cr1 knockout mice +/- HIV-1
Tat and/or morphine. Time-lapse digital images were continuously recorded at 20
min intervals for up to 72 h using computer-aided microscopy to track the same
cells repeatedly. RESULTS: Co-exposure to Tat and morphine caused synergistic
increases in neuron death, dendritic pruning, and microglial motility as
previously reported. Exogenous fractalkine prevented synergistic Tat and morphine
induced dendritic losses and neuron death even though the inflammatory mediator
TNF-alpha remained significantly elevated. Antibody blockade of CX3CR1 mimicked
the toxic effects of morphine plus Tat, but did not add to their toxicity; while
fractalkine failed to protect wild-type neurons co-cultured with Cx3cr1-/--null
glia against morphine and Tat toxicity. Exogenous fractalkine also normalized
microglial motility, which is elevated by Tat and morphine co-exposure,
presumably limiting microglial surveillance that may lead to toxic effects on
neurons. Fractalkine immunofluorescence was expressed in neurons and to a lesser
extent by other cell types, whereas CX3CR1 immunoreactivity or GFP fluorescence
in cells cultured from the striatum of Cx3cr1-/- (Cx3cr1GFP/GFP) mice were
associated with microglia. Immunoblotting shows that fractalkine levels were
unchanged following Tat and/or morphine exposure and there was no increase in
released fractalkine as determined by ELISA. By contrast, CX3CR1 protein levels
were markedly downregulated. CONCLUSIONS: The results suggest that deficits in
fractalkine-CX3CR1 signaling contribute to the synergistic neurotoxic effects of
opioids and Tat. Importantly, exogenous fractalkine can selectively protect
neurons from the injurious effects of chronic opioid-HIV-1 Tat co-exposure, and
this suggests a potential therapeutic course for neuroAIDS. Although the cellular
mechanisms underlying neuroprotection are not certain, findings that exogenous
fractalkine reduces microglial motility and fails to protect neurons co-cultured
with Cx3cr1-/- mixed glia suggest that fractalkine may act by interfering with
toxic microglial-neuron interactions.
PMID- 22093092
TI - T-lymphocyte homeostasis and function in infant baboons: implications for
transplantation.
AB - Laboratory mice are born lymphopenic and demonstrate lymphopenia-induced
proliferation that generates memory T cells, yet they are prone to immunologic
tolerance. Here we tested whether these fundamental immunologic observations
apply to higher animals by studying the immune system of infant baboons. Using
flow cytometry of the peripheral blood cells, it was found that baboons are born
relatively lymphopenic and subsequently expand their initially naive T cell pool
with increasing numbers of memory T cells. After transplantation of an artery
patch allograft or xenograft, non-immunosuppressed recipients readily mounted an
immune response against donor-type antigens, as evidenced by mixed lymphocyte
reaction. Immunosuppression with anti-thymocyte globulin (ATG), anti-CD154 mAb,
and mycophenolate mofetil prevented T cell-mediated rejection. After lymphocyte
depletion with ATG, homeostatic T cell proliferation was observed. In conclusion,
the baboon proved a suitable model to investigate the infant immune system. In
this study, neonatal lymphopenia and expansion of the memory T cell population
were observed but, unlike mice, there were no indications that infant baboons are
prone to T cell tolerance. The expansion of memory T cells during the neonatal
period or after induction therapy may actually form an obstacle to tapering
immunosuppressive therapy, or ultimately achieving immunologic tolerance.
PMID- 22093093
TI - Development and characterization of a human dermal equivalent with physiological
mechanical properties.
AB - BACKGROUND/AIMS: Different models of reconstructed skin are available, either to
provide skin wound healing when this process is deficient, or to be used as an in
vitro model. Nevertheless, few studies have focused on the mechanical properties
of skin equivalent. Indeed, human skin is naturally under tension. Taking into
account these features, the purpose of this work was to obtain a cellularized
dermal equivalent (CDE), composed of collagen and dermal fibroblasts. METHODS: To
counteract the natural retraction of CDE and to maintain it under tension,
different biomaterials were tested. Selection criteria were biocompatibility,
bioadhesion properties, ability to induce differentiation of fibroblasts into
myofibroblasts and mechanical characterization, considering that of skin in vivo.
These assays led to the selection of honeycomb of polyester. CDE constructed on
this biomaterial was further characterized mechanically using tensile tests.
RESULTS: The results showed that mechanical features of the obtained dermal
equivalent, including myofibroblasts, were similar to skin in vivo. CONCLUSION:
The original model of dermal equivalent presented herein may be a useful tool for
clinical use and as an in vitro model for toxicological/pharmacological research.
PMID- 22093094
TI - Computed tomography myelographic findings in dogs with cervical
spondylomyelopathy.
AB - Computed tomography (CT) myelography is used occasionally in the diagnosis of
cervical spondylomyelopathy, but the type of lesion found in large- versus giant
breed dogs using this modality has not been characterized. Our purpose was to
report the frequency of compressive lesions in large- and giant-breed dogs with
cervical spondylomyelopathy and imaged using CT myelography. Fifty-eight dogs
were retrospectively studied, 23 large-breed and 35 giant-breed dogs. Multiple
sites of compression were found in 12 large-breed dogs (52.2%) compared to 30
(85.8%) giant-breed dogs. The main site of compression was at C5-6 and C6-7 in
both large-breed (91.3%) and giant-breed (72.4%) dogs. The main cause and
direction of compression was disc-associated and ventral in 19 (82.6%) of the
large-breed dogs while osseous changes were the primary cause of compression in
27 (77.2%) of the giant-breed dogs, with most compressions being lateral (51.4%),
followed by dorsolateral (14.2%). Osseous compression was observed at C7-T1 in
eight giant-breed dogs (22.8%), and at T1-T2 or T2 only in five dogs (14.3%).
Four of 23 large-breed dogs (17.4%), and seven (20%) of 35 giant-breed dogs had
spinal cord atrophy. Therefore, giant-breed dogs often have multiple
compressions, usually caused by osseous changes causing lateralized compressions.
In large-breed dogs most compressions are disc-associated and located ventrally.
Considering the number of giant-breed dogs with compressions at C7-T1, T1-2, and
T2, it is important to include the cranial thoracic region when imaging dogs
suspected of having cervical spondylomyelopathy.
PMID- 22093095
TI - Effect of GDF-5 and BMP-2 on the expression of tendo/ligamentogenesis-related
markers in human PDL-derived cells.
AB - OBJECTIVES: The effect of growth differentiation factor 5 and bone morphogenetic
protein 2 on human periodontal ligament-derived cells was investigated with
special reference to tendo/ligamentogenesis-related markers. MATERIALS AND
METHODS: Effects of each factor were analyzed by quantitative PCR for scleraxis
and tenomodulin and by western blotting for scleraxis. After exposure to those
factors, STRO-1-positive and STRO-1-negative fractions of human periodontal
ligament tissues were isolated with an immunomagnetic cell sorting system, and
the expression of scleraxis in each fraction was analyzed by western blotting.
Non-separated crude cells were used as a control. RESULTS: Growth differentiation
factor 5 and bone morphogenetic protein 2 did not increase alkaline phosphatase
activity in crude periodontal ligament-derived cells. Growth differentiation
factor 5, but not bone morphogenetic protein 2, increased the expression of
scleraxis in crude, STRO-1-positive and STRO-1-negative periodontal ligament
derived cells. The expression of scleraxis in STRO-1-positive periodontal
ligament-derived cells was significantly less compared to that in crude P2 and
STRO-1-negative periodontal ligament-derived cells. CONCLUSION: Growth
differentiation factor 5 induced the expression of scleraxis and may enhance
tendo/ligamentogenesis in human periodontal ligament-derived cells. The
expression of scleraxis was higher in STRO-1-negative fraction, suggesting more
differentiated state of the cells.
PMID- 22093096
TI - Comparison of the efficacy of intralesional triamcinolone acetonide and 5
fluorouracil tattooing for the treatment of keloids.
AB - BACKGROUND: Hypertrophic scars and keloids may complicate wound healing secondary
to trauma or surgery. A variety of treatment regimens have been used for
treatment of keloids. OBJECTIVE: To compare 5-fluorouracil (5-FU) tattooing and
intralesional steroid for treatment of keloids. METHODS: In this 44-week, double
blind, clinical trial, 40 patients were randomized into two study groups.
Patients in group 1 were given intralesional triamcinolone acetonide (TAC), and
patients in group 2 were treated with 5-FU tattooing; both groups received
treatment every 4 weeks for 12 weeks. Lesions were assessed for erythema,
pruritus, height, surface, and induration at baseline (initiation of treatment)
and at weeks 4, 8, 12, 20, 28, 36, and 44. All patients had complete blood count,
liver function tests, and renal function tests before treatment and at week 20.
RESULTS: All the patients completed the study. At the 44-week follow-up visits,
both groups showed improvement in all parameters, but improvement was more
significant in the 5-FU group (p < .05). No side effect was detected in either of
the groups. CONCLUSION: 5-FU tattooing was more effective than intralesional TAC
for the treatment of keloids.
PMID- 22093097
TI - Notch1 promotes glioma cell migration and invasion by stimulating beta-catenin
and NF-kappaB signaling via AKT activation.
AB - The Notch signaling pathway has been implicated in both developmental processes
and tumorigenesis. Aberrant Notch signaling has been repeatedly demonstrated to
facilitate the proliferation and survival of glioma cells by regulating
downstream effectors or other signaling pathways. In glioblastoma multiforme
specimens from 59 patients, Notch1 was highly expressed in tumor tissues compared
with normal brain tissues, and this expression was correlated with elevated AKT
phosphorylation and Snail expression. Increased nuclear localization of beta
catenin and p50 as well as enhanced IKKalpha/AKT interaction were also observed
in glioma tissues. In U87MG cells, the activation of Notch1 by DLL4 stimulation
or by the overexpression of Notch intracellular domain (NICD) resulted in AKT
activation and thereby promoted beta-catenin activity and NF-kappaB signaling.
Inhibition of EGFR partially blocked the beta-catenin and NF-kappaB signaling
stimulated by Notch1 activation. Furthermore, NICD overexpression in U87MG cells
led to the upregulated expression of several metastasis-associated molecules,
which could be abrogated by the knockdown of either beta-catenin or p50. In U87MG
and U251 cells, DLL4-induced cellular migration and invasion could be inhibited
by either beta-catenin or a p50 inhibitor. Collectively, these results indicate
that Notch activation could stimulate beta-catenin and NF-kappaB signaling
through AKT activation in glioma cells. Thus, Notch activation-stimulated beta
catenin and NF-kappaB signaling synergistically promote the migratory and
invasive properties of glioma cells.
PMID- 22093098
TI - What is your diagnosis? Lingual mass in a dog.
PMID- 22093099
TI - Current methods employed in the prevention and minimization of surgical scars.
AB - BACKGROUND: Postsurgical scars are of significant concern to patients and
surgeons. Many studies have focused on different treatment options for
established surgical scars. The prevention and minimization of such aberrant
healing responses is more likely to yield better outcomes and require less time
and expense. OBJECTIVE AND METHOD: A review of the literature on various forms of
prophylactic treatments intended to prevent or minimize the development of
prominent postsurgical scars was performed using the Pubmed database over a
period from 1987 to 2010. Search terms included "scar prevention," "scar
minimization," "post-surgical scar management," and "surgical scars." RESULTS:
Various over-the-counter topical products commonly used by patients have failed
to demonstrate any significant benefits in improving final scar outcomes.
Numerous interventions performed around the time of surgery, including botulinum
toxin, lasers, and intradermal injectable products, have shown effectiveness in
minimizing eventual scar appearance. CONCLUSIONS: Patient education on proper
wound care is a simple method of improving the cosmetic appearance of surgical
scars. At the other end of the spectrum, our knowledge of the complex mechanisms
of wound healing has allowed for the development of new, effective treatment
modalities, including lasers, botulinum toxin, cytokines, and stem cells.
PMID- 22093100
TI - Cryptococcus neoformans Ca(2+) homeostasis requires a chloride
channel/antiporter Clc1 in JEC21, but not in H99.
AB - CLC-type chloride/proton antiporters are required for copper/iron homeostasis in
fungi. A relationship between CLCs and Ca(2+) homeostasis has not been found
before. Here we demonstrate the requirement of the antiporter CLC1 for Ca(2+)
homeostasis/signaling in Cryptococcus neoformans. The deletion of CLC1 in JEC21
resulted in a mutant hypersensitive to cyclosporine A, an inhibitor of
calcineurin. Intracellular Ca(2+) deficiency in the mutant Tx1 was confirmed
with Fluo-3 staining epi-fluorescence microscopy. Tx1 failed to grow at elevated
temperature and in SDS and displayed defects in cell wall integrity and cell
separation. This defective phenotype is because of Ca(2+) deficiency that was
restorable by exogenous Ca(2+) . In contrast, H99 CLC1 was dispensable for Ca(2+)
homeostasis and had no comparable defective consequences if deleted, suggesting
divergent roles of CLCs in Ca(2+) homeostasis. Distinct Ca(2+) homeostasis
mechanisms may contribute the virulence difference between the two strains. This
work reveals a novel action of CLC antiporters in fungi and may provide
information as to the evolution of pathogenicity among cryptococcal strains.
PMID- 22093102
TI - Desktop microsimulation: a tool to improve efficiency in the medical office
practice.
AB - Because the economic crisis in the United States continues to have an impact on
healthcare organizations, industry leaders must optimize their decision making.
Discrete-event computer simulation is a quality tool with a demonstrated track
record of improving the precision of analysis for process redesign. However, the
use of simulation to consolidate practices and design efficiencies into an
unfinished medical office building was a unique task. A discrete-event computer
simulation package was used to model the operations and forecast future results
for four orthopedic surgery practices. The scenarios were created to allow an
evaluation of the impact of process change on the output variables of exam room
utilization, patient queue size, and staff utilization. The model helped with
decisions regarding space allocation and efficient exam room use by demonstrating
the impact of process changes in patient queues at check-in/out, x-ray, and cast
room locations when compared to the status quo model. The analysis impacted
decisions on facility layout, patient flow, and staff functions in this newly
consolidated practice. Simulation was found to be a useful tool for process
redesign and decision making even prior to building occupancy.
PMID- 22093101
TI - A protective role for periostin and TGF-beta in IgE-mediated allergy and airway
hyperresponsiveness.
AB - BACKGROUND: The pathophysiology of asthma involves allergic inflammation and
remodelling in the airway and airway hyperresponsiveness (AHR) to cholinergic
stimuli, but many details of the specific underlying cellular and molecular
mechanisms remain unknown. Periostin is a matricellular protein with roles in
tissue repair following injury in both the skin and heart. It has recently been
shown to be up-regulated in the airway epithelium of asthmatics and to increase
active TGF-beta. Though one might expect periostin to play a deleterious role in
asthma pathogenesis, to date its biological role in the airway is unknown.
OBJECTIVE: To determine the effect of periostin deficiency on airway responses to
inhaled allergen. METHODS: In vivo measures of airway responsiveness,
inflammation, and remodelling were made in periostin deficient mice and wild-type
controls following repeated intranasal challenge with Aspergillus fumigatus
antigen. In vitro studies of the effects of epithelial cell-derived periostin on
murine T cells were also performed. RESULTS: Surprisingly, compared with wild
type controls, periostin deficient mice developed increased AHR and serum IgE
levels following allergen challenge without differences in two outcomes of airway
remodelling (mucus metaplasia and peribronchial fibrosis). These changes were
associated with decreased expression of TGF-beta1 and Foxp3 in the lungs of
periostin deficient mice. Airway epithelial cell-derived periostin-induced
conversion of CD4(+) CD25(-) cells into CD25(+) , Foxp3(+) T cells in vitro in
a TGF-beta dependent manner. CONCLUSIONS AND CLINICAL RELEVANCE: Allergen-induced
increases in serum IgE and bronchial hyperresponsiveness are exaggerated in
periostin deficient mice challenged with inhaled aeroallergen. The mechanism of
periostin's effect as a brake on allergen-induced responses may involve
augmentation of TGF-beta-induced T regulatory cell differentiation.
PMID- 22093103
TI - Infection with Klebsiella pneumoniae carbapenemase (KPC)-producing K. pneumoniae
in solid organ transplantation.
AB - Klebsiella pneumoniae carbapenemase (KPC)-producing K. pneumoniae is spreading
globally and represents a challenge in infection control and treatment. Solid
organ transplant (SOT) recipients are especially at risk for infection by
multidrug-resistant bacteria, and little is known about infection with KPC
producing organisms in this setting. The aim of this study was to describe the
clinical and microbiologic aspects of KPC-producing K. pneumoniae infections in
SOT recipients. A KPC-2-producing K. pneumoniae outbreak was identified in a
public teaching tertiary care hospital in Sao Paulo, Brazil, in June 2009. During
the outbreak, cases of KPC-2-producing K. pneumoniae infection in SOT recipients
occurred between July 2009 and February 2010; these cases were retrospectively
reviewed. Overall, 12 episodes of infection with KPC-producing K. pneumoniae
occurred in 2 heart, 4 liver, and 6 kidney transplant recipients with incidence
rates of 16.7%, 12.9%, and 26.3% in heart, liver, and kidney transplantation,
respectively. Infection occurred at a median time of 20 days after
transplantation. Primary infection sites were as follows: 4 urinary tract
infections, 4 bloodstream infections, 2 pneumonias, and 2 surgical site
infections. All patients except one had received antibiotics in the last 30 days,
mostly piperacillin-tazobactam or glycopeptides. All strains exhibited
susceptibility to amikacin and gentamicin. Patients were treated with tigecycline
plus polymyxin B (3 cases), polymyxin B plus carbapenem (3 cases), polymyxin B
alone (3 cases), or tigecycline plus imipenem (1 case). In 2 cases, patients
received only carbapenem, and death occurred before the final culture result. The
overall 30-day mortality rate was 42%. In this series of KPC-producing K.
pneumoniae infection in SOT recipients, the infection occurrence was high during
an institutional outbreak and was potentially life threatening.
PMID- 22093104
TI - Pattern and rate of progression of periodontal attachment loss in an urban
population of South Brazil: a 5-years population-based prospective study.
AB - AIM: The aim of this 5-years longitudinal study was to investigate the pattern
and rate of periodontal attachment loss (PAL) progression in an urban population
in South Brazil. METHODS: In 2001, a multistage probability sampling strategy was
used to derive a representative sample of 1,465 dentate individuals from Porto
Alegre, Brazil. Five years later, 697 dentate individuals (294M/403F, mean age:
37.9 +/- 13.3) were available for follow-up. PAL was assessed by calibrated
examiners using a full-mouth protocol. Estimates of proximal PAL progression and
standard errors (SE) are reported. RESULTS: Fifty-six per cent (SE: 1.9) and 36%
(SE: 1.8) of subjects showed PAL progression >=3 mm affecting >=2 and >=4 teeth
respectively. PAL progression >=3 mm was mostly localized affecting 3.8 (SE: 0.2)
teeth and 5.7 (SE: 0.3) sites. Annual PAL progression was, on average, 0.3 mm
(SE: 0.01). Significant differences in PAL progression were observed according to
age, gender, race and socioeconomic status. PAL progression increased with age
reaching the highest progression rate in the 40-49 years cohort, and then
decreased in older age groups. PAL progression was consistently higher among
males and non-Whites than females and whites. CONCLUSION: A large proportion of
this urban Brazilian sample was affected by PAL progression underscoring the need
for health promotion initiatives aiming at preventing progression of destructive
periodontal disease.
PMID- 22093105
TI - Rising concern over cosmetic tattoos.
AB - BACKGROUND: A rise in popularity of cosmetic tattoos has led to an increase in
adverse reactions. Due to more pressing concerns, the Food and Drug
Administration (FDA) has not traditionally enforced its authority over tattoo
inks. OBJECTIVE: To raise awareness of the dangers of cosmetic tattoos. MATERIALS
AND METHODS: We reviewed FDA policies regarding tattoo ink, different ink
components, adverse reactions, and various treatment options for cosmetic tattoo
removal. RESULTS AND CONCLUSION: An increase in consumer complaints has prompted
FDA investigation into tattoo inks and their safety. It is important that further
complications be reported to the FDA to promote regulation of cosmetic tattoo
inks.
PMID- 22093106
TI - Use of a gentamicin-impregnated collagen sheet (Collatamp((r)) ) following
implantation of a sacral nerve stimulator for faecal incontinence.
AB - AIM: Gentamicin-impregnated collagen (Collatamp((r)) ) is well described for the
prevention of infection in surgery. This technical note describes its
intraoperative use as a prophylactic measure to prevent infection following
implantation of a sacral nerve stimulator for faecal incontinence. METHOD:
Following implantation of the Interstim II Neurostimulator (Medtronic
Neuromodulation, 710 Medtronic Parkway, Minneapolis, USA) in a subcutaneous
pocket overlying the gluteal muscle, a single sheet of 10cm * 10cm gentamicin
impregnated collagen is placed within the wound covering the implant. The
subcutaneous tissue and skin are then closed in separate layers. RESULTS: To date
eight patients [median age 46.5 (30-59) years] have received prophylactic cover
with gentamicin-impregnated collagen following permanent sacral nerve stimulator
implantation. At a median interval of 89.5 (51-128) days, none of these patients
developed a wound infection at the site of the neurostimulator implant.
CONCLUSION: Gentamicin-impregnated collagen (Collatamp((r)) ) used in the
implantation of a sacral nerve stimulator may be a useful addition to the
technique.
PMID- 22093107
TI - Dopamine D3 receptor gene variation: impact on electroconvulsive therapy response
and ventral striatum responsiveness in depression.
AB - Dysfunction of dopamine D3 receptors, particularly in the mesocorticolimbic
system, has been linked to the pathogenesis of major depression. Preclinical data
show enhanced D3 receptor binding in the striatum upon antidepressant medication
and electroconvulsive therapy (ECT). Thus, the potential impact of dopamine D3
receptor gene (DRD3) variation on ECT outcome in treatment-resistant major
depression was evaluated by applying a combined molecular and imaging genetic
approach. Altogether, 10 representative variants covering 95.4% of DRD3 gene
variation were investigated for association with response to ECT in a sample of
104 (71 female, 33 male) Caucasian patients with pharmacorefractory major
depression. Additionally, ventral striatum responsiveness to happy faces was
assessed in two independent samples of depressed patients (total N=54) by means
of functional magnetic resonance imaging at 3 T. Significant association of DRD3
rs3732790, rs3773679 and rs9817063 variants with response (uncorrected p=0.02
0.03) and remission (uncorrected p=0.01) after ECT was discerned. Logistic
regression analyses revealed association of rs3732790 (uncorrected p=0.009;
corrected p=0.045) and rs3773679 (uncorrected p=0.009; corrected p=0.045) with
remission when applying a recessive model of inheritance. The rs3732790T allele
conferring a more favourable treatment response was furthermore found to be
associated with stronger striatal responsiveness to happy facial expressions
(sample 1: cluster-corrected p=0.002; sample 2: p=0.023). In summary, the present
study suggests some impact of DRD3 gene variation on ECT response, potentially
mediated by alteration of striatal engagement during the processing of
emotionally rewarding stimuli.
PMID- 22093108
TI - Unilateral positive biopsies in low risk prostate cancer patients diagnosed with
extended transrectal ultrasound-guided biopsy schemes do not predict unilateral
prostate cancer at radical prostatectomy.
AB - Focal therapy is an emergent therapeutic option for prostate cancer. Focal
therapy includes a variety of therapeutic approaches ranging from lesion
treatment to sub-total gland treatment. In this context, an accurate selection of
patients having unilateral prostate cancer is closely related to the success of
these strategies, especially when a hemi-ablative approach is considered. As
prostate cancer is often multifocal, the critical issue is whether it is possible
to preoperatively predict a clinically significant unifocal and/or unilateral
lesion with sufficient accuracy to recommend focal or hemi-ablative therapy,
relying on clinical characteristics and pathological data derived from the
biopsy. Our study clearly demonstrates that the prediction of unilateral prostate
cancer is not accurate, based on preoperative variables (predictive accuracy
52.3%). Our study is the first study based on an extended biopsy template. Even
in patients diagnosed with extended biopsy, the accuracy of the available
predictors is far from the ideal prediction. To date, there is no way of
correctly identifying patients who will harbour unilateral prostate cancer based
on routinely available variables. OBJECTIVE: o establish the predictors of
unilateral prostate cancer in a population of patients with low risk prostate
cancer, diagnosed with extended biopsy and submitted to radical prostatectomy,
potentially candidates for focal therapy. PATIENTS AND METHODS: The study
included 321 consecutive patients with low risk (clinical stage T1, Gleason score
3 + 3 or less, prostate-specific antigen [PSA] < 10 ng/mL) unilateral prostate
cancer diagnosed after extended biopsy who were subsequently treated with radical
prostatectomy between 2002 and 2009 at a single institution. We evaluated the
rate of unilateral prostate cancers at final pathology following radical
prostatectomy, defined as pT2a or pT2b stage. Univariable and multivariable
logistic regression analyses were used to identify predictors of unilateral
prostate cancers. Predictive accuracy was assessed with estimates of the area
under the receiver operating characteristic curve, which were subjected to 200
bootstraps to reduce overfit bias. RESULTS: At final pathology only 29.3%
patients harboured unilateral prostate cancer. No significant differences in
terms of age, preoperative PSA, prostate volume and percentage of positive cores
were recorded between patients with unilateral prostate cancer and patients with
more advanced stage (all P >= 0.07). Patients harbouring unilateral prostate
cancer had a smaller number of positive biopsy cores (2.8 vs 3.2, P = 0.056)
compared with patients with stage pT2c or higher at final pathology. Patients
with unilateral prostate cancer had a higher rate of Gleason sum 6 compared with
patients with more advanced pathological stage (pT2c or higher: 85.1% vs 65.6%; P
= 0.002). On multivariable analyses, only the percentage of positive cores (odds
ratio 0.57; P = 0.047) was an independent predictor of unilateral prostate cancer
at radical prostatectomy, after controlling for age, PSA at diagnosis and
prostate volume (all P >= 0.3). The newly developed model for identifying the
presence of unilateral prostate cancer failed to achieve accurate prediction
(area under the curve 52.3%). When only patients with a single positive core were
considered, no differences in PSA and prostate volume were detected (all P >=
0.5) and a similar rate of unilateral prostate cancer was demonstrated (33.3% vs
28.4%; P = 0.5). CONCLUSIONS: In patients with unilateral low risk prostate
cancer at biopsy, only one-third showed unilateral prostate cancer at radical
prostatectomy. The number of cores and the number of positive cores represented
independent predictors of unilateral prostate cancer. However, the accuracy of
the multivariable model in predicting unilateral prostate cancer is low (52.3%),
thus making prediction of unilateral prostate cancer extremely inaccurate. These
results need to be taken into account in those cases where focal therapy is
considered as a treatment of prostate cancer.
PMID- 22093109
TI - Intestinal defensin secretion in infancy is associated with the emergence of
sensitization and atopic dermatitis.
AB - BACKGROUND: Intestinal flora and innate immunity, and their interactions impact
adaptive immunity. OBJECTIVE: To study the association of fecal defensin levels
in infancy with synbiotic treatment and with the emergence of atopy. METHODS: The
randomly selected group of 102 infants belonged to a randomized, double-blind
placebo-controlled trial where 1223 infants in high risk for allergy received,
from birth to 6 months, a mixture of synbiotics, or placebo. Clinical trials
registration number for the clinical trial is NCT00298337. In the subgroup, 45
received active treatment and 56 received placebo treatment. Follow-up for the
emergence of sensitization and allergic diseases lasted 5 years. At the age of 3
(n = 96) and 6 (n = 87) months, we measured fecal levels of human neutrophil
peptide (HNP) 1-3 and of beta-defensin 2 (HBD2) using enzyme linked immunosorbent
assays and concentrations of lactic acid bacteria on MRS agar. We used
multifactorial regression in data analysis. RESULTS: Fecal levels of HNP1-3 and
HBD2 decreased from the age of 3-6 months (P < 0.0001). HBD2 levels decreased
less in the synbiotics group compared with placebo (P < 0.02). High fecal HBD2
levels at 6 months were associated with an increased risk for sensitization by
the age of 5 years (OR 2.5, 95% confidence interval 1.1-5.8, P < 0.03). High
fecal HNP1-3 levels at 6 months were associated with a decreased risk for atopic
dermatitis (OR 0.4, 95% CI 0.1-1.0, P < 0.05). Samples with very low or high HBD2
levels at 6 months had low concentrations of lactic acid bacteria (P < 0.02).
CONCLUSIONS AND CLINICAL RELEVANCE: Early innate immunity responses in the gut
are associated with the emergence of sensitization and atopic dermatitis later in
childhood.
PMID- 22093110
TI - Effects of fluorides on apoptosis and activation of human umbilical vein
endothelial cells.
AB - OBJECTIVE: To determine the effects of fluorides on endothelial functioning.
MATERIALS AND METHODS: We analyzed expressions of adhesion molecules, ICAM-1 and
ICAM-3, and annexin V, on the surface of human umbilical vein endothelial cells
(HUVECs) exposed to various concentrations of NaF and SnF(2) . We compared the
effects of fluoride-induced changes with those obtained when stimulating HUVECs
with TNF-alpha and verified whether N-acetyl cysteine (NAC), well-known
antioxidant, can prevent both fluoride- and TNF-alpha-induced alterations.
RESULTS: The expressions of annexin V and ICAM-1 increased significantly after
adding NaF (5.0 or 7.5mM) or Sn(2) F (0.5 or 0.75mM) to the culture medium. Pre
incubating HUVECs with NAC prevented the effects induced by 5.0 mM of NaF and 0.5
mM of Sn(2) F. Only the highest concentration of NaF (7.5mM) triggered the
expression of ICAM-3. The expressions of all three molecules increased
significantly upon stimulating the cultures with TNF-alpha (20ng ml(-1) ); these
changes were not reversed by pre-incubation with NAC. CONCLUSIONS: Fluorides
induce oxidative stress, resulting in apoptosis and activation of HUVECs,
manifested by an elevated expression of ICAM-1. The oxidative stress resulting
from a stimulation by the highest NaF concentration triggers ICAM-3 expression on
the HUVECs' surface.
PMID- 22093111
TI - Abdominal actinomycosis masquerading as colon cancer in a liver transplant
recipient.
AB - Infections in transplant recipients are associated with high morbidity and
mortality, making their early recognition and treatment particularly important.
Abdominal actinomycosis is a rare clinical entity and difficult to diagnose
because of its various and nonspecific features. We describe a 57-year-old
patient who presented with abdominal actinomycosis simulating colon cancer 6
years after liver transplantation. The main symptom was abdominal pain. Abdominal
computed tomography and colonoscopy revealed an intraluminal 4.5 cm mass in the
right colon, raising suspicions of a colonic malignancy and leading to surgical
intervention. The postoperative pathologic study showed sulfur granules in the
resected specimen compatible with abdominal actinomycosis. No signs of recurrence
were seen throughout the 6-month follow-up. The literature on actinomycosis
infections in immune-compromised hosts is reviewed. This presentation of
actinomycosis in a liver transplant recipient has not been described previously,
to our knowledge.
PMID- 22093112
TI - High-resolution computed tomography bronchial lumen to pulmonary artery diameter
ratio in anesthetized ventilated cats with normal lungs.
AB - High-resolution computed tomography (CT) is the preferred noninvasive tool for
diagnosing bronchiectasis in people. A criterion for evaluating dilation of the
bronchus is the bronchial lumen to pulmonary artery diameter (bronchoarterial
ratio [BA ratio]). A ratio of > 1.0 in humans or > 2.0 in dogs has been suggested
as a threshold for identifying bronchiectasis. The purpose of this study was to
establish the BA ratio in normal cats. Fourteen specific pathogen-free cats were
selected for analysis of thoracic CT images. The BA ratios of the lobar bronchi
of the left cranial (cranial and caudal parts), right cranial, right middle, left
caudal, and right caudal lung lobes were measured. The mean of the mean BA ratio
of all lung lobes was 0.71 +/- 0.05. Individual BA ratios ranged from 0.5 to
1.11. Comparing individual lobes for each cat, there was no significant
difference (P = 0.145) in mean BA ratio between lung lobes. A mean BA ratio for
these normal cats was 0.71 +/- 0.1, which suggests an upper cut-off normal value
> 0.91 (mean +/- 2 standard deviations) between normal and abnormal cats.
PMID- 22093113
TI - What is your diagnosis? Intracranial mass in a dog.
PMID- 22093114
TI - Using en face ink to guide the conservative sectioning of a Mohs margin.
AB - BACKGROUND: While the epidermal rim of a Mohs layer routinely requires some
manipulation, the deep margin seems to conform easily to a smooth surface for
sectioning even when tissue textures and contours are prominent. How well these
common features of the deep margin flatten during processing has not been well
studied. OBJECTIVE: To determine how successfully tissue processing flattens the
deep margin of Mohs specimens. METHODS: Using en face inking, this study compared
the sectioning required to reach a completed epidermal margin with that of the
completed deep margin in 100 routine first-stage Mohs specimens. RESULTS: A mean
of 248.8 MUm of additional sectioning beyond the completed epidermal margin was
required in 74% of specimens to reach the completed deep margin. This deep margin
differential was associated with increasing layer size (p = .003) and those
specimens that required the least amount of sectioning to reach a completed
epidermal margin (p < .001). CONCLUSIONS: The differential between the epidermal
and deep margin suggests that tissue texture and contour irregularities can be
easily compressed and internalized during embedding. En face inking can be used
to delineate the tissue texture and surgical features of a Mohs margin ensuring a
complete and conservative section evaluation.
PMID- 22093115
TI - Lateral longitudinal excision of the nail unit.
PMID- 22093116
TI - Treatment of IBS-D with 5-HT3 receptor antagonists vs spasmolytic agents: similar
therapeutical effects from heterogeneous pharmacological targets.
AB - There is still no agreement over optimal pharmacological treatment for irritable
bowel syndrome (IBS). Patients with IBS and diarrhea (IBS-D) demonstrate both
visceral hypersensitivity and impaired colonic motility with increased frequency
and amplitude of giant migrating contractions (GMCs) which cause mass movements,
propulsion of stools and initiation of defecation. Both antispasmodics and 5-HT3
receptor antagonists can improve the symptoms and the impaired colonic motility
of patients with IBS-D though through very different mechanisms. Antispasmodics
act by directly relaxing the colonic smooth muscle cells or antagonizing the
excitatory neuromuscular neurotransmission. In contrast, the mechanism of action
of 5HT3 antagonists is much more complex and subtle as they inhibit the ascending
excitatory component of the peristaltic reflex and GMCs. There are some concerns
about the safety of 5HT3 antagonists in long-term treatment. Most of the studies
on the treatment of IBS have followed the pharmacological strategy of looking for
big clinical effects acting on a single receptor/target. We propose a
pharmacologic strategy which uses different drugs for pain and dysmotility in the
same patient and includes specific drugs acting on smooth muscle cells,
neuromuscular transmission, synaptic transmission and intrinsic afferents. The
clinical effect on IBS symptoms would be found in the sum of all these smaller
effects on multiple targets.
PMID- 22093117
TI - Pain education for physiotherapists: is it time for curriculum reform?
PMID- 22093118
TI - Engagement of physiotherapists in cardiology research.
PMID- 22093119
TI - Inspiratory muscle training increases inspiratory muscle strength in patients
weaning from mechanical ventilation: a systematic review.
AB - QUESTION: Does inspiratory muscle training improve inspiratory muscle strength
and endurance, facilitate weaning, improve survival, and reduce the rate of
reintubation and tracheostomy in adults receiving mechanical ventilation? DESIGN:
Systematic review of randomised or quasi-randomised controlled trials.
PARTICIPANTS: Adults over 16 years of age receiving mechanical ventilation.
INTERVENTION: Inspiratory muscle training versus sham or no inspiratory muscle
training. OUTCOME MEASURES: Data were extracted regarding inspiratory muscle
strength and endurance, the duration of unassisted breathing periods, weaning
success and duration, reintubation and tracheostomy, survival, adverse effects,
and length of stay. RESULTS: Three studies involving 150 participants were
included in the review. The studies varied in time to commencement of the
training, the device used, the training protocol, and the outcomes measured.
Inspiratory muscle training significantly increased inspiratory muscle strength
over sham or no training (weighted mean difference 8 cmH(2)O, 95% CI 6 to 9).
There were no statistically significant differences between the groups in weaning
success or duration, survival, reintubation, or tracheostomy. CONCLUSION:
Inspiratory muscle training was found to significantly increase inspiratory
muscle strength in adults undergoing mechanical ventilation. Despite data from a
substantial pooled cohort, it is not yet clear whether the increase in
inspiratory muscle strength leads to a shorter duration of mechanical
ventilation, improved weaning success, or improved survival. Further large
randomised studies are required to clarify the impact of inspiratory muscle
training on patients receiving mechanical ventilation. REVIEW REGISTRATION:
PROSPERO CRD42011001132.
PMID- 22093120
TI - Timing of dornase alpha inhalation does not affect the efficacy of an airway
clearance regimen in adults with cystic fibrosis: a randomised crossover trial.
AB - QUESTION: Does the timing of inhalation of dornase alpha in relation to physical
airway clearance techniques influence the effect of the entire airway clearance
regimen?. DESIGN: A randomised crossover trial with concealed allocation,
intention-to-treat analysis and blinding of patients, therapists, and assessors.
PARTICIPANTS: Twenty adults with cystic fibrosis who were not taking dornase
alpha were recruited, of whom 17 were randomised and completed the trial.
INTERVENTION: Participants performed an individually tailored session of physical
airway clearance techniques for at least 15 minutes per day for 28 days. For 14
days, dornase alpha was inhaled before each session of airway clearance
techniques and a placebo was inhaled after. For the other 14 days, placebo was
inhaled before and dornase alpha after airway clearance techniques. The order of
the two 14-day periods was randomised. OUTCOME MEASURES: The primary outcome was
the forced expiratory volume in 1 sec (FEV(1)). Secondary outcomes were forced
vital capacity, 24-hour sputum production, sputum production during the airway
clearance regimen, oxygen saturation, peak oxygen consumption during an
incremental exercise test, oxygen desaturation during exercise, and quality of
life. RESULTS: Inhalation of dornase alpha after airway clearance techniques did
not significantly affect the change in FEV(1) compared with inhalation before
airway clearance techniques, mean difference 0.04 L, 95% CI -0.14 to 0.23. None
of the secondary outcomes differed significantly between the study arms. There
was good correlation between the change in FEV(1) and the change in quality of
life scores. CONCLUSION: Timing of dornase alpha can be selected according to
convenience, patient preference, or to accommodate the timing of other
medications in the treatment regimen. TRIAL REGISTRATION: ACTRN12611001041943.
PMID- 22093121
TI - Telephone coaching can increase activity levels for people with non-chronic low
back pain: a randomised trial.
AB - QUESTION: Does the addition of telephone coaching to usual physiotherapy care
improve activity for people with non-chronic low back pain and low to moderate
recovery expectations? DESIGN: Randomised trial with concealed allocation and
intention-to-treat analysis. PARTICIPANTS: People attending the physiotherapy
department of a public hospital for treatment within eight weeks of onset of non
specific low back pain. Eligible participants had low to moderate recovery
expectations, defined as a response of 7 or less to the question 'How certain are
you that you will return to all of your usual activities one month from today?'
on a scale from 0 (not certain at all) to 10 (completely certain). INTERVENTION:
Five sessions of telephone coaching by a physiotherapist trained in health
coaching techniques in addition to usual physiotherapy compared to usual
physiotherapy alone. OUTCOME MEASURES: The Patient Specific Functional Scale,
Oswestry Disability Index, Pain Self Efficacy Questionnaire, and recovery
expectation were measured at baseline, 4, and 12 weeks. RESULTS: 30 participants
were recruited, with 26 completing all measures at 12 weeks. There were no
significant differences between groups at 4 weeks. After 12 weeks the coaching
group improved significantly more than the control group on two 10-point scales:
the Patient Specific Functional Scale (mean difference 3.0 points, 95% CI 0.7 to
5.4) and recovery expectation (mean difference 3.4 points, 95% CI 1.1 to 5.7).
Estimates of effect sizes were moderate to large in favour of the intervention.
CONCLUSION: The addition of telephone health coaching to usual physiotherapy care
for people with non-chronic non-specific low back pain led to clinically
important improvements in activity and recovery expectation. TRIAL REGISTRATION:
ACTRN12607000458437.
PMID- 22093122
TI - The Assessment of Physiotherapy Practice (APP) is a valid measure of professional
competence of physiotherapy students: a cross-sectional study with Rasch
analysis.
AB - QUESTION: Is the Assessment of Physiotherapy Practice (APP) a valid instrument
for the assessment of entry-level competence in physiotherapy students? DESIGN:
Cross-sectional study with Rasch analysis of initial (n=326) and validation
samples (n=318). Students were assessed on completion of 4, 5, or 6-week clinical
placements across one university semester. PARTICIPANTS: 298 clinical educators
and 456 physiotherapy students at nine universities in Australia and New Zealand
provided 644 completed APP instruments. RESULTS: APP data in both samples showed
overall fit to a Rasch model of expected item functioning for interval scale
measurement. Item 6 (Written communication) exhibited misfit in both samples, but
was retained as an important element of competence. The hierarchy of item
difficulty was the same in both samples with items related to professional
behaviour and communication the easiest to achieve and items related to clinical
reasoning the most difficult. Item difficulty was well targeted to person
ability. No Differential Item Functioning was identified, indicating that the
scale performed in a comparable way regardless of the student's age, gender or
amount of prior clinical experience, and the educator's age, gender, or
experience as an educator, or the type of facility, university, or clinical area.
The instrument demonstrated unidimensionality confirming the appropriateness of
summing the scale scores on each item to provide an overall score of clinical
competence and was able to discriminate four levels of professional competence
(Person Separation Index=0.96). Person ability and raw APP scores had a linear
relationship (r(2)=0.99). CONCLUSION: Rasch analysis supports the interpretation
that a student's APP score is an indication of their underlying level of
professional competence in workplace practice.
PMID- 22093123
TI - Provocative wrist tests and MRI are of limited diagnostic value for suspected
wrist ligament injuries: a cross-sectional study.
AB - QUESTION: What is the diagnostic value of provocative wrist tests and magnetic
resonance imaging (MRI) for suspected wrist ligament injuries? DESIGN: Cross
sectional study. PARTICIPANTS: 105 people presenting to hand clinics with wrist
pain and suspected wrist ligament injuries were evaluated prospectively. OUTCOME
MEASURES: The integrity of wrist ligaments was tested with seven provocative
tests. The results were compared to the reference standard of arthroscopy. In a
subgroup of 55 participants, MRI findings were also compared to arthroscopy. The
provocative tests were the scaphoid shift test (SS test), lunotriquetral test (LT
test), midcarpal test (MC test), distal radioulnar joint test (DRUJ test),
triangular fibrocartilage complex (TFCC) stress test (TFCC test), TFCC stress
test with compression (TFCC comp test), and the gripping rotatory impaction test
(GRIT). RESULTS: Most provocative tests and MRI findings were of little or no
value for diagnosing wrist ligament injuries. Exceptions were the SS test (+ve LR
2.88 and -ve LR 0.28), MC test (+ve LR 2.67) and DRUJ test (-ve LR 0.30), all of
which were of mild diagnostic usefulness. MRI was moderately useful for
diagnosing TFCC injuries (+ve LR 5.56, -ve LR 0.15), and was mildly useful for
diagnosing scapholunate (SL) ligament injuries (+ve LR 4.17, -ve LR 0.32) and
lunate cartilage damage (+ve LR 3.67, -ve LR 0.33). Adding MRI to provocative
tests improved the accuracy of diagnosis of TFCC injuries slightly (by 13%) and
lunate cartilage damage (by 8%). CONCLUSION: Provocative wrist tests of SL
ligament injuries and midcarpal ligament injuries are mildly useful for
diagnosing wrist injuries. MRI diagnostic findings of SL ligament injuries,
lunate cartilage damage, and TFCC are mildly to moderately useful. MRI slightly
improves the diagnosis of TFCC injury and lunate cartilage damage compared to
provocative tests alone.
PMID- 22093124
TI - Aerobic exercise enhances executive function and academic achievement in
sedentary, overweight children aged 7-11 years.
AB - Summary of: Davis CL et al (2011) Exercise improves executive function and
achievement and alters brain activation in overweight children: a randomized
controlled trial. Health Pscyh 30: 91-98. [Prepared by Nora Shields, CAP Editor.]
QUESTION: Does aerobic exercise improve cognition and academic achievement in
overweight children aged 7-11 years? DESIGN: Randomised, controlled trial with
concealed allocation and blinded outcome assessment. SETTING: After school
program in the United States. PARTICIPANTS: Overweight, inactive children aged 7
11 years with no medical contraindication to exercise. Randomisation of 171
participants allocated 56 to a high dose exercise group, 55 to a low dose
exercise group, and 60 to a control group. INTERVENTIONS: Both exercise groups
were transported to an after school exercise program each school day and
participated in aerobic activities including running games, jump rope, and
modified basketball and soccer. The emphasis was on intensity, enjoyment, and
safety, not competition or skill enhancement. The student-instructor ratio was
9:1. Heart rate monitors were used to observe the exercise intensity. Points were
awarded for maintaining an average of>150 beats per minute and could be redeemed
for weekly prizes. The high dose exercise group received 40 min/day aerobic
exercise and the low dose exercise group received 20 min/day aerobic exercise and
20 min/day unsupervised sedentary activities including board games, drawing, and
card games. The average duration of the program was 13 +/- 1.6 weeks. The control
group did not receive any after school program or transportation. OUTCOME
MEASURES: The primary outcome was the Cognitive Assessment System taken at
baseline and postintervention. This measure tests four cognitive processes:
planning (or executive function), attention, simultaneous, and successive tasks
with each process yielding a standard score with a mean of 100 and a SD of 15.
Secondary outcome measures were the broad reading and mathematics clusters of the
Woodcock-Johnson Tests of Achievement III. RESULTS: 164 participants completed
the study. At the end of the intervention period, there was a dose-response
benefit of exercise on executive function (linear trend p=0.013) and mathematics
achievement (linear trend p=0.045); ie, the post-intervention group scores for
these outcomes increased with the intensity of exercise. Compared to the control
group, exposure to either exercise program resulted in higher executive function
scores (mean difference=-2.8, 95% CI -5.3 to -0.2 points) but not in higher
mathematics achievement scores. The groups did not differ significantly on any of
the other outcomes. There were no differences between the two exercise groups.
CONCLUSION: Aerobic exercise enhances executive function in overweight children.
Executive function develops in childhood and is important for adaptive behaviour
and cognitive development.
PMID- 22093125
TI - Questioning the role of targeted respiratory physiotherapy over and above a
standard clinical pathway in the postoperative management of patients following
open thoracotomy.
AB - Summary of: Reeve JC et al (2010) Does physiotherapy reduce the incidence of
postoperative pulmonary complications following pulmonary resection via open
thoracotomy? A preliminary randomised single-blind clinical trial. Eur J
Cardiothorac Surg 37: 1158-1166. [Prepared by Kylie Hill, CAP Editor.] QUESTION:
Does routine prophylactic targeted respiratory physiotherapy after elective
pulmonary resection via open thoracotomy decrease the incidence of postoperative
pulmonary complications and reduce length of hospital stay? DESIGN: Randomised,
controlled trial with concealed allocation in which those who collected outcome
measures were blinded to group allocation. SETTING: Hospital ward of a tertiary
referral centre in Auckland, New Zealand. PARTICIPANTS: Adults scheduled for
pulmonary resection via open thoracotomy. EXCLUSION CRITERIA: (i) unable to
understand written and spoken English, (ii) tumour invasion of the chest wall or
brachial plexus, (iii) physiotherapy for a respiratory or shoulder problem within
2 weeks prior to admission, (iv) development of a postoperative pulmonary
complication prior to randomisation on Day 1 postoperatively, or (v) intubation
and mechanical ventilation >= 24 hours following surgery. Randomisation of 76
patients allocated 42 to the intervention group and 34 to the control group.
INTERVENTIONS: Both groups received usual medical and nursing care via a
standardised clinical pathway, which included early and frequent position
changes, sitting out of bed on the first postoperative day, early ambulation and
frequent pain assessment. In addition, the intervention group received daily
targeted respiratory physiotherapy, which comprised deep breathing and coughing
exercises, assistance with ambulation, and progressive shoulder and thoracic cage
exercises. OUTCOME MEASURES: The primary outcome was incidence of postoperative
pulmonary complications, defined using a standardised diagnostic tool. The
secondary outcome was the length of hospital stay. RESULTS: The primary and
secondary outcomes were available for all enrolled patients. Neither the
incidence of postoperative pulmonary complications [mean difference intervention
control 1.8% (95% CI -10.6 to 13.1%)] nor the hospital length of stay
[intervention group median 6.0 days, control group median 6.0 days; p=0.87)
differed significantly between groups. The overall incidence of postoperative
pulmonary complications (3.9%) was lower than expected. CONCLUSION: In adults
following open thoracotomy, the addition of targeted respiratory physiotherapy to
a standardised clinical pathway that included early mobilisation did not reduce
the incidence of postoperative pulmonary complications or change length of
hospital stay.
PMID- 22093126
TI - Surgery with disc prosthesis may produce better outcomes than multidisciplinary
rehabilitation for patients with chronic low back pain.
AB - Hellum C et al (2011) Surgery with disc prosthesis versus rehabilitation in
patients with low back pain and degenerative disc: two year follow-up of
randomised study. BMJ 342: d2786 doi:10.1136/bmj.d2786. [Prepared by Margreth
Grotle and Kare Birger Hagen, CAP Editors.] QUESTION: What are the effects of
surgery with disc prosthesis compared to multidisciplinary rehabilitation for
patients with chronic low back pain? DESIGN: A single blind randomised controlled
multicentre trial. SETTING: Five university hospitals in Norway. PARTICIPANTS:
Men and women 25-55 years with low back pain as the main symptom for at least one
year, physiotherapy or chiropractic treatment for at least six months without
sufficient effect, a score of at least 30 on the Oswestry disability index, and
degenerative intervertebral disc changes at L4/L5 or L5/S1, or both. Patients
with nerve root involvement were excluded. Randomisation of 179 participants
allocated 86 patients to surgical treatment and 87 to rehabilitation.
INTERVENTIONS: Rehabilitation consisted of a cognitive approach and supervised
physical exercise directed by physiotherapists and specialists in physical
medicine and rehabilitation. Intervention was standardised and organised as
outpatient treatment in groups; it lasted for about 60 hours over 3-5 weeks.
Follow-up consultations were conducted at 6 weeks, 3 and 6 months, and 1 year
after the intervention. Surgical intervention consisted of replacement of the
degenerative intervertebral lumbar disc with an artificial lumbar disc. Surgeons
were required to have inserted at least six disc prostheses before performing
surgery in the study. Patients were not referred for postoperative physiotherapy,
but at 6 weeks follow-up they could be referred for physiotherapy if required,
emphasising general mobilisation and non-specific exercises. OUTCOME MEASURES:
The primary outcome was the Oswestry Disability Index (ODI, 0-100 scale) at 2
years. Secondary outcomes included low back pain (0-100 VAS), SF-36, and EQ-5D
scores. RESULTS: The drop-out rate at 2 years was 15% in the surgical arm and 24%
in the rehabilitation arm. At 2 years follow up, the between group differences
(95% CI) in favour of the surgical treatment were -8.4 (-13.2 to -3.6) for ODI,
12.2 (-21.3 to -3.1) for pain, and 5.8 (2.5 to 9.1) for SF-36 physical health
summary. No differences were found in SF-36 mental health summary or EQ-5D.
CONCLUSION: Surgery with disc prosthesis produced significantly greater
improvement in variables measuring physical disability and pain, but the
difference in ODI between groups did not exceed the pre-specified minimally
important difference of 10 points, so it is unclear whether the observed changes
were clinically meaningful.
PMID- 22093127
TI - Manual lymph drainage when added to advice and exercise may not be effective in
preventing lymphoedema after surgery for breast cancer.
AB - Summary of: Devoogdt N et al (2011) Effect of manual lymph drainage in addition
to guidelines and exercise therapy on arm lymphoedema related to breast cancer:
randomized controlled trial. BMJ 343: d5326. [Prepared by Nicholas Taylor, CAP
Editor.] QUESTION: Does manual lymph drainage prevent lymphoedema in patients who
have had surgery for breast cancer?. DESIGN: Randomised, controlled trial with
concealed allocation and blinded outcome assessment. SETTING: A multidisciplinary
breast centre of a tertiary hospital in Belgium. PARTICIPANTS: Patients were
eligible to be included if they received unilateral surgery with axillary node
dissection for breast cancer, and agreed to participate. Randomisation of 160
participants allocated 79 to the intervention group and 81 to a control group.
INTERVENTIONS: Both groups received guidelines about the prevention of
lymphoedema in the form of a brochure, and exercise therapy involving supervised
individualised 30 minute sessions - initially twice a week, reducing to once
fortnightly as patients progressed. Participants in both groups were also asked
to perform exercises at home twice/day. In addition, the intervention group
received 40 sessions of manual lymph drainage over 20 weeks with each session
lasting 30 minutes and performed by trained therapists. OUTCOME MEASURES: The
primary outcomes were the cumulative incidence of and the time to develop arm
lymphoedema (defined as a 200 ml increase) as measured with the water
displacement method with measures taken at baseline and 1, 3, 6, and 12 months
after surgery. Secondary outcome measures were lymphoedema measured with the arm
circumference method, health-related quality of life using the SF-36 scale, and a
patient reported questionnaire to score the presence of subjective arm
lymphoedema. RESULTS: 154 participants (96%) completed the study at 12 months. At
12 months the incidence of lymphoedema in the intervention group (n=18, 24%) was
similar to the incidence of lymphoedema in the control group (n = 15, 19%, OR
1.3, 95% CI 0.6 to 2.4); also there was no difference in incidence at 3 or 6
months. There was no difference between the groups in the time taken to develop
lymphoedema, and no difference between the groups in any secondary outcome
measure. CONCLUSION: The application of manual lymph drainage after axillary node
dissection for breast cancer in addition to providing guidelines and exercise
therapy did not prevent lymphoedema in the first year after surgery.
PMID- 22093128
TI - General Health Questionnaire - 28 (GHQ-28).
PMID- 22093129
TI - The Neer sign and Hawkins-Kennedy test for shoulder impingement.
PMID- 22093133
TI - Recombinant DNA immunotherapy ameliorate established airway allergy in a IL-10
dependent pathway.
AB - BACKGROUND: Previous studies have established that mycobacterial infections
ameliorate allergic inflammation. However, a non-infectious approach that
controls allergic responses might represent a safer and more promising strategy.
The 60-65 kDa heat shock protein (Hsp) family is endowed with anti-inflammatory
properties, but it is still unclear whether and how single mycobacterial Hsp
control allergic disorders. OBJECTIVE: Therefore, in this study we determined
whether the administration of Mycobacterial leprae Hsp65 expressed by recombinant
a DNA plasmid could attenuate a previously established allergic response.
METHODS: We used an experimental model of airway allergic inflammation to test
the effects of immunotherapy with DNA encoding Hsp65. Allergic mice, previously
sensitized and challenged with ovalbumin, were treated with tree intramuscular
doses of recombinant DNA encoding Hsp65. After treatment, mice received a second
allergen challenge and the allergic response was measured. RESULTS: We found that
immunotherapy attenuated eosinophilia, pulmonary inflammation, Th2 cytokine and
mucus production. Moreover, we showed that the inhibition of allergic response is
dependent on IL-10 production. Both Hsp65 and allergen-specific IL-10-producing
cells contributed to this effect. Cells transferred from DNA-immunized mice to
allergic mice migrated to allergic sites and down-modulated the Th2 response.
CONCLUSIONS AND CLINICAL RELEVANCE: Our findings clearly show that immunotherapy
with DNA encoding Hsp65 can attenuate an established Th2 allergic inflammation
through an IL-10-dependent mechanism; moreover, the migration of allergen- and
Hsp65-specific cells to the allergic sites exerts a fundamental role. This work
represents a novel contribution to the understanding of immune regulation by
Hsp65 in allergic diseases.
PMID- 22093134
TI - Oral valganciclovir versus ganciclovir as delayed pre-emptive therapy for
patients after allogeneic hematopoietic stem cell transplant: a pilot trial (04
0274) and review of the literature.
AB - BACKGROUND: Cytomegalovirus (CMV) infection is an important cause of morbidity
and mortality after allogeneic hematopoietic stem cell transplant (HSCT). This
pilot prospective randomized clinical trial compares valganciclovir (VGV) to
ganciclovir (GCV) as pre-emptive therapy for CMV viremia in the post-allogeneic
HSCT population. METHODS: Patients undergoing allogeneic HSCT who were at risk
for CMV viremia were monitored post HSCT by weekly quantitative whole blood
polymerase chain reaction. Pre-emptive therapy was delayed until the viral load
(VL) was >10,000 copies/mL once, or >5000 copies/mL twice. Patients were
randomized to either GCV 5 mg/kg twice a day (b.i.d.) for 7 days followed by
daily GCV 5 mg/kg for up to 21 days, or VGV 900 mg b.i.d. for 7 days followed by
900 mg daily for up to 21 days. The primary endpoint was clearance of viremia (VL
<5000 copies/mL) within 28 days of initiation of therapy. RESULT: In total, 37
patients were enrolled; 19 patients received treatment with VGV and 18 patients
received treatment with GCV. The VGV was not inferior in efficacy to GCV as pre
emptive therapy, with rates of viral clearance at 28 days of 89.5% and 83%,
respectively (P-value for non-inferiority = 0.030). Toxicities were similar
between the 2 arms. No patients developed CMV disease. CONCLUSIONS: In this
trial, the rates of clearance of viremia appear to be similar with VGV and GCV.
PMID- 22093135
TI - Evaluation of process variations in noncompliance in the implementation of
evidence-based sepsis care.
AB - INTRODUCTION: Sepsis is recognized as an often-lethal disease. Recommended
guidelines are complex and time sensitive. Response teams (RTs) have demonstrated
success in implementation of quality initiatives. The purpose of this study was
to evaluate variations in noncompliance with recommended sepsis guidelines
overall and between a sepsis-focused RT and standard care. METHODS: This
retrospective chart review categorized septic patients based on treatment by a
sepsis response team (SRT) versus standard care (non-SRT). Guideline compliance
was based upon the Surviving Sepsis evaluation and treatment guidelines. RESULTS:
Patient records for 123 identified septic patients post first-year implementation
were evaluated. Overall, compliance rates were low and there were variations in
compliance between the treatment providers. The SRT was more compliant than the
non-SRT. SRT noncompliance was more often due to failure to achieve therapeutic
goals within the recommended time. Mortality benefit was not statistically
significant between groups; however mortality was higher in the non-SRT group.
CONCLUSION: Noncompliance is more complex than simple failure to initiate,
especially in time-dependent therapies. The development and education of an RT
demonstrates improvement in application of sepsis-focused therapies over standard
care.
PMID- 22093136
TI - [Pleural empyema caused by Streptococcus constellatus].
PMID- 22093137
TI - Effectiveness of laxatives in elderly--a cross sectional study in nursing homes.
AB - BACKGROUND: Laxatives are efficient drugs, but the effectiveness has been
questioned. In nursing homes, the prevalence of constipation is high and
laxatives are commonly used drugs. The aims of the study were to assess the
effectiveness of laxative therapy in an everyday setting in Norwegian nursing
homes, study differences between treatment regimens and factors associated with
normal bowel function. METHODS: A cross-sectional study. After giving informed
consent, residents above 60 years of age using laxatives for functional
constipation were included, and their characteristics, medical history, use of
drugs and bowel functions were recorded. Normal bowel function was defined as
bowel movements from 3 times/week to 3 times/day and stool consistency 3-5 on
Bristol Stool Form Scale. RESULTS: Out of 647 residents in the nursing homes, 197
were included and 116 (59%) had normal bowel function. The treatment effect did
not differ significantly between the laxatives, treatment regimens or expected
efficacy of the regimens. The treatment was unsatisfactorily adapted to
individual needs. In subjects with normal bowel function, 113 (97%) had
persistent complaints; 68 (59.5%), 10 (8.0%), 34 (28.6%) and 26 (22.5%) reported
straining, manual manoeuvre to facilitate bowel movements, feeling of incomplete
bowel movements, and feeling of anorectal obstruction respectively. Good
nutritional status, previous or present cancer disease and anxiety/depression
were predictors of normal bowel function. CONCLUSIONS: Treatment of constipation
in nursing homes was unsatisfactory. Nearly all patients with normal stool
frequency and consistence had some persistent complaints. Improved nutrition and
individualization of the treatment could improve the outcome.
PMID- 22093138
TI - Mastication in humans: finding a rationale.
PMID- 22093139
TI - External validity and psychiatric disorder exclusions in orofacial pain clinical
trials.
PMID- 22093140
TI - Prevalence and predictors of kaposi sarcoma herpes virus seropositivity: a cross
sectional analysis of HIV-infected adults initiating ART in Johannesburg, South
Africa.
AB - BACKGROUND: Kaposi sarcoma (KS) is the most common AIDS-defining tumour in HIV
infected individuals in Africa. Kaposi sarcoma herpes virus (KSHV) infection
precedes development of KS. KSHV co-infection may be associated with worse
outcomes in HIV disease and elevated KSHV viral load may be an early marker for
advanced HIV disease among untreated patients. We examined the prevalence of KSHV
among adults initiating antiretroviral therapy (ART) and compared immunological,
demographic and clinical factors between patients seropositive and seronegative
for KSHV. RESULTS: We analyzed cross-sectional data collected from 404 HIV
infected treatment-naive adults initiating ART at the Themba Lethu Clinic,
Johannesburg, South Africa between November 2008 and March 2009. Subjects were
screened at ART initiation for antibodies to KSHV lytic K8.1 and latent Orf73
antigens. Seropositivity to KSHV was defined as positive to either lytic KSHV
K8.1 or latent KSHV Orf73 antibodies. KSHV viremia was determined by quantitative
PCR and CD3, 4 and 8 lymphocyte counts were determined with flow cytometry. Of
the 404 participants, 193 (48%) tested positive for KSHV at ART initiation; with
76 (39%) reactive to lytic K8.1, 35 (18%) to latent Orf73 and 82 (42%) to both.
One individual presented with clinical KS at ART initiation. The KSHV infected
group was similar to those without KSHV in terms of age, race, gender, ethnicity,
smoking and alcohol use. KSHV infected individuals presented with slightly higher
median CD3 (817 vs. 726 cells/mm3) and CD4 (90 vs. 80 cells/mm3) counts than KSHV
negative subjects. We found no associations between KSHV seropositivity and body
mass index, tuberculosis status, WHO stage, HIV RNA levels, full blood count or
liver function tests at initiation. Those with detectable KSHV viremia (n = 19),
however, appeared to present with signs of more advanced HIV disease including
anemia and WHO stage 3 or 4 defining conditions compared to those in whom the
virus was undetectable. CONCLUSIONS: We demonstrate a high prevalence of KSHV
among HIV-infected adults initiating ART in a large urban public-sector HIV
clinic. KSHV viremia but not KSHV seropositivity may be associated with markers
of advanced HIV disease.
PMID- 22093141
TI - Distal matrix glomus tumor presenting as longitudinal erythronychia: a pearl for
surgical management.
PMID- 22093142
TI - Intensive multifactorial treatment and cognitive functioning in screen-detected
type 2 diabetes--the ADDITION-Netherlands study: a cluster-randomized trial.
AB - AIM: To assess whether an intensive multifactorial treatment can reduce cognitive
decrements and cognitive decline in screen-detected type 2 diabetes. METHODS: The
multinational ADDITION-study, a cluster-randomized parallel group trial in
patients with screen-detected type 2 diabetes, compared the effectiveness of
intensive multifactorial treatment (IT; lifestyle advice and strict regulation of
metabolic parameters) with routine care (RC) on cardiovascular outcome. In The
Netherlands randomization was stratified according to practice organization.
Allocation was concealed from patients. The present study assessed the effect of
IT on cognition through two neuropsychological assessments (NPA) on two
occasions. The assessments took place three and six years after the start of the
intervention. Non-diabetic controls served as reference group. The first NPA was
performed in 183 patients (IT: 97; RC: 86) and 69 controls. The second NPA was
performed in 135 patients (IT: 71; RC: 64) and 55 controls. Primary outcome was a
composite score, including the domains memory, information-processing speed and
attention and executive function. Comparisons between the treatment groups were
performed with multi-level analyses. RESULTS: The first NPA showed no differences
between the treatment groups (mean difference composite z-score: 0.00; 95%-CI
0.16 to 0.16; IT vs RC). Over the next three years cognitive decline in the
diabetic groups was within the range of the reference group and did not differ
between the treatment arms (difference decline between diabetic groups -0.12;
0.24 to 0.01; IT vs RC). CONCLUSIONS: Six years of IT in screen-detected type 2
diabetes had no benefit on cognitive functioning over RC.
PMID- 22093143
TI - Congenital vertebral duplication: a predisposing risk factor for dissection.
AB - Spontaneous cervical-artery dissection (CAD) is a major cause of cerebral
ischaemia in young adults. While their pathophysiology remains still poorly
understood, CAD is considered today as a multifactorial disease determined by
general and local predisposing factors; these predisposing factors being
predominantly constitutional abnormalities of the arterial wall. Here, we report
an MRI-confirmed dissection of a duplicated vertebral artery, in a patient with
attacks of vertigo due to cerebellar infarction following a minor neck trauma.
Knowing that another case of vertebrobasilar CAD on a duplicated vertebral artery
has been reported, these rare anatomical variants probably predispose to
vertebral CAD, via local histological defects or significant hemodynamics
alterations, as reported for the classical local predisposing conditions for
vertebral dissection, i.e.: fibromuscular dysplasias or carotid redundancies
(including loops, kinks and coils).
PMID- 22093144
TI - Value of prostate-specific antigen (PSA) mass ratio in the detection of prostate
cancer in men with PSA levels of <=10 ng/mL.
AB - A group of researchers introduced a parameter named PSA mass ratio, which is
defined as total circulating PSA protein (PSA [ng/mL] x plasma volume [L]) per
prostate volume, as a prostate cancer screening tool. They suggested that PSA
mass ratio may be representative of a PSA value adjusted for potentially
influencing factors, such as haemodilution and prostate volume. By adjusting for
the combined effects of plasma volume and prostate volume, it can be hypothesized
that PSA mass ratio would enhance the predictive value of the PSA test. Although
PSA mass ratio appears to be an attractive alternative to serum PSA level, PSA
mass ratio may not significantly enhance the currently available method to
predict prostate cancer detection among men with PSA level of <=10 ng/mL who are
undergoing prostate biopsy. OBJECTIVE: To investigate the value of prostate
specific antigen (PSA) mass ratio, defined as total PSA in circulation per
prostate volume (PV), in the prediction of prostate cancer detection among men
with PSA levels of <=10 ng/mL who are undergoing prostate biopsy. PATIENTS AND
METHODS: We reviewed records of 2431 men aged 56-80 years who presented with PSA
levels of <=10 ng/mL and underwent multi (>=12)-core transrectal ultrasound
guided prostate biopsy at our institution. Predictive accuracies of relevant
variables and multivariate models in the prostate cancer detection via biopsy
were assessed with receiver operating characteristics-derived area under the
curve and compared. RESULTS: When compared one-on-one, PSA mass ratio had a
significantly higher accuracy than PSA in the prediction of prostate cancer among
our subjects (0.638 vs 0.565, P < 0.001). Meanwhile, when multivariable model for
the prediction of prostate cancer detection via prostate biopsy was devised
incorporating patient age, body mass index, digital rectal examination findings,
PV, number of biopsy cores, and PSA level, the replacement of PSA level with PSA
mass ratio (P = 0.102) or the addition of PSA mass ratio (P = 0.164) in the model
was not associated with significantly increased accuracy of model for predicting
prostate cancer detection via biopsy. CONCLUSION: Although PSA mass ratio appears
to be an attractive alternative to PSA level, PSA mass ratio may not
significantly enhance the currently available method to predict prostate cancer
detection among men with PSA levels of <=10 ng/mL who are undergoing prostate
biopsy.
PMID- 22093145
TI - Targeting metabolism with arsenic trioxide and dichloroacetate in breast cancer
cells.
AB - BACKGROUND: Cancer cells have a different metabolic profile compared to normal
cells. The Warburg effect (increased aerobic glycolysis) and glutaminolysis
(increased mitochondrial activity from glutamine catabolism) are well known
hallmarks of cancer and are accompanied by increased lactate production,
hyperpolarized mitochondrial membrane and increased production of reactive oxygen
species. METHODS: In this study we target the Warburg effect with dichloroacetate
(DCA) and the increased mitochondrial activity of glutaminolysis with arsenic
trioxide (ATO) in breast cancer cells, measuring cell proliferation, cell death
and mitochondrial characteristics. RESULTS: The combination of DCA and ATO was
more effective at inhibiting cell proliferation and inducing cell death than
either drug alone. We examined the effect of these treatments on mitochondrial
membrane potential, reactive oxygen species production and ATP levels and have
identified new molecular mechanisms within the mitochondria for both ATO and DCA:
ATO reduces mitochondrial function through the inhibition of cytochrome C oxidase
(complex IV of the electron transport chain) while DCA up-regulates ATP synthase
beta subunit expression. The potentiation of ATO cytotoxicity by DCA is
correlated with strong suppression of the expression of c-Myc and HIF-1alpha, and
decreased expression of the survival protein Bcl-2. CONCLUSION: This study is the
first to demonstrate that targeting two key metabolic hallmarks of cancer is an
effective anti-cancer strategy with therapeutic potential.
PMID- 22093146
TI - Does a DNA-less cellular organism exist on Earth?
AB - All the self-reproducing cellular organisms so far examined have DNA as the
genome. However, a DNA-less organism carrying an RNA genome is suggested by the
fact that many RNA viruses exist and the widespread view that an RNA world
existed before the present DNA world. Such a possibility is most plausible in the
microbial world where biological diversity is enormous and most organisms have
not been identified. We have developed experimental methodology to search DNA
less microorganisms, which is based on cultivation with drugs that inhibit
replication or expression of DNA, detection of DNA in colonies with a fluorescent
dye and double staining for DNA and RNA at a cellular level. These methods have
been applied for about 100 microbial samples from various waters including hot
springs, soils including deep sea sediments, and organisms. We found many
colonies and cells which apparently looked DNA-less and examined them further. So
far, all such colonies that reformed colonies on isolation were identified to be
DNA-positive. However, considering the difficulty in cultivation, we think it
possible for DNA-less microorganisms to live around us. We believe that our ideas
and results will be of interest and useful to discover one in the future.
PMID- 22093147
TI - Value of tracheal bifurcation angle measurement as a radiographic sign of left
atrial enlargement in dogs.
AB - An increased tracheal bifurcation angle on the dorsoventral projection is
described as a sign of left atrium enlargement in dogs, with a normal range of 60
90 degrees reported. However in people, this angle is a poor indicator of left
atrial size. Our purpose was to evaluate the value of the tracheal bifurcation
angle for differentiating normal from enlarged left atrium in dogs. Dorsoventral
radiographs and echocardiograms of 33 healthy and 73 dogs with confirmed
degenerative myxomatous mitral valve disease were evaluated. Left atrial size was
classified according to the echocardiographic left atrium to aorta ratio, as
normal, mildly, moderately, or severely enlarged. Independent samples t-tests
were used to compare the bifurcation angle between groups. A significant
difference was observed between the angle of dogs with normal left atrium (68.1
+/- 8.5 degrees, range: 51.3-92.4 degrees) and dogs with enlarged left atrium
(75.8 +/- 8.2 degrees, range: 57.3-91.7 degrees). A significant difference was
also noted between the angle of normal dogs and those with moderate (75.5 +/- 6.8
degrees, range: 62.8-88.7 degrees) and severe (80.4 +/- 7.7 degrees, range: 64.7
91.7 degrees) left atrial enlargement, as well as between dogs with mild (70.7 +/
7.2 degrees, range: 57.3-89.9 degrees) and severe enlargement. Using two
discriminators, 85.1 degrees and 76.6 degrees, the bifurcation angle had a
specificity of 92.6% and 88.9%, respectively, for identifying left atrial
enlargement, and a sensitivity of 15.4% and 40.4%. Although significant
differences were observed between dogs with normal and increased left atrial
size, the large degree of overlap in the range of bifurcation angles and its poor
sensitivity make the measurement of this angle of little value for diagnosing
left atrial enlargement.
PMID- 22093148
TI - Two decades of describing the unseen majority of aquatic microbial diversity.
AB - Aquatic environments harbour large and diverse microbial populations that ensure
their functioning and sustainability. In the current context of global change,
characterizing microbial diversity has become crucial, and new tools have been
developed to overcome the methodological challenges posed by working with
microbes in nature. The advent of Sanger sequencing and now next-generation
sequencing technologies has enabled the resolution of microbial communities to an
unprecedented degree of precision. However, to correctly interpret microbial
diversity and its patterns this revolution must also consider conceptual and
methodological matters. This review presents advances, gaps and caveats of these
recent approaches when considering microorganisms in aquatic ecosystems. We also
discuss potentials and limitations of the available methodologies, from water
sampling to sequence analysis, and suggest alternative ways to incorporate
results in a conceptual and methodological framework. Together, these methods
will allow us to gain an unprecedented understanding of microbial diversity in
aquatic ecosystems.
PMID- 22093149
TI - Successful treatment of Trichosporon fungemia in a patient with refractory acute
myeloid leukemia using voriconazole combined with liposomal amphotericin B.
AB - Trichosporon fungemia is a rare and fatal fungal infection that occurs in
patients with prolonged neutropenia associated with hematologic malignancies. A
21-year-old male developed Trichosporon fungemia during remission induction
therapy for acute myeloid leukemia (AML). Although two courses of induction
therapy failed to induce a remission of AML, combination therapy with
voriconazole and liposomal amphotericin B (L-AmB) followed by monocyte colony
stimulating factor ameliorated the Trichosporon fungemia and enabled the patient
to receive reduced-intensity bone marrow transplantation (BMT) from his human
leukocyte antigen-A one-locus mismatched mother. The patient achieved a durable
remission after BMT without exacerbation of Trichosporon fungemia. The
combination therapy with voriconazole and L-AmB may therefore be useful in
controlling Trichosporon fungemia associated with prolonged neutropenia after
remission induction therapy for AML.
PMID- 22093150
TI - The psychological impact of diagnostic food challenges to confirm the resolution
of peanut or tree nut allergy.
AB - BACKGROUND: Twenty percent of children outgrow peanut allergy and 10% outgrow
tree nut allergy. Resolution can be confirmed by a food challenge. Little is
known about the psychosocial impact of the challenge. We aimed to investigate
effects of a food challenge on anxiety, stress and quality of life (QoL) in
children and their mothers on the day of a food challenge to peanuts or nuts, and
in the months following the challenge. METHODS: One hundred and three families
participated. Forty children undergoing food challenges to access resolution of
allergy, and their mothers, completed validated questionnaires to measure generic
and food specific quality of life, stress and anxiety prior to challenge, on the
day of investigation and 3-6 months later. Sixty-three children with no clinical
indication to challenge (i.e. in the opinion of the allergist had persistent
allergy) acted as comparison group completing questionnaires 3-6 months apart.
RESULTS: Mothers reported raised anxiety on the day of challenge (P = 0.007), but
children were less anxious. The children (P = 0.01) and mothers (P = 0.01) had
improved food-related, but not general, QoL 3-6 months following challenge.
Children reported lower anxiety levels following the challenge (P = 0.02), but
anxiety remained unchanged in mothers. The improvements in maternal and
children's QoL and anxiety levels were irrespective of the challenge outcome and
despite co-existing food allergies in 50% of children. CONCLUSIONS: Mothers
experienced increased anxiety on the day of food challenge, unlike the children,
perhaps reflecting the differences in their perceived risks. Food challenges are
associated with improved food-related QoL in the following months even in those
with a positive challenge.
PMID- 22093151
TI - Testing children of mothers with HIV infection: experience in three south-west
London HIV clinics.
AB - OBJECTIVE: There are several reported cases of vertically infected children
presenting with advanced HIV infection in the UK. The children of women with HIV
infection are at increased risk of being infected. There are few data available
on the number of such children that are yet to be tested for HIV. This study
looked at the HIV testing status of children whose mothers attend HIV services at
three south-west London clinics. METHODS: Case notes of women attending the
clinics from 1 January to 30 June 2009 were reviewed. When data were incomplete,
women were prospectively interviewed. RESULTS: Case notes of 605 women were
reviewed; 478 women had 1107 children. The majority of women (386; 81%) were of
Black African ethnicity. Sixty-one per cent (675 of 1107) of the children were
known to have been tested for HIV. The children resident abroad were more likely
to be untested compared with those resident in the UK; 186 of 255 (73%) vs. 246
of 852 (29%). A quarter (106 of 432) of the untested children were <= 18 years
old; 49 (46%) of these were resident in the UK. The most common reason given by
the mothers for not testing was a perceived 'unlikely risk'. CONCLUSIONS: A
significant number of children at risk of vertically transmitted HIV infection,
including 49 children <= 18 years and resident in the UK, were identified through
this study. The mothers are being encouraged to have these children tested and a
multidisciplinary team involving adult and paediatric HIV healthcare
professionals has been set up to negotiate and facilitate testing.
PMID- 22093152
TI - Behavioral autonomy age expectations among Mexican-origin mother-daughter dyads:
an examination of within-group variability.
AB - This study examined differences in behavioral autonomy age expectations between
Mexican-origin mothers and their adolescent daughters (N = 319 dyads);
variability in behavioral autonomy age expectations as a function of nativity and
maternal educational attainment also was examined. Findings indicated significant
differences between mothers and daughters, such that mothers reported later
expectations for the timing of behavioral autonomy than did daughters. Follow-up
analyses indicated that findings appeared to be driven by maternal nativity, with
dyads comprised of Mexico-born mothers reporting the latest age expectations for
behavioral autonomy when compared with dyads comprised of U.S. born mothers.
Findings underscore the need to examine normative development among Latino
adolescents and their families with a specific focus on how sociocultural
characteristics can contribute to within-family differences.
PMID- 22093153
TI - Is liver-targeted FOXp3 staining beneficial after living-donor liver
transplantation?
AB - As treatments for acute cellular rejection (ACR) and recurrent hepatitis caused
by hepatitis C virus (HCV) are dramatically different, making a precise diagnosis
is considered to be essential in patients after liver transplantation. Therefore,
we investigated whether immunohistochemical detection of FOXp3, a marker for
regulatory T cells (CD4+ CD25+), could be used to differentiate between recurrent
hepatitis C and ACR. From a group of 103 cases of living-donor liver
transplantation (LDLT), 48 samples were taken via liver biopsy from 20 patients
with HCV infection. An initial diagnosis was made based on hematoxylin and eosin
staining, which was scored with the hepatitis activity index (HAI) grading,
whereas ARC was scored with the rejection activity index (RAI). The FOXp3
immunohistochemical staining on serial specimens was retrospectively analyzed,
scoring from 0 to III. The time after LDLT was a median of 270 (range: 14-2000)
days, whereas the median number of biopsies per patient was 3 (range: 1-8). The
HAI was significantly different between 0 vs. I, and II vs. III, in terms of the
FOXp3 score. On the other hand, a significant difference in the RAI was only
found between 0 vs. I. In conclusion, FOXp3 may represent a surrogate marker for
recurrent HCV infection after LDLT.
PMID- 22093154
TI - Exposure to enriched environments during adolescence prevents abnormal behaviours
associated with histone deacetylation in phencyclidine-treated mice.
AB - Enriched environments (EEs) during development have been shown to influence adult
behaviour. Environmental conditions during childhood may contribute to the onset
and/or pathology of schizophrenia; however, it remains unclear whether EE might
prevent the development of schizophrenia. Herein, we investigated the effects of
EE during adolescence on phencyclidine (PCP)-induced abnormal behaviour, a
proposed schizophrenic endophenotype. Male ICR mice (3 wk old) were exposed to an
EE for 4 wk and then treated with PCP for 2 wk. The EE potentiated the acute PCP
treatment-induced hyperlocomotion in the locomotor test and prevented chronic PCP
treatment-induced impairments of social behaviour and recognition memory in the
social interaction and novel object recognition tests. It also prevented the PCP
induced decrease of acetylated Lys9 in histone H3-positive cells and increase of
the histone deacetylase (HDAC)5 level in the prefrontal cortex. To investigate
whether the histone modification during adolescence might be critical for the
effect of EE, 3-wk-old mice were first treated with sodium butyrate (SB; an HDAC
inhibitor) for 4 wk and then treated with PCP for 2 wk. Chronic SB treatment
during adolescence mimicked the effects of EE, including potentiation of
hyperlocomotion induced by acute PCP treatment and prevention of social and
cognitive impairments, decrease of acetylated Lys9 in histone H3-positive cells
and increase of the HDAC5 level in the prefrontal cortex associated with chronic
PCP treatment. Our results suggest that EEs prevent PCP-induced abnormal
behaviour associated with histone deacetylation. EEs during childhood might prove
to be a novel strategy for prophylaxis against schizophrenia.
PMID- 22093156
TI - Laser-assisted lipolysis: a review.
AB - BACKGROUND: In the United States, liposuction is the most commonly performed
cosmetic surgical procedure. Laser lipolysis is the latest adjunct to
liposuction. This technique employs laser energy to induce lipolysis and
hemostasis and stimulate neocollagenesis. Multiple laser systems have been
studied. METHODS: PubMed literature search with the key words laser lipolysis and
laser assisted liposuction. Original articles that studied the internal
application of laser energy to adipose tissue were reviewed and included.
RESULTS: Nineteen manuscripts were reviewed. One double-blind randomized
controlled trial compared laser liposuction with tumescent liposuction. Several
authors claimed that laser lipolysis offers fewer side effects than "traditional"
liposuction performed under general anesthesia. A true objective comparison with
tumescent liposuction is missing in the literature. LIMITATIONS: Comparison
studies between laser-assisted lipolysis and conventional liposuction are
limited, as are comparisons between the different laser systems and wavelengths.
Standardization of laser energy settings is lacking. CONCLUSION: Laser-assisted
lipolysis is a safe and efficacious procedure that may possess advantages over
conventional liposuction. Lipolysis occurs in a dose-response relationship. No
advantage over tumescent liposuction has been demonstrated. A specific laser
wavelength may prove superior for each clinical application, but more studies are
needed.
PMID- 22093155
TI - Factors associated with smoking abstinence among smokers and recent-quitters with
lung and head and neck cancer.
AB - INTRODUCTION: Smoking cessation among cancer patients is critical for improving
outcomes. Understanding factors associated with smoking abstinence after the
diagnosis of cancer can provide direction to develop and test interventions to
enhance cessation rates. The purpose of this study was to identify determinants
of smoking outcomes among cancer patients. METHODS: Standardized questionnaires
were used to collect data from 163 smokers or recent-quitters (quit<=6 months) at
study entry of which 132 and 121 had data collected at 3 and 6 months.
Biochemical verification was conducted with urinary cotinine and carbon monoxide.
Descriptive statistics, Cronbach alpha coefficients, Pearson correlations,
Fisher's exact test, and multivariable logistic regression were used for
analyses. RESULTS: Seven-day-point-prevalence-abstinence (PPA) rates were 90/132
(68%) at 3 months; 46/71 (65%) among lung and 44/61 (72%) among head and neck
cancer patients, whereas 7-day-PPA rates were 74/121 (61%) at 6 months; 31/58
(53%) among lung and 43/63 (68%) among head and neck cancer patients. Continuous
abstinence rates were 63/89 (71%) at 3 months; 32/45 (71%) among lung and 31/44
(70%) among head and neck cancer patients, whereas continuous abstinence rates
were 46/89 (52%) at 6 months; 18/45 (40%) among lung and 28/44 (64%) among head
and neck cancer patients. Lower cancer-related, psychological and nicotine
withdrawal symptoms were associated with increased 7-D-PPA abstinence rates at 3
and 6 months in univariate models. In multivariable models, however, decreased
craving was significantly related with 7-day-PPA at 3 months and decreased
craving and increased self-efficacy were associated with 7-D-PPA at 6 months.
Decreased craving was the only factor associated with continuous abstinence at 6
months. CONCLUSIONS: Smoking outcomes among lung and head and neck cancer
patients appear to have remained the same over the last two decades despite the
availability of an increased number of pharmacotherapy options to treat tobacco
dependence. Decreased craving and increased self-efficacy were the most
consistent factors associated with improved smoking outcomes but symptom control
may also play a role in optimal management. Use of combined, and/or higher doses
of pharmacotherapy along with behavioral interventions that increase self
efficacy and manage symptoms may promote enhanced cessation rates.
PMID- 22093157
TI - Do lean practices lead to more time at the bedside?
AB - The aim of this review is to evaluate the application of value-added processes in
healthcare, with an emphasis on their effects on bedside nursing. Literature
relevant to Lean methodology and inpatient care was reviewed, excluding all
research related to other service lines (i.e., surgical services, emergency
services, laboratory, radiology, etc.). Increased value is also an important
tenet of transforming care at the bedside (TCAB), an initiative launched by the
Institute for Healthcare Improvement (IHI) and the Robert Wood Johnson Foundation
(RWJF). Therefore, articles concerning TCAB were also included in this review. A
systematic study of the literature revealed varied applications of Lean
principles in practice, ranging from the implementation of a single tool, to full
organizational restructuring. All articles reviewed reported positive results,
although the majority lacked strong supporting evidence for claims of
improvement. Even though there is some indication that the application of Lean
principles to nursing processes is successful in improving specific outcomes, the
authors cannot conclude that the implementation of Lean methodology or TCAB
greatly influences direct patient care, or increases time spent at the bedside.
PMID- 22093158
TI - Clinical trial for safety evaluation of hyaluronidase as diffusion enhancing
adjuvant for infiltration analgesia of skin with lidocaine.
AB - BACKGROUND: Infiltration anesthesia of the skin is an analgesic procedure often
practiced before minor surgical interventions or punctures. The addition of
hyaluronidase is a possible option to improve the effectiveness of the local
anesthetic with respect to expansion of effect. OBJECTIVE: To validate the safety
of intracutaneous application of hyaluronidase as a lidocaine adjuvant. MATERIALS
AND METHODS: The influence of adjuvant hyaluronidase on wound healing was
investigated using the suction blister method in a prospective, single-center,
placebo-controlled, double-blind, intraindividual comparison study with 20
participants. The target parameters were defined as the time of normalization of
transepidermal water loss, hemovascular perfusion, and complete macroscopic
epithelization of the wound. RESULTS: No evidence was found that adjuvant
application of hyaluronidase retards wound healing. CONCLUSION: The addition of
hyaluronidase to lidocaine in intracutaneous infiltration analgesia does not lead
to retardation of wound healing, and no additional relevant risks were observed.
PMID- 22093159
TI - Loss of sensory and noradrenergic innervation in benign colorectal adenomatous
polyps--a putative role of semaphorins 3F and 3A.
AB - BACKGROUND: Nerve fibers can exert trophic/anti-trophic effects on epithelial
cells. Substance P (SP) is a pro-proliferative neuropeptide, whereas sympathetic
noradrenaline is anti-proliferative at high concentrations. METHODS: Density of
noradrenergic and sensory nerve fibers and presence of nerve repellent factors
specific for noradrenergic (semaphorin 3F) and sensory nerve fibers (semaphorin
3A) were investigated in colorectal adenomas. KEY RESULTS: The pedunculus was
innervated by noradrenergic fibers, whereas the mucosa was sparsely innervated.
The control submucosa compared with control mucosa demonstrated increased density
of noradrenergic fibers. Control tissue was much better innervated than the
polyp. This was accompanied by strong expression of semaphorin 3F in epithelial
cells. Density of sensory SP+ nerve fibers was higher in control colon mucosa
compared with polyp mucosa, and SP+ cell clusters and semaphorin 3A-positive
cells appeared in the intercrypt space in polyps, but not in control tissue.
CONCLUSIONS & INFERENCES: This study demonstrated a marked loss of noradrenergic
and sensory nerve fibers in polyp mucosa, which was associated with a strong
increase of semaphorin 3F and 3A. Up-regulation of the sympathetic repellent
semaphorin 3F in the polyps possibly triggers sympathetic repulsion and polyp
growth due to the loss of anti-proliferative noradrenaline and presence of SP
from local SP+ cells.
PMID- 22093160
TI - The burden of varicella from a parent's perspective and its societal impact in
The Netherlands: an Internet survey.
AB - BACKGROUND: Varicella is a common childhood disease. Only 5% of first varicella
zoster-virus infections occur asymptomatically. Most data on the burden of
varicella stem from health service databases. This study aims to provide insight
in the burden of varicella from a parent's perspective including cases outside
the healthcare system. METHODS: An internet questionnaire was developed for
parents in the Netherlands to report health care resource use and productivity
losses during the varicella episode in their child younger than 6 years. 11,367
invitations were sent out to members with children of an internet panel of a
market research agency. 4,168 (37%) parents started the questionnaire (response
rate), of which 360 (9%) stopped before completion and 1,838 (44%) were out of
the target group. In total 1,970 parents completed the questionnaire. The
questionnaire provided a symptom list ranging from common symptoms, such as skin
vesicles, itching to fits or convulsions. A posteriori, in the analyses, the
symptoms 'skin infections', 'fits/convulsions', 'unconsciousness', and 'balance
and movement disorders' were labelled as complications. There was no restriction
to time since the varicella episode for inclusion in the analyses. RESULTS: The
1,970 respondents had in total 2,899 children aged younger than six years, of
which 2,564 (88%) children had had varicella. In 62% of the episodes the parent
did not seek medical help. In 18% of all episodes symptoms labelled as
complications were reported; in 11% of all episodes parents visited a medical
doctor (MD) for a complication. Reporting of complications did not differ (X2 ; p
= 0.964) between children with a recent (<= 12 months ago) or a more distant (>
12 months) history of varicella. Prescription drugs were used in 12% of the
children with varicella; OTC drugs in 72%. Parents reported work loss in 17% of
the varicella-episodes (23% when MD visit; 14% when no MD-visit) for on average
14 hours, which equals to 2.5 hours of work loss for any given varicella-episode.
CONCLUSIONS: This study shows the full spectrum of varicella-episodes and
associated healthcare use, including the large proportion of cases not seeking
medical care and the societal impact associated with those cases.
PMID- 22093161
TI - Dermoscopic features of basal cell carcinomas: differences in appearance under
non-polarized and polarized light.
AB - BACKGROUND: Basal cell carcinomas (BCCs) can be diagnosed using different
dermoscopic modalities. OBJECTIVE: To evaluate dermoscopic features of BCCs using
nonpolarized and polarized dermoscopy to highlight similarities and differences
between dermoscopic modalities. MATERIALS AND METHODS: Retrospective study of 149
BCCs under nonpolarized dermoscopy (NPD), polarized contact dermoscopy (PCD), and
polarized noncontact dermoscopy (PNCD). Images were evaluated for a range of
dermoscopic colors, structures, and vessels. Features were compared according to
histopathologic subtype. RESULTS: The most common dermoscopic structures in BCCs
across all modalities included globules (50.3-51.0%), dots (49.7-50.3%), white
structureless areas (63.1-74.5%), structureless gray-brown areas (24.2-24.8%),
and ulcerations (28.2%). The most frequently observed vasculature included
arborizing vessels (18.8-38.3%), short fine telangiectasias (SFTs) (73.8-82.6%),
and vascular blush (41.6-83.2%). Structures with higher levels of agreement
across modalities included pigmented structures and ulcerations. Lower levels of
agreement existed between contact and noncontact modalities for certain vascular
features. White shiny structures, which include shiny white lines (chrysalis and
crystalline structures) (0-69.1%), shiny white areas (0-25.5%), and rosettes (0
11.4%), exhibited no agreement between NPD and polarized modalities. CONCLUSIONS:
This study highlights differences in dermoscopic features of BCCs under three
dermoscopic modalities. Shiny white lines (chrysalis and crystalline structures)
and shiny white areas may be used as additional criteria to diagnose BCCs.
PMID- 22093162
TI - Prostate cancers detected by saturation repeat biopsy impairs the Partin tables'
accuracy to predict final pathological stage.
AB - OBJECTIVE: * To analyse the overall accuracy of Partin tables, with special
emphasis to potential limitations resulting from differences between prostate
cancers detected by different biopsy schedules. PATIENTS AND METHODS: * Clinical
characteristics from 599 patients treated with radical prostatectomy defined the
2007 Partin probabilities of organ confinement (OC), seminal vesicle invasion
(SVI) and extracapsular extension (ECE). Prostate cancers were detected by
initial biopsy (IBx) with <=12 cores in 405 patients (67.6%), by conventional
repeat biopsy (CRBx) with <=12 cores in 99 (16.5%) and by saturation repeat
biopsy (SRBx) with >=20 cores in 95 patients (15.9%). * The area under the curve
(AUC) estimated by the receiver operating characteristic curve, assessed the
predictive accuracy of the 2007 Partin tables. RESULTS: * The Partin tables AUC
of the IBx, CRBx and the SRBx groups were 0.730 vs 0.701 vs 0.585 for OC, 0.631
vs 0.689 vs 0.547 for ECE, and 0.775 vs 0.755 vs 0.641 for SVI, respectively.
CONCLUSIONS: * The overall accuracy of the 2007 Partin tables was clearly
inferior in patients with prostate cancers detected by SRBx. * Prostate cancers
detected by SRBx undermine the Partin tables' overall accuracy, and this group of
patients may be miscounselled by vague predictions.
PMID- 22093163
TI - Contrast stress echocardiography in hypertensive heart disease.
AB - Hypertension is associated with atherosclerosis and cardiac and vascular
structural and functional changes. Myocardial ischemia may arise in hypertension
independent of coronary artery disease through an interaction between several
pathophysiological mechanisms, including left ventricular hypertrophy, increased
arterial stiffness and reduced coronary flow reserve associated with
microvascular disease and endothelial dysfunction. The present case report
demonstrates how contrast stress echocardiography can be used to diagnose
myocardial ischemia in a hypertensive patient with angina pectoris but without
significant obstructive coronary artery disease. The myocardial ischemia was due
to severe resistant hypertension complicated with concentric left ventricular
hypertrophy and increased arterial stiffness.
PMID- 22093164
TI - Performance of the digene LQ, RH and PS HPVs genotyping systems on clinical
samples and comparison with HC2 and PCR-based Linear Array.
AB - BACKGROUND: Certain Human Papillomaviruses (HPVs) are the infectious agents
involved in cervical cancer development. Detection of HPVs DNA is part of the
cervical cancer screening protocols and HPVs genotyping has been proposed for its
inclusion in these preventive programs. The aim of this study was to evaluate
three novel genotyping tests, namely Qiagen LQ, RH and PS, in clinical samples
with and without abnormalities. For this, 305 cervical samples were processed and
the results of the evaluated techniques were compared with those obtained in the
HPVs diagnostic process in our lab, by using HC2 and Linear Array (LA)
technologies. RESULTS: The concordances and kappa statistics (k) for each
technique compared with HC2 were 98.69% (k = 0.94) for LQ, 98.03% (k = 0.91) for
RH and 91.80% (k = 0.82) for PS. There was a very good agreement in HPVs type
specific concordance for the most prevalent types HPV16 (kappa range = 0.83
0.90), HPV18 (k.r.= 0.74-0.80) and HPV45 (k.r.= 0.82-0.90). CONCLUSIONS: The
three tests showed an overall good concordance for HPVs detection when compared
with HR-HC2 system. LQ and RH rendered lower detection rate for multiple
infections than LA genotyping. However, our understanding of the clinical
significance of multiple HPVs infections is still incomplete and therefore the
relevance of the lower ability to detect multiple infections needs to be
evaluated.
PMID- 22093165
TI - Microbial ureteral stent colonization in renal transplant recipients: frequency
and influence on the short-time functional outcome.
AB - Ureteral stent insertion at the time of renal transplantation significantly
decreases complications of urine leakage and obstruction, but bears an intrinsic
risk of microbial colonization. Associated urinary tract infection (UTI) may pose
a significant risk for graft infection and subsequent graft failure, in
particular, during high-level immunosuppression in the early phase after
transplantation. The aims of this prospective study were (i) to assess the
frequency of microbial ureteral stent colonization (MUSC) in renal transplant
recipients by sonication, (ii) to compare the diagnostic value of sonication with
that of conventional urine culture (CUC), (iii) to determine biofilm forming
organisms, and (iv) to investigate the influence of MUSC on the short-time
functional outcome. A total of 80 ureteral stents from 78 renal transplant
recipients (deceased donors n = 50, living donors n = 28) were prospectively
included in the study. CUC was obtained prior to renal transplantation and at
ureteral stent removal. In addition, a new stent sonication technique was
performed to dislodge adherent microorganisms. CUCs were positive in 4% of
patients. Sonicate-fluid culture significantly increased the yield of microbial
growth to 27% (P < 0.001). Most commonly isolated microorganisms by sonication
were Enterococcus species (31%), coagulase-negative staphylococci (19%), and
Lactobacillus species (19%), microorganisms not commonly observed in UTIs after
renal transplantation. The median glomerular filtraton rate (GFR) of the study
population increases from 39 mL/min immediately after transplantation (time point
A) to 50 mL/min 6 month post transplantation (time point B). In patients without
MUSC, the GFR improves from 39 mL/min (A) to 48 mL/min (B) and in patients with
MUSC from 39 mL/min (A) to 50 mL/min (B), respectively. In summary, MUSC in renal
transplant recipients is common and remains frequently undetected by routine CUC,
but colonization had no measurable effect on renal function.
PMID- 22093166
TI - Biochemical and genetical analyses of the three mcm genes from the
hyperthermophilic archaeon, Thermococcus kodakarensis.
AB - In eukaryotes, the replicative DNA helicase 'core' is the minichromosome
maintenance (Mcm) complex (MCM), forming a heterohexameric complex consisting of
six subunits (Mcm2-7). Recent studies showed that the CMG (Cdc45-MCM-GINS)
complex is the actual helicase body in the replication fork progression complex.
In Archaea, Thermococcus kodakarensis harbors three genes encoding the Mcm
homologs on its genome, contrary to most archaea, which have only one homolog. It
is thus, of high interest, whether and how these three Mcms share their functions
in DNA metabolism in this hyperthermophile. Here, we report the biochemical
properties of two of these proteins, TkoMcm1 and TkoMcm3. In addition, their
physical and functional interactions with GINS, possibly an essential factor for
the initiation and elongation process of DNA replication, are presented through
in vitro ATPase and helicase assays, and an in vivo immunoprecipitation assay.
Gene disruption and product quantification analyses suggested that TkoMcm3 is
essential for cell growth and plays a key role as the main DNA helicase in DNA
replication, whereas TkoMcm1 also shares some function in the cells.
PMID- 22093167
TI - Fatty acid binding protein 4 is expressed in distinct endothelial and non
endothelial cell populations in glioblastoma.
AB - AIMS: Glioblastoma (GBM) is the most common and aggressive primary brain tumour
in adults. Angiogenesis and vasculogenesis play key roles in progression of GBMs.
Fatty acid binding protein 4 (FABP4) is an intracellular chaperone for free fatty
acids. FABP4 is detected in microvascular endothelial cells (ECs) in several
normal tissues and promotes proliferation of ECs. The goal of this study was to
characterize the tissue distribution pattern of FABP4 in GBMs. METHODS:
Immunohistochemistry for FABP4 was performed on paraffin-embedded tumour sections
and the intensity and distribution of FABP4 immunoreactivity were analysed.
Double immunofluorescence was employed for detailed characterization of FABP4
positive cells. RESULTS: FABP4 immunoreactivity was absent in normal brain tissue
sections. FABP4-positive cells were detected in 33%, 43%, 64% and 89% of Grade I,
Grade II, Grade III and Grade IV glial tumours, respectively. Thus, the
percentage of FABP4-positive cells in GBMs was significantly higher than lower
grade gliomas. In general, FABP4-expressing cells were distributed in a non
homogenous pattern, as 'hot spots' in glial tumours. FABP4 expression was
detected in a subset of vascular ECs as well as some non-ECs. CONCLUSION: FABP4
is expressed in a significantly higher percentage of GBMs in comparison to both
normal brain tissues and lower-grade glial tumours. FABP4 is expressed in some
tumour ECs as well as non-ECs in glial tumours. As FABP4 promotes proliferation
of ECs, detection of FABP4 in GBM-ECs, but not normal brain ECs suggests that
FABP4 may play a role in the robust angiogenesis associated with GBMs.
PMID- 22093168
TI - MRI features of CNS lymphoma in dogs and cats.
AB - The magnetic resonance (MR) imaging features of central nervous system lymphoma
in eight dogs and four cats are described. Intracranial lesions affected the
rostrotentorial structures in six dogs and caudotentorial structures in two cats.
Lesions affected the spinal cord in two dogs and in two cats. One dog and one cat
with intracranial lymphoma had signs of local extracranial extension and
lymphadenopathy. Lesions were considered extraparenchymal in four dogs and three
cats, intraparenchymal in two dogs and one cat, and appeared to have both intra-
and extraparenchymal components in two dogs. All lesions were hyperintense in T2
weighted images when compared to white matter, most were hypointense in T1
weighted images (7/12), and most were hyperintense in fluid-attenuated inversion
recovery (FLAIR) images (5/9). When compared to grey matter, these lesions appear
either isointense (5/12) or hyperintense (7/12) on T2-weighted images, half of
them were hypointense in T1-weighted images (6/12), and most were isointense in
FLAIR images (7/9). Lesion margins were usually indistinct in T2-weighted images
(10/12) and had perilesional hyperintensity in FLAIR images (7/9). The majority
of lesions (10/12) had abnormal meninges around the lesion and half (6/12) had
generalized contrast enhancement. Mass effect was evident in all lesions.
Although not specific, when combined with the history and neurologic signs, MR
features aid presumptive diagnosis that should be confirmed by cytology or
histopathology.
PMID- 22093169
TI - Haloperidol conditioned catalepsy in rats: a possible role for D1-like receptors.
AB - Decreases in brain dopamine (DA) lead to catalepsy, quantified by the time a rat
remains with its forepaws resting on a suspended horizontal bar. Low doses of the
DA D2 receptor-preferring antagonist haloperidol repeatedly injected in a
particular environment lead to gradual day-to-day increases in catalepsy
(catalepsy sensitization) and subsequent testing following an injection of saline
reveal conditioned catalepsy. We tested the hypothesis that D1-like and D2
receptors play different roles in catalepsy sensitization and in acquisition and
expression of conditioned catalepsy. Rats were repeatedly treated with the DA D1
like receptor antagonist SCH 23990 (0.05, 0.1 and 0.25 mg/kg i.p.), the D2
receptor-preferring antagonist haloperidol (0.1, 0.25 and 0.5 mg/kg i.p.) or a
combination of the two drugs and tested for catalepsy each day in the same
environment. Following 10 drug treatment days, rats were injected with saline and
tested for conditioned catalepsy in the previously drug-paired environment.
Haloperidol did not elicit cataleptic responses in the initial session; however,
rats developed sensitization with repeated testing. Significant catalepsy
sensitization was not observed in rats repeatedly tested with SCH 23390. When
rats were injected and tested with saline following haloperidol sensitization
they exhibited conditioned catalepsy in the test environment; conditioned
catalepsy was not seen following SCH 23390. Rats treated with 0.05 mg/kg SCH
23390+0.25 mg/kg haloperidol showed catalepsy sensitization but failed to show
conditioned catalepsy. Conversely, SCH 23390 (0.05 mg/kg) given on the test day
after sensitization to haloperidol (0.25 mg/kg) failed to block conditioned
catalepsy. Repeated antagonism of D2 receptors leads to catalepsy sensitization
with repeated testing in a specific environment. Conditioned catalepsy requires
intact D1-like receptor function during sensitization sessions but not during
test sessions. In conclusion, repeated antagonism of D2, but not D1-like
receptors leads to catalepsy sensitization with repeated testing in a specific
environment. Conditioned catalepsy requires functional D1-like receptors during
sensitization sessions but not during test sessions.
PMID- 22093170
TI - Interview with a quality leader: Dr. Verna Gibbs on surgical safety. Interview by
Susan V. White.
AB - Dr. Verna Gibbs describes the "NoThing Left Behind" Program designed to eliminate
retained devices from surgical procedures. She explains the genesis of the
program along with adjuncts to counting including computer-assisted sponge count,
radiofrequency detection system, radiofrequency identification system, and the
Sponge ACCOUNTing system. She provides comments on the use of these adjuncts with
responses on their effectiveness on improving safety.
PMID- 22093172
TI - Pneumocystis jirovecii pneumonia in kidney transplantation.
AB - Pneumocystis jirovecii pneumonia (PCP) remains an important cause of morbidity
and mortality in immunocompromised renal transplant recipients. In recent years,
PCP outbreaks in renal transplant centers have been reported in many countries.
Person-to-person transmission between PCP patients and other recipients lacking
prophylaxis is one of the possible sources of infection. To prevent infection,
effective prophylaxis in susceptible patients is recommended. Trimethoprim
sulfamethoxazole (TMP-SMX) is the most effective drug for PCP prophylaxis, but
its recommended duration of use after transplantation varies among the different
guidelines. The European Renal Association recommends a prophylaxis period of 4
months after transplantation, the American Society of Transplantation (AST) 6-12
months, and the Kidney Disease Improving Global Outcomes guidelines 3-6 months.
Lifelong prophylaxis with TMP-SMX is not recommended in renal transplant
recipients; however, in many cases, PCP has occurred after the recommended
prophylaxis periods after transplantation. In this minireview, we discuss the
risk factors including environmental-nosocomial exposure; state-of-the-art
diagnosis, treatment, prophylaxis and isolation; and references to the AST 2009
guidelines with the aim of integrating our experience with PCP outbreaks into
recent reports, and we discuss how renal transplant recipients can be protected
from PCP.
PMID- 22093171
TI - Late presentation for HIV diagnosis and care in Germany.
AB - OBJECTIVES: Antiretroviral therapy reduces mortality and morbidity in HIV
infected individuals most markedly when initiated early, before advanced
immunodeficiency has developed. Late presentation for diagnosis and care remains
a significant challenge. To guide public health interventions effectively it is
crucial to describe the factors associated with late presentation. METHODS: Case
surveillance data for all individuals newly diagnosed with HIV infection in
Germany in the years 2001-2010 and data for the years 1999-2010 from the German
Clinical Surveillance of HIV Disease (ClinSurv) cohort study, a large multicentre
observational study, were analysed. Factors associated with late presentation
(CD4 count < 350 cells/MUL or clinical AIDS) were assessed using descriptive
statistics and multivariable logistic regression methods. RESULTS: Among 22 925
eligible patients in the national surveillance database, 49.5% were late
presenters for HIV diagnosis. Among 6897 treatment-naive patients in the ClinSurv
cohort, 58.1% were late presenters for care. Late presenters for care were older
(median 42 vs. 39 years for early presenters), more often heterosexuals from low
prevalence countries (18.1% vs. 15.5%, respectively) and more often migrants
(18.2% vs. 9.7%, respectively; all P < 0.005). The probability of late
presentation was >65% throughout the observation period in migrants. The
probability of late presentation for care clearly decreased in men who have sex
with men (MSM) from 60% in 1999 to 45% in 2010. CONCLUSIONS: In Germany, the
numbers of late presenters for HIV diagnosis and care remain high. The
probability of late presentation for HIV diagnosis seems to be particularly high
for migrants. These results argue in favour of targeted test promotion rather
than opt-out screening. Late presentation for care seems to be an additional
problem after HIV diagnosis.
PMID- 22093173
TI - White gel pen easily marks a port-wine stain margin during pulsed dye laser
therapy.
PMID- 22093174
TI - Effects of SLC10A2 variant rs9514089 on gallstone risk and serum cholesterol
levels- meta-analysis of three independent cohorts.
AB - BACKGROUND: Recently, a single nucleotide polymorphism (SNP) rs9514089 in SLC10A2
(apical sodium-dependent bile acid transporter gene) has been identified as a
susceptibility variant for cholelithiasis in humans. METHODS: Here we assessed
the effects of rs9514089 on gallstone risk and related phenotypes of the
metabolic syndrome in the self-contained population of Sorbs (183 cases with
gallstones/826 controls). Furthermore, we performed a meta-analysis for effects
of rs9514089 on susceptibility for cholelithiasis in three independent cohorts
(Stuttgart: 56 cases/71 controls, Aachen: 184 cases/184 controls and Sorbs).
RESULTS: There was no significant association of rs9514089 with gallstone risk,
serum lipid parameters and BMI in the Sorbs and in the meta-analysis of all three
cohorts (p > 0.05). There was an effect trend in the subgroup of lean subjects
but based on different effect directions in the three cohorts there was no
significant association in the meta-analysis. CONCLUSIONS: We were not able to
replicate the effect of rs9514089 on gallstone risk in the Sorbs. Further
analyses in larger cohorts are required to finally assess the role of genetic
variants in SLC10A2 in human gallstone development and lipid metabolism.
PMID- 22093175
TI - The contribution of protein kinase C and CPI-17 signaling pathways to
hypercontractility in murine experimental colitis.
AB - BACKGROUND: Colonic smooth muscle contractility is altered in colitis, and
several protein kinase pathways can mediate colonic smooth muscle contraction. In
the present study, we investigated whether protein kinase C (PKC) pathways also
play a role in colonic hypercontractility observed during T(H) 2 colitis in
BALB/c mice. METHODS: Colitis was induced in BALB/c mice by provision of 5%
dextran sodium sulfate (DSS) for 7 days. Changes in smooth muscle contractility
were examined using dissected circular smooth muscle preparations from the distal
colon. The contribution of conventional and novel PKC isozymes to the
hypercontractile response was examined with pharmacological PKC inhibitors.
Western blot analyses were used to examine protein expression and phosphorylation
changes. KEY RESULTS: Colonic smooth muscle was associated with inflammation
induced hypercontractility and altered PKC expression. Carbachol-induced peak
(phasic) and sustained (tonic) contractions were increased. Chelerythrine was the
most effective PKC inhibitor of both phasic and tonic contractions. There was no
general difference in the percent contribution of conventional and novel PKC
isozymes toward the DSS-induced hypercontractility, but inhibition of sustained
force with GF109203x was higher for inflamed muscle. The CPI-17 phosphorylation
was equally suppressed in both normal and DSS conditions by Go6976 and
chelerythrine, but only for the phasic component of contraction. CONCLUSIONS &
INFERENCES: The outcomes suggest that both conventional and novel PKC isozymes
contribute to the phasic and tonic contractile components of BALB/c colonic
circular smooth muscle under normal conditions, with novel PKC isozymes having a
greater contribution to the tonic contraction. However, no effect of inflammation
was observed on the relative contribution of PKC and CPI-17 toward the observed
hypercontractility.
PMID- 22093176
TI - Low-fluence Q-switched 1,064-nm neodymium-doped yttrium aluminum garnet laser for
the treatment of facial partial unilateral lentiginosis in Koreans.
AB - BACKGROUND: Established pigment lasers have been used in partial unilateral
lentiginosis (PUL) have shown unsatisfactory results. OBJECTIVE: To determine the
effectiveness and safety of low-fluence 1,064-nm Q-switched neodymium-doped
yttrium aluminum garnet (QS Nd:YAG) laser treatment of PUL in Koreans. MATERIALS
AND METHODS: Ten Korean patients with facial PUL were treated with 1,064-nm QS
Nd:YAG laser, 7-mm spot size, 1.5- to 2.5-J/cm(2) fluence at 2-week intervals.
Standard digital photographs were taken under the same condition at baseline and
at each follow-up visit. Independent dermatologists evaluated the photographs.
All patients completed a questionnaire to assess their subjective satisfaction
with the laser treatment using a 5-point grading system. Degree of pain was
assessed using a visual analog scale (0-10). Any complications and side effects
were recorded at each visit. Patients were followed up every 4 weeks for 12 weeks
after the last laser treatment. RESULTS: Five of 10 patients (50%) had achieved
excellent improvement (76-100%) at the end of treatment, and the remaining 50%
had good improvement (51-75%). In patient self-evaluation of the degree of
improvement of PUL, nine (90%) assessed it as very much to much improved (>50%
improvement), and 1 (10%) assessed it as moderate (50-75%). Subjects rated the
pain associated with laser treatment at a mean score of 3.3 (range: 1-5) on a
scale of 1 to 10. Mottled hypopigmentation developed in two patients. At follow
up, 12 weeks after the last laser session, all of the patients had partial
recurrence, which wqw resolved with one to two sessions of laser treatment.
CONCLUSION: Low-fluence 1,064-nm QS Nd:YAG laser treatment for facial PUL in
Koreans showed improvement with no significant side effects. We recommend the low
fluence 1,064-nm QS Nd:YAG laser as a treatment option for facial PUL.
PMID- 22093177
TI - New classification for men with lower urinary tract symptoms: cluster analysis
using the International Prostate Symptom Score.
AB - SUBJECTS AND METHODS: * Secondary analysis of anonymous data from 10,434 patients
enrolled in a postmarketing surveillance study of tamsulosin in Japan was
performed. Data were prospectively collected through the central register from
men diagnosed with LUTS in 1100 medical institutions between October 2004 and
March 2005. * Those who had received an alpha1-adrenoceptor antagonist within 1
week before the initial visit were excluded. * The survey items were
International Prostate Symptom Score (IPSS), quality of life (QOL) score, and
patient characteristics including age, bodyweight, body mass index, and LUTS
related comorbid conditions. * Data on IPSS items were used in a hierarchical
cluster analysis (Ward's method). RESULTS: * Of 10,434 men with LUTS, 9910 were
included in the analysis after 5% data trimming (524 patients). Five symptom
clusters were identified and the symptom types of each cluster were examined. *
The largest cluster (27%) consisted of patients with multiple severe symptoms,
i.e. complaining of six or more symptoms with a mean score >=2.8. In contrast,
the second smallest cluster (13%) consisted of patients with minimal symptoms,
i.e. complaining of essentially one or two symptom with a mean score <=2.1. * The
other three clusters were labelled based on their dominant symptoms. The clusters
were weak stream (27%), storage symptoms (21%) and voiding symptoms (12%). * The
storage symptoms group was older, and had more comorbidities. * The distribution
of the QOL score was different among the clusters, and the percentage of patients
who were very dissatisfied was highest in the multiple severe symptoms group and
lowest in the minimal symptoms group. CONCLUSIONS: * Cluster analysis using the
IPSS showed that men with LUTS can be classified into five characteristic symptom
groups. * A new approach to symptom-based classification may be useful to
elucidate the pathology of male LUTS and individualize the therapeutic strategy
for affected patients, but further studies are needed.
PMID- 22093178
TI - Determining the safety of office-based surgery: what 10 years of Florida data and
6 years of Alabama data reveal.
AB - BACKGROUND: This is a continued examination of 10 years of prospectively
collected Florida in-office adverse event data and new comparable data from
mandatory Alabama in-office adverse event data reporting. OBJECTIVE: To determine
which office surgical procedures have resulted in reported complications.
METHODS: This study is a compilation of mandatory reporting of office surgical
complications by Florida and Alabama physicians to a central agency. Reports
resulting in death or a hospital transfer were further investigated over the
telephone or on-line to determine the reporting physician's board certification
status, hospital privilege status, and office accreditation status. RESULTS: In
10 years in Florida, there were 46 deaths and 263 procedure-related complications
and hospital transfers; 56.5% (26/46) of deaths and 49.8% (131/263) of hospital
transfers were associated with non-medically necessary (cosmetic) procedures. The
majority of deaths (67%) and hospital transfers (74%) related to non-medically
necessary (cosmetic) procedures were from procedures performed on patients under
general anesthesia. Liposuction and liposuction with abdominoplasty or other
cosmetic procedure resulted in 10 deaths and 34 hospital transfers. Thirty-eight
percent of offices reporting adverse events were accredited by an independent
accrediting agency, 93% of physicians were board certified, and 98% of physicians
had hospital privileges. The most common specialty of physicians reporting
adverse events was plastic surgery (45% of all reported complications).
Dermatologists reported four total complications (no deaths) and accounted for
1.3% of all complications over the 10-year period. In 6 years in Alabama, there
were three deaths and 49 procedure-related complications and hospital transfers;
42% (22/52) of hospital transfers and no deaths were associated with non
medically necessary (cosmetic) procedures. The majority of hospital transfers
related to cosmetic procedures (86%) were from procedures performed on patients
under general anesthesia. Liposuction accounted for no deaths and two hospital
transfers. Seventy-one percent of offices reporting adverse events were
accredited by an independent accrediting agency, and 100% of physicians were
board-certified. Plastic surgery was the most common specialty represented in
adverse event reporting (42.3% of all reported complications). Dermatologists
reported one complication (no deaths) and accounted for 1.9% of all complications
over the 6-year period. CONCLUSIONS: Continued analysis reveals that medically
necessary office surgery does not represent an emergent hazard to patients. The
data obtained from 10 and 6 years of adverse event reporting in Florida and
Alabama, respectively, are comparable and consistent. Medically necessary
surgical procedures performed in the office setting by dermatologists have an
exceedingly low complication rate, and complications that arose were largely
unexpected, isolated, and possibly unpreventable. Cosmetic procedures performed
in offices by dermatologists under local and dilute local anesthesia yielded no
reported complications. Complications from cosmetic procedures accounted for
nearly half of all reported incidents in Florida and Alabama, and in both states,
plastic surgeons were most represented in adverse event reports. Liposuction
performed under general anesthesia requires further investigation because deaths
from this procedure continue to occur despite the ability to use dilute local
anesthesia for this procedure. Requiring physician board certification and
physician hospital privileges does not seem to increase safety of patients
undergoing surgical procedures in the office setting. Mandatory reporting of
adverse events in the office setting should continue to be championed. Reporting
of delayed deaths after hospital outpatient and ambulatory surgery center
procedures should be implemented. All data should be made available for
scientific analysis after protecting patient confidentiality.
PMID- 22093179
TI - Low-level tacrolimus-based immunosuppression and polyomavirus BK surveillance in
renal transplant patients.
PMID- 22093180
TI - Emotional problems in preadolescents in Norway: the role of gender, ethnic
minority status, and home- and school-related hassles.
AB - BACKGROUND: "The gender gap" refers to a lifelong higher rate of emotional
problems in girls, as compared to boys, that appears during adolescence. The
gender gap is a well-replicated finding among older adolescents and is assumed to
be a cross-cultural phenomenon. However, these cross-cultural studies have not
investigated the gender gap in ethnic minorities but sampled ethnic majority
adolescents in different countries. Some studies that investigated the gender gap
across ethnic groups indirectly (by presenting emotional problem scores
stratified by gender and ethnic group) indicate that the gender gap is less
prominent or even absent among minorities. The aims of this study were to assess
whether the gender gap is found in both majority and minority preadolescents, and
to investigate whether a possible (gender and ethnic) group difference can be
accounted for by differences in home or school hassles. METHODS: Participants
were 902 preadolescent students (aged 10 to 12) from two cities in Norway. We
collected self-report measures of emotional problems and home and school hassles.
Using mediated moderation analysis we tested whether the interaction effect
between gender and ethnic minority background on emotional problems was mediated
by home or school hassles. RESULTS: The gender gap in emotional problems was
restricted to ethnic majority preadolescents. School hassles but not home hassles
accounted in part for this effect. CONCLUSIONS: The absence of the gender gap
among minority as opposed to majority preadolescents may indicate that social
circumstances may postpone or hamper the emergence and magnitude of the gender
gap in ethnic minority preadolescents. In this study, school hassles partly
accounted for the combined gender and ethnic group differences on emotional
problems. This indicates that school hassles may play a role in the higher levels
of emotional problems in preadolescent minority boys and consequently the absence
of a gender gap found in our minority sample.
PMID- 22093181
TI - Insulin augments tumor necrosis factor-alpha stimulated expression of vascular
cell adhesion molecule-1 in vascular endothelial cells.
AB - BACKGROUND: Atherosclerosis is an inflammatory disease that is marked by
increased presence of Tumor Necrosis Factor-alpha (TNFalpha), increased
expression of Vascular Cell Adhesion Molecule-1 (VCAM-1), increased presence of
serum monocytes and activation of the canonical inflammatory molecule, Nuclear
Factor Kappa-B (NFkappaB). Hyperinsulinemia is a hallmark of insulin resistance
and may play a key role in this inflammatory process. METHODS: Using Western blot
analysis, immunocytochemistry, flow cytometry and biochemical inhibitors, we
measured changes in VCAM-1 protein expression and NFkappaB translocation in
vascular endothelial cells in the presence of TNFalpha and/or hyperinsulinemia
and in the absence or presence of kinase pathway inhibitors. RESULTS: We report
that hyperinsulinemia augmented TNFalpha stimulated increases in VCAM-1 protein
greater than seen with TNFalpha alone and decreased the time in which VCAM-1
translocated to the cell surface. We also observed that in the presence of
Wortmannin, a biochemical inhibitor of phosphatidylinositol 3-kinase (a hallmark
of insulin resistance), VCAM-1 expression was greater in the presence of TNFalpha
plus insulin as compared to that seen with insulin or TNFalpha alone.
Additionally, nuclear import of NFkappaB occurred sooner in the presence of
insulin and TNFalpha together as compared to each alone, and in the presence of
Wortmannin, nuclear import of NFkappaB was greater than that seen with insulin
and TNFalpha alone. CONCLUSIONS: hyperinsulinemia and insulin resistance appear
to augment the inflammatory effects of TNFalpha on VCAM-1 expression and NFkappaB
translocation, both of which are markers of inflammation in the vasculature.
PMID- 22093183
TI - Production of 61Cu using natural cobalt target and its separation using ascorbic
acid and common anion exchange resin.
AB - (61)Cu was produced by (nat)Co(alpha, xn)(61)Cu reaction. (61)Cu production yield
was 89.5 MBq/MUAh (2.42 mCi/MUAh) at the end of irradiation (EOI). A simple
radiochemical separation method using anion exchange resin and ascorbic acid has
been employed to separate the product radionuclide from inactive target material
and co-produced non-isotopic impurities. The radiochemical separation yield was
about 90%. Radiochemical purity of (61)Cu was >99% 1 h after EOI. Final product
was suitable for making complex with N(2)S(2) type of ligands.
PMID- 22093182
TI - The MATRICS Consensus Cognitive Battery (MCCB): clinical and cognitive
correlates.
AB - The purpose of this study was to examine the cognitive and clinical correlates of
the MATRICS Consensus Cognitive Battery (MCCB) which was originally developed to
be an endpoint for cognitive enhancement clinical trials. In a sample of 117
people with schizophrenia and 77 healthy control participants we found the
following: a) the MCCB was highly sensitive to the type and level of impairment
typically observed in schizophrenia, b) the MCCB composite score was highly
correlated with WASI Estimated Full Scale IQ score, c) that the MCCB domain
scores were generally moderately-highly intercorrelated, d) that MCCB performance
was minimally related to clinical symptom type and severity, and e) the MCCB is
sensitive to employment status with better performance in employed vs. unemployed
patients. These data support the validity of the MCCB as a sensitive measure of
cognitive impairment in schizophrenia and suggest that MCCB performance is
relevant for functional outcome. The data also suggest that the MCCB domain
scores may offer limited resolution on discrete cognitive functions.
PMID- 22093184
TI - Formation of repressor-inducer-operator ternary complex: negative cooperativity
of d-camphor binding to CamR.
AB - A repressor composed of homodimeric subunits, as is often found in bacteria,
possesses two effector-binding sites per molecule, enabling sophisticated
regulation by the cooperative binding of two effector molecules. Positive
cooperativity generates a narrower region of effector concentration for
switching, but little is known about the role of negative cooperativity. d
camphor, an inducer for Pseudomonas putida cytochrome P450cam hydroxylase operon
(camDCAB), binds to the homodimeric cam repressor (CamR). Here, we report solid
evidence that the complex of CamR and an operator DNA is not dissociated by the
first binding of d-camphor but, at a higher concentration, is dissociated by the
second binding. d-camphor thus binds to the CamR in two steps with negative
cooperativity, yielding two distinct dissociation constants of K(d1 ) =( ) 0.064
+/- 0.030 and K(d2 ) =( ) 14 +/- 0.3 MUm, as well as the Hill coefficient of 0.56
+/- 0.05 (<1). The first binding guarantees the high specificity of the inducer
by the high affinity, although the second binding turns on the gene expression at
a 200-fold higher concentration, a more suitable switching point for the
catabolism of d-camphor.
PMID- 22093185
TI - Autism-like behaviours with transient histone hyperacetylation in mice treated
prenatally with valproic acid.
AB - Maternal use of valproic acid (VPA) during pregnancy has been implicated in the
aetiology of autism spectrum disorders in children, and rodents prenatally
exposed to VPA showed behavioural alterations similar to those observed in humans
with autism. However, the exact mechanism for VPA-induced behavioural alterations
is not known. To study this point, we examined the effects of prenatal exposure
to VPA and valpromide, a VPA analog lacking histone deacetylase inhibition
activity, on behaviours, cortical pathology and histone acetylation levels in
mice. Mice exposed to VPA at embryonic day 12.5 (E12.5), but not at E9 and E14.5,
displayed social interaction deficits, anxiety-like behaviour and memory deficits
at age 4-8 wk. In contrast to male mice, the social interaction deficits (a
decrease in sniffing behaviour) were not observed in female mice at age 8 wk. The
exposure to VPA at E12.5 decreased the number of Nissl-positive cells in the
middle and lower layers of the prefrontal cortex and in the lower layers of the
somatosensory cortex at age 8 wk. Furthermore, VPA exposure caused a transient
increase in acetylated histone levels in the embryonic brain, followed by an
increase in apoptotic cell death in the neocortex and a decrease in cell
proliferation in the ganglionic eminence. In contrast, prenatal exposure to
valpromide at E12.5 did not affect the behavioural, biochemical and histological
parameters. Furthermore, these findings suggest that VPA-induced histone
hyperacetylation plays a key role in cortical pathology and abnormal autism-like
behaviours in mice.
PMID- 22093186
TI - Prediction of hospital acute myocardial infarction and heart failure 30-day
mortality rates using publicly reported performance measures.
AB - OBJECTIVE: To identify an approach to summarizing publicly reported hospital
performance data for acute myocardial infarction (AMI) or heart failure (HF) that
best predicts current year hospital mortality rates. SETTING: A total of 1,868
U.S. hospitals reporting process and outcome measures for AMI and HF to the
Centers for Medicare and Medicaid Services (CMS) from July 2005 to June 2006
(Year 0) and July 2006 to June 2007 (Year 1). DESIGN: Observational cohort study
measuring the percentage variation in Year 1 hospital 30-day risk-adjusted
mortality rate explained by denominator-based weighted composite scores
summarizing hospital Year 0 performance. DATA COLLECTION: Data were prospectively
collected from hospitalcompare.gov. RESULTS: Percentage variation in Year 1
mortality was best explained by mortality rate alone in Year 0 over other
composites including process performance. If only Year 0 mortality rates were
reported, and consumers using hospitals in the highest decile of mortality
instead chose hospitals in the lowest decile of mortality rate, the number of
deaths at 30 days that potentially could have been avoided was 1.31 per 100
patients for AMI and 2.12 for HF (p < .001). CONCLUSION: Public reports focused
on 30-day risk-adjusted mortality rate may more directly address policymakers'
goals of facilitating consumer identification of hospitals with better outcomes.
PMID- 22093187
TI - Denosumab and bone-metastasis-free survival in men with castration-resistant
prostate cancer: results of a phase 3, randomised, placebo-controlled trial.
AB - BACKGROUND: Bone metastases are a major cause of morbidity and mortality in men
with prostate cancer. Preclinical studies suggest that osteoclast inhibition
might prevent bone metastases. We assessed denosumab, a fully human anti-RANKL
monoclonal antibody, for prevention of bone metastasis or death in non-metastatic
castration-resistant prostate cancer. METHODS: In this phase 3, double-blind,
randomised, placebo-controlled study, men with non-metastatic castration
resistant prostate cancer at high risk of bone metastasis (prostate-specific
antigen [PSA] >=8.0 MUg/L or PSA doubling time <=10.0 months, or both) were
enrolled at 319 centres from 30 countries. Patients were randomly assigned (1:1)
via an interactive voice response system to receive subcutaneous denosumab 120 mg
or subcutaneous placebo every 4 weeks. Randomisation was stratified by PSA
eligibility criteria and previous or ongoing chemotherapy for prostate cancer.
Patients, investigators, and all people involved in study conduct were masked to
treatment allocation. The primary endpoint was bone-metastasis-free survival, a
composite endpoint determined by time to first occurrence of bone metastasis
(symptomatic or asymptomatic) or death from any cause. Efficacy analysis was by
intention to treat. The masked treatment phase of the trial has been completed.
This trial was registered at ClinicalTrials.gov, number NCT00286091. FINDINGS:
1432 patients were randomly assigned to treatment groups (716 denosumab, 716
placebo). Denosumab significantly increased bone-metastasis-free survival by a
median of 4.2 months compared with placebo (median 29.5 [95% CI 25.4-33.3] vs
25.2 [22.2-29.5] months; hazard ratio [HR] 0.85, 95% CI 0.73-0.98, p=0.028).
Denosumab also significantly delayed time to first bone metastasis (33.2 [95% CI
29.5-38.0] vs 29.5 [22.4-33.1] months; HR 0.84, 95% CI 0.71-0.98, p=0.032).
Overall survival did not differ between groups (denosumab, 43.9 [95% CI 40.1-not
estimable] months vs placebo, 44.8 [40.1-not estimable] months; HR 1.01, 95% CI
0.85-1.20, p=0.91). Rates of adverse events and serious adverse events were
similar in both groups, except for osteonecrosis of the jaw and hypocalcaemia. 33
(5%) patients on denosumab developed osteonecrosis of the jaw versus none on
placebo. Hypocalcaemia occurred in 12 (2%) patients on denosumab and two (<1%) on
placebo. INTERPRETATION: This large randomised study shows that targeting of the
bone microenvironment can delay bone metastasis in men with prostate cancer.
FUNDING: Amgen Inc.
PMID- 22093188
TI - Treatment of prostate cancer metastases: more than semantics.
PMID- 22093189
TI - Distribution and associations of [-2]proenzyme-prostate specific antigen in
community dwelling black and white men.
AB - PURPOSE: We provide cross-sectional normative data on [-2]proenzyme-prostate
specific antigen from the Olmsted County Study of Urinary Symptoms and Health
Status among Men, and the Flint Men's Health Study. We also describe associations
with clinical urological measures and the risk of prostate cancer diagnosis.
MATERIALS AND METHODS: Measurements of [-2]proenzyme-prostate specific antigen
were obtained from 420 white men from Olmsted County, Minnesota, and 328 black
men from Genesee County, Michigan. Cross-sectional associations between [
2]proenzyme-prostate specific antigen and prostate enlargement/elevated prostate
specific antigen were assessed. Cox proportional hazard models were used to
assess associations between [-2]proenzyme-prostate specific antigen and the
incident diagnosis of prostate cancer. RESULTS: Baseline [-2]proenzyme-prostate
specific antigen was slightly higher in black men at a median of 6.3 pg/ml (25th,
75th percentiles 4.1, 8.9) than in white men at a median of 5.6 pg/ml (25th, 75th
percentiles 3.9, 7.7, respectively, p = 0.01). Baseline [-2]proenzyme-prostate
specific antigen was highly predictive of biopsy confirmed prostate cancer in the
Olmsted County Study cohort. Relative to men in the [-2]proenzyme-prostate
specific antigen lower quartile those in the upper quartile were at almost
eightfold increased risk for prostate cancer (HR 7.8, 95% CI 2.2-27.8) after
adjusting for age and baseline prostate specific antigen. CONCLUSIONS: In these
cohorts of community dwelling black and white men [-2]proenzyme-prostate specific
antigen was much lower than in previous studies. These data suggest that [
2]proenzyme-prostate specific antigen may help identify prostate cancer in men
with serum prostate specific antigen in an indeterminate range, although the
reference ranges for white and black men may differ slightly.
PMID- 22093190
TI - Benign prostate specific antigen distribution and associations with urological
outcomes in community dwelling black and white men.
AB - PURPOSE: We describe cross-sectional associations of benign prostate specific
antigen with clinical urological measures and examined the risk of future
urological outcomes in 2 population based cohorts of black and white men,
respectively. MATERIALS AND METHODS: Two population based cohort studies were
established to characterize the natural history of and risk factors for prostate
disease progression in white and black male residents of Olmsted County,
Minnesota, and Genesee County, Michigan, respectively. RESULTS: The benign
prostate specific antigen distribution was similar in black men at a median of
32.9 pg/ml (25th, 75th percentiles 17.3, 68.0) and white men at a median of 32.2
pg/ml (25th, 75th percentiles 16.6, 68.9, respectively). However, it was much
lower than in previous reports. For Olmsted County men in the upper quartile of
benign prostate specific antigen there was a fifteenfold increased risk of
prostate cancer (HR 14.6, 95% CI 3.1-68.6) and a twofold higher risk of treatment
for benign prostatic hyperplasia (HR 2.2, 95% CI 1.2-4.2) after adjusting for
age. After additional adjustment for baseline prostate specific antigen the
association between benign prostate specific antigen and prostate cancer risk was
attenuated but remained almost ninefold higher for men in the upper quartile of
benign prostate specific antigen (HR 8.7, 95% CI 1.8-42.4). The twofold higher
risk of treatment for benign prostatic hyperplasia also remained after adjusting
for baseline prostate specific antigen for men in the upper benign prostate
specific antigen quartile (HR 1.9, 95% CI 0.9-4.0). CONCLUSIONS: Results suggest
that increased benign prostate specific antigen may help identify men with
prostate cancer and those at risk for benign prostatic hyperplasia treatment.
PMID- 22093191
TI - Time for a change: dynamic urban ecology.
AB - Contemporary cities are expanding rapidly in a spatially complex, non-linear
manner. However, this form of expansion is rarely taken into account in the way
that urbanization is classically assessed in ecological studies. An explicit
consideration of the temporal dynamics, although frequently missing, is crucial
in order to understand the effects of urbanization on biodiversity and ecosystem
functioning in rapidly urbanizing landscapes. In particular, a temporal
perspective highlights the importance of land-use legacies and transient dynamics
in the response of biodiversity to environmental change. Here, we outline the
essential elements of an emerging framework for urban ecology that incorporates
the characteristics of contemporary urbanization and thus empowers ecologists to
understand and intervene in the planning and management of cities.
PMID- 22093192
TI - A prospective study of pelvic floor dysfunctions related to delivery.
AB - OBJECTIVE: To estimate the prevalence and impact on quality of life of urinary
incontinence (UI) and anal incontinence (AI) three months after first delivery;
to identify risk factors involved in UI or AI; to evaluate possible changes in
sexual behaviour and anatomical modifications of pelvic floor after childbirth.
STUDY DESIGN: A multicenter prospective study, in six Italian Ob/Gyn departments,
of nulliparous women who delivered at term (37-42 weeks of gestation) between
April and September 2005. A structured questionnaire investigated several
maternal and obstetric variables. UI and AI were assessed by administration of
the International Consultation on Incontinence Questionnaire-Short Form (ICIQ-SF)
and according to Wexner's Continence Grading Scale, at 2-3 days post-partum and
at 3 months after delivery. Changes in sexual behaviour, and pelvic floor
condition after delivery, were also recorded. Statistical analysis included
comparison of means (Mann-Whitney or Student's t-test) and proportions (Chi
square test). Multiple logistic regression analysis was performed including
variables that were significant in univariate comparisons. RESULTS: Of 960
enrolled women, 744 were evaluated 3 months after delivery and included in final
analysis. The prevalences of UI and AI at that time were 21.6% and 16.3%,
respectively. Onset of incontinence during pregnancy was an independent predictor
for persistent UI (Odds Ratio (OR) 4.6, Confidence Interval (CI) 3.1-6.8,
p<0.001) and AI (OR 3.6, CI 2.2-6.1, p<0.001). Family history of urinary or anal
incontinence were respectively associated with UI (OR 2.6, CI 1.6-4.0, p<0.001)
and AI (OR 2.4, CI 1.4-4.0, p<0.001) 3 months after delivery. Among obstetric
factors, vaginal delivery was a strong risk factor for UI (OR 3.3, CI 2.0-5.3,
p<0.001). The sexual score improved 3 months after delivery in 72.4% of women.
Urogynaecological evaluation showed a significant association between grade 1-2
anterior prolapse, urethral hypermobility and UI. CONCLUSION: New onset of UI or
AI during pregnancy, positive family history and vaginal delivery are independent
risk factors for the persistence of symptoms of UI and AI in the early postpartum
period. Adequate counselling and the implementation of targeted strategies to
prevent or early identify these conditions are therefore mandatory to improve the
patient's quality of life.
PMID- 22093193
TI - Heart failure and diabetes: time to pay attention.
PMID- 22093194
TI - LV twisting and untwisting in HCM: ejection begets filling. Diastolic functional
aspects of HCM.
AB - Conventional and emerging concepts on mechanisms by which hypertrophic
cardiomyopathy (HCM) engenders diastolic dysfunction are surveyed. A shift from
familiar left ventricular (LV) diastolic function approaches to large-scale
(twist-untwist) and small-scale (titin unfolding-refolding, etc.) wall rebound
models, incorporating interaction and dynamic distortions and rearrangements of
myofiber sheets and ultrastructural constituents, is suggested. Such an emerging
new paradigm of diastolic dynamics, emphasizing the relationship of myofiber
sheet and ultraconstituent distortion to LV mechanics and end-systolic shape,
might clarify intricate patterns of early diastolic rebound and suction, needed
for LV filling in many of the polymorphic phenotypes of HCM.
PMID- 22093195
TI - The fixed-dose combination drug for secondary cardiovascular prevention project:
improving equitable access and adherence to secondary cardiovascular prevention
with a fixed-dose combination drug. Study design and objectives.
AB - In spite of advances in prevention and treatment, the burden of cardiovascular
diseases is increasing. A fixed-dose combination (FDC) pill, or "polypill,"
composed of evidence-based drugs has been proposed as a means of improving
cardiovascular prevention by reducing cost and increasing patient adherence to
treatment. The aim of the FOCUS project, funded by the 7th Framework Programme of
the European Commission, is to characterize the factors that underlie inadequate
secondary prevention and to test a new FDC. To achieve these goals, a 9-member
consortium has been constituted, including institutions from Argentina, France,
Italy, Spain, and Switzerland. FOCUS Phase-1 will examine factors potentially
related to lack of adequate secondary prevention in 4,000 post-myocardial
infarction (MI) patients and analyze the relationship between these factors and
patient treatment adherence. Primary end points will be (1) the percentage of
patients receiving aspirin, angiotensin-converting enzyme inhibitors, and statins
and (2) adherence to treatment measured by the Morisky-Green test. FOCUS Phase-2
is a randomized trial that will compare adherence to treatment in 1,340 post
myocardial infarction patients either receiving an FDC comprising aspirin (100
mg), ramipril (2.5, 5, or 10 mg), and simvastatin (40 mg) or receiving the same 3
drugs separately.
PMID- 22093196
TI - The design and rationale of the saxagliptin assessment of vascular outcomes
recorded in patients with diabetes mellitus-thrombolysis in myocardial infarction
(SAVOR-TIMI) 53 study.
AB - OBJECTIVES: Saxagliptin, a dipeptidyl peptidase 4 inhibitor, improves glycemic
control in patients with type 2 diabetes mellitus (T2DM) by increasing endogenous
active, intact glucagon-like peptide 1 and glucose-dependent insulinotropic
polypeptide in response to food, which augments insulin secretion and decreases
glucagon release. RESEARCH DESIGN AND METHODS: SAVOR-TIMI 53 is a phase 4,
randomized, double-blind, placebo-controlled trial conducted in 25 countries that
is designed to evaluate the safety and efficacy of saxagliptin during long-term
treatment of approximately 16,500 patients with T2DM. Eligible patients who are
either treatment naive or on any background antidiabetic treatment (except
incretin therapy) with history of established cardiovascular (CV) disease or
multiple risk factors are randomized 1:1 to saxagliptin 5 mg QD (2.5 mg in
subjects with moderate/severe renal impairment) or matching placebo, stratified
by qualifying disease state. The primary end point is the composite of CV death,
nonfatal myocardial infarction, or nonfatal ischemic stroke. The trial will
continue until approximately 1,040 primary end points accrue, providing 85% power
to identify a 17% relative reduction of the primary end point with saxagliptin
versus placebo and 98% power to test for noninferiority of saxagliptin versus
placebo (reject the upper limit of 95% CI for a hazard ratio <1.3 at a 1-sided
alpha of .025). CONCLUSION: SAVOR-TIMI 53 is testing the hypothesis that
treatment with saxagliptin is safe and reduces CV events in high-risk patients
with T2DM.
PMID- 22093197
TI - Rationale and design of the MASS COMM trial: A randomized trial to compare
percutaneous coronary intervention between MASSachusetts hospitals with cardiac
surgery on-site and COMMunity hospitals without cardiac surgery on-site.
AB - BACKGROUND: Emergency surgery has become an increasingly rare event after
percutaneous coronary intervention (PCI). There have been no randomized trials
evaluating whether cardiac surgery services on-site are essential for patient
safety and optimal outcomes during and after PCI. STUDY DESIGN: The MASS COMM
trial (ClinicalTrials.gov no. NCT01116882) is a randomized trial comparing the
safety and effectiveness of nonemergency PCI at hospitals without surgery on-site
(SOS) (non-SOS hospitals) and hospitals with SOS (SOS hospitals). A total of
3,690 subjects will be randomized in a 3:1 fashion to undergo PCI at non-SOS and
SOS hospitals, with follow-up at hospital discharge, 30 days, and 12 months after
PCI. The rate of major adverse cardiac events (all-cause mortality, myocardial
infarction, repeat revascularization, and stroke) will serve as the primary
safety end point at 30 days and the primary effectiveness end point at 12 months.
The design is a 1-way randomized trial with a statistical hypothesis of
noninferiority of nonemergency PCI at non-SOS hospitals for both safety and
effectiveness end points. CONCLUSIONS: This multicenter, randomized trial will
compare the relative safety and effectiveness of nonemergency PCI at sites with
and without cardiac SOS.
PMID- 22093198
TI - The first call from Dad.
PMID- 22093199
TI - Should all patients be treated with an angiotensin-converting enzyme inhibitor
after coronary artery bypass graft surgery? The impact of angiotensin-converting
enzyme inhibitors, statins, and beta-blockers after coronary artery bypass graft
surgery.
AB - BACKGROUND: We sought to evaluate the association between angiotensin-converting
enzyme (ACE) inhibitors and outcomes after coronary artery bypass graft surgery
(CABG). METHODS: Postoperative outpatient utilization of ACE inhibitors, statins,
and beta-blockers was assessed in a cohort of 3,718 patients after CABG 65 years
and older. The primary outcome was freedom from a composite of all-cause
mortality or hospital readmission for cardiac events or procedures. RESULTS: Use
of all 3 medication classes increased significantly over the study period. Female
patients and patients with a history of myocardial infarction, diabetes, and poor
left ventricular function were independently associated with ACE inhibitor use on
multivariate analysis (all P < .05). At a median follow-up of 3 years,
postoperative therapy with an ACE inhibitor had no effect on death or
rehospitalization for cardiovascular events (adjusted hazard ratio [HR] 1.12, 95%
CI 0.96-1.30, P = .16). However, statins (HR 0.65, 95% CI 0.57-0.74, P < .0001)
and beta-blockers (HR 0.83, 95% CI 0.74-0.93, P = .001) were associated with a
significantly improved event-free survival. CONCLUSIONS: Among patients after
CABG 65 years or older, ACE inhibitors had no independent effect on mortality or
recurrent ischemic events in the midterm after CABG, although a benefit was
observed for statins and beta-blockers.
PMID- 22093200
TI - Treatment with adenosine diphosphate receptor inhibitors-longitudinal assessment
of treatment patterns and events after acute coronary syndrome (TRANSLATE-ACS)
study design: expanding the paradigm of longitudinal observational research.
AB - BACKGROUND: Platelet inhibition is critical in reducing both short- and long-term
atherothrombotic risks after acute myocardial infarction (MI), especially among
patients managed with percutaneous coronary intervention (PCI). Currently
available antiplatelet medications, including adenosine diphosphate (ADP)
receptor inhibitors, have demonstrated variability in efficacy and safety in
clinical trials, yet few studies have examined contemporary "real-world"
approaches to platelet inhibition and associated outcomes. METHODS: TRANSLATE-ACS
is a prospective observational study that will track up to 17,000 MI patients
managed with PCI, from the inhospital to outpatient settings for up to 12 months
postdischarge to provide a comprehensive picture of current treatment patterns
and outcomes in routine clinical practice. TRANSLATE-ACS exemplifies a
collaborative study design that efficiently builds upon a well-established PCI
registry platform, and yet, through a systematic telephone interview follow-up
process, provides important longitudinal clinical and economic follow-up capacity
through 15 months after initial MI hospitalization. Furthermore, TRANSLATE-ACS
incorporates a hospital-level, clustered, randomized substudy to investigate the
impact of point-of-care platelet inhibition testing on subsequent patient
management. Finally, TRANSLATE-ACS provides feedback through quarterly reports to
participating sites on their care practices benchmarked to peer performance to
support and promote longitudinal quality of cardiovascular care delivery.
CONCLUSION: TRANSLATE-ACS not only addresses important clinical and scientific
questions but also includes pioneering design features that will assist in the
evolution of clinical registries.
PMID- 22093202
TI - Cognitive impairment and outcomes in older adult survivors of acute myocardial
infarction: findings from the translational research investigating underlying
disparities in acute myocardial infarction patients' health status registry.
AB - BACKGROUND: Cognitive impairment without dementia (CIND) and acute myocardial
infarction (AMI) are prevalent in older adults; however, the association of CIND
with outcomes after AMI is unknown. METHODS: We used a multicenter registry to
study 772 patients >=65 years with AMI, enrolled between April 2005 and December
2008, who underwent cognitive function assessment with the Telephone Interview
for Cognitive Status-modified (TICS-m) 1 month after AMI. Patients were
categorized by cognitive status to describe characteristics and in-hospital
treatment, including quality of life and survival 1 year after AMI. RESULTS: Mean
age was 73.2 +/- 6.3 years; 58.5% were men, and 78.2% were white. Normal
cognitive function (TICS-m >22) was present in 44.4%; mild CIND (TICS-m 19-22) in
29.8%; and moderate/severe CIND (TICS-m <19) in 25.8% of patients. Rates of
hypertension (72.6%, 77.4%, and 81.9%), cerebrovascular accidents (3.5%, 7.0%,
and 9.0%), and myocardial infarction (20.1%, 22.2%, and 29.6%) were higher in
those with lower TICS-m scores (P < .05 for comparisons). AMI medications were
similar by cognitive status; however, CIND was associated with lower cardiac
catheterization rates (P = .002) and cardiac rehabilitation referrals (P < .001).
Patients with moderate/severe CIND had higher risk-adjusted 1-year mortality that
was nonstatistically significant (adjusted hazard ratio 1.97, 95% CI 0.99-3.94, P
= .054; referent normal, TICS-m >22). Quality of life across cognitive status was
similar at 1 year. CONCLUSIONS: Most older patients surviving AMI have measurable
CIND. Cognitive impairment without dementia was associated with less invasive
care, less referral and participation in cardiac rehabilitation, and worse risk
adjusted 1-year survival in those with moderate/severe CIND, making it an
important condition to consider in optimizing AMI care.
PMID- 22093203
TI - Effect of esomeprazole versus famotidine on platelet inhibition by clopidogrel: a
double-blind, randomized trial.
AB - BACKGROUND: Previous studies showed that esomeprazole does not interfere
significantly with the platelet inhibitory effect of clopidogrel. It is unknown
whether famotidine, a histamine 2 receptor antagonist, interacts with
clopidogrel. This double-blind, randomized study aimed to compare the influence
of esomeprazole and famotidine on the platelet inhibitory effect of clopidogrel.
METHODS: Patients with acute coronary syndrome or elective percutaneous coronary
interventions treated with aspirin and clopidogrel cotherapy were randomized to
receive esomeprazole 20 mg daily or famotidine 40 mg daily. Platelet reactivity
units (PRUs) were measured at baseline and on day 28. The primary analysis
involved the PRU values on day 28. RESULTS: There were 44 patients in the
esomeprazole group and 44 in the famotidine group. The baseline PRUs of the 2
groups were comparable (esomeprazole vs famotidine, 229.1 +/- 85.6 vs 220.4 +/-
83.0, P = .63). The PRUs on day 28 were 242.6 +/- 89.7 and 237.5 +/- 79.2 in the
groups receiving esomeprazole and famotidine, respectively (mean difference 5.1,
95% CI -30.8 to 41.0, P = .78). CONCLUSIONS: The platelet inhibitory effect of
clopidogrel was not significantly different between patients receiving
esomeprazole and those receiving famotidine. Neither esomeprazole nor famotidine
reduced the platelet inhibitory effect of clopidogrel. (Clinicaltrial.gov
Identifier NCT01062516).
PMID- 22093204
TI - Predicting long-term mortality in older patients after non-ST-segment elevation
myocardial infarction: the CRUSADE long-term mortality model and risk score.
AB - OBJECTIVES: We sought to develop a long-term mortality risk prediction model and
a simplified risk score for use in older patients with non-ST-segment elevation
myocardial infarction (NSTEMI). BACKGROUND: Limited data are available regarding
long-term mortality rates and concomitant risk predictors after acute myocardial
infarction in contemporary community practice. METHODS: From the CRUSADE
registry, a total of 43,239 (NSTEMI) patients aged >=65 years treated at 448
hospitals in the United States from 2003 to 2006 were linked to Centers for
Medicare and Medicaid Services data to track longitudinal all-cause mortality
(median follow-up 453 days). Cox proportional hazard modeling was used to
determine baseline independent demographic, clinical, and laboratory variables
associated with long-term mortality. A simplified long-term mortality risk score
was subsequently developed from these results. RESULTS: The median age of this
population was 77 years, and mortality rates at 1, 2, and 3 years were 24.4%,
33.2%, and 40.3%, respectively. We identified 22 variables independently
associated with long-term mortality in a full model (c-statistic 0.754 in the
derivation sample and 0.744 in the validation sample). The CRUSADE long-term
mortality risk score was limited to the 13 most clinically and statistically
significant variables from the full model yet retained comparable discrimination
in the derivation and validation samples (c-statistics 0.734 and 0.727,
respectively) and had good calibration across the risk spectra. CONCLUSIONS:
Older patients face substantial long-term mortality risks after NSTEMI that can
be accurately predicted from baseline characteristics. These prognostic estimates
may support informed treatment decision-making and comparison of long-term
provider outcomes.
PMID- 22093205
TI - Safety and efficacy of adjusted-dose eptifibatide in patients with acute coronary
syndromes and reduced renal function.
AB - BACKGROUND: Dose adjustment of renally excreted antithrombotic drugs is
recommended for patients with reduced renal function. We examined the influence
of dose modification on bleeding and efficacy. METHODS: Based on initial study
drug infusion rate, Early GP IIb/IIIa Inhibition in non-ST-segment elevation
acute coronary syndromes (EARLY ACS) patients were categorized into groups:
standard dose (2 MUg/kg/min; estimated creatinine clearance [eCrCl] >=50 ml/min),
adjusted dose (1 MUg/kg/min; eCrCl <50 ml/min, per protocol), excess dose (2
MUg/kg/min; eCrCl <50 ml/min). We explored relationships among initial dosing,
randomized treatment assignment, and bleeding and ischemic end points (96-h
composite of death, myocardial infarction [MI], recurrent ischemia requiring
urgent revascularization or thrombotic bailout, and 30-d death or MI). RESULTS:
Of 8,708 patients with eCrCl and dosing data, 19% had eCrCl <50 ml/min. Of these,
13% received adjusted dose eptifibatide and 6% received an excess dose. Across
all dosing groups, no significant reductions were found in ischemic end points
between early versus delayed provisional eptifibatide (OR 1.14, 95% CI 0.80-1.65;
OR 1.13, 95% CI 0.81-1.56, respectively, for 96-h and 30-d composite end points).
Bleeding risk was not significantly increased in the early versus delayed
provisional treatment group in either the adjusted (OR 1.50, 95% CI 0.95-2.39) or
excess dose group (OR 1.67, 95% CI 0.85-3.39). There were no significant
interactions between dose group and treatment strategy on bleeding or efficacy.
CONCLUSION: Similar to observations in practice, despite guidelines
recommendations and protocol guidance, 34% of EARLY ACS patients with reduced
renal function failed to receive an appropriately adjusted study drug infusion.
Use of an appropriately adjusted eptifibatide infusion was not associated with
expected reductions in bleeding among patients with renal insufficiency.
PMID- 22093206
TI - Myeloperoxidase in the diagnosis of acute coronary syndromes: the importance of
spectrum.
AB - BACKGROUND: Myeloperoxidase (MPO) is proposed for risk stratification in patients
with suspected acute coronary syndromes (ACSs). We determined if MPO has
diagnostic value in patients being evaluated for ACS. METHOD: MIDAS was an 18
center prospective study enrolling suspected ACS emergency department patients
who presented <8 hours after symptom onset and in whom serial cardiac markers and
objective cardiac perfusion testing were planned. Blinded MPO (Biosite, Inc, San
Diego, CA) and troponin I (Triage Cardio 3; Biosite, Inc) were drawn at arrival,
and Troponin I (TnI) was measured at 90, 180, and 360 minutes. Final diagnoses
were adjudicated by the local investigator blinded to study assay. RESULTS: Of
1,018 patients, 54% were male, 26% black, with a mean age of 58 +/- 13 years.
Diagnoses were ACS in 288 (23%) and noncardiac chest pain (NCCP) in 788 (77%). Of
patients with ACS, 94 (9.2%) had a myocardial infarction (MI) at presentation (69
non-ST-elevation MI, 25 ST-elevation MI), and 136 had unstable angina. Using a
cutpoint of 210 ng/mL to provide 90% specificity, MPO had a sensitivity of 0.18;
negative predictive value, 0.69; positive predictive value, 0.47; negative
likelihood ratio, 0.91; and a positive likelihood ratio of 1.83 to differentiate
ACS and NCCP. Because of the large overlap of quartiles, MPO was not clinically
useful to predict serial TnI changes. The C statistics +/- 95% CI for MPO
differentiating ACS from NCCP and for AMI versus NCCP were 0.629 +/- 0.04 and
0.666 +/- 0.06, respectively. CONCLUSIONS: Myeloperoxidase has insufficient
accuracy for decision making in patients with suspected ACS.
PMID- 22093207
TI - Days alive and out of hospital and the patient journey in patients with heart
failure: Insights from the candesartan in heart failure: assessment of reduction
in mortality and morbidity (CHARM) program.
AB - BACKGROUND: Conventional composite outcomes in heart failure (HF) trials, for
example, time to cardiovascular death or first HF hospitalization, have
recognized limitations. We propose an alternative outcome, days alive and out of
hospital (DAOH), which incorporates mortality and all hospitalizations into a
single measure. A refinement, the patient journey, also uses functional status
(New York Heart Association [NYHA] class) measured during follow-up. The CHARM
program is used to illustrate the methodology. METHODS: CHARM randomized 7,599
patients with symptomatic HF to placebo or candesartan, with median follow-up of
38 months. We related DAOH and percent DAOH (ie, percentage of time spent alive
and out of hospital) to treatment using linear regression adjusting for follow-up
time. RESULTS: Mean increase in DAOH for patients on candesartan versus placebo
was 24.1 days (95% CI 9.8-38.3 days, P < .001). The corresponding mean increase
in percent DAOH was 2.0% (95% CI 0.8%-3.1%, P < .001). These findings were
dominated by reduced mortality (23 days) but enhanced by reduced time in hospital
(1 day). Percent time spent in hospital because of HF was reduced by 0.10% (95%
CI 0.04%-0.14%, P < .001). The patient journey analysis showed that patients in
the candesartan group spent more follow-up time in NYHA classes I and II and less
in NYHA class IV. CONCLUSIONS: Days alive and out of hospital, especially percent
DAOH, provide a valuable tool for summarizing the overall absolute treatment
effect on mortality and morbidity. In future HF trials, percent DAOH can provide
a useful alternative perspective on the effects of treatment.
PMID- 22093208
TI - Long-term clinical outcome in patients with small vessel disease treated with
drug-eluting versus bare-metal stenting.
AB - BACKGROUND: DES is superior to BMS in reducing restenosis and repeat
revascularization. Available data are less convincing in small vessel disease.
Aim of our study is to assess long-term clinical outcome of drug-eluting stents
(DES) vs. bare-metal stents (BMS) in small coronary vessel disease. METHODS:
Procedural and long-term clinical outcomes were assessed in consecutive patients
(pts) treated with stenting of native small coronary arteries (reference vessel
diameter and implanted stent < 3mm). RESULTS: Pts enrolled were 645: DES group (n
= 277) presented more frequently diabetes (173 [62%] vs. 32 [9%], P < .0001),
higher body mass index (27 +/- 5 vs. 26 +/- 4, P = .01) and with previous PCI
(115 [42%] vs. 118 [32%], P = .01) as compared to BMS group (n=368). DES group
presented more frequently with unstable angina (46 [17%] vs. 38 [10%], P = .02);
BMS group presented more frequently with myocardial infarction (103 [28] vs. 43
[15], P = .0002). Reference vessel (2.27 +/- 0.36 vs. 2.24 +/- 0.36, P = .29),
minimal lumen (0.81 +/- 0.32 vs. 0.80 +/- 0.31, P = .84) and stent diameter (2.59
+/- 0.17 vs. 2.60 +/- 0.15, P = .69) did not differ between the 2 groups. Lesion
length was significantly higher in DES group (15.85 +/- 6.81 vs. 13.66 +/- 7.18,
P = .01). At a median clinical follow-up of 3.0 years (IQR range 2.2-4.6), pts
with DES showed significantly lower major adverse cardiac events (MACE, HR 0.51,
95%CI 0.33-0.78) and target vessel revascularization (TVR, HR 0.44, 95%CI 0.25
0.78). No differences were observed between the two groups as to death,
myocardial infarction and stent thrombosis. CONCLUSIONS: In small vessel disease,
DES was more frequently implanted in pts at higher risk of restenosis, though it
demonstrated to be more effective than BMS in reducing MACE and TVR at long-term
follow-up.
PMID- 22093209
TI - Mode of death after contemporary percutaneous coronary intervention: a report
from the Evaluation of Drug Eluting Stents and Ischemic Events registry.
AB - BACKGROUND: When selecting clinical trial end points, some investigators prefer
cardiovascular death (CVD) while others believe that all-cause mortality is more
relevant. However, the relative contribution of CVD to 1-year mortality after
contemporary percutaneous coronary intervention (PCI) is not known. METHODS: We
evaluated the mode of death (MOD) in EVENT, a prospective PCI registry at 55 US
hospitals. Vital status was assessed at 6 and 12 months as part of the study
protocol, and MOD was independently reviewed in blinded fashion. RESULTS: Between
2004 and 2007, EVENT enrolled 10,144 patients of whom 295 (2.9%) died within the
first year: 51 (17%) <=30 days; and 244 (83%) between 31 and 365 days after index
PCI. Overall, CVD accounted for 42% of deaths, and no clear cause could be
identified in a substantial subgroup (25% of deaths). Among patients who died
<=30 days, the MOD was more likely to be CVD (odds ratio 3.96, 95% CI 2.08-7.55),
whereas the incidence of CVD and non-CVD was similar after 30 days. Findings were
similar after a series of sensitivity analyses including reassignment of unknown
MOD to the CVD category, using multiple imputation modeling, or when evaluating
MOD in prespecified subgroups of patients with diabetes, acute coronary
syndromes, or left ventricular dysfunction. CONCLUSIONS: Among unselected PCI
patients, 1-year mortality is approximately 3%, and CVD is confirmed in <50% of
all deaths. Regardless of analytic approach, CVD is the primary contributor to
overall mortality during the first 30 days after PCI, whereas rates of CVD and
non-CVD are remarkably similar after the first month after PCI.
PMID- 22093210
TI - Long-term tissue coverage of a biodegradable polylactide polymer-coated biolimus
eluting stent: comparative sequential assessment with optical coherence
tomography until complete resorption of the polymer.
AB - BACKGROUND: Biolimus-eluting stents (BESs) with a biodegradable polymer in
abluminal coating achieve more complete coverage at 9 months compared with
sirolimus-eluting stents (SESs) with a durable polymer, as assessed by optical
coherence tomography (OCT). Whether this advantage persists or augments after
complete resorption of the polymer (>12 months) is unknown. METHODS: The LEADERS
trial compared the performance of BES with that of SES. Patients were randomly
allocated to a sequential angiographic follow-up, including OCT in selected
sites, at 9 and 24 months. Struts coverage was compared using Bayesian
hierarchical models as the primary outcome for the OCT substudy. RESULTS: Fifty
six patients (26 BES, 30 SES) were enrolled in the OCT substudy. Twenty-one
patients (10 BES, 11 SES) agreed to perform a second OCT follow-up at 24 months.
Eleven lesions and 12 stents were analyzed sequentially in the BES group (2,455
struts at 9 months, 2,131 struts at 24 months) and 11 lesions and 18 stents in
the SES group (3,421 struts at 9 months, 4,170 struts at 24 months). The
previously reported advantage of BES over SES in terms of better strut coverage
at 9 months was followed by improvement in coverage of the SES, resulting in
identical coverage in both BES and SES at 24 months: 1.5% versus 1.8% uncovered
struts, difference -0.2%, 95% credibility interval, -3.2% to 2.6%, P = .84.
CONCLUSIONS: More complete strut coverage of BES as compared with SES at 9 months
was followed by improvement of coverage in SES between 9 and 24 months and a
similar long-term coverage in both stent types at 24 months.
PMID- 22093211
TI - Trends in the use of diagnostic coronary angiography, percutaneous coronary
intervention, and coronary artery bypass graft surgery across North Carolina.
AB - BACKGROUND: Although variation in use of invasive coronary procedures has been
shown, the relationship between invasive diagnostic cardiac catheterization
(Cath) and subsequent revascularization with percutaneous coronary intervention
(PCI) or coronary artery bypass surgery (CABG) is not known. We evaluated the
temporal trends and variation in invasive Cath, PCI, and CABG across hospital
systems in North Carolina. METHODS: All Cath, PCI, and CABG procedures performed
in North Carolina from 2003 to 2009 were identified using data reported in the
annual North Carolina State Medical Facilities Plan. Rates and variation in
procedure use, relative rates of PCI to Cath, CABG to Cath, and CABG to PCI were
compared over the study period between hospitals that performed at least 25 Cath,
25 PCI, and 25 CABG procedures. RESULTS: The rates of all invasive procedures per
100,000 population declined: 24% for Cath, 16% for PCI, and 35% for CABG.
However, the relative rate of PCI to Cath over the study period increased by 11%,
whereas the relative rate of CABG to Cath decreased by 13%. Hospital level
analysis showed significant variation in the relative rate of both PCI to Cath
(10%-90%, P < .05) and CABG to Cath (5%-35%, P < .05). CONCLUSIONS: Although the
use of all invasive cardiac procedures declined, the relative rate of PCI to Cath
increased over the study period. There was also significant variation in the mode
of revascularization (CABG and PCI) across hospital systems in North Carolina.
Further research is needed to understand drivers of coronary revascularization.
PMID- 22093201
TI - Management of acute coronary syndromes in developing countries: acute coronary
events-a multinational survey of current management strategies.
AB - BACKGROUND: The burden of cardiovascular diseases is predicted to escalate in
developing countries. We investigated the descriptive epidemiology, practice
patterns, and outcomes of patients hospitalized with acute coronary syndromes
(ACS) in African, Latin American, and Middle Eastern countries. METHODS: In this
prospective observational registry, 12,068 adults hospitalized with a diagnosis
of ACS were enrolled between January 2007 and January 2008 at 134 sites in 19
countries in Africa, Latin America, and the Middle East. Data on patient
characteristics, treatment, and outcomes were collected. RESULTS: A total of
11,731 patients with confirmed ACS were enrolled (46% with ST-elevation
myocardial infarction [STEMI], 54% with non-ST elevation-ACS). During
hospitalization, most patients received aspirin (93%) and a lipid-lowering
medication (94%), 78% received a beta-blocker, and 68% received an angiotensin
converting enzyme inhibitor. Among patients with STEMI, 39% did not receive
fibrinolysis or undergo percutaneous coronary intervention. All-cause death at 12
months was 7.3% and was higher in patients with STEMI versus non-ST elevation-ACS
(8.4% vs 6.3%, P < .0001). Clinical factors associated with higher risk of death
at 12 months included cardiac arrest, antithrombin treatment, cardiogenic shock,
and age >70 years. CONCLUSIONS: In this observational study of patients with ACS,
the use of evidence-based pharmacologic therapies for ACS was quite high, yet 39%
of eligible patients with STEMI received no reperfusion therapy. These findings
suggest opportunities to further reduce the risk of long-term ischemic events in
patients with ACS in developing countries.
PMID- 22093212
TI - Intensive glycemic control has no impact on the risk of heart failure in type 2
diabetic patients: evidence from a 37,229 patient meta-analysis.
AB - BACKGROUND: More intensive glycemic control reduces the risk of microvascular
disease in patients with diabetes mellitus but has not been proven to reduce the
risk of macrovascular events such as myocardial infarction and stroke. Poorer
glycemic control, as indicated by glycated hemoglobin level concentration, is
associated with an increased risk of heart failure (HF), but it is not known
whether improved glycemic control reduces this risk. We conducted a meta-analysis
of randomized controlled trials comparing strategies of more versus less
intensive glucose-lowering that reported HF events. METHODS: Two investigators
independently searched PubMed, the Cochrane CENTRAL register of controlled
trials, metaRegister, pre-MEDLINE, and CINAHL from January 1970 to October 2010
for prospective controlled randomized trials comparing a more intensive glucose
lowering regimen to a standard regimen. The outcome of interest was HF-related
events (both fatal and nonfatal). Odds ratios (ORs) were calculated from
published data from relevant trials and pooled with a random-effects meta
analysis. RESULTS: A total of 37,229 patients from 8 randomized trials were
included in the analysis. Follow-up ranged from 2.3 to 10.1 years, and the
overall number of HF-related events was 1469 (55% in the intensive treatment
arm). The mean difference in glycated hemoglobin level between patients given
standard treatment and those allocated to a more intensive regimen was 0.9%.
Overall, the risk of HF-related events did not differ significantly between
intensive glycemic control and standard treatment (OR 1.20, 95% CI 0.96-1.48),
but the effect estimate was highly heterogeneous (I(2) = 69%). At subgroup
analysis, intensive glycemic control achieved with high thiazolidinediones use
significantly increased HF risk (OR 1.33, 95% CI 1.02-1.72). CONCLUSIONS: More
intensive glycemic control in patients with type 2 diabetes mellitus did not
reduce the occurrence of HF events. Furthermore, intensive glycemic control with
thiazolidinediones increased the risk of HF. These findings question a direct
mechanistic link between hyperglycemia and HF.
PMID- 22093213
TI - Effect of controlled reduction of body iron stores on clinical outcomes in
peripheral arterial disease.
AB - BACKGROUND: Published results from a controlled clinical trial in patients with
peripheral arterial disease found improved outcomes with iron (ferritin)
reduction among middle-aged subjects but not the entire cohort. The mechanism of
the age-specific effect was explored. METHODS: Randomization to iron reduction
(phlebotomy, n = 636) or control (n = 641) stratified by prognostic variables
permitted analysis of effects of age and ferritin on primary (all-cause
mortality) and secondary (death, nonfatal myocardial infarction, and stroke)
outcomes. RESULTS: Iron reduction improved outcomes in youngest age quartile
patients (primary outcome hazard ratio [HR] 0.44, 95% CI 0.21-0.92, P = .028;
secondary outcome HR 0.34, 95% CI 0.19-0.61, P < .001). Mean follow-up ferritin
levels (MFFL) declined with increasing entry age in controls. Older age (P =
.035) and higher ferritin (P < .001) at entry predicted poorer compliance with
phlebotomy and rising MFFL in iron-reduction patients. Intervention produced
greater ferritin reduction in younger patients. Improved outcomes with lower MFFL
were found in iron-reduction patients (primary outcome HR 1.11, 95% CI 1.01-1.23,
P = .028; secondary outcome HR 1.10, 95% CI 1.0-1.20, P = .044) and the entire
cohort (primary outcome HR 1.11, 95% CI 1.01-1.23, P = .037). Improved outcomes
occurred with MFFL below versus above the median of the entire cohort means
(primary outcome HR 1.48, 95% CI 1.14-1.92, P = .003; secondary outcome HR 1.22,
95% CI 0.99-1.50, P = .067). CONCLUSIONS: Lower iron burden predicted improved
outcomes overall and was enhanced by phlebotomy. Controlling iron burden may
improve survival and prevent or delay nonfatal myocardial infarction and stroke.
PMID- 22093214
TI - Delayed arterial healing 5 years after implantation of sirolimus-eluting stents:
no smoke without fire.
PMID- 22093216
TI - Liposomes and other vesicular systems: structural characteristics, methods of
preparation, and use in nanomedicine.
AB - Vesicular systems, especially liposomes, have generated a great deal of interest
as intelligent materials for the delivery of bioactive molecules since they can
be used as sensitive containers that respond to external stimuli, such as
pressure, pH, temperature, or concentration changes in the medium, triggering
modifications in their supramolecular structure. The control of the nanostructure
particle size and size distribution, membrane morphology, and supramolecular
organization-of these self-assembled systems is of profound importance for their
application in drug delivery and the discovery of new nanomedicines. This chapter
will describe the chemical structure of vesicles and their pharmacological
properties, conventional and new vesicle preparation methods and structural
characterization, as well as their use in the rational design and fabrication of
nanomedicines.
PMID- 22093215
TI - Pneumocystis jirovecii pneumonia is rare in renal transplant recipients receiving
only one month of prophylaxis.
AB - Prophylaxis against Pneumocystis jirovecii pneumonia (PCP) is recommended for at
least 4-12 months after solid organ transplant. In our center, renal transplant
recipients receive only 1 month of post-transplant trimethoprim-sulfamethoxazole,
which also may provide limited protection against Nocardia. We identified only 4
PCP cases and 4 Nocardia cases in 1352 patients receiving renal and renal
pancreas transplant from 2003 to 2009 at the University of Michigan Health
System. Two PCP cases were identified <1 year after transplant, and 2 PCP cases
were identified >1 year after transplant (gross attack rate 4/1352, 0.3%). Two
Nocardia cases were identified <1 year after transplant, and 2 cases were
identified >1 year after transplant. All identified cases received induction
therapy (7 of 8 with anti-thymocyte globulin), whereas about one-half of all
renal transplant patients received induction therapy at our institution. No
patient was treated for rejection within 6 months of PCP; 2 of 4 patients with
PCP had recent cytomegalovirus infection. All patients with PCP and 3 of 4
patients with Nocardia survived. The benefits of prolonged PCP prophylaxis should
be weighed against the adverse events associated with prolonged use of
antimicrobials.
PMID- 22093217
TI - Luminescent quantum dots, making invisibles visible in bioimaging.
AB - Semiconductor quantum dots (QDs), their size-dependent tunable absorption and
emission in the visible and near-infrared regions, narrow emission and broad
absorption bands, large one- and multiphoton absorption cross sections,
brightness, and photostability make them powerful light sources in the nanoscale
region that will help to advance biotechnologies. This chapter overviews the
present status and prospects of QDs by focusing on bioconjugation of QDs, and
applications of bioconjugated QDs to surface-cell as well as inside-cell labeling
for imaging and photodynamic therapy (PDT). In particular, it highlights the
synthesis of biocompatible QDs, surface modification of QDs, conjugation of QDs
with a variety of ligands and antibodies, potential targets of bioconjugated QDs
in cells, nonspecific and specific surface-cell labeling, nonspecific and
specific delivery of QDs in cells, and PDT based on QDs. Such information will be
helpful for both applications of QDs for bioimaging and extension of QD
technologies to other nanoparticles.
PMID- 22093218
TI - Fabrication of dendrimers toward biological application.
AB - Dendrimers consisting of inner core and peripheral shell are well-designed
branching architectures with abundant terminal groups. Therefore, the high level
of control over dendritic architectures makes dendrimers ideal carriers in
biomedical application. In addition, the toxicity of dendrimers mainly comes from
the high cationic charge density in the periphery, where charges interact with
biological cell membrane and then result in membrane disruption. Two strategies
have been utilized to minimize the toxicity of dendrimers: first, selecting
neutral or anionic biocompatible dendrimers, and second, masking of peripheral
charge by chemical modification. Then the focus of this chapter shifts to
biomedical application of biocompatible dendrimers, including drug delivery
systems of dendrimers, targeting delivery by dendrimers, and applications of
dendrimers for therapy and as imaging agents for inspection.
PMID- 22093219
TI - Carbohydrate-based nanoparticles for potential applications in medicine.
AB - Although carbohydrates are essential for life, the development of medical tools
based on these important biomolecules is significantly slower than those based on
proteins or nucleic acids. This chapter deals with the applications of
nanoparticles decorated with carbohydrates and discusses the perspectives of
their use in the field of medicine. The review is divided into two sections:
diagnosis and therapy. Within these topics, the focus will be on two main types
of systems: carbohydrate-coated metallic nanoparticles in which the carbohydrate
ligands are "covalently" linked to a nanosized metallic cluster and
polysaccharide-encapsulated metallic cores. The former glyconanoparticles (GNPs)
represent a powerful chemical tool in the field of glycobiology as a specific
carbohydrate can be selected to exert a concrete biological function and profile
carbohydrate-based interactions. Up to now, these GNPs have been mainly used as
potential anti-adhesion agents against pathogens. The opportunity of inserting
multifunctionality and changing the nucleus size/material is giving birth to new
targeted systems for imaging and therapy. On the other hand, nonmetallic
polysaccharide-based nanoparticles have been successfully used as drug delivery
carriers in addition to molecular imaging.
PMID- 22093220
TI - Carbon nanotubes: engineering biomedical applications.
AB - Carbon nanotubes (CNTs) are cylinder-shaped allotropic forms of carbon, most
widely produced under chemical vapor deposition. They possess astounding
chemical, electronic, mechanical, and optical properties. Being among the most
promising materials in nanotechnology, they are also likely to revolutionize
medicine. Among other biomedical applications, after proper functionalization
carbon nanotubes can be transformed into sophisticated biosensing and
biocompatible drug-delivery systems, for specific targeting and elimination of
tumor cells. This chapter provides an introduction to the chemical and electronic
structure and properties of single-walled carbon nanotubes, followed by a
description of the main synthesis and post-synthesis methods. These sections
allow the reader to become familiar with the specific characteristics of these
materials and the manner in which these properties may be dependent on the
specific synthesis and post-synthesis processes. The chapter ends with a review
of the current biomedical applications of carbon nanotubes, highlighting
successes and challenges.
PMID- 22093222
TI - Polymer nanoparticles.
AB - Over the past few decades, interest in designing and developing polymeric
nanoparticles has undergone considerable explosion. Indeed, these
nanoparticulated polymer-based systems provide potential solution to improve
therapeutic efficacy and diagnosis sensitivity. In this chapter, general
properties, production, and characterization of polymer nanoparticles are
introduced. Specifically, the development and application of polyhydroxyalkanoate
(PHA)-based nanoparticles are emphasized because of the good biocompatible,
biodegradable properties, as well as their mechanical flexibility. These PHAs
nanoparticles can serve as targeting drug delivery carriers and protein
purification and immobilization matrices. The perspective outlook in the last
section highlights the future application of polymer nanoparticles in
translational science.
PMID- 22093221
TI - Engineered biological entities for drug delivery and gene therapy protein
nanoparticles.
AB - The development of genetic engineering techniques has speeded up the growth of
the biotechnological industry, resulting in a significant increase in the number
of recombinant protein products on the market. The deep knowledge of protein
function, structure, biological interactions, and the possibility to design new
polypeptides with desired biological activities have been the main factors
involved in the increase of intensive research and preclinical and clinical
approaches. Consequently, new biological entities with added value for innovative
medicines such as increased stability, improved targeting, and reduced toxicity,
among others have been obtained. Proteins are complex nanoparticles with sizes
ranging from a few nanometers to a few hundred nanometers when complex
supramolecular interactions occur, as for example, in viral capsids. However,
even though protein production is a delicate process that imposes the use of
sophisticated analytical methods and negative secondary effects have been
detected in some cases as immune and inflammatory reactions, the great potential
of biodegradable and tunable protein nanoparticles indicates that protein-based
biotechnological products are expected to increase in the years to come.
PMID- 22093223
TI - Nanobiotechnology and personalized medicine.
AB - This chapter will start with a definition and scope of personalized medicine and
describe how various nanobiotechnologies will contribute to its development.
Nanodiagnostics and its combination with therapeutics as well as nanoparticle
based drug delivery will play an important role. The most important applications
of nanobiotechnology will be personalized management of cancer, neurological
disorders, and cardiovascular diseases.
PMID- 22093224
TI - Tissue engineering using magnetite nanoparticles.
AB - The major advantage of magnetic manipulation is "remote control." Magnetic
labeling of cells with magnetic nanoparticles enables the manipulation of cells
and also the control of cell functions by applying an external magnetic field.
"Functional" magnetite nanoparticles were developed for cell manipulation using
magnetic force, and the magnetite nanoparticles were applied to tissue
engineering processes, which are designated as magnetic force-based tissue
engineering (Mag-TE). This chapter reviews recent progress in Mag-TE techniques,
and the principles and utilities of the applications are discussed. This review
covers three topics of magnetic cell manipulation using magnetite nanoparticles,
including a magnetic force-based gene transfer technique (magnetofection),
magnetic cell patterning using functional magnetite nanoparticles and micro
patterned magnetic field gradient concentrators, and finally applications for
fabrication of tissue-like constructs in skin, liver, and muscle tissue
engineering.
PMID- 22093225
TI - Peptide nanoparticles for oligonucleotide delivery.
AB - In the past two decades, different methods have emerged for intervention with
gene expression, which can be generally referred to as gene therapy.
Oligonucleotides (ONs) and their analogs form the basis of the molecules that can
be used to modulate gene expression. Unfortunately, due to their physicochemical
properties, these molecules require assistance in their intracellular delivery.
Cell-penetrating peptides (CPPs) are one class of nonviral delivery vectors that,
because of their remarkable translocation properties, have been intensely
utilized for the delivery of ON-based molecules, both in vitro and in vivo. This
chapter concentrates on the applications of CPPs that directly form nanoparticles
with different ONs and facilitate their intracellular delivery.
PMID- 22093226
TI - Nanoparticles in molecular diagnostics.
AB - The aim of this chapter is to provide an overview of the available and emerging
molecular diagnostic methods that take advantage of the unique nanoscale
properties of nanoparticles (NPs) to increase the sensitivity, detection
capabilities, ease of operation, and portability of the biodetection assemblies.
The focus will be on noble metal NPs, especially gold NPs, fluorescent NPs,
especially quantum dots, and magnetic NPs, the three main players in the
development of probes for biological sensing. The chapter is divided into four
sections: a first section covering the unique physicochemical properties of NPs
of relevance for their utilization in molecular diagnostics; the second section
dedicated to applications of NPs in molecular diagnostics by nucleic acid
detection; and the third section with major applications of NPs in the area of
immunoassays. Finally, a concluding section highlights the most promising
advances in the area and presents future perspectives.
PMID- 22093227
TI - Nanoparticles in cancer chemotherapy.
AB - Nanotechnology has evolved as an exciting platform in the field of anticancer
research with promises to improve the pharmacology of current cancer
therapeutics. Nanoparticles confer several advantages over that of free drugs,
including their capability to carry high payloads of drugs, with prolonged half
life and reduced toxicity of the drugs, and increased targeting efficiency. The
wide variety of nanovectors, coupled with the different methods available to
conjugate or encapsulate therapeutic and/or imaging agents within, provide us
with opportunities to fine-tune the pharmacological properties of these agents
and open up new vistas in anticancer research. Here, we will discuss the
physicochemical properties of different nanoparticles, their impact on tumor
targeting, and their current status in the clinics with respect to cancer
chemotherapy.
PMID- 22093228
TI - Nanoparticles in gene therapy principles, prospects, and challenges.
AB - With the development of genomic technologies, the prospect for gene therapy has
progressed rapidly. The major challenge of gene delivery is to improve the
transfection efficiencies of the nonviral carriers. Among various nonviral gene
vectors, nanoparticles (NPs) offer an ideal platform for the incorporation of all
the desirable characteristics into a single gene delivery system. In this
chapter, some of the most popularly used lipid- and polymer-based gene delivery
vectors and their components are discussed in terms of their characteristics,
advantages, and limitations. Although substantial progress has been made, further
development of sophisticated delivery systems is foreseen for the nonviral
vectors' application in gene therapy. Therefore, we have described several
effective strategies to enhance the transfection efficiency of nonviral gene
vectors. It is hoped that this review will provide an impetus to the expansion of
this promising field of nanomedicine.
PMID- 22093230
TI - The design, fabrication, and application of nano-objects.
PMID- 22093231
TI - Late presentation of HIV infection among adults in New Zealand: 2005-2010.
AB - BACKGROUND: Early diagnosis of HIV infection is important for the individual and
for disease control. A consensus was recently reached among European countries on
definitions of timing of presentation for care: 'Late presentation' refers to
entering care with a CD4 count <350 cells/MUL or an AIDS-defining event,
regardless of the CD4 count. Presentation with 'advanced HIV disease' is a subset
having a CD4 count <200 cells/MUL and also includes all who have an AIDS-defining
event regardless of CD4 count. This study examines timing of presentation in New
Zealand from 2005 to 2010. METHODS: Since 2005, information on the initial CD4
cell count has been requested on all people newly diagnosed with HIV infection
through antibody testing in New Zealand. Excluded in this analysis were those
previously diagnosed overseas or for an immigration medical. RESULTS: A CD4 cell
count was provided for 606 (80.3%) of the 755 newly diagnosed adults. Overall,
50.0% were 'late presenters' and 32.0% had 'advanced HIV disease'. Compared with
men who have sex with men (MSM), people heterosexually infected were more likely
to present late. 'Late presentation' and presentation with 'advanced HIV disease'
were significantly more common among older MSM. Maori and Pacific MSM were more
likely to present with 'advanced HIV disease'. Compared with European MSM, the
age-adjusted relative risks for Maori and Pacific MSM were 2.1 [95% confidence
interval (CI) 1.4-3.2] and 2.5 (95% CI 1.2-5.0), respectively. CONCLUSIONS: The
high proportion of people presenting late reflects inadequate levels of HIV
testing. The lower proportion of late presentations among MSM compared with those
heterosexually infected may be explained by a higher proportion of recent locally
acquired infections together with different testing patterns.
PMID- 22093232
TI - Ocular fundus pathology and chronic kidney disease in a Chinese population.
AB - BACKGROUND: Previous study indicated a high prevalence of ocular fundus pathology
among patients with chronic kidney disease (CKD), while the relationship between
them has never been explored in a Chinese Population. METHODS: This cross
sectional study included 9 670 participants enrolled in a medical screening
program. Ocular fundus examination was performed by ophthalmologists using
ophthalmoscopes. The presence of eGFR less than 60 mL/min/1.73 m(2) and/or
proteinuria was defined as CKD. RESULTS: Compared to participants without CKD,
participants with CKD had higher prevalence of retinopathy (28.5% vs. 16.3%, P <
0.001), glaucoma suspect (3.1% vs. 1.8%, P = 0.004), age-related macular
degeneration (1.7% vs. 0.9%, P = 0.01) and overall eye pathology (32.0% vs.
19.4%, P < 0.001). After adjusting for potential confounders, the odds ratio of
proteinuria for overall eye pathology and retinopathy was 1.29 (95% confidence
interval [CI] 1.07-1.55) and 1.37 (95% CI 1.12-1.67), respectively. The results
were robust after excluding participants with hypertension or with diabetes.
CONCLUSIONS: Ocular fundus pathology is common among Chinese patients with CKD.
Regular eye exam among persons with proteinuria is warranted.
PMID- 22093233
TI - A randomized, single-blind, postmarketing study of multiple energy levels of high
intensity focused ultrasound for noninvasive body sculpting.
AB - BACKGROUND: High-intensity focused ultrasound (HIFU) is a nonsurgical,
noninvasive body sculpting method. OBJECTIVE: To investigate preferences for
treatment settings using a HIFU device. MATERIALS AND METHODS: HIFU was applied
to the anterior abdomen in three passes of decreasing depth (1.6, 1.3, and 1.1
cm) in patients randomized to HIFU energy levels (each of 3 passes [total]) of 47
(141), 52 (156), or 59 (177) J/cm(2). The primary assessment was week 12 post
treatment change from baseline waist circumference at the level of the iliac
crest for all treatment groups combined. RESULTS: The primary assessment achieved
statistical significance (least squares mean 2.51 cm, 95% confidence interval
[CI] = -3.14 to -1.88; p < .001), with no significant differences between groups.
At week 12, 69% to 86% of patients and 73% to 79% of investigators rated
appearance as improved or much improved. The average worst pain (100-mm visual
analog scale) experienced during treatment was mild (47 J/cm(2): 17.1 mm, 95% CI
= 4.33-29.81 mm; 52 J/cm(2): 24.6 mm, 95% CI = 12.24-36.95 mm; 59 J/cm(2): 30.9
mm, 95% CI = 18.71-43.17 mm). There were no serious adverse events. CONCLUSION:
HIFU treatment at different energy levels and multiple tissue depths was well
tolerated and effective in reducing waist circumference.
PMID- 22093229
TI - Cell delivery of therapeutic nanoparticles.
AB - Nanomedicine seeks to manufacture drugs and other biologically relevant molecules
that are packaged into nanoscale systems for improved delivery. This includes
known drugs, proteins, enzymes, and antibodies that have limited clinical
efficacy based on delivery, circulating half-lives, or toxicity profiles. The
<100 nm nanoscale physical properties afford them a unique biologic potential for
biomedical applications. Hence they are attractive systems for treatment of
cancer, heart and lung, blood, inflammatory, and infectious diseases. Proposed
clinical applications include tissue regeneration, cochlear and retinal implants,
cartilage and joint repair, skin regeneration, antimicrobial therapy, correction
of metabolic disorders, and targeted drug delivery to diseased sites including
the central nervous system. The potential for cell and immune side effects has
necessitated new methods for determining formulation toxicities. To realize the
potential of nanomedicine from the bench to the patient bedside, our laboratories
have embarked on developing cell-based carriage of drug nanoparticles to improve
clinical outcomes in infectious and degenerative diseases. The past half decade
has seen the development and use of cells of mononuclear phagocyte lineage,
including dendritic cells, monocytes, and macrophages, as Trojan horses for
carriage of anti-inflammatory and anti-infective medicines. The promise of this
new technology and the perils in translating it for clinical use are developed
and discussed in this chapter.
PMID- 22093234
TI - Ester-linked hen egg white lysozyme shows a compact fold in a molecular dynamics
simulation - possible causes and sensitivity of experimentally observable
quantities to structural changes maintaining this compact fold.
AB - Prediction and understanding of the folding and stability of the 3D structure of
proteins is still a challenge. The different atomic interactions, such as non
polar contacts and hydrogen bonding, are known but their exact relative weights
and roles when contributing to protein folding and stability are not identified.
Initiated by a previous molecular dynamics simulation of fully ester-linked hen
egg white lysozyme (HEWL), which showed a more compact fold of the ester-linked
molecule compared to the native one, three variants of this protein are analyzed
in the present study. These are 129-residue native HEWL, partly ester-linked
HEWL, in which only 34 peptide linkages that are not involved in the helical or
beta-strand parts of native HEWL were replaced by ester linkages, and fully (126
residues) ester-linked HEWL. Native and partly ester-linked HEWL showed
comparable behaviour, whereas fully ester-linked HEWL could not maintain the
native secondary structure of HEWL in the simulation and adopted a more compact
fold. The conformational changes were analyzed by comparing simulation averaged
values of quantities that can be measured by NMR, such as (1)H-(15)N backbone
order parameters, residual dipolar couplings, proton-proton NOE distances and
(3)J-couplings with the corresponding values derived from experimental NMR data
for native HEWL. The information content of the latter appeared to be
insufficient to detect the local conformational rearrangements upon
esterification of the loop regions of the protein. For fully ester-linked HEWL, a
significantly reduced agreement was observed. Upon esterification, the backbone
side chain and side chain-side chain hydrogen-bonding pattern of HEWL changes to
maintain its compactness and thus the structural stability of the ester-linked
lysozymes.
PMID- 22093235
TI - Management of pilomatrix carcinoma: a case report of successful treatment with
Mohs micrographic surgery and review of the literature.
PMID- 22093236
TI - Attitudes towards different containment measures: a questionnaire survey in
Finnish adolescent psychiatry.
AB - Use of containment measures in the treatment of underage patients is
controversial, and empirical evidence about which containment methods are
preferred is lacking. This study aimed to investigate attitudes of staff towards
various containment measures in the field of adolescent psychiatry. The sample
comprised 128 Finnish nurses and doctors working in closed wards with 13- to 17
year-old patients. The attitudes were studied using the Attitude to Containment
Measures Questionnaire. The three methods with the most approval were as-needed
medication, transfer to specialist locked wards and mechanical restraint. The
method with the least approval was the net bed. Total approval scores for the
various containment measures were very similar among nurses and doctors. The
differences appeared in attitudes towards mechanical restraint and constant
observation, doctors showing a more critical attitude. Women tended to be more
critical than men, but only intramuscular medication and mechanical restraint
reached statistical significance. The results emphasize the importance of wide
ranging and in-depth training as well as the difficulty of changing practices in
psychiatric wards while attitudes are so strongly pro-containment.
PMID- 22093237
TI - Relationships between motor aspects of gait impairments and activity limitations
in people with Parkinson's disease: a systematic review.
AB - Gait disorders are common in people with Parkinson's disease (PD) yet their
associations with activity limitations remain poorly understood. The objective of
this review is to investigate the relationships between gait impairments and
activity limitations in people with idiopathic PD. Six electronic databases
(MEDLINE ISI, CINAHL, Web of Science ISI, EMBASE, PsycINFO and Scopus) were
systematically searched using relevant terms to source for English-language
studies published between 1900 and January 2011. Only studies that reported
associations between impairments and activity limitations were considered for the
review. The methodological quality of eligible studies was evaluated using a
customized checklist by two independent reviewers. Data on participant
characteristics, disease severity and associations between variables were
extracted using a standardized data extraction form. Correlation coefficients
were transformed to standardized scores and pooled using the fixed or random
effects models. 16 of the 1735 studies screened were included, comprising 1882
participants. Large effect sizes were found between postural instability measured
by the Berg Balance scale and activity limitation measured by the Unified
Parkinson's Disease Rating Scale Activities of Daily Living (UPDRS ADL) section (
0.81; 95% CI, -0.99 to -0.63; p < 0.001). Gait hypokinesia measured by gait speed
was significantly correlated with UPDRS ADL (-0.41; 95% CI, -0.56 to -0.26; p <
0.001). Gait freezing measured by the Freezing of Gait Questionnaire was
associated with reduction in ADL (0.45; 95% CI, 0.36 to 0.54; p < 0.001).
Knowledge of gait disturbances and their associations with disability may help to
guide clinicians in assessing patients to optimize functional outcomes.
PMID- 22093238
TI - Clinical and radiological features of respiratory syncytial virus in solid organ
transplant recipients: a single-center experience.
AB - BACKGROUND: Respiratory syncytial virus (RSV) infections range from upper
respiratory illness to severe lower respiratory disease. There is no universally
accepted treatment for RSV in solid organ transplant (SOT) recipients. METHODS:
Retrospective review of adult SOT patients with RSV infections, between January
2007 and December 2009, in a single transplant center was performed. RESULTS:
During the 3-year period, a total of 24 adults developed RSV infection, including
12 (50%) SOT recipients (5 kidneys, 4 livers, and 3 lungs). Most cases were seen
in 2009 during the influenza H1N1 pandemic, likely as a result of increased
testing. In 83% of the cases, the diagnosis was based on RSV antigen detection,
which was also used to follow subsequent shedding (mean duration: 20.6 days).
Most of the cases presented with lower respiratory disease and required
hospitalization. All the patients were on at least two classes of
immunosuppressive drugs. We observed a lower lymphocyte count in patients with
lower respiratory tract infection. Computed tomography was superior to chest x
ray in demonstrating pulmonary disease, with the most common findings being
pulmonary nodules and ground-glass opacities. Novel radiographic findings were
small cavities and pleural effusions. No co-infections were documented, and no
mortality could be attributed to RSV. Inhaled or oral ribavirin was administered
in 67% of the cases, with variations in the treatment regimens. CONCLUSION: SOT
recipients accounted for half of all adult cases of RSV at our institution. Type
and length of treatment varied widely, and we cannot conclude that outcomes
differed between treatments with oral or inhaled ribavirin. Current therapeutic
management of RSV in SOT is empiric, and can be rather expensive and difficult,
without clear evidence of effectiveness.
PMID- 22093240
TI - Multiple signal pathways in obesity-associated cancer.
AB - Obesity is increasing worldwide and reaches to a large proportion of the
population in developed countries. Thus, obesity-associated cancer has become a
major health problem. Multiple cancer risk factors in obesity have been
identified including insulin/insulin-like growth factor axis, adipokines and
cytokines; and multiple intracellular signal pathways have been studied. However,
the role of each signal pathway in obesity-associated cancer is controversial. In
this review, the recent studies on signal pathways in obesity-associated cancer
are summarized and a unified explanation is provided. Multiple risk factors could
initially activate phosphoinositide 3-kinase (PI3K/Akt), mitogen-activated
protein kinase (MAPK) and signal transducer and activator of transcription 3
(STAT3) pathways. With increased severity of obesity, mammalian target of
rapamycin (mTOR), which is down-stream of both PI3K/Akt and MAPK, is highly
activated. Activated mTOR in turn inhibits the PI3K/Akt pathway and further
activates the STAT3 pathway. This may explain the activation of the PI3K/Akt
pathway at the early stage of obesity and its inhibition at the later stage. mTOR
inhibition may be used for cancer therapy, but it may be necessary to be combined
with the PI3K/Akt inhibitor as decreased mTOR activity will release its feedback
inhibition on the PI3K/Akt pathway, which is under the influence of multiple
cancer risk factors in obesity. Thus, dual inhibitors of PI3K and mTOR may
provide a novel approach.
PMID- 22093239
TI - Studies of the transmissibility of the agent of bovine spongiform encephalopathy
to the domestic chicken.
AB - BACKGROUND: Transmission of the prion disease bovine spongiform encephalopathy
(BSE) occurred accidentally to cattle and several other mammalian species via
feed supplemented with meat and bone meal contaminated with infected bovine
tissue. Prior to United Kingdom controls in 1996 on the feeding of mammalian meat
and bone meal to farmed animals, the domestic chicken was potentially exposed to
feed contaminated with the causal agent of BSE. Although confirmed prion diseases
are unrecorded in avian species a study was undertaken to transmit BSE to the
domestic chicken by parenteral and oral inoculations. Transmissibility was
assessed by clinical monitoring, histopathological examinations, detection of a
putative disease form of an avian prion protein (PrP) in recipient tissues and by
mouse bioassay of tissues. Occurrence of a progressive neurological syndrome in
the primary transmission study was investigated by sub-passage experiments.
RESULTS: No clinical, pathological or bioassay evidence of transmission of BSE to
the chicken was obtained in the primary or sub-passage experiments. Survival data
showed no significant differences between control and treatment groups.
Neurological signs observed, not previously described in the domestic chicken,
were not associated with significant pathology. The diagnostic techniques applied
failed to detect a disease associated form of PrP. CONCLUSION: Important from a
risk assessment perspective, the present study has established that the domestic
chicken does not develop a prion disease after large parenteral exposures to the
BSE agent or after oral exposures equivalent to previous exposures via commercial
diets. Future investigations into the potential susceptibility of avian species
to mammalian prion diseases require species-specific immunochemical techniques
and more refined experimental models.
PMID- 22093241
TI - Comparison of gene expression of tissue inhibitor of matrix metalloproteinase-1
between continuous and intermittent distraction osteogenesis: a quantitative
study on rabbits.
AB - BACKGROUND: Distraction osteogenesis is a controlled surgical procedure that
initiates a regenerative process and uses mechanical strain to enhance the
biological responses of the injured tissues to create new bone. To explore the
effect of high-frequency mechanical traction on the expression of tissue
inhibitor of matrix metalloproteinase-1 (TIMP-1), we compared the gene expression
of TIMP-1 between continuous and intermittent distraction osteogenesis using a
rabbit model of mandibular lengthening. MATERIALS AND METHODS: Forty adult New
Zealand white rabbits were randomly assigned to the intermittent and continuous
distraction groups. A unilateral mandibular osteotomy was performed and a custom
designed manual-driven or auto-driven distractor was bridged over the osteotomy
segments. Animals were sacrificed at day-6, day-10, day-14 and day-21 after
osteotomy. Samples were examined with real-time polymerase chain reaction (PCR).
RESULTS: Real-time PCR examination showed significantly higher mRNA levels of
TIMP-1 under continuous distraction than that under intermittent distraction at
day-6 and day-10. No significant differences were found at day-14 and day-21.
CONCLUSION: High-frequency traction provides a good mechanical environment for
accelerating bone formation by up-regulating TIMP-1.
PMID- 22093242
TI - Influences of palatoplasty by the push-back procedure on craniofacial morphology
and growth.
AB - PURPOSE: For patients with a cleft palate, the push-back procedure which
accompanies posterior shifting of palatal flap is thought to be most effective
way of. achieving adequate velopharyngeal function. In this study, we aimed to
evaluate the influences of the push-back procedure on the craniofacial morphology
and its growth. MATERIAL AND METHODS: Using cephalometry we compared the
craniofacial morphology and growth of three groups of Japanese children, living
in the same region (Hokkaido, Japan). 1) 28 children (13 girls and 15 boys) with
operated submucous cleft palates at the ages of 9 and 14 respectively. 2) 12 age
matched children (7 girls and 5 boys) with unoperated submucous cleft palates. 3)
60 age-matched non-cleft children (30 girls and 30 boys) with normal occlusion.
None of them received dentofacial orthopaedic treatment. RESULTS: While the
patients who had been operated on had significant differences in posterior upper
facial height and inclination of the palatal plane when compared with non-cleft
children or unoperated cleft children, they showed no statistically significant
difference in anteroposterior positioning of anterior part of the maxilla,
compared with the unoperated. CONCLUSIONS: The influences of palatoplasty by the
push-back procedure with posterior positioning of the palatal flaps on
craniofacial morphology are additional to the cleft palate, and of minor concern.
PMID- 22093243
TI - Cranio-maxillofacial non-Hodgkin's lymphoma: clinical and histological
presentation.
AB - Non-Hodgkin's lymphoma represents about 5% of all malignant lesions of the head
and neck. In this study we retrospectively evaluated clinical presentation,
histological subtype and long-term prognosis of 42 patients with non-Hodgkin's
lymphoma involving the craniofacial area. The mean age at diagnosis was 64 years.
More than half of the patients presented with disseminated disease at multiple
sites (55%, n=23). In 62% (n=26) the first manifestation was extranodal. The most
common affected region was the oral cavity (65%, n=17). Treatment consisted of
local therapy, including surgical resection and radiation, as well as
chemotherapy with or without local therapy. Recurrence occurred in 31% (n=13) of
the treated patients. Mean survival after first diagnosis varied from 17 months
in patients presenting with diffuse large B-cell lymphoma (DLBCL), to 8.5 years
in patients with follicular lymphoma. The most common histological subtype is
DLBCL. Standard treatment for DLBCL consists of chemotherapy combined with CD 20
monoclonal antibody, even after total resection of the tumour. There is high risk
of systemic disease in patients presenting with non-Hodgkin's lymphoma and high
risk of post therapy recurrence.
PMID- 22093244
TI - Ocular and periocular injuries associated with an isolated orbital fracture
depending on a blunt cranial trauma: anatomical and surgical aspects.
AB - The anatomical location of fractures following blunt cranio-orbital trauma is
important for neurosurgeons and maxillofacial surgeons. In this study, 588 cranio
orbital fractures following blunt trauma were evaluated retrospectively with
regard to the anatomical site and surgical treatment. Orbital cranial nerve
injuries and the outcomes of the medical and/or surgical treatment are described.
Distribution of the zygomatic complex and orbital fractures were as follows:
zygomatic complex fractures (n:304), isolated orbital fractures (n:58), complex
comminuted fractures (n:226). In 58 cases, 69 orbit fractures were found (11
bilateral and 47 unilateral fractures). The lateral wall was the most frequent
fracture (n:63). The least frequent fracture was the roof of the orbit (n:11).
The accompanying lesions were as follows: 89.65% of cases were associated with
periorbital haematoma (n:52), 13.79% of cases with retrobulbar haemorrhage (n:8),
96.55% cases with periorbital soft tissue oedema (n:56), 53.45% cases with
pneumocephalus (n:31), 8.62% cases with intra-parenchymal contusion (n:5), 6.89%
cases with enophthalmia (n:4), 5.17% of cases with rhinorrhoea (n: 3), 5.17%
cases with optic bulb injury and adnexial trauma (n:3), 32.76% cases with intra
orbital emphysema (n:19), and 20.69% with vision dysfunctions (n:12), of whom 2
had no optic nerve injury.
PMID- 22093245
TI - Critical review of the Stepwise Laboratory Improvement Process Towards
Accreditation (SLIPTA): suggestions for harmonization, implementation and
improvement.
AB - OBJECTIVE: Clinical laboratories in low- and middle-income countries (LMIC) need
fundamental improvement because quality laboratory services are essential for the
decision-making capacity of clinicians, health workers and public health
authorities. To this end, a tiered accreditation scheme Stepwise Laboratory
Improvement Process Towards Accreditation (SLIPTA) was developed by WHO-AFRO, CDC
and others for clinical laboratories in LMIC. One to five stars are accredited to
laboratories based on the level of compliance with a checklist. Our aim was to
evaluate the quality and applicability of this accreditation scheme compared with
international quality standards. METHODS: We performed a critical review of this
scheme to formulate recommendations for implementation, harmonization and
improvement. Two analyses were performed: one assessing its coverage of the ISO
15189:2007 standard and one to identify and evaluate priorities of the
accreditation checklist. RESULTS: Although the content of the checklist covers
all aspects of total quality management, it strongly prioritizes resource
management activities. We recommend identifying critical requirements for each
tier of accreditation to assure a certain level of quality for each tier or
instead using a pass/fail approach towards accreditation. In addition, the
checklist should include more questions for assessing proper management, ethics
and continuous improvement to meet ISO 15189. CONCLUSION: Launching accreditation
schemes for laboratories in LMIC should be encouraged. After further optimization
of SLIPTA, clinical laboratories may certainly benefit, leading to more correctly
diagnosed patients and less waste of resources.
PMID- 22093246
TI - Newly diagnosed psychogenic nonepileptic seizures: health care demand prior to
and following diagnosis at a first seizure clinic.
AB - Patients with psychogenic nonepileptic seizures (PNES) are heavy users of
emergency and nonemergency health care. We performed a 1-year prospective audit
of use of a group of PNES-related health care items in patients with newly
diagnosed (mean duration: 7.3 months) PNES from PNES onset to diagnosis and from
diagnosis to 6 months postdiagnosis. Twenty-eight patients (20 women, age: 34+/
16 years) were responsible for 14 general practitioner home visits, 31 ambulance
calls, 34 emergency department visits, 21 hospital admissions (66 inpatient
days), 8 MRI scans, 24 CT scans, 2 standard EEGs, 28 short video EEG recordings,
and 5 ambulatory EEG recordings. In the 6 months following diagnosis, there were
2 emergency department visits (94.1% reduction), no hospital admissions (100%
reduction), 2 ambulance calls, no general practitioner visits, 1 MRI scan, and no
CT scans or EEGs. The immediacy of this marked health care demand reduction
suggests that the relationship between presentation of diagnosis and health care
demand reduction is causal.
PMID- 22093247
TI - What about dads? An exploratory analysis of depressive symptoms in paternal
primary caregivers of children with epilepsy.
PMID- 22093248
TI - Diagnosis of tuberculosis: the experience at a specialized diagnostic laboratory.
AB - This work describes the experience at a tuberculosis clinical laboratory where
relatively new TB diagnosis technologies; nucleic acid detection of two target
strands, IS6110 and devR, by PCR and microscopic observation drug susceptibility
(MODS) were used. The LJ culture was the gold standard. This evaluation was done
from August 2007 to July 2009 on 463 sputum samples of tuberculosis suspects at a
specialized tuberculosis clinic in Delhi, India.None of the tests we evaluated
can accurately detect the presence or absence of Mycobacterium tuberculosis in
all the samples and smear microscopy was found to be the most reliable assay in
this study.The PCR assay could detect down to 2 pg of H37Rv DNA. Sensitivity,
specificity was 0.40, 0.60 and 0.19, 0.81 for smear positive (n = 228) and
negative samples (n = 235) respectively. In the MODS assay, sensitivity,
specificity of 0.48, 0.52 and 0.38, 0.76 was observed for smear positive and
negative samples. Sputum smear microscopy had sensitivity of 0.77 and specificity
of 0.70.
PMID- 22093249
TI - Human macrophages primed with angiogenic factors show dynamic plasticity,
irrespective of extracellular matrix components.
AB - Macrophages are important in inflammation as well as in tissue repair processes.
They can be activated by various stimuli and classified into two major groups: M1
(classically activated) or M2 (alternatively activated). Inflammation,
angiogenesis and matrix remodeling play a major role in tissue repair. Here, we
investigate the combined influence of a pro-angiogenic microenvironment and
specific extracellular matrix (ECM) components or tissue culture polystyrene
(TCPS) on the dynamics of human macrophage polarization. We established that
human angiogenically primed macrophages cultured on different ECM components
exhibit an M2-like polarization. These M2-like macrophages polarized to M1 and M2
macrophages with classical (LPS and IFNgamma) stimuli and alternative (IL-4 and
IL-13) stimuli respectively. Moreover, these M1 and M2 (primary) polarized
macrophages rapidly underwent a secondary (re)polarization to M2 and M1 with
conditioned media from M2 and M1 primary polarized macrophages respectively. In
these initial priming and later (re)polarization processes the soluble factors
had a dominant and orchestrating role, while the type of ECM (collagen I,
fibronectin, versus tissue culture polystyrene) did not play a crucial role on
the polarization of macrophages.
PMID- 22093250
TI - Mild cognitive impairment (MCI) twenty years on.
PMID- 22093251
TI - Two-year course of cognitive function and mood in adults with congestive heart
failure and coronary artery disease: the Heart-Mind Study.
AB - BACKGROUND: Congestive heart failure (CHF) has been associated with impaired
cognitive function, but it is unclear if these changes are specific to CHF and if
they get worse with time. We designed this study to determine if adults with CHF
show evidence of cognitive decline compared with adults with and without coronary
artery disease (CAD). METHODS: A longitudinal study was carried out of 77 adults
with CHF (ejection fraction, EF < 0.4), 73 adults with a clinical history of CAD
and EF > 0.6, and 81 controls with no history of CAD. The Cambridge Cognitive
Examination of the Elderly (CAMCOG) was the primary outcome measure. Secondary
measures included the California Verbal Learning Test (CVLT), digit coding and
copying, Hospital Anxiety and Depression Scale (HADS), and the short form health
survey (SF36). Endpoints were collected at baseline and after 12 and 24 months.
RESULTS: The adjusted CAMCOG scores of CHF participants declined 0.9 points over
two years (p = 0.022) compared with controls without CAD. There were no
differences between the groups on other cognitive measures. Participants with CHF
and with CAD experienced similar changes in cognitive function over two years.
Left ventricular EF and six-minute walk test results could not explain the
observed associations. CONCLUSIONS: The changes in cognitive function and mood
associated with CHF over two years are subtle and not specific to CHF.
PMID- 22093252
TI - Delirium in elderly people: a study of a psychiatric liaison service in north
India.
AB - BACKGROUND: Very few studies from India have studied the phenomenology of
delirium. The aim of the present study was to study the phenomenology as measured
using the Delirium Rating Scale-Revised-98 (DRS-R98), the associated etiologies
and the outcome of delirium among the elderly participants seen by the
consultation-liaison psychiatric service in India. In addition, an attempt was
made to study the factor structure of symptoms using principal components
analysis. METHODS: The case notes of 109 elderly patients referred to psychiatry
liaison services were reviewed. RESULTS: The mean age of the sample was 73.35
years (SD: 7.44; range 65-95 years) and two-thirds of the sample had hospital
emergent delirium. The mean DRS-R98 severity score was 18.77 and the DRS-R98
total score was 24.81. In 15 patients the DRS-R98 scores were in the subsyndromal
range. Among the various symptoms present, most patients had sleep-wake cycle
disturbance, disturbance in orientation, attention and short-term memory
impairments, fluctuation of symptoms, temporal onset of symptoms and a physical
disorder. Principal components analysis identified three factors which explained
43.5% of variance of symptomatology and it yielded a three-factor structure.
Endocrine/metabolic disturbances were the commonest associated etiological
category with delirium. The mean hospital stay after being referred to psychiatry
referral services was 8.89 days, after which delirium improved in 58.7% of cases.
The mortality rate during the inpatient stay was 16.5%. CONCLUSIONS: Results
suggest that the symptoms of delirium as assessed by DRS-R98 separate out into a
three-factor structure. Delirium is commonly associated with metabolic endocrine
disturbances and about one-sixth of the patients die during the short inpatient
stay.
PMID- 22093255
TI - Hypoxia and hypoxia mimetics inhibit TNF-dependent VCAM1 induction in the 5A32
endothelial cell line via a hypoxia inducible factor dependent mechanism.
AB - BACKGROUND: We previously reported that iron chelators inhibit TNFalpha-mediated
induction of VCAM-1 in human dermal microvascular endothelial cells. We
hypothesized that iron chelators mediate inhibition of VCAM-1 via inhibition of
iron-dependent enzymes such as those involved with oxygen sensing and that
similar inhibition may be observed with agents which simulate hypoxia. OBJECTIVE:
We proposed to examine whether non-metal binding hypoxia mimetics inhibit
TNFalpha-mediated VCAM-1 induction and define the mechanisms by which they
mediate their effects on VCAM-1 expression. METHODS: These studies were
undertaken in vitro using immortalized dermal endothelial cells, Western blot
analysis, ELISA, immunofluorescence microscopy, quantitative real-time PCR, and
chromatin immunoprecipitation. RESULTS: Hypoxia and the non-iron binding hypoxia
mimetic dimethyl oxallyl glycine (DMOG) inhibited TNFalpha-mediated induction of
VCAM-1. DMOG inhibition of VCAM-1 was dose-dependent, targeted VCAM-1 gene
transcription independent of NF-kappaB nuclear translocation, and blocked
TNFalpha-mediated chromatin modifications of relevant elements of the VCAM-1
promoter. Combined gene silencing of both HIF-1alpha and HIF-2alpha using siRNA
led to a partial rescue of VCAM expression in hypoxia mimetic-treated cells.
CONCLUSION: Iron chelators, non-metal binding hypoxia mimetics, and hypoxia all
inhibit TNFalpha-mediated VCAM-1 expression. Inhibition is mediated independent
of nuclear translocation of NF-kappaB, appears to target TNFalpha-mediated
chromatin modifications, and is at least partially dependent upon HIF expression.
The absence of complete VCAM-1 expression rescue with HIF silencing implies an
important regulatory role for an Fe(II)/alpha-ketoglutarate dioxygenase distinct
from the prolyl and asparagyl hydroxylases that control HIF function.
Identification of this dioxygenase may provide a valuable target for modulating
inflammation in human tissues.
PMID- 22093256
TI - Postnatal growth defect in mice upon persistent Hoxa2 expression in the
chondrogenic cell lineage.
AB - Hoxa2 is a homeotic transcription factor, which is downregulated once
chondrogenic differentiation is initiated. We previously generated a transgenic
mouse model, which turns Hoxa2 on in cells expressing Collagen II A1, i.e. in
cells entering chondrogenesis. As a consequence, mice display a general embryonic
delay of ossification and then a postnatal growth defect. Col2a1-Cre mice were
crossed with an inducible beta-actin driven Hoxa2 transgene. Spines, vertebrae
and limbs were measured and skeletal elements were studied by X-ray, microCT,
pQCT, TEM, western-blotting, histomorphometry and immunohistochemistry. Mice
expressing Hoxa2 in chondrogenic cells feature a proportionate short stature
phenotype with a severe lordosis, which appeared significant from postnatal day
4. Analysis of both cartilage and bone development in affected embryos and mice
from birth till P35 did not reveal any major defect in histogenesis, except a
reduced number of chondrocytes in the vertebral anlage at E13.5. In conclusion,
the sustained expression of Hoxa2 in the chondrocyte lineage is characterized by
a proportionate short stature resulting from skeletal growth defect. The indepth
analysis of cartilage and bone histogenesis points towards an initial deficit in
cell mobilization to enter chondrogenesis.
PMID- 22093257
TI - Occurrence of selected estrogens in mangrove sediments.
AB - This paper presents results related to the occurrence and distribution of
estrogens along the Brazilian coast. Three mangrove areas were chosen to evaluate
the presence of estrogens in surface sediments of mangrove forests. The presence
of estrogens was observed in all studied sites. 17-alpha-Ethinylestradiol (EE2),
a synthetic estrogen, was the most common and has been found in higher
concentration (0.45-129.78 ng/g) compared to 17-beta-estradiol (E1) and estrone
(E2) (both being natural estrogens). The concentrations of E1 and E2 ranged from
0.02 to 49.27 ng/g and 0.03 to 39.77 ng/g, respectively. Theoretically, under
anaerobic conditions EE2 can be reduced to E1 even in environments such as
sediments of mangrove forests, which are essentially anaerobic. Even if the
concentrations of estrogens seem to be insignificant in some samples, the effects
remain uncertain.
PMID- 22093258
TI - Time to reflect.
PMID- 22093259
TI - International Confederation of Midwives (ICM) 29th Triennial Conference, Durban,
South Africa, 19-23 June 2011.
PMID- 22093260
TI - First ever Report on the State of the World's Midwifery launched at International
Confederation of Midwives 29th Congress in Durban, South Africa, June 19-23,
2011.
PMID- 22093261
TI - Phylogenetic, chemical and morphological diversity of cyanobacteria from
Portuguese temperate estuaries.
AB - Cyanobacteria from estuarine habitats have been poorly studied regarding
diversity and potential bioactive compounds production compared with their fresh
and marine waters' congeners. In this work, 44 cyanobacteria isolates
characterised from three Portuguese estuarine environments. Identification was
performed based on diacritical morphological features of the isolates (e.g. cell
shape, cell size, presence/absence of sheaths) and on 16S rRNA gene sequences
phylogenetic analysis. Diversity of produced secondary metabolites was assessed
by molecular and analytical tools. The isolates (mostly benthic forms) belonged
to: (i) Chroococcales (Cyanobium, Synechocystis and Synechococcus), (ii)
Oscillatoriales (Leptolyngbya, Microcoleus, Phormidium and Romeria) and (iii)
Nostocales (Nostoc and Nodularia). 19 morphotypes were assigned at the species
level, while phylogeny allowed us to distinguish 21 phylotypes spread amongst
three distinct large clades. McyA and sxtI gene fragments were detected in some
isolates, despite absence of toxins. Simultaneous presence of anabaenopeptins A
and D was for the first time identified in Nostoc (LEGE06077). No correlation
between morphological/phylogenetic relationships and the secondary-metabolite
profile of the isolates was found. This is the first comprehensive study of
estuarine cyanobacteria of Portuguese habitats revealing a diverse array of
cyanobacteria that constitute an important source of potential bioactive
compounds with ecological relevance and/or biomedical application.
PMID- 22093262
TI - Quantitation of human herpesvirus-6 (HHV-6) DNA in a cord blood transplant
recipient with chromosomal integration of HHV-6.
AB - Chromosomal integration of the human herpesvirus-6 (HHV-6) genome (CIHHV-6) is an
important consideration if HHV-6 DNA is detected during the course of
transplantation. A 4-year-old girl with refractory anemia with excess blasts type
2 was diagnosed with CIHHV-6 before a cord blood transplantation. HHV-6 DNA was
serially quantitated by polymerase chain reaction assay in the transplant period.
The possibility of HHV-6 reactivation in a transplant recipient with CIHHV-6 was
suspected in our case.
PMID- 22093264
TI - Intracoronary transluminal attenuation gradient in coronary CT angiography for
determining coronary artery stenosis.
AB - Coronary computed tomography angiography (CTA) assessment of calcified or complex
coronary lesions is frequently challenging. Transluminal attenuation gradient
(TAG), defined as the linear regression coefficient between luminal attenuation
and axial distance, has a potential to evaluate the degree of coronary stenosis.
We examined the value of TAG in determining the stenosis severity on 64-slice
coronary CTA. The value of TAG of 370 major coronary arteries was measured from
7,263 intervals of 5-mm length. Compared with coronary CTA and invasive coronary
angiography, TAG decreased consistently and significantly with maximum stenosis
severity on a per-vessel basis, from -1.91 +/- 4.25 Hounsfield units/10 mm for
diameter stenosis of 0% to 49% to -13.37 +/- 9.81 Hounsfield units/10 mm for
diameter stenosis of 100% (p < 0.0001). Adding TAG to the interpretation of
coronary CTA improved diagnostic accuracy (p = 0.001), especially in vessels with
calcified lesions (N = 127; net reclassification improvement 0.095; p = 0.046).
TAG appears to be able to contribute to improved classification of coronary
artery stenosis severity in coronary CTA, especially in severely calcified
lesions.
PMID- 22093263
TI - Lactobacillus rhamnosus GG treatment potentiates intestinal hypoxia-inducible
factor, promotes intestinal integrity and ameliorates alcohol-induced liver
injury.
AB - Gut-derived endotoxin is a critical factor in the development and progression of
alcoholic liver disease (ALD). Probiotics can treat alcohol-induced liver injury
associated with gut leakiness and endotoxemia in animal models, as well as in
human ALD; however, the mechanism or mechanisms of their beneficial action are
not well defined. We hypothesized that alcohol impairs the adaptive response
induced hypoxia-inducible factor (HIF) and that probiotic supplementation could
attenuate this impairment, restoring barrier function in a mouse model of ALD by
increasing HIF-responsive proteins (eg, intestinal trefoil factor) and reversing
established ALD. C57BJ/6N mice were fed the Lieber DeCarli diet containing 5%
alcohol for 8 weeks. Animals received Lactobacillus rhamnosus GG (LGG)
supplementation in the last 2 weeks. LGG supplementation significantly reduced
alcohol-induced endotoxemia and hepatic steatosis and improved liver function.
LGG restored alcohol-induced reduction of HIF-2alpha and intestinal trefoil
factor levels. In vitro studies using the Caco-2 cell culture model showed that
the addition of LGG supernatant prevented alcohol-induced epithelial monolayer
barrier dysfunction. Furthermore, gene silencing of HIF-1alpha/2alpha abolished
the LGG effects, indicating that the protective effect of LGG is HIF-dependent.
The present study provides a mechanistic insight for utilization of probiotics
for the treatment of ALD, and suggests a critical role for intestinal hypoxia and
decreased trefoil factor in the development of ALD.
PMID- 22093265
TI - Diagnostic optimization of coronary CT angiography.
PMID- 22093266
TI - Flow acceleration time and ratio of acceleration time to ejection time for
prosthetic aortic valve function.
AB - OBJECTIVES: We sought to evaluate whether ejection dynamics, particularly
acceleration time (AT) and the ratio of AT to ejection time (ET), can
differentiate prosthetic aortic valve (PAV) stenosis from controls and prosthesis
patient mismatch (PPM). BACKGROUND: Diagnosing PAV stenosis, especially in
mechanical valves, may be challenging and has significant clinical implications.
METHODS: Doppler echocardiography was quantitated in 88 patients with PAV (44
mechanical and 44 bioprosthetic; age 63 +/- 16 years; valve size range 18 to 25
mm) of whom 22 patients had documented PAV stenosis, 22 had PPM, and 44 served as
controls. Quantitative Doppler parameters included ejection dynamics (AT, ET, and
AT/ET) and conventional PAV parameters. RESULTS: Patients with PAV stenosis had
significantly lower effective orifice area (EOA) values and higher gradients
compared with controls and PPM. Flow ejection parameters (AT and AT/ET) were
significantly longer in the stenotic valves compared with PPM and controls
(respective values for AT: 120 +/- 24 ms, 89 +/- 16 ms, and 71 +/- 15 ms; for
AT/ET: 0.4, 0.32, and 0.3, p <= 0.001). Patients with PPM had gradients and
ejection dynamics that were intermediate between normal and stenotic valves.
Receiver-operator characteristic (ROC) curve analysis showed that AT and AT/ET
discriminated PAV stenosis from PPM and controls (area under ROC curve = 0.92 and
0.88, respectively). Combining AT with the conventional Doppler velocity index
gave the highest area under the curve of 0.98 but was not statistically different
from that of AT alone (p = 0.12). A cutoff of AT = 100 ms had a sensitivity and
specificity of 86% for identifying PAV stenosis; for an AT/ET = 0.37, the
sensitivity and specificity were 96% and 82%, respectively. Analysis by valve
type (mechanical and biological) revealed similar results; however, biological
valves had slightly higher areas under the curve for all systolic time intervals.
CONCLUSIONS: Ejection dynamics through PAV, particularly AT and AT/ET, are
reliable angle-independent parameters that can help evaluate valve function and
identify PAV stenosis.
PMID- 22093267
TI - Acute adverse reactions to gadolinium-based contrast agents in CMR: multicenter
experience with 17,767 patients from the EuroCMR Registry.
AB - OBJECTIVES: The purpose of this study was to assess the frequency, manifestation,
and severity of acute adverse reactions associated with administration of several
gadolinium-based contrast agents to patients who underwent cardiac magnetic
resonance (CMR) imaging in the EuroCMR (European Cardiovascular Magnetic
Resonance) Registry multinational and multicenter setting. BACKGROUND: The
frequency, manifestations, and severity of acute adverse reactions associated
with gadolinium-based contrast agents in the specific setting of cardiovascular
magnetic resonance have not been systematically evaluated yet. METHODS: This is a
multicenter and multinational registry with consecutive enrollment of patients in
45 European centers. During the current observation, 17,767 doses of gadolinium
based contrast agent were administered to 17,767 patients. The mean dose was 25.6
ml (range 5 to 80 ml), which is equivalent to 0.128 mmol/kg (range 0.012 to 0.3
mmol/kg). RESULTS: Thirty acute adverse reactions due to contrast administration
occurred (0.17%). All reactions were classified as mild according to the American
College of Radiology definition. The most frequent complaints following contrast
administration were rashes and hives (9 of 30), followed by nausea (7 of 30), and
anxiety (6 of 30). The event rate ranged from 0.06% (linear nonionic agent
gadodiamide) to 0.47% (linear ionic agent gadobenate dimeglumine). Interestingly,
we also found different event rates between the three main indications for CMR
imaging, ranging from 0.1% (risk stratification in suspected coronary artery
disease) to 0.42% (viability in known coronary artery disease). CONCLUSIONS: The
incidence of acute adverse reactions after administration of gadolinium-based
contrast in the "off-label" setting of CMR in our population was not different
from the incidence in the U.S. Food and Drug Administration-approved general
radiology setting. Thus, the off-label use of gadolinium-based contrast in CMR
should be regarded as safe concerning the frequency, manifestation, and severity
of acute events.
PMID- 22093268
TI - Acute adverse reactions to gadolinium in CMR: "gadol" news!
PMID- 22093269
TI - Pericardial delayed hyperenhancement with CMR imaging in patients with
constrictive pericarditis undergoing surgical pericardiectomy: a case series with
histopathological correlation.
AB - OBJECTIVES: The purpose of this study was to examine the prevalence and
histopathologic correlates of pericardial delayed hyperenhancement (DHE) seen
with cardiac magnetic resonance imaging (CMR) among patients with constrictive
pericarditis (CP) undergoing pericardiectomy. BACKGROUND: Constrictive
pericarditis patients studied by CMR will occasionally demonstrate pericardial
DHE following gadolinium contrast administration. METHODS: We identified 25 CP
patients who underwent pericardiectomy following CMR-gadolinium study. We also
assessed 10 control subjects with no evidence of pericardial disease referred for
cardiac viability imaging. A novel 14-segment pericardial model was used to
determine pericardial DHE score and thickness score. Histopathology of
pericardial specimens was reviewed and evaluated semiquantitatively on a 4-point
scale for the extent of calcification, fibrosis, inflammation, and
neovascularization. RESULTS: DHE was present in 12 (48%) CP patients (DHE+
group), and absent in 13 CP patients (DHE- group) and all control patients. The
DHE+ group had greater fibroblastic proliferation and neovascularization, as well
as more prominent chronic inflammation and granulation tissue. Fibroblastic
proliferation and chronic inflammation correlated with DHE presence quantitated
by DHE score (Spearman r = 0.578, p < 0.002, and r = 0.590, p < 0.002,
respectively), but not with pericardial thickness. Segmental analysis
demonstrated no significant difference in the percentage of patients with
different pericardial segmental thickness; however, overall, in each segment, the
DHE+ group tended to have greater pericardial thickness. CONCLUSIONS: The
presence of pericardial DHE on CMR is common in patients with CP, and its
presence is associated with histological features of organizing pericarditis,
which may be a target for future focused pharmacological interventions. Patients
with CP without pericardial DHE had more pericardial fibrosis and calcification,
as well as lesser degrees of pericardial thickening.
PMID- 22093270
TI - CMR imaging in constrictive pericarditis: is seeing believing?
PMID- 22093271
TI - Prevalence and risk factors of carotid vessel wall inflammation in coronary
artery disease patients: FDG-PET and CT imaging study.
AB - OBJECTIVES: We investigated the prevalence and clinical risk factors of carotid
vessel wall inflammation by means of 18F-fluorodeoxyglucose positron emission
tomography (FDG-PET) in a population consisting of coronary artery disease (CAD)
patients. BACKGROUND: The atherosclerotic disease process is characterized by
infiltration and retention of oxidized lipids in the artery wall, triggering a
disproportionate inflammatory response. Efforts have been made to use noninvasive
imaging to quantify this inflammatory response in the vessel wall. Recently,
carotid FDG-PET has been shown to reflect the metabolic rate of glucose, a
process known to be enhanced in inflamed tissue. METHODS: Carotid inflammation
was quantified in 82 CAD patients (age 62 +/- 10 years) as the maximum target-to
background ratio ((wholevessel)TBR(max)). Furthermore, we assessed the maximal
standardized uptake value values ((wholevessel)SUV(max)), the single hottest
segment (SHS), and the percent active segments (PAS) of the FDG uptake in the
artery wall, measured by FDG-PET. RESULTS: Whole-vessel TBR(max) >1.8 was present
in 67%, >2.0 in 39%, >2.2 in 23%, and >2.4 in 12% of the population. Multiple
linear regression analysis with backward elimination revealed that body mass
index (BMI) >=30 kg/m2 (p < 0.0001), age >65 years (p = 0.01), smoking (p =
0.02), and hypertension (p = 0.01) were associated with (wholevessel)TBR(max).
The number of components of the metabolic syndrome was also associated with
(wholevessel)TBR(max) (p = 0.02). In similar analyses, (wholevessel)SUV(max) was
associated with BMI >=30 kg/m2 (p < 0.0001), age >65 years (p = 0.004), male
gender (p = 0.02), and hypertension (p = 0.04); SHS with BMI >=30 kg/m2 (p <
0.0001), age >65 years (p = 0.02), smoking (p = 0.04), and hypertension (p =
0.05); PAS with BMI >=30 kg/m2 (p = 0.001), smoking (p = 0.03), and hypertension
(p = 0.01). CONCLUSIONS: Carotid inflammation as revealed by FDG-PET is highly
prevalent in the CAD population and is associated with obesity, age over 65
years, history of hypertension, smoking, and male gender. Artery wall FDG uptake
increased when components of the metabolic syndrome clustered.
PMID- 22093272
TI - Nephrogenic systemic fibrosis: review of 370 biopsy-confirmed cases.
AB - Discovery of an association between gadolinium-based contrast agents (GBCAs) and
nephrogenic systemic fibrosis (NSF) has led to less use of GBCA-enhanced magnetic
resonance imaging in dialysis patients and patients with severe renal failure at
risk of NSF, and the virtual elimination of new cases of NSF. But shifting
patients with renal failure to alternative imaging methods may subject patients
to other risks (e.g., ionizing radiation or iodinated contrast). This review
paper examines 370 NSF cases reported in 98 articles to analyze NSF risk factors.
Eliminating multiple risk factors by limiting GBCA dose to a maximum of 0.1
mmol/kg, dialyzing patients undergoing dialysis quickly following GBCA
administration, delaying GBCA in acute renal failure until after renal function
returns or dialysis is initiated, and avoiding nonionic linear GBCA in patients
with renal failure especially when there are proinflammatory conditions may
substantially reduce the risk of NSF.
PMID- 22093273
TI - Mechanisms of very late stent thrombosis after drug-eluting stent implantation:
findings from coronary angioscopy and optical coherence tomography.
PMID- 22093274
TI - Sunflower artifact in OCT.
PMID- 22093275
TI - Evolution and clinical importance of fibrosis in HCM.
PMID- 22093276
TI - How should infarct size be measured on LGE sequences? A call for a change in the
guidelines.
PMID- 22093278
TI - ACC Imaging Council evolves into a section: a new opportunity for imagers.
PMID- 22093279
TI - Coronary CT angiography: from sensitivity to specificity.
PMID- 22093280
TI - Duration of temporary catheter use for hemodialysis: an observational,
prospective evaluation of renal units in Brazil.
AB - BACKGROUND: For chronic hemodialysis, the ideal permanent vascular access is the
arteriovenous fistula (AVF). Temporary catheters should be reserved for acute
dialysis needs. The AVF is associated with lower infection rates, better clinical
results, and a higher quality of life and survival when compared to temporary
catheters. In Brazil, the proportion of patients with temporary catheters for
more than 3 months from the beginning of therapy is used as an evaluation of the
quality of renal units. The aim of this study is to evaluate factors associated
with the time between the beginning of hemodialysis with temporary catheters and
the placement of the first arteriovenous fistula in Brazil. METHODS: This is an
observational, prospective non-concurrent study using national administrative
registries of all patients financed by the public health system who began renal
replacement therapy (RRT) between 2000 and 2004 in Brazil. Incident patients were
eligible who had hemodialysis for the first time. Patients were excluded who: had
hemodialysis reportedly started after the date of death (inconsistent database);
were younger than 18 years old; had HIV; had no record of the first dialysis
unit; and were dialyzed in units with less than twenty patients. To evaluate
individual and renal unit factors associated with the event of interest, the
frailty model was used (N = 55,589). RESULTS: Among the 23,824 patients (42.9%)
who underwent fistula placement in the period of the study, 18.2% maintained the
temporary catheter for more than three months until the fistula creation. The
analysis identified five statistically significant factors associated with longer
time until first fistula: higher age (Hazard-risk - HR 0.99, 95% CI 0.99-1.00);
having hypertension and cardiovascular diseases (HR 0.94, 95% CI 0.9-0.98) as the
cause of chronic renal disease; residing in capitals cities (HR 0.92, 95% CI 0.9
0.95) and certain regions in Brazil - South (HR 0.83, 95% CI 0.8-0.87), Midwest
(HR 0.88, 95% CI 0.83-0.94), Northeast (HR 0.91, 95% CI 0.88-0.94), or North (HR
0.88, 95% CI 0.83-0.94) and the type of renal unit (public or private).
CONCLUSION: Monitoring the provision of arteriovenous fistulas in renal units
could improve the care given to patients with end stage renal disease.
PMID- 22093281
TI - Stigma experiences in bipolar patients: the impact upon functioning.
AB - The aim of this study was to investigate the impact of self-rated stigma and
functioning in patients with bipolar disorder in South Brazil. This is a cross
sectional study. Sixty participants with bipolar disorder were recruited from an
outpatient Bipolar Disorder Program. Experiences with and impact of perceived
stigma were evaluated using the Inventory of Stigmatizing Experiences. Functional
impairment was assessed with the Functioning Assessment Short Test (FAST). Higher
scores of self-perceived stigma were correlated with higher FAST scores,
indicating more disability. After linear correlation analysis, current depressive
symptoms, age at onset of treatment, age at diagnosis and functioning were
correlated with self-perceived stigma. The study demonstrated a correlation
between stigma and poor functioning in bipolar disorder. Perceived stigma is
really important to individuals with bipolar disorder, both to how they
experience their illness and to its results on functioning. Potential
consequences of such results for mental health care professionals are discussed.
Differential clinical features, sociocultural factors and the sample size limit
the generalization of the present findings.
PMID- 22093282
TI - Crystal structure of guaiacol and phenol bound to a heme peroxidase.
AB - Guaiacol is a universal substrate for all peroxidases, and its use in a simple
colorimetric assay has wide applications. However, its exact binding location has
never been defined. Here we report the crystal structures of guaiacol bound to
cytochrome c peroxidase (CcP). A related structure with phenol bound is also
presented. The CcP-guaiacol and CcP-phenol crystal structures show that both
guaiacol and phenol bind at sites distinct from the cytochrome c binding site and
from the delta-heme edge, which is known to be the binding site for other
substrates. Although neither guaiacol nor phenol is seen bound at the delta-heme
edge in the crystal structures, inhibition data and mutagenesis strongly suggest
that the catalytic binding site for aromatic compounds is the delta-heme edge in
CcP. The functional implications of these observations are discussed in terms of
our existing understanding of substrate binding in peroxidases [Gumiero A et al.
(2010) Arch Biochem Biophys 500, 13-20].
PMID- 22093283
TI - Protective effect of triphlorethol-A against ultraviolet B-mediated damage of
human keratinocytes.
AB - Ultraviolet B (UVB) radiation on human skin induces pathophysiological processes
such as oxidative stress and inflammation. In previous reports, the antioxidant
effects of triphlorethol-A were shown to protect cells against hydrogen peroxide
induced cell damage and gamma ray-induced oxidative stress. In this study, the
role of triphlorethol-A in protecting human keratinocytes (HaCaT) against UVB
induced cell damage was investigated. Triphlorethol-A-treated cells were
irradiated with UVB (150 mJ/cm(2)). Triphlorethol-A decreased UVB-induced
intracellular ROS and restored the activities of antioxidant enzymes decreased by
UVB radiation. Triphlorethol-A decreased UVB damage to cellular components, such
as lipid membrane and DNA, restored cell viability and reduced UVB-induced
apoptosis by inhibiting the mitochondria-mediated caspase pathway. Triphlorethol
A also reduced the UVB-induced loss of DeltaPsi(m) and the active forms of
caspase 9 and caspase 3. The anti-apoptotic effect of triphlorethol-A was found
to involve the inhibition of c-Jun NH(2)-terminal kinase, which was induced by
UVB exposure. And triphlorethol-A showed an absorptive capacity at range of UVB.
These results suggest that triphlorethol-A protects human keratinocytes against
UVB by enhancing the activities of the antioxidant system, inhibiting cellular
damage and absorbing the UVB.
PMID- 22093284
TI - Characterization of clinical response in patients with vitiligo undergoing
autologous epidermal punch grafting.
AB - BACKGROUND: Punch grafting is a well-established treatment for vitiligo, but
predictive factors for outcomes are not well established. OBJECTIVE: To determine
the characteristics of responses to punch grafting performed in patients with
vitiligo. METHODS AND MATERIALS: Retrospective, single-center chart review.
Response rates were assessed using photographs taken before and after grafting
using a 1.5-mm punch instrument. Effectiveness of repigmentation was assessed
using the following scale: worse, no improvement, 0% to 25% improvement, 25% to
50% improvement, 50% to 75% improvement, and 75% to 100% improvement.
Repigmentation rates were correlated with patient demographics. RESULTS: Thirty
seven charts were reviewed, from which data were available from 30 patients. The
total number grafts was 606 in 44 transplanted areas; 87% (530/606) of the
transplants survived, and 26 of the 30 (87%) patients achieved some degree of
repigmentation. Patients younger than 20 achieved the greatest average
improvement in repigmentation (mean 61%), whereas those aged 60 and older showed
the least improvement (mean 38%). Punch grafting of the neck and trunk achieved
the greatest repigmentation, with 65% and 63% average improvement, respectively.
Acral sites and skin overlying joints improved the least. CONCLUSION: Punch
grafting is successful in most patients with vitiligo, with an 87% graft survival
rate, but the rates of repigmentation vary depending on clinical characteristics.
PMID- 22093285
TI - Allyl isothiocyanate induced stress response in Caenorhabditis elegans.
AB - BACKGROUND: Allyl isothiocyanate (AITC) from mustard is cytotoxic; however the
mechanism of its toxicity is unknown. We examined the effects of AITC on heat
shock protein (HSP) 70 expression in Caenorhabditis elegans. We also examined
factors affecting the production of AITC from its precursor, sinigrin, a
glucosinolate, in ground Brassica juncea cv. Vulcan seed as mustard has some
potential as a biopesticide. FINDINGS: An assay to determine the concentration of
AITC in ground mustard seed was improved to allow the measurement of AITC release
in the first minutes after exposure of ground mustard seed to water. Using this
assay, we determined that temperatures above 67 degrees C decreased sinigrin
conversion to AITC in hydrated ground B. juncea seed. A pH near 6.0 was found to
be necessary for AITC release. RT-qPCR revealed no significant change in HSP70A
mRNA expression at low concentrations of AITC (< 0.1 MUM). However, treatment
with higher concentrations (> 1.0 MUM) resulted in a four- to five-fold increase
in expression. A HSP70 ELISA showed that AITC toxicity in C. elegans was
ameliorated by the presence of ground seed from low sinigrin B. juncea cv. Arrid.
CONCLUSIONS: * AITC induced toxicity in C. elegans, as measured by HSP70
expression.* Conditions required for the conversion of sinigrin to AITC in ground
B. juncea seed were determined.* The use of C. elegans as a bioassay to test AITC
or mustard biopesticide efficacy is discussed.
PMID- 22093286
TI - Synergistic effects of antibiotics and an N-acyl homoserine lactone analog on
Porphyromonas gingivalis biofilms.
AB - AIMS: To investigate the effects of the combined application of an N-acyl
homoserine lactone (HSL) analog and antibiotics on biofilms of Porphyromonas
gingivalis, a major pathogen of periodontal disease. METHODS AND RESULTS:
Antibiotics used were cefuroxime, ofloxacin and minocycline. A flow-cell model
was used for biofilm formation. Samples were divided into four groups: control,
analog-treated, antibiotic-treated and combined application groups. Biofilm cell
survival was determined using adenosine triphosphate (ATP) bioluminescence and
confocal laser microscopy (CLSM). In the combined application group, the ATP
count in biofilm cells was significantly decreased compared with the antibiotic
treated group (Games-Howell test, P < 0.05). A combination of cefuroxime and the
analog was most effective against the P. gingivalis biofilm. CLSM observations
revealed that the proportion of dead cells was highest in the combined
application group. CONCLUSIONS: The combined application of the N-acyl HSL analog
and antibiotics was effective at reducing the viability of P. gingivalis cells in
biofilms. SIGNIFICANCE AND IMPACT OF THE STUDY: The combined application of the N
acyl HSL analog and antibiotics may be successful for eradicating infections
involving bacterial biofilms, such as periodontitis.
PMID- 22093287
TI - Hepatic acute phase proteins--regulation by IL-6- and IL-1-type cytokines
involving STAT3 and its crosstalk with NF-kappaB-dependent signaling.
AB - The function of the liver as an important constituent of the immune system
involved in innate as well as adaptive immunity is warranted by different highly
specialized cell populations. As the major source of acute phase proteins,
including secreted pathogen recognition receptors (PRRs), short pentraxins,
components of the complement system or regulators of iron metabolism, hepatocytes
are essential constituents of innate immunity and largely contribute to the
control of a systemic inflammatory response. The production of acute phase
proteins in hepatocytes is controlled by a variety of different cytokines
released during the inflammatory process with IL-1- and IL-6-type cytokines as
the leading regulators operating both as a cascade and as a network having
additive, inhibitory, or synergistic regulatory effects on acute phase protein
expression. Hence, IL-1beta substantially modifies IL-6-induced acute phase
protein production as it almost completely abrogates production of acute phase
proteins such as gamma-fibrinogen, alpha(2)-macroglobulin or alpha(1)
antichymotrypsin, whereas production of for example hepcidin, C-reactive protein
and serum amyloid A is strongly up-regulated. This switch-like regulation of IL-6
induced acute phase protein production by IL-1beta is due to a complex processing
of the intracellular signaling events activated in response to IL-6 and/or IL
1beta, with the crosstalk between STAT3- and NF-kappaB-mediated signal
transduction being of particular importance. Recent data suggest that in this
context complex formation between STAT3 and the p65 subunit of NF-kappaB might be
of key importance. The present review summarizes the regulation of acute phase
protein production focusing on the role of the crosstalk of STAT3- and NF-kappaB
driven pathways for transcriptional control of acute phase gene expression.
PMID- 22093288
TI - Combination treatment using bipolar radiofrequency-based intense pulsed light,
infrared light and diode laser enhanced clinical effectiveness and histological
dermal remodeling in Asian photoaged skin.
AB - BACKGROUND: Combined optical and bipolar radiofrequency (RF) devices have been
effective for rejuvenation, but a single modality in one session cannot solve
three-dimensional skin complaints, resulting in time-consuming visits. OBJECTIVE:
To evaluate the safety and efficacy of single-session triple treatment using
bipolar RF-based optical (intense pulsed light [IPL], infrared light, and diode
laser) combination devices for treatment of photoaged Asian skin. MATERIALS AND
METHODS: In a split-face trial, 11 women received four treatments at 3-week
intervals consisting of the combination of sequential IPL, infrared light, and
diode laser, all with RF, in one session. Outcome assessments included
photography, global evaluation by blinded investigators, patient assessment, and
objective biophysical measurements of color and elasticity. Punch biopsies were
obtained from both sides of the face 1 month after the last treatment session.
RESULTS: All patients showed statistically significant reduction in photoaging
global score. Objective biophysical measurements showed significant improvements
in melanin index and elasticity (R5, R7), as well as increases in the levels of
procollagen type I and III and elastin. CONCLUSION: A combination of three
different energy sources, with bipolar RF, in one session is effective without
further downtime for solving multiple problems including tone, texture, and
laxity observed in photoaged Asian skin.
PMID- 22093289
TI - Emergence of an HIV-1 cluster harbouring the major protease L90M mutation among
treatment-naive patients in Tel Aviv, Israel.
AB - OBJECTIVE: Drug resistance-associated mutations (DRMs) among HIV-1 treatment
naive patients have increased in recent years. Their incidence and prevalence in
various exposure risk categories (ERCs) were evaluated. DESIGN: Plasma samples of
HIV-1 treatment-naive patients diagnosed between 2001 and 2009 at the Tel Aviv
Medical Center were screened for DRMs. METHODS: Samples obtained from patients
following the HIV diagnosis were analysed retrospectively. Genotyping was carried
out using the Trugene HIV-1 genotype kit (Siemens, Berkeley, CA, USA).
Phylogenetic relationships among viral sequences were estimated using the maximum
likelihood method. RESULTS: Thirty-eight of the 266 analysed sequences (14.3%)
had DRMs, all occurring exclusively in the group of men who have sex with men
(MSM). The rate of DRMs has constantly risen, reaching a peak of 21.9% in 2009.
Notably, protease inhibitor (PI) DRMs became the most frequent DRMs in 2009.
Phylogenetic analysis showed a tight cluster comprising 13 of 14 viruses
harbouring the L90M major PI resistance mutation, suggesting a single infection
source. CONCLUSION: There was an unexpectedly high rate of the major L90M PI
resistance mutation in the MSM group. The clustered transmission of this mutation
might be related to a high-risk sexual behaviour. Added to nonnucleoside reverse
transcriptase inhibitor and nucleoside reverse transcriptase inhibitor resistance
mutations, such a PI mutation may limit future therapeutic options for this
particular patient population.
PMID- 22093290
TI - Favorable outcome in a renal transplant recipient with donor-derived infection
due to multidrug-resistant Pseudomonas aeruginosa.
AB - Most cases of donor-derived infection due to Pseudomonas aeruginosa reported in
the literature are associated with vascular dehiscence, all of which resulted
either in death or graft failure requiring graft removal. We report the
successful treatment of donor-derived infection due to multidrug-resistant P.
aeruginosa in a 64-year-old male who presented with bacteremia and peritransplant
renal fluid collection after undergoing deceased-donor renal transplantation. As
a result of the report of positive donor cultures by the host Organ Procurement
Organization, the infection was promptly identified by blood cultures drawn
before appearance of symptoms. Surveillance blood cultures in recipients are not
usually recommended. However, they should be done if donor cultures turn
positive. Therefore, it is crucial to perform cultures in donors and to closely
follow them up for early identification and prompt treatment of donor-transmitted
infections due to organisms like P. aeruginosa that can be graft and/or life
threatening.
PMID- 22093291
TI - Evolution of human tuberculosis: a systematic review and meta-analysis of
paleopathological evidence.
AB - Tuberculosis is a re-emerging disease and is a major problem in both developing
and developed countries today. An estimated one third of the world's population
is infected and almost two million people die from the disease each year. Bone
lesions occur in 3-5% of active tuberculosis cases and can be used to diagnose
the disease in ancient skeletal remains. A meta-analysis was conducted on 531
palaeopathological tuberculosis cases from 221 sites (7250 BCE to 1899) on all
continents for the purpose of testing two hypotheses; (1) the frequency of bone
lesions does not change through time and (2) the distribution of lesions
throughout the skeleton does not change over time. The frequency of bone lesions
was found to significantly decrease over time (P<0.05). The distribution of bone
lesions was found to change from mainly spinal in earlier time periods to include
more cases in other regions of the skeleton (long bones, joints, hands, feet) in
later time periods. This difference in distribution was evaluated using a Chi
squared test and found to be significant (P<0.01). These findings are an
important addition to the current knowledge of the evolution of the disease and
the Mycobacterium tuberculosis.
PMID- 22093292
TI - Goblet cell-targeting nanoparticles for oral insulin delivery and the influence
of mucus on insulin transport.
AB - The present study was to demonstrate the effects of goblet cell-targeting
nanoparticles on the oral absorption of insulin in vitro, ex vivo and in vivo,
and identify the targeting mechanism as well as the influence of mucus. The
insulin loaded nanoparticles were prepared using trimethyl chitosan chloride
(TMC) modified with a CSKSSDYQC (CSK) targeting peptide. Compared with unmodified
nanoparticles, the CSK peptide modification could facilitate the uptake of
nanoparticles in villi, enhance the permeation of drugs across the epithelium,
meanwhile, induce a significantly higher internalization of drugs via clathrin
and caveolae mediated endocytosis on goblet cell-like HT29-MTX cells. In
transport studies across Caco-2/HT29-MTX co-cultured cell monolayer (simulating
intestinal epithelium), the CSK peptide modification also showed enhanced
transport ability, even if the targeting recognition was partially affected by
mucus. Moreover, it was found the existence of mucus was propitious to the
transport of insulin from both modified and unmodified nanoparticles. In the
pharmacological and pharmacokinetic studies in diabetic rats, the orally
administrated CSK peptide modified nanoparticles produced a better hypoglycemic
effect with a 1.5-fold higher relative bioavailability compared with unmodified
ones. In conclusion, CSK peptide modified TMC nanoparticles showed sufficient
effectiveness as goblet cell-targeting nanocarriers for oral delivery of insulin.
PMID- 22093294
TI - Re: Firas Abdollah, Maxine Sun, Jan Schmitges, et al. Cancer-specific and other
cause mortality after radical prostatectomy versus observation in patients with
prostate cancer: competing-risks analysis of a large North American population
based cohort. Eur Urol 2011;60:920-30.
PMID- 22093295
TI - Prognosis of T1G3 bladder cancer: how well can we predict progression?
PMID- 22093296
TI - The challenges of harnessing new technology.
PMID- 22093297
TI - Automated digital microfluidic sample preparation for next-generation DNA
sequencing.
AB - Next-generation sequencing (NGS) technology is a promising tool for identifying
and characterizing unknown pathogens, but its usefulness in time-critical
biodefense and public health applications is currently limited by the lack of
fast, efficient, and reliable automated DNA sample preparation methods. To
address this limitation, we are developing a digital microfluidic (DMF) platform
to function as a fluid distribution hub, enabling the integration of multiple
subsystem modules into an automated NGS library sample preparation system. A
novel capillary interface enables highly repeatable transfer of liquid between
the DMF device and the external fluidic modules, allowing both continuous-flow
and droplet-based sample manipulations to be performed in one integrated system.
Here, we highlight the utility of the DMF hub platform and capillary interface
for automating two key operations in the NGS sample preparation workflow. Using
an in-line contactless conductivity detector in conjunction with the capillary
interface, we demonstrate closed-loop automated fraction collection of target
analytes from a continuous-flow sample stream into droplets on the DMF device.
Buffer exchange and sample cleanup, the most repeated steps in NGS library
preparation, are also demonstrated on the DMF platform using a magnetic bead
assay and achieving an average DNA recovery efficiency of 80%+/-4.8%.
PMID- 22093298
TI - Functional cardiotoxicity profiling and screening using the xCELLigence RTCA
Cardio System.
AB - Cardiac safety testing of lead drug candidates is an important part of the drug
discovery and development process. All new chemical entities need to be subjected
to extensive preclinical assessment for cardiac liability, especially for a
potentially fatal form of ventricular arrhythmia referred to as Torsades de
Pointes. We have developed an innovative label-free, real-time system, the
xCELLigence RTCA Cardio System, which is designed to monitor contractility of
cardiomyocytes based on impedance measurement. The assay is performed using
specially designed microtiter plates that are integrated with gold
microelectrodes. The system was validated using mouse embryonic stem cell-derived
cardiomyocytes, human-induced pluripotent stem cell-derived cardiomyocytes, and
rat neonatal primary cardiomyocytes by applying a variety of tool compounds and
drugs with known mechanisms of action. Our data show that the time resolution in
the assay can provide important information about compound action. Furthermore,
the impedance-based beating profile in response to compound treatment can provide
mechanistic toxicity information regarding the target being modulated and may be
able to flag pro-arrhythmic compounds. We believe the real-time and kinetic
aspect of this technology combined with beat-to-beat measurement of cardiomyocyte
contraction would make this instrument an important part of preclinical cardiac
safety assessment.
PMID- 22093299
TI - Strategies for implementing hardware-assisted high-throughput cellular image
analysis.
AB - Recent advances in imaging technology for biomedicine, including high-speed
microscopy, automated microscopy, and imaging flow cytometry are poised to have a
large impact on clinical diagnostics, drug discovery, and biological research.
Enhanced acquisition speed, resolution, and automation of sample handling are
enabling researchers to probe biological phenomena at an increasing rate and
achieve intuitive image-based results. However, the rich image sets produced by
these tools are massive, possessing potentially millions of frames with
tremendous depth and complexity. As a result, the tools introduce immense
computational requirements, and, more importantly, the fact that image analysis
operates at a much lower speed than image acquisition limits its ability to play
a role in critical tasks in biomedicine such as real-time decision making. In
this work, we present our strategy for high-throughput image analysis on a
graphical processing unit platform. We scrutinized our original algorithm for
detecting, tracking, and analyzing cell morphology in high-speed images and
identified inefficiencies in image filtering and potential shortcut routines in
the morphological analysis stage. Using our "grid method" for image enhancements
resulted in an 8.54* reduction in total run time, whereas origin centering
allowed using a look up table for coordinate transformation, which reduced the
total run time by 55.64*. Optimization of parallelization and implementation of
specialized image processing hardware will ultimately enable real-time analysis
of high-throughput image streams and bring wider adoption of assays based on new
imaging technologies.
PMID- 22093300
TI - Tissue dynamics spectroscopy for three-dimensional tissue-based drug screening.
AB - Tissue dynamics spectroscopy combines dynamic light scattering with short
coherence digital holography to capture intracellular motion inside multicellular
tumor spheroid tissue models. The cellular mechanical activity becomes an
endogenous imaging contrast agent for motility contrast imaging. Fluctuation
spectroscopy is performed on dynamic speckle from the proliferating shell and
hypoxic core to generate drug-response spectrograms that are frequency versus
time representations of the changes in spectral content induced by an applied
compound or an environmental perturbation. A combination of 28 reference
compounds and conditions applied to rat osteogenic UMR-106 spheroids generated
spectrograms that were crosscorrelated in a similarity matrix used for
unsupervised hierarchical clustering of similar compound responses. This work
establishes the feasibility of tissue dynamics spectroscopy for three-dimensional
tissue-based phenotypic profiling of drug response as a fully endogenous probe of
the response of tissue to reference compounds.
PMID- 22093301
TI - Emerging clinical applications of microchip-based acoustophoresis.
AB - Acoustophoresis is currently in a state of transition from the academic
laboratories, moving into the biomedical laboratories and industries. Clear areas
of interest are seen in clinical diagnostics and therapeutics, where new
approaches to cell handling and purification are emphasized as highly potent
areas. This article outlines some of the basic unit operations of
acoustophoresis, where applications as cell washing, binary separation, free-flow
acoustophoresis, and affinity acoustophoresis are highlighted. The most recent
steps to move acoustophoresis into clinical and preclinical applications are also
presented.
PMID- 22093302
TI - Shrink-induced single-cell plastic microwell array.
AB - The ability to interrogate and track single cells over time in a high-throughput
format would provide critical information for fundamental biological
understanding of processes and for various applications, including drug screening
and toxicology. We have developed an ultrarapid and simple method to create
single-cell wells of controllable diameter and depth with commodity shrink-wrap
film and tape. Using a programmable CO(2) laser, we cut hole arrays into the
tape. The tape then serves as a shadow mask to selectively etch wells into
commodity shrink-wrap film by O(2) plasma. When the shrink-wrap film retracts
upon briefly heating, high-aspect plastic microwell arrays with diameters down to
20 MUm are readily achieved. We calibrated the loading procedure with fluorescent
microbeads. Finally, we demonstrate the utility of the wells by loading
fluorescently labeled single human embryonic stem cells into the wells.
PMID- 22093303
TI - A high-throughput microchromatography platform for quantitative analytical scale
protein sample preparation.
AB - Protein analysis (using either specific protein quantitation by methods such as
HPLC and immunoassays or structural analysis by methods such as LC-MS) usually
requires significant sample preparation, including quantitative purification of
the target protein from complex sample matrices and potentially enzymatic
treatment or labeling. We have developed platform for high-throughput
microchromatography, capable of running 96 or more small volume samples in
parallel, producing from 10 pg to 100 MUg of purified protein from each sample.
The platform is based on disposable cartridge devices with 5 MUL packed bed of
resin. The cartridges may be operated as spin columns or run on a modified 96
channel liquid handler with ultra-low dead volume syringes that directly connect
to the cartridges, providing very precisely controlled positive-displacement flow
control. A major application is quantitative purification of target proteins
using affinity or physical chromatography. Using large diameter nonporous beads,
standard microplate enzyme-linked immunosorbent assay reagents can be used to
perform 30-min immunoassays. Enzymatic digestion methods have also been developed
on the system for application in glycan profiling.
PMID- 22093304
TI - Microfluidics enables small-scale tissue-based drug metabolism studies with
scarce human tissue.
AB - Early information on the metabolism and toxicity properties of new drug
candidates is crucial for selecting the right candidates for further development.
Preclinical trials rely on cell-based in vitro tests and animal studies to
characterize the in vivo behavior of drug candidates, although neither are ideal
predictors of drug behavior in humans. Improving in vitro systems for preclinical
studies both from a technological and biological model standpoint thus remains a
major challenge. This article describes how microfluidics can be exploited to
come closer to this goal in combination with precision-cut liver slices (PCLS) as
an improved organomimetic system. Recently, we developed a novel microfluidic
based system incorporating a microchamber for slice perifusion to perform drug
metabolism studies with mammalian PCLS under continuous flow. In the present
study, the viability and metabolism of human PCLS were assessed by the
measurement of the leakage of liver-specific enzymes and metabolism of four
different substrates: lidocaine, 7-hydroxycoumarin, 7-ethoxycoumarin, and
testosterone. All experiments were verified with well plates, an excellent
benchmark for these experiments. Clearly, however, human tissue is not readily
available, and it is worth considering how to perform a maximum number of
informative experiments with small amounts of material. In one approach, the
microfluidic system was coupled to an HPLC system to allow on-line monitoring and
immediate detection of unstable metabolites, something that is generally not
possible with conventional well-plate systems. This novel microfluidic system
also enables the in vitro measurement of interorgan interactions by connecting
microchambers containing different organ slices in series for sequential
perfusion. This versatile experimental system has the potential to yield more
information about the metabolic profiles of new drug candidates in human and
animal tissues in an early stage of development compared with well plates alone.
PMID- 22093305
TI - Let us remember and celebrate a very special person: James N. Little.
PMID- 22093306
TI - A year of milestones.
PMID- 22093307
TI - [Some thoughts about the modification of diagnostic criteria for acute
respiratory distress syndrome].
PMID- 22093308
TI - [Blocking the spray of contaminants from patients' exhalent using a tracheal
detecting bulb during endotracheal intubation].
AB - OBJECTIVE: To examine the effect of a newly-developed tracheal detecting-bulb
(TDB) in preventing the spray of contaminants in patients' exhalent during
emergency endotracheal intubation procedure. METHODS: 62 emergency patients (male
or female, age 21-73 years, weight 47-83 kg, with different levels of
consciousness and spontaneous breathing) were randomly divided into two groups (n
=31 each) to receive endotracheal intubation by an anesthesiologist with more
than 17 years of experience, with (TDB group) or without (control group) a TDB
connected to the tracheal tubing. Records were taken regarding the patient's
coughing, expansion / contraction of the TDB, the operator's feeling of the
patient's exhalent on his face / neck and the contamination of these areas by
blood / secretions from the patients in the due course. RESULTS: In TDB group,
expansion / contraction of TDB occurred in all cases, but the operator felt no
exhalent in any of them. In 9 cases the patients coughed but the operator was
contaminated only in 2 cases. While in control group, the patients' exhalent was
felt in 16 cases. Coughing were recorded in 7 cases, and the operator was
contaminated in 11 cases. In comparison with the control group, TDB group had
significantly lower(both P < 0.05), incidence in feeling the exhalent (0 vs. 16),
and contamination by blood or secretions (2 vs. 11) although the two groups had
no significant difference in the incidence of coughing (9 vs. 7, P > 0.05).
CONCLUSION: TDB can play an important role in blocking the spray of contaminants
in patients' exhalent during endotracheal intubation.
PMID- 22093310
TI - [The role of heme oxygenase 1 in hydrogen peroxide induced apoptosis and
mitochondrial trans membrane potential change in rat primary type II alveolar
epithelium cells].
AB - OBJECTIVE: To understand the role of heme oxygenase-1 (HO-1) in hydrogen peroxide
[H(2)O(2)] induced apoptosis and mitochondrial trans-membrane potential (MTMP)
change in primary alveolar epithelial cell type II(AEC II). METHODS: Primary AEC
II collected from healthy Sprague Dawley (SD) rats were cultured for 24 hours,
then divided into four groups to be treated with: (1) saline; (2) H(2)O(2) (0.5
mmol/L); (3) H(2)O(2) +HO-1 (0.2 mmol/L); (4) H(2)O(2) +zinc original porphyrin
IX (HO-1 inhibitor, 20 MUmol/L). The morphology of cells in the cultures was
examined by fluorescent microscopy 2.5 hours later, and the number of apoptotic
cells / the MTMP determined by flow-cytometry 0.5, 1.0, 1.5, 2.0 and 2.5 hours
later. RESULTS: Large number of cells in with green (early apoptotic) or red
(later apoptotic) fluorescence were observed by microscope in cultures treated
with H(2)O(2) , and H(2)O(2) + HO-1 inhibitor, but such cells were obviously
fewer in HO-1 treated cultures. Compared with saline treated cells, H(2)O(2)
treated cells had significantly higher apoptosis rate, that increased with time,
reaching peak value 2.5 hours into the treatment [0.5 hour: (30.27 +/- 0.74)% vs.
(3.76 +/- 0.81)%, 2.5 hours: (40.46 +/- 0.91)% vs. (22.74 +/- 0.60)%, both P <
0.05], while the rate of MTMP depolarization was significantly lower (0.99 +/-
0.21 vs. 1.91 +/- 0.16, P < 0.05) in these cells. Compared with H(2)O(2) treated
cells, the apoptosis rate in HO-1 treated cells was significantly lower [0.5
hour: (5.99 +/- 0.60)% vs. (30.27 +/- 0.74)%, 2.5 hours: (22.69 +/- 1.69)% vs.
(40.46 +/- 0.91)%, both P < 0.05], and their rate of MTMP depolarization higher
(2.02 +/- 0.12 vs. 0.99 +/- 0.21, P < 0.05). Compared with HO-1 treated cells, HO
1 inhibitor treated cells had significantly higher apoptosis rate which reached
peak value 2.5 hours into the treatment [0.5 hour: (30.73 +/- 1.08)% vs. (5.99 +/
0.60)%, 2.5 hours: (41.38 +/- 0.57)% vs. (22.69 +/- 1.69)%, both P < 0.05],
while rate of MTMP depolarization in these cells was significantly lower (0.98 +/
0.09 vs. 2.02 +/- 0.12, P < 0.05). CONCLUSION: HO-1 could maintain the integrity
of AEC II and stabilize their mitochondria membrane potential, protecting the
cells from H(2)O(2) induced damage.
PMID- 22093309
TI - [The effect of factor VII activating protease treatment on proliferation and
collagen synthesis of cultured human pulmonary fibroblasts].
AB - OBJECTIVE: To examine the influence of factor VII-activating protease (FSAP)
treatment on cell proliferation and collagen synthesis in human pulmonary
fibroblasts (HPF). METHODS: Cultured HPF were treated with heparin (10 mg/L),
platelet-derived growth factor-BB (PDGF-BB, 20 MUg/L), FSAP (12 mg/L), aprotinin
(10 mg/L) separately and in different mixture. The proliferation of the HPF was
determined by 5-bromodeoxyuridine (BrDU) incorporation. The collagen III mRNA was
determined by real-time polymerase chain reaction (PCR), and its protein
expression, as well as the phosphorylation of p42 / p44 mitogen activated protein
kinase (MAPK) were both determined using Western blotting. RESULTS: PDGF BB
significantly (all P < 0.05) induced cell proliferation (1.23 +/- 0.06 vs. 1.01
+/- 0.01 without heparin, 1.24 +/- 0.04 vs. 0.98 +/- 0.01 with heparin), collagen
III mRNA transcription (1.79 +/- 0.02 vs. 1.00 +/- 0.00 without aprotinin, 1.57
+/- 0.01 vs. 1.00 +/- 0.00 with aprotinin), and collagen III protein expression
(0.54 +/- 0.26 vs. 0.17 +/- 0.05 without aprotinin, 0.59 +/- 0.31 vs. 0.24 +/-
0.15 with aprotinin) in HPF. PDGF BB also significantly (both P < 0.05) induced
p42 / p44 MAPK phosphorylation in HPF (0.89 +/- 0.24 vs. 0.51 +/- 0.17 without
aprotinin, 0.97 +/- 0.41 vs. 0.37 +/- 0.05 with aprotinin). In the presence of
heparin FSAP significantly (all P < 0.05) inhibited the PDGF BB induced HPF
proliferation (0.92 +/- 0.23 vs 1.19 +/- 0.11); collagen III mRNA transcription
(0.61 +/- 0.02 vs. 1.79 +/- 0.02) and collagen III protein expression (0.15 +/-
0.12 vs. 0.54 +/- 0.26). FSAP also inhibited PDGF induced p42 / p44 MAPK
phosphorylation in HPF (0.57 +/- 0.16 vs. 0.89 +/- 0.24) significantly (P <
0.05). The presence of aprotinin lead to a reversal of the inhibitory effect of
FSAP on collagen III mRNA transcription (2.37 +/- 0.21 vs.0.61 +/- 0.02),
collagen III protein expression (0.55 +/- 0.24 vs. 0.15 +/- 0.12) and p42 / p44
MAPK phosphorylation (0.86 +/- 0.41 vs. 0.57 +/- 0.16, all P < 0.05). CONCLUSION:
FSAP could inhibit the PDGF-BB induced proliferation and collagen III synthesis
in HPF in vitro through the suppression of p42 / p44 MAPK phosphorylation.
PMID- 22093311
TI - [Enoxaparin for the prevention of post surgical pulmonary embolism].
AB - OBJECTIVE: To evaluate the efficacy and safety of the administration of
enoxaparin, a low molecular weight heparin (LMWH), in the prevention of post
surgical deep vein thrombosis (DVT) and pulmonary embolism (PE). METHODS: 1928
patients hospitalized for general surgery were randomly divided into: (1) test
group (n = 960) to receive enoxaparin (40 mg, s.c., 12 hours before and after
surgery, then once daily for 7 consecutive days); (2) control group (n = 968)
without intervention. The incidence of DVT,PE and bleeding were recorded for
statistical analysis during hospitalization and a 2 months follow-up after
discharge. RESULTS: (1) No significant difference was found between the two
groups in age, sex, average body mass index, type of surgery, and DVT / PE risk
factors (obesity, varicose veins, and history of: venous thrombosis, chronic
obstructive pulmonary disease, chronic heart failure, and hormone therapy). The
percentage of non-malignant / malignant tumor surgery were 36.5% / 63.5% (average
operation time 2.3 hours) in control group and 35.6% / 64.4% (2.2 hours) in test
group (both P > 0.05). (2) During the hospitalization period, 59 cases
(incidence=6.1%) of DVT and 14 cases (incidence=1.4%) of PE (among them 6 were
fetal, 42.8% of all PE cases) were found in the control group, while 28 cases of
DVT (2.9%) and 3 cases (0.3%) of PE (1 fetal, 33.3% of all PE cases) were found
in test group. The incidence of DVT, PE (total), and PE (fetal) were significant
lower in test group (P < 0.05 or P < 0.01). During the follow up, 14 more cases
of DVT (1.4%) and 1 more case (0.1%) of PE (a fetal) were found in the control
group, and 2 more DVT cases (0.2%) in test group, with the DVT incidence in test
group significantly lower (P < 0.01). (3) During the enoxaparin administration,
30 cases (3.1%) minor bleeding and 8 cases (0.8%) major bleeding were found in
the control group, while 33 cases (3.4%) minor bleeding events and 9 cases (0.9%)
major bleeding events were found in the test group. THE RESULTS: in the two
groups were not significantly different in either type of bleeding events (both P
> 0.05). Also no significant difference was found in the bleeding events after
the ending of enoxaparin administration and during the follow up. CONCLUSION:
Enoxaparin may reduce the incidence of DVT and PE in patients undergoing general
surgery without increased risk of bleeding.
PMID- 22093312
TI - [Evaluation of the hemodynamic state of critically ill cancer patients with
central venous to arterial carbon dioxide difference].
AB - OBJECTIVE: To evaluate the hemodynamic state of critically ill cancer patients
using central venous-to-arterial carbon dioxide difference (Pcv-aCO(2)) and to
direct the treatment. METHODS: Clinical data of 47 cancer critically ill patients
with acute physiology and chronic health evaluation II (APACHE II) score> 15 and
unstable hemodynamic state were enrolled from intensive care unit of Tianjin
Medical University Cancer Hospital from October 1st 2010 to May 31th 2011, were
analyzed retrospectively. The patients were receiving the standard treatment
according to the guidelines for 24 hours, the end-point of therapy was the
standard of early goal direct therapy (EGDT). According to difference of
sequential organ failure scores (DeltaSOFA) of that after treatment and before
treatment, the patients were divided into two groups: DeltaSOFA<= 1 (n = 27) and
DeltaSOFA> 1 (n = 20). The mean arterial pressure (MAP), urine output per hour,
central venous pressure (CVP), oxygen saturation of central venous blood
(ScvO(2)), the clearance of lactic acid, and Pcv-aCO(2) before treatment were
compared with those after treatment, and their correlation with DeltaSOFA was
analysed. RESULTS: There were no significant differences in MAP (mm Hg, 1 mm Hg =
0.133 kPa: 54.48 +/- 4.95 vs. 54.45 +/- 4.30), urine output per hour (ml:19.33 +/
4.53 vs. 20.55 +/- 5.54), CVP(mm Hg: 3.48 +/- 1.81 vs. 3.25 +/- 1.16), ScvO(2)
(0.571 +/- 0.042 vs. 0.578 +/- 0.047) of two groups before treatment (all P >
0.05), but in the group DeltaSOFA<=1, the Pcv-aCO(2) (mm Hg: 7.80 +/- 2.20 vs.
9.39 +/- 0.97) and SOFA scores (6.33 +/- 2.11 vs. 9.50 +/- 1.24) were
significantly lower than those of the group DeltaSOFA>1 (all P < 0.01). There
were no significant differences in MAP (mm Hg: 73.48 +/- 6.12 vs. 71.30 +/-
7.30), CVP (mm Hg: 6.85 +/- 1.26 vs. 6.50 +/- 1.28), ScvO(2) (0.693 +/- 0.032 vs.
0.684 +/- 0.039) between two groups after treatment (all P > 0.05), though their
RESULTS: data were improved compared with that of before treatment. However,
there were significant differences in Pcv-aCO(2) (mm Hg: 3.02 +/- 1.59 vs. 8.21
+/- 2.23), urine output per hour (ml: 71.41 +/- 6.74 vs. 51.70 +/- 7.50), SOFA
score (6.03 +/- 2.56 vs. 10.05 +/- 1.61), the clearance of lactic acid [(27.71 +/
11.46)% vs. -(0.78 +/- 13.29)%, all P < 0.01]. There was significant correlation
between urine output per hour, Pcv-aCO(2), clearance of lactic acid and DeltaSOFA
(r values were -0.712, 0.745, -0.631, all P < 0.05). CONCLUSION: Pcv-aCO(2) could
be used as an index of evaluating the cardiac index and the hemodynamic state,
and it could be considered to be one of the indices of evaluating the therapeutic
effect and prognosis.
PMID- 22093313
TI - [The effect of changes in the level of sex hormone on prognosis of abdominal
surgical systemic inflammatory response syndrome].
AB - OBJECTIVE: To examine if the serum level of 3 sex hormones early after admission
are correlated with the prognosis in post-abdominal surgery patients with
systemic inflammatory response syndrome (SIRS). METHODS: 39 patients admitted to
our surgical intensive care unit (SICU) for abdominal surgery were divided,
according to their serum level of estradiol (E2), prolactin (PRL), and
testosterone (TT), into: (1) E2 elevation group (n = 20), (2) E2 normal group (n
= 19), (3) PRL elevation group (n = 16), (4) PRL normal group (n = 23), (5) TT
reduction group (n = 14), and (6) TT normal group (n = 25), for data collection
of: acute physiology and chronic health evaluation II(APACHE II) scores, total
hospitalization days, ICU stay, total hospitalization cost and 28 day outcomes.
RESULTS: In comparison with corresponding normal group, E2 elevation group had
lower (but not statistically significant) APACHE II score (11.6 +/- 7.8 vs. 15.2
+/- 8.8, P > 0.05), significantly shorter ICU stay (days: 8.5 +/- 4.0 vs. 12.1 +/
7.9, P < 0.05), and significantly lower 28 days mortality rate (10.0% vs. 35.7%,
P < 0.05); PRL elevation group had significantly shorter ICU stay (days: 8.7 +/-
3.1 vs. 12.9 +/- 2.1, P < 0.01), and significantly lower total hospitalization
cost (thousand yuan: 6.70 +/- 3.50 vs. 13.20 +/- 8.20, P < 0.05); TT reduction
group had significantly lower APACHE II score (10.4 +/- 5.4 vs. 15.2 +/- 9.4, P <
0.05), significantly shorter ICU stay (days: 26.6 +/- 12.2 vs. 28.1 +/- 17.0, P <
0.01), and significantly lower 28-day mortality rate (0 vs. 31.8%, P < 0.01).
CONCLUSION: The early serum levels of sex hormones may have significant influence
on the prognosis in post-abdominal surgery patients with SIRS.
PMID- 22093314
TI - [Changes in peripheral dendritic cells in serious burn patients and its clinical
significance].
AB - OBJECTIVE: To explore the changes in peripheral dendritic cells (DCs) in serious
burn patients and its relationship with the burn severity and pathogenesis of
sepsis. METHODS: Twenty-two serious burn patients were divided into the burn
group (n = 10) and the burn sepsis group (n = 12) according to diagnostic
criteria of sepsis, they were stratified according to the total burn surface area
(TBSA), into the TBSA I group (TBSA 30%-50%, n = 14) and the TBSA II group (TBSA
51%-80%, n = 8). Peripheral blood of all patients was collected on 1, 3, 7 ,14
,20 day after burn. The number of two subtypes of peripheral DC i. e myeloid
dendritic cells [mDC, Lineage1(-)HLA-DR(+)CD11c(+)] and plasmacytoid dendritic
cells [pDC, Lineage1(-)HLA-DR(+)CD123(+)] were quantified by flow cytometer. Ten
healthy volunteers served as normal controls at the same time. RESULTS: In the
healthy control group, mDC in the peripheral blood was (0.450 +/- 0.150)% and pDC
was (0.241 +/- 0.084)%. Compared with the healthy control group, in the burn
group both mDC [(0.257 +/- 0.116)%, (0.274 +/- 0.086)%, (0.317 +/- 0.056)%] and
pDC [(0.122 +/- 0.058)%, (0.165 +/- 0.051)%, (0.177 +/- 0.024)%] decreased
significantly on 1, 3, 7 day after burn (all P < 0.05), and the number returned
to the normal level on 14 day and 20 day. Compared with the burn group, the
number of mDC [(0.230 +/- 0.090)%] and pDC [(0.114 +/- 0.071)%] in patients of
the burn sepsis group were significantly lower (both P < 0.05) on 1 day after
burn. Both cells [mDC (0.246 +/- 0.076)% vs. (0.412 +/- 0.097)% and pDC (0.097 +/
0.032)% vs. (0.203 +/- 0.039)%] were still significantly lower (both P < 0.05)
on 20 day. Both mDC [(0.266 +/- 0.062)%, (0.289 +/- 0.071)%, (0.351 +/- 0.054)%]
and pDC [(0.131 +/- 0.025)%, (0.163 +/- 0.037)%, (0.178 +/- 0.038)%] in the
patients in the TBSA I group decreased significantly on 1, 3, 7 day after burn as
compared with those of the healthy control group(all P < 0.05), and they
returned to the normal level on 14 day and 20 day. Compared with the TBSA I
group, mDC [(0.227 +/- 0.070)%] and pDC [(0.112 +/- 0.047)%] in patients of the
TBSA II group decreased significantly(both P < 0.05)on 1 day after burn, and both
cells [mDC (0.297 +/- 0.072)% vs. (0.423 +/- 0.046)% and pDC (0.107 +/- 0.061)%
vs.(0.197 +/- 0.042)%] were still significantly lower (both P < 0.05) on 20 day.
CONCLUSION: Both the number of mDC and pDC decrease in peripheral blood in early
stage in serious burn patients, and those who have more serious burn have lower
number of mDC or pDC. Deficiency in mDCs and pDC subsets may contribute to
immunosuppression in burn victims, and those who suffered obvious loss of mDC and
pDC are susceptible to sepsis following severe burn. It indicates that the
percentage of mDC and pDC can be a predictive index of sepsis after burn.
PMID- 22093316
TI - [Changes in pulmonary metalloprotein-9 level in rats during sepsis, and the
effect of ulinastatin].
PMID- 22093315
TI - [Device associated infection in medical surgical intensive care unit inpatients
of an A level, tertiary class hospital in Beijing].
AB - OBJECTIVE: To understand the situation of the device-associated infection (DAI)
in the medical-surgical intensive care unit(ICU)inpatients in an A-level tertiary
class hospital in Beijing. METHODS: DAI date were collected through a
surveillance on the medical-surgical ICU inpatients in an A-level tertiary class
hospital in Beijing from January 2008 to December 2010. RESULTS: In 2279 patients
admitted to the medical-surgical ICU (with a 15,332 days total hospitalization
stay), 283 were found infected. The incidence for in-hospital infection was
12.42%, and 2.452% for the incidence per patient-day. The device utilization
ratios for ventilator, central venous catheter and urinary catheter were 56.76%,
59.01% and 80.07% respectively while the incidence for ventilator-associated
pneumonia (VAP), central line-associated bloodstream infection (CLABSI) and
catheter-associated urinary tract infection (CAUTI) were 1.632%, 0.409% and
0.350% respectively. The predominant bacteria species found in these cases were
Gram-negatives and the main stay of pathogenic species were A. baumannii, P.
aeruginosa, Staphylococcus, E. coli, K. pneumoniae and C. albicans etc.
CONCLUSION: The incidence of DAI in the surveyed hospital is close to other
hospitals in China and other developing countries but higher than hospitals in
United States. More efforts should be made for its prevention / control by
hospital stuff, with the cooperation from the patients.
PMID- 22093317
TI - [The safety of bronchoscope guided implantation of 2 double-lumen balloon micro
catheter in the treatment of pulmonary hemoptysis: the factors involved].
PMID- 22093318
TI - [Clinical study of the incidence of sinusitis with tracheal intubation and its
relation to duration of intubation].
PMID- 22093319
TI - [The mechanisms for the lung tissue protective effects of hydrogen : recent
progress in mice study].
PMID- 22093320
TI - [Multiple organ dysfunction syndrome and related genetic polymorphisms].
PMID- 22093321
TI - Non-invasive diagnostic criteria for hepatocellular carcinoma: the value of
contrast washout at imaging and the death of alpha-fetoprotein.
PMID- 22093322
TI - Dilemma of recurrence of hepatitis B infection after liver transplantation.
PMID- 22093323
TI - Autoimmune hepatitis from the paediatric perspective.
AB - Autoimmune hepatitis (AIH) is an important entity within the broad spectrum of
autoimmune hepatobiliary disease comprised of AIH, primary biliary cirrhosis
(PBC) and primary sclerosing cholangitis (PSC). Since the 1960s, AIH has been
investigated with extensive clinical research aimed at effective therapeutic
intervention. It was one of the first liver diseases where treatment was
demonstrated to prolong survival. AIH occurs in children, as well as in adults.
Its clinical manifestations in children may differ from classic adult AIH. These
differences have elucidated certain aspects of AIH and hepatobiliary disease in
general. There are two major patterns of AIH: type 1, with anti-smooth muscle
antibodies and type 2, with anti-liver/kidney microsomal antibodies. The second
type of AIH was first identified in children and is more common in younger
patients. AIH often presents as acute disease in children and also in adults: the
nomenclature has dropped the allusion to chronicity. Some children who have
sclerosing cholangitis present with clinical disease closely resembling AIH; this
AIH-like PSC, termed autoimmune sclerosing cholangitis (ASC), is also found in
adults. Children with AIH may have identifiable monogenic disorders of immune
regulation such as autoimmune polyendocrinopathy-candidiasis-ectodermal dystrophy
(APECED). Like adults with AIH, children with AIH usually respond very favourably
to immunosuppressive treatment with corticosteroids +/- azathioprine. True cures
seem to be rare, although many children achieve a stable remission. Nonetheless
children with AIH may develop cirrhosis and some require liver transplantation.
Early diagnosis and improved treatment strategies may further improve the outlook
for children with AIH.
PMID- 22093325
TI - Previous overt hepatic encephalopathy rather than minimal hepatic encephalopathy
impairs health-related quality of life in cirrhotic patients.
AB - BACKGROUND: It has been observed that overt hepatic encephalopathy (HE) is
accompanied by a persistent cognitive defect, suggesting that HE may not be fully
reversible. The health-related quality-of-life (HRQoL) has been shown to be
impaired by cirrhosis, and, according to some reports, influenced by minimal HE.
Little is known about the effect of previous HE on HRQoL. AIM: To investigate the
relative impact of previous HE and minimal HE on HRQoL in a group of
consecutively hospitalized cirrhotic patients. PATIENTS/METHODS: Seventy five
consecutive cirrhotic patients were evaluated using the Psychometric HE Score
(PHES) and simplified Psychometric HE Score (SPHES) to detect the presence of
minimal HE and using SF-36 to assess the HRQoL, both corrected for age and
education. Eighteen of them had previous bouts of overt HE. RESULTS: Minimal HE
was significantly more frequent in patients with previous HE than in those
without (p < 0.001), independently on the method used for the diagnosis (PHES or
SPHES). A deeper impairment in several domains of SF-36 was observed in patients
with previous bouts of overt HE, in those with ascites, as well as in those with
decompensated cirrhosis. At multivariate analysis, ascites, MELD score and
previous HE were independently related to the mental-component-summary (MCS) of
SF-36, whereas ascites was the only variable independently associated with the
physical-component-summary (PCS) of SF-36. Minimal HE (independently on the
method used for its diagnosis) impaired only one domain of SF-36. CONCLUSIONS:
These data suggest that previous bouts of HE, despite their complete clinical
resolution, play an independent role in producing a persistent impairment in
HRQoL of cirrhotics.
PMID- 22093324
TI - Antioxidants as therapeutic agents for liver disease.
AB - Oxidative stress is commonly associated with a number of liver diseases and is
thought to play a role in the pathogenesis of chronic hepatitis C, alcoholic
liver disease, non-alcoholic steatohepatitis (NASH), haemochromatosis and
Wilson's disease. Antioxidant therapy has thus been considered to have the
possibility of beneficial effects in the management of these liver diseases.
Despite this promise, antioxidants have produced mixed results in a number of
clinical trials of efficacy. This review summarizes the results of clinical
trials of antioxidants as sole or adjuvant therapy of chronic hepatitis C,
alcoholic liver disease and non-alcoholic steatohepatitis (NASH). Overall, the
most promising results to date are for vitamin E therapy of NASH but some
encouraging results have been obtained with antioxidant therapy of acute
alcoholic hepatitis as well. Despite evidence for small reductions of serum
alanine aminotransferase, there is as yet no convincing evidence that antioxidant
therapy itself is beneficial to patients with chronic hepatitis C. Problems such
as small sample size, short follow up duration, inadequate endpoints, failure to
demonstrate tissue delivery and antioxidant efficacy, and heterogeneous nature of
the 'antioxidant' compounds used have complicated interpretation of results of
the clinical studies. These limitations and their implications for future trial
design are discussed.
PMID- 22093326
TI - Long-term virological follow up of patients with occult hepatitis C virus
infection.
AB - BACKGROUND: Patients with occult hepatitis C virus (HCV) infection (HCV-RNA in
liver without detectable anti-HCV and serum HCV-RNA) may have viral RNA in
peripheral blood mononuclear cells (PBMCs) and in serum after
ultracentrifugation, and may present HCV-specific T-cell responses, but it is
unknown whether these markers persist to be detectable over time. AIM: To perform
a prospective virological long-term follow up of patients with occult HCV.
METHODS: Viral markers were tested every 3-4 months during 55.7 +/- 20.3 months
in 37 patients with occult HCV who were under ursodeoxycholic acid treatment.
RESULTS: Viral RNA was detectable in PBMCs of 31 patients during the follow up.
In 23 of them, viral RNA in PBMCs was detected intermittently and in the other
eight patients HCV-RNA was positive in a single sample. After
ultracentrifugation, serum HCV-RNA was detected in 33 patients, being the
viraemia intermittently detectable in 28, whereas in the remaining five patients,
serum HCV-RNA was positive only once. Only one patient tested always HCV-RNA
negative in PBMCs and in ultracentrifuged serum during follow up. Specific Core,
NS3, and/or NS4 T-cell responses were found in 31 of the patients. The patient
who was always HCV-RNA negative in PBMCs and in ultracentrifuged serum had
specific HCV-T-cell responses. CONCLUSIONS: Occult HCV infection persists over
time with fluctuating viraemia levels that induce and maintain specific T-cell
responses against viral proteins.
PMID- 22093327
TI - Long-term adefovir plus lamivudine therapy does not decrease creatinine clearance
in HBeAg-negative chronic hepatitis B patients.
AB - BACKGROUND/AIMS: As there are concerns about potential nephrotoxicity of
nucleotide analogues, we evaluated renal function parameters during long-term
adefovir and lamivudine combination therapy. METHODS: Forty-six HBeAg-negative
patients with lamivudine-resistance treated with adefovir and lamivudine for up
to 90 months were included. Renal function was assessed by estimated creatinine
clearance (eC(CR) ) and compared with a matched control group of untreated
inactive hepatitis B virus carriers. RESULTS: Serum HBV DNA became undetectable
in 39 (85%) patients after a mean of 37 +/- 21 months. Three (6.5%) patients
developed virological breakthrough. Adefovir resistance was detected in two
patients. At the end of follow up, there was a significant decrease in mean
eC(CR) (95 +/- 31-83 +/- 30 ml/min, P = 0.003) in the treated patients with 16%
presenting aeC(CR) decrease >30%. Similar changes in eC(CR) were observed in the
control group (108 +/- 28-96 +/- 26 ml/min, P = 0.003). In multiple regression
analysis, age and baseline eC(CR) were independent predictors of eC(CR)
reduction. CONCLUSIONS: Adefovir and lamivudine combination therapy is not an
independent factor for significant renal dysfunction in HBeAg-negative patients
with lamivudine-resistance. Baseline age and creatinine clearance are the only
independent predictors of worsening renal function.
PMID- 22093329
TI - Cirrhosis and a metallic foreign body in the stomach.
PMID- 22093328
TI - NADPH oxidase-mediated platelet isoprostane over-production in cirrhotic
patients: implication for platelet activation.
AB - BACKGROUND: In patients with cirrhosis conflicting findings, inherent to platelet
function and its clinical implication, are still matters of discussion. Cirrhosis
is characterized by enhanced production of isoprostanes, index of oxidative
stress in vivo, that is known to stem from nicotinamide adenine dinucleotide
phosphate (NADPH) oxidase 2 (NOX2)-generating oxidative stress and elicit
platelet activation. AIM: To analyse the relationship between oxidative stress
and platelet activation in cirrhosis. METHODS: A cross-sectional study including
51 cirrhotic patients and sex- and age-matched control patients has been
designed. Soluble NOX2-derived peptide (sNOX2-dp), a direct marker of NADPH
oxidase activation, isoprostanes urinary excretion, platelet isoprostanes and two
markers of in vivo platelet activation, i.e. soluble CD40 Ligand (sCD40L) and
soluble P-selectin (sPs), were measured. RESULTS: Compared with controls,
cirrhotic patients had higher levels of sPs (P = 0.034), sCD40L (P < 0.0001),
sNOX2-dp (P = 0.0016), urinary excretion of isoprostanes (P < 0.0001) and
arachidonic acid-induced platelet isoprostane formation (P < 0.0001). A
significant correlation between sNOX2-dp and platelet (R(s) = 0.39, P = 0.0051)
and urinary (R(s) = 0.67, P < 0.0001) isoprostanes was detected; also, sNOX2-dp
and isoprostanes significantly correlated with sPs and sCD40L. A stepwise
regression analysis revealed that sNOX2-dp was independently related to sCD40L
plasma levels. CONCLUSIONS: This study provides evidence that in cirrhosis,
platelet isoprostanes are over-produced and could be implicated in platelet
activation.
PMID- 22093330
TI - Characterization of herpes simplex virus 1 strains as platforms for the
development of oncolytic viruses against liver cancer.
AB - BACKGROUND: Diverse oncolytic viruses (OV) are being designed for the treatment
of cancer. The characteristics of the parental virus strains may influence the
properties of these agents. AIMS: To characterize two herpes simplex virus 1
strains (HSV-1 17syn(+) and HFEM) as platforms for virotherapy against liver
cancer. METHODS: The luciferase reporter gene was introduced in the intergenic
region 20 locus of both HSV-1 strains, giving rise to the Cgal-Luc and H6-Luc
viruses. Their properties were studied in hepatocellular carcinoma (HCC) cells in
vitro. Biodistribution was monitored by bioluminescence imaging (BLI) in athymic
mice and immune-competent Balb/c mice. Immunogenicity was studied by MHC-tetramer
staining, in vivo killing assays and determination of specific antibody
production. Intratumoural transgene expression and oncolytic effect were studied
in HuH-7 xenografts. RESULTS: The H6-Luc virus displayed a syncytial phenotype
and showed higher cytolytic effect on some HCC cells. Upon intravenous or
intrahepatic injection in mice, both viruses showed a transient transduction of
the liver with rapid relocalization of bioluminescence in adrenal glands, spinal
cord, uterus and ovaries. No significant differences were observed in the
immunogenicity of these viruses. Local intratumoural administration caused
progressive increase in transgene expression during the first 5 days and
persisted for at least 2 weeks. H6-Luc achieved faster amplification of transgene
expression and stronger inhibition of tumour growth than Cgal-Luc, although
toxicity of these non-attenuated viruses should be reduced to obtain a
therapeutic effect. CONCLUSIONS: The syncytial H6-Luc virus has a strong
oncolytic potential on human HCC xenografts and could be the basis for potent OV.
PMID- 22093331
TI - Aquaporin-1 associated with hepatic arterial capillary proliferation on hepatic
sinusoid in human cirrhotic liver.
AB - BACKGROUND: Aquaporins (AQPs) are key regulators not only of water transport in
the cytoplasm but also of angiogenesis. Although AQPs in the normal hepatobiliary
system have been studied in mammals, little is known about the localization and
changes of AQPs in the hepatic microvascular system including sinusoids in
cirrhotic liver, which might contribute to portal hypertension. AIMS: We designed
this study to examine the localization of AQP1 in human cirrhotic liver. METHODS:
Surgical wedge biopsy specimens were obtained from non-cirrhotic portions of
human livers (normal control) and from cirrhotic livers (LC) (Child A-LC and
Child C-LC). Immunostaining, Western blotting, in situ hybridization (ISH) and
laser-captured microdissection (LCM) were conducted. RESULTS: In control liver
tissue, AQP1 was localized mainly in the portal venules, hepatic arterioles and
bile ducts in the portal tract, although AQP1 was detected only slightly in the
sinusoids. In cirrhotic liver tissue, AQP1 expression was evident, aberrantly
observed on periportal sinusoidal endothelial cells corresponding to the
capillarized sinusoids, on the proliferated arterial capillaries opening into the
sinusoid in the generating hepatic nodule and on proliferated bile ductules at
the peripheral edge of nodules and fibrotic septa. In cirrhotic liver,
overexpression of AQP1 at protein and mRNA levels was demonstrated, respectively,
using Western blot and ISH. AQP-1 of mRNA level in sinusoid was confirmed using
LCM. CONCLUSIONS: Aberrant expressions of AQP1 in periportal sinusoidal regions
in human cirrhotic liver indicate the proliferation of arterial capillaries
directly connected to the sinusoids, contributing to microvascular resistance in
cirrhosis.
PMID- 22093332
TI - Involvement of cytosolic phospholipase A2 alpha signalling pathway in spontaneous
and transforming growth factor-beta-induced activation of rat hepatic stellate
cells.
AB - BACKGROUND: Hepatic stellate cells (HSCs) are extracellular matrix-producing
cells that play a pivotal role in liver fibrogenesis. During liver injury and
when cells are placed in vitro, HSCs undergo phenotypic transition from quiescent
retinoid-storing cells to activated retinoid-deficient myofibroblast-like cells.
Although several mediators including reactive oxygen species, platelet derived
growth factor, transforming growth factor-beta (TGF-beta) and tumour necrosis
factor-alpha (TNF-alpha) were implicated in HSC activation, the cellular
signalling pathways that regulate this process remain incompletely defined. AIMS:
The objectives of this study were to evaluate the role of cytosolic phospholipase
A(2) alpha (cPLA(2)alpha) and peroxisome proliferator-activated receptor
beta/delta (PPAR-beta/delta) in HSC activation. METHODS: Rat HSCs were isolated,
purified, cultured and stimulated with TGF-beta1 in the presence or absence of
the selective cPLA(2)alpha inhibitor, arachidonyltrifluoromethyl ketone
(AACOCF(3)). The activation status of HSC was evaluated by immunofluorescent
staining of alpha-smooth muscle actin (alpha-SMA) and by measuring the expression
of cPLA(2)alpha, cyclooxygenase 2 (COX-2) and PPAR-beta/delta using western blot
analysis. RESULTS: Rapid and significant increase in cPLA(2)alpha expression was
observed during activation of HSCs. These events preceded the elevation of PPAR
beta/delta and the expression of alpha-SMA. Elevated expression of cPLA(2)alpha,
but not COX-2, was also observed during TGF-beta-induced HSC activation. The TGF
beta-induced alpha-SMA expression was blocked by AACOCF(3). Furthermore,
transfection of a cPLA(2)alpha expression vector enhanced the transcription
activity of PPAR-beta/delta and the expression of alpha-SMA in HSCs. CONCLUSION:
cPLA(2)alpha-mediated induction of PPAR-beta/delta is a novel intracellular
signalling pathway in spontaneous and TGF-beta induced activation of HSCs and
could be a potential therapeutic target for the treatment of liver fibrosis.
PMID- 22093333
TI - Enhanced innate immune responsiveness and intolerance to intestinal endotoxins in
human biliary epithelial cells contributes to chronic cholangitis.
AB - BACKGROUND: Pattern recognition receptors (PRRs) orchestrate the innate immune
defence in human biliary epithelial cells (BECs). Tight control of PRR signalling
provides tolerance to physiological amounts of intestinal endotoxins in human
bile to avoid constant innate immune activation in BECs. AIMS: We wanted to
determine whether inappropriate innate immune responses to intestinal endotoxins
contribute to the development and perpetuation of chronic biliary inflammation.
METHODS: We examined PRR-mediated innate immune responses and protective
endotoxin tolerance in primary BECs isolated from patients with primary
sclerosing cholangitis (PSC), alcoholic liver disease and patients without
chronic liver disease. Expression studies comprised northern blots, RT-PCR,
Western blots and immunocytochemistry. Functional studies comprised immuno
precipitation Western blots, FACS for endotoxin uptake, and NF-kappaB activation
assays and ELISA for secreted IL-8 and tumour necrosis factor (TNF)-alpha.
RESULTS: Primary BECs from explanted PSC livers showed reversibly increased TLR
and NOD protein expression and activation of the MyD88/IRAK signalling complex.
Consecutively, PSC BECs exhibited inappropriate innate immune responses to
endotoxins and did not develop immune tolerance after repeated endotoxin
exposures. This endotoxin hyper-responsiveness was probably because of the
stimulatory effect of abundantly expressed IFN-gamma and TNF-alpha in PSC livers,
which stimulated TLR4-mediated endotoxin signalling in BECs, leading to increased
TLR4-mediated endotoxin incorporation and impaired inactivation of the TLR4
signalling cascade. As TNF-alpha inhibition partly restored protective innate
immune tolerance, endogenous TNF-alpha secretion probably contributed to
inappropriate endotoxin responses in BECs. CONCLUSION: Inappropriate innate
immune responses to intestinal endotoxins and subsequent endotoxin intolerance
because of enhanced PRR signalling in BECs probably contribute to chronic
cholangitis.
PMID- 22093334
TI - Hepatic iron overload following liver transplantation of a C282y homozygous
allograft: a case report and literature review.
AB - Hereditary haemochromatosis is a common genetic disease associated with
progressive iron overload and parenchymal organ damage including liver, pancreas
and heart. We report a case of inadvertent transplantation of a liver from a
haemochromatosis donor to a 56-year-old Asian female. Progressive iron overload
occurred over a 2 year follow up as assessed by liver biopsy and iron studies in
the absence of a secondary cause of iron overload, supporting a primary role of
liver rather than small intestine in the regulation of iron homeostasis in
hereditary haemochromatosis.
PMID- 22093335
TI - A novel Y231del mutation of HFE in hereditary haemochromatosis provides in vivo
evidence that the Huh-7 is a human haemochromatotic cell line.
AB - Hereditary haemochromatosis (HH), which is mainly associated with a C282Y
polymorphism in HFE, is common among Caucasians of north European descent, but is
very rare among Asians. Herein, we report a 43-year-old Japanese man who was
diagnosed as having HH. A laboratory examination revealed an elevated serum iron
level (280 MUg/dl), hyperferritinemia (1698 ng/ml) and a low serum level of
hepcidin-25 (4.0 ng/ml). Abdominal magnetic resonance imaging revealed findings
suggestive of iron accumulation in the liver and pancreas. HFE gene sequencing in
the patient revealed a novel homozygous TAC nucleotide deletion (c. 691_693del)
responsible for the loss of a tyrosine at position 231 (p. Y231del) of the HFE
protein. This homozygous Y231del mutation was recently found in the Huh-7
hepatoma cell line and was shown to prevent the translocation of HFE to the cell
surface. This clinical case provides in vivo evidence suggesting that Huh-7 is
undoubtedly a human haemochromatotic cell line and, as such, is a valuable tool
for investigating the pathogenesis of HFE-related HH in humans.
PMID- 22093336
TI - Validation of fatty liver index and lipid accumulation product for predicting
fatty liver in Korean population.
PMID- 22093337
TI - Prevalence of cognitive impairment in individuals aged over 65 in an urban area:
DERIVA study.
AB - BACKGROUND: Few data are available on the prevalence of cognitive impairment (CI)
in Spain, and the existing information shows important variations depending on
the geographical setting and the methodology employed. The aim of this study was
to determine the prevalence of CI in individuals aged over 65 in an urban area,
and to analyze its associated risk factors. METHODS: DESIGN: A descriptive,
cross-sectional, home questionnaire-based study; SETTING: Populational, urban
setting. PARTICIPANTS: The reference population comprised over-65s living in the
city of Salamanca (Spain) in 2009. Randomized sampling stratified according to
health district was carried out, and a total of 480 people were selected. In all,
327 patients were interviewed (68.10%), with a mean age of 76.35 years (SD:
7.33). Women accounted for 64.5% of the total. MEASUREMENTS: A home health
questionnaire was used to obtain the following data: age, sex, educational level,
family structure, morbidity and functionality. All participants completed a
neuropsychological test battery. The prevalence data were compared with those of
the European population, with direct adjustment for age and sex. Diagnoses were
divided into three general categories: normal cognitive function, cognitive
impairment - no dementia (CIND), and dementia. RESULTS: The prevalence of CI
among these over-65s was 19% (14.7% CIND and 4.3% dementia). The age-and sex
adjusted global prevalence of CI was 14.9%. CI increased with age (p < 0.001) and
decreased with increasing educational level (p < 0.001). Significant risk factors
were found with the multivariate analyses: age (OR = 1.08, 95%CI: 1.03-1.12),
anxiety-depression (OR = 3.47, 95%CI: 1.61-7.51) and diabetes (OR = 2.07, 95%CI:
1.02-4.18). In turn, years of education was found to be a protective factor (OR =
0.79, 95%CI: 0.70-0.90). Although CI was more frequent among women and in people
living without a partner, these characteristics were not significantly associated
with CI risk. CONCLUSIONS: The observed raw prevalence of CI was 19% (14.9% after
adjusting for age and sex). Older age and the presence of diabetes and anxiety
depression increased the risk of CI, while higher educational level reduced the
risk.
PMID- 22093338
TI - Microfluidics for the deposition of density gradients of immobilized
oligonucleotide probes; developing surfaces that offer spatial control of the
stringency of DNA hybridization.
AB - A method for the development of continuous density gradients of immobilized
oligonucleotide probes (20mer) along the length of microfluidic channels is
demonstrated. The development of continuous density gradients was achieved using
variable electrokinetic transport of probes in hybrid glass-polydimethylsiloxane
microfluidic chips. The probes were terminated with an amine functional group,
and were delivered by electrokinetic pumping to the flat glass channel wall after
it had been densely coated with covalently immobilized aldehyde groups. This
method provided probe immobilization densities ranging from 4.5(+/-0.8)*10(13) to
2.5(+/-0.8)*10(11) molecules cm(-2), with longitudinal dilution and differential
mass transport of the injected plug of probes being the primary factors
responsible for the gradient of density. The utility of the resulting density
gradient of immobilized probes to control the selectivity of hybridization was
demonstrated at room temperature by discrimination between a fully complementary
oligonucleotide target, and a target strand containing 3 base pair mismatches (3
BPM) based on the spatial pattern of hybridization for sub-picomole quantities of
targets. Single nucleotide polymorphism (SNP) discrimination was possible when
temperature control was implemented to improve resolution of the mismatch
discrimination, allowing SNP discrimination at 35 degrees C with a contrast
ratio of almost 5 to 1.
PMID- 22093339
TI - Applicability of multisyringe chromatography coupled to cold-vapor atomic
fluorescence spectrometry for mercury speciation analysis.
AB - In this paper, a novel automatic approach for the speciation of inorganic mercury
(Hg(2+)), methylmercury (MeHg(+)) and ethylmercury (EtHg(+)) using multisyringe
chromatography (MSC) coupled to cold-vapor atomic fluorescence spectrometry
(CV/AFS) was developed. For the first time, the separation of mercury species was
accomplished on a RP C18 monolithic column using a multi-isocratic elution
program. The elution protocol involved the use of 0.005% 2-mercapthoethanol in
240 mM ammonium acetate (pH 6)-acetonitrile (99:1, v/v), followed by 0.005% 2
mercapthoethanol in 240 mM ammonium acetate (pH 6)-acetonitrile (90:10, v/v). The
eluted mercury species were then oxidized under post-column UV radiation and
reduced using tin(II) chloride in an acidic medium. Subsequently, the generated
mercury metal were separated from the reaction mixture and further atomized in
the flame atomizer and detected by AFS. Under the optimized experimental
conditions, the limits of detection (3sigma) were found to be 0.03, 0.11 and 0.09
MUg L(-1) for MeHg(+), Hg(2+) and EtHg(+), respectively. The relative standard
deviation (RSD, n=6) of the peak height for 3, 6 and 3 MUg L(-1) of MeHg(+),
Hg(2+) and EtHg(+) (as Hg) ranged from 2.4 to 4.0%. Compared with the
conventional HPLC-CV/AFS hyphenated systems, the proposed MSC-CV/AFS system
permitted a higher sampling frequency and low instrumental and operational costs.
The developed method was validated by the determination of a certified reference
material DORM-2 (dogfish muscle), and was further applied for the determination
of mercury species environmental and biological samples.
PMID- 22093341
TI - Chemometric determination of the length distribution of single walled carbon
nanotubes through optical spectroscopy.
AB - Current synthesis methods for producing single walled carbon nanotubes (SWCNTs)
do not ensure uniformity of the structure and properties, in particular the
length, which is an important quality indicator of SWCNTs. As a result, sorting
SWCNTs by length is an important post-synthesis processing step. For this
purpose, convenient analysis methods are needed to characterize the length
distribution rapidly and accurately. In this study, density gradient
ultracentrifugation was applied to prepare length-sorted SWCNT suspensions
containing individualized surfactant-wrapped SWCNTs. The length of sorted SWCNTs
was first determined by atomic force microscope (AFM), and their absorbance was
measured in ultraviolet-visible near-infrared (UV-vis-NIR) spectroscopy.
Chemometric methods are used to calibrate the spectra against the AFM-measured
length distribution. The calibration model enables convenient analysis of the
length distribution of SWCNTs through UV-vis-NIR spectroscopy. Various
chemometric techniques are investigated, including pre-processing methods and non
linear calibration models. Extended inverted signal correction, extended
multiplicative signal correction and Gaussian process regression are found to
provide good prediction of the length distribution of SWCNTs with satisfactory
agreement with the AFM measurements. In summary, spectroscopy in conjunction with
advanced chemometric techniques is a powerful analytical tool for carbon nanotube
research.
PMID- 22093340
TI - Quantitative trace analysis of benzene using an array of plasma-treated metal
decorated carbon nanotubes and fuzzy adaptive resonant theory techniques.
AB - The functionalization of carbon nanotube sidewalls with metal nanoparticles is
exploited here to improve the sensitivity and selectivity of gas sensors operated
at room temperature. An array of sensors using oxygen plasma treated multiwalled
carbon nanotubes (bare and decorated with Pt, Pd or Rh nanoparticles) is shown to
selectively detect traces of benzene (i.e., 100 ppb) in the presence of carbon
monoxide, hydrogen sulfide or nitrogen dioxide at different humidity levels.
Employing a quantitative fuzzy adaptive resonant theory (ART) network whose
inputs are the responses of the sensor array, it is possible to accurately
estimate benzene concentration in a changing background. The quantitative fuzzy
ART is especially suited for compensating the nonlinear effects in sensor
response caused by changes in ambient humidity, which explains why this method
clearly outperforms partial least squares calibration models at estimating
benzene concentration. These results open the way to design new affordable,
wearable, sensitive and selective detectors aimed at the personal protection of
workers subject to occupational exposure to benzene, toluene, ethyl benzene and
xylenes.
PMID- 22093342
TI - Electrochemical sensors based on molecularly imprinted polymers grafted onto gold
electrodes using click chemistry.
AB - We have developed a three-step method to graft molecularly imprinted polymer
(MIP) thin films onto Au electrodes. In the first step, propargyl acrylate is
clicked onto an azidoundecanethiol (N(3)(CH(2))(11)SH)/decanethiol mixed self
assembled monolayer (SAM). Then, by applying UV light (365 nm) in the presence of
N,N'-methylenebis(acrylamide) (MAAM) and azobisisobutyronitrile (AIBN) as the
radical initiator, polymerization was carried out directly on the electrode
surface in the presence of an electroactive template molecule, hydroquinone (HQ).
Detection of HQ using the clicked-on MIP sensor was studied using
chronoamperometry and its behavior was compared to that of a sensor prepared by
drop-coating MIPs onto Au. The detection limit of the clicked-on MIP sensor for
HQ was found to be 1.21+/-0.56 MUM, about four times lower than what was observed
using the coated-on MIP sensor. In addition, the sensitivity of the clicked-on
MIP sensor was found to be approximately three times greater than the coated-on
MIP sensor. Apparent diffusion coefficients determined using chronoamperometry
suggest that the improved performance is likely due to the favorable mass
transfer characteristics of the clicked-on MIP sensing membrane.
PMID- 22093343
TI - A sensitive enzymeless hydrogen-peroxide sensor based on epitaxially-grown Fe3O4
thin film.
AB - A novel and facile approach has been developed to synthesize thin films of
magnetite (Fe(3)O(4)) with epitaxial needle-like columnar grains on titanium
nitride (TiN) buffered substrate using DC magnetron reactive sputtering. TiN
buffer layer was first sputtered onto a substrate at 550 degrees C as a
preferable substrate for growth following sputtering of epitaxial crystalline
Fe(3)O(4) at 300 degrees C. The as-synthesized epitaxial Fe(3)O(4) was
extensively characterized. The electrocatalytic activity of the epitaxial
Fe(3)O(4) thin-film sensor against hydrogen peroxide (H(2)O(2)) reduction was
rapid with a response time less than 5 s. The sensor also exhibited an acceptable
stability, a satisfying sensitivity of 432.2 MUA mM(-1) cm(-2), good specificity
to the substrate, a dynamic working range of up to 0.7 mM and a low detection
limit of 1.0 MUM. The sensor performance correlated well (R(2)=0.996) with
results obtained using a commercial HPLC-UV device. The sensor performance was
robust and accurate in measuring H(2)O(2) in some complex matrices. The
advantages of relative simplicity and ease of mass production make the epitaxial
Fe(3)O(4) thin film promising candidate for use in sensing applications.
PMID- 22093344
TI - Optimization and evaluation of mixed-bed chemisorbents for extracting fission and
activation products from marine and fresh waters.
AB - Chemically selective chemisorbents are needed to monitor natural and engineered
waters for anthropogenic releases of stable and radioactive contaminants. Here, a
number of individual and mixtures of chemisorbents were investigated for their
ability to extract select fission and activation product elements from marine and
coastal waters, including Co, Zr, Ru, Ag, Te, Sb, Ba, Cs, Ce, Eu, Pa, Np, and Th.
Conventional manganese oxide and cyanoferrate sorbents, including commercially
available Anfezh and potassium hexacyanocobalt(II) ferrate(II) (KCFC), were
tested along with novel nano-structured surfaces (known as Self Assembled
Monolayers on Mesoporous Supports or SAMMS) functionalized with a variety of
moieties including thiol, diphosphonic acid (DiPhos-), methyl-3,4
hydroxypyridinone (HOPO-), and cyanoferrate. Extraction efficiencies were
measured as a function of salinity, organic content, temperature, flow rate and
sample size for both synthetic and natural fresh and saline waters under a range
of environmentally relevant conditions. The effect of flow rate on extraction
efficiency, from 1 to 70 mL min(-1), provided some insight on rate limitations of
mechanisms affecting sorption processes. Optimized mixtures of sorbent-ligand
chemistries afforded excellent retention of all target elements, except, Ba and
Sb. Mixtures of tested chemisorbents, including MnO(2)/Anfezh and
MnO(2)/KCFC/Thiol (1-3 mm)-SAMMS, extracted 8 of the 11 target elements studied
to better than 80% efficiency, while a mixture of MnO(2)/Anfezh/Thiol (75-150
MUm)-SAMMS mixture was able to extract 7 of the 11 target elements to better than
90%. Results generated here indicate that flow rate should be less of a
consideration for experimental design if sampling from fresh water containing
variable amounts of DOM, rather than collecting samples from salt water
environments. Relative to the capability of any single type of chemisorbent
tested, optimized mixtures of several sorbents are able to increase the number of
elements that can be efficiently and simultaneously extracted from natural
waters.
PMID- 22093345
TI - Graphene-assisted matrix solid-phase dispersion for extraction of polybrominated
diphenyl ethers and their methoxylated and hydroxylated analogs from
environmental samples.
AB - In this work, we developed a novel graphene-assisted matrix solid-phase
dispersion (GA-MSPD) method for extraction of polybrominated diphenyl ethers
(PBDEs) and their methoxylated (MeO-) and hydroxylated (OH-) analogs from
environmental samples. We found that grinding the solid sample with chemically
converted graphene (CCG) powder yielded a tight contact and sufficient dispersion
of the sample matrix due to the large surface area and flexible nanosheet
morphology of CCG. The resultant blend was eluted using a two-step elution
strategy: PBDEs and MeO-PBDEs were eluted firstly by hexane/dichloromethane and
analyzed by GC-ECD, and then OH-PBDEs were eluted by acetone and determined by LC
ESI-MS/MS. The GA-MSPD conditions were optimized in detail. Better recoveries
were obtained with GA-MSPD than with other sorbents (C18 silica, Florisil and
carbon nanotubes) and other extraction techniques (Soxhlet and accelerated
solvent extraction). Other advantages of GA-MSPD, including reduced consumption
of sorbent and solvent, good selectivity and short extraction time, were also
demonstrated. In analysis of soil samples, the method detection limits of five
PBDEs, ten MeO-PBDEs and ten OH-PBDEs were in the range of 5.9-28.7, 14.3-46.6,
and 5.3-212.6 pg g(-1) dry weight, respectively. The proposed method was
successfully applied to the extraction of PBDEs, MeO-PBDEs and OH-PBDEs from
different kinds of spiked environmental samples, including soil, tree bark and
fish.
PMID- 22093346
TI - Determination of trace impurities in cosmetic intermediates by ion mobility
spectrometry.
AB - The cosmetic and pharmaceutical industries are continuously demanding fast,
efficient, cost-effective analytical methods to monitor production processes and
assure end-product quality. The presence of residual reagents or impurities
formed during a synthetic process can have an adverse impact on product quality,
assurance of which requires using increasingly sensitive analytical methods to
facilitate the detection and/or determination of toxic compounds with potentially
hazardous effects on consumer's health. In this work, we assessed the potential
of ion mobility spectrometry (IMS) for the detection and quantitation of
dimethylaminopropylamine (DMAPA) residues in stearamidopropyldimethylamine
(SAPDA) production samples. The influence of instrumental variables including
solvent, solution drying time, injected volume and volatilization temperature was
examined. The ensuing analytical method takes less than 1 min per analysis and
uses only a few microlitres of sample. The calibration curve was linear over the
DMAPA concentration range 0.030-0.500 MUg mL(-1). The proposed method was
validated for use in control processes. The complex plasmagram for amidoamines
allows the origin of cosmetic oils to be easily, expeditiously identified. Based
on the results, IMS holds great promise for the qualitative and quantitative
determination of the studied amide and various others in cosmetic products.
PMID- 22093347
TI - Nanoparticle assembled microcapsules for application as pH and ammonia sensor.
AB - The encapsulation of molecular probes in a suitable nanostructured matrix can be
exploited to alter their optical properties and robustness for fabricating
efficient chemical sensors. Despite high sensitivity, simplicity, selectivity and
cost effectiveness, the photo-destruction and photo-bleaching are the serious
concerns while utilizing molecular probes. Herein we demonstrate that hydroxy
pyrene trisulfonate (HPTS), a pH sensitive molecular probe, when encapsulated in
a microcapsule structure prepared via the assembly of silica nanoparticles
mediated by poly-L-lysine and trisodium citrate, provides a robust sensing
material for pH sensing under the physiological conditions. The temporal
evolution under continuous irradiation indicates that the fluorophore inside the
silica microcapsule is extraordinarily photostable. The fluorescence intensity
alternation at dual excitation facilitates for a ratiometic sensing of the pH,
however, the fluorescence lifetime is insensitive to hydrogen ion concentration.
The sensing scheme is found to be robust, fast and simple for the measurement of
pH in the range 5.8-8.0, and can be successfully applied for the determination of
ammonia in the concentration range 0-1.2 mM, which is important for aquatic life
and the environment.
PMID- 22093348
TI - Simple and fast fluorescence detection of benzoyl peroxide in wheat flour by N
methoxy rhodamine-6G spirolactam based on consecutive chemical reactions.
AB - Benzoyl peroxide (BPO) as a brightener is often added to wheat flour, and
excessive use of this food additive is receiving increasing concern. Herein, a
simple and fast method for fluorescence detection of BPO is proposed based on
consecutive chemical reactions. In this approach, BPO first oxidizes Fe(2+) into
Fe(3+) and the resulting Fe(3+) then induces the opening of the spirolactam ring
of a new rhodamine derivative, N-methoxy rhodamine-6G spirolactam, switching on
fluorescence of the detection system. More importantly, the fluorescence response
of the reaction system to BPO is rather rapid and sensitive, with a detection
limit of 6 mg kg(-1) (k=3), which makes it to be of great potential use in food
safety analysis. The applicability of the proposed method has been successfully
demonstrated on the determination of BPO in wheat flour samples.
PMID- 22093349
TI - Silver nanoparticle-treated filter paper as a highly sensitive surface-enhanced
Raman scattering (SERS) substrate for detection of tyrosine in aqueous solution.
AB - Highly sensitive SERS substrates based on deposition of silver nanoparticles on
commercially available filter paper were prepared in this work, and used to
overcome problems found in analyses of aqueous samples. To prepare silver
nanoparticle- (AgNP) doped filter substrates, a silver mirror reaction was used.
The procedures for substrate preparation were systematically optimized.
Pretreatment of filter paper, reaction time, temperature, and concentration of
reagents for silver mirror reactions were studied. The morphologies of the
resulting substrates were characterized by field-emission scanning electron
microscopy (FE-SEM) and correlated with the SERS signals by probing with p
nitrothiophenol (pNTP). Filter papers with different pretreatments were found to
have different sizes and distributions of AgNPs. The best performance was found
when filter paper was pre-treated with ammonia solution before growth of AgNPs.
Based on the SEM images, the resulting AgNPs had roughly spherical shape with a
high degree of uniformity. The silver-coated filter paper substrates provide much
higher SERS signals compared to glass substrates and the reproducibility was
improved significantly. Based on statistical analyses, the relative standard
deviations for substrate-to-substrate and spot-to-spot were both were less than
8% and the enhancement factors for the substrates were, in general, higher than
107. The SERS substrates were used to selectively detect tyrosine in aqueous
solution. Results indicate that filter-based SERS substrates are highly suited to
detection of tyrosine. Compared to glass-based SERS substrates, 50 times more
SERS signal was observed in detection of tyrosine. The linear range can be up to
100 MUM with a detection limit of 625 nM (SN(-1)=3).
PMID- 22093350
TI - Synthesis and characterisation of immunogens for the production of antibodies
against small hydrophobic molecules with biosignature properties.
AB - In the present study, five different classes of small hydrophobic molecular
targets, atypical for antibody generation, were structurally modified in order to
introduce suitable reactive functionalities and/or spacers which allow covalent
coupling to a carrier protein resulting in a stable carrier-hapten complex. These
targets were chosen to serve as markers of extant and/or extinct life in the
context of the development of the Life Marker Chip (LMC), an antibody-based
instrument, which is being developed by a UK-led international consortium for
flight to Mars on board the joint ESA/NASA Mars exploration ExoMars mission. The
hapten-protein conjugates were designed to be used as immunogens for antibody
generation and immunoassay reagents in subsequent stages of the LMC development.
The extent of protein modification due to covalent attachment of hapten was
determined by two independent methods, i.e. trinitrobenzenesulfonic acid (TNBSA)
titrations of remaining protein reactive groups and matrix-assisted laser
desorption/ionization mass spectrometry (MALDI-MS) of the resultant hapten
protein conjugates. In a further quality validation step, the conjugates were
presented to an animal's immune system and polyclonal antibody titres with
moderate specificity were obtained. These results suggest that conjugates
synthesized as described herein can successfully be used in the generation of
antibodies targeting small hydrophobic molecules.
PMID- 22093352
TI - Terbium hybrid particles with spherical shape as luminescent probe for detection
of Cu2+ and Fe3+ in water.
AB - A novel green emissive terbium inorganic-polymeric hybrid particle was designed
and this material could detect cations in water. Polyvinyl alcohol as an
amphiphilic surfactant rendered the powders dispersible in water with regular
round shape (10-20 MUm). Interestingly, we noticed that not only Cu(2+)
(detection limit 10(-4)M) but also Fe(3+) (detection limit 10(-4) M) can give
rise to emission quenching to this target material in comparison with K(+),
Na(+), Fe(2+), Mn(2+), Pd(2+), Cd(2+) and Co(2+) (10(-3) mol L(-1)). We regarded
that the coordination interactions between ligand and metal ions resulted in
these quenching processes. Additionally, it was found that the sensing material
can be repeatedly used at least 5 cycles. More importantly, this novel material
demonstrated higher thermal-stability in aqueous media than pure silica hybrid
material.
PMID- 22093351
TI - Selective recognition of monohydrogen phosphate by fluorescence enhancement of a
new cerium complex.
AB - Bis(8-hydroxy quinoline-5-solphonate) cerium(III) chloride (Ce(QS)(2)Cl) (L) was
synthesized and then used as a novel fluorescent sensor for anion recognition.
Preliminarily study showed that fluorescence of L enhanced selectively in the
presence of HPO(4)(2-) ion. This enhancement is attributed to a 1:1 complex
formation between L and HPO(4)(2-) anion. The association constant of 1:1 complex
of L-HPO(4)(2-) was calculated as 3.0*10(6). Thus, L was utilized as a basis for
a selective detection of HPO(4)(2-) anion in solution. The linear response range
of the proposed fluorescent chemo-sensor covers a concentration range of HPO(4)(2
) from 3.3*10(-7) to 5.0*10(-6) mol L(-1) with a detection limit of 2.5*10(-8)
mol L(-1). L showed selective and sensitive fluorescence enhancement response
toward HPO(4)(2-) ion in comparison with I(3)(-), NO(3)(-), CN(-), CO(3)(2-), Br(
), Cl(-), F(-), H(2)PO(4)(-) and SO(4)(2-) ions. It was probably attributed to
the higher stability of the inorganic complex between HPO(4)(2-) ion and L. The
method was successfully applied for analysis of phosphate ions in some
fertilizers samples.
PMID- 22093353
TI - Development of a highly sensitive and robust Cor a 9 specific enzyme-linked
immunosorbent assay for the detection of hazelnut traces.
AB - Allergy to tree nuts represents an acute health problem. Sensitized people can be
inadvertently exposed to hidden allergens resulting from cross-contamination of
foods. For this reason, reliable and highly sensitive analytical methods are
needed to be developed for control and labeling of food ingredients and products.
In the present paper we have proposed a new allergen specific sandwich-ELISA for
hazelnut operated in optical and electrochemical modes. The ELISA was based on
chicken egg yolk antibodies raised against a major hazelnut allergen, Cor a 9.
The developed ELISA has a limit of detection in phosphate buffer of 4 ng mL(-1).
No significant cross-reactivity with peanut, wheat or other food ingredients has
been detected. Extracts of blank control cookies did not show any false positive
response and the limit of detection in cookies was estimated to be 0.1 MUg of
hazelnut protein per g of food (0.1 ppm). The ELISA protocol was successfully
adapted to operate in electrochemical mode and it was applied for the detection
of hazelnut traces in cookies.
PMID- 22093354
TI - A simple, rapid and high-throughput fluorescence polarization immunoassay for
simultaneous detection of organophosphorus pesticides in vegetable and
environmental water samples.
AB - A simple, rapid and high-throughput fluorescent polarization immunoassay (FPIA)
for simultaneous determination of organophosphorus pesticides (OPs) using a broad
specificity monoclonal antibody was developed. The effects of tracer structure,
tracer concentration, antibody dilution, methanol content and matrix effect on
FPIA performance were studied. The FPIA can detect 5 OPs simultaneously with a
limit of detection below 10 ng mL(-1). The time required for the equilibrium of
antibody-antigen interaction was less than 10 min. The recovery from spiked
vegetable and environmental samples ranged from 71.3% to 126.8%, with the
coefficient of variations ranging from 3.5% to 14.5%. The developed FPIA was
applied to samples, followed by confirmation with high-performance liquid
chromatography-tandem mass spectrometry (HPLC-MS/MS) analysis. The developed FPIA
demonstrated good accuracy and reproducibility, and is suitable for rapid and
high-throughput screening for OP contamination with high-efficiency and low cost.
PMID- 22093355
TI - A colorimetric probe for online analysis of sulfide based on the red shifts of
longitudinal surface plasmon resonance absorption resulting from the stripping of
gold nanorods.
AB - A gold nanorods (GNRs) nonaggregation-based colorimetric probe has been developed
for the detection of S(2-) based on that the longitudinal surface plasmon
resonance absorption wavelength (LPAW) of GNRs red shifts (Deltalambda) and the
color of the solution distinctly changes on account of the faster stripping of
GNRs along longitudinal axis than transverse axis in the process of GNRs reacting
with S(2-) ions to form Au(2)S complexes on the GNRs surfaces. The GNRs probe
exhibits highly sensitive and selective response toward S(2-) with a wide linear
range from 10.0 to 10000.0 MUM. The proposed colorimetric probe can be used to
visibly detect S(2-) in water samples on line in 15 min with the results agreeing
well with those of the optical sensor, showing its great practicality. Moreover,
the detection mechanism of the probe is also discussed.
PMID- 22093356
TI - Synthesis in aqueous solution and characterisation of a new cobalt-doped ZnS
quantum dot as a hybrid ratiometric chemosensor.
AB - In this paper, cobalt (Co(2+))-doped (CoD) ZnS quantum dots (QDs) are synthesised
in aqueous solution and characterised for the first time. L-Cysteine (L-Cys)
ligands on the surface of CoD ZnS QDs can bind 2,4,6-trinitrotoluene (TNT) to
form Meisenheimer complexes (MHCs) mainly through acid-base pairing interactions
between TNT and L-Cys and the assistance of hydrogen bonding and electrostatic co
interactions among L-Cys intermolecules. The aggregation of inter-dots induced by
MHCs greatly influenced the light scattering property of the QDs in aqueous
solution, and Rayleigh scattering (RS) enhancement at the defect-related emission
wavelengths as well as its left side was observed with the excitation of CoD ZnS
QDs by violet light. RS enhancement, combining with the quenching of the orange
transition emission induced by TNT anions, resulted in a change in the
ratiometric visualisation of the system being investigated. A novel CoD ZnS QD
based hybrid ratiometric chemosensor has therefore been developed for simple and
sensitive analysis of TNT in water. This ratiometric probe can assay down to 25
nM TNT in solution without interference from a matrix of real water sample and
other nitroaromatic compounds. Because of the excellent electron-accepting
ability and strong affinity of TNT to L-Cys on the surface of CoD ZnS QDs, the
CoD photoluminescent nanomaterials reported here are well suited for detecting
ultra-trace TNT and for distinguishing different nitro-compounds in aqueous
solution.
PMID- 22093357
TI - Sensitivity evaluation of rhodamine B hydrazide towards nitric oxide and its
application for macrophage cells imaging.
AB - A colorless and non-fluorescent rhodamine derivative, rhodamine B hydrazide (RH),
is applied to detect nitric oxide and form fluorescent rhodamine B (RB). The
reaction mechanism of RH with NO is proposed in this study. The probe shows good
stability over a broad pH range (pH>4). Furthermore, fluorescence intensity of RH
displays an excellent linearity to the NO concentration and the detection limit
is as low as 20 nM. A 1000-fold fluorescence turn-on from a dark background was
observed. Moreover, the selectivity study indicated that the fluorescence
intensity increasing in the presence of NO was significantly higher than those of
other reactive oxygen/nitrogen species. In exogenously generated NO detection
study, clear intracellular red fluorescence was observed in the presence of S
nitroso-N-acetyl-D,L-penicillamine (SNAP, a kind of NO releasing agent). In
endogenously generated NO detection study, increasing incubation time of RH with
lipopolysaccharied (LPS) pre-treated cells could obtain a highly fluorescent cell
image. These cell imaging results demonstrated that RH can efficiently penetrate
into Raw 264.7 cells and be used for detection of exogenously and endogenously
generated nitric oxide.
PMID- 22093358
TI - Development of a polymerase chain reaction and capillary gel electrophoresis
method for the detection of chicken or turkey meat in heat-treated pork meat
mixtures.
AB - A polymerase chain reaction and capillary gel electrophoresis (PCR-CGE) method
with ultraviolet (UV) or laser induced fluorescence detection (LIF) was
established for the detection of chicken or turkey in heat-treated pork meat
mixtures. Mitochondrial DNA samples extracted from heat treated meat were
amplified with their corresponding specific primers yielding PCR products between
200 and 300 bp. LIF detection was superior than UV detection in terms of
precision and sensitivity for the study of DNA fragments. The CGE-LIF method was
highly reproducible and accurate for determining DNA fragment size. The PCR-CGE
LIF was sensitive since a significant fluorescent signal was obtained at the
minimum admixture level employed of 1% in meat mixtures. Thus, the PCR-CGE-LIF
method established was useful for the detection of chicken or turkey in heat
treated meat mixtures and may prove to be useful for the detection of poultry
meat in pork processed products.
PMID- 22093359
TI - Determination of triazine herbicides in environmental water samples by high
performance liquid chromatography using graphene-coated magnetic nanoparticles as
adsorbent.
AB - In this paper, a graphene-based Fe(3)O(4) magnetic nanoparticles (G-Fe(3)O(4)
MNPs) was used as the adsorbent for the magnetic solid-phase extraction of some
triazine herbicides (atrazine, prometon, propazine and prometryn) in
environmental water samples followed by high performance liquid chromatography
diode array detection (HPLC-DAD). After the extraction, the adsorbent can be
conveniently separated from the aqueous samples by an external magnet. The main
factors influencing the extraction efficiency including the amount of the MNPs,
the extraction time, the pH of sample solution, and desorption conditions were
studied and optimized. Under the optimized experimental conditions, a good
linearity was observed in the range of 0.1-50.0 ng mL(-1) for all the analytes,
with the correlation coefficients (r) ranging from 0.9996 to 0.9999. The limits
of detection of the method ranged between 0.025 and 0.040 ng mL(-1). Good
reproducibility was obtained with the relative standard deviations below 5.2%.
The developed method was applied to the analysis of the triazine herbicides in
different water samples (lake, river and reservoir). The recoveries of the method
were in the range between 89.0% and 96.2%.
PMID- 22093360
TI - Variance decomposition of protein profiles from antibody arrays using a
longitudinal twin model.
AB - BACKGROUND: The advent of affinity-based proteomics technologies for global
protein profiling provides the prospect of finding new molecular biomarkers for
common, multifactorial disorders. The molecular phenotypes obtained from studies
on such platforms are driven by multiple sources, including genetic,
environmental, and experimental components. In characterizing the contribution of
different sources of variation to the measured phenotypes, the aim is to
facilitate the design and interpretation of future biomedical studies employing
exploratory and multiplexed technologies. Thus, biometrical genetic modelling of
twin or other family data can be used to decompose the variation underlying a
phenotype into biological and experimental components. RESULTS: Using antibody
suspension bead arrays and antibodies from the Human Protein Atlas, we study
unfractionated serum from a longitudinal study on 154 twins. In this study, we
provide a detailed description of how the variation in a molecular phenotype in
terms of protein profile can be decomposed into familial i.e. genetic and common
environmental; individual environmental, short-term biological and experimental
components. The results show that across 69 antibodies analyzed in the study, the
median proportion of the total variation explained by familial sources is 12%
(IQR 1-22%), and the median proportion of the total variation attributable to
experimental sources is 63% (IQR 53-72%). CONCLUSION: The variability analysis of
antibody arrays highlights the importance to consider variability components and
their relative contributions when designing and evaluating studies for biomarker
discoveries with exploratory, high-throughput and multiplexed methods.
PMID- 22093361
TI - Effect of thermal expansion mismatch on the Y-TZP/veneer interfacial adhesion
determined by strain energy release rate.
AB - PURPOSES: The aim of this study was to assess the effect of differences in the
thermal expansion behaviour of veneering ceramics on the adhesion to Y-TZP, using
a fracture mechanics approach. METHODS: Seven veneering ceramics (VM7, VM9, VM13,
Lava Ceram, Zirox, Triceram, Allux) and one Y-TZP ceramic were investigated.
Thermal expansion coefficients and glass transition temperatures were determined
to calculate residual stresses (sigma(R), MPa) between core and veneer.
Subsequently, the veneering ceramics were fired onto rectangular shaped zirconia
specimens, ground flat and notched on the veneering porcelain side. Then
specimens were loaded in a four-point bending test and load-displacement curves
were recorded. The critical load to induce stable crack extension at the adhesion
interface was evaluated to calculate the strain energy release rate (G, J/m(2))
for each system. RESULTS: Residual stresses ranged from -48.3+/-1.5MPa (VM7) to
36.1+/-4.8MPa (VM13) with significant differences between all groups (p<0.05).
The strain energy release rate of the Y-TZP/veneer specimens ranged from 8.2+/
1.7J/m(2) (Lava Ceram) to 17.1+/-2.8J/m(2) (VM9). Values for G could not be
obtained with the VM7, Allux and VM13 specimens, due to spontaneous debonding or
unstable crack growth. Except for Triceram and Zirox specimens, strain energy
release rate was significantly different between all groups (p<0.05). CONCLUSION:
Thermal residual stresses and strain energy release rates were correlated. Slight
compressive stresses in the region of -20MPa were beneficial for the Y-TZP/veneer
interfacial adhesion. Stresses higher or lower than this value exhibited
decreased adhesion.
PMID- 22093362
TI - Effect of vertical misfit on strain within screw-retained implant titanium and
zirconia frameworks.
AB - PURPOSE: To assess the implication of vertical misfit on strain within implant
frameworks manufactured in titanium or zirconia. METHODS: A master model was
fabricated by inserting a Branemark implant in left side of a mandibular model in
the area of the first premolar and the first molar. This model was used to
fabricate identical frameworks, five in titanium and five in zirconia. A single
strain gauge was mounted on the occlusal surface of each framework to assess
strain development as a result of framework fitting. In addition, the peak-to
peak strain amplitude was measured for each framework to determine the strain
pattern fluctuation. The vertical gap of the framework-implant interface was
measured using a measuring microscope, when only one screw was tightened (1-screw
test) and when both screws were tightened (2-screw test). The vertical fit of the
frameworks was altered by adding one to three layers of 30 MUm steel shims on one
of the implants. RESULTS: For all fitting conditions, measurable amount of
strains were recorded, however, as the vertical misfit was introduced, linear
increase in framework strain was detected. The titanium and zirconia frameworks
exhibited similar strain activities. A direct relationship was observed between
the framework strain and vertical gap. CONCLUSIONS: Framework misfit clearly
influenced the framework strain magnitude and pattern. The framework material did
not affect the framework strain for a similar level of misfit.
PMID- 22093363
TI - Multiple Trypanosoma infections are common amongst Glossina species in the new
farming areas of Rufiji district, Tanzania.
AB - BACKGROUND: Tsetse flies and trypanosomiasis are among several factors that
constrain livestock development in Tanzania. Over the years Rufiji District was
excluded from livestock production owing to tsetse fly infestation, however, a
few years ago there was an influx of livestock following evictions aimed at
conserving the Usangu wetlands. METHODS: A study was conducted to determine the
efficiency of available traps for catching tsetse flies, Glossina species
infesting the area, their infection rates and Trypanosoma species circulating in
the area. Trapping was conducted during the semi dry season for a total of 30
days (ten days each month) during the onset of the dry season of May - July 2009.
Harvested flies after every 24 hours were dissected and examined under a light
microscope for trypanosome infections and whole fly DNA was extracted from 82
flies and analyzed for trypanosomes by polymerase chain reaction (PCR) using
different sets of primers. RESULTS: The proportions of total tsetse catches per
trap were in the following decreasing order S3 (33%), H-Trap (27%), Pyramidal
(19%), sticky panel (11%) and biconical trap (10%). Of the 1200 trapped flies,
75.6% were identified as Glossina pallidipes, 11.7% as G. brevipalpis, 9.6% as G.
austeni and 3.0% G. morsitans morsitans. Dissections revealed the overall
infection rate of 6.6% (13/197). Whole DNA was extracted from 82 tsetse flies and
the prevalence of trypanosomes circulating in the area in descending order was
92.7% (76/82) for T. simiae; 70.7% (58/82) for T. brucei types; 48.8% (40/82) for
the T. vivax types and 32.9% (27/82) for the T. congolense types as determined by
PCR. All trypanosome types were found in all tsetse species analysed except for
the T. congolense types, which were absent in G. m. morsitans. None of the T.
brucei positive samples contained human infective trypanosomes by SRA - PCR test
CONCLUSION: All tsetse species found in Rufiji are biologically important in the
transmission of animal trypanosomiasis and the absence of T. congolense in G. m.
morsitans could be a matter of chance only. Therefore, plans for control should
consider all tsetse species.
PMID- 22093364
TI - Identification and characterization of novel spliced variants of PRMT2 in breast
carcinoma.
AB - Protein N-arginine methyltransferases (PRMTs) participate in a number of cellular
processes, including cell growth, nuclear/cytoplasmic protein shuttling,
differentiation, RNA splicing and post-transcriptional regulation. PRMT2 (also
known as HRMT1L1) is clearly involved in lung function, the inflammatory
response, apoptosis promotion, Wnt signaling and leptin signaling regulation
through different mechanisms. In this study, we report the molecular and cell
biological characterization of three novel PRMT2 splice variants isolated from
breast cancer cells and referred to as PRMT2alpha, PRMT2beta and PRMT2gamma.
Compared with the wild-type PRMT2, these variants lack different motifs and
therefore generate distinct C-terminal domains. Confocal microscopy scanning
revealed a distinct intracellular localization of PRMT2 variants, suggesting that
the alternatively spliced C-terminus of PRMT2 can directly influence its
subcellular localization. Our findings reveal that these variants are capable of
binding to estrogen receptor alpha (ERalpha) both in vitro and in vivo, and the N
terminal regions of these variants contribute to ERalpha-PRMT2 interactions.
Furthermore, these variants were proved to be able to enhance ERalpha-mediated
transactivation activity. Luciferase reporter assays showed that PRMT2s could
modulate promoter activities of the ERalpha-targeted genes of Snail and E
cadherin. In addition, PRMT2 silencing could enhance 17beta-estradiol-induced
proliferation by regulating E2F1 expression and E2F1-responsive genes in ERalpha
positive breast cancer cells. Real-time PCR and immunohistochemistry showed that
overall PRMT2 expression was upregulated in breast cancer tissues and
significantly associated with ERalpha positivity status both in breast cancer
cell lines and breast cancer tissues. We speculate that PRMT2 and its splice
variants may directly modulate ERalpha signaling and play a role in the
progression of breast cancer.
PMID- 22093365
TI - Diagnosis and demarcation of skin malignancy using elastic light single
scattering spectroscopy: a pilot study.
AB - BACKGROUND: Elastic light single-scattering spectroscopy (ELSSS) is a noninvasive
and real-time technique that has been used to differentiate tumors from
surrounding nontumor tissue in animal models and humans. OBJECTIVE: To
investigate potential application of ELSSS as an adjunctive tool for noninvasive,
in vivo, real-time differentiation of malignant and benign skin lesions and to
detect positive surgical margins of excised biopsy samples. METHODS: In vivo
spectroscopic measurements were performed on 28 lesions in 23 patients. The
distribution of the lesions was as follows: nine basal cell carcinoma (BCC), four
melanoma, two squamous cell carcinoma (SCC), and 13 benign lesions.
Intraoperative margin assessments were performed on the 28 biopsy samples using
ELSSS. RESULTS: The sign of the spectral slopes was positive for benign and
negative for malignant tissues. It was used as a discrimination parameter between
malignant and benign lesions with a sensitivity and specificity of 87% and 85%,
respectively. Sensitivity and specificity of the system in detecting positive
surgical margins on 14 excised biopsy samples were 80% and 90%, respectively.
CONCLUSION: ELSSS has the potential for use as an adjunctive tool to reduce the
number of negative biopsies and to detect positive surgical margins in real time.
PMID- 22093367
TI - Folate (vitamin B9) and vitamin B12 and their function in the maintenance of
nuclear and mitochondrial genome integrity.
AB - Folate plays a critical role in the prevention of uracil incorporation into DNA
and hypomethylation of DNA. This activity is compromised when vitamin B12
concentration is low because methionine synthase activity is reduced, lowering
the concentration of S-adenosyl methionine (SAM) which in turn may diminish DNA
methylation and cause folate to become unavailable for the conversion of dUMP to
dTMP. The most plausible explanation for the chromosome-breaking effect of low
folate is excessive uracil misincorporation into DNA, a mutagenic lesion that
leads to strand breaks in DNA during repair. Both in vitro and in vivo studies
with human cells clearly show that folate deficiency causes expression of
chromosomal fragile sites, chromosome breaks, excessive uracil in DNA,
micronucleus formation, DNA hypomethylation and mitochondrial DNA deletions. In
vivo studies show that folate and/or vitamin B12 deficiency and elevated plasma
homocysteine (a metabolic indicator of folate deficiency) are significantly
correlated with increased micronucleus formation and reduced telomere length
respectively. In vitro experiments indicate that genomic instability in human
cells is minimised when folic acid concentration in culture medium is greater
than 100nmol/L. Intervention studies in humans show (a) that DNA hypomethylation,
chromosome breaks, uracil incorporation and micronucleus formation are minimised
when red cell folate concentration is greater than 700nmol/L and (b) micronucleus
formation is minimised when plasma concentration of vitamin B12 is greater than
300pmol/L and plasma homocysteine is less than 7.5MUmol/L. These concentrations
are achievable at intake levels at or above current recommended dietary intakes
of folate (i.e. >400MUg/day) and vitamin B12 (i.e. >2MUg/day) depending on an
individual's capacity to absorb and metabolise these vitamins which may vary due
to genetic and epigenetic differences.
PMID- 22093368
TI - False-positive Aspergillus galactomannan assay in solid organ transplant
recipients with histoplasmosis.
AB - Post-transplantation histoplasmosis may be acquired via inhalation, may result
from endogenous reactivation, or may be derived from the allograft. The
Histoplasma and Aspergillus enzyme-linked immunoassays are increasingly being
relied upon for rapid diagnosis of fungal infections, especially in
immunocompromised patients. We describe 4 cases of solid organ transplant
recipients who had histoplasmosis and a falsely positive Aspergillus
galactomannan (GM) obtained from the serum or bronchoalveolar lavage (BAL) fluid.
We also report our experience, testing for Histoplasma antigen (Ag) in specimens
positive for Aspergillus GM. From January 2007 through December 2010, of 2432
unique patients who had positive Aspergillus GM tests, 514 (21%) were tested for
Histoplasma Ag, and 27 were found to be positive. Most specimens that tested
positive for both Aspergillus and Histoplasma were obtained by BAL. False
positive tests for Aspergillus GM can occur in immunosuppressed patients who have
histoplasmosis, and may obscure the correct diagnosis.
PMID- 22093369
TI - Surface properties of bacteria sensitive and resistant to the class IIa
carnobacteriocin Cbn BM1.
AB - AIMS: Class IIa bacteriocins are small antimicrobial peptides synthesized by
lactic acid bacteria. The proposed mechanisms of action for class IIa
bacteriocins suggest that the physicochemical properties of the target bacterial
surface govern the bacteriocin antimicrobial activity. The aim of this study is
to decipher the relationship between both sensitivity and resistance to a class
IIa bacteriocin, carnobacteriocin BM1 and physicochemical surface properties of
bacteria. METHODS AND RESULTS: The study was performed on 18 strains by a
microbial adhesion to solvents process and with electrophoretic mobility
measurements considering bacteria as soft particles. A large variation in
bacterial surface properties is observed among the bacterial populations. Electro
hydrodynamic parameters values appear to be more homogeneous for sensitive
strains than for the resistant ones, which can exhibit more extreme values.
CONCLUSIONS: Physicochemical surface properties of 18 strains determined show
large variations between the strains. However, no direct link between these
surface properties and the resistant/sensitive phenotypes of the strains can be
stated. SIGNIFICANCE AND IMPACT OF THE STUDY: The surface physicochemical
properties tested have a low predictive power to discriminate sensitive or
resistant strains when determined at the bacterial population scale.
PMID- 22093366
TI - It all comes together at the ends: telomerase structure, function, and
biogenesis.
AB - Telomerase is a reverse transcriptase specialized in the addition of telomeric
DNA repeats onto the ends of chromosomes. Telomere extension offsets the loss of
telomeric repeats from the failure of DNA polymerases to fully replicate linear
chromosome ends. Telomerase functions as a ribonucleoprotein, requiring an
integral telomerase RNA (TR) component, in addition to the catalytic telomerase
reverse transcriptase (TERT). Extensive studies have identified numerous
structural and functional features within the TR and TERT essential for activity.
A number of accessory proteins have also been identified with various functions
in enzyme biogenesis, localization, and regulation. Understanding the molecular
mechanism of telomerase function has significance for the development of
therapies for telomere-mediated disorders and cancer. Here we review telomerase
structural and functional features, and the techniques for assessing telomerase
dysfunction.
PMID- 22093370
TI - Biofortification for combating 'hidden hunger' for iron.
AB - Micronutrient deficiencies are responsible for so-called 'hidden undernutrition'.
In particular, iron (Fe) deficiency adversely affects growth, immune function and
can cause anaemia. However, supplementation of iron can exacerbate infectious
diseases and current policies of iron therapy carefully evaluate the risks and
benefits of these interventions. Here we review the approaches of
biofortification of valuable crops for reducing 'hidden undernutrition' of iron
in the light of the latest nutritional and medical advances. The increase of iron
and prebiotics in edible parts of plants is expected to improve health, whereas
the reduction of phytic acid concentration, in crops valuable for human diet,
might be less beneficial for the developed countries, or for the developing
countries exposed to endemic infections.
PMID- 22093371
TI - A structural phylogenetic map for chloroplast photosynthesis.
AB - Chloroplasts are cytoplasmic organelles and the sites of photosynthesis in
eukaryotic cells. Advances in structural biology and comparative genomics allow
us to identify individual components of the photosynthetic apparatus precisely
with respect to the subcellular location of their genes. Here we present outline
maps of four energy-transducing thylakoid membranes. The maps for land plants and
red and green algae distinguish protein subunits encoded in the nucleus from
those encoded in the chloroplast. We find no defining structural feature that is
common to all chloroplast gene products. Instead, conserved patterns of gene
location are consistent with photosynthetic redox chemistry exerting gene
regulatory control over its own rate-limiting steps. Chloroplast DNA carries
genes whose expression is placed under this control.
PMID- 22093372
TI - [Corneal sensitivity in patients treated medically for glaucoma or ocular
hypertension].
AB - PURPOSE: To evaluate the corneal sensitivity in patients treated with intraocular
pressure (IOP)-lowering medications. INTRODUCTION: Chronic administration of anti
glaucoma drops is associated with numerous tissue changes on the ocular surface.
The purpose of this study was to investigate the effect of these medications and
their preservative, benzalkonium chloride (BAK), on corneal sensitivity. PATIENTS
AND METHODS: Thirty-nine patients treated for glaucoma or ocular hypertension
(OHT) and nine untreated patients were included in this study. Treated patients
were divided into three groups according to the daily number of preserved
eyedrops (0, 1 and >=2). Corneal sensitivity was assessed using the Cochet-Bonnet
esthesiometer. All patients underwent a complete examination of the ocular
surface including Schirmer testing, tear film breakup time (BUT) and corneal and
conjunctival fluorescein staining. The Ocular Surface Disease Index (OSDI)
questionnaire was used to evaluate symptoms. RESULTS: Corneal sensitivity was
58.8+/-2.8mm, 56.2+/-5.2mm, 50.3+/-12.5mm and 44.3+/-13.6mm in untreated
patients, in patients treated with none, one and two or more instillations of
preserved eyedrops, respectively. Corneal sensitivity in patients treated with
preserved eyedrops was significantly lower as compared to untreated patients
(P<0.001) and patients treated with preservative-free eyedrops (P=0.012). Corneal
sensitivity of patients treated with intraocular pressure-lowering medications
was negatively correlated to the number of instillations of preserved eyedrops
(r=-0.390 ; P<0.001) as well as to the duration of treatment (R=-0.357 ;
P=0.001). BUT and fluorescein staining were significantly altered in treated
patients compared to the untreated control group ; however, no significant
difference was observed between the treated groups. There was no significant
difference for OSDI or Schirmer testing between the various groups. CONCLUSION:
Chronic administration of BAK-containing anti-glaucoma eyedrops appears to alter
corneal sensitivity. These results could explain the absence of correlation
between clinical signs and symptoms sometimes observed in patients treated for
glaucoma or OHT.
PMID- 22093374
TI - Eudragit E100 surface activity and lipid interactions.
AB - Eudragit E100 (E100) is a cationic methacrylate polymer that interacts with viral
and cell membranes. We studied the effect of pH, ionic strength and the presence
of lipid monolayers on the surface activity of the polymer. E100 forms stable
monolayers at the air-water interface, either by spreading or when added into the
subphase. This behavior is highly influenced by the pH and saline concentration
of the subphase. At pH 5 or higher, the adsorption of the polymer to the air
water interface begins immediately after its injection into the subphase, while
at pH below 5 E100 remains in the subphase with a particularly slow adsorption to
the interface. In addition, low ionic strength (10 mM) in the subphase results in
a fast adsorption of the polymer to the interface, even at pH under 5. On the
other hand, in the presence of non-ionic (cholesterol) or anionic
(monosialoganglioside) lipid monolayers, E100 shows a fast adsorption to the
interface, [comma] reaching surface pressures of 25 and 36 mN m(-1),
respectively. However, E100 barely interacts with monolayers of a zwitterionic
lipid (hydrogenated soy lecithin) with a cut-off pressure of 11 mN m(-1). The
interaction of E100 with GM1 micelles in the subphase reduces its surface
activity. Altogether these results show that E100 can effectively penetrate into
model membranes and that its amphipathic character is largely dependent on the
chemical composition of the aqueous environment and the lipid composition of the
membrane.
PMID- 22093373
TI - Strong serological responses and HIV RNA increase following AS03-adjuvanted
pandemic immunization in HIV-infected patients.
AB - INTRODUCTION: We aimed to determine the antibody responses and effect on viral
load of the AS03-adjuvanted pandemic H1N1 vaccine in HIV-infected patients.
METHOD: A total of 121 HIV-infected patients and 138 healthy subjects were
enrolled in a prospective, open-label study. Healthy subjects received one dose
and HIV-infected patients two doses of the AS03-adjuvanted split influenza
A/09/H1N1 vaccine (Pandemrix(r); GlaxoSmithKline, Brentford, United Kingdom.) at
an interval of 3-4 weeks. The study was extended in 2010/2011 for 66 patients.
Geometric mean titres (GMTs), seroprotection rates (post-vaccination titre >=
1:40) and HIV-1 RNA levels were measured before and 4 weeks after immunization.
RESULTS: After two immunizations, the seroprotection rate (94.2 vs. 87%,
respectively) and GMT (376 vs. 340, respectively) in HIV-infected patients were
as high as in healthy subjects after one dose, regardless of CD4 cell count. Four
weeks after immunization, HIV RNA was detected in plasma samples from 40 of 68
(58.0%) previously aviraemic patients [median 152 HIV-1 RNA copies/mL;
interquartile range (IQR) 87-509 copies/mL]. Subsequent measures indicated that
HIV RNA levels had again declined to <20 copies/mL in most patients (27 of 34;
79.4%). Following (nonadjuvanted) influenza immunization in 2010/2011, HIV RNA
levels only slightly increased (median final level 28 copies/mL) in three of 66
(4.5%) previously aviraemic patients, including two of 25 (8%) patients in whom
an increase had been elicited by AS03-adjuvanted vaccine the year before.
CONCLUSION: Most HIV-infected patients developed seroprotection after two doses
of AS03-adjuvanted pandemic vaccine. A transient effect on HIV RNA levels was
observed in previously aviraemic patients. A booster dose of the nonadjuvanted
influenza vaccine containing the A/09/H1N1 strain the following year did not
reproduce this finding, indicating a non-antigen-specific adjuvant effect.
PMID- 22093375
TI - Adenoid cystic carcinoma of parotid gland treated with surgery and radiotherapy:
long-term outcomes, QoL assessment and review of the literature.
AB - To assess outcomes, toxicity and quality-of-life (QoL) of patients with parotid
gland adenoid cystic carcinoma (PGACC) treated by surgery and postoperative
radiotherapy. Between 1995 and 2010, 46 patients with PGACC were treated with
parotidectomy+/-neck dissection followed by radiotherapy. Endpoints were loco
regional control (LRC), distant metastasis-free (DMFS), disease-free (DFS), cause
specific (CSS), and overall survival (OS), late toxicity, and QoL. After a median
follow-up of 58 months (range 4-171), the 5-year Kaplan-Meier estimates of LRC,
DMFS, DFS, CSS, and OS were 88%, 78%, 75%, 80%, and 67%, respectively and the 8
year rates were 88%, 75%, 72%, 77%, and 64%, respectively. On multivariate
analysis, T-stage, N-stage, tumor grade, and perineural invasion correlate
significantly with DMFS and DFS. The overall 5-year cumulative incidence of grade
>=2 late toxicity was 9%. QoL-scores deteriorate during and shortly after
treatment but returned in all scales to almost baseline levels within 6 months.
Excellent LRC rates were achieved in patients with PGACC treated by surgery and
postoperative radiotherapy with low rate of late side-effects and preservation of
good QoL. Despite the effective local therapy, 9 of 46 patients (20%) failed
distantly. Because effective treatment strategies for this problem are lacking,
prospective trials are needed to determine the role of adjuvant systemic or
targeted therapy in patients at high risk of DM.
PMID- 22093376
TI - Trends and inequalities in laryngeal cancer survival in men and women: England
and Wales 1991-2006.
AB - Laryngeal cancer in men is a relatively common malignancy, with a marked
socioeconomic gradient in survival between affluent and deprived patients. Cancer
of the larynx in women is rare. Survival tends to lower than for men, and little
is known about the association between deprivation and survival in women with
laryngeal cancer. This paper explores the trends and socio-economic inequalities
in laryngeal cancer survival in women, with comparison to men. We examined
relative survival among men and women diagnosed with laryngeal cancer in England
and Wales during 1991-2006, followed up to 31 December 2007. We estimated the
difference in survival between the most deprived and most affluent groups (the
'deprivation gap') at one and five years after diagnosis, for each sex,
anatomical subsite and calendar period. Five year survival for all laryngeal
cancers combined was up to 8% lower in women than in men. This difference is only
partially explained by the differential distribution of anatomical subsites in
men and women. Disparities in survival between men and women were also present
within specific subsites. In contrast to men, there was little evidence of a
consistent deprivation gap in survival for women at any of the anatomical
subsites. The stark socioeconomic inequalities in laryngeal cancer survival in
men do not appear to be replicated in women. The origins of the socio-economic
inequalities in survival among men, and the disparities in survival between men
and women at specific tumour subsites remains unclear.
PMID- 22093377
TI - Metabolic effects of sleeve gastrectomy in female rat model of diet-induced
obesity.
AB - BACKGROUND: Although women disproportionately undergo bariatric surgery, the
rodent models investigating the mechanisms of bariatric surgery have been limited
to males. Female rodent models can also potentially allow us to understand the
effects of surgical intervention on future generations of offspring. Sleeve
gastrectomy is an attractive weight loss procedure for reproductive-age female
patients because it avoids the malabsorption associated with intestinal bypass.
We sought to evaluate the effect of sleeve gastrectomy on young female rats with
diet-induced obesity at the University of California, Los Angeles, David Geffen
School of Medicine. METHODS: Sprague-Dawley female rats were fed a 60% high-fat
diet. At 12 weeks of age, the rats underwent either sleeve gastrectomy or sham
surgery. The rats were killed 4 weeks after surgery. A chemistry panel was
performed, and the serum adipokines and gut hormones were assayed. The
homeostasis model assessment score was calculated. The liver histologic findings
were graded for steatosis. The 2-sample t test was used to compare the results
between the 2 groups. RESULTS: Sleeve gastrectomy was associated with significant
weight loss (5% +/- 6% versus -4% +/- 6%; P < .001), lower leptin levels (1.3 +/-
1.2 versus 3.5 +/- 2.3 ng/mL; P < .01), and higher adiponectin levels (.43 +/-
.19 versus .17 +/- .14 ng/mL; P < .004) compared with the sham-operated rats. No
significant differences were found in the fasting ghrelin levels. Furthermore, we
did not observe evidence of insulin resistance or steatohepatitis after 11 weeks
of high-fat diet. Despite these limitations, additional gender-specific studies
are warranted given that most bariatric surgeries are performed in women.
CONCLUSION: Sleeve gastrectomy appears to result in weight loss and improvements
in adiponectin and leptin by way of mechanisms independent of ghrelin levels in a
female model of diet-induced obesity.
PMID- 22093378
TI - Laparoscopic bariatric surgery for those with body mass index of 70-125 kg/m2.
AB - BACKGROUND: Data on laparoscopic bariatric surgery in the extremely obese are
limited. Technical difficulties, in addition to the patients' severe weight
related co-morbidities, can compromise the safety of bariatric surgery in these
patients. Our objectives were to assess the safety and feasibility of
laparoscopic bariatric surgery in extremely obese patients and to compare the
outcomes of different surgical approaches at a bariatric surgery center of
excellence in an academic medical center. METHODS: We reviewed our prospectively
collected database and identified all patients with a body mass index (BMI) of >=
70 kg/m(2) who had undergone bariatric surgery. The data on patient demographics,
baseline characteristics, and outcomes of bariatric surgery were retrieved.
RESULTS: A total of 49 patients with a mean BMI of 80.7 kg/m(2) (range 70-125)
underwent 61 bariatric procedures. Of the 49 patients, 26 underwent sleeve
gastrectomy, 11 gastric bypass, and 12 underwent a 2-stage procedure (sleeve
gastrectomy followed by gastric bypass). At a mean follow-up of 17.4 months, the
average BMI had decreased to 60.9 kg/m(2) (36% excess weight loss). Overall, the
patients who underwent a 2-stage procedure achieved greater percentage of excess
weight loss (54.5%) than did those who underwent either single-stage sleeve
gastrectomy or gastric bypass (25.4%, P = .002 and 43.8%, P = .519,
respectively). Of the 61 cases, 60 (98.4%) were completed laparoscopically. The
early complication rate was 16.4% overall; most were minor complications. The
late complication rate was 14.8%. A single late mortality occurred in this
series. CONCLUSION: Laparoscopic bariatric surgery can be performed safely on
patients with a BMI of >= 70 kg/m(2). A staged approach might offer better weight
loss results.
PMID- 22093379
TI - Body weight at developmental age in siblings born to mothers before and after
surgically induced weight loss.
AB - BACKGROUND: To gain insight into the role of epigenetic factors in determining
body weight in adolescence, we studied the body weight of siblings born to the
same mother before and after biliopancreatic diversion (BPD) for obesity. The
study was performed in a university hospital during a 20-year period. METHODS:
The siblings born before and after BPD were retrospectively rated by their mother
as normal, overweight, or obese at 1, 6, and 12 years. RESULTS: At 1 and 6 years,
the body weight was rated as similar in the subsets. However, at 12 years of age,
a greater percentage of those born before BPD were considered overweight (42%
versus 33%) and obese (22% versus 3%; P <.009) than their counterparts born after
BPD. Considering only the subjects aged 21-25 years at the study period, the body
weight and body mass index in subjects born before BPD were greater (P <.02 and P
<.012, respectively) than in those born after BPD (79.5 +/- 16.5 kg versus 66.7
+/- 11.8 kg, and 27.5 +/- 3.9 kg/m(2) versus 23.4 +/- 3.7 kg/m(2), respectively).
CONCLUSION: The results of the present study, in which the influences of the
genetic pattern and environmental and educational factors were minimized, show
that adolescents born to post-BPD mothers weigh less than their siblings born to
the same mother before BPD when she was still obese. An insulin-resistant milieu
during pregnancy could account for the greater body weight later in adolescence.
PMID- 22093380
TI - Restoration of glycemic control in patients with type 2 diabetes mellitus after
bariatric surgery is associated with reduction in microparticles.
AB - BACKGROUND: Microparticles bud from cellular elements during inflammation and are
associated with the vascular dysfunction related to type 2 diabetes mellitus.
Although weight loss is known to reduce inflammation, the metabolic effects of
bariatric surgery on microparticle concentration and composition are not known.
Our objectives were to determine the effect of bariatric surgery on the
microparticle concentration and to correlate these changes with clinical
parameters in a multispecialty group practice. METHODS: We studied 14 obese
subjects with type 2 diabetes mellitus 2 weeks before and 1 and 12 months after
bariatric surgery. Of the 14 patients, 9 underwent Roux-en-Y gastric bypass and 5
gastric restrictive surgery. RESULTS: At 1 month after surgery, the body mass
index had decreased by ~10%, glycemic control had improved dramatically (P <
.01), and a >60% reduction in endothelial and platelet microparticles and C
reactive protein levels (P < .05) had occurred. The tissue factor microparticles
had decreased by 40% (P = .1). At 12 months after surgery, the body mass index
had decreased by ~20%, glycemic control was maintained (P < .01), and a >50%
reduction in monocyte microparticles compared with before surgery was found. The
reduction in monocyte microparticles 1 month after surgery was strongly
associated with the reduction in hemoglobin A1c (P < .05). The reduction in
monocyte microparticles 12 months after surgery correlated strongly with the
reduction in body mass index (P < .05). CONCLUSION: The reduction in
microparticles after bariatric surgery in patients with type 2 diabetes mellitus
reflects an attenuation of inflammation, and this mechanism might contribute to
normalization of glycemic control.
PMID- 22093382
TI - Development of a quantitative donor risk index to predict short-term mortality in
orthotopic heart transplantation.
AB - BACKGROUND: No standard index based on donor factors exists for predicting
mortality after orthotopic heart transplantation (OHT). We utilized United
Network for Organ Sharing (UNOS) data to develop a quantitative donor risk score
for OHT. METHODS: We examined a prospectively collected open cohort of 22,252
patients who underwent primary OHT (1996 to 2007). Of the 284 donor-specific
variables, those associated with 1-year (year) mortality (exploratory p-value <
0.2) were incorporated into a multivariate (MV) logistic regression model. The
final model contained donor factors that improved the explanatory power (by
pseudo-R2, area under the curve and likelihood ratio test). A quantitative donor
risk score was created using odds ratios (ORs) from the final model. For external
validity, a cross-validation strategy was employed whereby the score was
generated using a randomly generated subset of cases (n = 17,788) and then
independently validated on the remaining patients (n = 4,464). RESULTS: A 15
point scoring system incorporated 4 variables: ischemic time; donor age; race
mismatching; and blood urea nitrogen (BUN)/creatinine ratio. Derivation and
validation cohort scores ranged from 1 to 15 and 1 to 12, respectively (mean 4.0
+/- 2.1 for each). Each increase of 1 point increased the risk of 1-year death by
9% (OR = 0.09 [1.07 to 0.12]) in the derivation cohort and 13% (OR = 0.13 [1.08
to 0.18]) in the validation cohort (each p < 0.001). The odds of 1-year mortality
by increments of 3 points were: 0 to 2 points (reference); 3 to 5 points (OR =
0.25 [1.12 to 0.40], p < 0.001); 6 to 8 pts (OR = 0.77 [1.56 to 2.02], p <
0.001); and 9 to 15 points (OR = 1.92 [1.54 to 2.39], p < 0.001). Donor risk
score was predictive for 30-day mortality (OR = 0.11 [1.08 to 0.14], p < 0.001)
and 5-year cumulative mortality (OR = 0.11 [1.09 to 0.13], p < 0.001).
CONCLUSIONS: We present a novel donor risk index for OHT predicting short- and
long-term mortality. This donor risk score may prove valuable for donor heart
allocation and prognosis after OHT.
PMID- 22093381
TI - Pro-inflammatory effector Th cells transmigrate through anti-inflammatory
environments into the murine fetus.
AB - The presence of maternal DNA or even maternal cells within the offspring
(microchimerism) has been reported for many fetal tissues, including the liver,
heart, and spleen. Microchimerism is believed to be involved in the pathogenesis
of autoimmune diseases; however, the cellular origin of this phenomenon remains
unknown. Here, we determined whether differentiated T lymphocytes could
transmigrate through the immunosuppressive environment of the placenta to reach
the fetus. In vitro-differentiated effector/memory Th1 and Th17 cells from OVA323
339-specific TCR(tg) T cells of OT-II mice were adoptively transferred (i.v.)
into the tail veins of pregnant Ly5.1 mice at d15 and d19 of gestation. Mice were
then sacrificed 40 h after adoptive cell transfer. Using radioactive labeling of
T cells with sodium chromate [Cr51] prior to adoptive transfer, we observed that
homing of pro-inflammatory Th cells was equally efficient in both pregnant and
non-pregnant mice. Transmigration of Th1- and Th17-like cells through the highly
immunosuppressive environment of the placenta into the fetus was significantly
enhanced in experimental mice compared to control mice (P < 0.0001). In addition,
a substantial amount of effector Th cells accumulated in the placenta. Finally,
we found that treatment with Pertussis Toxin resulted in a 3-fold increase in the
transmigration of effector Th17 cells into the fetus (P < 0.0001). When pro
inflammatory Th1-or Th17-like cells were injected into syngeneic mothers, almost
all of the fetuses analyzed exhibited radioactivity, suggesting that
transmigration of effector T cells occurs frequently. Our results suggest the
possibility of novel roles for these maternal effector cells in the pathogenesis
or reduction of disease.
PMID- 22093383
TI - Low diversity and low frequency of participation in leisure activities compromise
working memory efficiency in young adults.
AB - People perform leisure activities (LA) every day; pursuits that entail applying
cognitive, physical and social abilities. As in old age, doing LA during early
and middle adulthood is related to a reduced risk of dementias, probably by
generating a cognitive reserve. As it is possible that a relation between doing
LA and working memory (WM) efficiency exists in young adults, we assessed whether
the diversity and frequency of LA are related to WM efficiency in this
population. Ninety-three healthy young subjects solved the n-back task at two
levels of difficulty (2, 3), and answered an LA questionnaire on the activities
in which they had participated in the month prior to the experiment. Subjects
were classified separately on their scores for (1) diversity (high/low) and (2)
frequency (high/low) in order to test the relation between each variable and WM
efficiency. Though no differences were found, a subsequent analysis of the
average of diversity and frequency ratios of LA performance taken together-the
diversity/frequency index-showed that low diversity plus low frequency was
significantly associated with reduced WM efficiency at this age; results that
suggest that frequent participation in diverse LA during youth is related to WM
efficiency.
PMID- 22093384
TI - Evidence, patient preferences and patient-centred care.
PMID- 22093385
TI - Effectiveness of strategies for the management and/or prevention of hypothermia
within the adult perioperative environment.
AB - BACKGROUND: Inadvertent hypothermia is common in patients undergoing surgical
procedures with a reported prevalence of perioperative hypothermia ranging from
50% to 90%. Hypothermia within the perioperative environment may have many
undesired physiological effects that are associated with postoperative morbidity.
There are different options for treating and/or preventing hypothermia within the
adult perioperative environment, which include active and passive warming
methods. This systematic review was undertaken to provide comprehensive evidence
on the most effective strategies for prevention and management of inadvertent
hypothermia in the perioperative environment. OBJECTIVE: The objective of this
review was to identify the most effective methods for the treatment and/or
preventions of hypothermia in intraoperative or postoperative patients. INCLUSION
CRITERIA: Adult patients >= 18 years of age, who underwent any type of surgery
were included in this review. Types of interventions included were any type of
linen or cover, aluminium foil wraps, forced-air warming devices, radiant warming
devices and fluid warming devices. This review considered all identified
prospective studies that used a clearly described process for randomisation,
and/or included a control group. The primary outcome of interest was change in
core body temperature. REVIEW METHODS: Two independent reviewers assessed
methodological validity of papers selected for retrieval and any disagreements
were resolved through discussion. RESULTS: Nineteen studies with a combined 1451
patients who underwent different surgical procedures were included in this
review. Meta-analysis was not possible. Forced-air warming in pregnant women
scheduled for caesarean delivery under regional anaesthesia prevented maternal
and foetal hypothermia. Intravenous and irrigating fluids warmed (38-40 degrees
C) to a temperature higher than that of room temperature by different fluid
warming devices (both dry and water heated) proved significantly beneficial to
patients in terms of stable haemodynamic variables, and higher core temperature
at the end of the surgery. Water garment warmer was significantly (P < 0.05)
effective than forced-air warming in maintaining intraoperative normothermia in
orthotopic liver transplantation patients. Extra warming with forced air compared
to routine thermal care was effective in reducing the incidence of surgical wound
infections and postoperative cardiac complications. Passive warming with
reflective heating blankets or elastic bandages wrapped around the legs tightly
were found to be ineffective in reducing the incidence or magnitude of
hypothermia. CONCLUSION: There are significant benefits associated with forced
air warming. Evidence supports commencement of active warming preoperatively and
monitoring it throughout the intraoperative period. Single strategies such as
forced-air warming were more effective than passive warming; however, combined
strategies, including preoperative commencement, use of warmed fluids plus forced
air warming as other active strategies were more effective in vulnerable groups
(age or durations of surgeries).
PMID- 22093386
TI - Effect of educational components and strategies associated with insulin pump
therapy: a systematic review.
AB - OBJECTIVES: The objectives of this review were to establish the effectiveness of
approaches to the provision of education for adults with type 1 diabetes using or
initiating insulin pump therapy (IPT), and identify the best available evidence
on the association between intervals and duration of follow up and the stated
outcome criteria. INCLUSION CRITERIA: This review considered all studies and
papers that involved adults (aged 16 years or over) with type 1 diabetes using
IPT as their primary form of therapy who participated in education or training,
with no restrictions placed on gender or comorbidities. All forms of education,
including resources utilised during education were included in the review. SEARCH
STRATEGY: The search strategy sought to find both published and unpublished
studies and papers written in the English language. An initial limited search of
MEDLINE and CINAHL databases was undertaken to identify optimal search terms. A
second search using all identified key words and index terms was then undertaken
based on key words specific to each database across all included databases from
1998 to February 2008. Thirdly, the reference lists of all identified reports and
articles were searched for additional studies. METHODOLOGICAL QUALITY: Two
independent reviewers assessed the methodological quality of retrieved papers
using the corresponding checklist from the System for the Unified Management,
Assessment and Review of Information (SUMARI) package. RESULTS: A total of 142
studies were identified as potentially relevant to the review question in the
first and second steps of the literature search. Based on the title and abstract,
24 papers that were relevant to the review topic were retrieved for evaluation of
methodological quality. Following this stage, 20 papers were excluded. Whilst
searching the reference lists of the selected studies (n = 4), one paper met the
inclusion criteria. Therefore, a total of five descriptive studies were included
in the review. The included papers reported a variety of educational methods and
different outcome measures. CONCLUSION: In general, it is difficult to draw a
strong conclusion regarding the effectiveness of components and strategies
associated with IPT because of a lack of high-quality comparative studies, small
sample sizes and a variability of reported methods in the included studies.
However, included descriptive studies explored a range of issues related to the
effectiveness of IPT therapy, and the educative requirements of patients. It is
clear that type 1 diabetes patients initiating and utilising IPT need a
comprehensive range of advice, education and training. The mixture of group and
individual teaching, multidisciplinary teams as educators, educational materials,
long-term training with multiple sessions and a variety of educational contents
may all be effective for delivering IPT education and training.
PMID- 22093387
TI - A comprehensive systematic review of visitation models in adult critical care
units within the context of patient- and family-centred care.
AB - AIM: The aim of this review was to appraise and synthesise the best available
evidence on visitation models used in adult intensive care units in acute care
hospitals and to explicate their congruence with the core concepts of patient-
and family-centred care (PFCC). METHODS: The review considered both quantitative
and qualitative studies on visitation models developed within the PFCC model in
adult intensive care units in acute care hospitals. The search strategy sought
published and unpublished research papers limited to English for the years 1988
through 2009. An initial search of the Joanna Briggs Institute for Evidence-Based
Nursing and Midwifery, the Cochrane Library, and PubMed's Clinical Inquiry/Find
Systematic Review database was conducted, followed by an analysis of key words
contained in the title, abstract and index terms. Following this, an extensive
three-stage search was conducted using PubMed, CINAHL, HealthStar, ScienceDirect,
Dissertation Abstracts International, DARE, PsycINFO, BioMedCentral, TRIP, Pre
CINAHL, PsycARTICLES, Psychology and Behavioural Sciences Collection, ISI Current
Contents, Science.gov, Web of Science/Web of Knowledge, Scirus.com website.
Included was a hand search of reference lists of identified papers to capture all
pertinent material as well as a search of relevant worldwide websites and search
engines, such as Google Scholar and the Virginia Henderson Library of Sigma Theta
Tau International. Each paper was assessed independently by two reviewers for
methodological quality prior to inclusion in the review using the appropriate
critical appraisal instrument. RESULTS: Findings from the qualitative studies
were extracted and a synthesis conducted using the QARI (Qualitative Assessment
and Review Instrument) software developed by the Joanna Briggs Institute. One
synthesis revealed that visiting hours were seen as guidelines for the benefit of
nurse and patient, rather than rules or policy. Due to the various types of
designs in the available studies, it was not possible to pool quantitative
research study results into a statistical meta-analysis. Because statistical
pooling was not possible, the findings are presented in a narrative form.
Following this, results are presented for their congruence with the principles of
PFCC. CONCLUSION: Flexible visiting policies provide the ability to incorporate
the concepts of PFCC into practice. However, nurses believe that while visiting
is beneficial to patients, open and/or flexible visiting hours are an impediment
to practice and increase their workload. Recommendations for best practice were
formulated based on the outcomes and include visiting hours should be used as
guidelines, not rules, that allow flexibility dependent upon individual
patient/family situation. With regard to congruence with PFCC, patient and family
requests for information emerged as an unmet need that needs to be addressed.
PMID- 22093388
TI - Experiences of registered nurses as managers and leaders in residential aged care
facilities: a systematic review.
AB - BACKGROUND: The phenomenon of an ageing population is being experienced globally,
as countries struggle to change and improve residential models of care and
provide services to the elderly. The role of the registered nurse (RN) is
considered crucial to the clinical governance and management of care given. To
date, however, no systematic review has examined the RN's experience in
leadership and management. OBJECTIVES: The objective of this review is to
critically appraise, synthesise and present best available evidence on the
experiences of RNs as clinical leaders and managers in residential aged care
facilities. INCLUSION CRITERIA: This review considered qualitative research
papers that addressed the experiences of RNs as clinical leaders and managers in
residential aged care facilities. Participants of interest were RNs, nurse
leaders, nurses holding registration and or regulation under a board of nursing,
nurses working in residential aged care and long-term care facilities. The
diversity and use of language to describe nurses' roles and models of care for
the elderly care environment were considered in the review. SEARCH STRATEGY: The
search strategy sought to find both published studies and papers, limited to the
English language and published between January 1997 and February 2011. An initial
limited search was done in Medical Literature Analysis and Retrieval System
Online (MEDLINE) and Cumulative Index to Nursing and Allied Health Literature
databases to identify the key words contained in the title or abstract and index
terms used to describe the relevant terms in the article. A second extensive
search was undertaken and extended to other relevant databases using all
identified keywords and index terms. The third step involved searching reference
lists and bibliographies of chosen articles for additional studies.
METHODOLOGICAL QUALITY: Each paper was assessed by two independent reviewers for
methodological quality prior to inclusion in the review using an appropriate
critical appraisal instrument from the System for the Unified Management,
Assessment and Review of Information package. As both reviewers were in agreement
on all studies included, a third reviewer was not required. RESULTS: A final
total of eight papers, qualitative in nature, were included in the review. The
majority of papers examined the experiences of nurses' leadership styles and the
management characteristics within their organisations. The qualitative papers
were analysed using The Joanna Briggs Institute-Qualitative Assessment and Review
Instrument.The process of meta-synthesis embodied in this programme involves the
aggregation or synthesis of findings or conclusions. Five syntheses were derived
with key themes related to education, professional nursing development, positive
attitudes to aged care and the need for a supportive environment. CONCLUSION:
Nurses that work in the aged care environment show a strong motivation to work in
care and provide the best outcomes in nursing the elderly. Geriatric nursing is
considered a specialised and complex area of healthcare by the nursing
profession. Nurses experience a lack of professional support and collaboration
from allied health and medical colleagues. There is a lack of specific education
that is focused in clinical leadership and health team management. There is no
current structured pathway of learning and development for nursing careers in
aged care. Nurses identify with their leadership role in residential aged care,
and experience paradoxical feelings of being valued by the clients and devalued
by the system at the same time. Organisational barriers are strong in preventing
continuing education and skills development for nurse leaders in aged care
environments. IMPLICATIONS FOR PRACTICE: Overall the themes presented in the
review reported the negative experiences of nurses in residential aged care and
geriatrics. Nurses will continue to be devalued if there is no professional
identity and support for their roles and need to have a career pathway when
making the decision to enter into aged and geriatric practice. Clinical
leadership training is needed for nurses to transition through practice into
specialised roles such as the RN team leader and Geriatric Nurse Practitioner
(GNP). Providing a career structure and choice in the industry for the nurse to
become a clinical leader or a manager of health services will improve recruitment
and retention. IMPLICATION FOR RESEARCH: More research is needed to identify the
skills gaps experienced by nurses in aged care and geriatric care. This research
could lead to the design and implementation of a skills audit to identify
candidates for specialised courses so that clinical leadership and governance in
aged care are improved. More research is needed for role construction and the
professional development of the GNP. Organisations can benefit from research
currently being conducted on the role of the RN in aged care and geriatrics, by
mapping the skills mix of candidate RNs to the key performance indicators in the
role. Organisations must change their perception and value of RNs as clinical
leaders in care teams.
PMID- 22093389
TI - Comprehensive systematic review of healthcare workers' perceptions of risk and
use of coping strategies towards emerging respiratory infectious diseases.
AB - AIM: To determine healthcare workers' perceptions of risk from exposure to
emerging acute respiratory infectious diseases and the perceived effectiveness of
strategies used to facilitate healthy coping in acute hospital and community
healthcare settings. METHODS: Electronic databases (Cumulative Index to Nursing
and Allied Health Literature, Ovid, PubMed, ScienceDirect, Scopus and Wiley
InterScience) were searched using a three-step search strategy to identify the
relevant quantitative and qualitative studies published in English from 1997 to
2009. The grey literature was not included in the review. The identified studies
were evaluated using the Meta-Analysis of Statistics, Assessment and Review
Instrument and the Qualitative Assessment and Review Instrument from the Joanna
Briggs Institute. Fourteen quantitative studies were included and the findings
included in a narrative summary. The findings from the two qualitative studies
were categorised into a meta-synthesis that generated two synthesised findings.
RESULTS: Findings indicated that healthcare workers perceived personal and
familial health risks and stigmatisation from their exposure to emerging acute
respiratory infectious diseases, but the majority were accepting of these risks.
Organisational implementation of infection control measures, avoidance of
patients and complying with personal protective equipment were identified as risk
mitigating strategies. Demographic, individual and organisational factors were
found to influence their risk perceptions and their adoption of strategies to
mitigate the risk. CONCLUSIONS: It appears that healthcare workers' risk
perceptions can influence their behaviour towards patients with emerging acute
respiratory infectious diseases as well as their use of risk-mitigating
strategies. Institutions need to ensure that appropriate infection control
safeguards are in place to protect workers and their families. Institutions can
also offer incentives to encourage healthcare workers to comply with the policies
and procedures introduced to mitigate risk. IMPLICATIONS FOR PRACTICE:
Institutions and government need to ensure that policies and procedures are
communicated and adequate institutional measures (i.e. personal protective
equipment; education and training; and personal support) are implemented to
safeguard healthcare workers during and after pandemic outbreaks. IMPLICATIONS
FOR RESEARCH: Future research needs to examine how perception of risk related to
acute emerging respiratory infectious diseases, epidemic or pandemic, and the
factors that would influence healthcare workers': decisions to stay within the
workforce and provide care or resign from the workforce and compliance with
institutional and government policies and procedures, as well as compliance to
use of personal protective equipment.
PMID- 22093390
TI - Prevalence of obesity among migrant Asian Indians: a systematic review and meta
analysis.
AB - OBJECTIVE: The objective of this review was to investigate the prevalence of
obesity among migrant Asian Indians globally. The primary outcomes of interest
included the incidence of obesity as measured objectively by body mass index
(BMI), waist circumference, waist-to-hip ratio and body fat. METHODS: All
published studies that investigated obesity rates in migrant Asian Indians were
considered for inclusion in the review. Studies were included if they had more
than 100 participants and reported objective measures of obesity. A literature
search was performed using the following databases Medline (2000-10), Cumulative
Index to Nursing and Allied Health Literature (2000-11), Excerpta Medica Database
(2000-current) and the Cochrane Controlled Studies Register (Issue 1, 2011 of
Cochrane Library). In addition, the reference lists of relevant studies and
conference proceedings were also scrutinised. Two reviewers independently
assessed the eligibility of the studies for inclusion in the review, the
methodological quality and then extracted details of eligible studies. Data were
analysed using the Review Manager software. RESULTS: Ten studies investigating
the obesity indices in Asian Indians were eligible for this review. All ten
trials that reported on BMI values demonstrated significantly higher BMI values
among migrant Asian Indians when compared with other migrants and the native
population (standardised mean difference 0.36; 95% confidence interval 0.30,
0.41). A greater proportion of Asian Indians had BMIs greater than or equal to 30
when compared with other ethnic groups. Up to 80% of the Asian Indian women had a
waist circumference greater than the recommended value of 88 cm. CONCLUSIONS:
Based on the available evidence, the obesity indices among migrant Asian Indians
are significantly greater when compared with the native population and those
living in India, particularly among women. This is likely to contribute to the
high levels of diabetes and coronary heart disease in this population. Culturally
appropriate strategies to reduce obesity, particularly abdominal obesity, in this
ethnic group are urgently needed.
PMID- 22093391
TI - Skin tear prevention and management among patients in the acute aged care and
rehabilitation units in the Australian Capital Territory: a best practice
implementation project.
AB - BACKGROUND: A skin tear is a traumatic wound that results from the separation of
the skin layers due to shearing forces, friction or blunt trauma that affects all
people. Numerous preventative measures aim to reduce the skin tears and minimise
conditions that predispose the epidermis to injury. With the increasing elderly
population in acute aged care, implementation of an evidence-based guideline is
critical as changes to ageing skin integrity make this population more
susceptible to skin tear. AIMS/OBJECTIVES: The aim of this project was to ensure
the practice of skin tear assessment, prevention and management among acute aged
care causes and rehabilitation patients was performed according to best available
evidence. METHODS: This project utilised a pre- and post-implementation audit
design using the Joanna Briggs Institute Practical Application of Clinical
Evidence System and Getting Research into Practice programs. The project was
conducted from June to November 2010 with the audits conducted in the acute aged
care and rehabilitation units of two public hospitals in the Australian Capital
Territory involving a sample size of 96 patients at pre-audit and 95 patient at
post-audit admitted during the audit period. A convenience sample of 20 nurses
also consented to be observed. The audits were conducted after obtaining ethics
approval and consent from patients and nurses. RESULTS: The results showed a
significant change in compliance to the skin tear guidelines at post
implementation audit. Staff education in particular had a dramatic increase from
20% to 98% and the point prevalence rate of hospital-acquired skin tear decreased
from 10% to 0.15%. DISCUSSION/CONCLUSION: This project emphasised the importance
of education of all personnel involved in patient care and that a simple
assessment of skin integrity is critical in preventing and managing skin tear
especially among the susceptible elderly population.
PMID- 22093392
TI - Drinking speed using a valved Pat Saunders straw, wide bore straw and a narrow
bore straw in school-age children.
AB - BACKGROUND: To understand the nature of straw drinking in relation to a group of
children with specific eating and drinking difficulties, it is first necessary to
ascertain the range of normal function. Straw drinking is often recommended as a
method that can support children with eating and drinking difficulties. METHOD:
This study looked at straw drinking performance in a normal population of 125
children aged between 6 and 11 years of age. Three types of straws were used: a
valved Pat Saunders straw, a wide bore straw and a narrow bore straw. Children
drank 40 mL of water for each straw tested. RESULTS: Children increased their
speed of intake significantly for all straw types as they matured. Drinking speed
was quickest for the wide bore straw followed by the narrow bore and slowest for
the valved Pat Saunders straw. This was supported by qualitative feedback from
the children with most finding the wide bore straw the easiest one to use and the
valved Pat Saunders straw the hardest. There were significant differences in
speed of flow between the valved Pat Saunders straw and wide bore straw, between
the valved Pat Saunders straw and the narrow bore straw and between the wide bore
straw and the narrow bore straw. There were no significant differences between
straw flow or straw type and gender. CONCLUSIONS: This normative data for straw
drinking in a paediatric population can be used to develop baseline measures for
clinical assessment.
PMID- 22093393
TI - New anti-epileptic drugs: overcoming the limits of randomised controlled trials.
AB - This commentary focuses on the designs of randomised controlled trials of new
anti-epileptic drugs as treatment for focal epilepsy. Limits of these trials,
with particular focus on placebo-controlled designs, are discussed and strategies
to overcoming them proposed. To date there are only few head-to-head comparison
trials between new anti-epileptic drugs. Ideally, direct head-to-head comparisons
of new anti-epileptic drugs should be available in order to get the whole picture
of each treatment, but usually randomised controlled trials have not such a
direct-comparison design. Multiple-treatment meta-analysis may represent a
promising way of overcoming this limit, providing information on ranking efficacy
of new anti-epileptic drugs, thus allowing to answer several relevant questions
regarding daily practice and decision-making. Although not free from concerns,
also historical design trials might have several advantages in that all patients
receive a promising anti-epileptic drug at dose(s) that are expected to be fully
effective and eliminate the need for a parallel group on suboptimal treatment or
placebo. All these strategies aimed to overcome the lack of head-to-head
comparisons can't anyway be considered as a substitute for properly conducted
direct-comparison randomised trials, which remain the most relevant source of
data to inform clinical decisions.
PMID- 22093394
TI - Comparison of the effects of using the Crowe Critical Appraisal Tool versus
informal appraisal in assessing health research: a randomised trial.
AB - In systematic reviews, evidence-based practice and journal clubs critical
appraisal tools are used to rate research papers. However, little evidence exists
on whether the critical appraisal tool, subject matter knowledge or research
design knowledge affect the appraisal of research papers. A match paired
randomised trial was conducted in August/September 2010 in the Faculty of
Medicine, Health and Molecular Science, James Cook University, Australia. Ten
participants in total were randomly assigned to two groups using either an
informal appraisal of research (IA group) or the Crowe Critical Appraisal Tool
(CCAT group), a general critical appraisal tool. Participant independently
appraised five research papers, where each paper had a different research design.
The scores allocated to the papers by each group were analysed. The intraclass
correlation coefficient for absolute agreement was 0.76 for the informal
appraisal group and 0.88 for the Crowe Critical Appraisal Tool group. The G study
showed that in the informal appraisal group 24% of variance in scores was
attributable to either the rater or paper * rater interactions, whereas this was
12% in the Crowe Critical Appraisal Tool group. Analysis of covariance showed
that there were statistically significant results in the informal appraisal group
for subject matter knowledge (F(1,18) = 7.03, P < 0.05 1 tailed, partial eta2 =
0.28) and rater (F(4,18) = 4.57, P < 0.05 1 tailed, partial eta2 = 0.50).
Kendall's tau correlation coefficient also showed a significant weak positive
relationship (tau = 0.38, P = 0.03) between total score and subject matter
knowledge for the informal appraisal group. The Crowe Critical Appraisal Tool was
more reliable than an informal appraisal of the research papers. In the informal
appraisal group, there were significant effects for rater and subject matter
knowledge, whereas the Crowe Critical Appraisal Tool almost eliminated the rater
effect, and no subject matter knowledge effect was apparent. There was no
research design knowledge effect in either group. The Crowe Critical Appraisal
Tool provided much better score reliability and should help readers with
different levels and types of knowledge to reach similar conclusions about a
research paper.
PMID- 22093395
TI - Treatment of periodontal infection does not reduce the rates of poor pregnancy
outcomes.
PMID- 22093402
TI - The spiral flap for nasal alar reconstruction: our experience with 63 patients.
AB - OBJECTIVE: To describe our patient selection, design, execution, and results with
the spiral flap for distal nasal surgical defects after Mohs micrographic
surgery. MATERIALS AND METHODS: We performed a retrospective analysis of all
spiral flaps performed over a 5-year period. Sixty-three patients were
identified, and charts and photographs were examined. Surgical defects were
classified according to alar location. All follow-up encounters were reviewed to
assess for complications and need for revisionary procedures. Intraoperative
photographs were taken of representative cases to describe the surgical
technique. RESULTS: Sixty-three patients on whom the spiral flap was performed
were identified over a 5-year period. The flap was used to successfully
reconstruct alar defects ranging in size from 5 to 15 mm in diameter. No
persistent complications were noted. CONCLUSION: The spiral flap is a
reproducible, one-stage flap for small to medium-sized defects of the nasal ala
and alar groove that consistently produces topographic restoration with minimal
risk of aesthetic or functional complication.
PMID- 22093403
TI - Multidrug and carbapenem-resistant Acinetobacter baumannii infections: Factors
associated with mortality.
AB - BACKGROUND AND OBJECTIVE: To analyse factors related to mortality and influence
of antibiotic treatment on outcome in patients with nosocomial infection due to
multidrug and carbapenem-resistant Acinetobacter baumannii (MDR-C AB). PATIENTS
AND METHODS: Observational and prospective study of a cohort of adult patients
with MDR-C AB infection. Data collection from clinical records was done according
to a standard protocol (January 2007 through June 2008). Patients with MDR-C AB
infection were identified by review of results of microbiology cultures from the
hospital microbiology laboratory. Epidemiological and clinical variables and
predictors of mortality were analysed. RESULTS: 24 out of 101 cases were
considered colonizations and 77 infections (27 bacteraemia); global mortality in
infected patients was 49% (18 cases with bacteraemia and 20 with no bacteraemia).
In the multivariate analysis, including the 77 cases of infection, the prognosis
factors associated with mortality were age (OR 1.09; 95% CI 1.02-1.2), McCabe 1
(OR 33.98; 95% CI 4.33-266.85), bacteraemia (OR 9.89; 95% CI 1.13-86.13),
inadequate empiric treatment (OR 16.7; 95% CI 2.15-129.79), and inadequate
definitive treatment (OR 26.29; 95% CI 1.45-478.19). In the multivariate analysis
including the 57 cases of infection with adequate definitive treatment, the
prognosis factors associated with mortality were McCabe 1 (OR 24.08; 95% CI 3.67
157.96) and monotherapy versus combined treatment (OR 7.11; 95% CI 1.63-30.99).
CONCLUSIONS: Our cohort of patients with MDR-C AB infection is characterised by a
very high mortality (49%); the severity of patients and inadequate treatment or
monotherapy are statistically associated with mortality.
PMID- 22093404
TI - [Hereditary colorectal cancer].
AB - Up to 5% of all diagnosed colorectal cancers has a hereditary cuase. Colon cancer
arise in younger individuals, and extracolonic tumors are also frequent. A
precise understanding of main syndromes will allow the proper management of these
patients, including genetic counselling, screening and prophylactic surgery.
PMID- 22093405
TI - [Carbohydrate antigen 125 serial measurements after an admission for acute heart
failure and risk of early readmission].
AB - FUNDAMENT AND OBJECTIVES: The early readmission after a hospitalization for acute
heart failure (AHF) is frequent; however, factors associated are not clearly
established. Plasma levels of carbohydrate antigen 125 (CA125) have shown to be
associated with the presence of systemic congestion and increased risk of death
in patients with AHF. The aim of this study was to assess the relationship
between CA125 levels (during hospitalization, at the first outpatient visit or
their changes) and readmission for AHF at 6 months follow up. PATIENTS AND
METHOD: We analyzed 293 consecutive patients hospitalized for AHF in which CA125
was determined during the index hospitalization (T1) and the first outpatient
visit after discharge (T2) (median 31 days). We examined the relationship between
CA125 levels, both isolated determinations as their serial changes (absolute,
relative or categorical) and readmission for AHF by Cox regression analysis
adjusted for competing events. The reclassification technique integrated
discrimination improvement (IDI) index was used to assess the additional
discriminative power of this biomarker over the final multivariate model.
RESULTS: At 6 months follow up, we identified 32 (10.9%) and 54 (18.4%) deaths
and readmissions for AHF, respectively. CA125 categorical changes [decrease and
normalization (C1, n=153), decrease but no normalization at T2 (C2, n=72) and
increase, with high levels at T2 (>35 U/ml) (C3, n=68)], followed by the isolated
determination of CA125 at T2, showed the best discriminative accuracy. Thus, with
respect to patients in the C1 category, patients in categories C2 and C3 showed a
higher risk of readmission for AHF: C2 vs. C1: HR=3.48, 95% CI:1.84-6.59,
p<0.001; C3 vs. C1: HR=3.18, 95% CI:1.62-6.21, p=0.001. On the other hand,
patients with elevated levels of CA125 in T2 (>35 U/ml) (41%) tripled the risk of
readmission for AHF at 6 months compared with those with normal levels of CA125
at T2: HR=3.06, 95% CI:1.79-5.23, p<0.001. The addition of the categories of
serial measurements of CA125 and the presence of elevated levels of CA125 at T2
showed a significant increase in the discriminating power of 6.27% and 6.17% in
the IDI index, respectively. CONCLUSIONS: After an episode of AHF, the elevation
of CA125 levels (>35 U/ml) after the first weeks of admission is associated with
an increased risk of readmission for AHF.
PMID- 22093406
TI - [Glycaemic management in type 1 and 2 diabetes patients undergoing interventional
cardiology procedures. Heart and Diabetes Working Group. Sociedad Espan~ola de
Cardiologi'a. Sociedad Espan~ola de Diabetes].
AB - Despite the growing number of therapeutic alternatives available as well as
general reviews and treatment guidelines for the treatment of diabetes,
physicians are often left without a clear pathway of therapy to follow in
specific clinical contexts such as interventional cardiology. The present
document proposes a consensus treatment algorithm, based both on a critical
appraisal of evidence from recent clinical trials and on value judgements
supported by the authors' collective clinical knowledge and experience, in an
attempt to guide practitioners when choosing the most appropriate alternatives in
the context of glycemic management in type 1 and 2 diabetic patients scheduled to
undergo interventional cardiology procedures in a haemodynamic laboratory.
PMID- 22093407
TI - [Cutaneous involvement in systemic Chagas disease in immunocompromised patient].
PMID- 22093408
TI - [Abdominal pain and wall distension as the onset form of neuroborreliosis].
PMID- 22093409
TI - The development of LENTICULESTM as reference materials for noroviruses.
AB - AIMS: To investigate the potential for LENTICULESTM to act as reference materials
(RMs) for noroviruses (NoV) [genogroups I (GI) and II (GII)] by determining their
homogeneity and stability characteristics. METHODS AND RESULTS: NoV used in this
study originated from human faecal material, screened for the absence of other
faecally transmitted pathogens. The norovirus strains present in the faecal
material were characterized by sequencing, and samples containing GI and GII
strains representative of genotypes commonly circulating in the community were
selected. RMs were produced utilizing modified lenticulating technology. A batch
comprising 500 LENTICULESTM containing both norovirus genogroups was produced
according to ISO Guide 34. The batch was tested and quantified using an ISO 17025
accredited quantitative real-time RT-PCR assay. Sufficient homogeneity was
established using procedures described by Fearn and Thompson (2010), while
stability at less than -15 degrees C and ambient temperature (17-22 degrees C)
was assessed over 52 weeks and 7 days, respectively. CONCLUSIONS: Lenticulation
was shown to be an effective means of preservation of detectable NoV.
LENTICULESTM were sufficiently homogeneous and stable throughout medium-term
frozen and short-term storage at room temperature to serve as RMs. Virus
LENTICULESTM have the advantages of being easy to manipulate, provide assigned
values and do not require the manipulation of high titre clinical material.
SIGNIFICANCE AND IMPACT OF THE STUDY: The results of this study show that
norovirus LENTICULESTM can be used as stable RMs for quantitative real-time RT
PCR assays. They can be utilized as in-run positive extraction controls and
potentially for method calibration and to enable more easy comparison of data
generated by the variety of differing norovirus determination methods that have
emerged in recent years. LENTICULESTM have the potential to provide essential
elements of laboratory quality assurance systems for laboratories implementing
these new methods for virus testing in foodstuffs and for those running routine
analyses.
PMID- 22093410
TI - IL28B: relevance extended to hepatitis B virus or limited to interferon-based
therapies in hepatitis C virus?
PMID- 22093411
TI - Steatotic liver: a suitable source for the isolation of hepatic progenitor cells.
AB - BACKGROUND: Alternative and/or complementary sources of cells such as hepatic
progenitor cells (HPC) are under investigation for hepatic cell therapy purposes.
Steatotic livers are those most commonly rejected for clinical transplantation
and are also unsuitable for good quality hepatocyte isolation. AIM: Taken
together these two facts, our aim was to investigate whether they could represent
a suitable source for the isolation of progenitor cells. METHODS: Rats fed for 7
weeks with methionine-choline deficient diets showing proved steatotic signs
(i.e. increase in hepatic lipids; macrovesicular steatosis) and steatotic and
normal human liver samples were used to study the expression of HPC markers and
to isolate these cells. RESULTS: In the liver of the steatotic rats there was a
significant increase in HPC (known as oval cells in rodents) markers such as Thy
1, epithelial cell adhesion molecule (EpCAM) and OV-6 (2-, 3- and 5-fold increase
respectively). Additionally, there was an increase in the yield of isolated oval
cells compared to control rats. Similarly, studies using human livers clearly
confirmed an increase in the expression of HPC markers in the steatotic tissue
and a significant rise in the number of isolated progenitor cells (EpCAM+, Thy
1+, OV-6+) (10, 12 and 11.6 * 10(4) cells/g of tissue respectively).
CONCLUSIONS: These data suggest that steatotic livers, discarded for orthotopic
liver transplantation and hepatocyte isolation, could be a suitable source for
large scale isolation of HPC which might be potential candidates in liver cell
therapy.
PMID- 22093412
TI - Fungal infection presenting as giant cell tubulointerstitial nephritis in kidney
allograft.
AB - Giant cell tubulointerstitial nephritis in the kidney allograft caused by
infection is rare, and donor-transmitted infection in transplanted kidneys is
also rare. In this case report, we describe an unusual histological manifestation
of Candida albicans in the graft biopsy of a 53-year-old male kidney transplant
recipient with decreased renal function 12 days post transplant. Several giant
cells were present in the tubulointerstitial inflammation, as well as yeasts,
with no evidence of rejection, and the histological diagnosis was confirmed by
urine culture. Donor urine culture was positive for C. albicans, suggestive of a
possible donor-transmitted infection. Prompt antifungal treatment eradicated the
infection, and averted systemic spread. To our knowledge, there are no previous
reports of Candida infection with giant cell tubulointerstitial nephritis in
human renal allograft.
PMID- 22093414
TI - [Importance of discipline construction in the development of key specialty].
PMID- 22093413
TI - Pyrosequencing of 16S rRNA gene amplicons to study the microbiota in the
gastrointestinal tract of carp (Cyprinus carpio L.).
AB - The microbes in the gastrointestinal (GI) tract are of high importance for the
health of the host. In this study, Roche 454 pyrosequencing was applied to a
pooled set of different 16S rRNA gene amplicons obtained from GI content of
common carp (Cyprinus carpio) to make an inventory of the diversity of the
microbiota in the GI tract. Compared to other studies, our culture-independent
investigation reveals an impressive diversity of the microbial flora of the carp
GI tract. The major group of obtained sequences belonged to the phylum
Fusobacteria. Bacteroidetes, Planctomycetes and Gammaproteobacteria were other
well represented groups of micro-organisms. Verrucomicrobiae, Clostridia and
Bacilli (the latter two belonging to the phylum Firmicutes) had fewer
representatives among the analyzed sequences. Many of these bacteria might be of
high physiological relevance for carp as these groups have been implicated in
vitamin production, nitrogen cycling and (cellulose) fermentation.
PMID- 22093415
TI - [Challenge and tactical solution of off-label using antiepileptic drugs].
PMID- 22093416
TI - [Paying close attention to the misdiagnosis problems of childhood epilepsies].
PMID- 22093417
TI - [A multicenter controlled study on aripiprazole treatment for children with
Tourette syndrome in China].
AB - OBJECTIVE: To evaluate the efficacy and safety of aripiprazole in the treatment
of children with Tourette syndrome. METHOD: A prospective, multi-center,
controlled clinical trial was conducted in 195 children aged 5-17 years with
Tourette syndrome. The patients were assigned to two groups: aripiprazole group
(n=98) and tiapride group (n=97), with the treatment dosage of 5-25 mg/d and 100
500 mg/d, respectively. After 12 weeks treatment, the clinical efficacy was
assessed by the Yale Global Tic Severity Scale (YGTSS) score, and adverse
reactions were observed by side effects symptoms scale, blood biochemical
indexes, and electrocardiography. RESULT: Significant pre- and post-treatment
differences were ascertained for motor tic, phonic tic, function damage and total
scores of YGTSS in the both groups from the second week of treatment (P<0.0001).
Compared with the tiapride group, the aripiprazole group showed a more
significantly decreased function damage score of YGTSS by the second week of
treatment (P<0.05). After 12 weeks treatment, total scores of YGTSS in the
aripiprazole group decreased from 53.74+/-15.71 at baseline to 24.36+/-16.38,
while in the tiapride group from 51.66+/-13.63 to 23.26+/-15.31. The mean
reduction scores of YGTSS were 29.38 in the aripiprazole group and 28.40 in the
tiapride group at the end of treatment, and the clinical response rates were
60.21% and 63.92%, respectively. There were no significant differences between
the 2 groups (P>0.05). The incidence of adverse reactions was similar in the
aripiprazole and tiapride groups, with 29.6% and 27.8% respectively. There were
no significant differences in the incidence of adverse reactions between
aripiprazole and tiapride groups and no severe adverse events were found in
either group. CONCLUSION: The results showed that aripiprazole showed similar
therapeutic effect to tiapride in treatment of children with Tourette syndrome.
Aripiprazole was safe and well tolerated in Chinese population, and can be
considered as a new valid option for the treatment of tic disorders.
PMID- 22093418
TI - [Electroclinical features of myoclonic-atonic epilepsy].
AB - OBJECTIVE: To summarize the electroclinical characteristics of myoclonic atonic
epilepsy (MAE) in children. METHOD: The clinical data, video electroencephalogram
(EEG) and simultaneous electromyography (EMG) of MAE patients were analyzed. The
treatment and its effects were followed up. RESULT: In 47 MAE patients, 25 had a
history of febrile seizures (FS), 20 had a family history of FS or epilepsy. All
patients had a normal development before the illness. The age of afebrile seizure
onset was between 1.4 years to 5.8 years. The first seizure was generalized tonic
clonic seizure (GTCS) in 41 patients (87.2%). All patients had multiple seizure
types, including 47 GTCS (97.9%), 34 myoclonic atonic seizures (72.3%), 47
myoclonic seizures (100%), 32 atonic seizures (68.1%), 36 atypical absences
(76.6%) and 3 tonic seizures (6.4%). EEG backgrounds were slow or parietal theta
rhythm, interictal EEG showed 1-4 Hz (predominant 2-3 Hz) generalized spike and
wave or poly spike and wave discharges in all cases. Seizures were controlled by
antiepileptic drugs (AEDs) in 41 patients (87.2%). Valproate was used in 37.
Lamotrigine was used in 26. Mild mental retardation was observed in 10 children
after the onset of the illness. CONCLUSION: The clinical features of MAE included
the following: the development was normal before the onset of the illness; the
onset of seizure type was often GTCS. All patients had multiple generalized
seizure types. Myoclonic atonic seizure was its characteristic seizure type. EEG
showed generalized discharges. Early diagnosis and rational choice of AEDs are
important for getting a better prognosis.
PMID- 22093419
TI - [Follow-up study on the clinical characteristics and treatment effect of the
different types of Guillain-Barre syndrome in children].
AB - OBJECTIVE: To study the clinical characteristics and effects of immunoglobulin
treatment in children with the different types of Guillain-Barre syndrome (GBS).
METHOD: Data of 108 patients hospitalized for GBS were retrospectively analyzed;
75 cases in this group were given acute high dose of gamma globulin (IVIG) 400
mg/(kg.d) intravenously for 5 d. Clinical and electrophysiological data and
information on treatment and recovery of the children were collected during the
follow-up and were analyzed. RESULT: According to the clinical and
electrophysiologic findings, 32 patients manifested acute inflammatory
demyelinating polyradiculoneuropathy (AIDP), 34 had acute motor axonal neuropathy
(AMAN), 3 had acute motor and sensory axonal neuropathy (AMSAN), 4 were
inexcitable, 2 were unclassified. The clinical progress of the AMAN was faster
than the AIDP group. Except for sensory nerve involvement, there was no
significant difference in the clinical feature and severity. The mean time of the
muscle strength began to recover was (5.59+/-3.63) days in the AIDP group and
(7.21+/-4.68) days in the AMAN group after IVIG treatment. The time of the AIDP
group was shorter than the AMAN group, but the difference was not statistically
significant (t=-1.5702, P>0.05). The mean time of the muscle strength increased
one grade was (8.88+/-4.39) days in the AIDP group and (12.67+/-8.35) days in the
AMAN group. The difference was statistically significant (t=-2.3689, P<0.05). No
patients in this group died. Follow-up data showed that the complete recovery
time was not significantly different (t=0.2041, P>0.05). CONCLUSION: The clinical
progress of the AMAN was faster than the AIDP group. Besides sensory nerve
involvement, there was no significant difference in the clinical feature and
severity. The AIDP group's clinical recovery was faster than AMAN's after the
immunoglobulin treatment. The two groups were not significantly different in long
term prognosis.
PMID- 22093420
TI - [Adverse effects of antiepileptic drugs and their countermeasures in children].
PMID- 22093421
TI - [Emergency management of seizure and convulsive status epilepticus in children].
PMID- 22093422
TI - [The protocol of minimal residual disease in childhood acute lymphoblastic
leukemia].
PMID- 22093423
TI - [Impact of pharmacogenetics on the drug therapy of epilepsy].
PMID- 22093424
TI - [Vascular endothelial cell dysfunction and its clinical strategy in severe
sepsis].
PMID- 22093425
TI - [Copy-number variations of SHANK3 and related clinical phenotypes in children
with autism].
AB - OBJECTIVE: To explore possible relationship between copy-number variations (CNVs)
in 15q11-13, 16p11 and SHANK3 gene by using multiplex ligation-dependent probe
amplification (MLPA) and the phenotypes in children with autism and to further
explore the clinical application of MLPA to make an etiological diagnosis of
Autism. METHODS: The diagnosed of autism was made according to the criteria of
the ICD-10 and DSM-IV, with typical cluster of symptoms comprise social
disability, communication impairments and repetitious behaviors. MLPA KIT P343-C1
AUTISM-1 was used to detect and describe the incidence of CNVs in these three
domains. RESULTS: Among 109 cases collected from 102 autistic pedigrees, 2
individuals had SHANK3 microdeletion, accounting for approximately 2% (2/109) of
cases, suggesting the proportion of SHANK3 microdeletion might contribute to
typical autism. The phenotypic traits of patients with SHANK3 microdeletions
showed homogenicity in severe core symptoms and mental retardation. CONCLUSIONS:
SHANK3 microdeletion is an important genetics component for autism, which may
explain 2% typical autism cases. SHANK3 microdeletion might explain autistic core
symptoms and mental retardation. MLPA is a sensitive and a high throughput
technique to detect CNVs in specific DNA segments, which is beneficial for
further investigation of etiology of autism.
PMID- 22093426
TI - [Severe sepsis as an initial presentation in children with Wernicke' s
encephalopathy: report of a case and literature review].
AB - OBJECTIVE: Wernicke's encephalopathy (WE) is an acute neuropsychiatric syndrome
resulting from thiamine deficiency, which is associated with significant
morbidity and mortality. The disorder is still greatly underdiagnosed in children
because of either a relatively non-specific clinical presentation in some cases
or unrecognized clinical setting. The aim of this literature review was to
provide knowledge of pediatric WE in an effort to assist in early diagnosis,
thereby reducing the morbidity and mortality. METHODS: The clinical
manifestations, characteristic magnetic resonance imaging (MRI), diagnosis and
treatment of one case and the other 35 cases reported in the last decade in
children were summarized. RESULTS: Thirty-six cases (22 boys and 14 girls, 2
month to 16-year-old) were analyzed. All the other 35 cases except for our case
had underlying diseases: improper feeding in 25/35 cases, long-time vomiting in
5/35 cases, immunosuppressive therapy in 4/35 cases, long-time total parenteral
nutrition without multivitamin preparations supplementation in 3/35 cases and
anorexia nervosa in 1/35 case. The classic triad (mental-status changes,
nystagmus and ophthalmoplegia, and ataxia) was seen in 6/36 cases. The other
clinical manifestations included consciousness disturbance in 24/36 cases,
infection in 22/36 cases, pathological reflex and muscular tension changes in
18/36 cases, convulsion in 17/36 cases, developmental delay in 4/36 cases and
failure to thrive in 2/36 cases. Cerebrospinal fluid examination was performed in
31/36 cases, and a slightly raised protein concentration was seen in 7/31 cases.
The cerebrospinal fluid lactate levels were detected in 4/36 cases (all
increased), serum lactic acid levels in 7/36 cases (6/7 cases increased), serum
pyruvate in 4/36 cases (all increased), thiamine pyrophosphate effect (TPPE) in
9/36 cases (all increased), and serum thiamine in 2/36 cases (increased in 1/2
cases). The brain computed tomography (CT) scan was conducted in 20/36 cases and
16/20 cases showed abnormal hypodensity in bilateral basal ganglia, one case
revealed diffuse cortical atrophy. The brain MR scan was conducted in 13/36 cases
and all the 13 cases revealed symmetrical abnormal signal in bilateral mamillary
body and basal ganglia, and 7/13 cases showed abnormal signals in the tegmentum
of midbrain, cerebral aqueduct and white matter around the third and fourth
ventricles. The diagnosis of WE was confirmed by MR in 12 cases, triad combined
with MR in 3 cases, autopsy in 1 case among the 13 cases who underwent MR scan.
The diagnosis of WE was confirmed by the TPPE and/or lactate levels in 9/11
cases. The initial thiamine was given by intravenous or intramuscular infusion in
33/36 cases, unknown method in 1 case, orally in 1 case and no thiamine was used
in 1 case. The dosage of thiamine was 100 mg daily in 29/35 cases, unknown in
3/35 cases, 50 mg daily in 2/35 cases, 600 mg daily in 1/35 case. 34/35 patients'
clinical symptoms improved during 24 hours to 1 week after initial treatment, and
1 case died due to no response to thiamine. Nineteen patients were followed up
for 2-2.5 months and 17 cases recovered completely. CONCLUSION: Wernicke's
encephalopathy can be difficult to diagnose because of a relatively non-specific
clinical presentation. The characteristic MRI findings and the dramatic response
of neurological signs to parenteral thiamine will assist early clinical
diagnosis. Early and timely thiamine supplementation could reverse the clinical
features and improve the prognosis in most cases.
PMID- 22093427
TI - [Expert's Comment].
PMID- 22093428
TI - [Primary malignant airway neoplasms in 4 children].
AB - OBJECTIVE: Primary airway neoplasms are extremely rare in the pediatric age
group. This paper reports 4 children with primary airway neoplasms to explore the
clinical manifestations, safety and efficacy of bronchoscopic interventions.
METHOD: The data of pathology, photographic documentation and imaging studies
were analyzed. RESULT: Of the 4 reported lesions, 2 were characterized by low
grade (2 with mucoepidermoid carcinoma) and 2 by high grade malignant (spindle
cell carcinoma and malignant lymphoma). Onset of clinical manifestations occurred
at the ages of 7 months to 7 years. All of them were initially misdiagnosed as
bronchitis, asthma or atelectasis. The lesions located in trachea in 2 patients,
in left bronchus of 1 patient and in right middle bronchus of 1 case. Atelectases
occurred in bilateral bronchus where the lesions obstructed almost the entire
lumen at the time of diagnosis. The diagnosis of airway masses depends upon
maintaining a high index of suspicion, complemented by imaging and timely
diagnostic endoscopy. The lesions were completely removed in 3/4 patients except
1 died during bronchoscopic procedures. CONCLUSION: The children with malignant
airway neoplasms were presented with cough and wheezing without specific
manifestations. Bronchoscopic interventions were effective in the treatment of
non-operative cases. General anesthesia is strongly recommended for
interventional bronchoscopy.
PMID- 22093429
TI - [Clinical analysis of 18 cases with acute tumor lysis syndrome in children with B
cell lymphoma].
AB - OBJECTIVE: To investigate risk factors associated with acute tumor lysis syndrome
(ATLS) in children with B-cell lymphoma and to explore feasible means for the
prophylaxis and treatment. METHOD: Data from 18 children with ATLS in B-cell
lymphoma were collected to assess their tumor burden at diagnosis and before
chemotherapy. Evaluation was performed at the 8th day, 3 month, and the end of
chemotherapy and follow up. The incidence of ATLS in B-cell lymphoma, and the
relationship between the incidence of ATLS and whether the kidney was involved
and large tumor burden were analyzed respectively. All patients received
hydration, alkalinization and received allopurinol routinely. Urate oxidase and
hemodialysis treatment were administered in some cases. RESULT: Of the 103
children with B-cell lymphoma, 18 were diagnosed as having ATLS (17.5%). All the
18 cases with ATLS were histopathologically confirmed as having Burkitt's
lymphoma. All the patients were at stage III or IV and all had large tumor sizes,
and 7 were found to have blasts in the bone marrow>25% (38.9%). Lactate
dehydrogenase (LDH) levels>=1000 U/L were found in 11 (61.1%) cases. All patients
had developed metabolic abnormalities, including hyperuricemia,
hyperphosphatemia, hypocalcemia, and uremia. In terms of clinical features and
prognosis, all cases had nausea, vomiting, anorexia, oliguria, and anuria at
different levels. One had gastrointestinal bleeding, 7 patients experienced
seizures. The etiology in five was hypocalcemia and two had reversible posterior
encephalopathy syndrome and all responded well to treatment. Nine cases of ATLS
responded to supportive care, 4 required hemodialysis, and the other 4 responded
to urate oxidase. Ten cases survived and 8 died. The major cause of death was
severe complications and treatment was given up in 5 cases and recurrence
occurred in 3 cases. CONCLUSION: ATLS was commonly seen in Burkitt's subtype of B
cell lymphoma. Higher LDH and large tumor sizes and kidney involvement were
important risk factors for the development of ATLS in children with B-cell
lymphoma. Treatments with hydration, alkalinization and allopurinol were safe and
effective. Urate oxidase and hemodialytic treatments should be given timely.
PMID- 22093431
TI - [No. 133: Persistent cough for 7 months].
PMID- 22093430
TI - [Mutation of thyroid peroxidase gene in 35 patients with congenital
hypothyroidism].
AB - OBJECTIVE: To identify thyroid peroxidase (TPO) gene mutations in 35 patients
with congenital hypothyroidism. METHOD: Genomic DNA was isolated from peripheral
blood samples of 35 patients with congenital hypothyroidism. All of the 17 exons
and flanking introns of TPO gene were amplified by PCR, then the PCR products
were sequenced bi-directionally and were analyzed by restriction endonucleases.
RESULT: One patient had compound heterozygous mutations c.961A>G/c.2422delT, one
was c.2268insT/c.1477G>A, and three was homozygous mutation c.2268insT. The TPO
gene mutation c.961A>G [p. Thr321Ala] was one novel mutation. CONCLUSION: High
frequency mutation in TPO gene was detected in patients with congenital
hypothyroidism.
PMID- 22093432
TI - [Gastric teratoma in infant: report of 3 cases].
PMID- 22093433
TI - [A case of chronic hematogenously disseminated tuberculosis].
PMID- 22093434
TI - [A severe case of avian-like H1N1 swine influenza virus infection in human].
PMID- 22093435
TI - System-level health disparities in California emergency departments: minorities
and Medicaid patients are at higher risk of losing their emergency departments.
AB - STUDY OBJECTIVE: Emergency department (ED) closures threaten community access to
emergency services, but few data exist to describe factors associated with
closure. We evaluate factors associated with ED closure in California and seek to
determine whether hospitals serving more vulnerable populations have a higher
rate of ED closure. METHODS: This was a retrospective cohort study of California
hospital EDs between 1998 and 2008, using hospital- and patient-level data from
the California Office of Statewide Health Planning and Development (OSHPD), as
well as OSHPD patient discharge data. We examined the effects of hospital and
patient factors on the hospital's likelihood of ED closure by using Cox
proportional hazards models. RESULTS: In 4,411 hospital-years of observation, 29
of 401 (7.2%) EDs closed. In a model adjusted for total ED visits, hospital
discharges, trauma center and teaching status, ownership, operating margin, and
urbanicity, hospitals with more black patients (hazard ratio [HR] 1.41 per
increase in proportion of blacks by 0.1; 95% confidence interval [CI] 1.16 to
1.72) and Medi-Cal recipients (HR 1.17 per increase in proportion insured by Medi
Cal by 0.1; 95% CI 1.02 to 1.34) had higher risk of ED closure, as did for-profit
institutions (HR 1.65; 95% CI 1.13 to 2.41). CONCLUSION: The population served by
EDs and hospitals' profit model are associated with ED closure. Whether our
findings are a manifestation of poorer reimbursement in at-risk EDs is unclear.
PMID- 22093436
TI - Low back pain as the presenting sign in a patient with primary extradural
melanoma of the thoracic spine--a metastatic disease 17 years after complete
surgical resection.
AB - Primary spinal melanomas are extremely rare lesions. In 1906, Hirschberg reported
the first primary spinal melanoma, and since then only 40 new cases have been
reported. A 47-year-old man was admitted suffering from low back pain, fatigue
and loss of body weight persisting for three months. He had a 17-year-old history
of an operated primary spinal melanoma from T7-T9, which had remained stable for
these 17 years. Routine laboratory findings and clinical symptoms aroused
suspicion of a metastatic disease. Multislice computed tomography and magnetic
resonance imaging revealed stage-IV melanoma with thoracic, abdominal and
skeletal metastases without the recurrence of the primary process. Transiliac
crest core bone biopsy confirmed the diagnosis of metastatic melanoma. It is
important to know that in all cases of back ore skeletal pain and unexplained
weight loss, malignancy must always be considered in the differential diagnosis,
especially in the subjects with a positive medical history. Patients who have
back, skeletal, or joint pain that is unresponsive to a few weeks of conservative
treatment or have known risk factors with or without serious etiology, are
candidates for imaging studies. The present case demonstrates that complete
surgical resection alone may result in a favourable outcome, but regular medical
follow-up for an extended period, with the purpose of an early detection of a
metastatic disease, is highly recommended.
PMID- 22093437
TI - Estrogen modulates inhibition of return in healthy human females.
AB - Estrogen has a key role in explaining gender differences in dopaminergic
functioning. To date, previous studies on estrogen have focused on inhibitory
output control, such as the intentional suppression of overt pre-potent actions,
but whether input control is also modulated is an open question. For the first
time, this study compared the ability to perform a cued target-detection task
that measured inhibition of return (IOR), a reflexive inhibitory mechanism that
delays attention from returning to a previously attended location, in young women
(n=21) across the three phases of their menstrual cycle (salivary estradiol and
progesterone concentrations were assessed) and in young men (n=21). Women showed
more pronounced IOR effect in their follicular phase, which is associated with
both higher estradiol levels and higher dopamine turnover rates, than in their
luteal or menstruation phase. This increase in women's IOR in their follicular
phase was also greater than the effect found for men at any of the three phases.
Our results are consistent with the idea that estrogen promotes IOR. Given that
the mechanism underlying IOR biases the cognitive system towards the intake of
novel information, our findings suggest that when the estrogen level is high,
women are biased towards cognitive flexibility rather than cognitive stability.
We conclude that gender differences in inhibitory input control are variable and
state-dependent but not structural.
PMID- 22093438
TI - The neural correlates of coloured music: a functional MRI investigation of
auditory-visual synaesthesia.
AB - In auditory-visual synaesthesia, all kinds of sound can induce additional visual
experiences. To identify the brain regions mainly involved in this form of
synaesthesia, functional magnetic resonance imaging (fMRI) has been used during
non-linguistic sound perception (chords and pure tones) in synaesthetes and non
synaesthetes. Synaesthetes showed increased activation in the left inferior
parietal cortex (IPC), an area involved in multimodal integration, feature
binding and attention guidance. No significant group-differences could be
detected in area V4, which is known to be related to colour vision and form
processing. The results support the idea of the parietal cortex acting as sensory
nexus area in auditory-visual synaesthesia, and as a common neural correlate for
different types of synaesthesia.
PMID- 22093439
TI - [HPV prophylactic vaccine coverage in France: Results of a survey among high
school and university students in Marseilles' area].
AB - OBJECTIVE: To assess HPV prophylactic vaccine coverage among French high school
and university students as well as their level of education about this vaccine.
PATIENTS AND METHODS: An anonymous survey was conducted among 2500 high school
and university students from the area of Marseilles, France, from December 2009
to April 2010. RESULTS: A total of 2018 questionnaires were collected (80.7%
participation rate). Mean age of participants was 20 years (range, 15-45 years).
Only 671 (35.4%) participants reported having been vaccinated against HPV, of
whom 510 (73.4%) had completed the three injections scheme. Practice of
cytological cervical cancer screening was not significantly influenced by
vaccination status. Thus, 578 (45.2%) participants who had not been vaccinated
already had had a cervical cytology performed, versus 295 (43.3%) vaccinated ones
(P=0.445). Among those not being vaccinated, 671 (49.8%) fulfilled criteria for a
catch-up vaccination, of whom only 325 (48.4%) agreed for such a catch-up. Main
reasons given for refusal for a catch-up vaccination were the lack of information
about HPV vaccine and fear of side effects. In total, 1722 (90%) considered
themselves as educated about the HPV vaccine. Source of education was attributed
to doctors and media by 54.4% and 53.7% of participants, respectively.
Educational role attributed to school and university was poor (3.4%). CONCLUSION:
Despite apparent satisfactory level of education, HPV prophylactic vaccine
coverage among high school and university students appears to be insufficient.
PMID- 22093440
TI - [Role of embolization in the management of uterine fibroids].
AB - Uterine artery embolization using non spherical PVA particles or calibrated
trisacryl microspheres above 500 MUm is effective to treat menorrhagia, bulk
related symptoms and pelvic pain in more than 90% of cases in the short-term. In
the long-term, embolization is effective in 75% of cases at 5-7 years. At 6
months, uterine volume reduction and dominant fibroid volume reduction varies
between 30-60% and 50-80% respectively. During hospital stay, the complication
rate is 3%. Secondary hysterectomy for complication is less than 2% at 3 months.
Definitive amenorrhea is reported in less than 5% of cases in women of less than
45 years of age. No significant impact of embolization on hormonal function has
been reported in women less than 45 years with normal baseline function.
Secondary hysterectomy for clinical failure or recurrence is reported in 14-28%
of cases at 5 years. Non-spherical PVA particles are associated with more
microcatheter occlusion than trisacryl microspheres. No difference between PVA
particles and trisacryl microspheres was found in terms of post-embolization pain
or analgesic doses. PVA microspheres (Contour SE et Bead Block) are associated
with lower clinical success and lower fibroid devascularization using MRI than
trisacryl microspheres. No difference between PVA particles and trisacryl
microspheres was found in terms of clinical efficacy, uterine volume reduction
and complication rate. Randomized studies comparing embolization to hysterectomy
demonstrate that reinterventions are more frequently performed after
embolization. Secondary hysterectomy is performed in 13 to 24% of cases at 2
years and in up to 28% of cases at 5 years. Hospital stay, duration of recovery
and time off work are shorter after embolization compared to hysterectomy.
Embolization is cheaper than hysterectomy at 12 and 24 months even taking into
consideration the additional costs of imaging and reinterventions. Randomized
studies comparing embolization to myomectomy demonstrate that in the short- and
mid-term there is no difference in terms of control of menorrhagia and bulk
related symptoms. Uterine volume reduction and quality of life were not different
at 6 months. Periprocedural and 30-day complication rates are not different. At 6
months, the rate of complications is higher after myomectomy. Reinterventions are
more frequent after embolization compared to myomectomy. Hospital stay, duration
of recovery and time off work are shorter after embolization compared to
myomectomy. Embolization should be considered with caution in pregnancy-seeking
women since there is still a lack of good quality data available in the specific
group of patients. FSH level is more frequently elevated after embolization
compared to myomectomy. Pregnancy rate and term pregnancy rate are higher after
myomectomy compared to embolization. Spontaneous abortion is more frequent after
embolization than after myomectomy. There is no difference between embolization
and myomectomy for the rates of pre-term delivery, cesarean section, post-partum
hemorrhage, pre-eclampsia or intra-uterine growth retardation. Embolization
performed before myomectomy (preoperative or combined procedures) can be
discussed for an individual patient but there is not enough data to support its
routine use.
PMID- 22093441
TI - The ligamentum flavum at L4-5: relationship with anthropomorphic factors and
clinical findings in older persons with and without spinal disorders.
AB - OBJECTIVE: To examine the relationship between ligamentum flavum thickness and
clinical spinal stenosis. DESIGN: A validation study. SETTING: Clinical research
laboratory. PATIENTS: A total of 119 subjects from the Michigan Spinal Stenosis
Study (MSSS). METHODS: Two new measurement techniques were compared by use of
magnetic resonance images of 4 asymptomatic subjects by 2 examiners. The
technique with the best interrater reliability was then used to measure the
ligamentum flavum at L4-L5 in 119 subjects in the MSSS who, on the basis of
clinical examination without imaging, were thought to have lumbar stenosis,
mechanical back pain, or no pain. These findings were related to other radiologic
findings, demographics, clinical severity, and electrodiagnostic findings. MAIN
OUTCOME MEASUREMENTS: Perpendicular on the inside of the spinal canal from the
deepest point of concavity of the lamina to the edge of the ligament. RESULTS:
The ligamentum flavum width measurement had high interrater (r = 0.774) and
intrarater (r = 0.768) reliability. In 28 asymptomatic volunteers, ligamentum
flavum width averaged 5.72 +/- 0.95 mm, with the left side significantly thinner
than the right (t = 2.117, P = .044), and thicker ligaments with age (r = 0.653,
P < .001). Asymptomatic persons whom radiologists thought had stenosis had
thicker ligaments (t = 2.273, P = .032). Persons with clinical stenosis (n = 48)
and mechanical pain (n = 43) had ligament thickness similar to that of
asymptomatic volunteers. Among patients with clinical stenosis, ligamentum flavum
thickness did not relate to symptom severity (pedometer and laboratory ambulation
tests, Pain Disability Index, and visual analog scale for pain). Most
neurophysiological findings had no relationship with ligamentum flavum width,
except the presence of limb fibrillation potentials related to a thinner ligament
(t = 2.915, P = .004). CONCLUSIONS: The measurement technique is standardized for
the ligamentum flavum for future use. Although the ligamentum flavum appears to
get thicker with age, other factors, including clinical diagnosis, pain, and
function, do not appear to relate to the ligamentum flavum width.
PMID- 22093442
TI - Restraint of appetite and reduced regional brain volumes in anorexia nervosa: a
voxel-based morphometric study.
AB - BACKGROUND: Previous Magnetic Resonance Imaging (MRI) studies of people with
anorexia nervosa (AN) have shown differences in brain structure. This study aimed
to provide preliminary extensions of this data by examining how different levels
of appetitive restraint impact on brain volume. METHODS: Voxel based morphometry
(VBM), corrected for total intracranial volume, age, BMI, years of education in
14 women with AN (8 RAN and 6 BPAN) and 21 women (HC) was performed. Correlations
between brain volume and dietary restraint were done using Statistical Package
for the Social Sciences (SPSS). RESULTS: Increased right dorsolateral prefrontal
cortex (DLPFC) and reduced right anterior insular cortex, bilateral
parahippocampal gyrus, left fusiform gyrus, left cerebellum and right posterior
cingulate volumes in AN compared to HC. RAN compared to BPAN had reduced left
orbitofrontal cortex, right anterior insular cortex, bilateral parahippocampal
gyrus and left cerebellum. Age negatively correlated with right DLPFC volume in
HC but not in AN; dietary restraint and BMI predicted 57% of variance in right
DLPFC volume in AN. CONCLUSIONS: In AN, brain volume differences were found in
appetitive, somatosensory and top-down control brain regions. Differences in
regional GMV may be linked to levels of appetitive restraint, but whether they
are state or trait is unclear. Nevertheless, these discrete brain volume
differences provide candidate brain regions for further structural and functional
study in people with eating disorders.
PMID- 22093443
TI - The relationship between prostate volume and prostate-specific antigen
variability: data from the Baltimore Longitudinal Study of Aging and the Johns
Hopkins Active Surveillance Program.
AB - Study Type--Prognostic (cohort). Level of Evidence 2b. What's known on the
subject? And what does the study add? Previous studies have attempted to
characterize the normal biological variability in PSA among men without prostate
cancer. These reports suggest that PSA variability is unrelated to age, but there
are conflicting data on its association with the baseline PSA level. There are
limited published data regarding the effects of prostate volume on PSA
variability. A prior study assessing whether prostate volume changes would
confound the use of PSA velocity in clinical practice reported that prostate
volume changes were not significantly related to PSA changes. This study did not
directly address the effect of baseline prostate volume on serial PSA
variability. The objective of the current study was to further examine the
relationship between prostate volume and PSA variability. Our hypothesis was that
larger baseline prostate volume would be associated with increased PSA
variability in men without known prostate cancer and in those with suspected
small-volume disease. The results of the study suggest that baseline PSA, not
prostate volume, is the primary driver of PSA variability in these populations.
OBJECTIVE: * To clarify the relationship between serial prostate-specific antigen
(PSA) variability and prostate volume in both cancer-free participants from the
Baltimore Longitudinal Study of Aging (BLSA) and patients with low-risk prostate
cancer from the Johns Hopkins Active Surveillance Program (AS). MATERIALS AND
METHODS: * In all, 287 men from the BLSA and 131 patients from the AS were
included in the analysis, all with at least two PSA measurements and concurrent
prostate volume measurements. * PSA variability was calculated in ng/mL per year,
and a linear mixed-effects model was used to determine the relative effects of
prostate volume, baseline PSA and age on PSA change over time. RESULTS: * In a
model with prostate volume, age and baseline PSA, there was no significant
relationship between prostate volume and PSA variability (BLSA, P= 0.57; AS, P=
0.49). * Only baseline PSA showed a significant relationship to PSA yearly
variability (PSAYV) (P < 0.001). Specifically, a one unit higher baseline PSA
(ng/mL) corresponded on average to 0.09 and 0.06 ng/mL per year higher PSAYV in
the BLSA and AS populations, respectively. CONCLUSIONS: * The results of the
present study suggest that the primary driver of PSA variability is the baseline
PSA level, rather than prostate volume. * Clinicians might consider the baseline
PSA level to help predict the expected variability in serial PSA measurements.
PMID- 22093444
TI - Inhibition of the miR-143/145 cluster attenuated neutrophil differentiation of
APL cells.
AB - MicroRNAs can influence hematopoietic cell lineage commitment and aberrant
expression of hematopoietic miRNAs contributes to AML pathology. We found that
miR-143 and miR-145 expression is significantly repressed in primary AML patient
samples as compared to neutrophils of healthy donors. Further analysis revealed
impaired neutrophil differentiation of APL cells upon inhibition of miR-145
expression. Lastly, we identified p73 as transcriptional regulator of miR-143/145
during neutrophil differentiation of APL cells. Our data suggest that low miR-145
levels in APL, possibly due to aberrant expression of p73 transcription factors,
contribute to the differentiation block seen in this disease.
PMID- 22093445
TI - Epidemiology of injuries due to tropical cyclones in Hong Kong: a retrospective
observational study.
AB - BACKGROUND: Tropical cyclones are huge circulating masses of wind which form over
tropical and sub-tropical waters. They affect an average of 78 million people
each year. Hong Kong is a large urban centre with a population of just over 7
million which is frequently affected by tropical cyclones. We aimed to describe
the numbers and types of injuries due to tropical cyclones in Hong Kong, as well
as their relation to tropical cyclone characteristics. METHODS: The records of
all patients presenting to Hong Kong's public hospital emergency departments from
1st January 2004 to 31st December 2009 with tropical cyclone related injuries
were reviewed and information regarding patient and injury characteristics was
collected. Meteorological records for the relevant periods were examined and data
on wind speed, rainfall and timing of landfall and warning signals was recorded
and compared with the timing of tropical cyclone related injuries. RESULTS: A
total of 460 tropical cyclone related injuries and one fatality across 15
emergency departments were identified during the study period. The mean age of
those injured was 48 years and 48% were female. 25.4% of injuries were work
related. The head (33.5%) and upper limb (32.5%) were the most commonly injured
regions, with contusions (48.6%) and lacerations (30.2%) being the most common
injury types. Falls (42.6%) were the most common mechanism of injury, followed by
being hit by a falling or flying object (22.0%). In univariable analysis the
relative risk of injury increased with mean hourly wind speed and hourly maximum
gust. Multivariable analysis, however, showed that relative risk of injury
increased with maximum gust but not average wind speed, with relative risk of
injury rising sharply above maximum gusts of greater than 20 m/s. Moderate wind
speed with high gust (rather than high average and high gust) appears to be the
most risky situation for injuries. Relative risk of injury was not associated
with rainfall. The majority of injuries (56%) occurred in the 3h before and after
a tropical cyclone's closest proximity to Hong Kong, with relative risk of injury
being highest mid-morning. CONCLUSIONS: In tropical cyclone related injuries in
Hong Kong the head and upper limb are the most commonly affected sites with falls
and being hit by a falling or flying object being the most common mechanisms of
injury. Hourly maximum gust appears to be more important that mean hourly wind
speed in determining risk of injury. These findings have implications for injury
prevention measures and emergency planning in Hong Kong and other regions
effected by tropical cyclones.
PMID- 22093446
TI - Out of control: an associative account of congruency effects in sequence
learning.
AB - The demonstration of a sequential congruency effect in sequence learning has been
offered as evidence for control processes that act to inhibit automatic response
tendencies (Jimenez, Lupianez, & Vaquero, 2009) via unconscious conflict
monitoring. Here we propose an alternative interpretation of this effect based on
the associative learning of chains of sequenced contingencies. This account is
supported by simulations with a Simple Recurrent Network, an associative
(connectionist) model of sequence learning. We argue that the control- and
associative-based accounts differ in their predictions concerning the magnitude
of the sequential congruency effect across training. These predictions are tested
by reanalysing data from a study by Shanks, Wilkinson, and Channon (2003). The
results support the associative learning account which explains the sequential
congruency effect without appealing to control processes (either conscious or
unconscious).
PMID- 22093447
TI - Random KNN feature selection - a fast and stable alternative to Random Forests.
AB - BACKGROUND: Successfully modeling high-dimensional data involving thousands of
variables is challenging. This is especially true for gene expression profiling
experiments, given the large number of genes involved and the small number of
samples available. Random Forests (RF) is a popular and widely used approach to
feature selection for such "small n, large p problems." However, Random Forests
suffers from instability, especially in the presence of noisy and/or unbalanced
inputs. RESULTS: We present RKNN-FS, an innovative feature selection procedure
for "small n, large p problems." RKNN-FS is based on Random KNN (RKNN), a novel
generalization of traditional nearest-neighbor modeling. RKNN consists of an
ensemble of base k-nearest neighbor models, each constructed from a random subset
of the input variables. To rank the importance of the variables, we define a
criterion on the RKNN framework, using the notion of support. A two-stage
backward model selection method is then developed based on this criterion.
Empirical results on microarray data sets with thousands of variables and
relatively few samples show that RKNN-FS is an effective feature selection
approach for high-dimensional data. RKNN is similar to Random Forests in terms of
classification accuracy without feature selection. However, RKNN provides much
better classification accuracy than RF when each method incorporates a feature
selection step. Our results show that RKNN is significantly more stable and more
robust than Random Forests for feature selection when the input data are noisy
and/or unbalanced. Further, RKNN-FS is much faster than the Random Forests
feature selection method (RF-FS), especially for large scale problems, involving
thousands of variables and multiple classes. CONCLUSIONS: Given the superiority
of Random KNN in classification performance when compared with Random Forests,
RKNN-FS's simplicity and ease of implementation, and its superiority in speed and
stability, we propose RKNN-FS as a faster and more stable alternative to Random
Forests in classification problems involving feature selection for high
dimensional datasets.
PMID- 22093448
TI - Treatment of melasma using a novel 1,927-nm fractional thulium fiber laser: a
pilot study.
AB - BACKGROUND: A 1,927-nm wavelength was recently added to the 1,550-nm erbium-doped
fiber laser. This wavelength possesses a higher absorption coefficient for water
than the 1,550-nm, conferring greater ability to target epidermal processes such
as dyschromia. OBJECTIVE: To evaluate the efficacy and safety of a novel 1,927-nm
fractional thulium fiber laser in the treatment of melasma. METHODS: Fourteen
patients underwent three to four laser treatments (at 4-week intervals) at pulse
energies of 10 to 20 mJ and total densities of 252 to 784 microscopic treatment
zones per cm(2) (6-8 passes) using a 1,927-nm thulium fiber laser. Three blinded
assessors and the patients evaluated clinical improvement of treatment areas at 1
, 3-, and 6-month follow-ups. Side effects were assessed, and pain was scored
using a visual analog scale (0-10). RESULTS: A statistically significant 51%
reduction in MASI score was observed at 1-month post 3 to 4 laser treatments. A
33% (p = .06) and 34% (p = .07) reduction in Melasma Area and Severity Index
score was observed at the 3- and 6-month follow-up visits, respectively. Skin
responses observed after treatment were moderate erythema and mild edema. No
scarring or postinflammatory hyper- or hypopigmentation was observed. CONCLUSION:
The 1,927-nm fractional thulium fiber laser is a safe, effective treatment for
melasma.
PMID- 22093449
TI - Development of a novel technique for axenic isolation and culture of
thraustochytrids from New Zealand marine environments.
AB - AIMS: To maintain axenic cultures of commercially important thraustochytrids, a
novel procedure was developed for the isolation of zoospores and sporangium from
heterotrophic seawater samples and axenic culture on solid media. METHODS AND
RESULTS: Thraustochytrid cultures were isolated from Whangapoua Harbour in North
East New Zealand and subjected to two antibiotic and antifungal treatment regimes
designed to eliminate bacteria and fungi. Antibiotic trial 1 was designed to
determine the appropriate combination of antibiotics (including
streptomycin/penicillin, ampicillin, rifampicin, nalidixic acid, tetracycline,
gentamicin and the antifungal agent nystatin). Antibiotic trial 2 determined the
optimal dosing frequency and concentration of the antibiotics, and antifungal
found to be the most promising in trial 1. Axenic cultures were then spread
plated onto nutrient agar containing the optimal antibiotic cocktail, and pure
thraustochytrid colonies were purified on solid media using standard
microbiological techniques. CONCLUSIONS: Removal of bacteria and fungi was best
accomplished using a mixture of three antibiotics and one antifungal; rifampicin
(300 mg l(-1)), streptomycin/penicillin (25 mg l(-1)) and nystatin (10 mg l(-1))
were incorporated in seawater samples and incorporated into cultures every 24 h
for a minimum of 2 days. SIGNIFICANCE AND IMPACT OF THE STUDY: The axenic
isolation and culture of marine thraustochytrids from a marine habitat in New
Zealand have significant implications for the biotechnological development of
these potentially valuable protists. This method has global significance as it is
reasonable to assume it could be used throughout the world to obtain axenic
thraustochytrid cultures.
PMID- 22093450
TI - Glucagon like-peptide 1 receptor and the liver.
PMID- 22093451
TI - Understanding the relationship between PNPLA3, NAFLD and insulin resistance: do
ethnic differences bring more questions or more answers?
PMID- 22093452
TI - Minimal cooperation between mutant Hras and c-myc or TGFalpha in the regulation
of mouse hepatocyte growth or transformation in vivo.
AB - BACKGROUND: Liver carcinogenesis is associated with multiple genetic changes in
affected cells, including alterations in the Hras signalling pathway. AIM: To
define the biological contributions of Hras to mouse hepatocarcinogenesis, we
quantified in vivo interactions between mutant Hras and other genetic alterations
frequently associated with liver cancer, including overexpression of the
transcription factor c-myc and the epidermal growth factor receptor ligand
transforming growth factor alpha (TGFalpha). METHODS: To accomplish this aim, we
initiated expression of an activated Hras in hepatocytes of adult mice with or
without simultaneous overexpression of either c-myc or TGFalpha. Potential
interactions also were assessed through the use of the comparative hepatocyte
growth assay, a hepatocyte transplantation assay that measures effects of altered
gene expression on hepatocyte growth in vivo. RESULTS: Hras expression caused
diffuse liver enlargement (hepatomegaly), and this phenotype was not changed by
coexpression of c-myc or TGFalpha. Using the transplant system, we found that
expression of mutant Hras alone was sufficient to induce hepatocyte focus growth
in a quiescent liver. Paradoxically, adding expression of TGFalpha or c-myc
reversed this Hras effect. Finally, the frequencies of transplant foci with the
preneoplastic feature of extreme growth potential and of liver neoplasms were
increased for Hras and both combinations when compared with control hepatocytes,
but did not differ among oncogene-expressing groups. CONCLUSIONS: Hras-associated
hepatocyte growth deregulation is not complemented by activation of c-myc or
TGFalpha growth signalling pathways in mouse liver. This finding emphasizes the
tissue-specific character of molecular growth regulation.
PMID- 22093453
TI - Different sites of xenoantigen delivery lead to a virally induced late-onset
hepatitis in mice through molecular mimicry.
AB - BACKGROUND: Epidemiological and laboratory evidences led to the hypothesis that
molecular mimicry between viruses and self-proteins could be linked to the onset
of autoimmune hepatitis (AIH). Hepatotropic viruses could be good candidates, as
a pro-inflammatory environment may facilitate the development of AIH. AIMS: The
aims of this study were to test a virus ability to induce an AIH through
molecular mimicry and the influence of hepatic inflammation in this process.
METHODS: C57BL/6 mice were injected i.v. or i.m. with recombinant adenoviral
vectors (RecAdV) encoding for human type 2 AIH antigens to target xenoantigens
expression in the liver and to create a transient hepatitis (i.v.) or for
'peripheral' xenoantigens expression (i.m.). Liver injury and B-cell response
were evaluated. RESULTS: Late-onset hepatitis was observed 8 months after i.v. or
i.m. RecAdV injections, despite presence or absence of an initial transient
hepatitis. Intensity of B-cell response was similar for both type of injections,
but the Ig isotypes produced were different. B-cell autoimmune response spread to
several liver proteins. CONCLUSIONS: Liver autoimmune response can be initiated
using molecular mimicry over a long period of time, validating the hit-and-run
hypothesis. Initial liver inflammatory injury is neither necessary, nor
detrimental to the development of AIH. These results highlight the significance
of initial events on the pathogenesis of autoimmune liver injury.
PMID- 22093454
TI - Prevention of free fatty acid-induced hepatic lipotoxicity by carnitine via
reversal of mitochondrial dysfunction.
AB - BACKGROUND: Mitochondria are the main sites for fatty acid oxidation and play a
central role in lipotoxicity and nonalcoholic steatohepatitis. AIMS: We
investigated whether carnitine prevents free fatty acid (FFA)-induced
lipotoxicity in vitro and in vivo. METHODS: HepG2 cells were incubated with FFA,
along with carnitine and carnitine complexes. Mitochondrial beta-oxidation,
transmembrane potential, intracellular ATP levels and changes in mitochondrial
copy number and morphology were analysed. Otsuka Long-Evans Tokushima Fatty and
Long-Evans Tokushima Otsuka rats were segregated into three experimental groups
and fed for 8 weeks with (i) normal chow, (ii) a methionine choline-deficient
(MCD) diet or (iii) an L-carnitine-supplemented MCD diet. RESULTS: Carnitine
prevented FFA-induced apoptosis (16% vs. 3%, P < 0.05). FFA treatment resulted in
swollen mitochondria with increased inner matrix density and loss of cristae.
However, mitochondria co-treated with carnitine had normal ultrastructure. The
mitochondrial DNA copy number was higher in the carnitine treatment group than in
the palmitic acid treatment group (375 vs. 221 copies, P < 0.05). The carnitine
group showed higher mitochondrial beta-oxidation than did the control and
palmitic acid treatment groups (597 vs. 432 and 395 ccpm, P < 0.05). Carnitine
treatment increased the mRNA expression of carnitine palmitoyltransferase 1A and
peroxisome proliferator-activated receptor-gamma, and carnitine-lipoic acid
further augmented the mRNA expression. In the in vivo model, carnitine-treated
rats showed lower alanine transaminase levels and lesser lobular inflammation
than did the MCD-treated rats. CONCLUSIONS: Carnitine and carnitine-lipoic acid
prevent lipotoxicity by increasing mitochondrial beta-oxidation and reducing
intracellular oxidative stress.
PMID- 22093455
TI - Fecal calprotectin is a useful screening parameter for hepatic encephalopathy and
spontaneous bacterial peritonitis in cirrhosis.
AB - OBJECTIVE: Bacterial translocation, causing intestinal inflammation, is one of
the key mechanisms in the pathogenesis of hepatic encephalopathy (HE) and
spontaneous bacterial peritonitis (SBP) The presence of fecal calprotectin
quantitatively relates to intestinal neutrophil migration and is therefore
considered as a marker of intestinal inflammation. We aimed to assess the role of
fecal calprotectin concentrations (FCCs) in diagnosing the onset and severity of
HE and SBP. METHODS: Sixty-one cirrhotics were prospectively included. Forty-two
subjects served as controls. Several complications of cirrhosis were diagnosed by
reference methods. Stool samples were collected for measuring FCCs. Patients
revealing other causes of abnormal calprotectin results, e.g. gastrointestinal
bleeding or inflammatory bowel disease were excluded. Multivariate analysis of
cirrhosis-associated complications and their relation to FCCs was performed.
RESULTS: Fecal calprotectin concentrations were higher in cirrhotics compared
with controls (P<0.001). Among cirrhotics, FCCs were elevated dependent on the
severity of liver disease as assessed by Child- and model for end-stage liver
disease-scores. The corresponding correlation co-efficients by Spearman's were
0.577 (P<0.001) and 0.303 (P=0.018) respectively. A correlation emerged between
elevated FCCs and HE grading as measured by West-Haven criteria and critical
flicker frequency (both P<0.001; sensitivity=0.94 and 0.93, specificity=0.95 and
0.89 respectively) and SBP (P<0.02; sensitivity=0.71, specificity=0.79). FCCs
were higher in cirrhotic subjects with additional extra-intestinal inflammation
(P<0.01; sensitivity=0.65, specificity=0.8). The Pearsons correlation
coefficients were 0.190 and 0.164 revealing no influence (P=0.142 and P=0.207) of
laboratory parameters of systemic inflammation on FCCs in cirrhotic subgroup.
CONCLUSIONS: Fecal calprotectin concentrations serve as a screening tool for HE
and SBP. Assessment of FCCs may faciliate grading of HE-severity.
PMID- 22093456
TI - Hepatitis E virus: an underdiagnosed cause of chronic hepatitis in renal
transplant recipients.
AB - Hepatitis E virus (HEV) infection can evolve to chronic hepatitis in
immunocompromised patients leading to rapidly progressive cirrhosis. Proper
diagnosis is therefore important, as reducing immunosuppressive therapy can allow
clearance of the virus. We report a case of chronic HEV infection in a renal
transplant recipient that went undiagnosed for many years, discuss the
therapeutic options, and review the current available literature.
PMID- 22093458
TI - [History and current status of research and practices in the prevention and
treatment of chronic diseases in China].
PMID- 22093457
TI - Ultrasound in the evaluation of enthesitis: status and perspectives.
AB - INTRODUCTION: An increasing number of studies have applied ultrasound to the
evaluation of entheses in spondyloarthritis patients. However, no clear agreement
exists on the definition of enthesitis, on the number and choice of entheses to
examine and on ultrasound technique, which may all affect the results of the
examination. The objectives of this study were to first determine the level of
homogeneity in the ultrasound definitions for the principal lesions of enthesitis
in the published literature and second, to evaluate the metric properties of
ultrasound for detecting enthesitis according to the OMERACT filter. METHODS:
Search was performed in PUBMED and EMBASE. Both grey-scale and Doppler
definitions of enthesitis, including describing features of enthesitis, were
collected and metrological qualities of studies were assessed. RESULTS: After
selection, 48 articles were analyzed. The definition of ultrasound enthesitis and
elementary features varied among authors. Grey-scale enthesitis was characterized
by increasing thickness (94% of studies), hypoechogenicity (83%), enthesophytes
(69%), erosions (67%), calcifications (52%), associated bursitis (46%) and
cortical irregularities (29%). Only 46% of studies reported the use of Doppler.
High discrepancies were observed on frequency, type of probe and Doppler mode
used. Face and content validity were the most frequently evaluated criteria (43%)
followed by reliability (29%) and responsiveness (19%). CONCLUSIONS: Ultrasound
has evidence to support face, content validity and reliability for the evaluation
of enthesitis, though there is a lack of well-reported methodology in most of the
studies. Consensus on elementary lesions and standardization of exam is needed to
determine the ultrasound definition of enthesitis in grey-scale and in Doppler
for future applications.
PMID- 22093459
TI - [A review of metabolic syndrome: to give up or carry on further research].
PMID- 22093460
TI - [Research on the influencing factors of dietary behaviors among school students].
PMID- 22093461
TI - [Epidemiological investigation and comparison of three different diagnostic
criteria regarding metabolic syndrome among population age 30 - 80 in Xinjiang
Uigur areas].
AB - OBJECTIVE: To investigate the prevalence of metabolic syndrome (MS) among
Xinjiang Uigur population aged 30 - 80 and to compare the differences of the
three diagnostic criteria used for MS. The three diagnostic criteria were
developed by the National Cholesterol Education Program (ATP III), International
Diabetes Federation (IDF) and Chinese Medical Association Diabetes Branch (CDS).
METHODS: A cross-sectional study was conducted on 2053 Xinjiang Uigur people aged
30 - 80. The prevalence of MS and the degree of agreement were both calculated
according to the three definitions. The results of MS components were also
analyzed. RESULTS: (1) According to the three definitions (ATP III, IDF and CDS),
the prevalence and adjusted prevalence rates of MS were 35.80% (29.64%), 39.41%
(35.88%) and 23.72% (19.17%). (2) According to the three definitions (the ATP
III, IDF and CDS), the prevalence rates of subjects who had at least 3 risk
factors were 98.64%, 97.90% and 92.81%. (3) Among all the subjects with MS, the
MS diagnostic criteria of ATP III and IDF were in good accordance with the Kappa
index (0.7801), Youden index (0.7928) and the concordance rate (0.9026). CDS was
in relatively weak agreement when comparing with other definitions (ATP III and
IDF). CONCLUSION: Our findings revealed big differences regarding the prevalence
rates, the degree of agreement and the aggregation of risk components on MS among
Xinjiang Uigur population. The detection rate of IDF criteria on MS and the
highest while detection rate of ATP III criteria on subjects presented at least 3
risk factors were the highest. The concordance of ATP III criteria and IDF seemed
to be a better one.
PMID- 22093462
TI - [Association between serum nitric oxide and hypertension among 1453 women in
Suzhou].
AB - OBJECTIVE: To investigate the association between serum nitric oxide (NO) and
hypertension among women in Suzhou. METHODS: Blood pressure, height, weight and
waist circumference (WC) were measured and factors including smoking, alcohol
intake, family history of hypertension were investigated and blood glucose, blood
lipid, serum NO were tested among 1453 women aged >= 30 years who lived in
Jinchang district of Suzhou. Association between serum NO and hypertension was
analyzed by univariate and multivariate methods. RESULTS: The mean levels of
serum NO in hypertensive and normotensive persons were 28.17 (17.42 - 45.30)
umol/L and 27.56 (17.19 - 44.42) umol/L, respectively, with no significant
difference between the two groups (P > 0.05). RESULTS: from multivariable
logistic regression analysis showed that low serum NO was not associated with
hypertension, after adjustment for confounders (OR = 0.979, 95%CI: 0.747 -
1.283). The mean levels of systolic blood pressure/diastolic blood pressure were
130.1/83.3, 128.5/82.7, 129.8/83.2 and 129.1/83.3 mm Hg for whose serum NO level
were in the first, second, third and fourth quartile, respectively. The risk of
hypertension did not change along with the elevated serum NO levels. Compared to
the first quartile of serum NO, the risks of hypertension in the second, third
and fourth quartile did not change after adjustment for confounders and OR were
0.988 (0.709 - 1.377), 1.001 (0.720 - 1.390) and 1.077 (0.774 - 1.499),
respectively. CONCLUSION: The serum NO level was not associated with hypertension
in women in Suzhou.
PMID- 22093463
TI - [Estimation on the intangible cost and influencing factors for patients with
hepatitis B-related diseases].
AB - OBJECTIVE: To estimate the intangible cost and associated factors on patients
with hepatitis B-related diseases, so as to explore the differences of the three
elicitation techniques on the 'willingness to pay approach' (WTP). METHODS: Face
to-Face interviews were conducted to collect health economics-related information
by trained investigators, using a structured questionnaire. WTP was employed to
estimate the intangible cost while an open-ended question format, together with
iterative bidding game and payment card were respectively used to elicit WTP for
the hypothetical cure of hepatitis B-related diseases. A Multiple linear stepwise
regression model was determined to identify those factors potentially affecting
the intangible cost. RESULTS: A total of 564 subjects from 641 patients with
hepatitis B-related diseases were identified for the inclusion of this study. The
average annual intangible cost of patient with hepatitis B-related diseases was
54 320.4 Yuan (Ren Minbi). The intangible cost accounted for 53.0% of the total
cost, which was much more than the proportions of the direct and indirect costs
(38.5% and 8.5%, respectively). Among annual personal and the household income of
the patient, proportions of intangible cost were 262.6% and 67.6% respectively,
suggesting that the patients were under huge spiritual and psychological
pressure. Response rate of the approach, combined open-ended questions with
iterative bidding game, was the highest (76.6%) among the three elicitation
formats. Considered the characteristics of data being gathered, the approach
seemed to be more reasonable. Further studies were needed to examine the results
yielded from other WTP elicitation formats. We also noticed that the progression
of disease was associated with the increase of direct and indirect costs, but not
with the intangible cost. Data from the multiple linear stepwise regression
analysis indicated that the types of hospital and commercial medical insurance
were significantly different in explaining the variation of the intangible cost.
CONCLUSION: Measures should be taken to reduce the intangible cost of hepatitis B
related diseases. The approach regarding the combination of open-ended questions
with iterative bidding game should be recommended when carrying our further WTP
studies of this kind.
PMID- 22093464
TI - [A survey on the agricultural profession-related injuries among 11 902 rural
residents in Shandong province].
AB - OBJECTIVE: To understand the prevalence and risk factors of agricultural
activities related injuries among rural residents in Shandong province. METHODS:
A retrospective investigation was conducted among agricultural profession-related
workers in 20 villages with multistage cluster sampling method in Shandong
province. Four times face-to-face interview were conducted by trained
interviewers, including 32 students and local medical personnel under constructed
questionnaires. Accidental injuries occurred in the activities or in the
agricultural profession-related jobs were recorded, from May 1(st)2009 to April
30(st) 2010. Data was input and analyzed by SPSS 13.0 statistical software.
RESULTS: A total of 837 cases reported at least 1 job-related injury out of the
11 902 people who had been surveyed in one year. The crude incidence rate was
7.03% and the standardized incidence rate was 7.36%, higher in males (9.01%) than
in females (4.10%), chi2 = 105.53, P = 0.000. Children and adolescents (<= 14
aged) had the higher incidence rate (9.50%), chi2 = 9.70, P = 0.008. People
working in the area of commercial service related to agricultural products had
the highest incidence rate (12.94%). In particular, those occupations that
related to agricultural construction or materials appeared to have had higher
incidence rates as 16.80% and 15.59% respectively, than other kinds of jobs (chi2
= 167.30, P = 0.000). There were higher proportion of injuries occurred in the
roads (28.79%), in the fields (28.08%)during labor work (38.00%) transportation
(27.97%), respectively. The seasonality of agricultural injures mostly occurred
between June and August, accounted for 47.43%. Major external causes related to
injuries were instruments or tools (31.42%) being used, transportation (24.13%)
and falls (20.19%). Wounds on limbs took the majority (56.39%). The accidental
self-inflicted injury occupied 76.82%, while accidents to passive injuries
occupied 11.47%, other kinds accounted for 11.71%. Most of the accidents caused
mild or moderate damage, accounted for 60.22% and 30.34% respectively. 7 cases
died of injuries, with the fatality rate as 0.84% and the mortality rate was
58.81 per one hundred thousand. 72 cases ended up in disability caused by
injuries, with the morbidity rate as 6.05 per thousand. Answers to the major
internal causes of injuries appeared to be: "did not know how to protect oneself"
(29.87%) with females (45.41%) in particular, followed by"over fatigue" and
"inappropriate treatment" which took the 2(nd) place in males. Major natural
environmental factors of injuries would relate to "high air temperature and
humidity but lower wind velocity" (14.93%), "unclear signs on the country road"
(12.19%), "the sky was cloudy or dark" (10.87%), "slippery road caused by rain or
snow" (10.51%), "kids were unintended" (10.27%) etc. Most of the wounded received
treatment clinically (50.18%), with the percentile (P50) of medical cost as
182.76 RMB (Yuan). The proportion of inpatient was 27.72% and with percentile of
time as P(50) = 7.57 day and cost as P(50) = 2840.00 RMB Yuan. The wounded had a
rest of P50 = 5.9 days, with an indirect cost as P(50) = 233.16 RMB Yuan.
CONCLUSION: The results of this study indicated that the incidence of
agricultural profession-related injuries was high, with serious harm. Behavioral
intervention and awareness of injuries should be enhanced, together with the
improvement of environmental condition.
PMID- 22093465
TI - [An epidemiological survey on the incidence of non-fatal injury and influencing
factors among children under 5 years old in China].
AB - OBJECTIVE: To determine the incidence of non-fatal injuries and related
influencing factors among children under 5 years old in China. METHODS: Data
involving 10 819 children under 5 years old was from the Fourth National Health
Service Survey of China. Injury-related indicators include: history of ever
having had an injury, its frequency, cause, location and severity of the injury.
A two-level Poisson regression was used to examine the significance of related
socio-economic variables. RESULTS: The overall incidence rate of nonfatal
injuries among children under 5 years old was 16.0 per 1000 population in the
prior 12 months. The first three leading causes of non-fatal injuries were falls,
animal bite, fire/burn among children under 1 year old, with the rates as 3.9,
1.8 and 1.8 per 1000 population, respectively. For children aged 1 to 4 years
old, the first three leading causes were animal bite, fall, fire/burn with rates
as 6.5, 6.0 and 2.9 per 1000 population, respectively. 83.0% and 69.0% of last
injuries occurred at home for the above said two age groups. No disability was
found among children younger than 1 year old who suffered from a nonfatal injury
while for the 1 - 4 age group, the disability accounted for 1.0% of injury
induced outcomes. After adjusting other variables, boys had 1.57 times the risk
of injury compared with girls in the 1 - 4 age group (P < 0.05). The differences
on the effects regarding ethnicity, per capita household income, and place were
insignificant (P > 0.05). None of the socio-economic variables was found that
significantly related to the non-fatal injury risk among children under 1 year
old (P > 0.05). CONCLUSION: The incidence of nonfatal injuries among children
under 5 years old was 16.0 per 1000 population in the prior 12 months. The three
leading causes of injuries were animal bite, falls, fire/burn respectively. Home
was the most common place that non-fatal injuries occurred. Boys had a higher
risk of injury compared with girls among children aged 1 to 4 years old and the
difference was significant.
PMID- 22093466
TI - [Study on the status of unintentional injuries in children under age 7 in
Guangzhou].
AB - OBJECTIVE: To understand the prevalence and distribution of unintentional
injuries in children under age 7 in Guangzhou. METHODS: Data was descriptively
analyzed from the Guangzhou Unintentional Injuries Surveillance System among 470
770 children under age 7 living scatter or collectively. RESULTS: The incidence
rates of unintentional injuries among children in Guangzhou was 1.48%, among
which the incidence rates were: living scatter as 1.11% living collectively as
1.66%, living in urban areas as 1.36% in rural as 2.52%. In order, the proportion
of main causes of children's unintentional injuries were as follows: falls
(70.54%), animal injuries (8.48%), burn and scald (5.75%), blunt force injuries
(3.92%), and road traffic injuries (RTIs) (3.48%). The main body parts being
injured were head and face (60.00%), wrist and hand (15.48%), knee and leg
(11.70%), elbow and forearm (4.54%), shoulder and arm (2.99%). The degrees of
children's unintentional injuries were as follows: mild impairment (85.35%),
moderate impairment (9.12%), and severe impairment (0.57%). Places that the
patients went were as follows: hospital outpatient department or emergency room
(49.66%), inpatient department or ICU (2.06%), home and kindergarten (43.34%).
CONCLUSION: The overall incidence rate of children's unintentional injuries under
age 7 in Guangzhou was 1.48%, with main causes as fall, animal injuries, burn and
scald, blunt force injuries, and RTIs. There were considerable differences of
characteristics and distributions on age and areas among the patients.
PMID- 22093467
TI - [A cross-sectional study on health-related knowledge and skills and its
influencing factors among the Chinese college students].
AB - OBJECTIVE: To investigate the status on health-related knowledge and skills among
the college students. METHODS: 5070 Chinese students from 28 universities or
colleges were sampled, using the multiple-stage stratified, purposive and
convenient mixed sampling method. A cross-sectional investigation on health
literacy was conducted with self-designed questionnaire, and SPSS 13.0 was used
to statistically analyze the data. RESULTS: The average scores on health-related
related knowledge and skills among the subjects was 74.139 +/- 12.0223, with
38.4% on basic health- related literacy (scores >= 80). The rate (1.1%) of
passing the margin line set for the awareness on chronic disease prevention
appeared to be the lowest. And there noticed significant differences (P < 0.05)
in different regions, types, and genders on the basic health-related knowledge
and skills as well as on the prevalence rates of total and each dimensional
health-related literacy among universities and colleges. Regions and types of
universities and colleges were the main influencing factors on the levels of
health-related knowledge and skills among the college students. CONCLUSION: The
prevalence of basic health-related knowledge and skills was low and the
prevalence rates of health-related knowledge and skills were differently
distributed among student populations under study.
PMID- 22093468
TI - [Acceptability and influencing factors of pre-exposure prophylaxis among men who
have sex with men in Guangxi].
AB - OBJECTIVE: To study the acceptability of pre-exposure prophylaxis (PrEP) to
prevent the transmission of HIV among men who have sex with men (MSM) in Guangxi,
China. METHODS: Snow-balling methods were used to recruit 650 MSM in Guangxi.
Questionnaires and interview were administrated to these 650 men, using a self
designed questionnaire and face to face interviews to collect information on HIV
related risk behaviors, knowledge and acceptability of PrEP. RESULTS: After an
introduction on PrEP by interviewers, followed by as the statement-'If PrEP was
effective, safe and free of charge', 597 (91.9%) of the 650 MSM claimed that they
would accept it, with the main reason as the recognition of 'PrEP can decrease
the risk of HIV infection'. For those who refused to use it, most of them said
that were afraid of the side-effect and doubted on the effectiveness of PrEP.
Data from logistic regression analysis showed that those who had found partners
through friends (OR = 6.21, P = 0.020) and those who would advise his friend to
use PrEP (OR = 39.32, P = 0.000) were more likely to accept PrEP. Those who
thought they could protect themselves from HIV infection (OR = 0.32, P = 0.010)
or not having sex with the ones who refused to use a condom (OR = 0.34, P =
0.010) were less likely to accept PrEP. CONCLUSION: Effectiveness, safety and
cost seemed to be the main influential factors related to the acceptability of
PrEP. Peer education might improve the acceptability of PrEP.
PMID- 22093469
TI - [Surveillance on Salmonella infection in Guangdong province, 2008 - 2009].
AB - OBJECTIVE: To understand the infection of Salmonella (S.) in patients with
diarrhea and outbreaks caused by Salmonella to identify the serotypes, resistance
to antibiotics and PFGE types of the strains from the surveillance program in
Guangdong province. METHODS: S. strains from patients with diarrhea were
detected, and all the positive strains collected in routine and outbreak
surveillance programs, were tested by serum agglutination, antibiotic
susceptibility and PFGE. RESULTS: 71 S. strains were isolated from 1922 stool
samples in 2008, with positive rate as 3.7%. 85 S. strains were isolated from
2110 stool samples in 2009, with positive rate as 4.0%. All the 156 strains were
divided into 37 serotypes, with S. serotype typhimurium and enteritidis as the
most common serotypes. 10 incidents of food poisoning were detected, of which 4
were caused by enteritidis and 3 by typhimurium. A suspected outbreak by
enteritidis was discovered and under epidemiological investigation. The findings
indicated that 2 of the 4 patients from this outbreak were infected with
identical enteritidis isolates. 80% of the 229 isolates were found susceptible to
cephalosporins and quinolone and 59.3% of them were multiresistant to the
antibiotics. CONCLUSION: S. enteritidis and S. typhimurium were the most common
serotypes that caused infectious diarrhoea and food poisoning in Guangdong
province.
PMID- 22093470
TI - [The spatial distribution and dynamics of human rabies cases at the county level
in Henan province].
AB - OBJECTIVE: To study the spatial distribution and dynamics of human rabies cases
at the county level, in Henan province to provide scientific evidence for the
development of control program on rabies. METHODS: Data of human rabies cases at
the county level from 2004 to 2010 in Henan province were analyzed by Poisson
distribution and negative binomial distribution. Data calculation was conducted
manually. RESULTS: According to the level of alpha = 0.05 being set, there were
three different results appeared:the first was fitted negative binomial
distribution in 2004, 2005, 2007 and 2009; the second was prioritized negative
binomial distribution, but the poisson distribution could not be excluded in 2008
and 2010;the last one was fitted neither negative binomial distribution nor
poisson distribution in 2006. By the clustering parameter k, the clustering
degree at county level decreased from 2004 to 2008, then ascending in 2009 but
descending again in 2010. The degree of clustering showed a positive correlation
with the county mean cases in the prevalent counties (r = 0.807, P = 0.028).
CONCLUSION: As a whole, the distribution of human rabies at county level in Henan
from 2004 to 2010 showed negative binomial distribution and presented the spatial
clustering. However, the degree of clustering decreased in recent years and
showed that the infection resource was possibly scattered more evenly at the
county level.
PMID- 22093471
TI - [Burden of disease regarding paratyphoid fever A - based on the Syndromic
Surveillance System on Fever].
AB - OBJECTIVE: To evaluate the burden of paratyphoid fever A in Hongta district, Yuxi
city, Yunnan province from May 1, 2008 to April 30, 2009 so as to provide
information for the development of comprehensive intervention measures. METHODS:
Based on the Fever Syndromic Surveillance System, information as attendance rate
of patients with fever, rate of patients being sampled, laboratory testing rate,
sensitivity on the detection of blood culture and the rate of case reporting etc.
were calculated. According to the pyramid model of food-borne disease on disease
burden, the local actual incidence of paratyphoid fever A was estimated and
analyzed. RESULTS: Under the Fever Syndromic Surveillance System, there were 6642
fever cases being detected, among whom 6570 cases were sampled and undergone
testing, with the sampling rate as 98.92% and all the samples received laboratory
testing. There were 354 positive cases of paratyphoid fever A reported, all from
the Hongta district. Data showed that the attendance rate of the feverish
patients was 73.53%, with the highest rate seen in whose under 10 years old
(100%). Assumed that the sensitivity of paratyphoid fever blood culture was 70%,
and the case reporting rate was 90%, we estimated that the annual incidence of
paratyphoid fever A in Hongta was 220.33 (95%CI: 170.1 - 521.4) per 100 thousand,
with 965 (95%CI: 745 - 2284) as new cases. Among all the age groups, the
incidence in the age group from 15 to 44 years old was estimated to be at the
highest (318.27 per 100 thousand). CONCLUSION: Hongta seemed to be an endemic
region for paratyphoid fever A, with the highest incidence occurred in the age
group of between 15 and 44 years old. These findings highlighted the urgent need
to carry out further investigation on the risk factors and to implement targeted
effective prevention and control measures.
PMID- 22093473
TI - [Distribution of Staphylococcus aureus strains colonized in healthy community
population and molecular epidemiological characteristics for MRSA strains].
AB - OBJECTIVE: To investigate the nasal colonization of Staphylococcus (S.) aureus
strains among medical university students in Shenyang and to study the molecular
epidemiological characteristics of methicillin resistant S. aureus (MRSA)
strains. METHODS: Sterilized nasal swabs were used to collect nasal bacteria from
both nares of the students. Nasal specimens were further identified as S. aureus
strains, sensitive or resistant to methicillin through a series of tests.
Molecular related methods including staphylococcal cassette chromosome mec
(SCCmec) typing, pulsed- field gel electrophoresis (PFGE), coagulase isotyping
and minimum inhibitory concentration (MIC) determination etc. were used to
characterize the isolates. Prevalence of the panton-valentine leukocidin (pvl)
genes (lukS and F-PV) among the isolates was also assessed. RESULTS:
Staphylococci were found in 488 specimens from 977 participants through the
surveillance program, conducted in 2009. Of the 488 specimens being tested, 364
were identified as coagulase-negative staphylococci (CoNS) and 124 as S. aureus.
MRSA strain among the S. aureus isolates was accounted for 3.4%. In the
surveillance program conducted in 2010, staphylococci grew in 310 specimens from
657 participants. Of the 310 specimens tested, 195 were identified as CoNS and
115 as S. aureus. The percentage of MRSA strains among the S. aureus isolates was
7.7%. In total, 239 students carried S. aureus, and the percentage of MRSA
carriers among the total specimens tested in this study was 5.1%. Most of the
MRSA strains could be classified into one of the five types of SCCmec elements.
Type IV a SCCmec strains were most frequent seen overall (10 isolates). A total
of 11 pulsotype were identified among the MRSA strains and were classified into 7
major groups (A to G) by the mutual correlations of their banding patterns. Ten
MRSA strains were identified as pvl positive strains. CONCLUSION: An MRSA clone
(IV a SCCmec pulsotype A) carrying pvl toxin gene was found to be prevalent in
the nares of the healthy university students.
PMID- 22093472
TI - [A norovirus-borne outbreak caused by contaminated bottled spring water in a
school, Zhejiang province].
AB - OBJECTIVE: To study a local hospital reported acute gastroenteritis in a boarding
school on its source of infection, mode of transmission and risk factors of the
infection. METHODS: A suspected case was defined as who had developed diarrhea
(>= 3 times/day) or vomiting among teachers or students of the school, during
April 19 - 30, 2010. A confirmed case was from a probable case plus tested
positive for norovirus in stool specimens by using RT-PCR. Stool specimens of
cases and environmental specimens were collected for laboratory diagnosis. In a
case-control study, we compared exposures to sources of bottled water,
consumption of bottled water, and hygienic habits of 220 probable or confirmed
cases from April 21 - 23 in the peak of the outbreak, together with another 220
controls, with frequency-matched by school grade. RESULTS: 20.3% of the 1536
students but none of the teachers developed the disease. 98.6% of the cases (n =
217) and 85.5% (n = 188) of the controls had drunk bottled water in the classroom
(OR(M-H) = 12.3, 95%CI: 3.7 - 40.9). 47.9% (n = 104) of the cases and 41.5% (n =
78) of the controls had drunk unboiled bottled water in classroom (OR(M-H) = 3.8,
95%CI: 1.5 - 9.6). 47.9% (n = 104) of the cases and 48.4% (n = 91) of the
controls had drunk bottled mixed water (boiled and unboiled) in the classroom
(OR(M-H) = 2.8, 95%CI: 1.1 - 7.0). Stool specimens from 3 cases and one bottle of
uncovered bottled water in classroom showed positive of having norovirus genotype
II. Coliforms was cultured much higher rates than standard deviations in the
bottled water. The factory making the bottled water was not licensed or having
strict disinfection facilities. CONCLUSION: Bottled spring water contaminated by
norovirus was responsible for this outbreak.
PMID- 22093474
TI - [Immunogenicity and safety of DTaP-IPV//PRP-T combined vaccine in infants in
China].
AB - OBJECTIVE: The aim of this study was to demonstrate the immunogenicity and safety
of diphtheria, tetanus, pertussis (acellular, component), poliomyelitis
(inactivated) vaccine (adsorbed) and Haemophilus influenzae type b conjugate
vaccine (DTaP-IPV//PRP-T) combined vaccine compared with commercially available
DTaP (diphtheria, tetanus and pertussis), Haemophilus influenzae type b (Hib),
tetanus conjugate and IPV monovalent vaccine. METHODS: Subjects were randomly
divided into three groups, Group A and Group B were DTaP-IPV//PRP-T combined
vaccine (PENTAXIM(TM)) vaccinated at 2, 3, 4 months of age or 3, 4, 5 months of
age respectively; Group C was commercially available DTaP. Hib tetanus conjugate
(Act-HIB(TM)) and IPV (IMOVAX PolioTM(TM)) vaccines vaccinated at 3, 4, 5 months
of age. All groups received booster dose at 18 to 20 months of age, with antibody
titers tested. Non-inferiority analysis was demonstrated in terms of
seroprotection/seroconversion rates between Group A, Group B respectively and
Group C. Safety information was collected after each vaccination to assess the
safety of investigational vaccines. RESULTS: The non-inferiority of DTaP-IPV//PRP
T combined vaccine vaccinated at 2, 3, 4 or 3, 4, 5 months of age versus DTaP,
Hib tetanus conjugate and IPV vaccine was demonstrated for all vaccine antigens
in both primary and booster phases in terms of seroprotection/seroconversion
rates. DTaP-IPV//PRP-T combined vaccine was well tolerated. The rate of
solicited/unsolicited severe adverse reactions was very low and similar to the
control vaccines. CONCLUSION: DTaP-IPV//PRP-T combined vaccine was highly
immunogenic with good safety profile in Chinese infants, which was comparable to
the commercially available control vaccines.
PMID- 22093475
TI - [Risk factors on the recurrence of ischemic stroke and the establishment of a
Cox's regression model].
AB - OBJECTIVE: To investigate the risk factors and establish the Cox's regression
model on the recurrence of ischemic stroke. METHODS: We retrospectively reviewed
consecutive patients with ischemic stroke admitted to the Neurology Department of
the Hebei United University Affiliated Hospital between January 1, 2008 and
December 31, 2009. Cases had been followed since the onset of ischemic stroke.
The follow-up program was finished in June 30, 2010. Kaplan-Meier methods were
used to describe the recurrence rate. Monovariant and multivariate Cox's
proportional hazard regression model were used to analyze the risk factors
associated to the episodes of recurrence. And then, a recurrence model was set
up. RESULTS: During the period of follow-up program, 79 cases were relapsed, with
the recurrence rates as 12.75% in one year and 18.87% in two years. Monovariant
and multivariate Cox's proportional hazard regression model showed that the
independent risk factors that were associated with the recurrence appeared to be
age (X1) (RR = 1.025, 95%CI: 1.003 - 1.048), history of hypertension (X2) (RR =
1.976, 95%CI: 1.014 - 3.851), history of family strokes (X3) (RR = 2.647, 95%CI:
1.175 - 5.961), total cholesterol amount (X4) (RR = 1.485, 95%CI: 1.214 - 1.817),
ESRS total scores (X5) (RR = 1.327, 95%CI: 1.057 - 1.666) and progression of the
disease (X6) (RR = 1.889, 95%CI: 1.123 - 3.178). Personal prognosis index (PI) of
the recurrence model was as follows: PI = 0.025X1 + 0.681X2 + 0.973X3 + 0.395X4 +
0.283X5 + 0.636X6. The smaller the personal prognosis index was, the lower the
recurrence risk appeared, while the bigger the personal prognosis index was, the
higher the recurrence risk appeared. CONCLUSION: Age, history of hypertension,
total cholesterol amount, total scores of ESRS, together with the disease
progression were the independent risk factors associated with the recurrence
episodes of ischemic stroke. Both recurrence model and the personal prognosis
index equation were successful constructed.
PMID- 22093476
TI - [Occupational and residential exposure to electric and magnetic field and its
relationship on acute myeloid leukemia in adults - A Meta-analysis].
AB - OBJECTIVE: Studies had suggested that risk of leukemia might be associated with
occupational or residential exposures to electromagnetic fields and varied at
distance to and level of the exposure or type of occupations. Through pooled
analyses, etiologic insight on the associations between exposure and disease
might be explained. METHODS: We carried out a Meta-analysis based on primary data
(1980 - 2010) from 9 studies related to the electric and magnetic fields exposure
and acute myeloid leukemia in adults to assess whether the combined results,
adjusted for potential confounding, would indicate an association between them.
RESULTS: In this study the overall estimated OR value was 1.24 (95%CI: 1.11 -
1.37). The odds ratios for exposure categories of 0.1 - 0.2 uT, >= 0.2 uT,
compared with < 0.1 uT, were 1.17 (95%CI: 0.98 - 1.39) and 1.51 (95%CI: 1.15 -
1.98), respectively. CONCLUSION: Through employing the alternate cut points,
stratification by level of exposure or distance and the relation on different
ways of exposure, there appeared consistent evidence of increased risk between
acute myeloid leukemia in adults and the extremely low frequency-electromagnetic
to field exposure.
PMID- 22093477
TI - [Comparison on the efficacy of biphasic insulin aspart 30 and premixed human
insulin 30/70 through continuous glucose monitoring system].
AB - OBJECTIVE: To compare the blood glucose levels and variability of premixed
insulin aspart (BIAsp 30) with human insulin premix (BHI 30) used in a twice a
day injection regimen in elderly type 2 diabetes patients. METHODS: 52 cases of
inadequate glycemia controlled by oral anti-diabetic drugs were randomly divided
into two groups, treated on a twice-daily regimen with BIAsp 30 (n = 26) and BHI
30 (n = 26) respectively. After achieving the target goal, a continuous glucose
monitoring system (CGMS) was used to compare the blood glucose levels, blood
glucose fluctuant coefficient (BGFC), postprandial glucose excursion (PPGE), and
occurrence of hypoglycemia. RESULTS: BIAsp 30 was as effective as BHI 30 in
control glycaemia. Detected by CGMS, there was no statistical differences in
blood glucose levels among pre-three main meals, post-lunch and the mean blood
glucose (MBG) (all P > 0.05). The BGFC levels were significantly lower in the
BIAsp 30 group than in the BHI 30 group [(1.69 +/- 0.42) mmol/L vs. (2.07 +/-
0.51) mmol/L, t = -3.013, P < 0.01]. The blood glucose increment over breakfast,
dinner and the percentage of time at hyperglycaemia (BG > 11.1 mmol/L) were lower
in the BIAsp 30 group than in the BHI 30 group [(2.89 +/- 1.32) mmol/L vs. (3.83
+/- 1.18) mmol/L, t = -2.705, P < 0.01; (2.69 +/- 1.37) mmol/L vs. (3.55 +/-
1.40) mmol/L, t = -2.232, P < 0.05; (6.21 +/- 6.04)% vs. (10.01 +/- 6.80)%, t =
2.132, P < 0.05]. The frequency of hypoglycemia was lower in the BIAsp 30 group
than in the BHI 30 group, but there was no statistical difference (P > 0.05).
CONCLUSION: Pre-meal injection of BIAsp 30 in a twice-daily regimen could
significantly improve the control of postprandial glucose level and reduce the
overall glucose excursions so as to lower the risk of hypoglycaemia when compared
to BHI 30.
PMID- 22093478
TI - [Application of Susceptible-Infected-Recovered model in dealing with an outbreak
of acute hemorrhagic conjunctivitis on one school campus].
AB - To simulate intervention measures in controlling an outbreak of acute hemorrhagic
conjunctivitis on one school campus by using the Susceptible-Infected-Recovered
(SIR) model, to provide evidence for preparedness and response to the epidemic.
Classical SIR model was used to model the epidemic. Malthusian exponential
decline method was employed to estimate the infective coefficient beta for
interventions. The initial value of parameters was determined based on empirical
data. The modeling was implemented using Matlab 7.1 software. Without
interventions, the outbreak was expected to experience three phrases: (1) early
stage (the first 5 days) in which the epidemic developed slowly and could be
intervened easily; (2) rapid growing stage (6 - 15 days) in which the number of
infected cases increased quickly and the epidemic could not be well controlled;
and (3) medium and late stage (16 days and later) in which more than 90% of the
susceptible persons were infected but the intervention measures failed to prevent
the epidemic. With the implementation of interventions, the epidemic was
predicted to be controlled in the early stage, under the SIR model. The
simulation based on the SIR model kept an acceptable consistency with the actual
development of epidemic after the implementation of intervention measures. The
SIR model seemed effective in modeling interventions to the epidemic of acute
hemorrhagic conjunctivitis in the schools.
PMID- 22093479
TI - [Progress on using folic acid to prevent neural tube defects].
PMID- 22093480
TI - In vitro effects of RU486 on proliferation and differentiation capabilities of
human bone marrow mesenchymal stromal cells.
AB - Although exogenous glucocorticoids (GC) play a role in the regulation of bone
marrow mesenchymal stem/stromal cells (MSCs) proliferation and differentiation,
the function of endogenous GC is not well understood. The purpose of this study
was to investigate the effect of the blockage of endogenous GC using RU486, an
antagonist of the glucocorticoid receptor, on the in vitro proliferation and
differentiation capabilities of human MSCs. We quantitatively measured cell
proliferation of human MSCs after treatment with increasing concentrations of
RU486. We also evaluated multiple MSC differentiation capabilities, as well as
the expression of stemness and senescence genes after proliferation of these
human cells in vitro in the presence of RU486 at 10(-8)M. It was observed that
RU486 treatment significantly increases the proliferation of human MSCs, although
the optimal dose of RU486 for this increase in proliferation differs depending on
the gender of the MSC donor. This improvement in MSC proliferation with RU486
treatment was higher in MSCs from male donors than that from females. No effect
of RU486 on MSC proliferation was observed in a steroid-free medium. RU486
pretreatment significantly increased the expression of mRNA for alkaline
phosphatase in human MSCs and the mRNA expression of osteocalcin of these cells
up-regulated earlier after their exposure to osteogenic differentiation medium.
Although no statistical significance in terms of chondrogenic differentiation
markers was detected, mRNA expression for aggrecan and collagen type 2 were
higher in a majority of the RU486-pretreated donor MSCs than their untreated
controls. No significant difference in terms of MSC adipogenic differentiation
capabilities were observed after RU486 treatment. RU486 treatment up-regulated
the expressions of FGF-2 and Sox-11 in human MSCs. These results indicate that
blockage of endogenous GCs may be developed as a novel approach to effectively
improve the proliferation and osteochondral differentiation capabilities of human
MSCs for potential clinical applications. Additional studies will be required to
determine the potential long-term effects of RU486 treatment on these bone marrow
cells.
PMID- 22093481
TI - Non-classic androgen actions in Sertoli cell membrane in whole seminiferous
tubules: effects of nandrolone decanoate and catechin.
AB - Studies show a mechanism of action of testosterone, nandrolone and catechin as
agonists of the membrane androgen receptor. The aim of this work is to
investigate the non-classical effect of androgens and catechin in Sertoli cells
from immature rats. The membrane potential of Sertoli cells in whole seminiferous
tubules was recorded using a standard single microelectrode technique. It was
performed a topical application of testosterone (1 MUM), nandrolone (0.1, 0.5 and
1 MUM) and the flavonoid catechin (0.1, 0.5 and 1 MUM) alone and also after
infusion with flutamide (1 MUM), diazoxide (100 MUM) or U73122 (1 MUM). The
immature testes were incubated for 5 min in KRb with (45)Ca(2+), with or without
nandrolone (1 MUM). The results were given as mean+/-SEM. The data were analyzed
using ANOVA for repeated measures with Bonferroni post-test. Testosterone
produces a depolarization in the membrane potential at 120 s after application.
Catechin (1 MUM) and nandrolone (1 MUM) have shown a similar response to
testosterone: depolarization at 120 s after the application. The same response of
catechin and nandrolone was observed at different doses. The effects of
testosterone, catechin and nandrolone were not affected after perfusion with
flutamide. Perfusion with diazoxide and U73122 nullified the effect of nandrolone
(1 MUM) and catechin (1 MUM). Nandrolone and testosterone increased (45)Ca(2+)
uptake with or without flutamide within 5min. These results indicate that
nandrolone and catechin act through a receptor on the plasmatic membrane, as well
as testosterone, showing a non-classical pathway in Sertoli cells from immature
rat testes.
PMID- 22093482
TI - Estrogen receptor dependent gene expression by osteoblasts - direct, indirect,
circumspect, and speculative effects.
AB - Hormone activated estrogen receptors (ERs) have long been appreciated as potent
mediators of gene expression in female reproductive tissues. These highly
targeted responses likely evolved from more elemental roles in lower organisms,
in agreement with their widespread effects in the cardiovascular, immunological,
central nervous, and skeletal tissue systems. Still, despite intense
investigation, the multiple and often perplexing roles of ERs retain significant
attention. In the skeleton, this in part derives from apparently opposing effects
by ER agonists on bone growth versus bone remodeling, and in younger versus older
individuals. The complexity associated with ER activation can also derive from
their interactions with other hormone and growth factor systems, and their direct
and indirect effects on gene expression. We propose that part of this complexity
results from essential interactions between ERs and other transcription factors,
each with their own biochemical and molecular intricacies. Solving some of the
many questions that persist may help to achieve better, or better directed, use
of agents that can drive ER activation in focused and possibly tissue restricted
ways.
PMID- 22093483
TI - Rapid characterization and identification of steroidal alkaloids in Sarcococca
coriacea using liquid chromatography coupled with electrospray ionization
quadropole time-of-flight mass spectrometry.
AB - Rapid characterization of 23 pregnane-type steroidal alkaloids was studied using
a positive ion electrospray ionization quadropole time-of-flight mass
spectrometry (ESI-QqTOF-MS/MS) hybrid instrument. ESI-QqTOF-MS (positive ion
mode) showed the presence of the protonated molecules [M+H](+) which through low
energy collision-induced dissociation tandem mass spectrometric (CID-MS/MS)
analysis showed the characteristic loss of dimethylamine moiety [M+H-45](+)
followed by the sequential lossess of attached substituents. Steroidal alkaloids
having tigloyl or senecioyl group at C-3 produced diagnostic fragment ions at m/z
100 and 83. Our study also demonstrates the influence of unsaturation, and number
and nature of substitutents on product ion abundance and fragment ions. Moreover,
the generalization of the fragmentation pattern was linked with the structural
features in steroidal skeleton. This strategy was successfully applied in LC-ESI
QqTOF-MS/MS analysis of Sarcococca coriacea extract to investigate and
characterize pregnane-type steroidal alkaloids in complex mixture.
PMID- 22093484
TI - VDR dependent and independent effects of 1,25-dihydroxyvitamin D3 on nitric oxide
production by osteoblasts.
AB - 1,25-Dihydroxyvitamin D(3) (1,25(OH)(2)D(3)) strongly mediates bone mass.
Mechanical stimulation also affects bone mass, partly via enhancing nitric oxide
(NO) production by osteoblasts. We aimed to determine whether 1,25(OH)(2)D(3)
affects NO production by osteoblasts in the presence or absence of mechanical
stimulation. We hypothesised that 1,25(OH)(2)D(3) stimulates NO production via
nuclear actions of the vitamin D receptor (VDR), which requires hours of
incubation with 1,25(OH)(2)D(3) to occur. MC3T3-E1 osteoblasts and long-bone
osteoblasts of adult wildtype and VDR(-/-) mice were pre-incubated for 24h with
or without 1,25(OH)(2)D(3) (10(-13)-10(-9)M), followed by 30 min pulsating fluid
flow (PFF; 0.7+/-0.3 Pa, 5 Hz) or static culture with or without 1,25(OH)(2)D(3).
NO production and NO synthase (NOS) expression were quantified. 10(-11)M
1,25(OH)(2)D(3) for 24h, but not 30 min, stimulated NO production by MC3T3-E1
osteoblasts (eightfold). 1,25(OH)(2)D(3) for 24h increased inducible-NOS gene
expression (twofold), suggesting that 1,25(OH)(2)D(3) stimulated NO production
via activation of NOS gene transcription. PFF rapidly increased NO production by
MC3T3-E1 osteoblasts, wildtype osteoblasts, and VDR(-/-) osteoblasts. This PFF
effect was abolished after incubation with 1,25(OH)(2)D(3) for 24h, or during PFF
only. Our results suggest that 1,25(OH)(2)D(3) stimulates inducible-NOS
expression and NO production by osteoblasts in the absence of mechanical
stimulation, likely via genomic VDR action. In contrast, 1,25(OH)(2)D(3) may
affect mechanical loading-induced NO production independent of genomic VDR
action, since 1,25(OH)(2)D(3) diminished PFF-induced NO production in VDR(-/-)
bone cells. In conclusion, 1,25(OH)(2)D(3) and mechanical loading interact at the
level of mechanotransduction, whereby 1,25(OH)(2)D(3) seems to act independently
of VDR genomic mechanism.
PMID- 22093485
TI - Effect of intensive counselling on the quality of dietary fats in pregnant women
at high risk of gestational diabetes mellitus.
AB - As part of a feasibility study to prevent gestational diabetes mellitus (GDM), we
evaluated the effect of an intensive dietary therapy on quality of diet, weight
gain and birth weight in women at high risk of GDM. Women with risk factors for
GDM (n 54) were randomly assigned from April 2005 to May 2006 to a lifestyle
intervention group (n 27) including dietary advice six times during pregnancy or
to a close follow-up group (n 27) in a community-based setting in Finland.
Dietary intake was recorded three times during pregnancy using 4 d food records.
The main outcome was the incidence of GDM. The secondary outcomes were the
changes in nutrient intake, weight gain and birth weight. Overall, seventeen (65
%) women in the intervention group and eighteen (69 %) women in the close follow
up group returned all three food records. PUFA intake increased (P = 0.008)
during pregnancy in the intervention as compared to the close follow-up group.
There were no clear differences in the changes of saturated fat or fibre intake
between the groups. Intensive dietary education resulted in a somewhat lower
weight gain during pregnancy (P = 0.062) and higher birth weights of the infants
(P = 0.047) without an effect on macrosomia as compared to the close follow-up
group. Individualised counselling by a clinical nutritionist as part of a
lifestyle intervention improved the quality of dietary fat intake in pregnant
women at high risk of GDM.
PMID- 22093486
TI - Effect of delaying surgery on radical prostatectomy outcomes: a contemporary
analysis.
AB - Study Type - Therapy (case series). Level of Evidence 4. What's known on the
subject? and What does the study add? For patients electing surgical treatment,
the question of the effect of surgical delay on clinical outcomes in prostate
cancer is controversial. In this study we examined the effect of delay from
diagnosis to surgery on outcomes in men with localized prostate cancer and found
no association between time to surgery and risk of biochemical recurrence, even
for patients with longer delays and high-risk disease. Men with localized
prostate cancer can be reassured that reasonable delays in treatment will not
influence disease outcomes. OBJECTIVE: * To examine the effect of time from last
positive biopsy to surgery on clinical outcomes in men with localized prostate
cancer undergoing radical prostatectomy (RP). PATIENTS AND METHODS: * We
conducted a retrospective review of 2739 men who underwent RP between 1990 and
2009 at our institution. * Clinical and pathological features were compared
between men undergoing RP <= 60, 61-90 and >90 days from the time of prostate
biopsy. * A Cox proportional hazards model was used to analyse the association
between clinical features and surgical delay with biochemical progression.
Biochemical recurrence (BCR)-free rates were assessed using the Kaplan-Meier
method. RESULTS: * Of the 1568 men meeting the inclusion criteria, 1098 (70%),
303 (19.3%) and 167 (10.7%) had a delay of <= 60, 61-90 and >90 days,
respectively, between biopsy and RP. A delay of >60 days was not associated with
adverse pathological findings at surgery. * The 5-year survival rate was similar
among the three groups (78-85%, P= 0.11). * In a multivariate Cox model, men with
higher PSA levels, clinical stages, Gleason sums, and those of African-American
race were all at higher risk for developing BCR. * A delay to surgery of >60 days
was not associated with worse biochemical outcomes in a univariate and
multivariate model. CONCLUSIONS: * A delay of >60 days is not associated with
adverse pathological outcomes in men with localized prostate cancer, nor does it
correlate with worse BCR-free survival. * Patients can be assured that delaying
treatment while considering therapeutic options will not adversely affect their
outcomes.
PMID- 22093487
TI - How plants cope with temperature stress.
AB - A cold night can follow a hot day, and because they cannot move, plants subjected
to such temperature fluctuations must acclimate on the basis mainly of pre
existing proteins. Zhang et al. report in a paper in BMC Plant Biology, however,
that heat-induced cell death results from transcriptional activation of a kinase
related to disease resistance factors and leading to a localized hypersensitive
response. This specialized response reflects the failure of adaptations that
normally enable plants to survive over a remarkable temperature range, by
mechanisms that are not fully understood.
PMID- 22093488
TI - The impact of buprenorphine on treatment of opioid dependence in a Medicaid
population: recent service utilization trends in the use of buprenorphine and
methadone.
AB - BACKGROUND: Buprenorphine provides an important option for individuals with
opioid dependence who are unwilling or unable to attend a licensed methadone
opioid agonist treatment program to receive opioid agonist therapy (OAT). Little
empirical information is available, however, about the extent to which
buprenorphine has increased the percentage of opioid dependent individuals
receiving OAT, nor to what extent buprenorphine is being used in office based
settings. METHODS: Using administrative data from the largest Medicaid managed
behavioral health organization in a large mid-Atlantic state, we used
multivariate regression to examine rates and predictors of opioid agonist use and
treatment setting for 14,386 new opioid dependence treatment episodes during 2007
2009. RESULTS: Despite an increase in the use of buprenorphine, the percentage of
new treatment episodes involving OAT is unchanged due to a decrease in the
percentage of episodes involving methadone. Use of buprenorphine was
significantly more common in rural communities, and 64% of buprenorphine use was
in office-based settings. CONCLUSION: Buprenorphine use has increased in recent
years, with the greatest use in rural communities and in office based settings.
However, the percentage of new opioid dependence treatment episodes involving an
opioid agonist is unchanged, suggesting the need for further efforts to increase
buprenorphine use among urban populations.
PMID- 22093489
TI - Demographic history, genetic structure and gene flow in a steppe-associated
raptor species.
AB - BACKGROUND: Environmental preferences and past climatic changes may determine the
length of time during which a species range has contracted or expanded from
refugia, thereby influencing levels of genetic diversification. Connectivity
among populations of steppe-associated taxa might have been maximal during the
long glacial periods, and interrupted only during the shorter interglacial
phases, potentially resulting in low levels of genetic differentiation among
populations. We investigated this hypothesis by exploring patterns of genetic
diversity, past demography and gene flow in a raptor species characteristic of
steppes, the Montagu's harrier (Circus pygargus), using mitochondrial DNA data
from 13 breeding populations and two wintering populations. RESULTS: Consistent
with our hypothesis, Montagu's harrier has relatively low genetic variation at
the mitochondrial DNA. The highest levels of genetic diversity were found in
coastal Spain, France and central Asia. These areas, which were open landscapes
during the Holocene, may have acted as refugia when most of the European
continent was covered by forests. We found significant genetic differentiation
between two population groups, at the SW and NE parts of the species' range. Two
events of past population growth were detected, and occurred ca. 7500-5500 and
ca. 3500-1000 years BP in the SW and NE part of the range respectively. These
events were likely associated with vegetation shifts caused by climate and human
induced changes during the Holocene. CONCLUSIONS: The relative genetic
homogeneity observed across populations of this steppe raptor may be explained by
a short isolation time, relatively recent population expansions and a relaxed
philopatry. We highlight the importance of considering the consequence of
isolation and colonization processes in order to better understand the
evolutionary history of steppe species.
PMID- 22093490
TI - Endometriosis of the round ligament of the uterus.
AB - STUDY OBJECTIVE: To demonstrate the prevalence of endometriosis in the
intrapelvic portion of the round ligaments of the uterus (RLUs) and to propose
criteria for their excision. DESIGN: Retrospective case series analysis of women
undergoing laparoscopy for the treatment of deep infiltrating endometriosis
(Canadian Task Force classification II-3). SETTING: Tertiary referral hospital.
PATIENTS: We evaluated 174 patients who underwent laparoscopy for the treatment
of deep infiltrating endometriosis (DIE) between April 2006 and May 2009.
INTERVENTIONS: All patients underwent laparoscopy for the treatment of DIE and
had their RLUs removed when there was shortening, deviation, or thickening. After
removal, the RLUs were sent for histopathologic analysis to verify the presence
or absence of endometriosis. MEASUREMENTS AND MAIN RESULTS: The prevalence of
endometriosis in the RLUs and the association between the macroscopic alterations
and the anatomic pathology results were determined. After the identification of
macroscopic alterations, 1 or both RLUs (for a total of 42) were removed from 27
of the 174 patients who underwent laparoscopy. The positive predictive value
(PPV) of the macroscopic criteria proposed for endometriosis of the RLU was 83.3%
(95% confidence interval [CI] = 72.1%-94.5%), with 35 positive RLUs out of the 42
that were excised. The prevalence of endometriosis of the RLU was 13.8% (95% CI =
8.7%-18.9%), with 24 patients having a positive histopathologic examination
result for endometriosis. CONCLUSIONS: The prevalence of RLU endometriosis in
patients with DIE was 13.8%, which emphasizes that a rigorous evaluation of this
structure must be part of the routine surgical treatment of patients with
endometriosis.
PMID- 22093491
TI - Do schools differ in suicide risk? The influence of school and neighbourhood on
attempted suicide, suicidal ideation and self-harm among secondary school pupils.
AB - BACKGROUND: Rates of suicide and poor mental health are high in environments
(neighbourhoods and institutions) where individuals have only weak social ties,
feel socially disconnected and experience anomie - a mismatch between individual
and community norms and values. Young people spend much of their time within the
school environment, but the influence of school context (school connectedness,
ethos and contextual factors such as school size or denomination) on suicide-risk
is understudied. Our aim is to explore if school context is associated with rates
of attempted suicide and suicide-risk at age 15 and self-harm at age 19,
adjusting for confounders. METHODS: A longitudinal school-based survey of 1698
young people surveyed when aged 11, (primary school), 15 (secondary school) and
in early adulthood (age 19). Participants provided data about attempted suicide
and suicide-risk at age 15 and deliberate self-harm at 19. In addition, data were
collected about mental health at age 11, social background (gender, religion,
etc.), and at age 15, perception of local area (e.g. neighbourhood cohesion,
safety/civility and facilities), school connectedness (school engagement,
involvement, etc.) and school context (size, denomination, etc.). A dummy
variable was created indicating a religious 'mismatch', where pupils held a
different faith from their school denomination. Data were analysed using
multilevel logistic regression. RESULTS: After adjustment for confounders, pupils
attempted suicide, suicide-risk and self-harm were all more likely among pupils
with low school engagement (15-18% increase in odds for each SD change in
engagement). While holding Catholic religious beliefs was protective, attending a
Catholic school was a risk factor for suicidal behaviours. This pattern was
explained by religious 'mismatch': pupils of a different religion from their
school were approximately 2-4 times more likely to attempt suicide, be a suicide
risk or self-harm. CONCLUSIONS: With several caveats, we found support for the
importance of school context for suicidality and self-harm. School policies
promoting school connectedness are uncontroversial. Devising a policy to reduce
risks to pupils holding a different faith from that of their school may be more
problematic.
PMID- 22093493
TI - Inhibin B for assessment of ovarian reserve after laparoscopic treatment of
ovarian endometriomas.
PMID- 22093492
TI - Severe fetal ischemic complications caused by second trimester amniotic fluid
embolism.
PMID- 22093494
TI - Hemoperitoneum assessment in ectopic pregnancy.
AB - OBJECTIVE: To identify routine clinical, ultrasound, and biologic criteria to
assess the volume of hemoperitoneum in women with ectopic pregnancy (EP).
METHODS: Except for patients with hemodynamic shock, all women assigned to
surgical laparoscopic treatment for confirmed EP at Poissy Saint Germain en Laye
Hospital between January 2004 and December 2007 were included in the study. The
patients underwent abdominal and digital pelvic examination, and standardized
ultrasonography. Ordered logistic regression analysis was performed to select
criteria associated with an increase in hemoperitoneum. The diagnostic accuracy
of each variable was then calculated for different hemoperitoneum cut-off values.
RESULTS: The study included 215 patients. Pelvic pain of 4 or above on a numeric
rating scale (odds ratio [OR], 2.3; 95% confidence interval [CI], 1.3-4.1),
abdominal guarding or rebound tenderness (OR, 4.6; 95% CI, 2.0-10.8), hemoglobin
under 10 g/dL (OR, 12.2; 95% CI, 4.2-35.8), presence of fluid at transvaginal
ultrasound (OR, 3.6; 95% CI, 1.4-9.2), and fluid in Morison pouch at abdominal
ultrasound (OR, 5.6; 95% CI, 2.0-15.9) were found to be independently associated
with hemoperitoneum. CONCLUSION: Both clinical examination and standardized
ultrasonography were found to be useful for accurate evaluation of hemoperitoneum
in patients presenting with EP.
PMID- 22093495
TI - Fertility sparing therapy for metastatic gestational trophoblastic disease in
young patients.
PMID- 22093496
TI - Laparoscopic hysterectomy versus abdominal hysterectomy for severe pelvic
endometriosis.
AB - OBJECTIVE: To compare the surgical outcomes of laparoscopic hysterectomy (LH)
versus abdominal hysterectomy (AH) in patients with severe pelvic endometriosis.
METHODS: A retrospective review of patients undergoing hysterectomy for
endometriosis was conducted between January 2002 and December 2007. A total of
503 patients had severe pelvic endometriosis; of these, 115 patients underwent LH
and 388 patients underwent AH. Surgical outcomes-including operative time, blood
loss, length of hospital stay, and need for blood transfusion-were analyzed and
compared between the 2 treatment groups. RESULTS: Operative time was
significantly longer for LH than for AH (185.1 +/- 48.7 minutes and 139.9 +/-
52.4 minutes, respectively; P<0.001). However, estimated volume of blood loss,
length of hospital stay, and complication rates were significantly less for
patients in the LH group than for those in the AH group (302.6 +/- 255.1 mL
versus 760.9 +/- 633.2 mL [P<0.001]; 3.5 +/- 1.1 days versus 6.4 +/- 3.0 days
[P<0.001]; and 18.3% versus 49.0% [P<0.001], respectively). CONCLUSION: Compared
with AH, LH was associated with fewer complications. LH should, therefore, be the
preferred surgical option for women with severe pelvic endometriosis who require
a hysterectomy.
PMID- 22093497
TI - The optimal cutoff serum level of human chorionic gonadotropin for efficacy of
methotrexate treatment in women with extrauterine pregnancy.
AB - OBJECTIVE: To evaluate the efficacy of methotrexate treatment for extrauterine
pregnancy and define criteria for prediction of success. METHODS: Of 829 patients
with an ectopic pregnancy admitted to E. Wolfson Medical Center, Holon, Israel,
from January 1997 through December 2009, 238 had asymptomatic tubal pregnancies
and increasing serum beta-human chorionic gonadotropin (betahCG) levels. These
patients were treated with a single intramuscular injection of 50mg of
methotrexate (MTX) per square meter of body surface. Success was defined as
undetectable betahCG levels without the need for a surgical intervention.
RESULTS: The groups of patients successfully treated (n=167 [70%]) and
unsuccessfully treated (n=71 [30%]) were compared. They were similar regarding
age and gravidity. The initial serum betahCG level was significantly higher in
the latter group than in the former (3798 mIU/mL vs. 1601 mIU/mL, P<0.01). The
success rate was 88% when initial betahCG levels were less than 1000 mIU/mL, 71%
when they were between 1000 and 2000 mIU/mL, and only 59% when they were between
2000 and 3000 mIU/mL. CONCLUSION: Methotrexate treatment is a safe and effective
alternative to surgery. However, patients with initial betahCG levels higher than
2000 mIU/mL should only be offered the surgical approach.
PMID- 22093498
TI - Perinatal outcomes and congenital abnormalities in the newborns of women affected
by the 2009 pandemic influenza A (H1N1) in Beijing, China.
AB - OBJECTIVE: To investigate the pregnancy complications, perinatal outcomes, and
congenital abnormalities (CAs) that occurred in Beijing, China, when pregnant
women became infected with the 2009 pandemic influenza A (H1N1) (H1N1 pdm).
METHODS: Pregnancy complications, perinatal outcomes, and CAs were compared among
3 groups of pregnant women. The 23 women in group 1 were confirmed to harbor
viral RNA; the 23 in group 2 had serum levels of virus-specific antibodies
against H1N1 pdm, meaning that they were suspected of being infected with the
virus; and the 93 in group 3 had no detectable virus-specific antibodies.
RESULTS: Perinatal outcomes and pregnancy complications were not significantly
different in groups 1 and 3. Higher percentages of stillbirths (12.0%) and
placental disorders (13.0%) were observed in group 2 than in group 3. Many women
in group 2 (62.5%) experienced symptoms of having a cold during pregnancy and
most took no medication. Two cases of CA occurred in group 1, in the offspring of
women infected in the second trimester. CONCLUSION: When left untreated,
infection with the 2009 H1N1 pdm virus during pregnancy appears to have increased
fetal mortality and morbidity. Because CAs are traumatic for all concerned, their
possible association with the virus should be further evaluated.
PMID- 22093499
TI - Influence of HIV infection on women's resumption of sexual intercourse and use of
contraception in the postpartum period in rural Uganda.
PMID- 22093500
TI - Obstetrician-gynecologists' knowledge of and attitudes toward medical abortion in
Guatemala.
AB - OBJECTIVE: To characterize the legal and clinical knowledge of Guatemalan
obstetrician-gynecologists (OB/GYNs) regarding medical abortion and to determine
factors associated with approval of its use for specific indications. METHODS: A
trained interviewer administered a multiple-choice survey to 172 private-practice
OB/GYNs across Guatemala. Univariate, bivariate, and multivariate analyses
characterized medical abortion opinion and knowledge, and logistic regression
identified influential factors. RESULTS: 73% of OB/GYNs knew that abortion is
legally permitted when the woman's life is at risk. Although 92% knew that
misoprostol can be used to induce abortion, only 35% knew the WHO-recommended
dosage. Only 25% knew of mifepristone. Compared with older OB/GYNs, those under
40 years of age were 7 times more likely, and 40-49 year olds were twice as
likely to approve of medical abortion for fetal death and severe eclampsia with
fetal death, respectively. CONCLUSION: Current indications for abortion under
Guatemalan law, as well as OB/GYN practices and beliefs regarding medical
abortion, are hindering women's access to safe medical abortion and, therefore,
potential reductions in maternal morbidity and mortality. Future research should
aim to identify whether and why Guatemalan OB/GYNs are unfamiliar with these
drugs, prefer to use other methods, or are completely against abortion.
PMID- 22093501
TI - Safety and efficacy of antiplatelet and antithrombotic therapy in acute coronary
syndrome patients with chronic kidney disease.
AB - Chronic kidney disease (CKD) is prevalent and affects an ever-increasing
proportion of patients presenting with acute coronary syndrome (ACS). Patients
with CKD have a higher risk of ACS and significantly higher mortality, and are
also predisposed to increased bleeding complications. Antiplatelet and
antithrombotic drugs form the bedrock of management of patients with ACS. Most
randomized trials of these drugs exclude patients with CKD, and current
guidelines for management of these patients are largely based on these trials. We
aim to review the safety and efficacy of these drugs in patients with CKD
presenting with ACS.
PMID- 22093503
TI - Myocardial expression levels of micro-ribonucleic acids in patients with left
ventricular assist devices signature of myocardial recovery, signature of reverse
remodeling, or signature with no name?
PMID- 22093502
TI - A micro-ribonucleic acid signature associated with recovery from assist device
support in 2 groups of patients with severe heart failure.
AB - OBJECTIVES: This study was conducted to test the hypothesis that cardiac micro
ribonucleic acid (miR) profiling in severe heart failure patients at the time of
ventricular assist device (VAD) placement would differentiate those who remained
VAD-dependent from those with subsequent left ventricular (LV) recovery.
BACKGROUND: The relationship of myocardial miR expression to ventricular recovery
is unknown. METHODS: We studied 28 patients with nonischemic cardiomyopathy
requiring VAD support consisting of test and validation cohorts from 2
institutions: 14 with subsequent LV recovery and VAD removal and 14 clinically
matched VAD-dependent patients. Apical core myocardium was studied for expression
of 376 miRs by polymerase chain reaction (PCR) array and real-time-PCR methods.
Samples from 7 nonfailing hearts were used in confirmatory studies. RESULTS: By
PCR array, 10 miRs were differentially expressed between LV recovery and VAD
dependent patients in the test cohort. The real-time PCR confirmed lower
expression in LV recovery patients for 4 miRs (15b, -1.5-fold; 23a, -2.2-fold;
26a, -1.4-fold; and 195, -1.8-fold; all p < 0.04 vs. VAD dependent). The
validation cohort similarly showed lower miRs expression in LV recovery patients
(23a, -1.8-fold; and 195, -1.5-fold; both p < 0.03). Furthermore, miR 23a and 195
expression in nonfailing hearts was similar to LV recovery patients (both p <
0.04 vs. VAD dependent). The LV recovery patients also had significantly smaller
cardiomyocytes by quantitative histology in both cohorts. CONCLUSIONS: Lower
cardiac expression of miRs 23a and 195 and smaller cardiomyocyte size at the time
of VAD placement were associated with subsequent LV functional recovery.
Differential expression of miRs at VAD placement may provide markers to assess
recovery potential.
PMID- 22093504
TI - Implantable cardioverter-defibrillator patients who are upgraded and respond to
cardiac resynchronization therapy have less ventricular arrhythmias compared with
nonresponders.
AB - OBJECTIVES: The purpose of this study was to evaluate the impact of upgrading
implantable cardioverter-defibrillator (ICD) therapy to cardiac resynchronization
therapy (CRT) combined with defibrillator (CRT-D) on the occurrence of
ventricular arrhythmia (VA) and appropriate ICD therapies. BACKGROUND: CRT has
been shown to improve left ventricular (LV) systolic function and induce reverse
LV remodeling. In addition, it has been hypothesized that CRT may reduce the
incidence of VA. METHODS: Heart failure patients receiving an upgrade from ICD to
CRT-D were evaluated. Patients were considered responders to CRT if LV end
systolic volume reduced >=15% at 6 months of follow-up. Episodes of VA,
triggering device therapy (anti-tachycardia pacing and shocks) were recorded
before and after upgrade for the overall population. In addition, these outcomes
were compared between CRT responders and nonresponders during the follow-up
period after CRT response was assessed. RESULTS: One hundred fifteen patients (93
males [81%], age 65 +/- 12 years) were evaluated during a mean follow-up of 54 +/
34 months before CRT-D upgrade and 37 +/- 27 months after upgrade. In CRT
responders (n = 70), the frequency of VA requiring appropriate device therapy
demonstrated a trend toward a decrease from 0.51 +/- 0.79 to 0.30 +/- 0.59 per
patient per year after CRT-D upgrade (p = 0.052). In CRT nonresponders (n = 45),
the frequency of VA requiring appropriate device therapy significantly increased
from 0.40 +/- 0.69 to 1.21 +/- 2.53 per patient per year after CRT-D upgrade (p =
0.014). CONCLUSIONS: After upgrade from ICD to CRT-D, nonresponders to CRT showed
a significant increase in VA burden requiring appropriate device therapy.
PMID- 22093505
TI - New electrocardiographic criteria for discriminating between Brugada types 2 and
3 patterns and incomplete right bundle branch block.
AB - OBJECTIVES: The aim of this study was to evaluate new electrocardiographic (ECG)
criteria for discriminating between incomplete right bundle branch block (RBBB)
and the Brugada types 2 and 3 ECG patterns. BACKGROUND: Brugada syndrome can
manifest as either type 2 or type 3 pattern. The latter should be distinguished
from incomplete RBBB, present in 3% of the population. METHODS: Thirty-eight
patients with either type 2 or type 3 Brugada pattern that were referred for an
antiarrhythmic drug challenge (AAD) were included. Before AAD, 2 angles were
measured from ECG leads V(1) and/or V(2) showing incomplete RBBB: 1) alpha, the
angle between a vertical line and the downslope of the r'-wave, and 2) beta, the
angle between the upslope of the S-wave and the downslope of the r'-wave.
Baseline angle values, alone or combined with QRS duration, were compared between
patients with negative and positive results on AAD. Receiver-operating
characteristic curves were constructed to identify optimal discriminative cutoff
values. RESULTS: The mean beta angle was significantly smaller in the 14 patients
with negative results on AAD compared to the 24 patients with positive results on
AAD (36 +/- 20 degrees vs. 62 +/- 20 degrees , p < 0.01). Its optimal cutoff
value was 58 degrees , which yielded a positive predictive value of 73% and a
negative predictive value of 87% for conversion to type 1 pattern on AAD; alpha
was slightly less sensitive and specific compared with beta. When the angles were
combined with QRS duration, it tended to improve discrimination. CONCLUSIONS: In
patients with suspected Brugada syndrome, simple ECG criteria can enable
discrimination between incomplete RBBB and types 2 and 3 Brugada patterns.
PMID- 22093506
TI - On the intriguing phenotypic manifestations of Brugada syndrome and the
diagnostic value of the electrocardiogram.
PMID- 22093507
TI - Temporal relationship and predictive value of urinary acute kidney injury
biomarkers after pediatric cardiopulmonary bypass.
AB - OBJECTIVES: We investigated the temporal pattern and predictive value (alone and
in combination) of 4 urinary biomarkers (neutrophil gelatinase-associated
lipocalin [NGAL], interleukin [IL]-18, liver fatty acid-binding protein [L-FABP],
and kidney injury molecule [KIM]-1) for cardiac surgery-associated acute kidney
injury (AKI). BACKGROUND: Serum creatinine (S(Cr)) is a delayed marker for AKI
after cardiopulmonary bypass (CPB). Rapidly detectable AKI biomarkers could allow
early intervention and improve outcomes. METHODS: Data from 220 pediatric
patients were analyzed. Urine samples were obtained before and at intervals after
CPB initiation. AKI was defined as a >=50% increase in S(Cr) from baseline within
48 h after CPB. The temporal pattern of biomarker elevation was established, and
biomarker elevations were correlated with AKI severity and clinical outcomes.
Biomarker predictive abilities were evaluated by area under the curve (AUC), net
reclassification improvement, and integrated discrimination improvement. RESULTS:
AKI occurred in 27% of patients. Urine NGAL significantly increased in AKI
patients at 2 h after CPB initiation. IL-18 and L-FABP increased at 6 h, and KIM
1 increased at 12 h. Biomarker elevations were correlated with AKI severity and
clinical outcomes and improved AKI prediction above a clinical model. At 2 h,
addition of NGAL increased the AUC from 0.74 to 0.85 (p < 0.0001). At 6 h, NGAL,
IL-18, and L-FABP each improved the AUC from 0.72 to 0.91, 0.84, and 0.77,
respectively (all p < 0.05). The added predictive ability of the biomarkers was
supported by net reclassification improvement and integrated discrimination
improvement. Biomarker combinations further improved AKI prediction. CONCLUSIONS:
Urine NGAL, IL-18, L-FABP, and KIM-1 are sequential predictive biomarkers for AKI
and are correlated with disease severity and clinical outcomes after pediatric
CPB. These biomarkers, particularly in combination, may help establish the timing
of injury and allow earlier intervention in AKI.
PMID- 22093508
TI - Can novel biomarkers complement best possible clinical assessment for early acute
kidney injury diagnosis?
PMID- 22093509
TI - Long-term survival in patients with resting obstructive hypertrophic
cardiomyopathy comparison of conservative versus invasive treatment.
AB - OBJECTIVES: The aim of this study was to compare the survival of patients with
hypertrophic cardiomyopathy (HCM) and resting left ventricular outflow tract
(LVOT) obstruction managed with an invasive versus a conservative strategy.
BACKGROUND: In patients with resting obstructive HCM, clinical benefit can be
achieved after invasive septal reduction therapy. However, it remains
controversial whether invasive treatment improves long-term survival. METHODS: We
studied a consecutive cohort of 649 patients with resting obstructive HCM. Total
and HCM-related mortality were compared in 246 patients who were conservatively
managed with 403 patients who were invasively managed by surgical myectomy,
septal ethanol ablation, or dual-chamber pacing. RESULTS: Multivariable analyses
(with invasive therapy treated as a time-dependent covariate) showed that an
invasive intervention was a significant determinant of overall mortality (hazard
ratio: 0.6, 95% confidence interval: 0.4 to 0.97, p = 0.04). Overall survival
rates were greater in the invasive (99.2% 1-year, 95.7% 5-year, and 87.8% 10-year
survival) than in the conservative (97.3% 1-year, 91.1% 5-year, and 75.8% 10-year
survival, p = 0.008) cohort. However, invasive therapy was not found to be a
significant independent predictor of HCM-related mortality (hazard ratio: 0.7,
95% confidence interval: 0.4 to 1.3, p = 0.3). The HCM-related survival was 99.5%
(1 year), 96.3% (5 years), and 90.2% (10 years) in the invasive cohort, and 97.8%
(1 year), 94.6% (5 years), and 86.9% (10 years) in the conservative cohort (p =
0.3). CONCLUSIONS: Patients treated invasively have an overall survival advantage
compared with conservatively treated patients, with the latter group more likely
to die from noncardiac causes. The HCM-related mortality is similar, regardless
of a conservative versus invasive strategy.
PMID- 22093510
TI - Alcohol septal ablation for the treatment of hypertrophic obstructive
cardiomyopathy. A multicenter North American registry.
AB - OBJECTIVES: The purpose of the study is to identify the predictors of clinical
outcome (mortality and survival without repeat septal reduction procedures) of
alcohol septal ablation for the treatment of patients with hypertrophic
obstructive cardiomyopathy. BACKGROUND: Alcohol septal ablation is used for
treatment of medically refractory hypertrophic obstructive cardiomyopathy
patients with severe outflow tract obstruction. The existing literature is
limited to single-center results, and predictors of clinical outcome after
ablation have not been determined. Registry results can add important data.
METHODS: Hypertrophic obstructive cardiomyopathy patients (N = 874) who underwent
alcohol septal ablation were enrolled. The majority (64%) had severe obstruction
at rest, and the remaining had provocable obstruction. Before ablation, patients
had severe dyspnea (New York Heart Association [NYHA] functional class III or IV:
78%) and/or severe angina (Canadian Cardiovascular Society angina class III or
IV: 43%). RESULTS: Significant improvement (p < 0.01) occurred after ablation
(~5% in NYHA functional classes III and IV, and 8 patients in Canadian
Cardiovascular Society angina class III). There were 81 deaths, and survival
estimates at 1, 5, and 9 years were 97%, 86%, and 74%, respectively. Left
anterior descending artery dissections occurred in 8 patients and arrhythmias in
133 patients. A lower ejection fraction at baseline, a smaller number of septal
arteries injected with ethanol, a larger number of ablation procedures per
patient, a higher septal thickness post-ablation, and the use beta-blockers post
ablation predicted mortality. CONCLUSIONS: Variables that predict mortality after
ablation, include baseline ejection fraction and NYHA functional class, the
number of septal arteries injected with ethanol, post-ablation septal thickness,
beta-blocker use, and the number of ablation procedures.
PMID- 22093511
TI - The role of alcohol septal ablation in the treatment of left ventricular outflow
tract obstruction in hypertrophic cardiomyopathy.
PMID- 22093512
TI - Inhibition of c-Src tyrosine kinase prevents angiotensin II-mediated connexin-43
remodeling and sudden cardiac death.
AB - OBJECTIVES: The aim of this study was to test whether c-Src tyrosine kinase
mediates connexin-43 (Cx43) reduction and sudden cardiac death in a transgenic
mouse model of cardiac-restricted overexpression of angiotensin-converting enzyme
(ACE8/8 mice). BACKGROUND: Renin-angiotensin system activation is associated with
an increased risk for arrhythmia and sudden cardiac death, but the mechanism is
not well understood. The up-regulation of c-Src by angiotensin II may result in
the reduction of Cx43, which impairs gap junction function and provides a
substrate for arrhythmia. METHODS: Wild-type and ACE8/8 mice with and without
treatment with the c-Src inhibitor 1-(1,1-dimethylethyl)-1-(4-methylphenyl)-1H
pyrazolo[3,4-d]pyrimidin-4-amine (PP1) were studied. Telemetry monitoring, in
vivo electrophysiologic studies, Western blot analyses for total and
phosphorylated c-Src and Cx43, immunohistochemistry staining for Cx43, and
functional assessment of Cx43 with fluorescent dye diffusion were performed.
RESULTS: The majority of the arrhythmic deaths resulted from ventricular
tachycardia degenerating to ventricular fibrillation (83%). Levels of total and
phosphorylated c-Src were increased and Cx43 reduced in ACE8/8 mice. PP1 reduced
total and phosphorylated c-Src levels, increased Cx43 level by 2.1-fold (p <
0.005), increased Cx43 at the gap junctions (immunostaining), improved gap
junctional communication (dye spread), and reduced ventricular tachycardia
inducibility and sudden cardiac death. The survival rate increased from 11% to
86% with 4 weeks of PP1 treatment (p < 0.005). Treatment with an inactive analog
did not change survival or Cx43 levels. CONCLUSIONS: Renin-angiotensin system
activation is associated with c-Src up-regulation, Cx43 loss, reduced myocyte
coupling, and arrhythmic sudden death, which can be prevented by c-Src
inhibition. This suggests that an increase in c-Src activity may help mediate
renin-angiotensin system-induced arrhythmias and that c-Src inhibitors might
exert antiarrhythmic activity.
PMID- 22093513
TI - Is c-Src tyrosine kinase a new target for antiarrhythmic drug therapy?
PMID- 22093514
TI - The year in non-ST-segment elevation acute coronary syndrome.
PMID- 22093515
TI - Isolated left ventricular apical hypoplasia evaluated by cardiovascular magnetic
resonance and gadolinium enhancement techniques.
PMID- 22093516
TI - Thrombus entrapment by a novel mesh-covered stent in ST-segment elevation
myocardial infarction.
PMID- 22093517
TI - Murine typhus in the homeless.
AB - Homeless populations are particularly exposed to many vector-borne diseases
because of their poor living conditions. We tested sera from 299 homeless people
recruited in 2010 and 2011 in Marseilles, France for antibodies to Rickettsia
typhi by microimmunofluorescence using a titer of 1:25 as a cut-off titer, and we
confirmed the results by Western blot and cross-adsorption studies. Sixty-three
persons (22%) had antibodies against R. typhi. The murine typhus seroprevalence
rates have significantly increased in homeless populations between the 2000-2003
and 2010-2011 periods. These findings indicate that the homeless are increasingly
exposed to flea-borne murine typhus in Marseilles. One might suggest that
multiple strikes of sanitation workers resulting in the increase of waste and
construction sites combined with the poor living conditions of the homeless
expose this population to rodents and their fleas. Further annual studies are
necessary to follow rodent-associated diseases among homeless people in
Marseille.
PMID- 22093519
TI - Russell Palmer: forgotten champion: how victory in Holland launched the British
Columbia renal failure program.
PMID- 22093520
TI - Reflections on Russell Palmer.
PMID- 22093521
TI - Massive, painful tumoral calcinosis in a long-term hemodialysis patient.
AB - In chronic dialysis patients, ectopic, extraosseous calcifications can cause
significant morbidity. Uremic tumoral calcinosis is an uncommon and severe
complication of dialysis therapy. It is defined as deposition of dense nodular
calcium-containing masses surrounding the large joints of the body, generally
associated with the presence of high serum calcium-and-phosphorus product. We
describe a 69-year-old woman submitted to long-term chronic hemodialysis that
developed painful, bilateral hip tumors. Radiographic investigation showed
extensive periarticular calcifications, and a bone biopsy was suggestive of
adynamic bone disease and contained substantial amounts of aluminum. The lesions
were surgically excised, and the histological analysis demonstrated amorphous,
calcified material associated with densely collagenized connective tissue.
PMID- 22093522
TI - Theoretical study of carbazole-triphenylamine-based dyes for dye-sensitized solar
cells.
AB - Three carbazole-triphenylamine-based dyes (D1, D2, D3) are designed. The
geometries, electronic structures, and electronic absorption spectra of these
dyes are studied by DFT and TD-DFT. The calculated geometries indicate that these
dyes are all noncoplanar, which can help to inhibit the close intermolecular pi
pi aggregation effectively. The LUMO and HOMO energy levels of these dyes can be
ensuring positive effect on the process of electron injection and dye
regeneration. The trend of the calculated HOMO-LUMO gaps nicely compares with the
spectral data. The calculated results of these dyes demonstrate that these dyes
can be used as potential sensitizers for TiO(2) nanocrystalline solar cells.
PMID- 22093523
TI - DNA binding, DNA cleavage and cytotoxicity studies of a new water soluble
copper(II) complex: the effect of ligand shape on the mode of binding.
AB - The interaction of native calf thymus DNA (CT-DNA) with [Cu(ph(2)phen)(phen
dione)Cl]Cl was studied at physiological pH by spectrophotometric,
spectrofluorometric, circular dichroism, and viscometric techniques. Considerable
hypochromicity and red shift are observed in the UV absorption band of the Cu
complex. Binding constants (K(b)) of DNA with the complex were calculated at
different temperatures. Thermodynamic parameters, enthalpy and entropy changes
were calculated according to Van't Hoff equation, which indicated that reaction
is predominantly enthalpically driven. All these results indicate that Cu(II)
complex interacts with CT-DNA via intercalative mode. Also, this new complex
induced cleavage in pUC18 plasmid DNA as indicated in gel electrophoresis and
showed excellent antitumor activity against K562 (human chronic myeloid leukemia)
and human T lymphocyte carcinoma-Jurkat cell lines.
PMID- 22093524
TI - Cerebral abscesses in hereditary haemorrhagic telangiectasia: a clinical and
microbiological evaluation.
AB - OBJECTIVES: Hereditary haemorrhagic telangiectasia (HHT) is a rare autosomal
dominant disorder that can lead to neurological manifestations including strokes
and cerebral abscesses. Our objectives were to describe clinical, radiological,
bacteriological, and outcome characteristics of patients with cerebral abscess
and HHT, and to concurrently compare this group with a control group with
cerebral abscess, but without HHT. PATIENTS AND METHODS: Patients with HHT and
cerebral abscess in 5 French medical centers were included. Their clinical,
radiological, biological data and prognosis were compared to the data of
unselected patients with cerebral abscesses but without HHT included during the
same period of time. RESULTS: Twenty-six patients (13 men and 13 women; 44.7+/
17.2; range 12-79 years), with HHT and cerebral abscess were included. A
pulmonary arteriovenous malformation (AVM) was present in all cases. Cerebral
abscesses were solitary, supratentorial, and mostly lobar. In all cases,
pathogens were anaerobic or facultative anaerobic germs (particularly
streptococcus). No death was observed, but various sequels were present in up to
two-thirds of the patients. We observed a recurrence of the cerebral abscess in 4
patients with a mean delay of 81 months. In comparison with the control group,
cerebral abscesses were generally of later recurrence and significantly more
often unique and less often due to staphylococcus. CONCLUSION: HHT cerebral
abscesses are particularly linked to pulmonary arteriovenous malformations and
anaerobic germs. Their clinical, radiological and bacteriological characteristics
are quite different than in a control group with more solitary brain
localizations, no staphylococcus infection and a significantly longer interval to
recurrence.
PMID- 22093525
TI - A multilayered polyurethane foam technique for skin graft immobilization.
AB - BACKGROUND: Several techniques are applicable for skin graft immobilization.
Although the sponge dressing is a popular technique, pressure failure near the
center of the graft is a weakness of the technique that can result in engraftment
failure. OBJECTIVE: To evaluate the efficacy of a new skin graft immobilization
technique using multilayered polyurethane foam in vivo and in vitro. METHODS AND
MATERIALS: Twenty-six patients underwent a full-thickness skin graft. Multiple
layers of a hydrocellular polyurethane foam dressing were used for skin graft
immobilization. In addition, we created an in vitro skin graft model that allowed
us to estimate immobilization pressure at the center and edges of skin grafts of
various sizes. RESULTS: Overall mean graft survival was 88.9%. In the head and
neck region (19 patients), mean graft survival was 93.6%. Based on the in vitro
outcomes, this technique supplies effective pressure (<30 mmHg) to the center
region of the skin graft. CONCLUSIONS: This multilayered polyurethane foam
dressing is simple, safe, and effective for skin graft immobilization.
PMID- 22093526
TI - Digoxin - time for its rehabilitation?
PMID- 22093527
TI - DNA methylation profiling: a promising tool and a long road ahead for clinical
applications.
PMID- 22093528
TI - Power and control: contracts and the patient-physician relationship.
PMID- 22093529
TI - Medicine and user involvement within European healthcare: a typology for European
comparative research.
PMID- 22093530
TI - Direct-to-consumer testing: more risks than opportunities.
AB - As a result of incessant genetic discoveries and remarkable technological
advancements, the availability and the consequent consumer's request for genetic
testing are growing exponentially, leading to the development of a 'parallel'
market, i.e. the direct-to-consumer (DTC) testing, also known as 'direct access
testing' (DAT). Analogous to the traditional laboratory diagnostics, drawbacks of
DTC testing might arise from any step characterising the total testing process,
and include poor control of both appropriateness and preanalytical requirements,
potential operation outside national or international regulation for in vitro
diagnostic testing, little evidence of quality as well as the risk of transfer of
genetic materials from the companies to other entities. Another important issue
is the test panels offered to consumers, which are often based on preliminary,
speculative or unsupported scientific information. Finally, the potential of this
type of testing to generate anxiety or false reassurance should also be carefully
considered. Although DTC testing carries some theoretical advantages (e.g.
greater consumer autonomy and empowerment), solid clinical studies and costs vs.
benefit analyses are needed to definitely establish whether DTC testing might be
effective for decreasing the burden of diseases, delay their onset or modify
their progression and therefore the clinical outcome.
PMID- 22093531
TI - Mortality and morbidity of heart failure treated with digoxin. A propensity
matched study.
AB - BACKGROUND: The role of digoxin in the prognosis of patients with heart failure
(HF) remains unclear. AIMS: To evaluate the relationship of commencing treatment
with digoxin (CTDig) with the mortality and the morbidity of patients with HF.
METHODS: Prospective study over 8 years on 4467 patients with HF. Main outcomes
were all-cause and cardiovascular mortality, hospitalisations and visits. We
analyse the independent relationship of CTDig, with the mortality and the
morbidity, stratifying patients for cardiovascular comorbidity, after propensity
score-matching for potential confounders (1421 patients who CTDig vs. another
1421 patients non-exposed to digoxin). RESULTS: During a median follow up of 46.1
months, 1872 patients (65.9%) died, and 2203 (77.5%) were hospitalised. CTDig was
associated with a lower all-cause mortality (HR = 0.90 [95% CI, 0.84-0.97]), and
cardiovascular mortality (HR = 0.87 [0.81-0.96]), hospitalisation (HR = 0.91
[0.86-0.97]), 30-day readmission for HF (HR = 0.88 [0.79-0.95]), and visits (HR =
0.94 [0.90-0.98]) (p < 0.001 in all cases), after adjustment for the propensity
to take digoxin, other medications, and other potential confounders. These
effects of digoxin were independent of gender, or type of HF (systolic or non
systolic). CONCLUSION: The data suggest that therapy with digoxin is associated
with an improved mortality and morbidity of HF, including women and patients with
non-systolic HF.
PMID- 22093532
TI - Differences between South Asians and White Europeans in five year outcome
following percutaneous coronary intervention.
AB - AIMS: The aim of this study was to compare rates of target lesion
revascularisation (TLR) and total mortality between South Asians (SAs) and White
Europeans (WEs) following percutaneous coronary intervention (PCI). METHODS: We
followed a cohort of 293 SAs and 865 WEs patients admitted for elective or urgent
PCI to de novo lesions. For each patient, baseline cardiovascular risk factors
and angiographic data were obtained. Patients had long-term follow-up for all
cause mortality and TLR. RESULTS: Patients were followed up over a median period
of 54 months (inter-quartile range: 47-65). SAs were younger (62 +/- 12 years vs.
66 +/- 11 years; p < 0.0001), with a higher prevalence of diabetes, greater
social deprivation [Carstairs score: 10.2 (IQR 6.5-12.1) vs. 3.3 (IQR 0.9-6.5); p
< 0.0001] and presented more acutely (urgent PCI procedure). During the follow-up
period, a total of 119 deaths and 111 TLR [94 repeat PCI and 17 coronary artery
bypass grafting (CABG)] occurred. There was no significant difference in the rate
of long-term all-cause mortality between SA and WE [31 (10.6%) vs. 107 (12.4%);
OR: 0.84 (0.55-1.28); p = 0.47]. However, SA ethnicity was an independent
predictor of long-term TLR, after adjusting for baseline clinical and procedural
characteristics [54 (18.4%) vs. 57 (6.6%); OR: 2.83 (1.87-4.29); p < 0.0001].
CONCLUSIONS: South Asian patients were more likely to require re-admission to
treat clinical restenosis of the index lesion. There was no significant long-term
difference in all-cause mortality between SA and WE patients.
PMID- 22093533
TI - Coronary computed tomography angiography for the evaluation of patients with
acute chest pain.
AB - Acute chest pain is a common presenting complaint of patients attending emergency
room departments. Despite this, it can often be challenging to completely exclude
a diagnosis of acute coronary syndrome following an initial standard clinical and
biochemical evaluation. As a result of this, patients are often admitted to
hospital until the treating clinician is satisfied that this diagnosis can be
excluded. This process imparts a significant health economic burden by not only
increasing hospital bed occupancy rates but also by the unnecessary layering of
diagnostic investigations. With the rapid advances in coronary computed
tomography angiography (CTA), there has been considerable interest in whether
coronary CTA may be a viable alternative to this current standard care. We review
the current literature and supporting evidence for utilising coronary CTA in the
evaluation of patients presenting with acute chest pain in terms of its
diagnostic accuracy, safety, cost-effectiveness and prognostic implications.
PMID- 22093534
TI - Systolic heart failure in South Asians.
AB - Heart failure (HF) is a common condition leading to an unfavourable prognosis and
impaired quality of life. In this review, we provide an overview of published
literature on possible epidemiological and pathophysiological differences between
patients with systolic HF of South Asian origin and those from other ethnic
groups (mainly White). Systolic HF tends to manifest earlier among South Asians
and with frequent hospital admissions. However, survival for such patients
appears to be significantly better compared with the White group, which might be
associated with different patterns of HF. For example, this could be attributed
to a lower prevalence of left ventricular systolic dysfunction in South Asian
subjects. Indeed, the high prevalence of hypertension and diabetes among South
Asians may predispose to diastolic HF with preserved systolic function. In
addition, because of underrepresentation of South Asians in clinical trials,
there are little data on optimal management of this ethnic group.
PMID- 22093535
TI - 'Catching the spike and tracking the flow': Holter-temperature monitoring in
patients admitted in a general internal medicine ward.
AB - OBJECTIVE: To study if a 24-h continuous monitoring of temperature reveals
information not accessible through conventional care. This included omitted fever
peaks and circadian and complexity characteristics that may correlate with
specific aetiologies. DESIGN: Ours was a prospective, observational study. A
total of 62 patients, admitted to a general internal medicine ward, in whom a
temperature > 38 degrees C had been observed the day before inclusion underwent
a 24-h long continuous monitoring of both central and peripheral temperatures.
The time series were recorded in a file, while they otherwise followed
conventional care. Time series were analysed for standard statistics,
chronobiological analysis (amplitude, mesor, acrophase, intra-daily variability)
and complexity analysis (Approximate Entropy of both central and peripheral
temperature, cross-ApEn). A month after discharge, the clinical reports were
reviewed and a definitive diagnosis of the febrile syndrome was established.
RESULTS: A total of 62 patients were initially included. In six cases, no time
series could be obtained because of technical problems, leaving 56 patients
accessible for analysis. In 10 cases, no definitive diagnosis was established.
Continuous monitoring detected a mean of 0.7 (CI = 0.27-1.33) peaks of fever
(central temperature > 38.0 degrees C) unobserved by conventional care per
patient. A proportion of 16% (CI = 6-26) of patients considered afebrile by
conventional care had at least one fever peak detected by continuous monitoring.
Circadian rhythm persisted or was exacerbated in febrile patients. Circadian
amplitude was increased in patients with tuberculosis. Complexity analysis did
not differ among different diagnostic groups, although in subgroup analysis,
viral infections had a higher complexity than other infectious diseases.
CONCLUSIONS: Temperature Holter monitoring reveals fever peaks that pass
otherwise unobserved. Furthermore, chronobiological and complexity analysis of
the temperature profile may provide quick and easy 'hidden information', not
available to conventional care.
PMID- 22093536
TI - Pharmacological treatment and the prospect of pharmacogenetics in Parkinson's
disease.
AB - Parkinson disease (PD) is a progressive movement disorder marked by tremor,
rigidity, bradykinesia and postural instability. Levodopa (l-dopa), usually
combined with a peripheral dopa decarboxylase inhibitor, has been proved to
provide the best symptomatic benefit for PD. However, its long-term efficacy is
limited because of motor complications and drug-induced dyskinesia. Dopamine
agonists, catechol-O-methyltransferase inhibitors and monoamine oxidase-B
inhibitors are anti-parkinsonian (anti-PD) drugs that have been found to further
improve the potency of l-dopa and prevent the onset of motor complications.
However, as PD is a progressive disorder, all the drugs used for its therapy,
manifest reduced efficacy and adverse effects with time. Research on the field of
pharmacogenetics has pointed out that the genetic variability of each individual
determines to a large extent the inter-individual variability in response to anti
PD drugs. Clinicogenetic trials show that drug efficacy or toxicity or
susceptibility to side effects are features governed by genetic principles. This
article is a review of the present pharmacological treatment of PD and current
pharmacogenetic data for PD.
PMID- 22093537
TI - The association of antihypertensive medication use with risk of cognitive decline
and dementia: a meta-analysis of longitudinal studies.
AB - The association of antihypertensive medication use with cognitive decline
(including mild cognitive impairment) or dementia (including Alzheimer's disease
(AD), vascular dementia (VD) and any dementia) has still been an area of
controversy. This study examined the association of antihypertensive medication
use with cognitive decline or dementia using a quantitative meta-analysis of
longitudinal studies. EMBASE and MEDLINE were searched for articles published up
to Feb 2011. All studies that examined the relationship between antihypertensive
medication use and the incidence of dementia or cognitive decline were included.
Pooled relative risk (RR) was calculated using fixed and random-effects models.
Fourteen studies met our inclusion criteria for this meta-analysis. All subjects
were without dementia or cognitive impairment at baseline. There were subjects
with (32,658) and without (36,905) antihypertensive medication use. The
quantitative meta-analysis showed that there was no significant difference in
incidence of AD (RR: 0.90, 95% confidence interval (CI): 0.79-1.03), cognitive
decline (RR: 0.97; 95% CI: 0.92-1.03) and cognitive impairment (RR: 0.97; 95% CI:
0.92-1.03). The quantitative meta-analysis showed that the subjects with
antihypertensive medication use were lower incidence of VD (RR: 0.67, 95% CI:
0.52-0.87) and any dementia (RR: 0.87; 95% CI: 0.77-0.96) than those without. The
quantitative meta-analysis showed that antihypertensive medication use could
decrease risk of the development of VD and any dementia, but could not decrease
that of AD, cognitive decline and cognitive impairment.
PMID- 22093538
TI - Rheumatic-musculoskeletal pain and disorders in a naive group of individuals 15
months following a Chikungunya viral epidemic in south India: a population based
observational study.
AB - BACKGROUND: Prospective community data on arthropathy following Chikungunya
(CHIKV), a self-limiting, arboviral infection, causing debilitating arthropathy
are lacking. The clinical profile of chronic rheumatic-musculoskeletal (RMSK)
pain and disorders, captured inadvertently about 15 months following a CHIKV
epidemic is described. MATERIALS AND METHODS: Patients with RMSK pain following
the CHIKV epidemic in 2007 were identified from a randomly selected population of
5277 (Age > 15 years) in a village in south India, using a validated
questionnaire-based house-to-house survey. Typical narration, records and
serology were relied upon to classify CHIKV. Respondents who recorded active pain
sites on a human mannequin were evaluated by Rheumatology physicians. RESULTS: A
total of 1396 CHIKV infected individuals with painful MSKD were identified, of
whom 437 patients (mean age: 48.37 +/- 13.62 years; 71.6% women) who were naive
to RMSK pain prior to the epidemic were studied in detail. Incidence of RMSK pain
and disorders in the naive group was 8.3% (437/5277). Knee was the commonest self
reported pain site (83.3%). Majority of the patients (57%) had postviral non
specific polyarthralgia. Soft tissue rheumatism was very common (27.7%).
Rheumatoid arthritis and seronegative spondyloarthritis were observed in 6 and 11
patients, respectively. CONCLUSIONS: Although a causal association could not be
established, this study has unravelled a wide spectrum of unrecognised post-CHIKV
chronic RMSK disorders. Aetiopathogenesis and risk factors of chronicity need to
be studied further.
PMID- 22093539
TI - Aberrant methylation of genes in stool samples as diagnostic biomarkers for
colorectal cancer or adenomas: a meta-analysis.
AB - BACKGROUND: An increasing number of hypermethylated genes in stool samples have
been reported as biomarkers for the detection of colorectal cancer (CRC) or
adenomas. We aimed to comprehensively review and compare the evidence for
feasibility of using these biomarkers for the detection of colorectal neoplasia.
METHODS: We searched Medline, the Web of Science and OVID for studies that used
hypermethylated genes as biomarkers for the detection of CRC or adenomas. A meta
analysis was carried out using the random-effect model with diagnostic odd ratios
(DOR) and 95% confidence intervals (CI) as effect measurements. RESULTS: A total
of 19 studies including 2,356 patients were eligible for final analysis. The
sensitivity, specificity, positive likelihood ratio, negative likelihood ratio
and DOR for the detection of CRC or adenomas were 0.62 (95% CI: 0.51-0.71), 0.89
(95% CI: 0.86-0.92), 5.66 (95% CI: 4.68-6.83), 0.43 (95% CI: 0.34-0.55) and 13.15
(95% CI: 9.82-17.60) respectively. Of these, the sensitivity and specificity for
the detection of adenoma were 0.54 (95% CI: 0.39-0.68) and 0.88 (95% CI: 0.83
0.92) respectively. CONCLUSIONS: Hypermethylated gene panels are not currently
accurate enough to be used alone for colorectal neoplasia screening. The
discovery and evaluation of additional biomarkers with improved sensitivity and
specificity is necessary.
PMID- 22093540
TI - Non-adherence to lipid-lowering therapies: a need for more studies to evaluate
reasons for medication non-adherence.
PMID- 22093541
TI - Adherence to lipid-lowering agents.
PMID- 22093542
TI - [Systemic follicular lymphoma with cutaneous manifestations and exclusively
cutaneous recurrence].
PMID- 22093543
TI - [Methotrexate in psoriasis: do we need to give a test dose?].
PMID- 22093545
TI - Angiotensin-degrading serine peptidase: a new chymotrypsin-like activity in the
venom of Bothrops jararaca partially blocked by the commercial antivenom.
AB - Snakebite envenomation is considered a highly relevant public health hazard in
South America, having an impact in terms of mortality and morbidity. In Brazil,
Bothrops (sensu latu) poisoning is responsible for 90% of the snakebites and in
patients treated at the Vital Brazil Hospital (Butantan Institute) this index
reaches 97.5%. The objective of the present study was to analyze more
specifically the ability of the antibothropic antivenom, produced by the Butantan
Institute, Sao Paulo, Brazil, to neutralize metallo-and serine peptidases, known
as the major toxins present in Bothrops jararaca venom. A set of Fret peptides
(Free Ressonance Energy Transfer) was studied using the BjV (B. jararaca venom)
and site-directed inhibitors PMSF, EDTA and 1,10-phenanthroline. Two substrates
were reached to be used as specific tools for studies with metallo peptidases,
Abz-FASSAQ-EDDnp, and the serine peptidases, Abz-RPPGFSPFRQ-EDDnp. In
disagreement with the literature, the use of both substrates and the
antibothropic serum showed a weak neutralization of the serine peptidases present
in this venom and a strong neutralization of the metallo peptidases. In order to
investigate possible mechanisms of action that have not yet been described for
the serine peptidases from the BjV, the present study shows for the first time a
new tyrosine-specific chymotrypsin-like and angiotensin-degrading serine
peptidase activity, that was partially blocked by the antibothropic serum. In
conclusion, the antivenom presented a good neutralization of metallo peptidases
but not of serine peptidases, indicating that further studies about serine
peptidases immunogenicity are necessary to improve the antibothropic serum.
PMID- 22093544
TI - A systematic review on the effect of sweeteners on glycemic response and
clinically relevant outcomes.
AB - BACKGROUND: The major metabolic complications of obesity and type 2 diabetes may
be prevented and managed with dietary modification. The use of sweeteners that
provide little or no calories may help to achieve this objective. METHODS: We did
a systematic review and network meta-analysis of the comparative effectiveness of
sweetener additives using Bayesian techniques. MEDLINE, EMBASE, CENTRAL and CAB
Global were searched to January 2011. Randomized trials comparing sweeteners in
obese, diabetic, and healthy populations were selected. Outcomes of interest
included weight change, energy intake, lipids, glycated hemoglobin, markers of
insulin resistance and glycemic response. Evidence-based items potentially
indicating risk of bias were assessed. RESULTS: Of 3,666 citations, we identified
53 eligible randomized controlled trials with 1,126 participants. In diabetic
participants, fructose reduced 2-hour blood glucose concentrations by 4.81 mmol/L
(95% CI 3.29, 6.34) compared to glucose. Two-hour blood glucose concentration
data comparing hypocaloric sweeteners to sucrose or high fructose corn syrup were
inconclusive. Based on two <=10-week trials, we found that non-caloric sweeteners
reduced energy intake compared to the sucrose groups by approximately 250-500
kcal/day (95% CI 153, 806). One trial found that participants in the non-caloric
sweetener group had a decrease in body mass index compared to an increase in body
mass index in the sucrose group (-0.40 vs 0.50 kg/m2, and -1.00 vs 1.60 kg/m2,
respectively). No randomized controlled trials showed that high fructose corn
syrup or fructose increased levels of cholesterol relative to other sweeteners.
CONCLUSIONS: Considering the public health importance of obesity and its
consequences; the clearly relevant role of diet in the pathogenesis and
maintenance of obesity; and the billions of dollars spent on non-caloric
sweeteners, little high-quality clinical research has been done. Studies are
needed to determine the role of hypocaloric sweeteners in a wider population
health strategy to prevent, reduce and manage obesity and its consequences.
PMID- 22093546
TI - Presumed cytomegalovirus-associated retrobulbar optic neuritis in a patient after
allogeneic stem cell transplantation.
AB - A case of cytomegalovirus (CMV)-associated bilateral retrobulbar optic neuritis
(ON) following haploidentical hematopoietic stem cell transplantation (haplo
HSCT) is reported. Abrupt onset of bilateral decreased vision occurred in a 33
year-old man 7 months after haplo-HSCT. His cerebrospinal fluid (CSF)
demonstrated pleocytosis with an absence of leukemic cells. CMV DNA was detected
in his blood and CSF sample. Neither encephalopathy nor retinopathy was found in
this patient. He was diagnosed with bilateral retrobulbar ON. Although he was
given enough antiviral treatment against CMV and immunosuppression with high-dose
methylprednisolone, the patient's vision showed no improvement, and he has almost
total bilateral blindness. This is the first report, to our knowledge, of CMV
associated bilateral retrobulbar ON in allogeneic stem cell transplantation.
PMID- 22093548
TI - Dietary n-3 PUFA affect lipid metabolism and tissue function-related genes in
bovine muscle.
AB - Gene expression profiles of bovine longissimus muscle as affected by dietary n-3
v. n-6 fatty acid (FA) intervention were analysed by microarray pre-screening of
>3000 muscle biology/meat quality-related genes as well as subsequent
quantitative RT-PCR gene expression validation of genes encoding lipogenesis
related transcription factors (CCAAT/enhancer-binding protein beta, sterol
regulatory element-binding transcription factor 1), key-lipogenic enzymes (acetyl
CoA carboxylase alpha (ACACA), fatty acid synthase (FASN), stearoyl-CoA
desaturase (SCD)), lipid storage-associated proteins (adipose differentiation
related protein (ADFP)) and muscle biology-related proteins (cholinergic
receptor, nicotinic, alpha1, farnesyl diphosphate farnesyl transferase 1, sema
domain 3C (SEMA3C)). Down-regulation of ACACA (P = 0.00), FASN (P = 0.09) and SCD
(P = 0.02) gene expression upon an n-3 FA intervention directly corresponded to
reduced SFA, MUFA and total FA concentrations in longissimus muscle, whereas
changes in ADFP (P = 0.00) and SEMA3C (P = 0.05) gene expression indicated
improved muscle function via enhanced energy metabolism, vasculogenesis,
innervation and mediator synthesis. The present study highlights the significance
of dietary n-3 FA intervention on muscle development, maintenance and function,
which are relevant for meat quality tailoring of bovine tissues and modulating
animal production-relevant physiological processes.
PMID- 22093549
TI - Gestational age dependent content, composition and intrauterine accretion rates
of fatty acids in fetal white adipose tissue.
AB - BACKGROUND: Little is known about the gestational age (GA) dependent content,
composition and intrauterine accretion rates of fatty acids (FA) in fetal white
adipose tissue (WAT). OBJECTIVE & DESIGN: To acquire this information, we
collected abdominal subcutaneous WAT samples from 40 preterm and term fetuses.
Their GA ranged from 22 to 43 weeks. FA were expressed as mg/g wet WAT and g/100g
FA (g%). Intrauterine WAT FA accretion rates were estimated for appropriate (AGA)
and large (LGA) for gestational age infants. RESULTS: From 25 to 40 weeks
gestation, saturated-FA (SAFA) increased from 83 to 298 mg/g WAT and
monounsaturated-FA (MUFA) from 83 to 226 mg/g WAT, while polyunsaturated-FA
(PUFA) increased insignificantly from 18.0 to 23.2 mg/g WAT. As percentages of
total FA, SAFA increased from 46 to 55 g%, MUFA decreased from 44 to 41 g%, and
PUFA from 10.3 to 4.26 g%. Docosahexaenoic (DHA) and arachidonic acid (AA)
accretion rates in WAT during the 3rd trimester for AGA infants were 88 and 193
mg/week, respectively. Contemporaneous DHA and AA accretion rates for 4500 g LGA
infants were 184 and 402 mg/week, respectively. Compared to the whole 3rd
trimester, increment rates during the last 5 weeks of gestation were about 2-fold
higher. CONCLUSION: FA accretion rates, notably those of DHA and AA, may be
important for designing nutritional regiments for preterm infants. The current
WAT-DHA and WAT-AA accretion rates are considerably lower than previously
reported in the literature.
PMID- 22093550
TI - [Furthering the standardized clinical study on gastroesophageal reflux disease].
PMID- 22093547
TI - Inhibition of cathepsin B activity attenuates extracellular matrix degradation
and inflammatory breast cancer invasion.
AB - INTRODUCTION: Inflammatory breast cancer (IBC) is an aggressive, metastatic and
highly angiogenic form of locally advanced breast cancer with a relatively poor
three-year survival rate. Breast cancer invasion has been linked to proteolytic
activity at the tumor cell surface. Here we explored a role for active cathepsin
B on the cell surface in the invasiveness of IBC. METHODS: We examined expression
of the cysteine protease cathepsin B and the serine protease urokinase
plasminogen activator (uPA), its receptor uPAR and caveolin-1 in two IBC cell
lines: SUM149 and SUM190. We utilized a live cell proteolysis assay to localize
in real time the degradation of type IV collagen by IBC cells. IBC patient
biopsies were examined for expression of cathepsin B and caveolin-1. RESULTS:
Both cell lines expressed comparable levels of cathepsin B and uPA. In contrast,
levels of caveolin-1 and uPAR were greater in SUM149 cells. We observed that uPA,
uPAR and enzymatically active cathepsin B were colocalized in caveolae fractions
isolated from SUM149 cells. Using a live-cell proteolysis assay, we demonstrated
that both IBC cell lines degrade type IV collagen. The SUM149 cells exhibit
predominantly pericellular proteolysis, consistent with localization of
proteolytic pathway constitutents to caveolar membrane microdomains. A functional
role for cathepsin B was confirmed by the ability of CA074, a cell impermeable
and highly selective cathepsin B inhibitor, to significantly reduce pericellular
proteolysis and invasion by SUM149 cells. A statistically significant co
expression of cathepsin B and caveolin-1 was found in IBC patient biopsies, thus
validating our in vitro data. CONCLUSION: Our study is the first to show that the
proteolytic activity of cathepsin B and its co-expression with caveolin-1
contributes to the aggressiveness of IBC.
PMID- 22093551
TI - [Design is determinative for success: experience and lessons from large
international clinical trials].
PMID- 22093552
TI - [Interpretation of new guidelines for adult cardiopulmonary resuscitation].
PMID- 22093553
TI - [A retrospective analysis of clinical characteristics and mortality of
gastrointestinal bleeding in 414 elderly patients].
AB - OBJECTIVE: To analyze clinical characteristics of patients with gastrointestinal
bleeding (GIB) and the death-related risk factors. METHODS: A retrospective
analysis was conducted in 414 patients hospitalized for GIB during a 16-year
period of 1994 to 2009. Logistic regression analysis identified predictors of
mortality. RESULTS: The mean age of the 414 patients is 83.5 years old, ranging
from 65 to 96 years old. The main causes of GIB were peptic ulcer (33.1%,
137/414), gastroduodenal mucosal erosion (28.5%, 118/414) and tumor (21.0%,
87/414). The main symptom was melena (71.0%, 294/414). Drugs that induced GIB
were mainly non-steroidal anti-inflammatory drugs, including aspirin (11.1%,
46/414), acetaminophen (8.9%, 37/414) and indomethacin (1.9%, 8/414). 14% of
patients (58/414) died of GIB in 30 days. The proportion of drug-induced GIB and
gastroduodenal mucosal erosion caused GIB had increased significantly during the
period of 2004 to 2009 (P < 0.05). Analysis of 30-day mortality risk showed
advanced age, low diastolic blood pressure, high heart rate, low hemoglobin
levels at presentation and hemorrhage volume in dead GIB elderly patients were
significantly different compared with GIB elderly patients alive. Presence of
severe comorbidity (heart failure and renal failure) and caused by cirrhosis and
portal hypertension in GIB elderly patients were the only independent predictors
of 30-day mortality (P < 0.001). CONCLUSIONS: Death of GIB patients occurred
predominantly in elderly patients with severe comorbidities and systemic
conditions at presentation.
PMID- 22093554
TI - [The endoscopic and clinical features of Indigo Naturalis-associated ischemic
lesions of colonic mucosa].
AB - OBJECTIVE: By analysing the clinical features of Indigo Naturalis-associated
ischemic lesion of colon mucosa to improve the precautionary and therapeutic
level of the disease. METHODS: Thirteen patients diagnosed as Indigo Naturalis
associated ischemic lesion of colon mucosa in Peking University Third Hospital
from 2005 to 2010 were reviewed. The endoscopic and clinical features were
analysed. RESULTS: The 13 patients with an average age of (60.6 +/- 14.1) years
old were prescribed Chinese traditional medicine containing Indigo Naturalis for
psoriasis or idiopathic thrombocytopenic purpura (ITP). The ratio of males to
females was 1:1.6. The typical manifestations were abdominal pain and bloody
stool with watering diarrhea before bloody stool in 61.5% patients. Endoscopic
and pathological characteristics were coincident with ischemic lesion and more
like a chronic index. Vasodilatic medicine was effective and the average
hemostatic time was (1.7 +/- 0.8) days. The prognosis was well and no recurrence
was found during 3 months follow-up. CONCLUSIONS: Patients having psoriasis or
ITP treated with Chinese traditional medicine containing Indigo Naturalis have an
inclination to colon mucosa lesions, even ischemic lesion. Careful assessment and
observation before prescribing are necessary in these patients.
PMID- 22093555
TI - [An analysis of clinical characteristics of forty-six AIDS phobia patients].
AB - OBJECTIVE: To summarize the clinical characteristics of AIDS phobia patients and
establish the preliminary clinical diagnostic criteria. METHODS: The clinical
information of 46 AIDS phobia patients was collected and summarized. General
demographic data, clinical manifestations and laboratory results were analyzed.
RESULTS: The clinical characteristics of AIDS phobia patients include: (1) With
or without high-risk behavior of HIV-1 infection; (2) Patients repeatedly
demanded HIV/AIDS related laboratory tests, suspected or believed in HIV-1
infection with daily life affected; (3) The main complaints were non-specific
including influenza-like symptoms (headache, sore throat and so on),
fasciculation, formication, arthrodynia, fatigue and complaint of fever with
normal body temperature; physical examination did not reveal any positive
physical sign except white coated tongue; (4) Symptoms mainly appeared 0-3 months
after the high-risk behavior while HIV-1 antibody kept negative; (5) T lymphocyte
subsets test was carried out in 23 patients and showed 19 (82.6%) with CD(4)(+) T
lymphocyte count > 500/ul, the remaining 4 were 300 - 500/ul, with the lowest
count of 307/ul. Few patients had inversed CD(4)(+)/CD(8)(+) ratio but without
excessive CD(8)(+)T lymphocyte activation. CONCLUSIONS: AIDS phobia is a
complicated physical and mental disease, whose diagnosis and treatment still need
further investigation.
PMID- 22093556
TI - [Blood stream infections during agranulocytosis period after hematopoietic stem
cell transplantation in one single center].
AB - OBJECTIVE: To analyze the epidemiologic features of blood stream infection (BSI)
during the period of agranulocytosis after hematopoietic stem cell
transplantation (HSCT) in our hospital, and provide the basis for the empirical
therapy. METHODS: The consecutive hematopoietic stem cell transplantation
recipients conducted between January 2008 and October 2010 were studied
retrospectively, to identify the current incidence, etiology for BSI and
associated mortality during the period of agranulocytosis. RESULTS: Totally 75
patients were diagnosed as BSI. The incidence of BSI was 9.6% (75/784) in HSCT
during the period of agranulocytosis, 17.0% (75/441) in febrile patients. The
pathogen testing showed that 64.4% were G(-) bacteria, 30.1% were G(+) bacterial
and 5.5% were fungi. All G(-) bacteria except one were sensitive to carbapenems;
all G(+) bacteria except one were sensitive to vancomycin. Among the 75 patients,
9 (12.0%) experienced septic shock and 5 (6.7%) died. CONCLUSIONS: The pathogens
of the BSI in the cohort are G(-) bacteria, followed by G(+) bacteria and fungi.
Carbapenems and vancomycin are the first drugs for the experiential therapy.
PMID- 22093557
TI - [The relationship between hyperuricaemia and clinic pathology of IgA
nephropathy].
AB - OBJECTIVE: To analyze the correlation between the level of serum uric acid and
the clinical and pathological features of IgA nephropathy. METHODS: Totally 148
patients diagnosed as IgA nephropathy by renal biopsy in our hospital from
January 2007 to December 2010 were divided into hyperuricaemic group (41 cases)
and non-hyperuricaemic group (107 cases) according to the level of serum uric
acid. The clinical parameters and renal pathology grade were compared. RESULTS:
There were significant differences between hyperuricaemic group and non
hyperuricaemic group in the incidences of hypertension (63.4% vs 38.3%), disease
duration [(18.90 +/- 10.12) months vs (9.46 +/- 3.91) months] and body mass index
[(22.81 +/- 3.60) kg/m(2) vs (15.32 +/- 2.54) kg/m(2)] (all P < 0.05), while no
differences in age and sex (both P > 0.05). The blood urea nitrogen (BUN) [(8.93
+/- 4.28) mmol/L vs (5.21 +/- 2.18) mmol/L], creatinine (Cr) [(155.96 +/- 107.72)
umol/L vs (79.52 +/- 40.01) umol/L], serum triglycerides [(2.11 +/- 1.06) mmol/L
vs (1.86 +/- 1.20) mmol/L] and 24-hour urine protein amount [(4328.16 +/-
1434.25) mg/24 h vs (2885.10 +/- 1388.15) mg/24 h] were significantly different
between the two groups (all P < 0.05). The percentage of Lee's grade I + II in
hyperuricaemic group was 12.2%, and IV + V grade was 39.0%, while percentage of
Lee's grade I + II in non-hyperuricaemic group was 25.2%, and IV + V grade was
16.9% (P < 0.05). Tubulointerstitial lesions (TIL) grade III + IV was more in
hyperuricaemic group, which was 68.3%, while TIL grade II was more in non
hyperuricaemic group, which was 76.6%. Renal artery damage grade II + III was
more in hyperuricaemic group, which was 73.2%, while renal artery damage grade 0
+ I was more in non-hyperuricaemic group, which was 69.2%. CONCLUSIONS: The level
of serum uric acid was related with 24-hour urine protein amount, blood pressure
and kidney function in IgA nephropathy, and Lee's grade, TIL grade and renal
artery damage grade were severe in hyperuricaemic group.
PMID- 22093559
TI - [The significance of cough reflex sensitivity test in chronic cough].
AB - OBJECTIVE: Preliminary study of the cough reflex sensitivity test in chronic
cough patients with different gender, disease duration and causes to evaluate the
clinical diagnostic significance of the test and further provide some information
for the treatment of chronic cough. METHODS: Totally 108 chronic cough patients
in our hospital were enrolled in the study with the final diagnosis of upper
airway cough syndrome (UACS), cough variant asthma (CVA) and gastroesophageal
reflux cough (GERC). They all went through the cough reflex sensitivity test and
the retrospective analysis of the results was made. RESULTS: In 108 patients with
chronic cough (76 UACS, 19 CVA and 13 GERC), lgC5 was significantly slower in the
female (1.80) than the male (2.40, P < 0.05) and in the patients with cough
duration over 12 months (1.80) than those with cough duration under 12 months
(2.40, P < 0.05). Cough sensitivity in patients with different causes was
significantly different (P < 0.05) with lower lgC5 in GERC patients (1.49) than
CVA (2.40, P < 0.05) and UACS (2.40, P < 0.05) patients. CONCLUSIONS: Cough
sensitivity is different in chronic cough patients with different gender, disease
duration and causes. Cough reflex sensitivity test is of great value in the
etiology diagnosis of chronic cough.
PMID- 22093558
TI - [The relationship between coagulation/anticoagulation imbalance and oxidative
stress in patients with chronic obstructive pulmonary disease].
AB - OBJECTIVE: To explore the relationship between coagulation/anticoagulation
imbalance and oxidative stress in the patients with chronic obstructive pulmonary
disease during acute exacerbation (AECOPD) before and after treatment. METHODS:
Plasma tissue factor (TF) and tissue factor pathway inhibitor (TFPI) activity was
detected by chromogenic assay in 28 AECOPD patients before and after treatment as
well as in 30 healthy controls. The total antioxidative capacity (TAC),
malondialdehyde (MDA) and glutathione peroxidase (GSH-PX) in plasma were measured
in both groups. RESULTS: The levels of plasma TF and TFPI, and their ratio
(TF/TFPI) in AECOPD patients before treatment were significantly higher than
those after treatment (all P < 0.01), the latter were still higher than those in
the healthy persons (all P < 0.01). The levels of the TAC and GSH-PX in plasma in
AECOPD patients before treatment were significantly lower than those after
treatment (all P < 0.01), the latter were still lower than those in the healthy
persons (all P < 0.01). The plasma MDA in AECOPD patients before treatment was
significantly higher than that after treatment (P < 0.01), which was still higher
than that in the healthy persons (P < 0.05). There were negative correlations
between TF/TFPI ratio and TAC (r = -0.518, P < 0.01), GSH-PX (r = -0.454, P <
0.05), PaO2 (r = -0.511, P < 0.01) respectively and a positive correlation
between TF/TFPI ratio and the percentage of neutrophils (r = 0.379, P < 0.05) in
AECOPD patients before treatment. There still were negative correlations between
TF/TFPI ratio and TAC (r = -0.420, P < 0.05), FEV(1)% to predicted (r = -0.480, P
< 0.05) respectively, and a positive correlation between TF/TFPI ratio and MDA (r
= 0.451, P < 0.05) in AECOPD patients after treatment. CONCLUSIONS: There existed
coagulation/anticoagulation imbalance and oxidation/antioxidation imbalance
before and after treatment in AECOPD patients and their relationship was
explored.
PMID- 22093560
TI - [An analysis of factors for quality-of-life in patients with chronic cough].
AB - OBJECTIVE: To constitute a correlation with the subjective indicators by
investigation of the causes and clinical features in patients with chronic cough.
METHODS: Totally 100 patients with chronic cough were recruited followed a
diagnostic program. Airway responsiveness [by methacholine challenge test (MCT)],
Leicester cough questionnaire (LCQ), visual analogue scale (VAS), cough score,
age, gender and disease duration were all recorded for analysis. RESULTS: The top
five causes of chronic cough in these patients were variant asthma, post
infectious cough, atopic cough, eosinophilic bronchitis and upper airway cough
syndrome. LCQ total score was negatively correlated with age and the VAS score (r
= -0.239 and -0.470 respectively, all P < 0.05), while no difference was found
among patients with different causes of disease or gender (F = 1.233, t = 1.918,
all P > 0.05) and no correlation was found with BMI (r = -0.029, P > 0.05). The
physiological and psychological field score in female patients significantly
reduced (t = 2.174, 1.990, P < 0.05), and LCQ total score of MCT positive
patients obviously reduced than negative ones (t = -2.22, P < 0.05). After the
treatment of two weeks, LCQ three component field and total score could be
improved significantly (all P < 0.01). CONCLUSIONS: Gender and age may have some
impact on the quality of life in patients with chronic cough. LCQ, VAS and cough
score should be used to assess cough severity and evaluate therapeutic effect in
patients with chronic cough.
PMID- 22093561
TI - [The relationship between left ventricular diastolic function and arterial
stiffness in diabetic coronary heart disease].
AB - OBJECTIVES: By measuring left ventricular diastolic function and arterial
stiffness, this study aims to probe into the effect of diabetes mellitus (DM) on
left ventricular diastolic function and arterial stiffness, and evaluate the
correlation between left ventricular diastolic function and arterial stiffness.
METHODS: Seventy-six inpatients were enrolled. According to their coronary
angiography, OGTT test results and past history of DM, patients were divided into
controlled, CHD (coronary heart disease with no DM), and CHD + DM groups. Through
invasive hemodynamic monitoring during left ventricular angiography, left
ventricular end-diastolic pressure (LVEDP) and tau index were collected. Carotid
femoral pulse wave velocity (c-f PWV), reflected wave augmentation index (AIx@75)
and other data reflecting the degree of arterial stiffness were collected bedside
with non-invasive means. SPSS 18.0 was used for statistical analysis. RESULTS: No
significant difference was found between groups for LVEDP, tau index, and AIx@75.
In terms of c-f PMV, The CHD + DM group (8.79 +/- 1.59) cm/s differed
significantly from the CHD group (7.43 +/- 1.42) cm/s and the controlled group
(6.83 +/- 1.14) cm/s. No correlations were found between c-f PMV and LVEDP or tau
index. A positive correlation was found between AIx@75 and tau index.
CONCLUSIONS: Compared with the controlled group and CHD patients with no DM, CHD
+ DM patients show worse arterial stiffness with no difference in ventricular
diastolic function. There is a positive correlation between arterial stiffness
and diastolic dysfunction.
PMID- 22093562
TI - [The relationship between amount of cigarette smoked and insulin resistance in
male patients with coronary artery disease].
AB - OBJECTIVE: To investigate the relationship between smoking and insulin resistance
in non-obese male patients with CAD. METHODS: 414 consecutive non-obese male
patients with angiographically-documented CAD (luminal diameter narrowing > 50%)
were recruited, including 113 nonsmokers and 301 smokers. With 99 mild smokers (<
400 packs/year), 95 medium smokers (400 - 799 packs/year) and 107 heavy smokers
(>= 800 packs/year). Insulin resistance index (IRI) was expressed by homeostasis
model assessment for insulin resistance (HOMA-IR) calculated by the formula of
[fasting serum glucose (mmol/L) * fasting plasma insulin (mU/L)]/22.5. IRI >=
2.69 was defined as insulin resistance, while IRI < 2.69 was insulin sensitive.
Fasting glucose, fasting insulin and IRI were recorded and odds ratio for the
incidence of insulin resistance was calculated. RESULTS: Fasting glucose was
higher in heavy smokers (5.86 mmol/L) than that in nonsmokers (5.51 mmol/L, P =
0.037) and mild smokers (5.33 mmol/L, P = 0.014). Fasting insulin and IRI were
also significantly higher in heavy smokers (10.25 mU/L) than those in non-smokers
(8.72 mU/L, P = 0.0231, respectively) and mild smokers (8.67 mU/L, P = 0.0231).
Compared with nonsmokers, the odds ratio for the incidence of insulin resistance
was 1.53 (95%CI 0.55 - 2.94; P = 0.027) in medium smokers and 1.89 (95%CI 0.49 -
3.14; P = 0.018) in heavy smokers. CONCLUSIONS: The relationship between smoking
and insulin resistance is highly dose dependent in non-obese male patients with
CAD.
PMID- 22093563
TI - [The cytogenetic characteristics of 178 acute myeloid leukemia patients].
AB - OBJECTIVE: To explore the cytogenetic characteristics of acute myeloid leukemia
(AML) patients. METHODS: The karyotype analysis was performed in 178 AML using
the short-term culture of bone marrow cell and G-banding technique. RESULTS:
Among the 178 patients, 171 had enough metaphases for analysis and 128 (74.9%)
had clonal karyotypic abnormalities. Twenty-seven patients were secondary to
myelodysplastic syndrome (MDS-AML), with 25 (92.6%) patients carrying clonal
karyotypic abnormalities. Among the remaining 144 patients of de novo AML, 103
(71.5%) had clonal karyotypic abnormalities. The rate of abnormal clonal
karyotype was higher in MDS-AML than that of de novo AML (P = 0.021). Among the
171 patients, 41 (24.0%) were in favorable risk group, 80(46.8%) in intermediate
risk group and 50 (29.2%) in adverse risk group. t(15;17) was the most common
chromosomal aberration. The majority intermediate risk chromosomal aberration was
normal karyotype. The most common cytogenetic abnormality among adverse group was
a complex karyotype. Adverse cytogenetic aberrations, such as -5/5q-, -7/7q-,
frequently occurred in conjunction with one another as part of a complex
karyotype. Totally 75 patients were 60 years or older, among them, 16.0% were in
favorable risk group, 48.0% in intermediate risk group and 36.0% in adverse risk
group. Among 96 younger patients, 30.2% were in favorable risk group, 45.8% in
intermediate risk group and 24.0% in adverse risk group. The rate of favorable
risk chromosomal aberration was lower in elder patients than in younger (P =
0.031). The rate of adverse risk chromosomal aberration and the rate of monosomal
karyotype were higher in MDS-AML than in de novo AML patients (P < 0.001).
CONCLUSIONS: The most common favorable, intermediate and adverse chromosomal
aberrations were t(15;17), normal karyotype and complex karyotype respectively.
The karyotype was poor in MDS-AML and elder AML patients.
PMID- 22093564
TI - [The clinical analysis of mercury poisoning in 92 cases].
AB - OBJECTIVE: To summarize the clinical features of mercury poisoning diagnosed by
blood and urine tests for improving the diagnosis and treatment of the disease.
METHODS: Poisoning causes, clinical manifestations, diagnosis, treatment and
prognosis were retrospectively reviewed in 92 in-patients with mercury poisoning
in our hospital from January 2000 to April 2010. RESULTS: Of the 92 patients, 37
were male and 55 were female with an average age of 33.1 (2 - 65) years old. The
mercury poisoning was caused by occupational exposure and non-occupational
exposure, such as iatrogenic exposure, life exposure and wrong intake or suicidal
intake of mercury-containing substances, mainly through respiratory tract,
digestive tract and skin absorption. The most common clinical symptoms were as
the followings: nervous system symptom, such as memory loss in 50 cases (54.3%),
fatigue in 34 (37.0%), numb limb in 25 (27.2%), dizziness and headache in 22
(23.9%), cacesthesia in 20 (21.7%), fine tremor (finger tip, tongue tip, eyelids)
in 15 (16.3%), insomnia and more dreams in 12 (13.0%); gastrointestinal symptoms:
nausea in 16 (17.4%), abdominal pain in 14 (15.2%), stomatitis in 5 (5.4%); joint
and muscle symptoms: muscle pain in 16 (17.4%), joint pain in 5 (5.4%);
cardiovascular system: chest tightness, heart palpitations in 6 (6.5%); urinary
system: edema in 9 (9.8%); other system: hidrosis in 20 (21.7%). After the
treatment with sodium dimercaptopropane sulfonate (DMPS), the symptoms were
gradually alleviated. Their gastrointestinal, cardiovascular symptoms were
alleviated within 2 weeks; neurological symptoms were alleviated within 3 months;
kidney damage showed a slower recovery and could be completely alleviated within
6 months. CONCLUSIONS: Because of its diverse clinical symptoms, the mercury
poisoning was easy to misdiagnosis and missed diagnosis; therefore the awareness
of the disease should be further enhanced. Leaving from the poisoning environment
timely and giving appropriate treatment with DMPS will lead to a satisfactory
prognosis.
PMID- 22093565
TI - A method for simplifying the analysis of traffic accidents injury severity on two
lane highways using Bayesian networks.
AB - INTRODUCTION: This study describes a method for reducing the number of variables
frequently considered in modeling the severity of traffic accidents. The method's
efficiency is assessed by constructing Bayesian networks (BN). METHOD: It is
based on a two stage selection process. Several variable selection algorithms,
commonly used in data mining, are applied in order to select subsets of
variables. BNs are built using the selected subsets and their performance is
compared with the original BN (with all the variables) using five indicators. The
BNs that improve the indicators' values are further analyzed for identifying the
most significant variables (accident type, age, atmospheric factors, gender,
lighting, number of injured, and occupant involved). A new BN is built using
these variables, where the results of the indicators indicate, in most of the
cases, a statistically significant improvement with respect to the original BN.
CONCLUSIONS: It is possible to reduce the number of variables used to model
traffic accidents injury severity through BNs without reducing the performance of
the model. IMPACT ON INDUSTRY: The study provides the safety analysts a
methodology that could be used to minimize the number of variables used in order
to determine efficiently the injury severity of traffic accidents without
reducing the performance of the model.
PMID- 22093566
TI - Injuries and risk-taking behaviours in Portuguese adolescents: Highlights from
the health behaviour in school-aged children survey.
AB - AIM: Injuries in adolescence are an important public health problem and a major
cause of morbidity and mortality in this age group. This study aimed to determine
the behaviour profile associated to risk of injury and the differences between
genders, region and ethnic origin. METHODS: A cross-sectional study design of a
nationally representative sample of 1581 adolescents of the Portuguese Health
Behaviour in School-Aged Children study. RESULTS: An occurrence of one injury
related event in the previous year was reported by 21.4% of the respondents and
5.9% referred having more than one injury-related event. Boys reported higher
frequency of those events when compared to girls, and non-Portuguese subjects
referred higher frequency of events. Scoring higher in violence-related behaviour
and psychosomatic complaints was associated to higher chances of referring more
than one injury-related event. CONCLUSION: Gender, social background and
behaviour profile are factors that seem to influence the risk of injuries. This
information should guide those involved in the planning of injuries prevention
programs in youth.
PMID- 22093567
TI - A process mapping model for calculating indirect costs of workplace accidents.
AB - This article follows an earlier one in which four criteria and four bases for the
development of an indirect-cost calculation model adapted to the accuracy
requirements and time constraints of workplace decision-makers were established.
A two-level model for calculating indirect costs using process mapping of the
organizational response to a workplace accident is presented. The model is based
on data collected in interviews with those employees in charge of occupational
health and safety in 10 companies of various sizes in different industry sectors.
This model is the first to use process mapping to establish the indirect costs of
workplace accidents. The approach allows easy identification of the duration and
frequency of actions taken by stakeholders when a workplace accident occurs,
facilitates the collection of the information needed to calculate indirect costs
and yields a usable, precise result. A simple graphic representation of an
organization's accident processes helps the user understand each accident's cost
components, allowing the identification and reduction of inefficiencies in the
overall process. IMPACT ON INDUSTRY: By facilitating data collection and
shortening the time needed to assess indirect costs of workplace accidents, this
indirect cost calculation tool is better suited for workplace use than those
currently available.
PMID- 22093568
TI - What is the potential of trauma registry data to be used for road traffic injury
surveillance and informing road safety policy?
AB - INTRODUCTION: Information from hospital trauma registries is increasingly being
used to support injury surveillance efforts. This research examines the potential
of using trauma registry data for road traffic injury surveillance for different
types of road users in terms of both the information collected and how
representative trauma data are compared to two population-based road traffic
injury data collections. METHODS: The three data collections were assessed
against recommended variables to be collected for injury surveillance purposes
and the representativeness of the distribution of road traffic-related injury
data from the trauma registry was compared to hospital admission and road traffic
authority data collections. RESULTS: Data from the trauma registry was largely
not representative of the distribution of age groups or activities compared to
the two population-based collections, but was representative for gender for some
road user groups to at least one population-based data collection. CONCLUSIONS:
Trauma data could be used to supplement information from population-based data
collections to inform road safety efforts. IMPACT ON INDUSTRY: Road safety policy
makers should be aware of the potential and the limitations of using trauma
registry data for road traffic injury surveillance.
PMID- 22093569
TI - Enhancing older driver safety: A driving survey and evaluation of the CarFit
program.
AB - OBJECTIVE: To evaluate CarFit, an educational program designed to promote optimal
alignment of driver with vehicle. METHODS: A driving activity survey was sent to
727 randomly selected participants living in retirement communities. Drivers
(n=195) were assigned randomly to CarFit intervention (n=83, M age=78.1) or
Comparison (n=112, M age=79.6) groups. After 6months, participants completed a
post-test of driving activity and CarFit recommendations. RESULTS: Nonconsenting
drivers were older and participated in fewer driving activities. CarFit
participation was moderate (71%) with 86% of the participants receiving
recommendations. 60% followed the recommendations at the 6-month re-evaluation).
The CarFit (67.6%) and Comparison (59.3%) groups reported at least one type of
self-regulation of driving activity at baseline. There was no significant change
in the driving behaviors at the six-month follow-up. CONCLUSION: CarFit was able
to detect addressable opportunities that may contribute to the safety of older
drivers. IMPACT ON INDUSTRY: CarFit recommendations may need stronger
reinforcement in order to be enacted by a participant.
PMID- 22093570
TI - Age and gender differences in conviction and crash occurrence subsequent to being
directed to Iowa's driver improvement program.
AB - INTRODUCTION: This paper investigates potential gender and age differences in
conviction and crash occurrence subsequent to being directed to attend Iowa's
Driver Improvement Program (DIP). METHODS: Binary logit models were developed to
investigate the factors that influence conviction occurrence after DIP by gender
and age. Because of the low crash occurrence subsequent to DIP, association rules
were applied to investigate the factors that influence crash occurrence
subsequent to DIP, in lieu of econometric models. RESULTS: There were statistical
significant differences by driver gender, age, and conviction history in the
likelihood of subsequent convictions. However, this paper found no association
between DIP outcome, crash history, and crash occurrence. IMPACT ON INDUSTRY:
Evaluating the differences in conviction and crash occurrence subsequent to DIP
between female and male drivers, and among different age groups can lead to
improvements of the effectiveness of DIPs and help to identify low-cost
intervention measures, customized based on drivers' gender and age, for improving
driving behaviors.
PMID- 22093571
TI - Acciphilia on the road: An analysis of severe collisions.
AB - INTRODUCTION: Although prior studies of road traffic accidents have found between
group differences in risk, little attention has been given to the encounter
between drivers involved in severe collisions. METHOD: The present study
empirically evaluates two different possible causes of "social accidents," which
are defined as collisions between two or more drivers where some faulty social
interaction might be assumed, and which are the most prevalent cause of road
injuries. The analyses use merged Israeli collision records from 1983 to 2004
with data from two national censuses, thus providing an unprecedented empirical
basis to study the social foundations of car accidents. The data are used to
adjudicate between two alternative hypotheses: the heterogeneity hypothesis
(socially different drivers tend to collide) versus the homogeneity hypothesis
(socially similar drivers tend to collide). RESULTS: Multivariate analyses
provide preliminary support for the latter hypothesis. Given an accident, there
are more collisions among drivers from the same broad educational group, and the
factors that influence this correlation are independent of geography. The paper
thus leads to the idea that severe collisions reflect a sociological or
ecological process that is akin to acciphilia. IMPACT ON INDUSTRY: The
preliminary findings suggest that variation between drivers may be preferable to
similarity, since apparently there is a greater tendency toward collisions
between similar drivers.
PMID- 22093572
TI - Severity models of cross-median and rollover crashes on rural divided highways in
Pennsylvania.
AB - INTRODUCTION: Crossover and rollover crashes in earth-divided, traversable
medians on rural divided highways can lead to severe injury outcomes. This study
estimated severity models of these two crash types. Vehicle, driver, roadway, and
median cross-section design data were factors considered in the models. A unique
aspect of the data used to estimate the models were the availability of median
cross-slope data, which are not commonly included in roadway inventory data
files. METHODS: A binary logit model of cross-median crash severity and a
multinomial logit model of rollover crash severity were estimated using five
years of data from rural divided highways in Pennsylvania. RESULTS: The highest
probability of a fatal or major injury in cross-median and rollover crashes was
found to occur in cases when a driver was not wearing a seatbelt. While flatter
cross-slopes and narrower medians were associated with more severe cross-median
crash outcomes, steeper cross-slopes and narrower medians significantly increased
rollover crash severity outcomes. The presence of horizontal curves was
associated with increased probabilities of high-severity outcomes in a median
rollover crash. IMPACT ON INDUSTRY: Modeling results in this study confirmed that
cross-median and median rollover crash severity outcomes are associated with
median cross-section design characteristics. Based on the estimated models, it
appears that flatter and narrower medians lead to more severe injury outcomes in
cross-median crashes. Steeper median cross-slopes and narrower medians were
associated with higher probabilities of more severe outcomes in median rollover
crashes. The results presented in this study suggest that there is a trade-off
between median cross-section design and cross-median and rollover crashes in
earth-divided, traversable medians on rural divided highways. While the severity
models can be included in a framework to develop design guidance in relation to
this trade-off, models of crash frequency should also be considered.
PMID- 22093573
TI - Analysis of precipitation-related motor vehicle collision and injury risk using
insurance and police record information for Winnipeg, Canada.
AB - INTRODUCTION: Police records are the most common source of data used to estimate
motor-vehicle collision risks, understand causal or contributing factors, and
evaluate the efficacy of interventions. The literature notes concerns about this
information citing discrepancies between police reports and other sources of
injury occurrence and severity data. The primary objective of the analysis was to
assess the adequacy of police reports for an examination of weather-related
injury collision risk. METHOD: Analyses of relative risk were carried out using
both police records and comprehensive insurance claim data for Winnipeg, Canada
over the period 1999-2001. RESULTS AND CONCLUSIONS: Both data sets yielded very
similar results-precipitation substantially increases the risk of injury
collision (police records: RR 1.76, CI 1.55-2.00; insurance: RR 1.80, CI 1.62
1.99) and risk of injury (police records, RR 1.74, CI 1.55-1.96; insurance, RR
1.69, CI 1.55-1.85) relative to corresponding dry weather control periods. Both
rainfall and snowfall were associated with large increases in collisions and
injuries. IMPACT ON INDUSTRY: While relative risks are almost identical, over 64%
more injury collisions and 74% more injuries were identified using the insurance
data, which is an important difference for evaluating absolute risk and exposure.
PMID- 22093574
TI - Occupational accidents with ladders in Spain: Risk factors.
AB - INTRODUCTION: Occupational accidents suffered by workers in Spain when using
ladders were analyzed over a six year period from 2003-2008, during which the
total of notified ladder-related accidents amounted to 21,725. METHOD: Different
accident-related factors were identified for the purpose of developing a pattern
of those factors that had the greatest influence on the seriousness and the
fatality of such accidents. Thus, a series of variables were examined such as age
and length of service of the injured worker, firm size, the work sector, the
injury suffered, and the part of the body that was injured. Since falls is the
most frequent and most serious of ladder related occupational accidents, a
special analysis of falls was performed. RESULTS: The findings showed that the
seriousness of ladder-related accidents increased with the age of the injured
worker. Likewise, accidents at places other than the usual workplace were more
serious and registered higher fatalities than those that occurred at the usual
place of work. CONCLUSIONS: The analysis of falls from ladders established that
accidents in smaller-sized firms were of greater seriousness and involved more
fatalities than those in larger-sized firms. The investigation also underlined
the need for stricter compliance with preliminary safety assessments when working
with ladders.
PMID- 22093575
TI - The roles and functions of safety professionals in Taiwan: Comparing the
perceptions of safety professionals and safety educators.
AB - INTRODUCTION: The perspectives of both internal and external members have to be
considered when developing safety curricula. This study discusses perceptional
differences between safety educators (SEs) and safety professionals (SPs)
regarding the function of SPs. The findings will serve as a reference framework
for the establishment of core safety competencies and the development of safety
curricula for SPs. METHOD: 248 respondents, including both SEs and SPs, completed
self-administered questionnaires, which included the 45-item safety function
scale (SFS). Nine factors were extracted from the scale using exploratory factor
analysis (EFA), namely inspection and research, regulatory tasks, emergency
procedures and settlement of damage, management and financial affairs, culture
change, problem identification and analysis, developing and implementing
solutions, knowledge management, and training and communications. RESULTS:
Descriptive statistical results indicated that SPs and SEs hold differing views
on the rank of the frequency of safety functions. MANOVA results indicated that
SPs' perceptions of developing and implementing solutions, training and
communications, inspection and research, and management and financial affairs
were significantly higher than that of SEs. On the other hand, SE's perceptions
regarding participation in regulatory tasks were significantly higher than those
of SPs. Based on these results, the author suggests that a clear communication
channel should be established between universities and industry to reduce the gap
between the perceptions of SEs and SPs. IMPACT ON INDUSTRY: The results of the
study are statistically and practically significant. In addition to serving as a
reference for the development of safety curricula, the results are also conducive
to the establishment of SP roles and functions. Ultimately the development of
more suitable safety curricula would open up employment competition for students
who graduate from safety-related programs. SPs, on the other hand, can correctly
recognize their roles and functions so as to realize the safety expectations
invested in them by organizations.
PMID- 22093576
TI - Disparities in the prevalence of smoke alarms in U.S. households: Conclusions
drawn from published case studies.
AB - INTRODUCTION: Deaths from fires and burns are a leading cause of fatal home
injury in the United States. Smoke alarms are one of the most effective
interventions to prevent residential fire deaths. Nationwide, more than 95% of
homes are estimated to have at least one smoke alarm. There is evidence that
homes at highest risk of fire deaths lag behind national averages in smoke alarm
use and maintenance. METHOD: We compiled a comprehensive list of published
studies that focus on smoke alarm prevalence in high-risk homes. Our findings
show that there are substantial gaps in both smoke alarm presence and functional
status between high-risk homes and national average estimates. CONCLUSIONS: To
save more lives, improved efforts are needed to reduce the disparity in smoke
alarm prevalence and functional use in the United States.
PMID- 22093577
TI - The nursing contribution to chronic disease management: a case of public
expectation? Qualitative findings from a multiple case study design in England
and Wales.
AB - BACKGROUND: The global response to the rise in prevalence of chronic disease is a
focus on the way services are managed and delivered, in which nurses are seen as
central in shaping patient experience. However, there is relatively little known
on how patients perceive the changes to service delivery envisaged by chronic
care models. OBJECTIVES: The PEARLE project aimed to explore, identify and
characterise the origins, processes and outcomes of effective chronic disease
management models and the nursing contributions to the models. Design, settings
and participants Case study design of seven sites in England and Wales ensuring a
range of chronic disease management models. Participants included over ninety
patients and family carers ranging in age from children to older people with
conditions such as diabetes, respiratory disease, epilepsy, or coronary heart
disease. METHODS: Semi-structured interviews with patients and family carers.
Focus groups were conducted with adolescents and children. A whole systems
approach guided data collection and data were thematically analysed. RESULTS:
Despite nurses' role and skill development and the shift away from the acute care
model, the results suggested that patients had a persisting belief in the
monopoly of expertise continuing to exist in the acute care setting. Patients
were more satisfied if they saw the nurse as diagnostician, prescriber and
medical manager of the condition. Patients were less satisfied when they had been
transferred from an established doctor-led to nurse-led service. While nurses
within the study were highly skilled, patient perception was guided by the
familiar rather than most appropriate service delivery. Most patients saw chronic
disease management as a medicalised approach and the nursing contribution was
most valued when emulating it. CONCLUSIONS: Patients' preferences and
expectations of chronic disease management were framed by a strongly biomedical
discourse. Perceptions of nurse-led chronic disease management were often shaped
by what was previously familiar to the patient. At a strategic level, autonomous
nursing practice requires support and further promotion to wider society if there
is to be a shift in societal expectation and trust in the nurse's role in chronic
disease management.
PMID- 22093578
TI - Evolutionary and functional insights into Leishmania META1: evidence for lateral
gene transfer and a role for META1 in secretion.
AB - BACKGROUND: Leishmania META1 has for long been a candidate molecule for
involvement in virulence: META1 transcript and protein are up-regulated in
metacyclic Leishmania. Yet, how META1 contributes to virulence remains unclear.
We sought insights into the possible functions of META1 by studying its
evolutionary origins. RESULTS: Using multiple criteria including sequence
similarity, nucleotide composition, phylogenetic analysis and selection pressure
on gene sequence, we present evidence that META1 originated in trypanosomatids as
a result of a lateral gene transfer of a bacterial heat-inducible protein, HslJ.
Furthermore, within the Leishmania genome, META1 sequence is under negative
selection pressure against change/substitution. Using homology modeling of
Leishmania META1 based on solved NMR structure of HslJ, we show that META1 and
HslJ share a similar structural fold. The best hit for other proteins with
similar fold is MxiM, a protein involved in the type III secretion system in
Shigella. The striking structural similarity shared by META1, HslJ and MxiM
suggests a possibility of shared functions. Upon structural superposition with
MxiM, we have observed a putative hydrophobic cavity in META1. Mutagenesis of
select hydrophobic residues in this cavity affects the secretion of the secreted
acid phosphatase (SAP), indicating META1's involvement in secretory processes in
Leishmania. CONCLUSIONS: Overall, this work uses an evolutionary biology
approach, 3D-modeling and site-directed mutagenesis to arrive at new insights
into functions of Leishmania META1.
PMID- 22093579
TI - Safety and effectiveness of adalimumab in a clinical setting that reflects
Canadian standard of care for the treatment of rheumatoid arthritis (RA): results
from the CanACT study.
AB - BACKGROUND: This multicenter, open-label, prospective, single cohort study
evaluated the effectiveness and safety of adalimumab in a clinical setting
reflecting the Canadian standard of care for the treatment of patients with
rheumatoid arthritis (RA). METHODS: Patients >= 18 years of age with a history of
active RA >= 3 months and fulfilling Canadian requirements for biological therapy
received adalimumab 40 mg subcutaneously every other week for 12 weeks. Pre-study
DMARD treatment regimens, corticosteroids, or NSAIDs were allowed throughout the
study. The primary effectiveness outcome measure was the mean change in 28-joint
disease activity score (DAS28) from baseline to Week 12. Secondary measures
included the proportion of patients achieving joint remission (DAS28 < 2.6) and
low-disease activity (DAS28 < 3.2) at Week 12, and European League Against
Rheumatism (EULAR: moderate and good) and American College of Rheumatology (ACR:
ACR20, 50, and 70) responses, as well as responses in ACR core components at
Weeks 4, 8, and 12. Subgroup analysis included a comparison of patients naive to
biological DMARD (BDMARD) therapy versus BDMARD-experienced patients. Safety was
assessed in terms of adverse and serious adverse events. RESULTS: A total of 879
patients (mean disease duration > 12 years) were enrolled; 772 (87.9%) completed
the 12-week period. Adalimumab treatment was associated with rapid and sustained
improvements in the signs and symptoms of RA. Significant improvements in mean
DAS28 score were observed as early as Week 4. After 12 weeks of adalimumab
treatment, 15.3% and 28.9% of patients achieved clinical remission and low
disease activity, respectively. Similarly, significant improvements in ACR core
components were observed as early as Week 4, with continued improvements
occurring through 12 weeks. Patients naive to BDMARD therapy demonstrated
numerically greater clinical responses when compared with patients who had
experienced prior BDMARD therapy, although both subgroups were associated with
significant improvements from baseline. The rates and types of adverse events, as
well as the results of laboratory measures, demonstrated that adalimumab was
generally safe and well-tolerated. CONCLUSIONS: This study demonstrated that,
under conditions reflective of the normal clinical practice in Canada, adalimumab
is an effective and safe treatment for patients with RA. TRIAL REGISTRATION:
NCT00649545.
PMID- 22093580
TI - Clinical implications of novel mutations in epigenetic modifiers in AML.
AB - The studies highlighted in this article suggest that mutations in TET2 mutations
may impart adverse outcome in patients with CN-AML, whereas mutations in DNMT3a
may have adverse implications in a broader set of patients with AML. The data
with IDH enzyme mutations are less clear, in that individual IDH1 and IDH2
mutations may have different clinical effects and the data so far have not
suggested a uniform effect on outcome. Despite the exciting data indicating that
mutational testing for these alterations may be clinically useful, several
challenges to understanding their clinical relevance remain. First, patients may
simultaneously have mutations in multiple genes described in this article (FLT3,
NPM1, CEBPa, DNMT3a, IDH1/2, or TET2), and in additional genes not mentioned
earlier (Ras,47 PTEN,48 PHF6,49 ASXL1,15 and RUNX145). Furthermore, comprehensive
sequencing studies of well-annotated, homogeneously treated patient cohorts are
needed to understand the clinical implications of integrated mutational profiling
in AML. An additional challenge to using mutational analysis for TET2 and DNMT3a
in clinical use is identifying a means for rapid molecular testing of these
mutations. This challenge may be met by the use of non-polymerase chain reaction
based methods of target enrichment, such as hybrid capture, followed by next
generation sequencing technologies. Moreover, clinical studies evaluating the
biochemical consequences of mutations in some of these genes (eg, production of 2
HG in bodily fluids from patients with IDH-mutant AML or increased
hydroxymethylcytosine levels in pretreatment blast DNA in patients with TET2/IDH
mutant AML) may also prove to be useful in identifying biomarkers. Alternatively,
protein-based technologies such as immunohistochemistry or mass spectrometry may
be used in the clinical setting to detect the mutant proteins or loss of
expression of specific proteins in patients with mutations. An additional area of
importance highlighted by these discoveries is the increasing realization that
several of these genes encode enzymes or result in alterations in enzymatic
activities, which may represent novel, tractable therapeutic targets for patients
with AML. This finding may hopefully lead to the development of novel targeted
therapeutics for patients with specific genetic alterations in AML. This
development may be occurring now with the advent of DOT1L-targeted therapy for
leukemic cells with translocations involving MLL1.50,51 Studies to identify
whether the neomorphic enzymatic activity of IDH1/2 mutations may be targetable
or if the downstream effects of TET2 mutations can be targeted are ongoing and
may lead to the development of rational epigenetic therapies that improve
outcomes for patients with AML.
PMID- 22093581
TI - Diagnostic and prognostic value of cytogenetics in acute myeloid leukemia.
AB - The last 4 decades have seen major advances in understanding the genetic basis of
acute myeloid leukemia (AML), and substantial improvements in survival of
children and young adults with the disease. A key step forward was the discovery
that AML cells harbor recurring cytogenetic abnormalities. The identification of
the genes involved in chromosomal rearrangements has provided insights into the
regulation of normal hematopoiesis and how disruption of key transcription
factors and epigenetic modulators promote leukemic transformation. Cytogenetics
has been widely adopted to provide the framework for development of risk
stratified treatment approaches to patient management.
PMID- 22093582
TI - Prognostic factors in adult acute leukemia.
AB - The prognostic factors in acute leukemia have undergone a major change over the
past decade and are likely to be further refined in the coming years. While age
is the single most important prognostic factor in both AML and in ALL, recurring
cytogenetic abnormalities and molecular markers have become crucial for the
prognosis of patients and for new directions in the development of targeted
therapies. No less important is the development of a personalized approach for
therapy as determined by the response to therapy using increasingly sensitive
technologies. The assessment of MRD is rapidly superseding other prognostic
factors in ALL and, somewhat lacking behind, coming into its own in AML. The next
decade should see further refinement of response-driven prognostication, to
include epigenetics as well as pharmacogenetics and pharmacodynamics of
individual drugs used and the responses to them. It is hoped that these
refinements and better predictors of response will also lead to a significantly
improved overall outcome of patients with both AML and ALL.
PMID- 22093583
TI - Induction and postremission strategies in acute myeloid leukemia: state of the
art and future directions.
AB - Although the past decade has brought improvements in the treatment of AML,
particularly for younger individuals, most patients succumb to the disease. With
current induction therapy, most patients achieve remission, but the optimal
strategy for post-remission therapy is unclear. Refinements to risk
classification systems that incorporate additional molecular markers may better
guide physicians in recommendations for postremission therapy. The prognosis for
older patients with AML remains uniformly poor, because only a minority can
benefit from intensive chemotherapy and novel HCT strategies. Despite active
investigation, no standard of care has emerged for patients who are not suitable
candidates for standard induction therapy. The development of less toxic, more
effective therapies for this population is sorely needed. Advances in molecular
genetics, immunology, and the biology of normal and malignant hematopoiesis
pathogenesis have led to an improved understanding of the pathogenesis of AML and
to the discovery of potential therapeutic targets. Until a greater proportion of
individuals with AML attain long-term survival, patients should routinely be
referred to cancer centers and enrolled in investigational studies.
PMID- 22093585
TI - Oddballs: acute leukemias of mixed phenotype and ambiguous origin.
AB - From the standpoint of the hematopathologist, attempts to dissect the
immunophenotype and other lineage-defining characteristics of the puzzling group
of acute leukemias of ambiguous origin have prompted considerable discussion and
debate. For clinicians, however, such definitions, although academically
interesting, as yet give relatively little insight into the most appropriate
therapy, and patients with MPAL continue to do poorly compared with more typical
AML or ALL cases. The most recent WHO 2008 MPAL definitions are provocative, but
represent a major change from the previous EGIL BAL classification, and the
clinical relevance of this change has yet to be established. Only further insight
from the molecular biology laboratory can help define the true cell of origin and
molecular drivers of ambiguous leukemias. New molecular information will allow
clinicians and pathologists to refine classification of these challenging
entities, and most importantly, should permit improved treatment for patients.
PMID- 22093584
TI - Curing all patients with acute promyelocytic leukemia: are we there yet?
AB - The introduction of all-trans retinoic acid to anthracycline-based chemotherapy
has revolutionized the prognosis of patients with acute promyelocytic leukemia
(APL). The introduction of arsenic trioxide enabled the therapeutic approach of
rationally targeted frontline protocols with minimal or no traditional cytotoxic
chemotherapy and without compromise of previously established outstanding
outcomes with anthracycline-based regimens. Although most of the current
investigative efforts in APL are focused on developing potentially curative
therapy without the exposure to toxicities and risks of DNA-disrupting agents,
the cure rate can further be increased by implementing meticulous supportive care
strategies that counter early coagulopathy-related deaths.
PMID- 22093586
TI - Current therapeutic strategies in adult acute lymphoblastic leukemia.
AB - Approximately half of all adults with acute lymphoblastic leukemia now survive
long term. This article summarizes the current approaches to treating acute
lymphoblastic leukemia in adults, with a focus on a pragmatic approach to
decision making. Coupled with a particularly punishing and often complex
combination chemotherapy treatment regimen, treatment-related morbidity and
mortality are frequent, and this article focuses on these situations. The field
will change significantly over the next few years with many ongoing clinical
studies and molecular insights which will be translated into providing prognostic
information and novel therapeutic targets.
PMID- 22093588
TI - Novel therapeutic approaches for acute lymphoblastic leukemia.
AB - Therapy for ALL in adults remains a tremendous challenge for clinicians. The use
of pediatric-intensive regimens in young and middle-aged adults shows promise in
improving outcomes. The addition of monoclonal antibody therapy to chemotherapy
appears to hold great promise in lessening relapse rates. The anti-CD20 antibody,
rituximab, which has been of such benefit in patients with non-Hodgkin lymphoma,
now seems poised to bring significant benefit to adults with ALL. Other
monoclonal antibody approaches are in earlier stages of development, but will
likely be of significant benefit. The BiTE antibody, blinatumomab, represents an
exciting new approach in this arena. As new molecular abnormalities are
identified in ALL, these will certainly become new targets for drug development.
The increasing use of MRD testing by molecular or flow cytometric techniques will
also be invaluable in further refining prognostication in ALL in helping with the
selection of patients most likely to benefit from BMT. Several new small
molecules and chemotherapeutic agents will, it is hoped, also find a niche in the
therapy for ALL. Early examples including NOTCH1 inhibitors; hypomethylating
agents such as decitabine, folic acid, antagonists, flavopiridol, bortezomib, and
mTOR inhibitors will all hopefully find a role in the therapy for this
challenging disorder. Although many challenges remain, there is hope that the
therapy for adults with ALL can make significant progress in the next few years,
in comparison with the relative plateau that has been experienced over the last
several decades.
PMID- 22093587
TI - Cellular therapies in acute lymphoblastic leukemia.
AB - ALL remains a difficult disease to treat. In the adult setting, most patients
will ultimately die of their disease, whereas in the pediatric setting, relapsed
and refractory disease remains a therapeutic challenge. Cellular therapy through
allo-HSCT remains an option for these patients, and recent advances in
alternative forms of allo-HSCT, including unrelated donor transplants, UCB
transplants, and haploidentical transplants, have expanded the numbers of
patients eligible for allo-HSCT but have not improved outcomes when compared with
HLA-matched related allo-HSCTs. In light of this persistent failure, several
novel adoptive cellular approaches are being investigated to treat patients with
ALL. The use of enriched WT-1-specific donor T cells to treat patients with ALL
is currently under investigation in phase I trials at several centers. Treatment
of ALL with genetically modified T cells targeted to the CD19 antigen through the
expression of a CD19-specific CAR also have entered phase I clinical trials at
several centers. Similarly, a clinical trial treating patients with ALL with
genetically modified NK cells targeted to the CD19 antigen has recently opened
for accrual. Collectively, these ongoing and anticipated trials provide a
promising role for adoptive cellular therapies in the treatment of ALL. What
remains to be seen is whether this promise will either translate into improved
outcomes for these patients or provide significant insights on which to design
second-generation adoptive cell therapeutic clinical trials for ALL in the
future.
PMID- 22093590
TI - Acute leukemias.
PMID- 22093589
TI - Novel transplant strategies in adults with acute leukemia.
AB - Autologous and allogeneic hematopoietic cell transplantation (HCT) is regularly
used as a curative treatment option for patients with various disorders,
including acute leukemia in adults. The past decade has witnessed dramatic
improvements in the reduction of treatment-related mortality (TRM), in part
attributable to improved supportive care but also due to better graft selection
and donor-to-recipient matching regimens, and the emergence of reduced-intensity
conditioning in place of myeloablative conditioning. Despite these advances, HCT
remains plagued by the risk of relapse or failure due to graft-versus-host
disease, infectious complications, and TRM. This article reviews new approaches
that may improve overall patient outcome.
PMID- 22093591
TI - The evaluation of creatinine clearance, estimated glomerular filtration rate and
serum creatinine in predicting contrast-induced acute kidney injury among
patients undergoing percutaneous coronary intervention.
AB - PURPOSE: The purpose of the study was to compare creatinine clearance (CrCl),
estimated glomerular filtration rate (eGFR) and serum creatinine (SCr) in
predicting contrast-induced acute kidney injury (CI-AKI), dialysis and death
following percutaneous coronary intervention (PCI). METHODS AND MATERIALS: Data
were prospectively collected on 7759 consecutive patients within the Dartmouth
Dynamic Registry undergoing PCI between January 1, 2000, and December 31, 2006.
Renal function was measured at baseline and within 48 h after PCI using three
methods: CrCl using the Cockcroft-Gault equation, eGFR using the abbreviated
Modification of Diet in Renal Disease equation and SCr. We compared CrCl, eGFR
and SCr in predicting CI-AKI, post-PCI dialysis-dependent renal failure and in
hospital mortality. Areas under the receiver operating characteristic curve (ROC)
were calculated using logistic regression and tested for equality. RESULTS: On
univariable analysis, CrCl [ROC: 0.69; 95% confidence interval (CI): 0.67-0.72]
predicted CI-AKI better than eGFR (ROC: 0.67; 95% CI: 0.64-0.70) (P=.013) and SCr
(ROC: 0.64; 95% CI: 0.61-0.67) (P<.001). Creatinine clearance (ROC: 0.73; 95% CI:
0.69-0.77) and eGFR (ROC: 0.70; 95% CI: 0.65-0.74) outperformed SCr for
predicting in-hospital mortality. On multivariable analysis, CrCl (ROC: 0.77; 95%
CI: 0.75-0.80), SCr (ROC: 0.78; 95% CI: 0.76-0.80) and eGFR (ROC: 0.77; 95% CI:
0.75-0.80) predicted CI-AKI well. Creatinine clearance (ROC: 0.88; 95% CI: 0.85
0.90) and eGFR (ROC: 0.87; 95% CI: 0.85-0.90) were strong independent predictors
of in-hospital mortality. CONCLUSIONS: Creatinine clearance, eGFR and SCr predict
CI-AKI equally well. Creatinine clearance and eGFR are strong independent
predictors of in-hospital mortality.
PMID- 22093592
TI - Management of acute left main obstruction after transcatheter aortic valve
replacement: the "tunnel technique".
AB - Two cases of acute left main (LM) obstruction complicating transcatheter aortic
valve replacement (TAVR) and their management are reported. TAVR with a self
expandable transcatheter aortic prosthesis was performed for treating severe
aortic stenosis with small aortic root and severe aortic regurgitation of a
degenerated stentless bioprosthesis, respectively. Left main coronary obstruction
occurred at a different time from the index procedure. A novel stent-based
angioplasty treatment, denominated "the tunnel technique," was successfully
applied in both cases and herein described.
PMID- 22093593
TI - Improving safety outcomes in the epilepsy monitoring unit.
AB - Long term video electroencephalography (EEG) in epilepsy monitoring units (EMU)
is used to diagnose and treat patients with epilepsy. Injury occurs in the EMU,
including reports of death. No standardized patient safety protocols exist. Our
objective is to determine the frequency and contributing factors to injury in the
EMU. We reviewed medical records and video EEG of patients with epilepsy admitted
to our EMU from December 1, 2008 to June 1, 2009. Data was collected on seizure
type, onset, length, and frequency. Seizure related falls, injury, and adverse
events were recorded. Data regarding the physical environment and treatment
during seizures were analyzed too. 20 patients with 170 seizures were collected.
Of the 170 total seizures captured, only 1 injury (0.6%) and 6 falls occurred
(3.5%). 5 of the 6 falls were related to patients being ambulatory. No seizures
resulted in prolonged stay. Of the 170 seizures captured, other adverse events
included 1 status epilepticus (0.6%), 2 postictal aggression (1.2%), 4 objects in
mouth (2.4%), 14 ambulatory at seizure onset (8.2%) and 5 postictal ambulation
from bed (2.9%). Staff responded to 69 out of 170 seizures (40.6%). Of the 101
seizures without staff response, 57 seizures were electrographic without seizure
detection software or push button activation. Falls and adverse events that can
lead to injury occur in the EMU, yet the degree of actual injury is minimal. To
improve safety outcomes, standardized protocols with appropriate outlined nursing
care and procedures for continuous monitoring of patients by staff need to be
employed.
PMID- 22093594
TI - Hemodialysis international.
PMID- 22093595
TI - What is good about PD + HD combined therapy.
AB - It is known that beta(2) -microglobulin (beta(2) -MG) concentration in peritoneal
dialysis (PD) patients is inversely correlated to the residual renal function
(RRF). With decreasing RRF, some PD patients may necessarily be treated with
hemodialysis (HD) once a week, not only for removing excess water and small
solutes, but also for removing much larger solutes such as beta(2) -MG. In this
study, a kinetic model allowed us to show what is good about PD + HD combined
therapy in long-term PD patients. A mathematical model was established based on a
classic compartment theory for clinical use. Model validations were made by
comparing calculated results with clinical data in order to specify what was good
about PD + HD combined therapy (5-day PD + 1-HD/week). Time-averaged
concentration (TAC) for urea and creatinine decreased by 20% on the average by
introducing PD+HD combined therapy no matter which dialyzers were used. TAC for
beta(2) -MG in PD+HD combined therapy, however, was strongly dependent upon the
dialyzer clearance, and when a low flux dialyzer (clearance for beta(2) -MG = 10
mL/min under Q(B) = 200, Q(D) = 500 mL/min) was used, pre-dialysis beta(2) -MG
concentration may increase. Use of super high-flux dialyzers (clearance for
beta(2) -MG = 60 mL/min under the same conditions) should greatly reduce the
beta(2) -MG concentration from 30 to 8 mg/L in 4-hr treatment. Then, when PD+HD
combined therapy is introduced to a PD patient with diminishing RRF, use of super
high-flux dialyzers may be strongly recommended in order not to increase
concentrations of pre-dialysis beta(2) -MG and/or even greater solutes. Use of
super high-flux dialyzers is a key to the success of PD+HD combined therapy that
could prevent concentrations of large solutes from increasing.
PMID- 22093596
TI - Use of ionic dialysance to calculate Kt/V in pediatric hemodialysis.
AB - Online clearance (OLC) monitor measures conductivity difference between dialysate
entering and leaving the dialyser. Derived ionic dialysance (ID) represents
effective urea clearance from which Kt/V is calculated, allowing Kt/V monitoring
at every treatment without blood sampling. We tested ID accuracy in children and
provide recommendations for its use. Using Fresenius machines 2008 K with built
in OLC monitors, we studied 45 hemodialysis (HD) sessions and 168 calculated Kt/V
results in 11 patients. Urea distribution volume (V), needed to calculate Kt/V
from ID, was estimated using three methods: Mellits and Cheek (MC), KDOQI
recommended total body water nomograms (TBWN) and OLC-derived independent from
tested HD sessions. Reference spKt/V from pre- and post-HD BUN (Daugirdas) was
compared with Kt/V calculated from ID using three different estimated V's. ID was
accurate in calculating Kt/V in children when V derived from OLC was used (P =
0.42), with absolute error 0.14 +/- 0.12. If TBWN-derived V was used, Kt/V was
consistently underestimated by 0.32 +/- 0.22. TBWN-derived V can still be
recommended for use with OLC for monitoring trend in Kt/V, if underestimation of
spKt/V of average 0.3 is accounted for. MC-derived V results in even greater
underestimation of spKt/V and therefore cannot be recommended for use with OLC.
PMID- 22093597
TI - Sudden cardiac arrest and sudden cardiac death on dialysis: Epidemiology,
evaluation, treatment, and prevention.
AB - Sudden cardiac death is the most common cause of death in dialysis patients and
is usually preceded by sudden cardiac arrest due to ventricular tachycardia or
ventricular fibrillation. A variety of risk factors have been identified that
predispose the sudden cardiac arrest and sudden cardiac death in dialysis
patients. Primary prevention of sudden cardiac arrest in dialysis patients may be
accomplished by avoiding the use of low potassium dialysate. Pharmacotherapy with
beta-blockers angiotensin converting enzyme inhibitors and angiotensin receptor
blockers and use of implantable cardioverter defibrillators (ICDs) may also
prevent sudden cardiac arrest and sudden cardiac death in high-risk dialysis
patients. Secondary prevention of sudden cardiac death may be accomplished by
similar pharmacotherapy and by the use of ICDs. Indications for ICD use in
dialysis patients are similar to those for nondialysis patients; however,
survival rates following ICD implantation in dialysis patients are substantially
lower than in non-dialysis patients.
PMID- 22093598
TI - Percutaneous coronary intervention versus coronary artery bypass graft in chronic
kidney disease: Optimal treatment options.
AB - Chronic kidney disease (CKD) is ubiquitous in the world and may eventually
progress to end-stage renal disease. CKD is associated with a greater risk of
coronary artery disease (CAD) which is the leading cause of mortality in this
population. Current invasive treatment options include percutaneous coronary
intervention or coronary artery bypass graft. We performed a PubMed search to
ascertain the optimal treatment for coronary artery disease in CKD. Our review of
the current literature supports the superiority of coronary artery bypass
grafting which reduces repeat revascularizations, improves angina symptoms, and
increases long-term survival.
PMID- 22093599
TI - Using dialysis machine technology to reduce intradialytic hypotension.
AB - Intradialytic hypotension remains the most frequent complication associated with
routine outpatient hemodialysis. Although increasing dialysis frequency and also
lengthening dialysis session duration can reduce the risk of intradialytic
hypotension, in practice, these options are limited to a small minority of
dialysis patients. To help reduce intradialytic hypotension, a number of
technological developments have been incorporated into the hemodialysis machine,
based around relative blood volume monitoring, an indirect assessment of plasma
volume. Further developments based on so called "fuzzy" logic feedback systems
designed to adjust either or both the ultrafiltration rate and dialyzate sodium
concentration according to relative changes in plasma volume. In addition,
cooling and dissipation of the heat generated during dialysis also reduces the
risk of intradialytic hypotension, and this can be regulated by cooling of the
dialyzate using thermal control systems. In addition, convective therapies, such
as online hemodialfiltration, have also been reported to reduce the frequency of
intradialytic hypotension; whether this effect is simply due to increased cooling
remains to be determined. Although all these developments have been reported to
reduce the frequency of serious intradialytic hypotensive episodes, they have not
been able to totally abolish hypotension, as they can not alone compensate for
excessive weight gains and consequent excessive ultrafiltration requirements.
Thus, in addition to the advances in hemodialysis machine technology designed to
reduce intradialytic hypotension, attention also needs to be focused on reducing
interdialytic weight gains, so reducing ultrafiltration requirement.
PMID- 22093600
TI - Optimization of heparin anticoagulation for hemodialysis.
AB - Unfractionated heparin remains the most commonly used anticoagulant for
extracorporeal therapies worldwide due to cost, years of clinical experience
showing effectiveness and safety for outpatient hemodialysis. Most centers
administer unfractionated heparin as an initial bolus followed by a constant
infusion, which is then stopped prior to the end of the dialysis session.
Although the anticoagulant effect of heparin can be monitored at the bedside,
most centers take a pragmatic stance for routine outpatient hemodialysis, and
adjust bolus doses and infusion rates based on visual inspection of the dialyzer
header and venous air detector chamber for clots, and stop the heparin infusion
based on the time taken for needle puncture sites to stop bleeding at the end of
the hemodialysis session. Heparin is negatively charged and can bind to plasma
proteins, leukocytes, and plastic. As such, it is important to achieve adequate
mixing of heparin with blood to optimize anticoagulation within the
extracorporeal circuit, by administering an intravenous bolus a few minutes prior
to connecting the patient to the circuit and ensuring thorough mixing of the
heparin infusion.
PMID- 22093601
TI - Role of dialysis technology in the removal of uremic toxins.
AB - Traditionally, the amount of hemodialysis prescribed for a patient has been based
on urea clearance, as urea is not only retained in patients with chronic kidney
disease, but also readily measurable, by reliable and inexpensive assays. More
recently, other retained solutes, phosphate, beta2 microglobulin, and latterly p
cresol have been reported to be associated with increased risk of mortality in
hemodialysis patients. As such, developments in dialysis practice that would
result in greater clearance of water-soluble middle-sized toxins and also protein
bound and/or organic solutes are being studied. Although session time is a key
factor, switching from low flux to dialyzers with larger pores, the addition of
convective transport with hemodiafiltration can help increase phosphate and beta2
microglobulin clearances. Adsorption techniques can increase the clearance of
organic and protein bound toxins either directly or indirectly by regenerating
dialysate and ultrafiltrates.
PMID- 22093602
TI - Postprostatectomy seizures: A case report.
AB - A 75-year-old Caucasian male presented with generalized seizures half-hour post
transurethral resection of the prostate surgery. The intra-operative course was
complicated by perforation of the posterior wall of the bladder neck during a
difficult Foley catheter placement. This resulted in intraperitoneal
extravasation of the glycine containing bladder irrigation fluid. An emergent
laparotomy was performed, and 3.5-4 L of fluid was drained from the peritoneal
cavity. Postoperative course was complicated by two seizures within a short
interval. Patient developed profound hyponatremia (Na of 109 mEq/L). However,
measured serum osmolality was normal (283 mOsm/kg). The serum osmolality remained
relatively stable, indicating that the absorbed glycine and its metabolites
remained osmotically active in the intravascular space (until they were dialyzed
as mentioned later), making the hyponatremia less pernicious and an unlikely
cause of patient's symptoms. The encephalopathy and seizures were ascribed to
accumulation of toxic metabolites of glycine, especially ammonia (serum level
1261 mcmol/L). During a complicated postoperative period, patient developed oligo
anuric renal failure, and was started on slow low-efficiency dialysis for 8 hours
resulting in rapid lowering of serum ammonia levels and glycine with reversal of
encephalopathy including seizures. There was no recurrence of encephalopathy,
seizures, or metabolic acidosis. Although rare, glycine toxicity may be life
threatening. The pathophysiology, need for early detection and the role of early
use of renal replacement therapy in acute glycine toxicity is discussed below.
PMID- 22093603
TI - A successful term pregnancy using in-center intensive quotidian hemodialysis.
AB - A 30-year-old woman with stage V chronic kidney disease presented at 7 weeks
gestation. She had no uremic symptoms; however, blood urea nitrogen (BUN) was
33.6 mg/dL. Because of the well-established negative relationship between BUN and
fetal outcomes, dialysis was initiated with a nocturnal home hemodialysis (NHD)
like prescription performed in-center for logistical reasons. She received 36
hours per week of dialysis. Following the initiation of renal replacement
therapy, the predialysis BUN was within the normal physiologic range. The patient
had an uncomplicated pregnancy with delivery of a healthy 3000 g infant at 39
weeks gestation. This case adds to the growing literature that supports more
intensive dialysis in the pregnant women than was previously recommended. This
dose of dialysis should be offered to women in an in-center setting if nocturnal
home hemodialysis is not available or feasible.
PMID- 22093604
TI - Medulloblastoma presenting as dialysis disequilibrium syndrome.
AB - Dialysis disequilibrium syndrome (DDS) is a central nervous system disorder that
occurs during or after hemodialysis. This is caused by brain edema that manifests
as neurological symptoms that include headache, emesis, nausea, blurring of
vision, disturbed consciousness, tremors and seizures, and in severe cases,
death. The incidence of DDS is very high among patients with preexisting
neurological diseases. There has been much debate about the origin of DDS. We
report a case of DDS, as presenting syndrome of a medulloblastoma in a child aged
5 years, and discuss the pathogenesis and the possible role of DDS for an earlier
detection of occult brain lesions in dialyzed patients.
PMID- 22093605
TI - Metformin intoxication requiring dialysis.
AB - Metformin (MTF) is one of the most common oral agents used to treat diabetes
mellitus. Intoxication is associated with lactic acidosis and has significant
clinical consequences. We report 12 cases requiring dialytic intervention. Twelve
patients were analyzed from 2005 to 2010; 10 of these patients were treated with
dialysis. Conventional hemodialysis (HD) and continuous veno-venous hemodialysis
treatments with bicarbonate dialysis were used, and the results were presented as
mean and standard deviation. The results are as follows: 33% of the patients were
male, hospital stay was 9.3 (+/- 12) days, average MTF dose 1.7 g/day, mortality
was 25%. Baseline glomerular filtration rate for these patients was 51.5 mL/min,
with an average age of 64 (+/- 11) years. On presentation, all had acute kidney
injury with blood urea nitrogen/creatinine 75 (+/- 30)/8.1 (+/- 3.7) mg/dL,
lactic acid 12.4 (+/- 8.1) mmol/L, pH 7.04 (+/- 0.19), bicarbonate 7.2 (+/- 4.5)
mmol/L. Metformin level was 25 (+/- 17) ug/mL; anion gap was 28 (+/- 9), and
serum potassium was 5.4 (+/- 1.3) mEq/L. Seventy percent of patients were treated
with conventional HD. Patients required 4 (+/- 5) dialysis treatments at blood
flow QB 330 (+/- 53), dialysis flow QD 571 (+/- 111) for 305 (+/- 122) minutes.
Postdialysis, the acidosis parameters improved: bicarbonate 19.2 (+/- 4.1)
mmol/L, lactic acid 6 (+/- 4) mmol/L and MTF levels decreased 8.9 (+/- 5.7)
ug/mL. Metformin percentage removal was calculated to be 60% (+/- 24). No
difference was found between HD and continous veno-venous hemodialysis. The only
difference between survivors was the age 53 (+/- 7) vs. 78 (+/- 10) (P < 0.05).
Metformin toxicity is a serious clinical condition and causes severe lactic
acidosis and significant mortality. Hemodialysis is an efficient method to treat
MTF intoxication and correct the metabolic abnormalities.
PMID- 22093606
TI - A simple method to estimate phosphorus mobilization in hemodialysis using only
predialytic and postdialytic blood samples.
AB - We have recently developed a pseudo one-compartment model to describe
intradialytic and postdialytic rebound kinetics of plasma phosphorus. In this
model, individual patient differences in phosphorus kinetics were characterized
by a single parameter; the phosphorus mobilization clearance (K(M) ). In this
work, we propose a simple method to estimate K(M) from predialytic and
postdialytic plasma phosphorus concentrations. Clinical data were collected from
22 chronic hemodialysis patients that underwent a 4-hour treatment session. A
simple algebraic equation was derived from the pseudo one-compartment model to
determine K(M) from predialytic and postdialytic plasma phosphorus
concentrations. K(M) values computed using this equation were compared with
values obtained from nonlinear regression of the full kinetic model to frequent
intradialytic and postdialytic measurements of plasma phosphorus concentrations.
There was good agreement between K(M) values (concordance correlation
coefficient of 0.94) obtained from the simple method (105 +/- 52 mL/min, mean +/-
SD) and from the full model (99 +/- 47 mL/min). The 95% confidence interval for
the difference between estimated K(M) values was -26 to 36 mL/min. The proposed
simple method requires the use of only predialytic and postdialytic blood samples
to estimate patient specific K(M) ; this approach may allow easy clinical
evaluation of phosphorus kinetics in hemodialysis patients.
PMID- 22093607
TI - Genetic factors associated with the presence and progression of nonalcoholic
fatty liver disease: a narrative review.
AB - Nonalcoholic fatty liver disease (NAFLD) is the most common chronic liver disease
in the world. Whereas insulin resistance and obesity are considered major risk
factors for the development and progression of NAFLD, the genetic underpinnings
are unclear. Before 2008, candidate gene studies based on prior knowledge of
pathophysiology of fatty liver yielded conflicting results. In 2008, Romeo et al.
published the first genome wide association study and reported the strongest
genetic signal for the presence of fatty liver (PNPLA3, patatin-like
phospholipase domain containing 3; rs738409). Since then, two additional genome
wide scans were published and identified 9 additional genetic variants. Whereas
these results shed light into the understanding of the genetics of NAFLD, most of
associations have not been replicated in independent samples and, therefore,
remain undetermined the significance of these findings. This review aims to
summarize the understanding of genetic epidemiology of NAFLD and highlights the
gaps in knowledge.
PMID- 22093608
TI - Glycoconjugates in New World species of Leishmania: polymorphisms in
lipophosphoglycan and glycoinositolphospholipids and interaction with hosts.
AB - BACKGROUND: Protozoan parasites of the genus Leishmania cause a number of
important diseases in humans and undergo a complex life cycle, alternating
between a sand fly vector and vertebrate hosts. The parasites have a remarkable
capacity to avoid destruction in which surface molecules are determinant for
survival. Amongst the many surface molecules of Leishmania, the glycoconjugates
are known to play a central role in host-parasite interactions and are the focus
of this review. SCOPE OF THE REVIEW: The most abundant and best studied
glycoconjugates are the Lipophosphoglycans (LPGs) and glycoinositolphospholipids
(GIPLs). This review summarizes the main studies on structure and biological
functions of these molecules in New World Leishmania species. MAJOR CONCLUSIONS:
LPG and GIPLs are complex molecules that display inter- and intraspecies
polymorphisms. They are key elements for survival inside the vector and to
modulate the vertebrate immune response during infection. GENERAL SIGNIFICANCE:
Most of the studies on glycoconjugates focused on Old World Leishmania species.
Here, it is reported some of the studies involving New World species and their
biological significance on host-parasite interaction. This article is part of a
Special Issue entitled Glycoproteomics.
PMID- 22093609
TI - Kinetic approach of aflatoxin B1-acetylcholinesterase interaction: a tool for
developing surface plasmon resonance biosensors.
AB - This work presents a kinetic approach of the interaction between
acetylcholinesterase (AChE) from electric eel and aflatoxin B1 (AFB1) or its
protein conjugate (e.g., AFB1-HRP [horseradish peroxidase]) in order to develop a
simple and sensitive detection method of these compounds. The dissociation
constant K(d) of the AChE/AFB1-HRP interaction (0.4 MUM) obtained with the
surface plasmon resonance (SPR) technique is very close to the inhibition
constant reported in amperometric assay (K(i)=0.35 MUM), proving that the
conjugation of AFB1 to a carrier protein does not significantly influence the
affinity of AFB1 for AChE. Thus, the AChE/AFB1-HRP couple can be used as mimic
system for the binding of AChE to other AFB1-protein adducts and further used for
developing biosensors for AFB1 bound to plasma proteins. The immobilization
protocol was designed to minimize the nonspecific adsorption on the self
assembled monolayer (SAM) functionalized surface of the SPR chip without an
additional hydrophilic linker, whereas the interaction protocol was designed to
mark out the possible occurrence of mass transport limitation (MTL) effects. The
detection limits (LODs) were 0.008 MUM for AFB1-HRP (2.5 ng ml-1 AFB1) and 0.94
ng ml-1 for AFB1 itself, which is lower than recently reported values in
spectrophotometric and amperometric assays.
PMID- 22093610
TI - Glycolate and 2-phosphoglycolate content of tissues measured by ion
chromatography coupled to mass spectrometry.
AB - Glycolate and 2-phosphoglycolate (PG) are 2-carbon monocarboxylic acids with ill
defined metabolic roles. Their concentrations have not yet been described in
tissues apart from body fluids and erythrocytes. We describe the use of ion
chromatography coupled with mass spectrometry (IC-MS) to quantify levels of
glycolate and PG in tissue. Sample preparation and analysis can be performed
within an hour. Low concentrations of glycolate (12-48 nmol/g) and PG (4-17
nmol/g) were detected in all tissues. The availability of this IC-MS assay will
facilitate investigations of the origin, function, and metabolism of glycolate
and PG in tissues.
PMID- 22093611
TI - Chromophore maturation and fluorescence fluctuation spectroscopy of fluorescent
proteins in a cell-free expression system.
AB - Cell-free synthesis, a method for the rapid expression of proteins, is
increasingly used to study interactions of complex biological systems. GFP and
its variants have become indispensable for fluorescence studies in live cells and
are equally attractive as reporters for cell-free systems. This work investigates
the use of fluorescence fluctuation spectroscopy (FFS) as a tool for quantitative
analysis of protein interactions in cell-free expression systems. We also explore
chromophore maturation of fluorescent proteins, which is of crucial importance
for fluorescence studies. A droplet sample protocol was developed that ensured
sufficient oxygenation for chromophore maturation and ease of manipulation for
titration studies. The kinetics of chromophore maturation of EGFP, EYFP, and
mCherry were analyzed as a function of temperature. A strong increase in the rate
from room temperature to 37 degrees C was observed. We further demonstrate that
all EGFP proteins fully mature in the cell-free solution and that brightness is a
robust parameter specifying stoichiometry. Finally, FFS is applied to study the
stoichiometry of the nuclear transport factor 2 in a cell-free system over a
broad concentration range. We conclude that combining cell-free expression and
FFS provides a powerful technique for quick, quantitative study of chromophore
maturation and protein-protein interaction.
PMID- 22093612
TI - Effect of antibody modifications on its biomolecular binding as determined by
surface plasmon resonance.
AB - A surface plasmon resonance (SPR)-based procedure was developed to determine the
effect of antibody modifications on its biomolecular binding behavior. Mouse
immunoglobulin G (IgG) was immobilized on a protein A-functionalized gold-coated
SPR chip. Goat anti-mouse IgG and its various commercially available
modifications (i.e., conjugated with atto 550, atto 647, tetramethylrhodamine
isothiocyanate [TRITC], horseradish peroxidase [HRP], or biotin) were employed in
exactly the same concentration for the detection of mouse IgG. The various
modifications of goat anti-mouse IgG decreased its biomolecular binding to mouse
IgG in the order of unmodified>HRP-labeled>atto 550-labeled>biotinylated>TRITC
labeled>atto 647-labeled.
PMID- 22093613
TI - Effect of pharmacological therapies for stroke prevention on major
gastrointestinal bleeding in patients with atrial fibrillation.
AB - Various antiplatelet and anticoagulation options are available for stroke
prevention in patients with atrial fibrillation (AF). Currently, it is unclear
whether these agents differ in their propensity to cause major gastrointestinal
bleeding (MGIB). To our knowledge, no systematic evaluation of MGIB rates from
randomised controlled trials (RCTs) of pharmacological stroke prevention in
patients with AF has been conducted. Two independent investigators conducted
systematic literature searches in MEDLINE and CENTRAL from the earliest possible
date through November 2010. To be included, RCTs had to evaluate an adult
population with AF or flutter and report data on the incidence of MGIB. Peto's
odds ratios (ORs) with associated 95% confidence intervals (CIs) were calculated
for all possible pair-wise comparisons of pharmacological stroke prevention
alternatives. A total of 16 unique trials (n = 42,983) met inclusion criteria.
The reported incidence of MGIB in placebo or control arms of identified trials
was as high as 1.5%. Upon pair-wise meta-analysis of different pharmacological
strategies, adjusted-dose vitamin K antagonists (VKAs) were found to be
associated with a higher odds of MGIB compared with placebo/control (OR 3.21, 95%
CI 1.32-7.82) and aspirin (or triflusal or indobufen) (OR 1.92, 95% CI 1.08
3.41). The addition of aspirin (or triflusal) to an adjusted-dose VKA resulted in
greater odds of MGIB compared with aspirin alone (OR 4.72, 95% CI 1.35-16.49) and
adjusted-dose VKA alone (OR 2.66, 95% CI 1.05-6.74). While aspirin increased the
odds of MBIG by 3.23-fold compared with placebo/control, this finding did not
reach statistical significance. The combination of aspirin and clopidogrel
increased patients' odds of MGIB compared with aspirin alone (OR 1.93, 95% CI
1.46-2.56). Dabigatran was associated with a 30% increased odds of MGIB compared
with adjusted-dose VKA (OR 1.30, 95% CI 1.06-1.59); however, ximelagatran was
not. Low-intensity VKA therapy, alone or in combination with aspirin, was not
associated with increased odds of MGIB compared with any (active-) comparator.
The MGIB is a concern for patients with AF receiving pharmacological stroke
prevention. Current RCT data suggest that dabigatran and adjusted-dose VKA
therapy are associated with the highest odds of MGIB. Aspirin was not found to
increase patients' odds of MGIB; however, this finding may be the result of type
2 error. Dual therapy resulting from the addition of an antiplatelet agent was
typically associated with further increased odds of MGIB compared with
monotherapy.
PMID- 22093614
TI - Effect of hesperetin on tyrosinase: inhibition kinetics integrated computational
simulation study.
AB - Tyrosinase inhibitors have potential applications in medicine, cosmetics and
agriculture to prevent hyperpigmentation or browning effects. Some of the
flavonoids mostly found in herbal plants and fruits are revealed as tyrosinase
inhibitors. We studied the inhibitory effects of one such flavonoid, hesperetin,
on mushroom tyrosinase using inhibition kinetics and computational simulation.
Hesperetin reversibly inhibited tyrosinase in a competitive manner with
K(i)=4.03+/-0.26 mM. Measurements of ANS-binding fluorescence showed that
hesperetin induced the hydrophobic disruption of tyrosinase. For further insight,
we used the docking algorithms to simulate binding between tyrosinase and
hesperetin. Simulation was successful (binding energies for Dock6.3: -34.41
kcal/mol and for AutoDock4.2: -5.67 kcal/mol) and showed that a copper ion
coordinating with 3 histidine residues (HIS61, HIS85, and HIS259) within the
active site pocket was chelated via hesperetin binding. Our study provides
insight into the inhibition of tyrosinase in response to flavonoids. A
combination of inhibition kinetics and computational prediction may facilitate
the identification of potential natural tyrosinase inhibitors such as flavonoids
and the prediction of their inhibitory mechanisms.
PMID- 22093615
TI - NIH-3T3 fibroblasts cultured with plasma from colorectal cancer patients generate
poorly differentiated carcinomas in mice.
AB - The ability of cells to undergo cellular transitions, in particular, to switch
between epithelial and mesenchymal states, might be highly advantageous during
the progression of carcinoma. Using histological and immunohistochemical
techniques, we here show that the injection into mice of spontaneously
transformed NIH-3T3 cells generated fusocellular sarcomas, whereas NIH-3T3 cells
that had been transformed by culturing with plasma from colorectal cancer
patients gave rise to tumors that phenotypically resembled the carcinomas of the
original cancer patients. Thus, plasma from cancer patients is able to transform
NIH-3T3 fibroblasts into malignant epithelial-like cells, suggesting that such
cells might undergo mesenchymal to epithelial transition during plasma-induced
transformation.
PMID- 22093616
TI - A novel gain-of-function mutation of TGF-beta receptor II promotes cancer
progression via delayed receptor internalization in oral squamous cell carcinoma.
AB - We present a novel gain-of-function mutation of TGF-beta receptor II (TbetaRII)
found in human oral squamous cell carcinoma (OSCC). Expression of E221V/N238I
mutant TbetaRII enhanced TGF-beta signaling. Mutation of TbetaRII conferred cells
higher migratory and invasive capabilities and MMP-2 activity. In mouse tumor
model, mutant tumors exhibited poor differentiation and E-cadherin relocalization
to the cytosol. Lipid-raft-dependent endocytosis of TbetaRII was attenuated in
mutant TbetaRII, suggesting that enhancement of TGF-beta signaling by this
mutation is due to delayed TbetaRII internalization. Taken together, our results
show a novel gain-of-function TbetaRII mutation, which enhances TGF-beta
signaling leading to more invasive phenotypic changes in human OSCC.
PMID- 22093617
TI - Requirement of p38 MAPK for a cell-death pathway triggered by vorinostat in MDA
MB-231 human breast cancer cells.
AB - Vorinostat is a histone deacetylase inhibitor that effectively suppresses cancer
cell proliferation by inducing cell-cycle arrest and/or apoptosis. We now show
the involvement of p38 mitogen-activated protein kinase (MAPK) in the regulation
of vorinostat-induced apoptosis in MDA-MB-231 human breast cancer cells.
Vorinostat induced the hyperacetylation of histone H3, which correlated to
apoptosis induction. Vorinostat-induced apoptosis occurred in parallel with the
phosphorylation of p38 MAPK and the dephosphorylation of extracellular signal
regulated kinases 1 and 2 (ERK1/2). Knockdown of p38 MAPK prominently abrogated
apoptosis induction and was accompanied by decreased caspase-3 cleavage. These
findings support the notion that the activation of the p38 MAPK pathway followed
by caspase-3 cleavage is responsible for vorinostat-induced apoptosis in MDA-MB
231 cells.
PMID- 22093618
TI - Re-expression of miR-199a suppresses renal cancer cell proliferation and survival
by targeting GSK-3beta.
AB - Recently, we have identified GSK-3 as a new therapeutic target in renal cell
cancer (RCC). miR-199a could potentially downregulate GSK-3beta expression. Here,
we found a decreased miR-199a expression in 59% (32 of 54) of RCCs and it was
correlated with higher tumor stage (p < 0.05) and nuclear overexpression of GSK
3beta (p < 0.05). We show that re-expression of miR-199a downregulates GSK-3beta
and suppresses cancer cell growth. Our results demonstrate low miR-199a
expression as a feature of advanced RCCs, identify miR-199a as a negative
regulator of GSK-3beta, and suggest re-expression of pre-miR-199a as a new
potential treatment of RCC.
PMID- 22093619
TI - Conformational epitopes of myelin oligodendrocyte glycoprotein are targets of
potentially pathogenic antibody responses in multiple sclerosis.
AB - BACKGROUND: Myelin/oligodendrocyte glycoprotein (MOG) is a putative autoantigen
in multiple sclerosis (MS). Establishing the pathological relevance and validity
of anti-MOG antibodies as biomarkers has yielded conflicting reports mainly due
to different MOG isoforms used in different studies. Because epitope specificity
may be a key factor determining anti-MOG reactivity we aimed at identifying a
priori immunodominant MOG epitopes by monoclonal antibodies (mAbs) and at
assessing clinical relevance of these epitopes in MS. METHODS: Sera of 325 MS
patients, 69 patients with clinically isolated syndrome and 164 healthy controls
were assayed by quantitative, high-throughput ELISA for reactivity to 3 different
MOG isoforms, and quantitative titers correlated with clinical characteristics.
mAbs defined unique immunodominant epitopes distinct to each of the isoforms.
RESULTS: In the majority of human samples anti-MOG levels were skewed towards low
titers. However, in 8.2% of samples high-titer anti-MOG antibodies were
identified. In contrast to anti-MOG reactivity observed in a mouse model of MS,
in patients with MS these never reacted with ubiquitously exposed epitopes.
Moreover, in patients with relapsing-remitting MS high-titer anti-MOG IgG
correlated with disability (EDSS; Spearman r = 0.574; p = 0.025). CONCLUSIONS:
Thus high-titer reactivity likely represents high-affinity antibodies against
pathologically relevant MOG epitopes, that are only present in a small proportion
of patients with MS. Our study provides valuable information about requirements
of anti-MOG reactivity for being regarded as a prognostic biomarker in a subtype
of MS.
PMID- 22093620
TI - Scedosporium apiospermum atrial mycetomas after lung transplantation for cystic
fibrosis.
AB - A 37-year-old patient with cystic fibrosis underwent double lung transplantation.
She developed disseminated Scedosporium apiospermum infection 2 months after
surgery. Along with multiple brain abscesses, lung infection, and
chorioretinitis, a cardiac echo revealed 2 large intra-atrial mycetomas floating
close to the right upper pulmonary vein orifice. The mycetomas were removed
through a trans-atrial approach under cardiopulmonary by pass; histology and
cultures confirmed the diagnosis. Despite intensive treatment, the patient
succumbed from massive brain hemorrhage on the 10th postoperative day.
PMID- 22093621
TI - [Epidemiological trend and control strategy of colorectal cancer in China].
PMID- 22093623
TI - [Effects of Cdc42 overexpression on the estrogen-enhanced multidrug resistance in
breast cancer cells].
AB - OBJECTIVE: To investigate the changes of Cdc42 expression under estrogen
stimulation, and to explore the signaling pathway of intracellular material
transportation caused by estrogen. METHODS: MTT was used to test the drug
sensitivity of cells. Real-time PCR was used to evaluate the expression of Cdc42
mRNA. The amount of ADM accumulated in MCF-7 cells was detected by flow
cytometry. The protein levels of active-Cdc42 and Total-Cdc42 were measured by
Western blot. RESULTS: IC(50) of ADM in MCF-7 cells was increased from (0.098 +/-
0.011) ug/ml to (0.134 +/- 0.130) ug/ml (P < 0.05) after estrogen stimulation.
The amount of ADM accumulated in MCF-7 cells was reduced from 7.253 +/- 0.310 to
3.233 +/- 0.313 (P < 0.05). All of Cdc42 mRNA, active-Cdc42 protein and total
Cdc42 protein were increased (P < 0.05). After the treatment with siRNA, the
IC(50) of ADM in siRNA group was decreased to (0.057 +/- 0.017) ug/ml (P < 0.05)
compared with that in the control group. The amount of accumulated ADM was
significantly increased in the siRNA group, and all the expression levels of
Cdc42 mRNA, active-Cdc42 protein and total-Cdc42 protein were decreased in the
siRNA group (P < 0.05). CONCLUSIONS: Estrogen enhances the drug resistance in
breast cancer cells. The mechanism of this effect may be via the enhancing Cdc42
expression and decreasing the accumulation of chemotherapeutic drugs in the
cancer cells.
PMID- 22093622
TI - [Cisplatin enhances TRAIL-induced apoptosis in gastric cancer cells through
clustering death receptor 4 into lipid rafts].
AB - OBJECTIVE: Gastric cancer cells are insensitive to tumor necrosis factor-related
apoptosis-inducing ligand (TRAIL). To sensitize gastric cancer cells to TRAIL, we
treated gastric cancer MGC803 cells with TRAIL and cisplatin. METHODS: Cell
proliferation was measured using MTT assay. Cell apoptosis was determined by flow
cytometry. Expression of proteins was analyzed by Western blot. The distribution
of lipid rafts and death receptors was analyzed by immunofluorescence microscopy.
MGC803 cells were pretreated with 50 mg/L nystatin for 1 h, and followed by the
treatment of cisplatin and TRAIL. RESULTS: 100 ug/L TRAIL resulted in (8.51 +/-
3.45)% inhibition of cell proliferation and caused (3.26 +/- 0.89)% cell
apoptosis in MGC803 cells. Compared with the treatment with cisplatin alone,
treatment with TRAIL (100 ug/L) and cisplatin (8.49 mg/L, IC(50) dose of 24 h)
led to a dramatic increase in both inhibition of cell proliferation [(52.58 +/-
4.57)% vs. (76.43 +/- 5.35)%, P < 0.05] and cell apoptosis [(23.10 +/- 3.41)% vs.
(42.56 +/- 4.11)%, P < 0.05]. Moreover, cleavage of caspase-8 and caspase-3 was
detected. TRAIL (100 ug/L) did not induce obvious lipid rafts aggregation and
death receptor 4 (DR4) clustering, while cisplatin (8.49 mg/L) significantly
promoted the localization of DR4 in aggregated lipid rafts. Pretreatment with 50
mg/L nystatin, a cholesterol-sequestering agent, triggered (3.66 +/- 0.52)% cell
apoptosis after 24 h. Pretreatment with nystatin for 1 h before the addition of
8.49 mg/L cisplatin for 24 h caused a decreased tendency to cell apoptosis
[(25.74 +/- 3.28)% vs. (22.76 +/- 2.97)%]. While, pretreatment with nystatin
before the addition of cisplatin and TRAIL, the proportion of apoptotic cells
decreased from (43.16 +/- 4.26)% to (31.52 +/- 3.99)% (P < 0.05). CONCLUSION:
Cisplatin enhances TRAIL-induced apoptosis in gastric cancer MGC803 cells through
clustering death receptors into lipid rafts.
PMID- 22093624
TI - [Role of dysregulation of Bim in resistance of melanoma cells to endoplasmic
reticulum stress-induced apoptosis].
AB - OBJECTIVE: To establish a model of ER stress-induced apoptosis with tunicamycin
and to examine whether Bim is dysregulated and its potential role in resistance
of melanoma cells to apoptosis under endoplasmic reticulum (ER) stress. METHODS:
A model of ER stress-induced apoptosis was established with tunicamycin.
Apoptotic cells were quantitated using the annexin V/propidium iodide method by
flow cytometry. Hoechst staining was also used to confirm the apoptotic cell
death. Western blotting was used to measure the activation of caspase-3 and -9,
and the expression of Bim, GRP78, CHOP, and Foxo1 at the protein level. The
expression of Bim, CHOP and Foxo1 at the mRNA level was quantitated by qPCR. The
siRNA technique was used to inhibit the expression of Bim. RESULTS: Treatment of
the melanoma cells with tunicamycin did not induce significant apoptosis and
activation of caspase cascade, whereas it caused marked activation of caspase-3
and -9, and apoptosis in HEK293 cells which were used as a control. With exposure
to tunicamycin (3 umol/L) for 12, 24, 36 hours the Bim protein levels were not
increased in Mel-RM and MM200 cells. Its mRNA levels were 0.37 +/- 0.05, 0.13 +/-
0.02 and 0.02 +/- 0.01 in Mel-RM cells, while 0.41 +/- 0.06, 0.16 +/- 0.04 and
0.21 +/- 0.03 in MM200 cells, respectively. The expression of Bim mRNA was
significantly reduced compared with that in the control groups of the two cell
lines (P < 0.01). siRNA knockdown of Bim protected HEK293 cells against
activation of caspase-3. The cell apoptosis of Bim siRNA group was (5.69 +/-
0.38)%, significantly lower than that of the siRNA control group (40.32 +/-
1.64)% and blank control group (35.46 +/- 2.01)% (P < 0.01). In the melanoma
cells after exposure to tunicamycin (3 umol/L) for 6, 12, 24, and 36 hours the
transcription factor CHOP at mRNA level were significantly increased and the
expressions at protein level were also up-regulated. The expressions of another
transcription factor Foxo1 at mRNA level significantly decreased and the
expressions at protein level were down-regulated, too. CONCLUSIONS: The lack of
Bim up-regulation contributes to the resistance of melanoma cells to ER stress
induced apoptosis and may be a mechanism by which melanoma cells adapt to ER
stress conditions. Transcription factors CHOP and Foxo1 may be responsible for
the dysregulation of Bim in melanoma cells upon ER stress.
PMID- 22093625
TI - [Effect of tagalsin on p53 and Bcl-2 expression in hepatoma H(22) tumor-bearing
mice].
AB - OBJECTIVE: To explore the effect and mechanism of tagalsin on hepatoma cells.
METHODS: The animal models were established by transplanting H(22) mouse hepatoma
cells to mouse liver, and ten days later the mice were randomly divided into five
groups: blank group, carmofur positive group and tagalsin groups, including low
dose, middle-dose and high-dose groups. Then medicine or oil was given to the
mice by gastric gavage in consecutive 5 days with a 2-days interval as a course
of treatment, two courses in all. All mice were killed at 24 hours after
medication, and the survival period, ascites conditions, aggressive conditions
intra- or extra-liver, weight changes, tumor volume and spleen index of the tumor
bearing mice were observed. Pathological changes of the tumors were examined.
Apoptotic factors p53 and Bcl-2 protien and mRNA were detected by
immunohistochemistry and reverse transcription polymerase chain reaction (RT
PCR). RESULTS: tagalsin inhibited the hepatoma growth effectively without
influencing spleen index to some extent. The tumor inhibition rate of tagalsin
low, middle and high dose groups were 17.9%, 63.1% and 71.8%, respectively.
Immunohistochemical results showed that the p53 and Bcl-2 protein positive cell
counts of the positive control and experimental groups were significantly lower
than those of the blank group (P < 0.01). RT-PCR results showed that the p53 mRNA
expression was significantly enhanced and Bcl-2 mRNA expression was decreased in
the positive control groups and tagalsin treatment groups, especially in the high
dose group, compared with those of the blank group (P < 0.05). CONCLUSIONS:
tagalsin can inhibit the growth of mouse hepatoma cells significantly. The
mechanism of its anti-tumor effect may work via up-regulating the wild type p53
gene expression and down-regulating Bcl-2 gene expression and thus regulating
tumor cell apoptosis.
PMID- 22093626
TI - [Comparison of the biodistribution of four contrast agents in nude mice bearing
NCI-H358 human lung cancer and evaluation of their value in diagnostic imaging].
AB - OBJECTIVE: To compare the uptake of four contrast agents: (99)Tc(m)-RGD-4CK,
(99)Tc(m)-N(NOET)(2), (99)Tc(m)-MIBI and (18)F-FDG in Bal B/c nude mice bearing
human non-small cell lung cancer NCI-H358 and evaluate their diagnostic value in
low-metabolic lung cancer. METHODS: Human bronchioloalveolar carcinoma NCI-H358
cells were subcutaneously inoculated in Bal B/c nude mice to establish mouse
models bearing human lung cancer. Twenty tumor-bearing nude mice were given
injection of the four contrast agent, respectively, 5 mice in each group. SPECT
imaging and biodistribution of the 4 tracers in the tumor-bearing nude mice were
performed. The ratios of tumor to non-tumor (T/NT) of the tracers were compared.
RESULTS: The results from semi-quantification of the planar image and assessment
of biodistribution showed that tumor to contralateral muscle activity ratios
(T/NT) of the four tracers had statistically significant difference between each
two of the four tracer groups of tumor-bearing mice (P < 0.001), with a highest
value of T/NT ratio in the (99)Tc(m)-RGD-4CK group. CONCLUSIONS: NCI-H358 tumors
show a higher uptake of (99)Tc(m)-RGD-4CK than (18)F-FDG. It suggests that when
diagnosing a well-differentiated lung cancer such as bronchioloalveolar
carcinoma, the contrast agent (99)Tc(m)-RGD-4CK may be more sensitive than (18)F
FDG, and it may become a promising contrast agent in tumor imaging diagnosis.
PMID- 22093627
TI - [EGFR gene copy number, ERCC1 and BRCA1 protein expression and their relationship
in non-small cell lung cancer].
AB - OBJECTIVE: To evaluate the expression of epidermal growth factor receptor (EGFR)
gene copy number and the expression of ERCC1 and BRCA1 proteins in patients with
non-small-cell lung cancer (NSCLC) and the correlation between them. METHODS: The
status of EGFR gene copy number was determined by in situ hybridization (FISH),
and the expression of ERCC1 and BRCC1 proteins was examined by
immunohistochemistry (IHC). The relationship of EGFR gene copy number with the
expression of ERCC1 and BRCA1 and the clinical pathologic features were analyzed.
RESULTS: FISH-positive EGFR expression was identified in 40 of 166 samples
(24.1%). More FISH-positive EGFR in the female than male patients (31.9% vs.
18.6%, P = 0.048), and non-smoker than smoker (32.8% vs. 16.7%, P = 0.045). FISH
positive EGFR was not associated with age, pathological type, clinical stage and
metestasis status (P > 0.05). The expression of ERCC1 protein was identified in
60 of 132 samples (45.5%). The expression of ERCC1 protein varied significantly
in tumors of different pathological types (P = 0.046), but not associated with
age, gender, clinical stage, metestatic status and smoking status (P > 0.05). The
expression of BRCA1 protein was identified in 46 of 131 samples (35.1%). The
expression of BRCA1 was not associated with age gender, pathological type,
clinical stage, metestatic ststus and smoking status (P > 0.05). There was a
moderate correlation between the expressions of ERCC1 and BRCA1 (r = 0.449, P <
0.001), but EGFR gene copy number was not correlated with the expression of ERCC1
or BRCA1 protein. CONCLUSIONS: FISH-positive EGFR expression is associated with
gender and smoking status, but not correlated with the expression of ERCC1 and
BRCA1 proteins. There is a moderate correlation between the expressions of ERCC1
and BRCA1.
PMID- 22093628
TI - [Expression of indoleamine 2, 3-dioxygenase and its correlation with prognosis in
breast cancer patients].
AB - OBJECTIVE: To investigate the expression of indoleamine 2, 3-dioxygenase (IDO) in
breast cancer and its correlation with clinicopathologic factors and prognosis.
METHODS: The expression of IDO, CD31, CD105 proteins in 40 specimens of breast
cancer were assessed by immunohistochemistry. RESULTS: The overexpression rate of
IDO in breast cancer was 67.5% (27/40), and expression of IDO was closely
associated with clinical stage and lymph nodes metastasis. The disease-free
survival rate in patients with IDO overexpression was not significantly lower
than that in patients with negative or low expression of IDO (P > 0.05).
Moreover, the expression of IDO was positively correlated with CD105-labeled
microvessel density (r = 0.659, P < 0.05). CONCLUSIONS: Expression of IDO is
associated with clinical stage and lymph nodes metastasis, and microvessel
densitty. IDO expression may promote the growth and metastasis of breast cancer,
probably via the increased agiogenesis. A larger sample study is needed to verify
whether the prognosis of beast cancer is significantly correlated with IDO
expression.
PMID- 22093629
TI - [A randomized controlled trial of two chemotherapy regimens (paclitaxel liposome
combined with platinum and paclitaxel combined with platinum) in concurrent
chemoradiotherapy for cervical carcinoma].
AB - OBJECTIVE: To compare the efficacy, side effects and influence of two
chemotherapy regimens, paclitaxel liposome combined with platinum and paclitaxel
combined with platinum, on the survival rate in patients with cervical carcinoma
receiving concurrent chemoradiotherapy. METHODS: One hundred and sixty two cases
with primary cervical carcinoma diagnosed and treated in the Jiangxi Maternal and
Children Hospital between January 2008 and November 2009 were enrolled in this
randomized controlled trial. Seventy one cases were included in the paclitaxel
group and 91 in the paclitaxel liposome group. The chemotherapy doses were as
followings: paclitaxel liposome and paclitaxel 135 mg/m(2); cisplatin 80 mg/m(2)
or carboplatin AUC 4 - 6, repeated every 21 days for two or three times. Radical
radiotherapy was given to both groups at the same time. The efficacy was
evaluated by the tumor regression and the patients were followed-up for six
months. RESULTS: The overall response rates of paclitaxel group and paclitaxel
liposome group were 90.1% and 89.0%, respectively (P > 0.05). The 1-year
cumulative survival rate was 91.4% for the paclitaxel group and 89.2% for the
paclitaxel liposom group (P > 0.05). The incidence rate of adverse effects such
as rash, gastrointestinal toxicity, bone marrow suppression and muscle/joint pain
in the paclitaxel liposome group was significantly lower than that in the
paclitaxel group (P < 0.05), while there was no significant difference regarding
the hair loss, liver damage, and peripheral neuritis (P > 0.05). CONCLUSIONS:
Paclitaxel liposome plus platinum is a safe and effective therapeutic regimen for
stage IIa-IV cervical carcinoma. However, the long-term efficacy of this regimen
should be further observed.
PMID- 22093630
TI - [Effects of anastrozole on lipid metabolism in Chinese postmenopausal women with
breast cancer].
AB - OBJECTIVE: The aim of this study was to evaluate the effect of anastrozole, a new
generation aromatase inhibitor, on the lipid metabolism in postmenopausal Chinese
women with early breast cancer, and observe the adverse reactions as well.
METHODS: Postmenopausal women with early breast cancer patients took anastrozole
1 mg per day. The lipid profiles of total cholesterol, triglyceride, low density
lipoprotein, and high density lipoprotein were assessed before taking the drug, 3
months, 6 months after taking medication, and later once a year, until the end of
medication or follow-up. Patients taking lipid-lowering drugs were excluded. The
adverse reactions during the process of taking medication was followed-up by
telephone. RESULTS: Two hundred and eighty-five postmenopausal breast cancer
patients took part in the trial from Jan. 2003 to Jun. 2009. All patients had
completed primary surgery and demonstrated a postmenopausal status. ER or PR
positivity was confirmed by histopathology. Taking the medication from a minimum
of one year to a maximum of 5 years, with a median time of 3.61 years. During the
medication time, anastrozole significantly increased the levels of low density
lipoprotein-cholesterol after 6 months of treatment, continuing to 5 years, from
(3.08 +/- 0.90) mmol/L to (3.59 +/- 0.59) mmol/L, with a maximal increase of
18.2% higher than that before medication. Anastrozole significantly increased the
levels of total cholesterol and high density lipoprotein-cholesterol after 1
years of treatment. Anastrozole significantly reduced the levels of triglycerides
after 1 years of treatment. Anastrozole showed no significant effect on serum
lipids in the patients with pre-existing hyperlipidemia. A more significant
effect on blood lipids was observed in patients aged >= 60-years than that in
patients less than 60 years of age. The rate of other adverse events were similar
to that reported in foreign patients. CONCLUSIONS: For the postmenopausal
patients with breast cancer, taking anastrozole may lead to an abnormal lipid
metabolism. Anastrozole significantly increases the levels of low density
lipoprotein-cholesterol, total cholesterol and high density lipoprotein
cholesterol, and significantly reduces the level of triglycerides. The rate of
other adverse events were similar to that reported in foreign patients. it is
suggested that the blood lipid levels should be regularly assessed in patients
with long-term anastrozole treatment. The rate of other adverse events similar to
that reported with foreign patients, and patients tolerate this treatment well.
PMID- 22093631
TI - [Dose escalation of domestic nedaplatin used in concurrent chemoradiotherapy for
cervical cancer].
PMID- 22093632
TI - [Correlation between VEGF-C expression in peripheral blood, lymph nodes and tumor
tissues in patients with non-small cell lung cancer].
PMID- 22093633
TI - [Therapeutic efficacy of three-dimensional conformal radiation therapy for
patients with locally advanced non-small cell lung cancer].
AB - OBJECTIVE: To compare the treatment results of three-dimensional conformal
radiotherapy (3D-CRT) and conventional radiotherapy (2D) for patients with
locally advanced non-small-cell lung cancer (NSCLC). METHODS: Five hundred and
twenty seven patients with stage III NSCLC treated between Jan 2000 and Dec 2006
were included in this study. Among them, 253 cases were treated with 3D-CRT, and
274 with conventional radiotherapy. In the 3D group, 159 (62.8%) patients
received chemoradiotherapy, 77 with total radiotherapy dose of > 60 Gy, 49 with
50 - 60 Gy. In the 2D group, 127 (46.4%) patients received chemoradiotherapy, 48
with total radiotherapy dose of > 60 Gy, 75 with 50 - 60 Gy. RESULTS: The 1-, 3-,
5-year overall survival rates (OS) and median survival time for patients treated
with 3D-CRT were 73.3%, 26.1%, 14.4% and 20.1 months, respectively, and that of
patients treated with 2D radiotherapy were 61.0%, 13.8%, 8.0% and 15.6 months,
respectively (P = 0.002). The 1-, 3-, 5-year cause-specific survival rates (CSS)
were 79.0%, 33.3%, and 20.8% for the 3D group and 65.1%, 16.7%, 11.2%,
respectively, for the 2D group (P = 0.000). The 1-, 3-, and 5-year locoregional
control rates were 71.6%, 34.3% and 31.0% for patients treated with 3D
radiotherapy and 57.3%, 22.1% and 19.2%, respectively, for patients treated with
2D treatment (P = 0.002). The results of multivariate analysis showed that 3D
CRT, KPS, clinical tumor response and pretreatment hemoglobin level were
independently associated with increased OS and CSS. No statistically significant
differences were found between the radiation complications in the two groups.
CONCLUSIONS: The results of our study demonstrate that 3D-conformal radiotherapy
improves the survival rate in patients with stage III NSCLC compared with that of
2D radiation therapy.
PMID- 22093634
TI - [Endovascular placement of iodine-125 seed strand and self-expandable stent
combined with transcatheter arterial chemoembolization for hepatocellular
carcinoma with tumor thrombus in the main portal vein].
AB - OBJECTIVE: To evaluate the therapeutic effect of endovascular placement of iodine
125 seed strand and stent combined with transcatheter arterial chemoembolization
(TACE) to treat hepatocellular carcinoma (HCC) with tumor thrombus in the main
portal vein (MPVTT). METHODS: Fifty patients with HCC complicated by MPVTT were
enrolled into this study. There were 46 men and 4 women with a mean age of 53.9
years. TACE was performed after the iodine-125 seed strand and self-expandable
stent placement in the obstructed segment of the main portal vein (MPV). RESULTS:
Technical success rate was 100% for placement of iodine-125 seed strand and stent
in the target segment of MPV. No serious procedure-related complications
occurred. The mean follow-up duration was 208.5 d. The mean and median survival
time was 370.1 d and 223.0 d, respectively. The 90-, 180-, 360-day cumulative
survival rates were 97.5%, 59.3%, and 38.4%, respectively. The mean and median
patent time of stent was 524.2 d and 407.4 d, respectively. The 90-, 180-, 360
day cumulative patency rates of stent were 94.9%, 75.2%, and 64.5%, respectively.
CONCLUSION: Endovascular placement of iodine-125 seed strand and stent combined
with TACE is an effective therapy for HCC with tumor thrombus in the main portal
vein.
PMID- 22093635
TI - [Diagnostic value of combining detection of human epididymis protein 4 and CA125
in patients with malignant ovarian carcinoma].
AB - OBJECTIVE: To investigate the clinical value of combination of human epididymis
protein 4 (HE4), CA125 and the Risk of Ovarian Malignancy Algorithm (ROMA) in
diagnosis of ovarian carcinoma. METHODS: To detect the serum concentration of HE4
using ELISA and CA125 using ECL in patients of ovarian carcinoma group (n = 119),
borderline ovarian tumor group (n = 36), benign ovarian neoplasm group (n = 96)
and female healthy control group (n = 53). The ROMA based on the serum level of
CA125, HE4 and a woman's menopausal status was used to calculate the predicted
probability (PP) and diagnostic results of ovarian cancers. RESULTS: The receiver
operating characteristic (ROC) analysis showed the cut-off value was 67.3 pmol/L
(the AUC was 0.906, the sensitivity was 80.7% and specificity was 94.6%). The
serum levels of HE4 and CA125 in the ovarian carcinoma group were significantly
higher than that in the borderline ovarian tumor group, benign ovarian neoplasm
group and female healthy control group (P < 0.01). The serum levels of CA125 and
HE4 showed statistically no significant difference between the borderline ovarian
tumor group and benign ovarian neoplasm group (P > 0.05). The levels of HE4 and
CA125 were reduced significantly in ovarian patients after surgery therapy (P <
0.01). The sensitivity and specificity of HE4 + CA125 combination was 92.7% and
72.5%. The ROMA that can classify patients into high and low risk groups was
established as 9.3% in premenopausal and 27.3% in postmenopausal women.
CONCLUSIONS: HE4 is a helpful biomarker for ovarian carcinoma diagnosis.
Biomarker combination of HE4 and CA125, and applying of the ROMA are helpful to
improve the accuracy in diagnosis of ovarian cancers.
PMID- 22093636
TI - [Predictive value of (99)Tc(m)-MIBI scintimammography in evaluation of the
efficacy of neoadjuvant chemotherapy in patients with operable breast cancer].
AB - OBJECTIVE: To investigate the value of technetium-99m methoxyisobutylisonitrile
((99)Tc(m)-MIBI) imaging in predicting the efficacy of neoadjuvant chemotherapy
(NCT) and prognosis in patients with operable breast cancer. METHODS: Sixty five
patients with breast cancer underwent (99)Tc(m)-MIBI scintimammography before
NCT, and static planar images were taken at 10 min and 180 min after
scintimammography. The clearance rate was calculated in each patient, correlation
between the clearance rate and efficacy of NCT, and the disease free survival
rate were analyzed. RESULTS: The mean clearance rate of 65 patients was (17.4 +/-
6.8)%. The efficacy of NCT was 86.2% (CR 4 cases, PR 52 cases, SD 8 cases, and PD
1 case), and the mean clearance rate of patients with good response or poor
response of chemotherapy were (15.5 +/- 5.0)% and (29.2 +/- 3.2)%, respectively.
There was a significant difference between the two groups. The average disease
free survival rate in the group with low clearance rate was (75.8%, P = 0.046),
significantly higher than that in the group with high clearance rate (53.1%).
CONCLUSION: Scintimammography of (99)Tc(m)-MIBI may be used to evaluate the
efficacy and prognosis of NCT for patients with operable breast cancer.
PMID- 22093637
TI - [Surgical treatment of primary tracheal tumors in 63 cases].
AB - OBJECTIVE: To summarize the experience in diagnosis and treatment of primary
tracheal tumors, and to improve the life quality of patients. METHODS: Sixty
three patients with primary tracheal tumors treated in the First Affiliated
Hospital of China Medical University during the past 40 years were included in
this study, among them, there were 42 cases of malignant tumors and 21 cases of
benign tumors. The 61 patients underwent surgery including tracheal sleeve
resection (22), carinal resection and reconstruction (6), semi-carinal resection
and reconstruction (6), tracheal resection for tracheal tumors (17); tracheostomy
(4), tracheal resection, partial resection of the thyroid (goiter) and
esophagomyotomy (1), tracheal tumor resection and vertical hemilaryngectomy with
reconstruction of laryngeal ventricle and trachea by sternocleidomastoid flap
(2), cervical trachea and laryngeal resection (1), and carinal scrape (2).
RESULTS: Fifty-five patients had an uneventful recovery. Eight patients suffered
from postoperative complications, among them 3 patients died postoperatively.
CONCLUSIONS: Primary tracheal tumors often present atypical symptoms, are easily
misdiagnosed and with poor prognosis. The main aim of treatment remains to remove
the airway obstruction.
PMID- 22093638
TI - [Diagnosis and treatment of occult breast cancer in 44 cases].
AB - OBJECTIVE: To summarize the experience of diagnosis and treatment of occult
breast cancer in 44 cases. METHODS: Clinicopathological data of 44 cases of
occult breast cancer initially presenting axillary mass alone treated in our
department during Jan 1997 to Dec 2008 were retrospectively analyzed. RESULTS:
The 44 patients with occult breast cancer accounted for 0.42% of all breast
cancer patients admitted to our hospital and institute in the same period. The
surgery included radical mastectomy in 16 cases, modified radical mastectomy in
19 cases, axillary clearance in 1 case, and simple axillary node excision in 8
cases. Follow-up, ranging from 12-132 months, was available in 38 cases. Among 32
cases who underwent mastectomy or axillary clearance, 2 cases died of distant
metastases and 3 cases were still alive with local recurrence at the time of
analysis. In two out of six cases who refused further surgical treatment received
mastectomy 16 months and 41 months after the primary diagnosis of occult breast
cancer, respectively. Others were alive without evidence of recurrence or
metastases at the time of analysis. CONCLUSIONS: Occult breast cancer should be
taken into consideration in cases presenting with axillary metastasis of unknown
primary origin. The treatment of occult breast cancer should include modified
radical mastectomy/radical mastectomy or breast conserving surgery combined with
breast irradiation.
PMID- 22093639
TI - [Clinical analysis of 79 gastrointestinal tract stromal tumor cases].
PMID- 22093640
TI - [Pros and cons of total thyroidectomy].
PMID- 22093641
TI - The pathoplasticity of dysphoric episodes: differential impact of stressful life
events on the pattern of depressive symptom inter-correlations.
AB - BACKGROUND: Previous research has shown that stressful life events (SLEs)
influence the pattern of individual depressive symptoms. However, we do not know
how these differences arise. Two theories about the nature of psychiatric
disorders have different predictions about the source of these differences: (1)
SLEs influence depressive symptoms and correlations between them indirectly, via
an underlying acute liability to develop a dysphoric episode (DE; common cause
hypothesis); and (2) SLEs influence depressive symptoms and correlations between
them directly (network hypothesis). The present study investigates the
predictions of these two theories. METHOD: We divided a population-based sample
of 2096 Caucasian twins (49.9% female) who reported at least two aggregated
depressive symptoms in the last year into four groups, based on the SLE they
reported causing their symptoms. For these groups, we calculated tetrachoric
correlations between the 14 disaggregated depressive symptoms and, subsequently,
tested whether the resulting correlation patterns were significantly different
and if those differences could be explained by underlying differences in a single
acute liability to develop a DE. RESULTS: The four SLE groups had markedly
different correlation patterns between the depressive symptoms. These differences
were significant and could not be explained by underlying differences in the
acute liability to develop a DE. CONCLUSIONS: Our results are not compatible with
the common cause perspective but are consistent with the predictions of the
network hypothesis. We elaborate on the implications of a conceptual shift to the
network perspective for our diagnostic and philosophical approach to the concept
of what constitutes a psychiatric disorder.
PMID- 22093642
TI - Effects of computerized match-to-sample training on emergent fraction-decimal
relations in individuals with fragile X syndrome.
AB - Individuals diagnosed with fragile X syndrome (FXS), the most common known form
of inherited intellectual disability, are reported to exhibit considerable
deficits in mathematical skills that are often attributed to brain-based
abnormalities associated with the syndrome. We examined whether participants with
FXS would display emergent fraction-decimal relations following brief, intensive
match-to-sample training on baseline relations. The performance profiles on tests
of symmetry and transitivity/equivalence of 11 participants with FXS, aged 10-23
years, following baseline match-to-sample training were compared to those of 11
age- and IQ-matched controls with idiopathic developmental disability. The
results showed that both groups of participants showed significant improvements
in the baseline (trained) relations, as expected. However, participants with FXS
failed to show significant improvements in the (untrained) symmetry and
transitivity/equivalence relations compared to those in the control group. A
categorical analysis of the data indicated that five participants with FXS and
eight controls showed at least "intermediate" emergence of symmetry relations,
whereas one individual with FXS and three controls showed at least intermediate
emergence of transitivity/equivalence relations. A correlation analysis of the
data indicated that improvements in the symmetry relations were significantly
associated with improvements in the transitivity/equivalence relations in the
control group (r=.69, p=.018), but this was not the case in the FXS group (r=.34,
p>.05). Participant IQ was significantly associated with improvements in the
symmetry relations in individuals with FXS (r=.60, p=.049), but not in controls
(r=.21, p>.05). Taken together, these results suggest that brief, computerized
match-to-sample training may produce emergent mathematical relations for a subset
of children with FXS and developmental disabilities. However, the ability of
individuals with FXS to form transitivity/equivalence relations may be impaired
relative to those with idiopathic developmental disabilities, which may be
attributed to neurodevelopmental variables associated with the syndrome.
PMID- 22093643
TI - Are French dyslexic children sensitive to consonant sonority in segmentation
strategies? Preliminary evidence from a letter detection task.
AB - This paper aims to investigate whether--and how--consonant sonority (obstruent
vs. sonorant) and status (coda vs. onset) within syllable boundaries modulate the
syllable-based segmentation strategies. Here, it is questioned whether French
dyslexic children, who experience acoustic-phonetic (i.e., voicing) and
phonological impairments, are sensitive to an optimal 'sonorant coda-obstruent
onset' sonority profile as a cue for a syllable-based segmentation. To examine
these questions, we used a modified version of the illusory conjunction paradigm
with French dyslexic children compared with both chronological age-matched and
reading level-matched controls. Our results first showed that the syllable-based
segmentation is developmentally constrained in visual identification: in normally
reading children, it appears to progressively increase as reading skills
increase. However, surprisingly, our results also showed that dyslexic children
were able to use syllable-sized units. Then, data highlighted that a syllable
based segmentation in visual identification basically relies on an optimal
'sonorant coda-obstruent onset' sonority profile rather than on phonological and
orthographic statistical properties in normally reading children as well as,
surprisingly, in dyslexic children. Our results are discussed to support a
sonority-modulated prelexical role of syllable-sized units in visual
identification in French, even in dyslexic children who exhibited a
developmentally delayed profile. We argue that dyslexic children have deficits in
online phonetic-phonological processing rather than degraded or underspecified
phonetic-phonological representations.
PMID- 22093644
TI - Psychiatric literacy and the conduct disorders.
AB - Past research regarding mental health literacy has indicated that public
knowledge is lamentably poor. This study aimed to examine the effect of
demographics, experience and personality, as predictors for understanding conduct
disorders. An opportunistic sample of 125 participants with a mean age of 24.29
years completed an online questionnaire in which they were asked to describe and
evaluate vignettes of 4 conduct disorders. They were asked for their view of what
the diagnosis may be: "What is the main problem", confidence in their diagnosis,
and how the person could be helped. The correct diagnosis was given by 42% of the
participants in one case but only 8% in another. A content analysis suggested
that five types of diagnosis were given: psychological/psychiatric, behavioural,
parenting, socio-emotional and lifestyle. There were significant differences in
what treatments were thought to be useful between the cases though psychotherapy
was thought to be most useful. Limitations of this study are considered.
PMID- 22093645
TI - Antipsychotic medication prescription patterns in adults with developmental
disabilities who have experienced psychiatric crisis.
AB - Antipsychotic medication rates are high in adults with developmental disability.
This study considered rates of antipsychotic use in 743 adults with developmental
disability who had experienced a psychiatric crisis. Nearly half (49%) of these
adults were prescribed antipsychotics. Polypharmacy was common with 22% of those
prescribed antipsychotics taking 2 or more antipsychotics at once. Predictors of
multiple antipsychotic use included gender, residence, psychiatric diagnosis and
previous hospitalizations. Implications of medication prescriptions to this
vulnerable population are discussed.
PMID- 22093646
TI - Assisting people with disabilities to actively improve their collaborative
physical activities with Nintendo Wii Balance Boards by controlling environmental
stimulation.
AB - The latest researches have adopted software technology to modify the Nintendo Wii
Balance Board functionality and used it to enable two people with developmental
disabilities to actively perform physical activities. This study extended the
latest research of the Wii Balance Board application to assess whether four
people (two groups) with developmental disabilities would be able to actively
improve their physical activities collaboration--walking to the designated
location following simple instructions, by controlling their favorite
environmental stimulation through using three Nintendo Wii Balance Boards. We
employed an A-B-A-B design, with A represented the baseline and B represented
intervention phases. Data showed that both groups of participants significantly
increased their collaborative target response (collaboratively performing
designated physical activities) by activating the control system to produce their
preferred environmental stimulation during the intervention phases. Practical and
developmental implications of the findings are discussed.
PMID- 22093647
TI - Gaze behaviour during interception in children with spastic unilateral cerebral
palsy.
AB - Anticipatory gaze behaviour during interceptive movements was investigated in
children with Spastic Unilateral Cerebral Palsy (SUCP), and related to the side
of the intracerebral lesion. Five children with lesions of the right hemisphere
(RHL) and five children with lesions of the left hemisphere (LHL) had to walk
towards and intercept a ball that moved perpendicular to the walking path.
Interception accuracy and gaze patterns were measured in a no-occlusion and
occlusion condition, in which the ball was occluded from view for half of its
trajectory. There was a clear support for a relationship between gaze behaviour
and success in interception performance, with some evidence for the presence of
anticipatory gaze behaviour. There were also differences in gaze behaviour
between children with RHL and children with LHL that might be related to
planning, but these did not affect interception accuracy. It is concluded that
gaze behaviour during interceptive movements is anticipatory, and at least partly
dependent on the lesional side.
PMID- 22093648
TI - Self-perception of children and adolescents with Mobius sequence.
AB - Mobius sequence is a rare congenital disorder usually defined as a combination of
facial weakness with impairment of ocular abduction. Some studies suggest that
psychosocial and psychiatric problems might be increased among affected persons.
So far, there have been no studies on the self-perception of children and
adolescents with the sequence. Seventeen participants with Mobius sequence (9
male, 8 female) aged 9-15 (mean: 11.59) years were studied. None of the probands
was mentally retarded or had a diagnosis of autism spectrum disorder.
Participants filled out well standardized German questionnaires on depression
(Depressionsinventar fur Kinder und Jugendliche [DIKJ]), anxiety (Angstfragebogen
fur Schuler [AFS]) and personality aspects (Personlichkeitsfragebogen fur Kinder
von 9-14 Jahren [PFK 9-14]). Additionally, their primary caregivers were asked to
complete a special questionnaire to compile the probands' personal, somatic and
psychosocial history as well as the German version of the Strengths and
Difficulties Questionnaire, Parent Form [SDQ-Deu]. According to the participants'
self-perception only one girl scored clinical (t-score >= 63) for manifest
anxiety [AFS] and depression [DIKJ] (5.9% vs. 10% in the general population).
Moreover, the whole sample reported significantly lower test anxiety (p=0.000)
and manifest anxiety (p=0.005) [AFS] whereas general anxiety as a personality
aspect [PFK 9-14] did not differ from the normative sample. Compared to normative
data, subjects expressed significantly less depression (p=0.023) and impulsivity
(p=0.042). One out of 17 subjects was rated abnormal for total problems on the
SDQ-Deu (5.9% vs. 10% of the normative sample), five participants scored abnormal
for social problems (29.4%) and three for emotional problems (17.6%). Social
problems correlated significantly with the probands' age (rho=0.707; p=0.002). As
Mobius patients have severe difficulties with facial expression of feelings, and
others might therefore falsely recognize them as serious or even depressed, the
subjects' self-perception is crucial for assessment and diagnosis, especially if
it differs considerably from that of the primary caregivers.
PMID- 22093650
TI - A process evaluation of the Friendships and Dating Program for adults with
developmental disabilities: measuring the fidelity of program delivery.
AB - Adults with intellectual and developmental disabilities are frequently abused in
dating and partnered relationships. The Friendships and Dating Program (FDP) was
developed to prevent violence in dating and partnered relationships and to teach
social skills needed to develop healthy, meaningful relationships among this
population. A pilot study indicated the FDP resulted in a statistically
significant increase in social network size and a significant decrease in
instances of interpersonal violence. This study focused on utilizing a Process
Evaluation Model (PEM) to document the level of treatment fidelity in the
delivery of the 20 session FDP for adults with intellectual and developmental
disabilities delivered by community agency personnel. The PEM also documented the
amount of content delivered to the participants during each session. Results
indicated that direct service personnel delivered the program with a high level
of fidelity. Additionally, participants engaged at high rates over the course of
the 10-week program. Further, the results indicated the FDP topics and methods of
delivery were appropriate for adults with intellectual and developmental
disabilities. Programs should use a Process Evaluation Model (PEM) and methods as
a routine quality control mechanism to assess provision of salient participant
procedures.
PMID- 22093649
TI - On the difficulty of relational concepts among participants with Down syndrome.
AB - The aim of the study was to compare the difficulty of relational concepts among
participants with and without intellectual disability. The French versions of the
Boehm Tests of Basic Concepts Third Edition (Preschool and Kindergarten to 2nd
grade) were administered to three groups of 47 participants individually matched
on their total raw score on the tests. The first group comprised participants
with intellectual disability of undifferentiated etiology, the second,
participants with Down syndrome and the third, typical children. Item analyses
using the transformed item difficulties method to detect differential item
functioning across groups showed that the groups' rank-orders of item difficulty
were highly similar. It is concluded that, all things being equal, relational
concepts are of comparable difficulty and follow a similar sequence of
development whatever the cognitive and etiological status of participants.
Methodological and theoretical implications of these findings are discussed.
PMID- 22093651
TI - Training with differential outcomes enhances discriminative learning and
visuospatial recognition memory in children born prematurely.
AB - Previous studies have demonstrated that discriminative learning is facilitated
when a particular outcome is associated with each relation to be learned. When
this training procedure is applied (the differential outcome procedure; DOP),
learning is faster and more accurate than when the more common non-differential
outcome procedure is used. This enhancement of accuracy and acquisition has been
called the differential outcome effect (DOE). Our primary purpose in the present
study was to explore the DOE in children born with great prematurity performing a
discriminative learning task (Experiment 1) or a delayed visuospatial recognition
task (Experiment 2). In Experiment 1, participants showed a faster learning and a
better performance when differential outcomes were used. In Experiment 2, a
significant DOE was also observed. That is, premature children performed the
visuospatial recognition task better when they received differential outcomes
following their correct responses. By contrast, the overall performance of full
term children was similar in both differential and non-differential conditions.
These results are first to show that the DOP can enhance learning of conditional
discriminations and recognition memory in children born prematurely with very low
birth-weight.
PMID- 22093652
TI - Taekwondo training improves sensory organization and balance control in children
with developmental coordination disorder: a randomized controlled trial.
AB - Children with developmental coordination disorder (DCD) have poorer postural
control and are more susceptible to falls and injuries than their healthy
counterparts. Sports training may improve sensory organization and balance
ability in this population. This study aimed to evaluate the effects of three
months of Taekwondo (TKD) training on the sensory organization and standing
balance of children with DCD. It is a randomized controlled trial. Forty-four
children with DCD (mean age: 7.6+/-1.3 years) and 18 typically developing
children (mean age: 7.2+/-1.0 years) participated in the study. Twenty-one
children with DCD were randomly selected to undergo daily TKD training for three
months (1 h per day). Twenty-three children with DCD and 18 typically developing
children received no training as controls. Sensory organization and standing
balance were evaluated using a sensory organization test (SOT) and unilateral
stance test (UST), respectively. Repeated measures MANCOVA showed a significant
group by time interaction effect. Post hoc analysis demonstrated that
improvements in the vestibular ratio (p=0.003) and UST sway velocity (p=0.007)
were significantly greater in the DCD-TKD group than in the DCD-control group.
There was no significant difference in the average vestibular ratio or UST sway
velocity between the DCD-TKD and normal-control group after three months of TKD
training (p>0.05). No change was found in the somatosensory ratio after TKD
training (p>0.05). Significant improvements in visual ratios, vestibular ratios,
SOT composite scores and UST sway velocities were also observed in the DCD-TKD
group after training (p<=0.01). Three months of daily TKD training can improve
sensory organization and standing balance for children with DCD. Clinicians can
suggest TKD as a therapeutic leisure activity for this population.
PMID- 22093653
TI - The influence of supports strategies, environmental factors, and client
characteristics on quality of life-related personal outcomes.
AB - The concept of quality of life (QOL) is increasingly being used as a support
provision and outcomes evaluation framework in the field of intellectual
disability (ID). The present study used a hierarchical multiple regression
research design to determine the role that available supports strategies,
environmental factors, and client characteristics play in assessed quality of
life-related personal outcomes. Data were collected in Arduin Foundation in The
Netherlands. Participants were 186 individuals with an intellectual disability.
Results indicated that QOL-outcomes were significantly impacted by the
availability of support strategies, living arrangement, status of employment and
level of ID.
PMID- 22093654
TI - Assessing client-caregiver relationships and the applicability of the 'student
teacher relationship scale' for people with intellectual disabilities.
AB - Improvements in client-caregiver relationships may lead to improvements in the
quality of life of clients with intellectual disabilities (ID). For this reason,
interventions aimed at influencing these relationships are important. To gain
insight into the nature and intention of these relationships in the ID
population, suitable measurement instruments are needed. This study examines the
applicability of an existing relationship questionnaire designed for primary
education, called the Student-Teacher Relationship Scale (STRS) on the basis of
the following research questions: (1) What is the factor structure of the STRS?
(2) Are there associations between STRS scales and other conceptually comparable
instruments? (3) Is the STRS reliable? The participants in this study were 46
caregivers, who assessed 350 client-caregiver relationships. Psychometric
research was conducted into the factor structure (n=350), construct validity
(n=146), internal consistency (n=350) and test-retest reliability (n=177) of the
STRS and the reliability of the individual scores (n=350) among a study
population of people with moderate and severe ID. The three-factor model of the
STRS as used in primary education (1. closeness, 2. conflict, 3. dependency) was,
despite minor deviations, also found in the ID population. Research into the
construct validity of the STRS showed statistically significant correlations with
other scales with which similarities could be expected. The internal consistency
and test-retest reliability of the STRS in the population studied were very good.
The 95% confidence intervals of the means were small, and these measurements can
be regarded as reliable.
PMID- 22093655
TI - Technology-based programs to promote walking fluency or improve foot-ground
contact during walking: two case studies of adults with multiple disabilities.
AB - These two case studies assessed technology-based programs for promoting walking
fluency and improving foot-ground contact during walking with a man and a woman
with multiple disabilities, respectively. The man showed breaks during walking
and the woman presented with toe walking. The technology used in the studies
included a microprocessor with specific software, an MP3 with the recordings of
preferred stimulus items, and optic sensors. Both studies were carried out
according to an ABAB design. In Study I, the optic sensors were activated when
the man crossed small marks distributed along the travel routes. At each sensor's
activation, the man received a brief period of preferred stimulation. In Study
II, the woman received preferred stimulation when the sensors at the toe and the
heel of her shoes were activated in close time proximity. The man walked
virtually without breaks and improved his mood (with an increase in indices of
happiness) during the intervention phases of the study. The woman largely
increased her percentages of steps with adequate foot-ground contact, which
reached a mean of about 80 during the second intervention phase. The results were
discussed in terms of rehabilitation implications and technology demands.
PMID- 22093656
TI - Vocabulary development in children with hearing loss: the role of child, family,
and educational variables.
AB - In the present study we examined the effect of hearing status on reading
vocabulary development. More specifically, we examined the change of lexical
competence in children with hearing loss over grade 4-7 and the predictors of
this change. Therefore, we used a multi-factor longitudinal design with multiple
outcomes, measuring the reading vocabulary knowledge in children with hearing
loss from grades 4 and 5, and of children without hearing loss from grade 4, for
3 years with two word tasks: a lexical decision task and a use decision task.
With these tasks we measured word form recognition and (in)correct usage
recognition, respectively. A GLM repeated measures procedure indicated that
scores and growth rates on the two tasks were affected by hearing status.
Moreover, with structural equation modeling we observed that the development of
lexical competence in children with hearing loss is stable over time, and a
child's lexical competence can be explained best by his or her lexical competence
assessed on a previous measurement occasion. If you look back, differences in
lexical competence among children with hearing loss stay unfortunately the same.
Educational placement, use of sign language at home, intelligence, use of hearing
devices, and onset of deafness can account for the differences among children
with hearing loss.
PMID- 22093657
TI - Stability and harmony of gait in children with cerebral palsy.
AB - The aim of this study was to quantitatively assess the stability and harmony of
gait in children with cerebral palsy. Seventeen children with spastic hemiplegia
due to cerebral palsy (5.0+/-2.3 years old) who were able to walk autonomously
and seventeen age-matched children with typical development (5.7+/-2.5 years old,
p=0.391) performed a 10-m walking test with a wearable device fixed to their
lower trunk and included a triaxial accelerometer and three gyroscopes. Three
parameters related to gait stability and three related to gait harmony were
computed; all of these yielded significant differences between children with
cerebral palsy and those with typical development (p<0.020 for all the computed
parameters). In the latter group of children, trunk accelerations were found to
be negatively correlated with age (partial correlation controlled for walking
speed: R(p)<-0.58, p>0.020). Conversely, in children with cerebral palsy, the
upper body accelerations were proportionally correlated with their gait speed
(R=0.548, p=0.023 in the antero-posterior direction) but not with their age
(p>0.05). This finding can be related both to difficulties in managing the higher
upper body accelerations involved in rapid walking and to compensation
strategies.
PMID- 22093658
TI - The assessment of the likelihood of mammography usage with relevant factors among
women with disabilities.
AB - Research that identifies the determinants of low mammography use among disabled
people is scant. This study examines the determining factors related to the low
usage of mammography among women with disabilities. To identify the barriers that
prevent women with disabilities from participating in mammography screening can
help authorities conceive feasibly useful strategies for avoiding worse
suffering. With women aged between 50 and 69 as subjects, this study was
conducted using the database of Ministry of the Interior, Taiwan, in 2008,
coupled with information gathered between 2006 and 2008 on preventive health care
and medical claim data from the Bureau of Health Promotion and the National
Health Research Institutes, respectively. This study examined the factors
determining the use of mammography with logistic regression analysis. Only 8.49%
of the disabled women used mammographies. When women with disabilities were in
higher income level, they were more likely to use mammography for breast cancer
screening. Similar findings were found for education levels. Moreover, subjects
with a more severe form of disability were less likely to use mammography with
ORs of 0.84, 0.63, and 0.52. Disabled women with major organ malfunction, chronic
mental illness, or mental retardation had a higher likelihood to use mammography
services, whereas women with multiple disabilities had the lowest likelihood of
usage. Those with experience using other preventive services showed 1.9 times to
7.54 times (95% CI: 1.82-1.98, 7.15-7.95, respectively) increased likelihood of
mammography usage. In summary, mammography usage is relatively different for
disabled and nondisabled populations. To mitigate the disparities, we can use
community healthcare institutions or public health nurses and social workers to
provide related preventive health services through community events to implement
integrated cancer screening services.
PMID- 22093659
TI - Examining reports of mental health in adults with Williams syndrome.
AB - Prior research suggests that individuals with Williams syndrome (WS) have a
disposition towards anxiety. Information regarding this is typically derived from
parents and carers. The perspectives of the individuals with WS are rarely
included in research of this nature. We examined the mental health of 19 adults
with WS using explicit (psychiatric interview) and implicit (modified Stroop
task) measures and compared informant (parents/carers) and respondent (adults
with WS) reports of psychiatric symptoms. Informants and respondents both
reported more symptoms of anxiety (n=7-9) than depression (n=2). Strong positive
correlations were found between informant and respondent reports of symptoms of
mental health problems. Compared to informants, respondents reported
significantly more symptoms overall and somewhat more symptoms of anxiety.
Results from the Stroop task indicated that the adults with WS were more vigilant
to anxiety-related words than to depression-related words. The adults with WS
provided reliable information regarding their mental health, thus providing
further evidence that anxiety is part of the behavioural phenotype of the
syndrome.
PMID- 22093660
TI - Sleep EEG fingerprints reveal accelerated thalamocortical oscillatory dynamics in
Williams syndrome.
AB - Sleep EEG alterations are emerging features of several developmental
disabilities, but detailed quantitative EEG data on the sleep phenotype of
patients with Williams syndrome (WS, 7q11.23 microdeletion) is still lacking.
Based on laboratory (Study I) and home sleep records (Study II) here we report WS
related features of the patterns of antero-posterior 8-16 Hz non-rapid-eye
movement (NREM) sleep EEG power distributions. Participants in Study I were 9 WS
and 9 typically developing (TD) controls matched for age (14-29 years) and sex,
and sleeping for two consecutive nights in the laboratory. WS participants were
characterized by region-independent decreases in 10.50-12.50 Hz and central
increases in 14.75-15.75 Hz EEG power. Region-independent decreases and increases
in z-scores of the spectra were observed in the 10.25-12.25 Hz and 14-16 Hz
ranges, respectively. Moreover, in the EEG spectra of participants with WS a
lower probability for the emergence of a frontally dominant peak was observed.
Parietal fast sigma peaks and the antero-posterior shifts in power distributions
were of higher frequencies in WS (~1 Hz difference). A 1 year follow-up of 9 WS
and 3 TD participants, as well as their inclusion into larger samples (20 WS and
20 TD, age: 6-29 years) of a two-night ambulatory home polysomnography study
confirmed the WS-specific decrease in alpha/low sigma power (8-11.75 Hz) and the
pattern of z-score differences (decreases: 8.50-11.25 Hz; increases: 13.5-14 Hz),
including the antero-posterior shifts in power distribution (0.5 Hz) and some
features of the spectral peaks. Altogether these data suggest a decrease in
alpha/low sigma power, as well as a redistribution of NREM sleep 8-16 Hz EEG
power toward the higher frequencies and/or a higher frequency of NREM sleep
thalamocortical oscillations in WS.
PMID- 22093661
TI - Movement skills of younger versus older adults with and without Down syndrome.
AB - Adults with Down syndrome (DS) are often physically inactive, which may
accelerate the onset of disease and aging symptoms. Eight older persons with DS
(aged 54-61), and 10 younger persons with DS (aged 26-35) living in a residential
care center were examined. Eighteen age- and gender-matched individuals without
DS served as control groups. Sensory-motor tasks and Posture Scale Analyzer (PSA)
were used to examine coordination and standing stability. The isokinetic muscle
strength test was used for muscle strength investigation. The functional
performance, coordination, and leg muscle strength of the older adults with DS
were more impaired than both the younger DS and the control groups. The older DS
group showed lower sway rate and more symmetrical weight-bearing distribution
during quiet standing than both the younger DS and the control groups. Our
observations may have significant implications for understanding movement
dysfunction in older adults with DS.
PMID- 22093662
TI - Language and communication skills in preschool children with autism spectrum
disorders: contribution of cognition, severity of autism symptoms, and adaptive
functioning to the variability.
AB - This study examined the contribution of cognitive function, severity of autism,
and adaptive functioning to the variability in language and communication skills
in 129 preschool children (aged 24-63 months) with autism spectrum disorder
(ASD). Participants were selected from a representative research cohort of 208
preschool children on the basis of caregiver completion of the MacArthur-Bates
Communicative Development Inventories (CDI). The children were classified into
three cognitive groups: (a) Normal intelligence; (b) Developmental delay; and (c)
Intellectual disability. Autism symptom severity was measured by the Autistic
Behavior Checklist (ABC), and adaptive functioning by the Daily Living Skills
(DLS) and Socialization (Soc) subscales from the Vineland Adaptive Behavior
Scales. For each of five CDI variables (Phrases understood, Words understood,
Words produced, Gestures and actions, and Language use), the contribution of
cognition, severity of autism symptoms, and adaptive functioning to the
variability was examined. Cognition and age explained about half or more of the
variance in the four verbal language CDI variables, but only about one fourth of
the variance in the non-verbal communication variable Gestures and actions.
Severity of autism symptoms and the two adaptive measures (DLS and Soc) each only
accounted for a few percent more of the variance in the four CDI language
variables; however, for Gestures and actions, an additional 11-21% of the
variance was accounted for. In conclusion, for children with ASD, receptive and
expressive language is mainly related to cognitive level, whereas non-verbal
communication skills seem to also be related to severity of autism symptoms and
adaptive functioning.
PMID- 22093663
TI - Effectiveness of functional progressive resistance exercise training on walking
ability in children with cerebral palsy: a randomized controlled trial.
AB - The objective of the study was to evaluate the effectiveness of functional
progressive resistance exercise (PRE) training on walking ability in children
with cerebral palsy (CP). Fifty-one ambulant children with spastic CP (mean age
10 years 5 months, 29 boys) were randomized to an intervention (n=26) or control
group (n=25, receiving usual care). The intervention consisted of 12 weeks
functional PRE circuit training, for 3 times a week. Main outcome measures were
walking ability and participation. Secondary outcomes were muscle strength and
anaerobic muscle power. Possible adverse outcomes were spasticity and passive
range of motion (ROM). Muscle strength increased significantly in the training
group compared to the control group, but walking ability, participation and
anaerobic muscle power did not change. Spasticity and ROM remained unchanged,
except for a significant decrease in rectus femoris length in the intervention
group. It is concluded that twelve weeks of functional PRE-training does not
improve walking ability, despite improved muscle strength.
PMID- 22093664
TI - Using simultaneous prompting to teach independent living and leisure skills to
adults with severe intellectual disabilities.
AB - The acquisition of independent living and leisure skills enables adults to
experience an enhanced quality of life by increasing competence, self-reliance,
and the development of autonomy. This study examined the effectiveness of
simultaneous prompting to teach behavior chains (i.e., independent living and
leisure skills) to adults with SID individually in their home environments.
Participants included two adults with SID receiving services from a not-for
profit agency that provides community-based services and supports to persons with
disabilities. The results of this study are the first to indicate the
effectiveness of simultaneous prompting to teach independent living and leisure
skills to adults with SID using a one-on-one format in their home environment.
Both participants learned three different skills within 12-28 sessions and
maintained each skill 1, 2, and 4 weeks after mastery.
PMID- 22093665
TI - Differentiating children with attention-deficit/hyperactivity disorder, conduct
disorder, learning disabilities and autistic spectrum disorders by means of their
motor behavior characteristics.
AB - The study was designed to investigate the discriminant validity of the Motor
Behavior Checklist (MBC) for distinguishing four group of children independently
classified with Attention-Deficit/Hyperactivity Disorder, (ADHD; N=22), Conduct
Disorder (CD; N=17), Learning Disabilities (LD; N=24) and Autistic Spectrum
Disorders (ASD; N=20). Physical education teachers used the MBC for children to
rate their pupils based on their motor related behaviors. A multivariate analysis
revealed significant differences among the groups on different problem scales.
The results indicated that the MBC for children may be effective in
discriminating children with similar disruptive behaviors (e.g., ADHD, CD) and
autistic disorders, based on their motor behavior characteristics, but not
children with Learning Disabilities (LD), when used by physical education
teachers in school settings.
PMID- 22093666
TI - Determining factors for utilization of preventive health services among adults
with disabilities in Taiwan.
AB - Taiwan has provided free health checks for adults since 1995. However, very
little previous research has explored the use of preventive health services by
physically and mentally disabled adults. The present study aimed to understand
this use of preventive health services and the factors that influence it.
Research participants included disabled people registered in a Ministry of the
Interior database in 2008 (a total of 785,746 adults who met the conditions for
being physically or mentally disabled and using preventive health services).
These data were merged with the Bureau of Health Promotion's 2006-2008 dataset on
preventive health and the 2006-2008 health insurance database published by the
National Health Research Institutes. In addition to descriptive and bivariate
analysis, the study used logistic regression analysis to investigate the factors
that influence the use of adult preventive health services. The results showed
that 15.81% of physically and mentally disabled adults used preventive health
services. The rate of use among females was significantly higher than the rate
among males, and rates were higher among residents of relatively less urbanized
areas. Usage rates were also universally higher among sufferers of chronic
diseases. However, more serious disabilities had lower usage rates. From the
logistic regression analysis, we ascertained that the factors that influenced the
use of preventive health services were gender, age, level of urbanization,
monthly salary, low-income household status, aboriginal status, catastrophic
disease/injury status, chronic diseases, type of disability, and severity of the
disability. The study's main conclusion is that although Taiwan's Department of
Health has provided free preventive health services for more than 15 years, the
usage rate of this care among the disabled remains low. Demographic features,
health status, and type of disability are the main factors influencing the use of
preventive healthcare services.
PMID- 22093667
TI - Defining the active ingredients of interactive computer play interventions for
children with neuromotor impairments: a scoping review.
AB - Rehabilitation researchers who investigate complex interventions are challenged
to describe the "active ingredients" of their interventions: the reason(s) why a
treatment is expected to be effective. Interactive Computer Play (ICP) is an
emerging complex intervention in rehabilitation practice and research. The
purpose of this scoping review is to identify the active ingredients of ICP
interventions that are designed to improve motor outcomes in children with
neuromotor impairments. Eleven potential active ingredients were identified with
the following foci: ICP system or game properties; intervention effects on the
user; and therapist roles. However, few studies explicitly evaluate the impact of
particular ingredients on outcomes. Identification of active ingredients in ICP
interventions can inform trial design and clinical decision-making. Research and
clinical practice will benefit from studies that utilize a framework such as
motor learning theory to guide hypotheses and measurement of the active
ingredients of complex interventions.
PMID- 22093668
TI - College students' conceptualizations of deficits involved in mild intellectual
disability.
AB - Precedential rulings in recent capital murder trials may, in some cases, leave it
up to a jury to determine whether or not an individual meets criteria for an
intellectual disability (ID) and should be spared from the death penalty. Despite
the potential for misconceptions about ID to bias decisions, few empirical
studies have examined the public's conceptualizations of individuals with ID.
This study sought to examine 890 college students' conceptualizations of the
deficits involved in mild ID. Students were asked to respond to two online
surveys about the cognitive and adaptive behavior deficits that people with mild
ID may experience. While most students were correct about basic facts, such as ID
is not contagious and not curable, there was no clear consensus regarding beliefs
about individuals with ID getting married, having children, or engaging in other
mainstream activities of adult living. Students' responses are examined in light
of results of studies that identify and examine bona fide deficits and areas of
successful mainstreaming among persons with ID. Implications of misconceptions
are discussed.
PMID- 22093669
TI - Effects of a Special Olympics Unified Sports soccer program on psycho-social
attributes of youth with and without intellectual disability.
AB - The purpose of the study was to investigate the effects of a Special Olympics
(SO) Unified Sports (UNS) soccer program on psycho-social attributes of youth
with and without intellectual disabilities (ID). Participants were 76 male youth
with (n=38) and without (n=38) ID. Participants with ID were randomly allocated
into a SO athletes group (n=23, mean age=14.5; SD=1.2 years) and a control group
(CG) (n=15, mean age=14.5; SD=.8 years). Twenty-three randomly selected youth
without ID formed the partner group (mean age=14.1; SD=.9 years) and 15 youth
without ID (mean age=13.8; SD=.5 years) formed the CG. Instruments included the
Friendship Activity Scale (FAS) (Siperstein, 1980), the Adjective Checklist
(Siperstein, 1980), and the Children Behavior Checklist (Achenbach, 1991). The
soccer training program lasted eight weeks, 1.5h per session, three times per
week, in addition to school physical education (PE). The CG did not participate
in any sports in addition to PE. The findings showed that the UNS program was
effective in decreasing the problem behaviors of youth with ID and increasing
their social competence and FAS scores. In addition, the program was found to be
effective in improving the attitude of youth without disabilities toward
participants with disabilities. In conclusion, the present findings demonstrate
the utility of a UNS program for both youth with and without disabilities.
PMID- 22093670
TI - Bimanual behaviours in children aged 8-18 months: a literature review to select
toys that elicit the use of two hands.
AB - Toys that provoke the use of both hands are required to develop a test of
bimanual performance in children 8-18 months with unilateral cerebral palsy (Mini
AHA). To choose the toys, a conceptual model based on perception-action theory
and object use was used to guide a literature review. Evidence was sought for
three critical relationships identified in the model which help determine
bimanual performance: (1) the child-toy relationship, (2) the child-task
relationship, and (3) the toy-task relationship. Evidence for both typically
developing children and children with unilateral CP in this age range was sought.
Thirty-five papers provided information about one or more of the relationships in
typically developing children. No evidence was found for children with unilateral
CP. Synthesis of the evidence from these three relationships informed toy
selection for this new assessment.
PMID- 22093671
TI - Baroreflex sensitivity is reduced in adolescents with probable developmental
coordination disorder.
AB - Developmental coordination disorder (DCD) is a neurodevelopmental condition
characterized by poor motor skills leading to a significant impairment in
activities of daily living. Compared to typically developing children, those with
DCD are less fit and physically active, and have increased body fat. This is an
important consequence as both sedentary lifestyle and obesity are risk factors
for cardiovascular disease. One indicator of cardiovascular health is baroreflex
sensitivity (BRS), which is a measure of short term blood pressure (BP)
regulation and is partly accomplished through changes in heart rate. Diminished
BRS is predictive of future cardiovascular morbidity and mortality. The purpose
of this study was to compare BRS in typically developing (TD) adolescents with
probable DCD (pDCD) or suspect pDCD (spDCD) adolescents (13-14 years of age).
Percentile scores on the Movement Assessment Battery for Children, 2nd edition,
assessed at two time points were averaged and used to classify participants into
the following groups: pDCD <= 5th percentile, spDCD > 5th percentile and <=16th
percentile and TD>16th percentile. Following 15 min of supine rest, 5 min of
continuous beat-by-beat blood pressure (Finapres) and R-R interval were recorded
(standard ECG). Spectral indices were computed using Fast Fourier Transform with
transfer function analysis used to compute BRS in the low frequency region (0.04
0.15 Hz). BRS was compared between groups with an ANOVA and post hoc Bonferroni
correction. BRS was reduced in the pDCD compared to the TD groups. In
multivariate regression analyses predicting BRS, when pDCD and spDCD were entered
as the only variables, pDCD was found to be a significant predictor of BRS (b=
6.74, p=0.016). However, when sex, VO(2) peak, and percent body fat (PBF) were
entered as covariates, pDCD was no longer a predictor, while PBF approached
significance (-0.32, p=0.056). Therefore, in this sample, BRS was reduced in
adolescents with pDCD principally due to increased PBF.
PMID- 22093672
TI - The effects of diagnostic group and gender on challenging behaviors in infants
and toddlers with cerebral palsy, Down syndrome or seizures.
AB - Challenging behaviors are frequently studied in individuals with various
developmental disabilities, although specific conditions are rarely compared to
one another. Such data would be informative to clinicians who assess and develop
treatment plans for children with these disabilities. For that reason, the
current study's aim was to analyze problem behavior deficits in infants and
toddlers diagnosed with cerebral palsy (CP), Down syndrome (DS), and a history of
seizures/seizure disorder. Seventy six children participated in this study and
were administered the Baby and Infant Screen for Children with aUtIsm Traits-Part
2 (BISCUIT-Part 2). Inspection of the Tantrum/Conduct Behavior subscale of this
measure revealed that children with a history of seizures/seizure disorder
exhibited significantly higher scores, indicating greater impairment, than those
with CP or DS. Children with DS and those diagnosed with CP did not significantly
differ from one another. Additionally, there was no significant main effect by
gender. The CP and DS groups also had fewer endorsements on all 18 items of the
subscale as compared to the seizures group. Implications of these results are
discussed.
PMID- 22093673
TI - Technology-aided pictorial cues to support the performance of daily activities by
persons with moderate Alzheimer's disease.
AB - We developed a technology-aided intervention strategy relying on pictorial cues
alone or in combination with verbal instructions and assessed these two versions
of the strategy with three persons with moderate Alzheimer's disease. In Section
I of the study, the strategy version with pictorial cues plus verbal instructions
was compared with an existing technology-based strategy with verbal instructions.
Each strategy was used with one specific activity. In Section II of the study,
the strategy version with pictorial cues alone was compared with the
aforementioned strategy with verbal instructions. Again, each strategy was used
with one activity. Both strategy versions were effective with all three
participants. The percentages of correct activity performance observed with those
versions increased to above 90, and were comparable with those obtained with the
existing verbal instructions strategy. A social validation assessment of the
version with pictorial cues alone and the existing strategy with verbal
instructions (employing university psychology students as raters) showed
differences in favor of the latter strategy in terms of practicality and in favor
of the former in terms of respect of participants' dignity. The implications of
the findings were discussed.
PMID- 22093674
TI - Awareness of legal blood alcohol concentration limits amongst respondents of a
national roadside survey for alcohol and traffic behaviours in Brazil.
AB - BACKGROUND: In Brazil the legal blood alcohol content (BAC) allowed for driving
was changed to zero in 2008. If the BAC found is above 0.6g/L, drivers may be
arrested. However, there are limited data on drivers' awareness of such limits.
METHODS: Drivers from 27 major metropolitan areas (n=3397) were randomly asked to
participate in roadside survey from 12 a.m. to 12 p.m. on Fridays and Saturdays.
They were breathalized by highway patrol officers, and after consent interviewers
collected data on drinking behaviours, knowledge about the law, and breath tests
results. RESULTS: The mean age was 37.3+/-11.3 years; 94.3% were male and 26.5%
had some college education. When asked about the BAC that could result in arrest,
34.5% of the subjects claimed to know it. However, only 23.5% (8.1% of the total
sample) provided correct answers. Factors associated with the right answers were:
male gender (p=0.04; OR=2.08; CI=1.01-4.27); higher education (p<0.0001);
negative BAC or self-report of driving under the influence (DUI) (p=0.02); higher
family income (p=0.01) and non-professional driving (p=0.041). Age was not
statistically different between groups. After multivariate analysis, male gender
(p=0.002), higher education (p<0.0001) and negative BAC or DUI (p=0.046) remained
in the model. CONCLUSIONS: The knowledge that BAC levels over 0.6g/L may result
in arrest is sparse amongst Brazilian drivers, notably amongst women, the less
educated and those who drink and drive. Educational programmes targeted at those
specific groups may be necessary in order to increase awareness about the legal
BAC limit and its consequences.
PMID- 22093675
TI - One stop shopping - bringing services to drug users.
PMID- 22093676
TI - Clients are central to any independent and rigorous evaluation of the services
they use.
PMID- 22093677
TI - Association of plasma epinephrine level with insulin sensitivity in metabolically
healthy but obese individuals.
AB - In the present study, we explored the association of catecholamines with insulin
sensitivity in "metabolically healthy but obese" (MHO) individuals, by examining
the metabolic characteristics and plasma catecholamine levels in 100 obese,
sedentary postmenopausal women. Subjects were classified as MHO (n=25) or at-risk
(n=25) based on the upper and lower quartiles of insulin sensitivity as measured
by the hyperinsulinemic-euglycemic clamp technique. The MHO group presented a
significantly higher range of plasma epinephrine levels (73 +/- 21 pg/mL) than
the at-risk group (39 +/- 20 pg/mL) (P<0.05), though both within the normal basal
range of plasma epinephrine (56 +/- 30 pg/mL). Multivariate regression analysis
showed that high-sensitivity C-reactive protein, plasma epinephrine,
triglycerides and lean body mass index were independent predictors of glucose
disposal. The plasma epinephrine level was positively correlated with the glucose
disposal rate, insulin sensitivity and the HDL-cholesterol level, and negatively
correlated with the triglycerides level (P<0.05). In conclusion, this study for
the first time demonstrates a positive association between plasma epinephrine
level and insulin sensitivity in MHO individuals.
PMID- 22093678
TI - Information sources used by the suicidal to inform choice of method.
AB - BACKGROUND: Choice of suicide method strongly influences the outcome of an
attempt. Public knowledge of possible methods is an important but less frequently
considered aspect of the accessibility of suicide. This qualitative study
explored the sources of information shaping the near-fatal suicide attempts of 22
individuals. METHODS: Respondents were recruited from nine hospitals in England.
Semi-structured interviews were conducted to gain detailed narratives of the
planning of the suicide attempt. Interviews were recorded, transcribed, then
subjected to thematic analysis utilising constant comparison techniques. RESULTS:
Information sources discussed most frequently were television, news stories, the
Internet, and previous self-harm. Others were professional resources, personal
knowledge of others' attempts and information gleaned from healthcare
professionals. Many respondents reported seeing media portrayals or reports of
suicide, which had contributed to their awareness of suicide methods. Several
provided examples of direct imitation. Some had deliberately sought information
about methods when planning their attempt--mostly from the Internet. Past
experience was used to identify 'best' methods and perfect implementation.
LIMITATIONS: The frequency with which sources of information are 'used' by
particular groups and their relative import cannot be inferred from a qualitative
sample. Near-fatal cases may differ from completed suicides. CONCLUSIONS: The
media is an important contributor to the cognitive availability of suicide in
society and could be used for prevention through carefully crafted portrayals of
suicide designed to generate negative social perceptions of popular methods.
Understanding of how sources of information can influence perceptions of suicide
could inform the content of clinical conversations with patients.
PMID- 22093679
TI - Comparison of percutaneous nephrolithotomy and retrograde flexible
nephrolithotripsy for the management of 2-4 cm stones: a matched-pair analysis.
AB - Study Type--Therapy (case control). Level of Evidence 3b. What's known on the
subject? and What does the study add? Recently European Association of Urology
2011 guidelines on urolithiasis recommended retrograde intrarenal surgery as the
second-line therapy for the treatment of kidney stones <10 mm in diameter. This
study shows that retrograde intrarenal surgery may be an alternative therapy to
percutaneous nephrolithotomy, with acceptable efficacy and low morbidity for 2-4
cm stones. OBJECTIVE: * Currently, the indications for retrograde intrarenal
surgery (RIRS) have been extended due to recent improvements in endoscopic
technology. In this study, we compare the outcomes of percutaneous
nephrolithotomy (PCNL) and RIRS in the treatment of 2-4 cm kidney stones.
MATERIALS AND METHODS: * Between September 2008 and January 2011, 34 patients who
had renal stones ranging from 2 to 4 cm in diameter were treated with RIRS. The
outcomes of these patients were compared with patients who underwent PCNL using
matched-pair analysis (1:1 scenario). * The matching parameters were the size,
number and location of the stones as well as age, gender, body mass index,
solitary kidney, degree of hydronephrosis, presence of previous shock wave
lithotripsy and open surgery. * Data were analysed using Fisher's exact test,
Student's t test and the Mann-Whitney U test. RESULTS: * Stone-free rates after
one session were 73.5% and 91.2% for RIRS and PCNL respectively (P= 0.05). Stone
free rate in the RIRS group improved to 88.2% after the second procedure. * Mean
operation duration was 58.2 (+/-) 13.4 min in the RIRS group but 38.7 (+/-) 11.6
min in the PCNL group (P < 0.0001). Blood transfusions were required in two
patients in the PCNL group. * Overall complication rates in the PCNL group were
higher, but the differences were not statistically significant. Hospitalization
time was significantly shorter in the RIRS group (30.0 + 37.4 vs 61.4 + 34.0 h,
respectively; P < 0.001). CONCLUSION: * Satisfactory outcomes can be achieved
with multi-session RIRS in the treatment of 2-4 cm renal stones. RIRS can be used
as an alternative treatment to PCNL in selected cases with larger renal stones.
PMID- 22093680
TI - Patch depletion, niche structuring and the evolution of co-operative foraging.
AB - BACKGROUND: Many animals live in groups. One proposed reason is that grouping
allows cooperative food finding. Group foraging models suggest that grouping
could increase food finding rates, but that such group processes could be
evolutionarily unstable. These models assume discrete food patches which are
fully detectable. However, often animals may only be able to perceive local parts
of larger-scale environmental patterns. We therefore use a spatial individual
based model where food patches are aggregates of food items beyond the scale of
individual perception. We then study the evolution of foraging and grouping
behavior in environments with different resource distributions. RESULTS: Our
results show that grouping can evolve to increase food intake rates. Two kinds of
grouping evolve: traveling pairs and opportunistic grouping, where individuals
only aggregate when feeding. Grouping evolves because it allows individuals to
better sense and deplete patches. Such enhanced patch depletion is particularly
apparent on fragmented and partially depleted patches, which are especially
difficult for solitary foragers to deplete. Solitary foragers often leave a patch
prematurely because a whole patch cannot be observed directly. In groups,
individuals that are still eating allow other individuals that inadvertently
leave the patch, to return and continue feeding. For this information sharing a
grouping tendency is sufficient and observing whether a neighbor is eating is not
necessary. Grouping therefore leads to a release from individual sensing
constraints and a shift in niche specialization, allowing individuals to better
exploit partially depleted patches. CONCLUSIONS: The evolved group foraging can
be seen as cooperative in the sense that it leads to a mutually-beneficial
synergy: together individuals can achieve more than on their own. This
cooperation exists as a group-level process generated by the interaction between
grouping and the environment. Thus we reveal how such a synergy can originate in
evolution as a side-effect of grouping via multi-level selection. Here there is
no cooperative dilemma as individuals cannot avoid producing information for
their neighbors. This scenario may be a useful starting point for studying the
evolution of further social and cooperative complexity.
PMID- 22093681
TI - Divergence in wine characteristics produced by wild and domesticated strains of
Saccharomyces cerevisiae.
AB - The budding yeast Saccharomyces cerevisiae is the primary species used by wine
makers to convert sugar into alcohol during wine fermentation. Saccharomyces
cerevisiae is found in vineyards, but is also found in association with oak trees
and other natural sources. Although wild strains of S. cerevisiae as well as
other Saccharomyces species are also capable of wine fermentation, a genetically
distinct group of S. cerevisiae strains is primarily used to produce wine,
consistent with the idea that wine making strains have been domesticated for wine
production. In this study, we demonstrate that humans can distinguish between
wines produced using wine strains and wild strains of S. cerevisiae as well as
its sibling species, Saccharomyces paradoxus. Wine strains produced wine with
fruity and floral characteristics, whereas wild strains produced wine with earthy
and sulfurous characteristics. The differences that we observe between wine and
wild strains provides further evidence that wine strains have evolved phenotypes
that are distinct from their wild ancestors and relevant to their use in wine
production.
PMID- 22093682
TI - A multiplex set of species-specific primers for rapid identification of members
of the genus Saccharomyces.
AB - The Saccharomyces genus (previously Saccharomyces sensu stricto) formally
comprises Saccharomyces arboricola, Saccharomyces bayanus, Saccharomyces
cariocanus, Saccharomyces cerevisiae, Saccharomyces kudriavzevii, Saccharomyces
mikatae, Saccharomyces paradoxus and Saccharomyces pastorianus. Species-specific
primer pairs that produce a single band of known and different product size have
been developed for each member of the clade with the exception of S. pastorianus,
which is a polyphyletic allopolyploid hybrid only found in lager breweries, and
for which signature sequences could not be reliably created. Saccharomyces
cariocanus is now regarded as an American variant of S. paradoxus, and
accordingly a single primer pair that recognizes both species was developed. A
different orthologous and essential housekeeping gene was used to detect each
species, potentially avoiding competition between PCR primers and overlap between
amplicons. In multiplex format, two or more different species could be identified
in a single reaction; double and triple hybrids could not always be correctly
identified. Forty-two unidentified yeasts from sugar cane juice fermentations
were correctly identified as S. cerevisiae. A colony PCR method was developed
that is rapid, robust, inexpensive and capable of automation, requires no
mycological expertise on the part of the user and is thus useful for large-scale
preliminary screens.
PMID- 22093683
TI - Assessment of the yeast species composition of cocoa bean fermentations in
different cocoa-producing regions using denaturing gradient gel electrophoresis.
AB - The yeast species composition of 12 cocoa bean fermentations carried out in
Brazil, Ecuador, Ivory Coast and Malaysia was investigated culture-independently.
Denaturing gradient gel electrophoresis of 26S rRNA gene fragments, obtained
through polymerase chain reaction with universal eukaryotic primers, was carried
out with two different commercial apparatus (the DCode and CBS systems). In
general, this molecular method allowed a rapid monitoring of the yeast species
prevailing during fermentation. Under similar and optimal denaturing gradient gel
electrophoresis conditions, the CBS system allowed a better separated band
pattern than the DCode system and an unambiguous detection of the prevailing
species present in the fermentation samples. The most frequent yeast species were
Hanseniaspora sp., followed by Pichia kudriavzevii and Saccharomyces cerevisiae,
independent of the origin of the cocoa. This indicates a restricted yeast species
composition of the cocoa bean fermentation process. Exceptionally, the Ivorian
cocoa bean box fermentation samples showed a wider yeast species composition,
with Hyphopichia burtonii and Meyerozyma caribbica among the main
representatives. Yeasts were not detected in the samples when the temperature
inside the fermenting cocoa pulp-bean mass reached values higher than 45 degrees
C or under early acetic acid production conditions.
PMID- 22093684
TI - Recombinant expression of ShPI-1A, a non-specific BPTI-Kunitz-type inhibitor, and
its protection effect on proteolytic degradation of recombinant human
miniproinsulin expressed in Pichia pastoris.
AB - Pichia pastoris is a highly successful system for the large-scale expression of
heterologous proteins, with the added capability of performing most eukaryotic
post-translational modifications. However, this system has one significant
disadvantage - frequent proteolytic degradation by P. pastoris proteases of
heterologously expressed proteins. Several methods have been proposed to address
this problem, but none has proven fully effective. We tested the effectiveness of
a broad specificity protease inhibitor to control proteolysis. A recombinant
variant of the BPTI-Kunitz protease inhibitor ShPI-1 isolated from the sea
anemone Stichodactyla helianthus, was expressed in P. pastoris. The recombinant
inhibitor (rShPI-1A), containing four additional amino acids (EAEA) at the N
terminus, was folded similarly to the natural inhibitor, as assessed by circular
dichroism. rShPI-1A had broad protease specificity, inhibiting serine, aspartic,
and cysteine proteases similarly to the natural inhibitor. rShPI-1A protected a
model protein, recombinant human miniproinsulin (rhMPI), from proteolytic
degradation during expression in P. pastoris. The addition of purified rShPI-1A
at the beginning of the induction phase significantly protected rhMPI from
proteolysis in culture broth. The results suggest that a broad specificity
protease inhibitor such as rShPI-1A can be used to improve the yield of
recombinant proteins secreted from P. pastoris.
PMID- 22093685
TI - Nature and distribution of large sequence polymorphisms in Saccharomyces
cerevisiae.
AB - To obtain a better understanding of the genome-wide distribution and the nature
of large sequence polymorphisms (LSPs) in Saccharomyces cerevisiae, we hybridized
genomic DNA of 88 haploid or homozygous diploid S. cerevisiae strains of diverse
geographic origins and source substrates onto high-density tiling arrays. On the
basis of loss of hybridization, we identified 384 LSPs larger than 500 bp that
were located in 188 non-overlapping regions of the genome. Validation by
polymerase chain reaction-amplification and/or DNA sequencing revealed that 39
LSPs were due to deletions, whereas 74 LSPs involved sequences diverged far
enough from the S288c reference genome sequence as to prevent hybridization to
the microarray features. The LSP locations were biased toward the subtelomeric
regions of chromosomes, where high genetic variation in genes involved in
transport or fermentation is thought to facilitate rapid adaptation of S.
cerevisiae to new environments. The diverged LSP sequences appear to have
different allelic ancestries and were in many cases identified as Saccharomyces
paradoxus introgressions.
PMID- 22093686
TI - Auto-aggressive metallic mercury injection around the knee joint: a case report.
AB - BACKGROUND: Accidental or intentional subcutaneous and/or intramuscular injection
of metallic mercury is an uncommon form of poisoning. Although it does not carry
the same risk as mercury vapour inhalation, it may cause destructive early and
late reactions. CASE PRESENTATION: Herein we present the case of a 29-year-old
male patient who developed an obsessive-compulsive disorder causing auto
aggressive behaviour with injection of elemental mercury and several other
foreign bodies into the soft tissues around the left knee about 15 years before
initial presentation. For clinical examination X-rays and a CT-scan of the
affected area were performed. Furthermore, blood was taken to determine the
mercury concentration in the blood, which showed a concentration 17-fold higher
than recommended. As a consequence, the mercury depots and several foreign bodies
were resected marginally. CONCLUSION: Blood levels of mercury will decrease
rapidly following surgery, especially in combination with chelating therapy. In
case of subcutaneous and intramuscular injection of metallic mercury we recommend
marginal or wide excision of all contaminated tissue to prevent migration of
mercury and chronic inflammation. Nevertheless, prolonged clinical and
biochemical monitoring should be performed for several years to screen for
chronic intoxication.
PMID- 22093687
TI - Pain and attention - friends or foes?
PMID- 22093688
TI - Confusion and ethical issues surrounding the role of Japanese midwives in
childbirth and abortion: a qualitative study.
AB - This qualitative study describes midwives' experiences in providing care in both
pregnancy termination and childbirth in Japan. Midwives working in the general
hospital maternity unit assist in both, which is an ethical issue warranting
further exploration. Eleven midwives working in a general hospital were
interviewed using a semistructured interview, and responses were coded using
thematic analysis. Two major themes emerged: the experience of midwives involved
in childbirth and pregnancy termination (three subthemes: confusion about care of
the baby and aborted fetus, inability to cater to different mothers' needs, and
establishing emotional control) and professional awareness and attitude as a
midwife (three subthemes: consistency with professional principles, suppression
of feelings in relation to aborted fetus, and previous and current professional
identities). We found that midwives are isolated in this important social moral
issue and its accompanying professional confusion. Suppressing their feelings
remains the most common way of dealing with the ambivalence of the roles they
fulfill. Improved working conditions and enhanced training on aspects of
professional ethics would assist in reducing professional confusion.
PMID- 22093689
TI - Overweight and obesity in pediatric liver transplant recipients: prevalence and
predictors before and after transplant, United Network for Organ Sharing Data,
1987-2010.
AB - Obesity is extremely common in adult liver transplant recipients and healthy U.S.
children. Little is known about the prevalence or risk factors for post
transplant obesity in pediatric liver transplant recipients. UNOS data on all
U.S. liver transplants 1987-2010 in children 6 months-20 yr at transplant were
analyzed. Subjects were categorized as underweight, normal weight, overweight, or
obese by CDC guidelines. Predictors of weight status at and after transplant were
identified using multivariate logistic regression. Of 3043 children 6-24 months
at transplant, 14% were overweight. Of 4658 subjects 2-20 yr at transplant, 16%
were overweight and 13% obese. Children overweight/obese at transplant were more
likely to be overweight/obese at one, two, and five yr after transplant in all
age groups after adjusting for age, ethnicity, primary diagnosis, year of
transplant, and transplant type. Weight status at transplant was not associated
with overweight/obesity by 10 yr after transplant. The prevalence of post
transplant obesity remained high in long-term follow-up, from 20% to 50%
depending on age and weight status at transplant. Weight status at transplant is
the strongest predictor of post-transplant overweight/obesity. To optimize long
term outcomes in pediatric liver transplant recipients, monitoring for obesity
and its comorbidities is important.
PMID- 22093690
TI - Phenotypic characteristics of hybrid cells generated by transferring neuronal
nuclei into bone marrow stromal cell cytoplasts.
AB - Bone marrow stromal cells (BMSCs) are promising donor cells for transplantation
therapies for a variety of diseases. However, there still lack efficient ways to
induce directional differentiation of BMSCs to promote their practical use in
transplantation therapy. In this study, we constructed hybrid cells by
transferring neuronal nuclei into BMSC cytoplasts and investigated the
proliferative capacity and phenotypic characteristics of the hybrid cells. The
neuronal nuclei were labeled with Hoechst 33342 before the transfer process, and
the cell membrane antigen CD71 was used as a marker of BMSC cytoplasts. The BMSC
cytoplasts and neuronal karyoplasts were separated by Ficoll density gradient
ultracentrifugation. The hybrid cells were generated by the polyethylene glycol
mediated fusion of BMSC cytoplasts with neuronal karyoplasts. The hybrid cells
exhibited Hoechst 33342 staining in their nuclei and CD71 staining on their
cytomembranes, which confirmed the success of cell fusion. The hybrid cells were
positive for BrdU immunostaining. Viability analysis of the cultured hybrid cells
by the MTT assay demonstrated their proliferative ability. Immunocytochemical
staining revealed the expression of the neuron-specific markers NeuN and MAP2 in
the third passage hybrid cells, which indicated their neuronal phenotypic
characteristics. The results demonstrated that the hybrid cells produced by
fusing neuronal karyoplasts with BMSC cytoplasts had proliferative capability and
expressed the neuron-specific markers. Further study is required to investigate
the phenotype of the hybrid cells both structurally and functionally.
PMID- 22093691
TI - Early manifestations of autism spectrum disorders. Experience of 393 cases in a
paediatric neurology.
AB - INTRODUCTION: Autism spectrum disorders are group of conditions characterised by
qualitative impairments in social communication, interaction, and imagination,
and by a restricted range of interests and typical repetitive behaviours.
Frequently, there is a delay in the age of detection, and therefore in starting
multidisciplinary evaluations and interventions, which may result in a poorer
prognosis and reduced quality of life for both children and parents. The aim of
our study was to describe clinical and epidemiological data including the age of
detection and main initial complaints present in children with autism disorders
referred to a paediatric neurology centre. PATIENTS AND METHODS: A total of 393
medical records of consecutive cases diagnosed with an autism spectrum disorder
were reviewed. RESULTS: Autism was diagnosed in 82.1% of the cases, unspecified
pervasive disorder in 9.9%, Asperger syndrome in 4.8%, and Rett syndrome in 3%.
Sixty percent of autistic children presented with a language disorder as their
main complaint. The average age of detection was 4 years. CONCLUSIONS: Compared
with other countries, age of detection is delayed. Primary care-based screening
and surveillance are required in order to improve prognosis and quality of life
of children with an autism spectrum disorder.
PMID- 22093692
TI - Oxidation of synthetic phenolic antioxidants during water chlorination.
AB - The degradation of seven phenolic antioxidants and metabolites during
chlorination was investigated. Under strong chlorination conditions (10 mg L(-1)
chlorine, 24h), five of the target compounds were significantly degraded, while
only BHT-Q (2,6-di-tert-butylcyclohexa-2,5-diene-1,4-dione) and BHT-CHO (3,5-di
tert-butyl-4-hydroxybenzaldehyde) were stable. The effect of the presence of
bromide to the sample was only significant for BHA (butylated hydroxyanisole)
resulting in increased disappearance rate as it is increased. Moreover, the
disappearance kinetics were investigated at different concentrations of chlorine
and pH of sample using a factorial experimental design. It was observed that the
pH of the sample was a significant factor for BHT (butylated hydroxytoluene) and
BHA, and chlorine concentration was significant for BHT, resulting in increased
disappearance kinetics as they are increased. The degradation of these compounds
has revealed two main processes: hydroxylation and oxidation of the aromatic
system. The hydroxylated derivatives in some cases (e.g. from BHT-OH (2,6-di-tert
butyl-4-(hydroxymethyl)phenol) and BHT-COOH (3,5-di-tert-butyl-4-hydroxybenzoic
acid)) are formed via the chlorinated and/or brominated intermediate. Moreover,
the oxidation of the aromatic system leads to the quinone derivatives. The
investigation of these by-products in real samples by solid-phase extraction-gas
chromatography-mass spectrometry (SPE-GC-MS) showed that derivatives of BHT, BHT
OH and/or BHT-COOH occurred in wastewater and drinking water samples analysed.
PMID- 22093693
TI - Outcomes of surgical repair of double-chambered right ventricle.
AB - BACKGROUND: We reviewed our experience with surgical repair of double-chambered
right ventricle and long-term outcome. METHODS: From November 1970 to February
2008, repair of double-chambered right ventricle was performed in 61 patients (31
males). The median age was 13 years (interquartile range, 2 months to 64 years);
10 patients were infants (16%). Mean preoperative right ventricular outflow tract
pressure gradient was 67+/-37 mm Hg. An associated ventricular septal defect was
present in 50 patients (82%). RESULTS: There were 2 (3%) early deaths due to
persistence of low cardiac output postoperatively, despite complete relief of the
right ventricular gradient. The overall mean postoperative gradient was 2+/-4.5
mm Hg. Late follow-up was complete in 92% (mean, 7.4+/-7.9 years; maximum, 37
years). Late survival was 90% at 10 years. There were 3 late deaths due to heart
failure in 2 patients and sudden death in 1 patient, all occurring before 1997.
No patients required reoperation for residual or recurrent right ventricular
obstruction. CONCLUSIONS: Surgical correction of double-chambered right ventricle
results in excellent functional and hemodynamic long-term results, with complete
relief of the right ventricular obstruction. The presence of a double-chambered
right ventricle should be considered in anomalies with high or persistent right
ventricular outflow tract obstruction.
PMID- 22093694
TI - Moderate tricuspid regurgitation with left-sided degenerative heart valve
disease: to repair or not to repair?
AB - BACKGROUND: Uncertainty about long-term effects of surgically unaddressed
moderate (2+) secondary tricuspid valve (TV) regurgitation (TR) accompanying left
sided degenerative heart valve disease led us to identify reasons for and factors
associated with TV repair, compare safety and clinical effectiveness of relieving
TR, and identify factors associated with severe (3/4+) postoperative TR. METHODS:
From 1997 to 2008, 1,724 patients with 2+ TR underwent 830 mitral, 703 aortic,
and 191 double-valve procedures; 91 (5%) had concomitant TV repair. Logistic
regression analysis was used to identify factors associated with TV repair and
for propensity-matched comparison of safety (in-hospital morbidity, mortality)
and effectiveness of TV repair (longitudinal echocardiographic assessment of
postoperative TR and New York Heart Association class, TV intervention,
survival). RESULTS: Factors associated with TV repair of 2+ TR included larger
right ventricles and left ventricles (p<0.001), greater TV tethering height
(p=0.0002), and prior concurrent mitral valve procedures (p<=0.004). In-hospital
complications, subsequent TV interventions, and intermediate-term survival were
similar for matched patients. The TV repair patients had less 3/4+ TR at
discharge (7% versus 15%), sustained out to 3 years. No TV repair (p=0.05),
female sex (p<0.0001), and mitral valve replacement (p=0.008) were associated
with 3/4+ TR. CONCLUSIONS: A TV repair for moderate TR concomitant with surgery
for degenerative left-sided heart valve disease is reasonable to provide an
opportunity to prevent its progression and development of right ventricle
dysfunction, particularly for patients with important right ventricle remodeling
and evidence of right ventricular failure, and for patients with advanced left
sided disease requiring mitral valve replacement.
PMID- 22093695
TI - Analysis of osteopontin levels for the identification of asymptomatic patients
with calcific aortic valve disease.
AB - BACKGROUND: Calcific aortic valve disease (CAVD) is the most common cause of
acquired valve disease. Initial phases of CAVD include thickening of the cusps,
whereas advanced stages are associated with biomineralization and reduction of
the aortic valve area. These conditions are known as aortic valve sclerosis
(AVSc) and aortic valve stenosis (AVS), respectively. Because of its asymptomatic
presentation, little is known about the molecular determinants of AVSc. The aim
of this study was to correlate plasma and tissue osteopontin (OPN) levels with
echocardiographic evaluation for the identification of asymptomatic patients at
risk for CAVD. In addition, our aim was to analyze the differential expression
and biological function of OPN splicing variants as biomarkers of early and late
stages of CAVD. METHODS: From January 2010 to February 2011, 310 patients were
enrolled in the study. Patients were divided into 3 groups based on
transesophageal echocardiographic (TEE) evaluation: controls (56 patients), AVSc
(90 patients), and AVS (164 patients). Plasma and tissue OPN levels were measured
by immunohistochemical evaluation, enzyme-linked immunosorbent assay (ELISA), and
real-time quantitative polymerase chain reaction (qPCR). RESULTS: Patients with
AVSc and AVS have higher OPN levels compared with controls. OPN levels are
elevated in asymptomatic patients with AVSc with no appearance of calcification
during TEE evaluation. OPN splicing variants OPN-a, OPN-b, and OPN-c are
differentially expressed during CAVD progression and are able to inhibit
biomineralization in a cell-based biomineralization assay. CONCLUSIONS: The
analysis of the differential expression of OPN splicing variants during CAVD may
help in developing diagnostic and risk stratification tools to follow the
progression of asymptomatic aortic valve degeneration.
PMID- 22093696
TI - Cloning, expression and antiviral activity of IFNgamma from the Australian fruit
bat, Pteropus alecto.
AB - Bats are natural reservoir hosts to a variety of viruses, many of which cause
morbidity and mortality in other mammals. Currently there is a paucity of
information regarding the nature of the immune response to viral infections in
bats, partly due to a lack of appropriate bat specific reagents. IFNgamma plays a
key role in controlling viral replication and coordinating a response for long
term control of viral infection. Here we describe the cloning and expression of
IFNgamma from the Australian flying fox, Pteropus alecto and the generation of
mouse monoclonal and chicken egg yolk antibodies specific to bat IFNgamma. Our
results demonstrate that P. alecto IFNgamma is conserved with IFNgamma from other
species and is induced in bat splenocytes following stimulation with T cell
mitogens. P. alecto IFNgamma has antiviral activity on Semliki forest virus in
cell lines from P. alecto and the microbat, Tadarida brasiliensis. Additionally
recombinant bat IFNgamma was able to mitigate Hendra virus infection in P. alecto
cells. These results provide the first evidence for an antiviral role for bat
IFNgammain vitro in addition to the application of important immunological
reagents for further studies of bat antiviral immunity.
PMID- 22093699
TI - Transactivation of ABCG2 through a novel cis-element in the distal promoter by
constitutive androstane receptor but not pregnane X receptor in human
hepatocytes.
AB - A previous report demonstrated that treatment of human hepatocytes with
phenobarbital, an activator of nuclear receptor constitutive androstane receptor
(CAR), increases mRNA levels of an efflux transporter ABCG2, which is involved in
the excretion of xenobiotics in liver and intestine. The results suggest that
human CAR (hCAR) transactivates human ABCG2 (hABCG2) expression. In this study,
we confirmed increase in ABCG2 mRNA levels in human hepatocytes after adenoviral
expression of hCAR and treatment with its activator. Reporter assays suggested
the existence of an hCAR-responsive element between -8000 and -7485 of hABCG2
promoter. Electrophoretic mobility shift assays and chromatin immunoprecipitation
assays identified a DR5 motif (direct repeat separated by five nucleotides)
within the region as a binding motif of hCAR/human retinoid X receptor alpha
heterodimer. The introduction of mutations into the DR5 motif resulted in the
complete loss of the hCAR-mediated transactivation. Interestingly, human pregnane
X receptor, belonging to the same NR1I subfamily as CAR, did not activate any
reporter gene containing the DR5 motif. Taken together, our present findings
suggest that hCAR transactivates hABCG2 through the DR5 motif located in its
distal promoter in human hepatocytes and that the motif prefers hCAR to pregnane
X receptor.
PMID- 22093698
TI - New insights into the metabolism of organomercury compounds: mercury-containing
cysteine S-conjugates are substrates of human glutamine transaminase K and potent
inactivators of cystathionine gamma-lyase.
AB - Anthropogenic practices and recycling in the environment through natural
processes result in release of potentially harmful levels of mercury into the
biosphere. Mercury, especially organic forms, accumulates in the food chain.
Mercury reacts readily with sulfur-containing compounds and often exists as a
thiol S-conjugate, such as the l-cysteine (Cys)-S-conjugate of methylmercury
(CH(3)Hg-S-Cys) or inorganic mercury (Cys-S-Hg-S-Cys). These S-conjugates are
structurally similar to l-methionine and l-cystine/l-cystathionine, respectively.
Bovine and rat glutamine transaminase K (GTK) catalyze transamination of sulfur
containing amino acids. Recombinant human GTK (rhGTK) has a relatively open
catalytic active site, and we report here that this enzyme, like the rat and
bovine enzymes, can also utilize sulfur-containing l-amino acids, including l
methionine, l-cystine, and l-cystathionine as substrates. The current study
extends this list to include mercuric S-conjugates, and shows that CH(3)Hg-S-Cys
and Cys-S-Hg-S-Cys are substrates and reversible inhibitors of rhGTK. The
homocysteine S-conjugates, Hcy-S-Hg-S-Hcy and CH(3)Hg-S-Hcy, are also inhibitors.
Finally, we show that HgCl(2), CH(3)Hg-S-Cys and Cys-S-Hg-S-Cys are potent
irreversible inhibitors of rat cystathionine gamma-lyase. The present study
broadens our knowledge of the biochemistry of mercury compounds by showing that
Cys S-conjugates of mercury interact with enzymes that catalyze transformations
of biologically important sulfur-containing amino acids.
PMID- 22093700
TI - [How are the hypothesis and the objectives established in a Radiology research
project?].
AB - Research is a systematic process designed to answer a question. This is the
starting point of the whole project and specifically formulates a problem
observed in the analysis of the reality. The answer to this attempts to clarify
an uncertainty in our knowledge. The conceptual hypothesis is the theoretical
answer to the question set out. The operational hypothesis is the particular form
that which sets out to demonstrate the conceptual hypothesis. The objectives are
the justification for conducting the research. They help to define what it
attempts to obtain, and what answers it will give to the formulated questions. It
must show a clear and consistent relationship with the description of the problem
and, specifically, with the questions and/or hypothesis that are to be resolved.
PMID- 22093697
TI - At the membrane frontier: a prospectus on the remarkable evolutionary
conservation of polyprenols and polyprenyl-phosphates.
AB - Long-chain polyprenols and polyprenyl-phosphates are ubiquitous and essential
components of cellular membranes throughout all domains of life. Polyprenyl
phosphates, which include undecaprenyl-phosphate in bacteria and the dolichyl
phosphates in archaea and eukaryotes, serve as specific membrane-bound carriers
in glycan biosynthetic pathways responsible for the production of cellular
structures such as N-linked protein glycans and bacterial peptidoglycan.
Polyprenyl-phosphates are the only form of polyprenols with a biochemically
defined role; however, unmodified or esterified polyprenols often comprise
significant percentages of the cellular polyprenol pool. The strong evolutionary
conservation of unmodified polyprenols as membrane constituents and polyprenyl
phosphates as preferred glycan carriers in biosynthetic pathways is poorly
understood. This review surveys the available research to explore why unmodified
polyprenols have been conserved in evolution and why polyprenyl-phosphates are
universally and specifically utilized for membrane-bound glycan assembly.
PMID- 22093701
TI - Homicide and bipolar I disorder: a 22-year study.
AB - BACKGROUND: Non-serious offenses in manic phase have been mainly studied in
patients with bipolar disorder. However, some authors reported that depressive
phase is related with the violent and homicidal manifestations of bipolar
disorder. AIMS: We investigated the characteristics of homicide by the polarity
of mood episode in patients with bipolar I disorder. METHODS: Among the offenders
who were sentenced to undergo treatment at the National Institute of Forensic
Psychiatry from October 1987 to January 2008, a total 219 offenders whose final
diagnoses were bipolar I disorder based on DSM-III-R and DSM-IV were selected.
Retrospective medical chart review was performed for characteristics of mood
episodes. Descriptions of offenders were supplemented by review of the written
records of the police or prosecutors. RESULTS: The general rate of total offense
was higher in the manic phase than in the depressive phase (86.8% vs. 13.2%).
However, the rate of homicide was higher in the depressive phase than in the
manic phase. The victims of homicide were more likely to be family members of the
patients in depressive phase than in manic phases (96.2% vs. 63.9%, p=0.001).
However, parricide was committed only in manic phases. Altruistic motivation of
homicide was significantly higher in depressive phase (34.6% vs. 0%, p<0.001)
whereas impulsivity was the most common one in manic phases. CONCLUSIONS: The
risk of offenses, particularly homicide for family members, should not be
overlooked in the depressive phases of bipolar I disorder.
PMID- 22093702
TI - A real-time PCR assay for the relative quantification of the
tetrahydrocannabinolic acid (THCA) synthase gene in herbal Cannabis samples.
AB - In this study, we wanted to investigate whether or not the tetrahydrocannabinolic
acid (THCA) synthase gene, which codes for the enzyme involved in the
biosynthesis of THCA, influences the production and storage of
tetrahydrocannabinol (THC) in a dose-dependent manner. THCA is actually
decarboxylated to produce THC, the main psychoactive component in the Cannabis
plant. Assuming as the research hypothesis a correlation between the gene copy
number and the production of THC, gene quantification could be useful in
forensics in order to complement or replace chemical analysis for the
identification and classification of seized Cannabis samples, thus distinguishing
the drug-type from the fibre-type varieties. A real-time PCR assay for the
relative quantification of the THCA synthase gene was then validated on Cannabis
samples; some were seized from the illegal drug market and others were derived
from experimental cultivation. In order to determine the gene copy number to
compare high vs. low potency plants, we chose the DeltaDeltaCt method for TaqMan
reactions. The assay enabled single plants with zero, one, and two copies of the
gene to be distinguished. As a result of this first part of the research on the
THCA synthase gene (the second part will cover a study of gene expression), we
found no correlation between THCA synthase gene copy number and the content of
THC in the herbal Cannabis samples tested.
PMID- 22093703
TI - Significantly increased detection rate of drugs of abuse in urine following the
introduction of new German driving licence re-granting guidelines.
AB - In this paper we present the first assessment of the new German driving licence
re-granting medical and psychological assessment (MPA) guidelines by comparing
over 3500 urine samples tested under the old MPA cut-offs to over 5000 samples
tested under the new MPA cut-offs. Since the enzyme multiplied immunoassay
technique (EMIT) technology used previously was not sensitive enough to screen
for drugs at such low concentrations, as suggested by the new MPA guidelines,
enzyme-linked immunosorbent assay (ELISA) screening kits were used to screen for
the drugs of abuse at the new MPA cut-offs. The above comparison revealed
significantly increased detection rates of drug use or exposure during the
rehabilitation period as follows: 1.61, 2.33, 3.33, and 7 times higher for 11-nor
delta-9-tetrahydrocannabinol-9-carboxylic acid (THC-COOH), morphine,
benzoylecgonine and amphetamine respectively. The present MPA guidelines seem to
be more effective to detect non-abstinence from drugs of abuse and hence to
detecting drivers who do not yet fulfil the MPA requirements to regain their
revoked driving licence.
PMID- 22093704
TI - Pyrolysis of polyolefins for increasing the yield of monomers' recovery.
AB - Pyrolysis of plastic waste is an alternative way of plastic recovery and could be
a potential solution for the increasing stream of solid waste. The objective of
this work was to increase the yield the gaseous olefins (monomers) as feedstock
for polymerization process and to test the applicability of a commercial Ziegler
Natta (Z-N): TiCl(4)/MgCl(2) for cracking a mixture of polyolefins consisted of
46%wt. of low density polyethylene (LDPE), 30%wt. of high density polyethylene
(HDPE) and 24%wt. of polypropylene (PP). Two sets of experiments have been
carried out at 500 and 650 degrees C via catalytic pyrolysis (1% of Z-N catalyst)
and at 650 and 730 degrees C via only-thermal pyrolysis. These experiments have
been conducted in a lab-scale, fluidized quartz-bed reactor of a capacity of 1
3kg/h at Hamburg University. The results revealed a strong influence of
temperature and presence of catalyst on the product distribution. The ratios of
gas/liquid/solid mass fractions via thermal pyrolysis were: 36.9/48.4/15.7%wt.
and 42.4/44.7/13.9%wt. at 650 and 730 degrees C while via catalytic pyrolysis
were: 6.5/89.0/4.5%wt. and 54.3/41.9/3.8%wt. at 500 and 650 degrees C,
respectively. At 650 degrees C the monomer generation increased by 55% up to
23.6%wt. of total pyrolysis products distribution while the catalyst was added.
Obtained yields of olefins were compared with the naphtha steam cracking process
and other potentially attractive processes for feedstock generation. The concept
of closed cycle material flow for polyolefins has been discussed, showing the
potential benefits of feedstock recycling in a plastic waste management.
PMID- 22093705
TI - Eco-efficient waste glass recycling: Integrated waste management and green
product development through LCA.
AB - As part of the EU Life + NOVEDI project, a new eco-efficient recycling route has
been implemented to maximise resources and energy recovery from post-consumer
waste glass, through integrated waste management and industrial production. Life
cycle assessment (LCA) has been used to identify engineering solutions to
sustainability during the development of green building products. The new process
and the related LCA are framed within a meaningful case of industrial symbiosis,
where multiple waste streams are utilised in a multi-output industrial process.
The input is a mix of rejected waste glass from conventional container glass
recycling and waste special glass such as monitor glass, bulbs and glass fibres.
The green building product is a recycled foam glass (RFG) to be used in high
efficiency thermally insulating and lightweight concrete. The environmental gains
have been contrasted against induced impacts and improvements have been proposed.
Recovered co-products, such as glass fragments/powders, plastics and metals,
correspond to environmental gains that are higher than those related to landfill
avoidance, whereas the latter is cancelled due to increased transportation
distances. In accordance to an eco-efficiency principle, it has been highlighted
that recourse to highly energy intensive recycling should be limited to waste
that cannot be closed-loop recycled.
PMID- 22093706
TI - Co-gasification of municipal solid waste and material recovery in a large-scale
gasification and melting system.
AB - This study evaluates the effects of co-gasification of municipal solid waste with
and without the municipal solid waste bottom ash using two large-scale commercial
operation plants. From the viewpoint of operation data, there is no significant
difference between municipal solid waste treatment with and without the bottom
ash. The carbon conversion ratios are as high as 91.7% and 95.3%, respectively
and this leads to significantly low PCDD/DFs yields via complete syngas
combustion. The gross power generation efficiencies are 18.9% with the bottom ash
and 23.0% without municipal solid waste bottom ash, respectively. The effects of
the equivalence ratio are also evaluated. With the equivalence ratio increasing,
carbon monoxide concentration is decreased, and carbon dioxide and the syngas
temperature (top gas temperature) are increased. The carbon conversion ratio is
also increased. These tendencies are seen in both modes. Co-gasification using
the gasification and melting system (Direct Melting System) has a possibility to
recover materials effectively. More than 90% of chlorine is distributed in fly
ash. Low-boiling-point heavy metals, such as lead and zinc, are distributed in
fly ash at rates of 95.2% and 92.0%, respectively. Most of high-boiling-point
heavy metals, such as iron and copper, are distributed in metal. It is also
clarified that slag is stable and contains few harmful heavy metals such as lead.
Compared with the conventional waste management framework, 85% of the final
landfill amount reduction is achieved by co-gasification of municipal solid waste
with bottom ash and incombustible residues. These results indicate that the
combined production of slag with co-gasification of municipal solid waste with
the bottom ash constitutes an ideal approach to environmental conservation and
resource recycling.
PMID- 22093707
TI - Clinical features and risk factors of tuberculosis in living-donor liver
transplant recipients.
AB - BACKGROUND: The incidence of active tuberculosis (TB) among liver transplant
recipients varies depending on the endemic area and various reported TB risk
factors. Although living-donor liver transplantation (LDLT) is predominant in
Japan, the TB incidence and risk factors among LDLT recipients are unknown.
METHODS: Active TB episodes among 1222 LDLT recipient cases from 1990 to 2007
were retrospectively reviewed. A matched case-control study was performed to
identify risk factors for active TB infection. RESULTS: Nine patients (0.74%, 5
males and 4 females, median age 48 years) developed active TB following LDLT. The
incidence of TB in adults (over 18 years) and in the later cohort (2000-2007) was
more than that of children and in the early cohort (1990-1999), respectively.
Seven of 9 patients were diagnosed within 1 year after LDLT. No patient received
isoniazid for latent TB infection treatment before transplantation. TB infection
was controlled with anti-tuberculous drugs in all affected patients. However, 2
patients died of graft failure. Univariate analyses identified severe Child-Pugh
score (>= 11) (P = 0.006; odds ratio [OR], 10.0; 95% confidence interval [CI],
1.9-51.5), requirement for plasma exchange or plasmapheresis (P = 0.009; OR,
10.0; 95% CI, 1.9-53.4), and ABO-incompatible transplantation (P = 0.0003; OR,
34.0; 95% CI, 4.7-248.3) as risk factors for onset of active TB infection.
CONCLUSIONS: Patients having an elevated Child-Pugh score, plasma exchange or
plasmapheresis, and ABO-incompatible transplantation should be considered at
greater risk for active TB infection, and treatment for latent TB infection
before transplantation should be considered.
PMID- 22093709
TI - Perspectives on steroid metabolism in marine organisms.
PMID- 22093708
TI - Human HERC5 restricts an early stage of HIV-1 assembly by a mechanism correlating
with the ISGylation of Gag.
AB - BACKGROUND: The identification and characterization of several interferon (IFN)
induced cellular HIV-1 restriction factors, defined as host cellular proteins or
factors that restrict or inhibit the HIV-1 life cycle, have provided insight into
the IFN response towards HIV-1 infection and identified new therapeutic targets
for HIV-1 infection. To further characterize the mechanism underlying restriction
of the late stages of HIV-1 replication, we assessed the ability of IFNbeta
induced genes to restrict HIV-1 Gag particle production and have identified a
potentially novel host factor called HECT domain and RCC1-like domain-containing
protein 5 (HERC5) that blocks a unique late stage of the HIV-1 life cycle.
RESULTS: HERC5 inhibited the replication of HIV-1 over multiple rounds of
infection and was found to target a late stage of HIV-1 particle production. The
E3 ligase activity of HERC5 was required for blocking HIV-1 Gag particle
production and correlated with the post-translational modification of Gag with
ISG15. HERC5 interacted with HIV-1 Gag and did not alter trafficking of HIV-1 Gag
to the plasma membrane. Electron microscopy revealed that the assembly of HIV-1
Gag particles was arrested at the plasma membrane, at an early stage of assembly.
The mechanism of HERC5-induced restriction of HIV-1 particle production is
distinct from the mechanism underlying HIV-1 restriction by the expression of
ISG15 alone, which acts at a later step in particle release. Moreover, HERC5
restricted murine leukemia virus (MLV) Gag particle production, showing that
HERC5 is effective in restricting Gag particle production of an evolutionarily
divergent retrovirus. CONCLUSIONS: HERC5 represents a potential new host factor
that blocks an early stage of retroviral Gag particle assembly. With no apparent
HIV-1 protein that directly counteracts it, HERC5 may represent a new candidate
for HIV/AIDS therapy.
PMID- 22093710
TI - Tributes to cardiac surgical pioneers.
PMID- 22093713
TI - Mediastinoscopy: an obsolete procedure?
PMID- 22093712
TI - 2011 ACCF/AHA guideline for the diagnosis and treatment of hypertrophic
cardiomyopathy: executive summary: a report of the American College of Cardiology
Foundation/American Heart Association Task Force on Practice Guidelines.
PMID- 22093714
TI - Esophageal submucosa: the watershed for esophageal cancer.
AB - OBJECTIVES: Submucosal esophageal cancers (pT1b) are considered superficial,
implying good survival. However, some are advanced, metastasizing to regional
lymph nodes. Interplay of cancer characteristics and lymphatic anatomy may create
a watershed, demarcating low-risk from high-risk cancers. Therefore, we
characterized submucosal cancers according to depth of invasion and identified
those with high likelihood of lymph node metastases and poor survival. METHODS:
From 1983 to 2010, 120 patients underwent esophagectomy for submucosal cancers at
Cleveland Clinic. Correlations were sought among cancer characteristics
(location, dimensions, histopathologic cell type, histologic grade, and
lymphovascular invasion [LVI]), and their associations with lymph node metastasis
were identified by logistic regression. Associations with mortality were
identified by Cox regression. RESULTS: As submucosal invasion increased, cancer
length (P < .001), width (P < .001), area (P < .001), LVI (P = .007), and grade
(P = .05) increased. Invasion of the deep submucosa (P < .001) and LVI (P = .06)
predicted lymph node metastases: 45% (23/51) of deep versus 10% (3/29) of middle
third and 7.5% (3/40) of inner-third cancers had lymph node metastases, as did
46% (12/26) with LVI versus 18% (17/94) without. Older age and lymph node
metastases predicted worse 5-year survival: 94% for younger pN0 patients, 62% for
older pN0 patients, and 36% for pN1-2 patients regardless of age. CONCLUSIONS:
Submucosal cancer characteristics and lymphatic anatomy create a watershed for
regional lymph node metastases in the deep submucosa. This previously
unrecognized divide distinguishes superficial submucosal cancers with good
survival from deep submucosal cancers with poor survival. Aggressive therapy of
more superficial cancers is critical before submucosal invasion occurs.
PMID- 22093716
TI - Femoral artery cannulation for thoracic aortic surgery: safe under
transesophageal echocardiographic control.
AB - OBJECTIVE: Choice of cannulation site (femoral, axillary) for cardiopulmonary
bypass for thoracic aortic surgery is controversial. We review a single-center
consecutive experience with femoral cannulation in the era of transesophageal
echocardiography (TEE). METHODS: Femoral artery cannulation is our preference for
both aneurysms and dissections. If intraoperative TEE (or preoperative computed
tomography) shows mobile atheroma, we avoid femoral cannulation and use the right
axillary artery. Charts were reviewed to detect any cannulation- or perfusion
related complications. RESULTS: Eight hundred eighty patients underwent
cannulation for cardiopulmonary bypass for thoracic aortic surgery: 767 femoral
(87%) and 113 other (13%, 87 aortic, 22 axillary, 4 innominate). Among the
femoral cases, 673 (87.7%) were elective and 94 (12.2%) urgent or emergency.
Hospital survival was 723 of 767 (94%): 654 of 673 (97%) for elective cases and
69 of 94 (73%) for urgent or emergency cases. Survivals were 549 of 572 (95%) for
ascending and arch, 91 of 97 (93%) for descending, and 83 of 98 (84%) for
thoracoabdominal. Stroke (fixed neurologic deficit) occurred in 14 of 767 cases
(1.8%): 9 ascending or arch and 5 descending or thoracoabdominal. There were 5
paraplegias in the descending or thoracoabdominal group. There was 1 instance of
intraoperative descending dissection (well tolerated), no arterial ruptures, and
6 instances (0.7%) of local femoral arterial narrowing requiring surgical
correction (patch graft). One patient (0.1%) had postoperative ischemia of the
cannulated limb, and 25 patients (3.2%) had local wound problems (infection 21,
seroma 4) treated conservatively. CONCLUSIONS: This large experience in the TEE
era strongly supports femoral cannulation for aortic surgery, with good survival,
low stroke rate, minimal perfusion-related rupture or dissection, and minimal
limb ischemia. If intraoperative TEE shows mobile atheroma, axillary cannulation
is preferred.
PMID- 22093717
TI - The hazard of comparing apples and oranges: the proper indication for the use of
recombinant activated clotting factor VII in cardiac surgery.
PMID- 22093719
TI - Cannulation sites and types for antegrade cerebral perfusion during arch surgery.
PMID- 22093722
TI - Dabigatran etexilate in mechanical valve thromboprophylaxis.
PMID- 22093723
TI - 2011 ACCF/AHA guideline for the diagnosis and treatment of hypertrophic
cardiomyopathy: a report of the American College of Cardiology
Foundation/American Heart Association Task Force on Practice Guidelines.
PMID- 22093726
TI - [Development and challenge of neuroendoscopic surgery].
PMID- 22093724
TI - Circulating angiogenic cell populations, vascular function, and arterial
stiffness.
AB - OBJECTIVE: Several bone marrow-derived cell populations have been identified that
may possess angiogenic activity and contribute to vascular homeostasis in
experimental studies. We examined the extent to which lower quantities of these
circulating angiogenic cell phenotypes may be related to impaired vascular
function and greater arterial stiffness. METHODS: We studied 1948 Framingham
Heart Study participants (mean age, 66+/-9 years; 54% women) who were phenotyped
for circulating angiogenic cells: CD34+, CD34+/KDR+, and early outgrowth colony
forming units (CFU). Participants underwent non-invasive assessments of vascular
function including peripheral arterial tone (PAT), arterial tonometry, and
brachial reactivity testing. RESULTS: In unadjusted analyses, higher CD34+ and
CD34+/KDR+ concentrations were modestly associated with lower PAT ratio (beta=
0.052+/-0.011, P<0.001 and beta=-0.030+/-0.011, P=0.008, respectively) and with
higher carotid-brachial pulse wave velocity (beta=0.144+/-0.043, P=0.001 and
beta=0.112+/-0.043, P=0.009), but not with flow-mediated dilation; higher CD34+
was also associated with lower carotid-femoral pulse wave velocity (beta=-0.229+/
0.094, P=0.015). However, only the association of lower CD34+ concentration with
higher PAT ratio persisted in multivariable analyses that adjusted for standard
cardiovascular risk factors. In all analyses, CFU was not associated with
measures of vascular function or arterial stiffness. CONCLUSIONS: In our large,
community-based sample of men and women, circulating angiogenic cell phenotypes
largely were not associated with measures of vascular function or arterial
stiffness in analyses adjusting for traditional risk factors.
PMID- 22093725
TI - News from the literature: focus on joint ESC/EAS dyslipidemia guidelines.
PMID- 22093727
TI - [Neuroendoscopic treatment for 372 cases of intracranial lesions].
AB - OBJECTIVE: To explore the application of neuroendoscopic treatment for
intracranial lesions. METHODS: The clinic data of 372 patients with intracranial
lesions, who underwent neuroendoscopic treatment at our department from May 1998
to May 2010, were reviewed retrospectively. Representative endoscopic treatments
included endoscopic third ventriculostomy (ETV) (n = 198), ETV & endoscopic
biopsy (n = 69), neuroendoscopic ostomy for septum pellucidum fenestration (n =
55) (for septum pellucidum cysts, n = 37) and endoscopic cystoventriculostomy for
ventricular cysts (n = 50). Their surgical indications and clinical outcomes were
summarized for analysis. RESULTS: ETV was performed successfully in 369 cases.
Among them, 2 failed cases underwent other operations and endoscopic biopsy
failed in 1 case. Within a short post-operative period, the symptoms were
resolved in 347 cases (93.3%), showed no improvement in 23 cases (6.2%) and 2
died (0.5%). At Month 6 post-operation, a failure of ETV was detected in 22 cases
(9.5%), a failure of neuroendoscopic ostomy for septum pellucidum cysts in 23
(69.7%) and for ventricular cysts in 12 cases (26.7%). CONCLUSION: ETV is
effective in the treatment of obstructive hydrocephalus, but its indication
should be strictly controlled for children. Effective rate of neuroendoscopic
treatment for intracranial septum pellucidum cysts remains unsatisfactory so that
its operative indication should be strictly controlled.
PMID- 22093728
TI - [Application of neuroendoscope in the treatment of skull base chordoma].
AB - OBJECTIVE: To further explore the application, approach, indication and prognosis
of neuroendoscope treatment for skull base chordoma. METHODS: A total of 101
patients of skull base chordoma were admitted at our hospital from May 2000 to
April 2010. There were 59 males and 42 females. Their major clinical
manifestations included headache, cranial nerve damage and dyspnea. They were
classified according to the patterns of tumor growth: Type I (n = 13): tumor
location at a single component of skull base, i. e. clivus or sphenoid sinus with
intact cranial dura; Type II (n = 56): tumor involving more than two components
of skull e. g clivus, sphenoid and nasal/oral cavity, etc. But there was no
intracranial invasion; Type III (n = 32) : tumor extending widely and
intradurally forming compression of brain stems and multiple cranial nerves.
Based on the types of chordoma, different endoscopic approaches were employed,
viz. transnasal, transoral, trans-subtemporal fossa and plus microsurgical
craniotomy for staging in some complex cases. RESULTS: Among all patients, total
resection was achieved (n = 19), subtotal (n = 58) and partial (n = 24). In
partial resection cases, 16 cases were considered to be subtotal due to a second
stage operation. Most cases had conspicuous clinical improvements. Self-care
recovery within one week post-operation accounted for 58.4%, two weeks 30.7%, one
month 6.9% and more than one month 1.9%. Postoperative complications occurred in
13 cases (12.8%) and included CSF leakage (n = 4) cranial nerve palsy (n = 5),
hemorrhagic nasal wounds (n = 3) and delayed intracranial hemorrhage (n = 1). All
of these were cured or improved after an appropriate treatment. A follow-up of 6
60 months was conducted in 56 cases. CONCLUSION: Early detection and early
treatment are crucial for achieving a better outcome in chordoma. Neuroendoscopic
treatment plays an important role in managing those complicated cases. Precise
endoscopic techniques plus different surgical approaches and staging procedures
are required to improve the post-operative quality of life for patients.
PMID- 22093729
TI - [Repairing front skull base and saddle bottom of cerebrospinal fluid rhinorrhea
under endoscopy].
AB - OBJECTIVE: To explore the methods and techniques of repairing cerebrospinal fluid
(CSF) rhinorrhea and reconstructing the defects of skull base under endoscopy.
METHODS: The clinical data of 26 patients undergoing endoscopic repair of CSF
rhinorrhea were analyzed retrospectively. There were 19 males and 7 females with
an average age of 31.5 years old. Rhinorrhea was classified into 4 types:
ethmoidal sinus type (n = 6), sphenoid sinus type (n = 14) and mixed type (n = 6)
and frontal sinus type (n = 0). RESULTS: The causes of rhinorrhea were as
follows: traumatic leakage (n = 17), post-operative breakage of saddle area (n =
6), damage after endonasal surgery (n = 2) rhinorrhea after gamma-knife for
pituitary (n = 1). All cases were successfully repaired via an endoscopic
endonasal approach. Among them, 22 patients were repaired only once while 4
patients with recurrent CSF rhinorrhea were repaired again. The follow-up period
was from 6 months to 4 years. And satisfactory outcomes were achieved in all.
CONCLUSION: Accurate localization of CSF leakage, reliable reconstruction of
skull base, secure fixation of adhesive materials and continuous lumbar CSF
drainage are keys surgical techniques. Endoscopic repair of front skull base and
saddle bottom of CSF rhinorrhea is a reliable, effective and mini-invasive
surgical approach worth further popularization.
PMID- 22093730
TI - [Neuroendoscopic treatment of different types of quadrigeminal cistern arachnoid
cysts].
AB - OBJECTIVE: To evaluate the method, strategy and efficacy of treating different
types of quadrigeminal cistern arachnoid cysts (QCAC) with neuroendoscope.
METHODS: A retrospective analysis was conducted for 12 QCAC patients with
obstructive hydrocephalus. They were classified into 3 types and underwent
neuroendoscopic operation at our hospital between November 2005 and November
2009. Their surgical approaches, complications and efficacy were analyzed. The
mean age of first diagnosis was 3.7 years old. There were 7 type II cases and 5
type III cases with a varying level of symptoms. The follow-up period was 6 - 24
months. Cine-MRI (magnetic resonance imaging) examination was performed both
preoperatively and post-operatively. RESULTS: Among them, 12 patients recovered
well without any occurrence of hemorrhage, paralysis, lower cranial nerve injury,
cerebrospinal fluid leakage, infection or death. Subdural effusion occurred in 3
cases, but disappeared within 6 months. Seven feverish cases recovered after a
symptomatic treatment. The shapes of cysts and ventricles almost returned to a
normal level in 8 cases. Three cases of arachnoid cyst had a slight change.
CONCLUSION: In accordance with the QCAC patient types, different neuroendoscopic
approaches can fully reconstruct the cerebrospinal fluid circulation. And the use
of frameless navigation makes it more precise and safe.
PMID- 22093731
TI - [Neuroendoscopic management of tethered spinal cord syndrome].
AB - OBJECTIVE: To investigate the methods and efficacy of neuroendoscopic operation
in the treatment of tethered spinal cord syndrome (TSCS). METHODS: A total of 21
cases were recruited. There were 13 males and 8 females with an average age of
12.5 years old. TSCS was diagnosed by magnetic resonance imaging (MRI). They were
operated under neuroendoscope. RESULTS: No complication was found during a follow
up period of 0.5 - 3 years. The symptoms improved in 18 cases. Neither obvious
improvement nor aggravation was found in 3 cases. The total effective rate was
85.71%. CONCLUSION: Neuroendoscopic treatment of TSCS is both safe and effective.
PMID- 22093732
TI - [Evaluation of risk factors for retinopathy of prematurity].
AB - OBJECTIVE: To evaluate the incidence and risk factors of ROP (retinopathy of
prematurity) through a prospective multicenter study. METHODS: Eleven children's
or maternal & child hospitals participated in a collective network. All infants
of birth weight < 2000 g, born in or transferred to one of the participating
centers from January 1st 2005 to February 28th 2006 were recruited. Timely
ophthalmologic examinations were performed. The relevant data at baseline and
endpoints were collected at each unit. RESULTS: A total of 882 preterm infants
fulfilled the screening criteria and 752 finished a followup. And 123 infants
(16.4%) had some degree of ROP. Infants with ROP had a lower gestational age,
birth weight and a longer duration of oxygen versus those without ROP [(30.82 +/-
0.20) weeks vs (32.56 +/- 0.09) weeks, (1430 +/- 25) g vs (1636 +/-10) g, (11.6
+/- 1.4) d vs (4.4 +/- 0.3) d]. Through a univariate analysis, birth weight,
gestational age, asphyxia, oxygen duration > 5 days, apnea, surfactant usage,
pneumonia, anemia, blood transfusion, acidosis and neonatal respiratory distress
syndrome (NRDS) were associated with ROP (P < 0.05). Logistic regression analysis
showed that birth weight (OR = 0.998), apnea (OR = 1.653) and blood transfusion
(OR = 1.763) were independent risk factors for ROP. CONCLUSION: Asphyxia, oxygen
duration > 5 days, surfactant usage, anemia, acidosis and NRDS, lower birth
weight, apnea and blood transfusion may improve the risks of ROP.
PMID- 22093733
TI - [Plasma homocysteine levels in ischemic stroke patients with obstructive sleep
apnea].
AB - OBJECTIVE: To investigate the association of plasma homocysteine and OSA
(obstructive sleep apnea) syndrome in ischemic stroke (IS). METHODS: A total of
92 male IS patients were classified by apnea hypopnea index (AHI) into 2 groups:
non-OSA group (AHI < 5/h) and OSA group (AHI > or = 5). All patients were tested
for plasma homocysteine when polysomnography was finished at (14 +/- 2) d after
the onset of IS. RESULTS: The mean level of homocysteine was significantly higher
in the OSA group than that in the non-OSA group (17 +/- 5 vs 11 +/- 3 micromol/L,
P < 0.01). Pearson correlation analysis revealed a positive correlation between
the homocysteine level and the severity of AHI (r = 0.482, P < 0.01). Further
multiple linear regression analysis showed that AHI and folate were independent
predictors of homocysteine level (R2 = 0.553, P < 0.01, beta for AHI = 0.671,
beta for folate = -0.256). CONCLUSION: The severity of OSA is significantly
associated with an elevated level of homocysteine in IS patients. And this
association is independent of other causative factors of an elevated level of
homocysteine.
PMID- 22093734
TI - [Analysis of etiological and related factors responsible for acute
gastrointestinal hemorrhage].
AB - OBJECTIVE: To investigate the etiology, related factors and endoscopic
characteristics of acute gastrointestinal hemorrhage. METHODS: The data including
age, gender, medical and medication history, and endoscopic characteristics of
patients receiving emergency treatment for acute gastrointestinal hemorrhage
between February 2006 and February 2010 were collected to analyze the etiological
profiles of this disorder. RESULTS: (1) A total of 1415 patients with a 2: 1 male
to-female ratio visited our hospital for acute gastrointestinal hemorrhage in the
past 4 years. There was a higher mean age of disease onset in men than in women
[(51 +/- 20) years old vs (61 +/- 17) years old, P = 0.000]. The numbers of
patients were 399, 361, 242 and 413 for 4 respective quarters in order of
sequence. (2) And 1030 patients received endoscopy. Among them, there were 897
(87.1%) with upper gastrointestinal hemorrhage and 133 (12.9%) with lower
gastrointestinal hemorrhage. Significant differences existed in the mean age of
two groups [(51 +/- 20) years old vs (57 +/- 18) years old, P = 0.000]. The male
to-female ratio was 656: 241 and 65:68 for these 2 groups respectively (P =
0.000). The percentage of patient with a history of NSAID (non-steroidal anti
inflammatory drug) treatment was 22.1% (n = 198) and 12.0% (n = 16) for these 2
groups respectively (P < 0.01). (3) The most common causative diseases of upper
gastrointestinal hemorrhage were peptic ulcer (n = 546, 60.8%), esophageal &
gastric varices hemorrhage (n = 130, 14.5%) and gastric cancer (n = 40, 4.6%).
When the patients were divided into 5 groups of < 12 h, 12-24 h, 24-48 h, 48-72 h
and > or = 72 h per time window of gastroscopy, their percentages with
endoscopically active hemorrhage were 24.1% (20/83), 14.9% (24/161), 9.6%
(16/166), 7.5% (8/106) and 7.6% (29/381) for these groups respectively with
statistically significant differences. When peptic ulcer was examined by the
Forrest classification, the ratio of grade I a- II c decreased gradually while
the ratio of grade III increased gradually among 5 groups (chi2 = 80.414, P =
0.040). (4) The most common causative diseases of lower gastrointestinal
hemorrhage were ischemic colitis (n = 44, 33.1%), small intestinal hemorrhage (n
= 26, 19.5%) and colonic polyps (n = 18, 13.5%). (5) When the patients were
divided into > 65 years old group (n = 277) and < or = 65 years old group (n =
620), the ratio of gastric ulcer and cancer in upper gastrointestinal hemorrhage
was higher in the former than in the latter [23.5% (n = 65) vs 8.9% (n = 55) &
9.7% (n = 27) vs 2.1% (n = 13), P < 0.01)]. While the ratio of duodenal ulcer was
lower in the former than in the latter [22.4% (n = 62) vs 49.7% (n = 308), P <
0.01]. The ratio of small intestinal hemorrhage in lower gastrointestinal
hemorrhage was higher in the former than in the latter (all P < 0.01).
CONCLUSION: At the lowest in the third quarter, the incidence rate of acute
gastrointestinal hemorrhage is higher in males than that in females at a lower
age of onset. More common than lower gastrointestinal hemorrhage, upper
gastrointestinal hemorrhage has a lower mean age of onset. Peptic ulcer is the
most common disorder in upper gastrointestinal hemorrhage. Ischemic colitis is
the most common disorder in lower gastrointestinal hemorrhage. The rate of
gastric ulcer and gastric cancer in the old age group is higher than that in the
young group. Emergency gastroscopy is recommended.
PMID- 22093735
TI - [Microbiological analysis of late infected hip arthroplasty in 62 cases].
AB - OBJECTIVE: To review the type and number of pathogens and their antibiotic
sensitivity in patients with late infected total joint replacement so as to offer
guidance for the choice of antibiotics. METHODS: A retrospective analysis was
conducted for 62 patients whose suspected specimens were obtained intra
operatively during a total hip arthroplasty since January 2002 to August 2010 at
our department. Their demographic data, bacterial species and antibiotic
sensitivity profiles were recorded. RESULTS: Among 62 cases, the cultures were
tested positive in 48 cases; the most common bacteria was Gram-positive bacteria
(74%). And coagulase-negative staphylococci and Staphylococcus aureus accounted
for 62.9% of all bacterial cultures. And the ratio of methicillin-resistant
Staphylococcus was 41.18%. CONCLUSION: The late infection of total hip
arthroplasty is mainly caused by Gram-positive bacteria. Antibiotic treatment for
late periprosthetic infection should be guided by the findings of drug
susceptibility. Vancomycin may be used as a primary agent for the treatment of
infected hip arthroplasty.
PMID- 22093736
TI - [Diagnostic value of 64-slice spiral computed tomographic angiography in
vertebral artery stenosis: a meta-analysis].
AB - OBJECTIVE: To evaluate the diagnostic value of 64-slice spiral computed
tomographic angiography (CTA) in vertebral artery stenosis through a meta
analysis of the relevant data. METHODS: A database search of Cochrane Library,
PubMed, EBSCO, CBM-disc and CNKI was performed to identify the relevant English
and Chinese language articles with such keywords as 64-slice computer tomography,
angiography and vertebral artery stenosis. Quality evaluation, heterogeneity test
and sensitivity and specificity to the qualified original data were conducted.
Summary receiver operating characteristic (SROC) curve, the area under curve
(AUC) and diagnostic odds ratio (DROC) were also calculated. RESULTS: A total of
4 studies were eligible for meta-analysis. Among them, 1 was graded as A and 3
were graded as B. No heterogeneity was found based upon a fixed effect model. For
vertebral artery stenosis > or = 50%, the pooled weighted sensitivity,
specificity, DROC and SROC AUC was 0.98 (0.94 -1.00), 0.93 (0.89 -0.96), 526.33
and 0.9899 respectively; while for vertebral artery stenosis > or = 50%, the
parameters were 0.98 (0.91 - 1.00), 0.97 (0.94 -0.99), 838.40 and 0.9932
respectively. CONCLUSIONS: 64-slice spiral CTA has such a high level of accuracy,
sensitivity and specificity in the non-invasive diagnosis of vertebral artery
stenosis.
PMID- 22093737
TI - [Relationship between proliferation and apoptosis of parathyroid cell in rabbits
with primary hyperparathyroidism].
AB - OBJECTIVE: To evaluate the effect of proliferation and apoptosis of parathyroid
cell in rabbits with primary hyperparathyroidism (PHPT). METHODS: A total of 80
adult Chinese rabbits were randomly divided into two groups (n = 40 each). The
control group was fed with a normal diet (Ca: P, 1:0.7) while the experimental
group a high phosphate diet (Ca: P,1:7) for 3-, 4-, 5-, or 6-month intervals to
establish the animal model of PHPT. The parathyroid was totally removed for
pathological examination after all rabbits were sacrificed. The thyroparathyroid
complex was removed en bloc, fixed in neutral formalin and prepared for
histological examination. The number of parathyroid cell in PHPT was calculated.
Proliferation was determined by immunohistochemistry of proliferation cell
nuclear antigen (PCNA) while apoptosis assessed by in situ dUTP biotin nick-end
labeling (TUNEL). RESULTS: The number of parathyroid cell was 1.61 times in PHPT
than that in the normal control (673 +/- 151, 418 +/- 25, t = - 12.112, P <
0.01). Apoptotic index (AI) increased significantly more in PHPT than that in
normal control (200.2 per thousand +/- 125.6 per thousand, 11.0 per thousand +/-
3.0 per thousand, t = -10.193, P < 0.01). The rate of PCNA positive-cell
increased significantly more in PHPT than that in control (50.5 per thousand +/-
11.6 per thousand, 26.7 per thousand +/- 2.8 per thousand, t = -13.120, P <
0.05). So did Bcl-2 (460 per thousand +/- 190 per thousand, 67 per thousand +/- 4
per thousand, t = -14. 120, P < 0.05). There was a positive correlation between
AI and PCNA (r = 0.861, P < 0.05). It was the same as between AI and Bcl-2 (r =
0.871, P < 0.05). The value of bone mineral density decreased significantly more
in PHPT than that in normal control (152 +/- 34, 189 +/- 12, t = 9.236, P <
0.05). CONCLUSION: PHPT may be mainly induced by an excessive proliferation of
parathyroid cells and an acceleration of apoptotic process.
PMID- 22093738
TI - [Effects of lymphatic drainage and omega-3 polyunsaturated fatty acids on
intestinal ischemia-reperfusion injury in rats].
AB - OBJECTIVE: To investigate the effects of lymphatic drainage and omega-3
polyunsaturated fatty acid (omega-3PUFA) on high mobility group box 1 (HMGB1),
inflammatory cytokines and endotoxin in rats with intestinal ischemia-reperfusion
(I/R) injury. METHODS: A total of 72 SD rats were randomly divided into drainage
alone group, I/R group, ischemia-reperfusion plus drainage (I/R + D) group (n = 8
each) and 3 groups with 16 rats undergoing gastrostomy in each group: normal diet
(N) group, enteral nutrition (EN) group and enteral nutrition & omega-3PUFA
(PUFA) group. And they were further divided into 2 subgroups (n = 8). The rats in
I/R and I/R + D groups were subjected to a 60-min ischemia follow by 120-min
reperfusion injury of superior mesenteric artery. When the rats suffered I/R
injury, intestinal lymph was drained for 180 min in the I/R + D group. The rats
in the drainage-alone group received 180-min lymph drainage without I/R injury.
After 5 days with different nutrition regimes, the models were established
similarly. The rats in the I/R + D sub-groups were treated with intestinal lymph
drainage for 180 min. The serum and lymph samples were collected post
operatively. Endotoxin was detected by a Limulus kit. The inflammatory cytokines
and high mobility group box 1 (HMGB1) were analyzed by enzyme-linked
immunosorbent assay (ELISA). RESULTS: Endotoxin, inflammatory cytokines and
lymphatic HMGB1 of lymphatic in the I/R + D group were higher than those in the
drainage-alone group [all P < 0.05, IL-6: (30 +/- 8) pg/ml vs (20 +/- 6) pg/ml,
endotoxin: (0.029 +/- 0.011) U/ml vs (0.008 +/- 0.005) U/ml]. The serum levels of
endotoxin and inflammatory cytokines in the I/R + D group were lower than those
in the I/R group (P < 0.05). The lymphatic levels of TNF-alpha (tumor necrosis
factor-alpha) and HMGB1 in the N and EN groups were higher than those in the PUFA
group[ TNF-alpha: (46 +/- 17) pg/ml, (54 +/- 16) pg/ml vs (28 +/- 9) pg/ml,
HMGB1: (4.8 +/- 1.6) ng/ml, (5.3 +/- 1.8) ng/ml, (3.0 +/- 1.0) ng/ml, all P <
0.05)]. The serum levels of endotoxin, inflammatory cytokines and HMGB1 in the
PUFA (I/R) group were lower than those in the N (I/R) group (P < 0.05). The
levels of TNF-alpha and HMGB1 were lower in the PUFA (I/R + D) group than those
in the N (I/R + D) group (both P < 0.05). CONCLUSION: Lymphatic drainage may
reduce the levels of endotoxin, inflammatory cytokines and HMGB1 so as to
alleviate the intestinal I/R injury. The intervention of omega-3PUFA has some
protective effect through relieving inflammation.
PMID- 22093739
TI - [Effects of different cyclic mechanical stretching loads on human tenocytic
cytoskeleton in vitro].
AB - OBJECTIVE: To investigate the human tenocyte cytoskeleton under different in
vitro stretching conditions and analyze the relations between the changes of
tenocytic cytoskeleton and different stretching loads. METHODS: Human tenocytes,
cultivated for 5 -7 passages, were stretched under 4%, 8% and 12% cyclic
mechanical stretching with a duration of 2, 4, 8, 12, 24 hours and a frequency of
0.5 and 1.0 Hz. Laser scanning confocal microscope was used to examine the
changes of F-actin and nucleus after immunofluorescent staining at different
cyclic mechanical stretching loads on human tenocyte. The uni-cell average
fluorescence intensity was measured with an image analysis system by the photos
of human tenocyte cytoskeleton and analyzed by the single factor analysis of
variance. RESULTS: After cyclic stretching under 4% stretching with a duration of
2 hours at 0.5 Hz, the microfilament of human tenocyte had an irregular and dim
alignment. F-actin was thicker and ruptured under 4% stretching with a duration
of 4 hours. Under 8% stretching with a duration of 4 hours at 0.5 Hz, all actin
microfilaments ruptured, but part of membrane microfilament remained intact.
There was a rising trend of actin filament fracturing under 12% stretching with a
duration of 2, 4, 8, 12, 24 hours at 1.0 Hz. And all actin filaments fractured at
24 hours. In the control group, the fluorescent intensity of F-actin was at the
highest and the filament remained intact. Under the same stretching frequency,
the fluorescent intensity of F-actin had a declining trend and significant
differences existed under different stretching loads with different durations (P
< 0.05). The fluorescent intensity of F-actin increased in all experimental
groups, but it was lower than that of the control group with a duration of 8
hours. The expression of F-actin decreased with a longer duration and reached its
lowest at 24 hours. The most obvious phenomenon of nuclear condensation and
apoptotic body formation was observed under 4% stretching with a duration of 4
hours at 0.5 Hz. CONCLUSION: Different cyclic mechanical stretching may cause the
in vitro breakage and depolymerization of human tenocytic F-actin. Such an effect
correlates with stretching force and its duration.
PMID- 22093740
TI - [Neuroprotection of a neotype transactivating-brain-derived neurotrophic factor
fusion protein with penetrating activity of blood-brain barrier].
AB - OBJECTIVE: To identify the dural activities of neuroprotection and penetrating
blood-brain barrier (BBB) for TAT-BDNF (transactivating-brain-derived
neurotrophic factor) fusion protein to explore an alternative treatment for the
injury of central nerve system (CNS). METHODS: With molecular cloning techniques,
a recombinant vector termed pTAT-BDNF was constructed to encode both TAT protein
transduction domain and human BDNF. Purified TAT-BDNF fusion protein was
generated from Escherichia coli BL21 (DE3). The injury model was established with
in vitro cultured cortical neurons of neonatal rats. To observe the
neuroprotective effects of TAT-BDNF fusion protein on glutamate-mediated
excitotoxic insults, the contents of lactate dehydrogenase (LDH) were measured by
spectrophotometry. Immunofluorescence and Hoechst 33342 analyses were used to
observe the morphological changes. Immunocytochemical and Nissl stain analysis of
TAT-BDNF content in CNS tissue were performed after an intravenous injection of
TAT-BDNF fusion protein in normal or spinal cord injured rats. RESULTS: During
the study of glutamate-induced excitotoxic insults, as compared with the control
group, TAT-BDNF could decrease the apoptotic ratio, reduce the leakage of LDH and
enhance the survival of neurons (P < 0.05 ). As demonstrated by
immunohistochemistry, TAT-BDNF fusion protein was efficiently delivered into rat
brain and spinal cord tissues at 4 h post-injection. At Day 7 post-injury, Nissl
stain show that the number and morphology of neurons in the TAT-BDNF group were
better than those in the control group. CONCLUSION: The synthetic neotype TAT
BDNF possess the dual biological effects of neuroprotection and penetrating BBB.
PMID- 22093741
TI - Snoring, mouth-breathing, and apnea trajectories in a population-based cohort
followed from infancy to 81 months: a cluster analysis.
AB - OBJECTIVE: The objective of this study was to characterize phenotypes of sleep
disordered breathing (SDB) in early childhood that clinicians may find useful
while monitoring symptom progression and associated SDB morbidity. METHODS: We
performed a cluster analysis of SDB's primary symptoms: snoring, mouth-breathing,
and apnea. Parents in the Avon Longitudinal Study of Parents and Children
(ALSPAC) reported SDB symptoms by questionnaire for their child at 6, 18, 30, 42,
57, 69, and 81 months of age. Participants were those from the original cohort
exclusive of children with congental or other medical conditions predisposing
growth aberrations or respiratory problems (i.e. cleft palate, heart surgery and
associated conditions, genetic syndromes-primarily Down's, cancer or kidney
conditions, celiac disease, congenital adrenal hyperplasia), missing SDB measures
for >= 2 timepoints, or missing birth length plus 2 subsequent height measures.
RESULTS: Five clusters emerged from 10,441 children and were characterized
according to patterns of mean severity of SDB symptoms over time. "Normals" (50%)
were asymptomatic throughout. The "late snores and mouth-breathing" cluster (20%)
remained asymptomatic until 4 years old. The "early snores" (10%) and "early
apnea" (10%) clusters had peak symptoms at 6 and 18 months, respectively. In "all
SDB after infancy" (10%), symptoms peaked from 30 to 42 months and remained
elevated. Exploratory analyses found that "early snores" were significantly
shorter than "normals." Associations with tonsillectomies and wheezing frequency
supported external validation. CONCLUSIONS: Cluster analysis has elucidated the
dynamic multi-symptom expression of SDB. The utility of cluster analysis will be
evaluated in future analyses to predict growth, cognition and behavior outcomes.
PMID- 22093742
TI - The otolaryngologic manifestations in children with eosinophilic esophagitis.
AB - OBJECTIVES: (1) To describe the incidence of eosinophilic esophagitis (EoE) in
the population of patients undergoing esophagoscopy with biopsy by a pediatric
otolaryngology service. (2) To elucidate the demographics, presenting symptoms,
and endoscopic findings in children with EoE. DESIGN: Case series.
PATIENTS/METHODS: The reports of esophageal biopsy specimens taken over 5 years
in 2429 patients were reviewed. Ninety-two patients who received their initial
diagnosis of EoE by the pediatric otolaryngology service with specimens showing
15 or greater eosinophils per high power field (HPF) were included.
INTERVENTIONS: The demographic data, history, presenting symptoms, and endoscopic
findings were reviewed retrospectively for the patients. MAIN OUTCOME MEASURE:
The percentage of children diagnosed with EoE of all children undergoing
esophageal biopsy. RESULTS: A total of 92 children were diagnosed with EoE (3.8%
of total children biopsied). The mean age at biopsy was 4.4 years, much lower
than previously reported in the literature (approximately 8 years); 73% were boys
and 27% girls. The main presenting symptom was cough (46%) followed by
hoarseness, throat clearing, burping/vomiting, and abdominal pain. Forty three
percent had a history of asthma and 17% a history of GERD. Half of patients had
esophageal edema, a third were normal, and only a quarter had mucosal furrowing
on endoscopic examination. CONCLUSIONS: EoE is increasingly diagnosed as a
clinical entity with a distinct symptom profile and etiology. Increased
understanding of EoE and its predisposing factors requires a multidisciplinary
approach to diagnosis and management involving the pediatric otolaryngologist.
PMID- 22093743
TI - Novel mutations in ATP6V0A4 are associated with atypical progressive
sensorineural hearing loss in a Chinese patient with distal renal tubular
acidosis.
AB - Mutations in ATP6V0A4 lead to distal renal acidosis (MIM 602722) with a highly
variable range of hearing phenotype. We identified two novel ATP6V0A4 mutations
in a Chinese patient with distal renal tubular acidosis and late onset hearing
loss, and presented the first direct evidence of progressive hearing loss
associated with ATP6V0A4 mutations by sequential audiological assessments. A
unique audiometric profile of progressive hearing loss of the patient was
described that may provide useful insights when studying the highly variable
hearing phenotypes associated with the ATP6V0A4 mutations.
PMID- 22093744
TI - Pathological stage distribution in patients treated with radical prostatectomy
reflecting the need for protocol-based active surveillance: results from a
contemporary European patient cohort.
AB - Study Type - Therapy (case series). Level of Evidence 4. What's known on the
subject? and What does the study add? Low-risk prostate cancer is frequently
diagnosed in the context of PSA screening or during a routine check-up. For those
patients, to avoid possible overtreatment AS is an increasingly chosen treatment
option. However, the concept of AS could possibly misclassify potentially
dangerous PCa as a low-risk disease resulting in inferior cancer control
outcomes. In the present study, we could demonstrate that the histopathological
results of patients treated by RP in course of AS are significantly better if the
selection criteria for AS are entirely fulfilled. Our findings underline the
importance of a strict and precise admittance procedure for patients with early
prostate cancer who are willing to undergo an AS programme. OBJECTIVE: * To
compare the histopathological outcomes of patients treated with radical
prostatectomy (RP) after an initial active surveillance (AS) for localized, low
risk prostate cancers (PCa) among men who fulfilled the Epstein criteria at
diagnosis with those who did not. PATIENTS AND METHODS: * In all, 283 patients
with localized PCa were initially managed at our institution with AS. * In all, ~
50% originated from the European Randomized Study of Screening for Prostate
Cancer (ERSPC) participants from Switzerland: 75 (26.5%) patients underwent
treatment during follow-up and 61 were treated with RP (21.6%). * These patients
were stratified into those who did (n= 39) vs those who did not (n= 22) entirely
fulfil AS inclusion criteria according to Epstein et al. at PCa diagnosis.
RESULTS: * Patients who did completely fulfil the AS inclusion criteria had
significantly lower prostate-specific antigen (PSA)-values (4.9 vs 7.8 ng/mL; P=
0.02), a significantly lower PSA density at diagnosis (0.09 vs 0.2 ng/mL/ccm; P=
0.007) and at RP, a higher proportion of organ-confined cancers (89.7% vs 59.1%,
P= 0.02) and fewer positive surgical margins (25.6% vs 40.9%). * However, the
rate of favourable histopathological outcome, defined as organ-confined disease
with negative surgical margins, was statistically significantly higher in the
group fulfilling AS criteria (69.2% vs 40.9%; P= 0.03). CONCLUSIONS: * In our AS
series, 26.5% of the patients underwent definitive therapy. * Most patients
treated with RP had organ-confined disease in the majority of cases, especially
when the Epstein criteria were rigorously fulfilled at PCa diagnosis. * This
underlines the importance of a strict and precise per protocol AS for patients
with early PCa, otherwise there is a risk of missing more significant disease.
PMID- 22093745
TI - Cellular responses of Saccharomyces cerevisiae at near-zero growth rates:
transcriptome analysis of anaerobic retentostat cultures.
AB - Extremely low specific growth rates (below 0.01 h(-1) ) represent a largely
unexplored area of microbial physiology. In this study, anaerobic, glucose
limited retentostats were used to analyse physiological and genome-wide
transcriptional responses of Saccharomyces cerevisiae to cultivation at near-zero
specific growth rates. While quiescence is typically investigated as a result of
carbon starvation, cells in retentostat are fed by small, but continuous carbon
and energy supply. Yeast cells cultivated near-zero specific growth rates, while
metabolically active, exhibited characteristics previously associated with
quiescence, including accumulation of storage polymers and an increased
expression of genes involved in exit from the cell cycle into G(0) .
Unexpectedly, analysis of transcriptome data from retentostat and chemostat
cultures showed, as specific growth rate was decreased, that quiescence-related
transcriptional responses were already set in at specific growth rates above
0.025 h(-1) . These observations stress the need for systematic dissection of
physiological responses to slow growth, quiescence, ageing and starvation and
indicate that controlled cultivation systems such as retentostats can contribute
to this goal. Furthermore, cells in retentostat do not (or hardly) divide while
remaining metabolically active, which emulates the physiological status of
metazoan post-mitotic cells. We propose retentostat as a powerful cultivation
tool to investigate chronological ageing-related processes.
PMID- 22093746
TI - Functional characterization of the regulators of calcineurin in Candida glabrata.
AB - The serine-threonine-specific protein phosphatase calcineurin is a key mediator
of various stress responses in fungi. Herein, we characterized functions of the
endogenous regulators of calcineurin (RCNs), Rcn1 and Rcn2, in the pathogenic
fungus Candida glabrata. Rcn1 exerted both inhibitory and stimulatory effects on
calcineurin signaling, but Rcn2 displayed only inhibitory activity. Phenotypic
analyses of C. glabrata strains lacking either RCNs, calcineurin, or both
revealed that calcineurin requires Rcn1, but not Rcn2, for antifungal tolerance
in C. glabrata.
PMID- 22093748
TI - Alcohol-based quorum sensing plays a role in adhesion and sliding motility of the
yeast Debaryomyces hansenii.
AB - The yeast Debaryomyces hansenii was investigated for its production of alcohol
based quorum sensing (QS) molecules including the aromatic alcohols
phenylethanol, tyrosol, tryptophol and the aliphatic alcohol farnesol.
Debaryomyces hansenii produced phenylethanol and tyrosol, which were primarily
detected from the end of exponential phase indicating that they are potential QS
molecules in D. hansenii as previously shown for other yeast species. Yields of
phenylethanol and tyrosol produced by D. hansenii were, however, lower than those
produced by Candida albicans and Saccharomyces cerevisiae and varied with growth
conditions such as the availability of aromatic amino acids, ammonium sulphate,
NaCl, pH and temperature. Tryptophol was only produced in the presence of
tryptophane, whereas farnesol in general was not detectable. Especially, the type
strain of D. hansenii (CBS767) had good adhesion and sliding motility abilities,
which seemed to be related to a higher hydrophobicity of the cell surface of D.
hansenii (CBS767) rather than the ability to form pseudomycelium. Addition of
phenylethanol, tyrosol, tryptophol and farnesol was found to influence both
adhesion and sliding motility of D. hansenii.
PMID- 22093747
TI - Subcellular distribution of glutathione and its dynamic changes under oxidative
stress in the yeast Saccharomyces cerevisiae.
AB - Glutathione is an important antioxidant in most prokaryotes and eukaryotes. It
detoxifies reactive oxygen species and is also involved in the modulation of gene
expression, in redox signaling, and in the regulation of enzymatic activities. In
this study, the subcellular distribution of glutathione was studied in
Saccharomyces cerevisiae by quantitative immunoelectron microscopy. Highest
glutathione contents were detected in mitochondria and subsequently in the
cytosol, nuclei, cell walls, and vacuoles. The induction of oxidative stress by
hydrogen peroxide (H(2) O(2) ) led to changes in glutathione-specific labeling.
Three cell types were identified. Cell types I and II contained more glutathione
than control cells. Cell type II differed from cell type I in showing a decrease
in glutathione-specific labeling solely in mitochondria. Cell type III contained
much less glutathione contents than the control and showed the strongest decrease
in mitochondria, suggesting that high and stable levels of glutathione in
mitochondria are important for the protection and survival of the cells during
oxidative stress. Additionally, large amounts of glutathione were relocated and
stored in vacuoles in cell type III, suggesting the importance of the
sequestration of glutathione in vacuoles under oxidative stress.
PMID- 22093749
TI - Versatile use of Schizosaccharomyces pombe plasmids in Saccharomyces cerevisiae.
AB - The two model yeasts Saccharomyces cerevisiae and Schizosaccharomyces pombe
appear to have diverged 1000 million years ago. Here, we describe that S. pombe
vectors can be propagated efficiently in S. cerevisiae as pUR19 derivatives, and
the pREP and pJR vector series carrying the S. cerevisiae LEU2 or the S. pombe
ura4(+) selection marker are maintained in S. cerevisiae cells. In addition,
genes transcribed from the S. pombe nmt1(+) promoter and derivatives are
expressed in budding yeast. Thus, S. pombe vectors can be used as shuttle vectors
in S. cerevisiae and S. pombe. Our finding greatly facilitates the testing for
functional orthologs of protein families and simplifies the cloning of new S.
pombe plasmids by using the highly efficient in vivo homologous recombination
activity of S. cerevisiae.
PMID- 22093750
TI - Pkc1 and actin polymerisation activities play a role in ribosomal gene repression
associated with secretion impairment caused by oxidative stress.
AB - In Saccharomyces cerevisiae, the cell integrity pathway plays a role in the
oxidative stress response. In this study, we show that the Pkc1 protein mediates
oxidative signalling by helping to downregulate ribosomal gene expression when
cells are exposed to hydrogen peroxide. An active actin cytoskeleton is required
for this function, because the cells blocked in actin polymerisation were unable
to repress ribosomal gene transcription. Following the invertase secretion
pattern, we hypothesize that oxidative stress induced by hydrogen peroxide could
have affected the latter steps of secretion. This would explain why the Pkc1
function was required to repress ribosomal biogenesis.
PMID- 22093751
TI - Large outbreak of verocytotoxin-producing Escherichia coli O157 infection in
visitors to a petting farm in South East England, 2009.
AB - In the summer of 2009, an outbreak of verocytotoxigenic Escherichia coli O157
(VTEC O157) was identified in visitors to a large petting farm in South East
England. The peak attack rate was 6/1000 visitors, and highest in those aged <2
years (16/1000). We conducted a case-control study with associated
microbiological investigations, on human, animal and environmental samples. We
identified 93 cases; 65 primary, 13 secondary and 15 asymptomatic. Cases were
more likely to have visited a specific barn, stayed for prolonged periods and be
infrequent farm visitors. The causative organism was identified as VTEC O157
PT21/28 with the same VNTR profile as that isolated in faecal specimens from farm
animals and the physical environment, mostly in the same barn. Contact with farm
livestock, especially ruminants, should be urgently reviewed at the earliest
suspicion of a farm-related VTEC O157 outbreak and appropriate risk management
procedures implemented without delay.
PMID- 22093752
TI - Formation and characterization of pDNA-loaded alginate microspheres for oral
administration in mice.
AB - Alginate, a natural polysaccharide, was explored in this study as an oral
delivery vehicle of a mammalian expression vector into the murine intestinal
mucosa. Alginate microspheres were produced through water-in-oil (W/O)
emulsification method. Average diameter sizes of microspheres were 46.88 MUm+/
3.07 MUm with significant size reduction upon utilization of 1.0% Span80. Plasmid
DNA (pDNA) carrying green fluorescent protein reporter gene (GFP), pVAX-GFP, was
encapsulated within microspheres at efficiencies of 72.9 to 74.4%, carrying
maximum load of 6 MUg pDNA. Alginate microspheres demonstrated shrinkage in pH
1.2 and swelling in pH 9.0 with pDNA release about twice the amount released in
acidic environment. Oral delivery of pVAX-GFP loaded-microspheres, at 50 MUg, 100
MUg and 150 MUg dose, was performed on BALB/c mice. Tissue biodistribution,
investigated through flow cytometric analysis, demonstrated GFP positive
intestinal cells (<1.0%) with 1.3-fold higher levels for the 100 MUg dose;
therefore suggesting feasibility of the approach for oral gene delivery and
vaccination.
PMID- 22093753
TI - Neuroprotective activity of galloylated cyanogenic glucosides and hydrolysable
tannins isolated from leaves of Phyllagathis rotundifolia.
AB - The galloylated cyanogenic glucosides based on prunasin (1-7), gallotannins (8
14), ellagitannins (15-17), ellagic acid derivatives (18, 19) and gallic acid
(20) isolated from the leaves of Phyllagathis rotundifolia (Melastomataceae) were
investigated for their neuroprotective activity against hydrogen peroxide
(H(2)O(2))-induced oxidative damage in NG108-15 hybridoma cell line. Among these
compounds, the gallotannins and ellagitannins exhibited remarkable
neuroprotective activities against oxidative damage in vitro as compared to
galloylated cyanogenic glucosides and ellagic acid derivatives in a dose
dependent manner. They could be explored further as potential natural
neuroprotectors in various remedies of neurodegenerative diseases.
PMID- 22093754
TI - Rapid in vivo analysis of synthetic promoters for plant pathogen phytosensing.
AB - BACKGROUND: We aimed to engineer transgenic plants for the purpose of early
detection of plant pathogen infection, which was accomplished by employing
synthetic pathogen inducible promoters fused to reporter genes for altered
phenotypes in response to the pathogen infection. Toward this end, a number of
synthetic promoters consisting of inducible regulatory elements fused to a red
fluorescent protein (RFP) reporter were constructed for use in phytosensing.
RESULTS: For rapid analysis, an Agrobacterium-mediated transient expression assay
was evaluated, then utilized to assess the inducibility of each synthetic
promoter construct in vivo. Tobacco (Nicotiana tabacum cv. Xanthi) leaves were
infiltrated with Agrobacterium harboring the individual synthetic promoter
reporter constructs. The infiltrated tobacco leaves were re-infiltrated with
biotic (bacterial pathogens) or abiotic (plant defense signal molecules salicylic
acid, ethylene and methyl jasmonate) agents 24 and 48 hours after initial
agroinfiltration, followed by RFP measurements at relevant time points after
treatment. These analyses indicated that the synthetic promoter constructs were
capable of conferring the inducibility of the RFP reporter in response to
appropriate phytohormones and bacterial pathogens, accordingly. CONCLUSIONS:
These observations demonstrate that the Agrobacterium-mediated transient
expression is an efficient method for in vivo assays of promoter constructs in
less than one week. Our results provide the opportunity to gain further insights
into the versatility of the expression system as a potential tool for high
throughput in planta expression screening prior to generating stably transgenic
plants for pathogen phytosensing. This system could also be utilized for
temporary phytosensing; e.g., not requiring stably transgenic plants.
PMID- 22093755
TI - Complement-fixing donor-specific antibodies identified by a novel C1q assay are
associated with allograft loss.
AB - Long-term outcomes following renal transplantation remain disappointing.
Recently, interest has focused on the antibody-mediated component of allograft
injury and the deleterious effects of DSA. We applied a novel C1q solid-phase
assay in parallel with the standard IgG SAB assay to identify DSA with the
potential to activate complement by binding C1q. Among 193 consecutive renal
transplants at our center, 19.2% developed de novo DSA following transplantation.
Of the patients with DSA, 43% had antibodies that bound C1q in vitro [C1q+ DSA].
Patients with C1q+ DSA were more likely to develop allograft loss than patients
with DSA that did not bind C1q (46.7% vs. 15%; p = 0.04); patients with C1q+ DSA
were nearly six times more likely to lose their transplant than those with C1q-
DSA. Additionally, patients with C1q+ DSA who underwent allograft biopsy were
more likely to demonstrate C4d deposition (50% vs. 8%; p = 0.03) and meet
criteria for acute rejection (60% vs. 17%; p = 0.02) when compared with patients
with DSA that did not bind C1q. These data suggest that DSA with the ability to
activate complement, as determined by this novel C1q assay, are associated with
greater risk of acute rejection and allograft loss.
PMID- 22093756
TI - Perceived nursing service quality in a tertiary care hospital, Maldives.
AB - The present study explored nurses' and patients' expectations of nursing service
quality, their perception of performance of nursing service quality performed by
nurses, and compared nursing service quality, as perceived by nurses and
patients. The sample consisted of 162 nurses and 383 patients from 11 inpatient
wards/units in a tertiary care hospital in the Maldives. Data were collected
using the Service Quality scale, and analyzed using descriptive statistics and
the Mann-Whitney U-test. The results indicated that the highest expected
dimension and perceived dimension for nursing service quality was Reliability.
The Responsiveness dimension was the least expected dimension and the lowest
performing dimension for nursing service quality as perceived by nurses and
patients. There was a statistically significant difference between nursing
service quality perceived by nurses and patients. The study results could be used
by nurse administrators to develop strategies for improving nursing service
quality so that nursing service delivery process can be formulated in such a way
as to reduce differences of perception between nurses and patients regarding
nursing service quality.
PMID- 22093757
TI - The effect of ionized species on microsomal binding.
AB - The effect of neutral molecules on microsomal binding is known through studies by
Austin and co-workers, but the effect of ionised species has hitherto not been
elucidated. The present work sets out to determine the role of ionised species on
microsomal binding. Data on microsomal binding obtained by Austin and co-workers
have been analyzed by the method of Abraham and Acree that includes descriptors
for neutral molecules, protonated base cations and carboxylate anions. An LFER
has been obtained that includes neutral molecules, cations and anions in the same
equation. It is shown that carboxylic acid anions bind to microsomes about 18
times less than the corresponding neutral carboxylic acids, but that protonated
bases bind as strongly as the corresponding neutral bases. We interpret the
stronger binding than expected of protonated bases as due to interaction with the
phosphate groups on the phospholipids in the microsomes. Comparison with
partition into a cerasome membrane suggests that this interaction corresponds to
about a ten to twenty-fold increase in binding to microsomes.
PMID- 22093758
TI - Synthesis and biological activity of dihydroimidazole and 3,4
dihydrobenzo[4,5]imidazo[1,2-a][1,3,5]triazins.
AB - Reaction of 2-guanidinobenzimidazole with halogenated active methylenes and
ketones gave dihydroimidazole and 3,4-dihydrobenzo[4,5]imidazo [1,2
a][1,3,5]triazin derivatives in very good yield. The anti-bacterial evaluation of
the newly synthesized products against broad spectrum of bacteria was performed.
Most of products showed high inhibitory effect. All compounds have been
characterized based on IR, (1)H NMR, (13)C NMR and Mass spectra.
PMID- 22093759
TI - Induction of cell cycle arrest by the carbazole alkaloid Clauszoline-I from
Clausena vestita D. D. Tao via inhibition of the PKCdelta phosphorylation.
AB - Sixteen carbazole alkaloids from Clausena vestita D. D. Tao were extracted, and
their anti-tumor activities were evaluated. Among the extracts, Clauszoline-I
exhibited an obvious growth inhibitory activity against several cancer cell lines
through its ability to induce cell cycle arrest in the S and G2/M phases. A
dramatic morphologic change with decreased F-actin staining and RhoA activity was
found in Clauszoline-I treated HepG2 cells, in which the phosphorylation of
PKCdelta (Ser643) was inhibited. Our results indicated that induction cell cycle
arrest by Clauszoline-I might be achieved by decreasing the RhoA activity via the
inhibition of PKCdelta phosphorylation.
PMID- 22093760
TI - Synthesis of osteotropic hydroxybisphosphonate derivatives of fluoroquinolone
antibacterials.
AB - 1-Hydroxybisphosphonate derivatives of ciprofloxacin, gatifloxacin and
moxifloxacin have been synthesized using Cu(I) catalyzed azide-alkyne 1,3-dipolar
cycloaddition reaction. The 1,2,3-triazol linked hydroxybisphosphonate derivative
of ciprofloxacin exhibited antibacterial activity comparable to the parent
antibiotic and all fluoroquinolone-bisphosphonates displayed osteotropic
properties in a bone model.
PMID- 22093761
TI - Synthesis and antitumor activity of conjugates of 5-Fluorouracil and emodin.
AB - A series of conjugates of 5-Fluorouracil (5-FU) and emodin were synthesized by
coupling trimethyl emodin with N(1), N(3) dialkylated 5-FU. The 5-FU moiety
contained various substituents at the N(3)-position were linked to the 2-position
of trimethyl emodin via a methylene linkage. Their cytotoxicity against three
cancer cell lines and one noncancerous cell were studied. The results revealed
that some of conjugates exhibited better or comparable in vitro antitumor
activity to 5-FU and emodin and low toxicity in the normal cell. The structure
activity relationship study showed N(3)-aromatic substituent was important for
their cytotoxic activity.
PMID- 22093762
TI - Evolutionary maintenance of filovirus-like genes in bat genomes.
AB - BACKGROUND: Little is known of the biological significance and evolutionary
maintenance of integrated non-retroviral RNA virus genes in eukaryotic host
genomes. Here, we isolated novel filovirus-like genes from bat genomes and tested
for evolutionary maintenance. We also estimated the age of filovirus VP35-like
gene integrations and tested the phylogenetic hypotheses that there is a
eutherian mammal clade and a marsupial/ebolavirus/Marburgvirus dichotomy for
filoviruses. RESULTS: We detected homologous copies of VP35-like and NP-like gene
integrations in both Old World and New World species of Myotis (bats). We also
detected previously unknown VP35-like genes in rodents that are positionally
homologous. Comprehensive phylogenetic estimates for filovirus NP-like and VP35
like loci support two main clades with a marsupial and a rodent grouping within
the ebolavirus/Lloviu virus/Marburgvirus clade. The concordance of VP35-like, NP
like and mitochondrial gene trees with the expected species tree supports the
notion that the copies we examined are orthologs that predate the global spread
and radiation of the genus Myotis. Parametric simulations were consistent with
selective maintenance for the open reading frame (ORF) of VP35-like genes in
Myotis. The ORF of the filovirus-like VP35 gene has been maintained in bat
genomes for an estimated 13. 4 MY. ORFs were disrupted for the NP-like genes in
Myotis. Likelihood ratio tests revealed that a model that accommodates positive
selection is a significantly better fit to the data than a model that does not
allow for positive selection for VP35-like sequences. Moreover, site-by-site
analysis of selection using two methods indicated at least 25 sites in the VP35
like alignment are under positive selection in Myotis. CONCLUSIONS: Our results
indicate that filovirus-like elements have significance beyond genomic imprints
of prior infection. That is, there appears to be, or have been, functionally
maintained copies of such genes in mammals. "Living fossils" of filoviruses
appear to be selectively maintained in a diverse mammalian genus (Myotis).
PMID- 22093763
TI - Adult urologic sarcoma: experience during 2 decades.
AB - OBJECTIVE: To identify factors associated with long-term outcome and to report
possibly meaningful clinical features in a unicentric sample of adult urologic
sarcomas. MATERIALS AND METHODS: Thirty-five patients treated between 1992 and
2011 were studied. Except for 3 patients, surgery was the initial treatment. The
median follow-up in the surviving (censored) patients was 11.3 years. Kaplan
Meier method and competing risk analysis were used to evaluate outcome. Disease
recurrence, disease-specific mortality, and overall mortality were the study
endpoints. Comparisons were made with the log rank and the Pepe-Mori tests. Cox
proportional hazard models were used to identify independent predictors of
disease recurrence. RESULTS: Only disease grade was significantly associated with
all 3 study endpoints. The primary tumor site was significantly associated with
disease-specific and recurrence-free survival but did not reach the significance
level concerning overall survival. In the multivariate analysis, primary site and
tumor grade were identified as predictors of disease recurrence. Whereas 10-year
disease-specific survival was 100% in patients with low grade inguinoscrotal
tumors, it was 0% in patients with high grade disease arising from other sites.
CONCLUSIONS: Low grade and inguinoscrotal origin are factors associated with
favorable outcome in urologic sarcomas. Repeat interventions to remove or to
inactivate recurrent tumors or metastases seem to provide clinical benefit in
individual cases.
PMID- 22093764
TI - Adolescent threat-related interpretive bias and its modification: the moderating
role of regulatory control.
AB - Dual process models describe psychopathology as the consequence of an imbalance
between a fast, impulsive system and a regulatory control system and have
recently been applied to anxiety disorders. The aim of the current study was to
specifically examine the role of a regulatory control system in regulating 1)
threat-related interpretive bias and 2) the effectiveness of interpretive bias
training in adolescents. In total, 67 adolescents participated and followed
either a positive Cognitive Bias Modification of Interpretation (CBM-I) training
or a placebo-control condition. Results revealed that interpretive bias and the
effectiveness of its modification depended on individual differences in
regulatory control. That is, low levels of regulatory control in combination with
high levels of state anxiety were associated with the strongest threat-related
interpretive bias and those individuals benefited the most of the positive
interpretation training. The current study provided empirical support for the
role of dual processes in adolescent threat-related interpretive bias.
PMID- 22093765
TI - Repeatable target localization for long-term in vivo imaging of mice with 2
photon microscopy.
AB - Repetitive in vivo imaging in mice has become an indispensable tool for studying
dynamic changes in structure and function of the brain. We describe a head
fixation system, which allows rapid re-localization of previously imaged regions
of interest (ROIs) within the brain. Such ROIs can be automatically relocated and
imaged over weeks to months with negligible rotational change and only minor
translational errors. Previously stored imaging positions can be fully automated
re-localized within a few seconds. This automated rapid and accurate relocation
simplifies image acquisition and post-processing in longitudinal imaging
experiments. Moreover, as the laser is only used for data acquisition and not for
finding previously imaged ROIs, the risk of laser induced tissue damage and
photobleaching is greatly reduced. Thus, here described head fixation device
appears well suited for in vivo repetitive long-term imaging in rodent brain.
PMID- 22093766
TI - [An update on focal infection of oral origin].
AB - Focal infection of oral origin means that an oral infectious focus may have
widespread effects. This concept remains controversial since it is difficult to
prove the oral origin of germs responsible for an extra-oral infection.
Experiments on animal models and clinical studies suggested several
physiopathological mechanisms: bacteremia, toxinic and immunological mechanisms.
Various operations induce the passage of bacterial flora (transcytosis) and its
toxins into the bloodstream: oral care, chewing, or tooth brushing. Bacteremia is
worsened by poor oral hygiene or an infection. The germs are usually destroyed by
the host's reticuloendothelial system in a few minutes, but the presence of a
valvular disease or a weak immune system favors focal infection. Besides
infectious endocarditis, this may concern cardiovascular diseases, lung
infections, prematurity and hypotrophy, diabetes, prosthetic infections, cerebral
abscesses, etc. This update is based on literature review, selected according to
its high level of scientific proof, as well as on a selected choice of consensus
conferences. The current recommendation is to limit antibiotic prophylaxis to the
high bacteremia risk procedures and to patients highly at risk of developing a
focal infection.
PMID- 22093767
TI - The consequences of tinnitus in long-standing Meniere's disease.
AB - OBJECTIVE: To explore the perceived consequences of tinnitus in patients with
long-standing Meniere's disease (MD). METHOD: A questionnaire-based cross
sectional investigation of 183 randomly selected members of the Finnish Meniere's
Federation. It assessed general health related quality of life (EQ-5D), a
participation restriction scale, self-listed consequences and a rating of effects
of MD on life. RESULTS: When the effects of the other cardinal symptoms of
Meniere's disease were partialled out, the main reported impacts of tinnitus
related to anxiety, sleep and depression. It also contributed to some difficult
listening situations and to interactions with significant others. Tinnitus
explained a significant component of disease-specific quality of life (QoL), but
did not relate significantly to the generic measures used. However, the measure
'mood' in the QoL scale was significantly associated with severe tinnitus.
CONCLUSION: The most important specific impacts of tinnitus in chronic Meniere's
disease relate to broadly psychological factors and mood.
PMID- 22093768
TI - A CMOS label-free DNA sensor using electrostatic induction of molecular charges.
AB - This paper reports a label-free biosensor for the detection of DNA hybridization.
The proposed biosensor measures the surface potential on oligonucleotide modified
electrodes using a direct charge accumulation method. The sensor directly and
repeatedly measures the charges induced in the working electrode, which
correspond to intrinsic negative charges in immobilized molecules. The sensor
achieves an improved signal-to-noise ratio (SNR), through the oversampling effect
of accumulation for charges and the differential architecture. The sensor also
shows stable, robust, and reproducible measurement independent of slight changes
in the reference voltage, unlike previous ion-sensitive field effect transistors
(ISFETs), providing the benefits of choosing a wide variety of reference
electrode materials. The proposed device is integrated with working electrodes, a
reference electrode and readout circuits into one package via a 0.35 MUm
complementary metal-oxide-semiconductor (CMOS) process. The sensor achieves a
detectable range of 88.3 dB and a detection limit of 36 MUV for surface
potential. It is demonstrated that the sensor successfully achieves specific
detection of oligonucleotide sequences derived from the H5N1 avian influenza
virus. The experiments show a limit of detection of 100 pM and include a single
base mismatch test in 18-mer oligonucleotides.
PMID- 22093769
TI - Mechanical force-based probing of intracellular proteins from living cells using
antibody-immobilized nanoneedles.
AB - We developed a method combining atomic force microscopy (AFM) and antibody
immobilized nanoneedles to discriminate living cells by probing intracellular
cytoskeletal proteins without the need for cell labeling. The nanoneedles are
ultra-thin AFM probes sharpened to 200 nm in diameter. While retracting a
nanoneedle inserted into a cell, we measured the mechanical force needed to
unbind the antibody-target protein complex. Using this method, the intermediate
filament protein, nestin and neurofilament were successfully detected in mouse
embryonic carcinoma P19 cells and rat primary hippocampal cells within a minute
for a single cell and cell differentiation states could be determined.
Additionally, the measured magnitude of the force detecting nestin was indicative
of the malignancy of breast cancer cells. This method was shown to affect neither
the doubling time of cells nor does it leave extrinsic antibodies within the
examined cells, allowing to be used in subsequent analyses in their native state.
PMID- 22093770
TI - Development of a multilayered polymeric DNA biosensor using radio frequency
technology with gold and magnetic nanoparticles.
AB - This study utilized the radio frequency (RF) technology to develop a multilayered
polymeric DNA sensor with the help of gold and magnetic nanoparticles. The
flexible polymeric materials, poly (p-xylylene) (Parylene) and polyethylene
naphtholate (PEN), were used as substrates to replace the conventional rigid
substrates such as glass and silicon wafers. The multilayered polymeric RF
biosensor, including the two polymer layers and two copper transmission structure
layers, was developed to reduce the total sensor size and further enhance the
sensitivity of the biochip in the RF DNA detection. Thioglycolic acid (TGA) was
used on the surface of the proposed biochip to form a thiolate-modified sensing
surface for DNA hybridization. Gold nanoparticles (AuNPs) and magnetic
nanoparticles (MNPs) were used to immobilize on the surface of the biosensor to
enhance overall detection sensitivity. In addition to gold nanoparticles, the
magnetic nanoparticles has been demonstrated the applicability for RF DNA
detection. The performance of the proposed biosensor was evaluated by the shift
of the center frequency of the RF biosensor because the electromagnetic
characteristic of the biosensors can be altered by the immobilized multilayer
nanoparticles on the biosensor. The experimental results show that the detection
limit of the DNA concentration can reach as low as 10 pM, and the largest shift
of the center frequency with triple-layer AuNPs and MNPs can approach 0.9 and 0.7
GHz, respectively. Such the achievement implies that the developed biosensor can
offer an alternative inexpensive, disposable, and highly sensitive option for
application in biomedicine diagnostic systems because the price and size of each
biochip can be effectively reduced by using fully polymeric materials and
multilayer-detecting structures.
PMID- 22093771
TI - Ultrasensitive and dual functional colorimetric sensors for mercury (II) ions and
hydrogen peroxide based on catalytic reduction property of silver nanoparticles.
AB - The method provides an innovative dual functional sensors for mercury (II) ions
and hydrogen peroxide. The addition of H(2)O(2) to the mixture of silver
nanoparticles (AgNPs) and Hg(2+) induced color changes of the solution within
several seconds even at 2.0 nM Hg(2+). Other metallic ions could not induce color
change even at 10 MUM. Of importance, this probe was not only successfully
applied to detect Hg(2+), but also it could be used to sense H(2)O(2) at a
concentration as low as 50 nM (by naked-eye). The outstanding sensitivity and
selectivity property for Hg(2+) and H(2)O(2) resulted from the AgNPs mediated
reduction of Hg(2+) to elementary Hg in the presence of H(2)O(2), causing the
aggregation and colorimetric response of AgNPs. This sensitive and selective
colorimetric assay opens up a fresh insight of development facile and fast
detection methods for metal ions and biomolecules using the special catalytic
reactivity of AgNPs.
PMID- 22093772
TI - Stabilization and modulation of the phycobilisome by calcium in the calciphilic
freshwater red alga Bangia atropurpurea.
AB - The bangiophycean filamentous red alga Bangia atropurpurea is distributed in
freshwater habitats such as littoral and splash zones of lakes or rapid currents
distant from the sea. In these habitats, the distribution and growth of this alga
appear to be related to hard water rich in calcium ions. To characterize the eco
physiological properties of this calciphilic red alga, we examined the effects of
long-term and short-term Ca(2+) depletion on photosynthetic growth of the thallus
and on the phycobilisome. Long-term culture experiments suggested that higher
Ca(2+) concentrations (>50mgL(-1)) were required to sustain thallus growth and
pigmentation of cells. In short-term Ca(2+)-depletion treatments, fluorescence
derived from phycoerythrin (PE) fluctuated, although the absorption spectra of
the thalli did not change. After 30 min of Ca(2+) depletion, the fluorescence
lifetime of PE became markedly longer, indicating that the energy transfer from
PE to phycocyanin (PC) was suppressed. The fluorescence lifetime of PE returned
to its original value within a short time after 4h of Ca(2+) depletion, however,
energy transfer from PE to PC was still suppressed. This suggested that the
excitation energy absorbed by PE was quenched during prolonged Ca(2+) depletion.
The efficient energy transfer from PC and allophycocyanin were unchanged during
these treatments.
PMID- 22093773
TI - Disseminated Mycobacterium marinum infection in a hematopoietic stem cell
transplant recipient.
AB - Mycobacterium marinum is a photochromogenic mycobacterium that is ubiquitous in
the aquatic environment. In the general population, exposure to aquaria is the
most common cause of M. marinum infection. Known as "swimmer's granuloma" or
"fish tank granuloma," M. marinum is an occupational hazard for aquarium cleaners
and fishermen. There are several reports in the literature of M. marinum
infection in immunocompromised hosts, including those with solid organ
transplants, but none in patients who have received stem cell transplants (SCTs).
To our knowledge, this is a first report of disseminated M. marinum infection in
an SCT recipient who continued to develop new skin lesions even after months of
targeted therapy. The implications are that elderly patients who receive T-cell
depleted SCTs may be at prolonged risk for pathogens dependent on cellular
immunity, and the presentation of illness with such pathogens may be more severe
and widely disseminated than might otherwise be expected.
PMID- 22093774
TI - Molecular characterization of China rabies virus vaccine strain.
AB - BACKGROUND: Rabies virus (RV), the agent of rabies, can cause a severe
encephalomyelitis in several species of mammals, including humans. As a human
rabies vaccine strain employed in China, the genetic knowledge of the aG strain
has not been fully studied. The main goal of the present study is to amplify the
whole genome of aG strain, and genetic relationships between other vaccine
strains and wild strains were analyzed. RESULTS: The entire genome of human
rabies virus vaccine strain aG employed in China was sequenced; this is the
second rabies virus vaccine strain from China to be fully characterized. The
overall organization and the length of the genome were similar to that of other
lyssaviruses. The length of aG strain was 11925nt, comprising a leader sequence
of 58nt, nucleoprotein (N) gene of 1353nt, phosphoprotein (P) gene of 894 nt,
matrix protein (M) gene of 609nt, glycoprotein (G) gene of 1575nt, RNA-dependent
RNA polymerase (RdRp,L) gene of 6384nt, and a trailer region of 70 nt. There was
TGAAAAAAA (TGA7) consensus sequence in the end of each gene, except AGA7 at the
end of G gene. There was AACAYYYCT consensus start signal at the beginning of
each gene. CONCLUSIONS: In this report, we analyzed the full genome of China
human rabies vaccine strain aG. Our studies indicated that the genome of aG
retained the basic characteristics of RV. At gene level, N was the most conserved
among the five coding genes, indicating this gene is the most appropriate for
quantitative genotype definition. The phylogenetic analysis of the N indicated
the aG strain clustered most closely with Japanese and Russian rabies vaccine
strains, suggesting that they may share the same ancestor; also, the aG strain
did not share high homology with wild strains isolated from China, making it may
not be the best vaccine strain, more research is needed to elucidate the genetic
relationship among the RV circulating in China.
PMID- 22093775
TI - New treatment paradigm for prostate cancer: abarelix initiation therapy for
immediate testosterone suppression followed by a luteinizing hormone-releasing
hormone agonist.
AB - Study Type - Therapy (prospective cohort). Level of Evidence 2a. What's known on
the subject? and What does the study add? The sequential administration of a GnRH
antagonist followed by an LHRH agonist in the management of prostate cancer
patients has not been studied, but such a program would provide a more
physiologic method of achieving testosterone suppression and avoid the obligatory
testosterone surge and need for concomitant antiandrogens that accompany LHRH
agonist therapy. The current study which uses abarelix initiation therapy for 12
weeks followed by either leuprolide or goserelin demonstrates the ability to more
rapidly achieve testosterone suppression, avoid the obligatory LHRH induced
testosterone surge, avoid the necessity of antiandrogens, all of which were
accomplished safely, without inducing either additional or novel safety issues.
OBJECTIVE: * To demonstrate the safety and endocrinological and biochemical
efficacy of initiating treatment with the gonadotropin-releasing hormone (GnRH)
antagonist, abarelix, followed by administration of an luteinizing hormone
releasing hormone (LHRH) agonist in patients with advanced and metastatic
prostate cancer. PATIENTS AND METHODS: * A multicentre, open-label design study
was conducted at 22 centres in the US involving patients with: localized, locally
advanced or metastatic disease; with a rising prostate-specific antigen (PSA)
after definitive local treatment; patients undergoing neoadjuvant hormonal
therapy before local therapy (radical prostatectomy, radiation therapy or
cryosurgery); and patients in whom intermittent therapy was the planned
treatment. * All patients received abarelix for 12 weeks followed by an LHRH
agonist (either leuprolide or goserelin) for 8 weeks * The primary efficacy
endpoint was achievement and maintenance of castration defined as testosterone
<50 ng/dL from day 29 through to day 141 and whether abarelix initiation therapy
could eliminate the testosterone surge after two consecutive doses of LHRH
agonist therapy. * PSA, LH and follicle-stimulating hormone (FSH) levels were
measured and adverse events were monitored. RESULTS: * A total of 176 patients
were enrolled into the present study, the majority of whom had localized prostate
cancer (82%) and a PSA level <10 ng/mL (62%). * At the end of the abarelix
treatment period (day 85), 93.8% of patients achieved castrate levels; during the
first week of switch over to the LHRH agonist therapy (days 85-92) the rate was
86.5% and during the week after the second LHRH agonist injection (days 114-12)
it was 93.3%. * A small, transient increase in testosterone occurred during the
first injection of the LHRH agonist; mean (standard deviation [sd]) values
increased from 17 (17.8) ng/dL at day 85 to 37.3 (51.07) ng/dL at day 86. * Mean
(sd) PSA levels decreased from 20.5 (56.6) ng/mL at baseline to 3.7 (23.5) ng/mL
on day 85 and remained stable throughout the LHRH agonist treatment phase. *
Treatment-related adverse events occurred in 84% of patients overall; a similar
incidence was reported during the two treatment phases. CONCLUSIONS: * Abarelix
initiation therapy results in the desired effect of achieving rapid testosterone
suppression; testosterone surges after subsequent LHRH agonist therapy are
greatly abrogated or completely eliminated. * This treatment paradigm (abarelix
initiation followed by agonist maintenance) obviates the need for an
antiandrogen. * Abarelix was well tolerated and no clinically meaningful or novel
adverse events were observed during abarelix treatment or in the transition to
LHRH agonist maintenance therapy.
PMID- 22093776
TI - [Reflections on development of endocrinology and metabolism in China].
PMID- 22093777
TI - [Increased liver fat content triggers metabolic disorders].
PMID- 22093778
TI - [Histone H3 acetylation of tumor necrosis factor-alpha and cyclooxygenase-2 in
patients with type 2 diabetes].
AB - OBJECTIVE: To investigate the expression of tumor necrosis factor-alpha (TNF
alpha) and cyclooxygenase-2 (COX-2) mRNA and evaluate the status of histone H3
acetylation at TNF-alpha and COX-2 promoter in peripheral blood mononuclear cells
(PBMCs) from type 2 diabetics. METHODS: The PBMCs from 12 type 2 diabetics and 12
healthy controls were isolated by Ficoll-Hypaque density gradient centrifugation.
The differential expression of TNF-alpha and COX-2 mRNA was measured by real-time
PCR (polymerase chain reaction). Chromatin immunoprecipitation analysis was used
to detect the status of H3 acetylation at TNF-alpha and COX-2 promoter region.
RESULTS: TNF-alpha and COX-2 mRNA were overexpressed in PBMCs from Type 2
diabetics as compared with normal controls (2.28 +/- 0.09 fold and 2.78 +/- 0.26
fold).(P < 0.05). Compared with normal controls, H3 acetylation at the TNF-alpha
(1.54 +/- 0.43 vs 0.97 +/- 0.39, P = 0.0094) and COX-2 (1.20 +/- 0.58 vs 0.64 +/-
0.21, P = 0.0161) gene promoter region was elevated in PBMCs from Type 2 diabetic
patients. CONCLUSION: Increased H3 acetylation at TNF-alpha and COX-2 promoter in
PBMCs from type 2 diabetics may contribute to the pathogenesis of type 2 diabetes
through the elevated expressions of TNF-alpha and COX-2.
PMID- 22093779
TI - [Effects of early insulin therapy on sterol regulatory element binding protein 1
pathway and lipid accumulation in liver of type 2 diabetic rats].
AB - OBJECTIVE: To explore the effect of early insulin therapy on sterol regulatory
element binding protein 1 (SREBP1) pathway and lipid accumulation in liver of
type 2 diabetic rats (DM). METHODS: A high-fat diet plus a low-dose of
streptozotocin (STZ) was administered to the Sprague-Dawley (SD) rats to create a
type 2 diabetic animal model. Then the rats were divided into 3 groups: normal
control (NC), DM (untreated diabetic rats) and INS (a 3-week treatment of NPH
insulin initiated from day 3 of STZ injection). Insulin was delivered daily by a
3-week subcutaneous injection (6 - 8 U/day). Liver homogenate was prepared. The
protein levels of ER stress marker immunoglobulin binding protein (Bip), oxygen
regulated protein 150 (ORP150), insulin-induced gene 1 (Insig1), SREBP1 and
nuclear SREBP1 (nSREBP1) were assayed by Western blot. Adipose tissue mass was
measured. RESULTS: In the DM group, ER (endoplasmic reticulum) stress marker Bip
and ORP150 were up-regulated (0.67 +/- 0.02 vs 0.43 +/- 0.01 for Bip; 1.11 +/-
0.04 vs 1.83 +/- 0.03 for ORP150, P < 0.05 for both) and Insig1 decreased (0.25
+/- 0.02 vs 0.80 +/- 0.07, P < 0.05). And the expressions of SREBP1 and nSREBP1
were elevated (1.03 +/- 0.14 vs 0.41 +/- 0.01 for SREBP1; 3.63 +/- 0.77 vs 0.96
+/- 0.20 for nSREBP1, P < 0.05 for both) in comparison with the normal control
rats. In the INS group, all aforementioned changes became attenuated or reversed
(0.41 +/- 0.04 vs 0.67 +/- 0.02 for Bip; 1.83 +/- 0.03 vs 1.11 +/- 0.04 for
ORP150; 0.43 +/- 0.02 vs 0.25 +/- 0.02 for Insig1; 0.46 +/- 0.01 vs 1.03 +/- 0.14
for SREBP1; 1.65 +/- 0.18 vs 3.63 +/- 0.77 for nSREBP1, P < 0.05 for all).
Furthermore, adipose tissue mass increased (22.4 g +/- 3.6 g vs 12.0 g +/- 2.6 g,
P < 0.05). CONCLUSION: The early insulin therapy induces a fat redistribution
from liver to adipose tissue. The mechanism is probably through a reduction of ER
stress and a down-regulated pathway of SREBP1 in liver of diabetic rats.
PMID- 22093780
TI - [Combined utility of hemoglobin A1c and glycated albumin in diabetic screening].
AB - OBJECTIVE: To assess the validity of combined detection of hemoglobin A1c (HbA1c)
and glycated albumin (GA) in diabetic screening. METHODS: A total of 1480
subjects at our out-patient department from March 2007 to December 2009. Those
suspected of diabetes or at a high risk of diabetes were enrolled. The study
population included 677 males and 803 females with a mean age of 52.7 years. All
subjects received an oral glucose tolerance test (OGTT) after a 10-hour fasting.
Glycated albumin (GA) and hemoglobin A1c (HbA1c) were measured with liquid enzyme
method and high pressure liquid chromatography respectively. RESULTS: (1)
According to World Health Organization diabetes diagnosis criteria, there were
562 subjects with normal glucose tolerance (NGT), 411 subjects with impaired
glucose regulation (IGR) and 507 subjects with newly diagnosed diabetes mellitus
(DM). The level of HbA1c and GA had a rising tendency among NGT, IGR and DM
groups (P < 0.01). (2) Pearson correlation analysis demonstrated that HbA1c had a
positive association with GA (r = 0.75, P < 0.01). (3) Using OGTT as golden
standard of diabetic diagnosis, receiver operator characteristic (ROC) curve
indicated that HbA1c and GA were strong predictors of diabetes. The area under
curve (AUC) was 0.882 and 0.881 respectively with no significant difference (P >
0.05). (4) The sensitivity of combined use of HbA1c and GA at optimal cut-off
points of 6.1% and 17.1% was significantly higher than that of single use of
HbA1c or GA in diabetic screening (94.7% vs 81.1%, 88.4%, P < 0.01). CONCLUSION:
A combined detection of HbA1c and GA may improve the efficacy of diabetic
screening. The subject with HbA1c >= 6.1% or GA >= 17.1% is recommended to
undergo OGTT for confirming a diagnosis of diabetes.
PMID- 22093781
TI - [Clinicopathologic characteristics and prognosis in young Chinese women with
breast cancer].
AB - OBJECTIVE: To analyze the clinicopathologic characteristics and evaluate the
prognosis in young Chinese women with breast cancer. METHODS: A total of 1538
female patients with operable primary breast cancer (stage I-III) treated at our
hospital from December 1994 to December 2003 were analyzed retrospectively. Among
them, 1075 patients (<= 60 yrs) with the complete follow-up data were divided
into two groups according to age: young breast cancer group (<= 40 yrs, n = 208)
and control group (41 - 60 yrs, n = 867) to analyze the differences in their
clinicopathologic characteristics and evaluate the prognosis of both groups.
RESULTS: The patients with young breast cancer were more likely to have positive
lymph nodes (P = 0.016), a negative expression of ER (estrogen receptor) (P =
0.016) and a positive expression of HER2 (P = 0.001). The 5-year disease-free
survival (DFS) rates of young breast cancer group and control group were 73.3%
and 84.1% (P < 0.001) and the 5-year overall survival (OS) rates 83.5% and 89.1%
(P = 0.004) respectively. Moreover, the patients with young breast cancer had a
worse DFS than control group in patients with stage I-II disease but not in those
with stage III disease. And <= 40 years was an independent unfavorable prognostic
factor of DFS (HR = 1.78, 95%CI: 1.19 - 2.66, P = 0.005) and OS (HR = 1.71,
95%CI: 1.01 - 2.90, P = 0.046) in the patients with stage I-II disease.
CONCLUSION: Chinese women with young breast cancer have a worse prognosis,
particularly in those with stage I-II disease.
PMID- 22093782
TI - [Prevalence and risk factors of retinal arterial atherosclerosis in urban
subjects participating in routine physical examinations].
AB - OBJECTIVE: To determine the prevalence and risk factors of atherosclerotic
lesions in retinal arteries of an urban population undergoing routine physical
examinations. METHODS: Demographic and clinical information of subjects
participating in annual routine physical examinations at Peking Union Medical
Center from January to October of 2010 were collected and summarized. Univariate
and multivariate Logistic regression analyses were conducted to evaluate the
demographic and clinical factors associated with retinal arterial
atherosclerosis. RESULTS: Among a total of 17 886 non-diabetic adults evaluated
during the study period, retinal arterial atherosclerosis was diagnosed in 1721
(9.6%). There were predominantly grade 1 (88%) and grade 2 (11%) lesions.
Prevalence of retinal arterial atherosclerosis increased with age. And
traditional risk factors for cardiovascular diseases included overweight or
obesity, hypertension, dyslipidemia and elevated fasting serum glucose. In a
multivariate Logistic regression model, advanced age, male gender, obesity or
overweight, hypertension and dyslipidemia were independently associated with a
higher risk of retinal arterial atherosclerosis. Hypertension was prevalent in
subjects above 40 years old while overweight or obesity had a high prevalence in
all age groups. CONCLUSION: Retinal arterial atherosclerosis is common in this
urban population. And aging, overweight/obesity and hypertension are the dominant
risk factors. Routine physical examination is valuable for both the prevention
and an early diagnosis of this disease.
PMID- 22093783
TI - [Association of IL-8 gene polymorphisms with inflammatory bowel disease in
Chinese patients].
AB - OBJECTIVE: To investigate the association of interleukin 8 (IL-8) gene
polymorphisms with the risks of inflammatory bowel disease (IBD). METHODS: Single
nucleotide polymorphisms (SNPs) of IL-8 gene at -845 T/C, -738 T/A, -353 A/T,
251 T/A and +678 T/C were analyzed in 183 IBD patients. They included Crohn's
disease (CD, n = 41), ulcerative colitis (UC, n = 142) and healthy controls (n =
160). The methods of polymerase chain reaction-restriction fragment length
polymorphism (PCR-RFLP) and polymerase chain reaction-sequence specific primers
(PCR-SSP) were employed. RESULTS: No association was observed between any of
these five SNPs in IL-8 gene with the occurrence of IBD. A specific haplotype AAT
(-353 A/T, -251 T/A & +678 T/C) was over-represented in UC cases when compared
with controls (31.0% vs 23.7%, P = 0.046). But the distributions of this
haplotype did not show significant difference between CD cases and controls.
CONCLUSION: Our data support a significant but modest association between the AAT
haplotype of IL-8 gene and UC (OR = 1.441, 95%CI 1.007 - 2.063).
PMID- 22093784
TI - [Influence of minor back trauma on surgical outcomes in patients with thoracic
ossification of ligamentum flavum].
AB - OBJECTIVE: To retrospectively explore the influences of minor back trauma on
surgical outcomes in patients with thoracic ossification of ligamentum flavum
(TOLF) and preliminarily detect its possible causes. METHODS: A total of 94 TOLF
patients were divided into two groups according to the absence or presence of
minor back trauma: MT (minor trauma, n = 16) and NT (no trauma, n = 78). They
were compared in terms of gender, age, duration of symptoms, levels of
involvement, numbers of involved segments, ratio of intramedullary signal changes
(IMSC), pre- & post-operative JOA (Japanese Orthopedic Association) score,
recovery rate (RR) at the final follow-up. Multiple regression analysis was
employed to elucidate the causes related with the surgical outcomes. The MT group
was further divided into two subgroups according to the intervals between trauma
and surgery to clarify the influences of surgical timing on the efficacies.
RESULTS: The JOA scores were 4.0 +/- 1.4 and 8.4 +/- 1.7 respectively in MT and
NT groups at the final follow-up. The neurological status of patients improved in
both groups (MT: P = 0.009, NT: P = 0.000). The patients were younger in MT
groups (50 +/- 11 years) than those in NT groups (58 +/- 8 years) (P = 0.046).
The ratio of IMSC was higher in MT groups (75.0%) than that in NT groups (25.6%)
(P = 0.000). The pre- & post-operative JOA scores were lower in MT groups than
those in NT groups (both P = 0.000). Multiple regression analysis revealed that
the postoperative JOA score at the final follow-up was positively related with
the preoperative JOA score (r = 0.60, P = 0.000) and negatively with trauma and
IMSC (r = -1.82 and r = -1.87, P = 0.000) while the final postoperative RR were
negatively related with trauma and IMSC (r = -26.26 and r = -33.70, P = 0.000).
The surgical timing after trauma did not influence the efficacies (P = 0.147).
CONCLUSION: The TOLF patients with minor back trauma have a worse post-operative
recovery. A minor trauma might be a risk factor of adverse surgical outcomes.
PMID- 22093785
TI - [Short-term efficacy comparison of laparoscopic-assisted versus open distal
gastrectomy].
AB - OBJECTIVE: To compare the short-term efficacy of laparoscopic-assisted verus open
distal gastrectomy for gastric cancer. METHODS: The data of 29 patients with
distal gastric cancer from January 2008 to October 2010 were analyzed. RESULTS:
Twenty-eight underwent laparoscopic-assisted distal gastrectomy while 1 was
switched to open surgery. The operative duration was (253.1 +/- 32.6) min and the
blood loss volume (268.5 +/- 101.4) ml. The postoperative recovery time of
gastrointestinal peristalsis was (2.1 +/- 0.6) d. The length of incision was (5.6
+/- 1.6) cm, the hospital stay duration (10.6 +/- 2.4) d and the number of
dissected lymph nodes (34.5 +/- 5.2). CONCLUSION: Laparoscopic-assisted distal
gastrectomy for gastric cancer is both safe and feasible. And it offers many
advantages of minimal invasion, less pain and shorter hospital stay.
PMID- 22093786
TI - [Clinical comparative study of neoadjuvant chemotherapy outcome in locally
advanced breast cancer: docetaxel versus paclitaxel plus pirarubicin
hydrochloride and cyclophosphamide].
AB - OBJECTIVE: To compare the efficacy and toxicity of neoadjuvant chemotherapy of
docetaxel with paclitaxel plus pirarubicin hydrochloride (THP) and
cyclophosphamide (CTX) in locally advanced breast cancer (LABC). METHODS: A total
of 97 LABC cases were randomly divided into 2 groups: docetaxel group (n = 49,
taxotere plus THP & CTX) and paclitaxel group (n = 48, paclitaxel plus THP &
CTX). Neoadjuvant chemotherapy had four cycles of 21 days each. RESULTS: The
clinical and pathological complete remission rates of docetaxel group was 28.6%
and 26.5% respectively. They were significantly higher than those of paclitaxel
group (10.4% and 8.3%). Furthermore the pathological negative rate of regional
lymph node in docetaxel group was also significantly higher than that of
paclitaxel group (40.6% vs. 12.9%). However, grade III-IV blood system toxic
reaction was found in 71.4% cases, grade II-IV liver dysfunction in 53.1% cases
and edema in 24.5% cases among docetaxel group. They were higher than those among
paclitaxel group (46.9%, 27.1% & 4.2%). CONCLUSION: Compared with paclitaxel, the
combined regimen of docetaxel plus THP and CTX offers better outcomes for locally
advanced breast cancer.
PMID- 22093788
TI - [An absence of donor TH17 differentiation ameliorates dermal tissue damage].
AB - OBJECTIVE: To explore the functions of TH17 cell in cutaneous graft-versus-host
disease (GVHD). METHODS: A model of acute GVHD (aGVHD) was established with a
major histocompatibility complex class I/II-disparate allogeneic bone marrow
transplantation (BMT). Bone marrow monocytes and splenic T cells from donor
C57/BL6 were enriched. The recipient BABL mice were irradiated ((60)Co source)
with 7.5 Gy total body irradiation (TBI) and injected with 5 * 10(6) marrow
monocytes and 5 * 10(5) T cells. The experimental mice were divided into 3
groups: lethal total body irradiation (TBI); allogeneic bone marrow
transplantation (BMT) and recipients of halofuginone (HF). The symptoms of aGVHD
were observed daily and detailed histopathologic analyses of recipient skin were
performed at Day 6 post-transplantation. And Tri-color flow cytometry (FCM) was
performed at Day 6 post-transplantation to measure the levels of interleukin (IL)
17, interferon (IFN)-gamma and TH1/TH17. RESULTS: Clinical GVHD symptoms were
observed in recipient mice. The administration of HF to lethally irradiated
recipients led to very modest GVHD-induced cutaneous changes manifested
predominantly by fur loss. However, the experimental animals receiving only
allogeneic BMT showed significant fur loss and pathologic skin conditions.
Consistent with the clinical evaluations, the histopathologic results
demonstrated significantly increased pathologic cutaneous lesions in recipients
undergoing only BMT. The median ratios of TH1/TH17 cells were 17.57 and 5.31 in
the HF and BMT groups respectively. The difference had statistical significance
(P < 0.05). The serum levels of IL-17 were(1.47 +/- 0.18) and (2.81 +/- 0.19)
pg/ml in the TBI and BMT groups respectively (P < 0.05). But IL-17 could not be
detected in the HF group. The serum levels of IFN-gamma were (3.86 +/- 0.32),
(42.97 +/- 0.42) and (9.89 +/- 0.51) pg/ml in the TBI, BMT and HF groups
respectively. The inter-group differences had statistical significance (P <
0.05). CONCLUSION: An absence of TH17 cell may alleviate the cutaneous GVHD but
exacerbate the systemic GVHD.
PMID- 22093787
TI - [Laparoendoscopic single-site retroperitoneal adrenalectomy:a report of 7 cases].
AB - OBJECTIVE: To summarize our preliminary clinical experiences of laparoendoscopic
single-site (LESS) retroperitoneal adrenalectomy. METHODS: Since October 2009 to
January 2011, the investigators have performed LESS retroperitoneal adrenalectomy
for 7 patients with adrenal tumors. A waist axillary midline incision of around 2
- 3 cm was made and a single incision for inserting a homemade port. Cambridge
endo flexible laparoscopic instrument and other common laparoscopic accessories
were used during the surgical procedures. RESULTS: LESS retroperitoneal
adrenalectomies were technically successful in 6 cases with no extra skin
incisions, no conversion into an open procedure or standard laparoscopy.
Conversion to standard laparoscopy (3 ports) was inevitable in one case. The
reason for conversion was due to tumor size (6 cm). No perioperative complication
occurred. The mean operative duration was 139 min (95 - 200 min), the mean volume
of blood loss 96 ml (30 - 350 ml) and the mean hospital stay 5 d (3 - 8 d).
CONCLUSION: LESS retroperitoneal adrenalectomy is technically feasible and safe
for the treatment of small adrenal tumors.
PMID- 22093789
TI - [Transplantation of marrow mesenchymal stem cells transfected with vascular
endothelial growth factor gene for the treatment of pulmonary hypertension in
rats].
AB - OBJECTIVE: To explore the therapeutic effect and the mechanism of marrow
mesenchymal stem cells (MMSCs) transfected with vascular endothelial growth
factor (VEGF) gene in the treatment of pulmonary hypertension in rats. METHODS:
MMSCs from the bone marrow of Sprague-Dawley rats were isolated, cultured and
propagated in vitro. pIRES2-EGFP-VEGF165 was transfected into MMSC. The healthy
male SD rats were divided randomly into 4 groups: normal control group, pulmonary
hypertension model group, MMSCs transplantation group and transfer gene
transplantation group. A single subcutaneous monocrotaline (50 mg/kg) was
injected to induce the model of pulmonary hypertension. The normal control group
received a single subcutaneous dose of L-DMEM (low glucose Dulbecco's modified
Eagle's medium). All four groups of rats were fed similarly. At Day 21 post
modeling, 5 * 10(6) MMSCs in l ml L-DMEM were injected into the MMSC group. 5 *
10(5) MMSC transfected by pIRES2-EGFP-VEGF165 were injected into the gene
transplantation group. A same volume L-DMEM solution was also injected into the
pulmonary hypertension model group and normal control group. The parameters of
right ventricular systolic pressure (RVSP), right ventricular hypertrophy index,
blood gas analysis and microstructure as well as pulmonary microvascular changes
were observed after 30 days. RESULTS: At Day 30 post-transplantation of MMSCs,
the outcomes were as follows: RVSP was (30.2 +/- 2.1) and (29.2 +/- 1.1) mm Hg (1
mm Hg = 0.133 kPa) in the MMSCs transplantation and gene transplantation groups
respectively. The right ventricular hypertrophy indices were (37.9 +/- 3.2)% and
(27.2 +/- 3.4)% respectively. The media thickness of pulmonary artery (MT) was
(21.3 +/- 3.4) and (14.3 +/- 2.8) um respectively. The ratios of vascular area to
total arterial area (V/T) were (39.3 +/- 4.3)% and (43.0 +/- 1.5)% respectively.
As compare with the pulmonary hypertension model group, the above parameters were
of statistical significances (P < 0.01). A comparison of right ventricle
hypertrophy index, MT and V/T was of statistical significance between MMSC and
gene transplantation groups (P < 0.05). The blood gas analysis of the MMSCs
transplantation and gene transplantation groups were better than the pulmonary
hypertension mode group. Ultramicrostructure showed that neovascularization and
small pulmonary arterial repair appeared in two transplantation groups.
CONCLUSION: MMSCs transfected by pIRES2-EGFP-VEGF165 transplantation may improve
and reverse the MCT-induced progress of pulmonary hypertension in rats. And it is
better than the MMSC transplantation. The potential mechanism is through arterial
repair and neovascularization.
PMID- 22093790
TI - [Establishment and characterization of serial subpopulations with highly
metastatic potential via different metastatic routes].
AB - OBJECTIVE: To establish the serial cell lines, derived from the same parental
gallbladder cancer cell line GBC-SD, with highly metastatic potential via
different routes and characterize their biological behaviors to understand the
different metastasis mechanisms via lymph and blood. METHODS: The spleen-liver
metastasis model and footpad-inguinal lymph node metastasis model were
established. GBC-SD was injected into spleen or footpad of nude mice. Then the
highly metastasized subpopulations via lymph and blood were isolated. Their
differences in morphology, genetic background, proliferation, migration, invasion
and adhesion were revealed by comparing the lymphatic-disseminating and
hematogenous-disseminating subpopulations with parental cells. RESULTS: The
lymphatic-disseminating and hematogenous-disseminating subpopulations were
successfully isolated and designated as GBC-SD/HL and GBC-SD/M3 respectively.
They demonstrated the identical genetic background with GBC-SD. In comparison
with parental cells, the hematogenous-disseminating subpopulation was
morphologically characterized with epithelial-mesenchymal transition (EMT) while
it was not shown in the lymphatic-disseminating subpopulation. Furthermore, the
hematogenous-disseminating subpopulation showed the strongest migrating capacity
but the lymphatic-disseminating subpopulation demonstrated a stronger invasive
and adhesive ability. CONCLUSION: The whole parental cell GBC-SD, hematogenous
metastasized subpopulation GBC-SD/M3 and lymphatic-disseminating subpopulation
GBC-SD/HL is an ideal tool for metastatic mechanism study of gallbladder cancer.
EMT plays an important role in hematogenous metastasis while lymphatic metastasis
relies more on enhanced invasiveness and adhesion. It may be a target for
interfering the lymphatic metastasis of gallbladder cancer.
PMID- 22093791
TI - [Effects of simvastatin plus all-trans retinoic acid on WT1/hDMP1 gene expression
profiles of human promyelocytic leukemia cell line NB4].
AB - OBJECTIVE: To investigate the effects of simvastatin (SV) plus all-trans retinoic
acid (ATRA) on the proliferation, differentiation, apoptosis and WT1/hDMP1 gene
expression profiles of human promyelocytic leukemia cell line NB4. METHODS: The
NB4 cell was incubated with simvastatin and ATRA alone or in combination. And the
NB4 cell without any treatment was adopted as a normal control. The cells of
different groups were collected at 24, 48 and 72 h post-incubation. Their
morphological changes were observed after Wright staining. The method of MTT was
employed to assay the growth inhibition rate and flow cytometry was used to
detect the early-stage ratios of apoptosis and cell necrosis. Real-time
quantitative reverse transcriptase-polymerase chain reaction (RT-PCR) was used to
detect the WT1/hDMP1 gene expression levels. RESULTS: The cell inhibition rates
increased gradually (F = 7.15, P = 0.000) at 15, 10 and 5 umol/L SV respectively.
And so did the expression levels of CD11b (F = 3.41, P = 0.014) and Annexin-V (F
= 43.38, P = 0.000). However the expression levels of WT1 decreased gradually (F
= 5.35, P = 0.001) reversely with the elevated levels of hDMP1 (F = 22.61, P =
0.000). Furthermore the NB4 cell exhibited the most significant changes at 15
umol/L SV. After a 72-hour incubation, the expression levels of CD11b (89.46% +/-
9.13%)and hDMP1 (626.9 +/- 56.9) in NB4 cells at 15 umol/L SV plus 0.5 umol/L
ATRA were significantly higher than those with ATRA(71.27% +/- 7.27%, P = 0.000
and 421.8 +/- 38.3, P = 0.003 in each) and SV alone(62.41% +/- 6.37%, P = 0.003
and 241.4 +/- 21.9, P = 0.003 in each). A combination of 15 umol/L SV with 0.5
umol/L ATRA displayed obvious interactions with the expressions of CD11b and
hDMP1 (F = 4.09, P = 0.025 and F = 29.58, P = 0.000 in each). And there was no
significant interaction for cell inhibition rates and Annexin-V expression.
CONCLUSION: Simvastatin in vitro inhibits the proliferation of NB4 cell, induces
its differentiation and promotes its apoptosis. And the lowered expression of WT1
has a dose-dependent correlation with the elevated expression of hDMP1. It
indicates that simvastatin has the synergistic in vitro anti-promyelocytic
potency.
PMID- 22093792
TI - [Pathogenic mechanism of CD8(+)CD28(-)T cell and the effect of dexamethasone in
asthmatic mouse].
AB - OBJECTIVE: To explore whether or not CD8(+)CD28(-)T cell play a pathogenic role
in asthma and detect the effects of dexamethasone (DXM). METHODS: A total of 30
mice were randomly divided into 3 groups: asthmatic group, DXM group and control
group (n = 10 each). The asthmatic and DXM groups were sensitized twice and
inhaled ovalbumin. The DXM Group received an intraperitoneal injection of DXM
1mg/kg before inhaling ovalbumin. After successful modeling, 3 mice were selected
randomly from each group to measure the airway responsiveness. Also a
bronchoalveolar lavage cytological study was performed and lung tissue sections
were prepared for histopathologic examination to evaluate the airway
inflammation. The content of IgE in bronchoalveolar lavage fluid (BALF) was
detected with a murine IgE ELISA kit. And the fractions of CD8(+)CD28(-)T cell of
peripheral blood and BALF were tested by flow cytometry to analyze the
correlation between IgE, eosinophils (EOS) of BALF and CD8(+)CD28(-)T cell of
blood. RESULTS: The airway hyperresponsiveness in asthmatic and DXM groups were
significantly higher than that in the control group. The number of total cells
and EOS of BALF in the asthmatic group [(5.56 +/- 4.06) * 10(2)/L; (3.29 +/-
2.23) * 10(2)/L] were significantly higher than that in control group [(0.91 +/-
0.65) * 10(2)/L, P = 0.003; (0.43 +/- 0.37) * 10(2)/L, P = 0.001] and DXM group
[(2.59 +/- 1.69) * 10(2)/L, P = 0.044; (1.11 +/- 0.73) * 10(2)/L, P = 0.008];
while the DXM group was insignificantly higher than the control group (P = 0.234,
P = 0.363). There were significant differences in the contents of IgE of BALF for
the asthmatic, DXM and control groups [(23.85 +/- 5.97) g/L, (13.15 +/- 2.22)
g/L, (6.54 +/- 1.03) g/L, F = 38.558, P = 0.000]. The percentages of CD8(+)CD28(
)T cell in peripheral blood in asthmatic and DXM groups [(18.68 +/- 4.12)% and
(13.43 +/- 2.91)%] were significantly higher than those in control mice [(8.43 +/
4.60)%, both P < 0.05]. The percentages of CD8(+)CD28(-)T cell of BALF in
asthmatic group and DXM group [(1.25 +/- 0.40)% and (0.66 +/- 0.49)%] were also
significantly higher than those in control mice [(0.21 +/- 0.19)%, both P <
0.05]. The percentages of CD8(+)CD28(-)T cell of blood and BALF in the DXM mice
were significantly lower than those in asthmatic group. The correlations between
IgE (r = 0.864, P = 0.012), EOS (r = 0.804, P = 0.029) and CD8(+)CD28(-)T cell
were significant. CONCLUSION: The fraction of CD8(+)CD28(-)T cell is closely
correlated with the inflammation of asthmatic airway. The airway
hyperresponsiveness and inflammation in asthmatic mice may be relieved by DXM
through its effect of inhibiting the expression of CD8(+)CD28(-) T cell.
PMID- 22093793
TI - [Protection of penehyclidine hydrochloride on renal tissue injury induced by limb
ischemia/reperfusion].
AB - OBJECTIVE: To evaluate the protection of penehyclidine hydrochloric
postconditioning on HIF-1alpha (hypoxia-inducible factor-1alpha) in renal tissue
injury induced by lower limb ischemia/reperfusion (I/R). METHODS: A total of 72
adult male Wistar rats weighing 230 - 250 g were randomly divided into 3 groups:
control (group C), limb ischemia-reperfusion (group R/I) and penehyclidine
hydrochloride postconditioning (group P). The animals were anesthetized by
inhaling 2% isoflurane and blood flow of bilateral lower limbs was blocked with
rubber bands for 3 h in groups P and R/I. In group P, penehyclidine hydrochloride
0.15 mg/kg was injected via caudal vein at 3 min pre-reperfusion. After
sacrificing, their kidneys were removed at 3 h of ischemia and 1, 3, 6 h of
reperfusion respectively. The blood urea nitrogen (BUN) and creatinine (Cr) were
detected by colorimetric method, plasma tumor necrosis factor-alpha (TNF-alpha)
by ELISA (enzyme-linked immunosorbent assay) and HIF-1alpha of renal tissue by
immunohistochemistry. Renal pathological changes were observed under light
microscope. RESULTS: Compared with group C, the serum levels of BUN and Cr
increased while TNF-alpha and HIF-1alpha were up-regulated in groups I/R and P (P
< 0.05). As compared with group I/R, the serum levels of BUN, Cr and MDA
decreased while TNF-alpha and HIF-1alpha were down-regulated in group P. [at T2:
(15.10 +/- 1.88) mmol/L vs (19.46 +/- 2.76) mmol/L, (113 +/- 10) umol/L vs (143
+/- 11) umol/L, (13.8 +/- 1.7) nmol/g vs (15.5 +/- 1.8) nmol/g, (53.1 +/- 3.1)
ng/L vs (53.9 +/- 4.8) ng/L, 0.298 +/- 0.015 vs 0.471 +/- 0.032, all P < 0.05].
CONCLUSION: Penehyclidine hydrochloride can down-regulate the expression of HIF
1alpha and attenuate the renal injury induced by lower limb I/R. And the
mechanisms may be through inhibiting the inflammatory reactions, reducing the
release of oxygen free radicals and improving the conditions of hypoxia and
ischemia.
PMID- 22093794
TI - Automatic model-based roentgen stereophotogrammetric analysis (RSA) of total knee
prostheses.
AB - Conventional radiography is insensitive for early and accurate estimation of the
mal-alignment and wear of knee prostheses. The two-staged (rough and fine)
registration of the model-based RSA technique has recently been developed to in
vivo estimate the prosthetic pose (i.e, location and orientation). In the
literature, rough registration often uses template match or manual adjustment of
the roentgen images. Additionally, possible error induced by the nonorthogonality
of taking two roentgen images neither examined nor calibrated prior to fine
registration. This study developed two RSA methods for automate the estimation of
the prosthetic pose and decrease the nonorthogonality-induced error. The
predicted results were validated by both simulative and experimental tests and
compared with reported findings in the literature. The outcome revealed that the
feature-recognized method automates pose estimation and significantly increases
the execution efficiency up to about 50 times in comparison with the literature
counterparts. Although the nonorthogonal images resulted in undesirable errors,
the outline-optimized method can effectively compensate for the induced errors
prior to fine registration. The superiority in automation, efficiency, and
accuracy demonstrated the clinical practicability of the two proposed methods
especially for the numerous fluoroscopic images of dynamic motion.
PMID- 22093795
TI - Effects of tendon and muscle belly dissection on muscular force transmission
following tendon transfer in the rat.
AB - The aim of the present study was to quantify to what extent the scar tissue
formation following the transfer of flexor carpi ulnaris (FCU) to the distal
tendon of extensor carpi radialis (ECR) affects the force transmission from
transferred FCU in the rat. Five weeks after recovery from surgery (tendon
transfer group) and in a control group, isometric length-force characteristics of
FCU were assessed for progressive stages of dissection: (i) with minimally
disrupted connective tissues, (ii) after full dissection of FCU distal tendon
exclusively, and (iii) after additional partial dissection of FCU muscle belly.
Total and passive length-force characteristics of transferred and control FCU
changed significantly by progressive stages of dissection. In both groups, tendon
dissection decreased passive FCU force exerted at the distal tendon, as well as
the slope of the length-force curve. However, force and slope changes were more
pronounced for transferred FCU compared to controls. No additional changes
occurred after muscle belly dissection. In contrast, total force increased in
transferred FCU following both tendon and muscle belly dissection at all lengths
studied, while dissection decreased total force of control FCU. In addition,
after tendon and muscle belly dissection, we found decreased muscle belly lengths
at equal muscle-tendon complex lengths of transferred FCU. We conclude that scar
tissue limits the force transmission from transferred FCU muscle via the tendon
of insertion to the skeleton, but that some myofascial connectivity of the muscle
should be classified as physiological.
PMID- 22093796
TI - [Clopidogrel induced thrombotic thrombocytopenic purpura].
AB - INTRODUCTION: Thrombotic thrombocytopenic purpura (TTP) is a life-threatening
disorder. Drug-induced TTP is uncommon and we report a TTP associated with the
use of clopidogrel. CASE REPORT: We report a 50-year-old man who presented with
acute myocardial infarction and received clopidogrel therapy. He developed acute
TTP ten days after clopidogrel onset. Imputability of the drug was demonstrated
during a reintroduction test. Deficiency of ADAMTS 13 was confirmed and
autoantibodies against ADAMTS 13 were detected. Complete remission was obtained
after 24 plasma exchange sessions and adjunction of corticosteroids. CONCLUSION:
Drug-induced TTP are probably immunologic, as was demonstrated in our patient.
Clinicians should be aware of this possible uncommon adverse effect of
clopidogrel because prompt therapy is imperative for life saving.
PMID- 22093797
TI - [Emotion perception in neurodegenerative disorders].
PMID- 22093798
TI - [Central nervous system involvement in systemic sclerosis].
AB - Systemic sclerosis is a connective disease usually known to spare the central
nervous system. This has been much debated by recent imaging studies. We report a
56-year-old woman followed-up for systemic sclerosis since 2005. Four years
later, she presented with cerebellar and pyramidal syndrome. Magnetic resonance
imaging showed signs of cerebral vasculitis. The patient was treated by
corticosteroids and cyclophosphamide pulses followed by azathioprine for
maintenance therapy. Clinical and radiological outcomes were favourable. In
patients with systemic sclerosis and neurological symptoms, abnormalities in the
cerebral magnetic resonance imaging may, in the absence of another obvious
etiology, indicate a central nervous system involvement associated with this
systemic disorder.
PMID- 22093800
TI - Weaponry, color, and contest success in the jumping spider Lyssomanes viridis.
AB - Weaponry and color badges are commonly theorized to function as visual signals of
aggressiveness or fighting ability. However, few studies have supported a
signaling function of weaponry, and the role of color in invertebrate competitive
interactions remains virtually unexplored. Jumping spiders (Salticidae) make
excellent invertebrate models for studying weaponry and color because males of
many species are colorful and possess exaggerated chelicerae, which are used as
weapons in escalated contests. To determine whether color or weaponry might
function as visual signals in male-male competitions, we investigated
relationships between contest success, cheliceral length, and red coloration in
Lyssomanes viridis. Males having longer chelicerae than their opponents were
significantly more likely to win (p=0.0008). Males who won, despite being smaller
than their opponents, had significantly less red chelicerae than their opponents
(p=0.01). Male and female cheliceral length, as well as foreleg length,
correlated tightly with body size. Cheliceral and foreleg length showed
significantly stronger positive allometry in males than in females. We conclude
that male chelicerae and forelegs are under strong positive selection for their
use in physical fights and/or as visual signals of fighting ability.
PMID- 22093799
TI - Evolution and connectivity in the world-wide migration system of the mallard:
inferences from mitochondrial DNA.
AB - BACKGROUND: Main waterfowl migration systems are well understood through ringing
activities. However, in mallards (Anas platyrhynchos) ringing studies suggest
deviations from general migratory trends and traditions in waterfowl.
Furthermore, surprisingly little is known about the population genetic structure
of mallards, and studying it may yield insight into the spread of diseases such
as Avian Influenza, and in management and conservation of wetlands. The study of
evolution of genetic diversity and subsequent partitioning thereof during the
last glaciation adds to ongoing discussions on the general evolution of waterfowl
populations and flyway evolution. Hypothesised mallard flyways are tested
explicitly by analysing mitochondrial mallard DNA from the whole northern
hemisphere. RESULTS: Phylogenetic analyses confirm two mitochondrial mallard
clades. Genetic differentiation within Eurasia and North-America is low, on a
continental scale, but large differences occur between these two land masses
(F(ST) = 0.51). Half the genetic variance lies within sampling locations, and a
negligible portion between currently recognised waterfowl flyways, within Eurasia
and North-America. Analysis of molecular variance (AMOVA) at continent scale,
incorporating sampling localities as smallest units, also shows the absence of
population structure on the flyway level. Finally, demographic modelling by
coalescence simulation proposes a split between Eurasia and North-America 43,000
to 74,000 years ago and strong population growth (~100fold) since then and little
migration (not statistically different from zero). CONCLUSIONS: Based on this
first complete assessment of the mallard's world-wide population genetic
structure we confirm that no more than two mtDNA clades exist. Clade A is
characteristic for Eurasia, and clade B for North-America although some
representatives of clade A are also found in North-America. We explain this
pattern by evaluating competing hypotheses and conclude that a complex mix of
historical, recent and anthropogenic factors shaped the current mallard
populations. We refute population classification based on flyways proposed by
ornithologists and managers, because they seem to have little biological meaning.
Our results have implications for wetland management and conservation, with
special regard to the release of farmed mallards for hunting, as well as for the
possible transmission of Avian Influenza by mallards due to migration.
PMID- 22093801
TI - Delayed fetal hemoglobin switching in subjects with KLF1 gene mutation.
AB - Variations at the KLF1 gene have been associated with a series of human erythroid
phenotypes including the In-(Lu) phenotype, hereditary persistence of fetal
hemoglobin, congenital dyserythropoietic anemia, borderline HbA(2) and increased
red blood cell protoporphyrin. Natural mutations have shown that KLF1 regulates
gamma globin gene expression and its role in the switching from fetal to adult
globin expression has been suggested by experimental studies. In this paper we
report that subjects with S270X KLF1 mutations show a decrease of HbF levels with
increasing age, supporting in vivo the role of KLF1 in hemoglobin switching in
humans.
PMID- 22093802
TI - Successful serology-based intervention to increase protection against vaccine
preventable diseases in liver-transplanted children: a 19-yr review of the Swiss
national reference center.
AB - As children referred for OLT in Switzerland were not vaccinated optimally, new
guidelines were developed and recommended to base catch-up immunization on serum
antibody titers against vaccine-preventable diseases, before and after OLT. We
measure the results of this serology-based intervention by comparing vaccine
coverage and antibody titers in the pre- (1990-2002, P1) and post-intervention
(2003-2008, P2) cohorts in a quality control project. Forty-four P1 and 30 P2
children were evaluated. At pre-OLT visit, D, T, SPn, and MMR serologies were
checked more frequently in P2 than P1 (p < 0.05). More P2 children were up-to
date for DTaP and MMR (p < 0.05) or had received >=1 dose of HBV, HAV, SPn, and
VZV vaccines (p < 0.05). One yr post-OLT, DT, SPn, MMR, and VZV serologies were
more frequently checked (p < 0.05), and antibody titers were higher for DT and
HAV (p < 0.05) in P2. Gender, age, or diagnosis did not explain these
differences. Among P2 patients, pre- and post-OLT titers for D, T, Hib, HBV,
SPn14, and SPn19 were correlated (p < 0.05 for all). Protection against vaccine
preventable diseases of high-risk children like OLT patients can be significantly
improved by serology-based intervention for vaccine-preventable diseases.
PMID- 22093803
TI - Scaling and structure of dicotyledonous leaf venation networks.
AB - There have been numerous attempts to derive general models for the structure and
function of resource delivery networks in biology. Such theories typically
predict the quantitative structure of vascular networks across scales. For
example, fractal branching models of plant structure predict that the network
dimensions within plant stems or leaves should be scale-free. However, very few
empirical examples of such networks are available with which to evaluate such
hypotheses. Here, we apply recently developed leaf network extraction software to
a global leaf dataset. We find that leaf networks are neither entirely scale-free
nor governed entirely by a characteristic scale. Indeed, we find many network
properties, such as vein length distributions, which are governed by
characteristic scales, and other network properties, notably vein diameter
distributions, which are typified by power-law behaviour. Our findings suggest
that theories of network structure will remain incomplete until they address the
multiple constraints on network architecture.
PMID- 22093804
TI - Seasonal influenza vaccination knowledge, risk perception, health beliefs and
vaccination behaviours of nurses.
AB - The relationship between knowledge, risk perceptions, health belief towards
seasonal influenza and vaccination and the vaccination behaviours of nurses was
explored. Qualified nurses attending continuing professional education courses at
a large London university between 18 April and 18 October 2010 were surveyed
(522/672; response rate 77.7%). Of these, 82.6% worked in hospitals; 37.0%
reported receiving seasonal influenza vaccination in the previous season and
44.9% reported never being vaccinated during the last 5 years. All respondents
were categorized using two-step cluster analyses into never, occasionally, and
continuously vaccinated groups. Nurses vaccinated the season before had higher
scores of knowledge and risk perception compared to the unvaccinated (P<0.001).
Nurses never vaccinated had the lowest scores of knowledge and risk perception
compared to other groups (P<0.001). Nurses' seasonal influenza vaccination
behaviours are complex. Knowledge and risk perception predict uptake of
vaccination in nurses.
PMID- 22093805
TI - [Focus on beta-blockers for vascular specialists in 2012].
AB - Since they were launched on the market in 1964, cardiovascular indications for
beta-blockers have been validated and accepted worldwide. Numerous studies and
meta-analysis have confirmed their benefits. They reduce mortality in post
infarction and acute coronary syndrome populations and also in people with stable
coronary heart disease. Moreover, heart failure with systolic left ventricular
dysfunction is a major indication for this therapeutic class, providing a 30%
decrease in mortality. In patients with permanent atrial fibrillation, beta
blockers are recommended for rate control. In hypertension patients, first-line
drug treatment with beta-blockers is currently discussed. Indeed, several studies
have shown that patients randomized in the beta-blocker arms experienced more
coronary heart and cerebrovascular diseases than comparators. Their lesser effect
on central blood pressure decrease could partially explain those results.
Nevertheless, beta-blockers are still considered as first-line drugs for
hypertension in the French and European guidelines. Long-term comparative studies
focusing on central blood pressure are needed. Concerning the other indications
for beta-blockers in vascular diseases, their use perioperatively to reduce
surgical cardiovascular risk raised much hope, but the most recent results are
disappointed and even suggest possible higher mortality. Finally, except for
patients with critical ischemia of the lower limbs, presence of peripheral artery
disease should probably be considered as a condition favoring their prescription.
PMID- 22093806
TI - Chronic insomnia, quality-of-life, and utility scores: comparison with good
sleepers in a cross-sectional international survey.
AB - BACKGROUND: Chronic insomnia has a recognized impact on health-related quality-of
life (HRQoL) but data on utility scores across countries are lacking. The
objective of the present study was to assess health related quality of life
(HRQoL) and utility scores in individuals from three different countries (USA,
France, and Japan), comparing sufferers of chronic insomnia to good sleepers.
METHODS: A cross-sectional survey (SLEEPI-i) of 4067 persons in the US (n=1298;
478 good sleepers and 820 patients with insomnia), France (n=1858; 998 good
sleepers and 860 patients with insomnia) and Japan (n=911; 506 good sleepers and
405 patients with insomnia). Enrollment and data collection using consumer panels
were web-based in the US and France, and gathered via a postal survey in Japan.
People with chronic insomnia (>6 months) were selected based on Insomnia Severity
Index scores (ISI). Severity of insomnia was assessed using the ISI score and
HRQoL was assessed using the self-administered Short-Form SF-36 Health Survey.
Utility scores were derived using the algorithm developed by Brazier et al.
Multivariate analyses were used to adjust for potential confounding factors.
RESULTS: In all countries, people with chronic insomnia (40% treated) reported
lower SF-36 scores in each of eight domains compared with good sleepers
(P<.0001). Chronic insomnia was associated with significantly lower utility
scores compared with good sleepers (mean scores 0.63 versus 0.72 in the US, 0.57
versus 0.67 in France, and 0.67 versus 0.77 in Japan, P<.0001). CONCLUSIONS: This
survey suggests that chronic insomnia is associated with significant impairment
of HRQoL and decreased utilities across the different geographical regions
studied.
PMID- 22093807
TI - A pro-inflammatory role for A20 and ABIN family proteins in human fibroblast-like
synoviocytes in rheumatoid arthritis.
AB - Circuit of chronic inflammation in the joints of rheumatoid arthritis (RA) starts
from the production of inflammatory cytokines by fibroblast-like synoviocytes
(FLS) stimulated by TNFalpha produced by inflammatory cells mainly composed of
macrophages. In this context, TNFalpha/NF-kappaB pathway plays an essential role
for the transcription of pro-inflammatory cytokines. Here we show that the
kinetics of pro-inflammatory cytokine genes induced by TNFalpha in FLS from RA
was synchronized with that of A20, ABIN1, and ABIN3 that have been thought as
negative regulators for NF-kappaB activation. Furthermore, based on this finding,
we could tentatively categorize the RA-FLS into two groups; TNFalpha low
responder and high-responder FLS. The high responders that have abundant mRNA
levels of NF-kappaB inhibitory molecules were also accompanied with the marked
induction of the pro-inflammatory cytokines by the stimulation with TNFalpha. The
low responders RA-FLS did not show this property, nor did FLS from
osteoarthritis. Phosphorylation dependent degradation of IkappaBalpha as well as
NF-kappaB activation upon stimulation with TNFalpha was significantly enhanced in
the high-responder FLS lines. Surprisingly, single transfection of each NF-kappaB
inhibitor was enough to facilitate the transcription of pro-inflammatory
cytokines, suggesting that there is an unknown pro-inflammatory function for A20
and ABIN family proteins in RA-FLS.
PMID- 22093808
TI - Genetically modified sugarcane for bioenergy generation.
AB - Sugarcane breeding has significantly progressed over the past 30 years, but
attempts to further increase crop yield have been limited due to the complexity
of the sugarcane genome. An alternative to boost the crop yield is the
introduction of genes encoding desirable traits in the elite sugarcane cultivars.
Genetically modified sugarcane with increased yield and pest and disease
resistance has already proven its value not only by the increased sugar content
but also for the improvement of the crop performance. However, transgene
stability is still a challenge since transgene silencing seems to occur in a
large proportion of genetically modified sugarcane plants. In addition,
regulatory issues associated with the crop propagation model will also be a
challenge to the commercial approval of genetically modified sugarcane.
PMID- 22093809
TI - Fusion primer and nested integrated PCR (FPNI-PCR): a new high-efficiency
strategy for rapid chromosome walking or flanking sequence cloning.
AB - BACKGROUND: The advent of genomics-based technologies has revolutionized many
fields of biological enquiry. However, chromosome walking or flanking sequence
cloning is still a necessary and important procedure to determining gene
structure. Such methods are used to identify T-DNA insertion sites and so are
especially relevant for organisms where large T-DNA insertion libraries have been
created, such as rice and Arabidopsis. The currently available methods for
flanking sequence cloning, including the popular TAIL-PCR technique, are
relatively laborious and slow. RESULTS: Here, we report a simple and effective
fusion primer and nested integrated PCR method (FPNI-PCR) for the identification
and cloning of unknown genomic regions flanked known sequences. In brief, a set
of universal primers was designed that consisted of various 15-16 base arbitrary
degenerate oligonucleotides. These arbitrary degenerate primers were fused to the
3' end of an adaptor oligonucleotide which provided a known sequence without
degenerate nucleotides, thereby forming the fusion primers (FPs). These fusion
primers are employed in the first step of an integrated nested PCR strategy which
defines the overall FPNI-PCR protocol. In order to demonstrate the efficacy of
this novel strategy, we have successfully used it to isolate multiple genomic
sequences namely, 21 orthologs of genes in various species of Rosaceace, 4 MYB
genes of Rosa rugosa, 3 promoters of transcription factors of Petunia hybrida,
and 4 flanking sequences of T-DNA insertion sites in transgenic tobacco lines and
6 specific genes from sequenced genome of rice and Arabidopsis. CONCLUSIONS: The
successful amplification of target products through FPNI-PCR verified that this
novel strategy is an effective, low cost and simple procedure. Furthermore, FPNI
PCR represents a more sensitive, rapid and accurate technique than the
established TAIL-PCR and hiTAIL-PCR procedures.
PMID- 22093810
TI - The interplay between sulphur and selenium metabolism influences the
intracellular redox balance in Saccharomyces cerevisiae.
AB - Selenium (Se) is an essential element for most eukaryotic organisms, including
humans. The balance between Se toxicity and its beneficial effects is very
delicate. It has been demonstrated that a diet enriched with Se has cancer
prevention potential in humans. The most popular commercial Se supplementation is
selenized yeast, which is produced in a fermentation process using an inorganic
source of Se. Here, we show that the uptake of Se, Se toxic effects and
intracellular Se-metabolite profile are largely influenced by the level of
sulphur source supplied during the fermentation. A Yap1-dependent oxidative
stress response is active when yeast actively metabolizes Se, and this response
is linked to the generation of intracellular redox imbalance. The redox imbalance
derives from a disproportionate ratio between the reduced and oxidized forms of
glutathione and also from the influence of Se metabolism on the central carbon
metabolism. The observed increase in glycerol production rate, concomitant with
the inhibition of ethanol formation in the presence of Se, can be ascribed to the
occurrence of redox imbalance that triggers glycerol biosynthesis to replenish
the pool of NAD(+) .
PMID- 22093811
TI - Human African trypanosomiasis in Angola: clinical observations, treatment, and
use of PCR for stage determination of early stage of the disease.
AB - Biological and clinical observations are described for 224 patients infected by
human African trypanosomiasis (HAT) in Angola in 2007 and 2008. Seven patients
were initially classified in stage 1 (S1), 17 intermediate stage (IS) (WBC <20
lymphocytes/MUl with absence of trypanosomes in cerebrospinal fluid (CSF) and no
neurological signs), and 200 in stage 2 (S2). Out of 224 patients, 165 (73.6%)
presented one or more neurological signs. During treatment with eflornithine, six
deaths of S2 patients occurred, five of which were because of an encephalopathy
syndrome. Nine patients were diagnosed with a relapse or suspected treatment
failure during the follow-up: eight patients after treatment with eflornithine
(relapse rate 4.1%) and one patient after pentamidine (6.6%). The contribution of
PCR for stage determination evaluated for S1 and IS confirms the difficulty of
stage determination, as one S1 patient and two IS patients were carriers of
trypanosomes detected a posteriori by PCR in CSF but were treated with
pentamidine while follow-up did not confirm treatment efficacy. Since 2001 in
Angola, either by passive or active mode detection, approximately 80% of the new
cases every year were in S2, whereas the annual number of cases has regressed,
probably because the transmission of HAT is decreasing. However, stage
determination and treatment remain two major issues for the chronic form of
sleeping sickness.
PMID- 22093812
TI - Pilot assessment of supply chains for pharmaceuticals and medical commodities for
malaria, tuberculosis and HIV infection in Ethiopia.
AB - To obtain preliminary data on the drug supply management system in Ethiopia,
selected facilities were assessed for the availability of essential drugs and
commodities for malaria, TB and HIV. Of the 48 surveyed hospitals and health
centers, 9 (19%), 9 (19%) and 10 (21%) did not have malaria, TB or HIV drugs,
respectively. Similarly, of 27 health posts, 9 (33%) and 6 (22%) did not have
rapid diagnostic tests and antimalarial drugs, respectively. The findings
indicated an inadequate availability of essential drugs and commodities in the
surveyed facilities as well as weaknesses in human resources and training.
Assessments of commodity supply chains to ensure operational program success and
impact are important.
PMID- 22093813
TI - Differences in cytochrome P450 enzyme activities between fish and crustacea:
relationship with the bioaccumulation patterns of polychlorobiphenyls (PCBs).
AB - Variations in cytochrome P450 enzyme (CYPs) distribution and function between
animal groups could result in differential metabolism and elimination kinetics
for certain contaminants. Although a number of studies have suggested that
differences in polychlorobiphenyl (PCB) accumulation profiles between crustacea
and fish might result from differential CYP patterns, the relationship between
PCB bioaccumulation and CYP capacities has not been demonstrated in these
organisms. In the present study we investigated the hepatic microsomal catalytic
activities in three deep-sea fish species, Alepocephalus rostratus
(Alepocephalidae), Coelorinchus mediterraneus (Macrouridae), and Lepidion
lepidion (Moridae), and the decapod crustacean Aristeus antennatus (Decapoda),
using six fluorescent CYP-mediated substrates, namely ER (7-ethoxyresorufin), PR
(7-pentoxyresorufin), BR (7-benzyloxyresorufin), CEC (3-cyano-7-ethoxycoumarin),
DBF (dibenzylfluorescein) and BFC (7-benzyloxy-4-trifluoromethylcoumarin).
Furthermore, we related the metabolic activities to the accumulation patterns of
41 PCB congeners in the muscle of these organisms. The results indicated a marked
difference in the presence and activities of CYP isoforms between fish and the
crustacean A. antennatus. Liver microsomes of the three selected fish species
were capable of metabolizing all six CYP-mediated substrates and enzymes were
identified as primarily belonging to CYP1A and CYP3A subfamilies. In contrast,
hepatopancreas microsomes from A. antennatus only showed activity for PR and DBF
substrates, generally related to mammalian CYP2-like enzymes. Furthermore, a
direct relationship between metabolic activities and PCB accumulation profiles
could be established. Results revealed that A. antennatus accumulated
significantly higher proportions of PCBs 28, 52, 118, 138, 158 and 169 than fish,
which is in accordance with the previously observed lack of CYP1A-like
biotransformation capacities. Moreover, A. antennatus exhibited lower levels of
PCBs 87, 149, 153, 170, 180, 183, 194 and 206 indicating that this crustacean is
able to metabolize congeners considered mammalian CYP2B inducers. Hence, the
present findings highlight the role of CYP-mediated metabolism in the congener
specific accumulation of PCBs in aquatic organisms and stress the need to further
investigate quantitative and qualitative differences in xenobiotic metabolism
among animal groups.
PMID- 22093814
TI - Leflunomide for cytomegalovirus: bench to bedside.
AB - Cytomegalovirus (CMV) remains a major cause of morbidity and mortality among
transplant recipients, frequently engaging the clinician in a struggle to balance
graft preservation with control of CMV disease. Leflunomide has been shown to
have immunosuppressive activity in experimental allograft models together with
antiviral activity inhibiting CMV both in vitro and in vivo. Data are emerging
about its potential role in ganciclovir-sensitive and -resistant CMV, primarily
by virtue of a unique mechanism inhibiting virion assembly, as opposed to
inhibition of viral DNA synthesis by current agents. This review aims to put in
perspective, the knowledge acquired in the last decade or so on leflunomide for
CMV. Evidence suggests that it might have activity against human CMV with good
oral bioavailability and, more importantly in the resource-poor setting, is
economical. Although the data presented here are not from randomized trials,
several relevant observations have been made that could influence future, more
structured assessments of the drug. An immune suppressive compound with antiviral
features and experimental activity in chronic rejection is an attractive
combination for organ transplantation, and it appears that leflunomide may just
fit that niche.
PMID- 22093815
TI - Association of pain with behavioral and psychiatric symptoms among nursing home
residents with cognitive impairment: results from the SHELTER study.
AB - The etiology of behavioral and psychiatric symptoms is generally considered to be
multifactorial, and these symptoms often indicate a need for care or assistance,
which may include the presence of uncontrolled pain. The aim of this cross
sectional study was to assess the association of pain with behavioral and
psychiatric symptoms in a population of nursing home (NH) residents with
cognitive impairment in Europe. Data are from the SHELTER project, which contains
information on NH residents in 8 countries. Pain was defined as any type of
physical pain or discomfort in any part of the body in the 3 days before the
assessment. The mean age of 2822 cognitively impaired residents entering the
study was 84.1 (standard deviation 9.1)years, and 2110 (74.8%) were women. Of the
total sample, 538 residents (19.1%) presented with pain. After adjusting for
potential confounders, pain was significantly and positively associated with
socially inappropriate behavior (odds ratio [OR] 1.37; 95% confidence interval
[CI] 1.04-1.80), resistance to care (OR 1.41; 95% CI 1.08-1.83), abnormal thought
process (OR 1.48; 95% CI 1.16-1.90), and delusions (OR 1.48; 95% CI 1.07-2.03). A
borderline inverse association was observed with wandering (OR 0.74; 95% CI 0.55
1.00). In conclusion, this cross-sectional study provides evidence from a large
sample of frail elderly showing an association between pain and behavioral and
psychiatric symptoms. Treatment models that put together assessment and treatment
of pain and evaluate their effect on behavioral and psychiatric symptoms are
needed.
PMID- 22093816
TI - Pain-related avoidance versus endurance in primary care patients with subacute
back pain: psychological characteristics and outcome at a 6-month follow-up.
AB - Recent research has found individual differences in back pain patients due to
behavioral avoidance vs persistence. However, there is a lack of prospective
studies of nonspecific low back pain patients. The avoidance-endurance model
(AEM) suggests at least 3 pathways leading to chronic pain: fear-avoidance
response, distress-endurance response, and eustress-endurance response. We sought
to compare these 3 maladaptive subgroups with an adaptive group using a
classification tool that included the following scales: the thought suppression
and behavioral endurance subscale of the Avoidance-Endurance Questionnaire and
the Beck Depression Inventory. The psychological characteristics, and pain and
disability of the AEM subgroups were investigated. We report results from 177
patients with subacute nonspecific low back pain at the start of outpatient
treatment and at follow-up after 6 months. At baseline, a multivariate analysis
of variance found that the fear-avoidance patients scored higher in pain
catastrophizing than the other groups. The distress-endurance patients displayed
elevated anxiety/depression and helplessness/hopelessness accompanied with the
highest scores in the classification variables thought suppression and
persistence behavior. The eustress-endurance patients had the highest
humor/distraction scores, pain persistence, and positive mood despite pain. All 3
maladaptive groups revealed a higher pain intensity than the adaptive patients at
follow-up after 6 months; however, disability at follow-up was elevated only in
the fear-avoidance and distress-endurance patients. The study provides
preliminary evidence for the construct and prospective validity of AEM-based
subgroups of subacute, nonspecific back pain patients. The results suggest the
need for individually targeted cognitive behavioral treatments in the maladaptive
groups.
PMID- 22093817
TI - Validity and generalizability of the Withdrawal Assessment Tool-1 (WAT-1) for
monitoring iatrogenic withdrawal syndrome in pediatric patients.
AB - Critically ill pediatric patients frequently receive prolonged analgesia and
sedation to provide pain relief and facilitate intensive care therapies.
Iatrogenic withdrawal syndrome occurs when these drugs are stopped abruptly or
weaned too rapidly. We investigated the validity and generalizability of the
Withdrawal Assessment Tool-1 (WAT-1) in children during weaning of analgesics and
sedatives. Of 308 children initially supported on mechanical ventilation for
acute respiratory failure, 126 (41%) from 21 centers (median age 1.6 years;
interquartile range 0.6-7.7 years) were exposed to 5 or more days of opioids.
Subjects were assessed for withdrawal symptoms with the WAT-1, an 11-item (12
point) scale, from the first day of weaning from analgesia/sedation until 72 h
after the last opioid dose. A total of 836 daily WAT-1 assessments were
completed, with a median (interquartile range) WAT-1 score of 2 (0-4) over 6 (3
9) days per subject. There were no significant differences in WAT-1 scores as a
function of age. Factor analyses confirmed that motor-related symptoms and
behavioral state accounted for the most variance in WAT-1 scores. Supporting
construct validity, cumulative opioid exposures were greater [40.2 (19.7-83.4) vs
17.6 (14.6-39.7) mg/kg, P=.004], length of opioid treatment before weaning was
longer [7 (6-11) vs 5 (5-8)days, P=.004], and length of weaning from opioids was
longer [10 (6-14) vs 6 (3-9)days, P=.008] in subjects with WAT-1 scores of >= 3
compared to subjects with WAT-1 scores of <3. The WAT-1 shows good psychometric
performance and generalizability when used to assess clinically important
withdrawal symptoms in pediatric intensive care and general ward settings.
PMID- 22093818
TI - A higher response of plasma neuropeptide Y, growth hormone, leptin levels and
extracellular glycerol levels in subcutaneous abdominal adipose tissue to
Acipimox during exercise in patients with bulimia nervosa: single-blind,
randomized, microdialysis study.
AB - BACKGROUND: Neuropeptide Y (NPY) is an important central orexigenic hormone
predominantly produced by the hypothalamus, and recently found to be secreted in
adipose tissue (AT). Acipimox (Aci) inhibits lipolysis in AT and reduces plasma
glycerol and free fatty acid (FFA) levels. Exercise and Aci are enhancers of
growth hormone (GH) and NPY secretion and exercise may alter leptin levels. We
expect to find abnormal neuropeptidergic response in plasma and AT in patients
with bulimia nervosa (BN). We hypothesize that Aci influences these peptides via
a FFA-independent mechanism and that Aci inhibits lipolysis through a cyclic
adenosine monophosphate (cAMP)-dependent pathway. Dysregulations of the AT-brain
axis peptides might be involved in binge eating as is the case in BN. METHODS:
The objective of this study was to determine the responses of plasma NPY, GH,
leptin, FFA and glycerol levels to exercise in BN patients and healthy women (C)
given the anti-lipolytic drug Aci or placebo. The secondary objective of this
study was to compare the responses of extracellular glycerol levels and plasma
glycerol levels to exercise alone or together with Aci administration in BN
patients and C women. Extracellular glycerol was measured in vivo in subcutaneous
(sc) abdominal AT using microdialysis. Eight BN and eight C women were recruited
for this single-blind, randomized study. Aci or placebo was given 1 hour before
the exercise (45 min, 2 W/kg of lean body mass [LBM]). NPY, GH, leptin, FFA,
glycerol plasma and AT glycerol levels were measured using commercial kits.
RESULTS: The primary outcome of this study was that the exercise with Aci
administration resulted in plasma NPY and GH increase (after a 45-minute
exercise) and leptin (after a 90-minute post-exercise recovering phase) increased
more in BN patients. The secondary outcomes of this study were that the exercise
with Aci administration induced a higher decrease of extracellular glycerol in BN
patients compared to the C group, while the exercise induced a higher increase of
glycerol concentrations in sc abdominal AT of BN patients. Plasma glycerol levels
decreased more in BN patients and plasma FFA levels were depressed in both groups
after the exercise with Aci administration. The exercise induced similar
increases in plasma NPY, GH, FFA and glycerol levels, and a similar decrease in
the plasma leptin level in both groups. CONCLUSIONS: We confirm the results of a
single-blind, randomized, microdialysis study, i.e. that the Aci-induced
elevation in plasma NPY and GH levels during the exercise is higher in BN
patients and that Aci increased plasma leptin levels in the post-exercise
recovering phase (90-minute) more in BN patients. The post-exercise rise (45
minute) in AT glycerol is much more attenuated by acute Aci treatment in BN
patients. Simultaneously, we found facilitated turnover of plasma glycerol after
the exercise together with Aci administration in BN. Our results support the
hypotheses that Aci exerts an effect on the FFA-independent and cAMP-dependent
mechanism. TRIAL REGISTRATION: Australia and New Zealand Clinical Trials Register
(ANZCTR): ACTRN12611000955910.
PMID- 22093819
TI - Effects of a human plasma membrane-associated sialidase siRNA on prostate cancer
invasion.
AB - Human plasma membrane-associated sialidase (Neu3) is one of several sialidases
that hydrolyze sialic acids in the terminal position of the carbohydrate groups
of glycolipids and glycoproteins. Neu3 is mainly localized in plasma membranes
and plays crucial roles in the regulation of cell surface functions. In this
study, we investigated the effects and molecular mechanisms of Neu3 on cell
invasion and migration in vivo and in vitro. Initially, we found that the levels
of Neu3 expression were higher in prostate cancer tissues and cell lines than in
normal prostate tissues based on RT-PCR and Western blotting analyses. We then
applied a Neu3 siRNA approach to block Neu3 signaling using PC-3M cells as model
cells. Transwell invasion assays and wound assays showed significantly decreased
invasion and migration potential in the Neu3 siRNA-transfected cells. RT-PCR and
Western blotting analyses revealed that Neu3 knockdown decreased the expressions
of the matrix metalloproteinases MMP-2 and MMP-9. In vivo, mice injected with PC
3M cell tumors were evaluated by SPECT/CT to determine the presence of bone
metastases. Mice treated with attenuated Salmonella carrying the Neu3 siRNA
developed fewer bone metastases than mice treated with attenuated Salmonella
carrying a control Scramble siRNA, attenuated Salmonella alone or PBS. The
results for bone metastasis detection by pathology were consistent with the data
obtained by SPECT/CT. Tumor blocks were evaluated by histochemical, RT-PCR and
Western blotting analyses. The results revealed decreased expressions of MMP-2
and MMP-9 at the mRNA and protein levels. Taken together, the present findings
suggest that Neu3 is a promising molecular target for the prevention of prostate
cancer metastasis.
PMID- 22093820
TI - Expression and characterization of recombinant kurtoxin, an inhibitor of T-type
voltage-gated calcium channels.
AB - Kurtoxin, a 63-amino acid peptide stabilized by four disulfide bonds, is the
first reported peptide inhibitor of T-type voltage-gated calcium channels.
Although T-type calcium channels have been implicated in a number of disease
states, including epilepsy, chronic pain, hypertension and cancer, the lack of
selective inhibitors has slowed progress in understanding their precise roles.
Kurtoxin is a potentially valuable tool with which to study T-type calcium
channels. However, because of the limited availability of the native protein,
little is known about the structure and molecular mechanism of kurtoxin. Here we
report the expression of kurtoxin in Escherichia coli and the structural and
functional characterization of the recombinant protein. The disulfide bond
pairings and secondary structure of recombinant kurtoxin were characterized
through enzymatic cleavage, mass analysis and CD spectroscopy. Recombinant
kurtoxin almost completely inhibited the T-type calcium channel in a manner
identical to the native toxin. The availability of recombinant kurtoxin that is
identical to the native toxin should help in the study of T-type calcium channels
and enable development of new strategies for producing even more-selective T-type
calcium channel inhibitors and for investigating the molecular basis of the toxin
channel interactions.
PMID- 22093821
TI - Use of human hepatocyte-like cells derived from induced pluripotent stem cells as
a model for hepatocytes in hepatitis C virus infection.
AB - Host tropism of hepatitis C virus (HCV) is limited to human and chimpanzee. HCV
infection has never been fully understood because there are few conventional
models for HCV infection. Human induced pluripotent stem cell-derived hepatocyte
like (iPS-Hep) cells have been expected to use for drug discovery to predict
therapeutic activities and side effects of compounds during the drug discovery
process. However, the suitability of iPS-Hep cells as an experimental model for
HCV research is not known. Here, we investigated the entry and genomic
replication of HCV in iPS-Hep cells by using HCV pseudotype virus (HCVpv) and HCV
subgenomic replicons, respectively. We showed that iPS-Hep cells, but not iPS
cells, were susceptible to infection with HCVpv. The iPS-Hep cells expressed HCV
receptors, including CD81, scavenger receptor class B type I (SR-BI), claudin-1,
and occludin; in contrast, the iPS cells showed no expression of SR-BI or claudin
1. HCV RNA genome replication occurred in the iPS-Hep cells. Anti-CD81 antibody,
an inhibitor of HCV entry, and interferon, an inhibitor of HCV genomic
replication, dose-dependently attenuated HCVpv entry and HCV subgenomic
replication in iPS-Hep cells, respectively. These findings suggest that iPS-Hep
cells are an appropriate model for HCV infection.
PMID- 22093822
TI - Preparation and characterization of cobalt-substituted anthrax lethal factor.
AB - Anthrax lethal factor (LF) is a zinc-dependent endopeptidase involved in the
cleavage of mitogen-activated protein kinase kinases near their N-termini. The
current report concerns the preparation of cobalt-substituted LF (CoLF) and its
characterization by electronic spectroscopy. Two strategies to produce CoLF were
explored, including (i) a bio-assimilation approach involving the cultivation of
LF-expressing Bacillus megaterium cells in the presence of CoCl(2), and (ii)
direct exchange by treatment of zinc-LF with CoCl(2). Independent of the method
employed, the protein was found to contain one Co(2+) per LF molecule, and was
shown to be twice as active as its native zinc counterpart. The electronic
spectrum of CoLF suggests the Co(2+) ion to be five-coordinate, an observation
similar to that reported for other Co(2+)-substituted gluzincins, but distinct
from that documented for the crystal structure of native LF. Furthermore,
spectroscopic studies following the exposure of CoLF to thioglycolic acid (TGA)
revealed a sequential mechanism of metal removal from LF, which likely involves
the formation of an enzyme: Co(2+):TGA ternary complex prior to demetallation of
the active site. CoLF reported herein constitutes the first spectroscopic probe
of LF's active site, which may be utilized in future studies to gain further
insight into the enzyme's mechanism and inhibitor interactions.
PMID- 22093823
TI - Mode of ATM-dependent suppression of chromosome translocation.
AB - It is well documented that deficiency in ataxia telangiectasia mutated (ATM)
protein leads to elevated frequency of chromosome translocation, however, it
remains poorly understood how ATM suppresses translocation frequency. In the
present study, we addressed the mechanism of ATM-dependent suppression of
translocation frequency. To know frequency of translocation events in a whole
genome at once, we performed centromere/telomere FISH and scored dicentric
chromosomes, because dicentric and translocation occur with equal frequency and
by identical mechanism. By centromere/telomere FISH analysis, we confirmed that
chemical inhibition or RNAi-mediated knockdown of ATM causes 2 to 2.5-fold
increase in dicentric frequency at first mitosis after 2 Gy of gamma-irradiation
in G0/G1. The FISH analysis revealed that ATM/p53-dependent G1 checkpoint
suppresses dicentric frequency, since RNAi-mediated knockdown of p53 elevated
dicentric frequency by 1.5-fold. We found ATM also suppresses dicentric
occurrence independently of its checkpoint role, as ATM inhibitor showed
additional effect on dicentric frequency in the context of p53 depletion and
Chk1/2 inactivation. Epistasis analysis using chemical inhibitors revealed that
ATM kinase functions in the same pathway that requires kinase activity of DNA
dependent protein kinase catalytic subunit (DNA-PKcs) to suppress dicentric
frequency. From the results in the present study, we conclude that ATM minimizes
translocation frequency through its commitment to G1 checkpoint and DNA double
strand break repair pathway that requires kinase activity of DNA-PKcs.
PMID- 22093824
TI - 5'-AMP-activated protein kinase (AMPK) regulates progesterone receptor
transcriptional activity in breast cancer cells.
AB - The steroid hormone progesterone is an essential regulator of the cellular
processes that are required for the development and maintenance of reproductive
function. The diverse effects of progesterone are mediated by the progesterone
receptor (PR). The functions of the PR are regulated not only by ligands but also
by modulators of various cell signaling pathways. However, it is not clear which
energy state regulates PR activity. AMP-activated protein kinase (AMPK), a
serine/threonine protein kinase, is a key modulator of energy homeostasis. Once
activated by an increasing cellular AMP:ATP ratio, AMPK switches off ATP
consuming processes and switches on ATP-producing processes. We found that both 5
aminoimidazole-4-carboxamide 1-beta-d-ribofuranoside (AICAR) and metformin,
traditional pharmacological activators of AMPK, inhibited the PR pathway, as
evidenced by progesterone response element (PRE)-driven luciferase activity and
PR target gene expression. Compound C, an inhibitor of AMPK, partly but
significantly reversed the anti-PR effects of AICAR and metformin. The
downregulation of endogenous AMPK by small interfering RNAs (siRNAs) stimulated
PR activity. AMPK activation by AICAR decreased the progesterone-induced
phosphorylation of PR at serine 294 and inhibited the recruitment of PR to an
endogenous PRE. Taken together, our data suggest that AMPK, an energy sensor, is
involved in the regulation of PR signaling.
PMID- 22093825
TI - Expression of the prion-like protein Shadoo in the developing mouse embryo.
AB - The prion-like protein Shadoo has been suggested to compensate for the lack of
PrP in Prnp-knockout mice, explaining their lack of extreme phenotype. In adult
mice, both PrP and Shadoo have shown overlapping expression patterns and shared
functions. Their expression in the mouse embryo has also been suggested to be
complementary, as invalidation of both genes results in embryonic lethality. The
developmental expression profile of PrP has been described from post-implantation
stages up until birth. However the spatial expression pattern of Shadoo in the
developing mouse embryo is not known. We previously described the expression
profile of the prion-like protein Shadoo in adult mice using Sprn reporter mice
(Sprn-GFP and Sprn-LacZ). Here we used these mice to describe the developmental
expression of Shadoo between 10.5 and 14.5 dpc. The observed pattern in specific
embryonic cell lineages and in extra-embryonic tissues is consistent with the
previously reported phenotype resulting from its knockdown.
PMID- 22093826
TI - Transgenic overexpression of bone morphogenetic protein 11 propeptide in skeleton
enhances bone formation.
AB - Bone morphogenetic protein 11 (BMP11) is a key regulatory protein in skeletal
development. BMP11 propeptide has been shown to antagonize GDF11 activity in
vitro. To explore the role of BMP11 propeptide in skeletal formation in vivo, we
generated transgenic mice with skeleton-specific overexpression of BMP11
propeptide cDNA. The mice showed a transformation of the seventh cervical
vertebra into a thoracic vertebra in our previous report. Presently, further
characterizations of the transgenic mice indicated that ossification in calvatia
was dramatically enhanced in transgenic fetuses at 16.5 dpc in comparison with
their wild-type littermates. At 10 weeks of age, bone mineral content and bone
mineral density were significantly (P<0.05) higher in transgenic mice than that
in their wild-type littermates based on dual energy X-ray absorptiometry
analysis. The relative trabecular bone volume measured by histological analysis
was dramatically increased in transgenic mice compared with their wild-type
littermates. The enhanced bone formations in the transgenic mice appear to result
from increase osteoblast activities as the expressions of four osteoblast markers
- alpha1 type 1 collagen, osteocalcin, alkaline phosphatase and phex were
significantly higher in transgenic fetuses than that in their wild-type
littermates. These results suggest that over-expression of BMP11 propeptide
stimulates bone formation by increasing osteoblast cell functions.
PMID- 22093827
TI - Involvement of leucine zipper transcription factor-like protein 1 (Lztfl1) in the
attenuation of cognitive impairment by exercise training.
AB - It is well known that exercise prevents and reduces cognitive impairment. In the
present study, we focused on exercise training as a tool to prevent cognitive
impairment, and searched for novel molecules that may relate to the prevention of
cognitive impairment in the hippocampus. Two-month-old senescence-accelerated
mouse prone-8 (SAMP8) mice were subjected to voluntary exercise training by
running on a wheel for 4 months, and were then assigned a conditioned fear memory
test. Moreover, various mRNA levels in the hippocampus were examined by DNA array
analysis and real-time PCR. Contextual fear memory in SAMP8 control mice was
significantly impaired compared with that in non-senescence mice. Exercise
training definitely attenuated such cognitive impairment. The results of real
time PCR analysis that was conducted following DNA array analysis in the
hippocampus revealed that, compared with SAMR8 control mice, the expression
levels of leucine zipper transcription factor-like protein 1 (Lztfl1) mRNA were
significantly higher in SAMP8 mice subjected to exercise training. In addition,
the overexpression of Lztfl1 promoted neurite outgrowth in Neuro 2a cells. These
results suggest that exercise has a preventive effect on cognitive impairment in
SAMP8 mice, and that exercise-induced increase in Lztfl1 induces neurite
outgrowth.
PMID- 22093828
TI - Characterisation and comparison of the chicken H1 RNA polymerase III promoter for
short hairpin RNA expression.
AB - The U6 and 7SK RNA polymerase III promoters are widely used in RNAi research for
the expression of shRNAs. However, with their increasing use in vitro and in
vivo, issues associated with cytotoxicity have become apparent with their use.
Therefore, alternative promoters such as the weaker H1 promoter are becoming a
popular choice. With interest in the chicken as a model organism, we aimed to
identify and characterise the chicken H1 promoter for the expression of shRNAs
for the purpose of RNAi. The chicken H1 promoter was isolated and sequence
analysis identified conserved RNA polymerase III promoter elements. A shRNA
expression cassette containing the chicken H1 promoter and shRNA targeting
enhanced green fluorescent protein (EGFP) was developed. An RNAse protection
assay confirmed activity of the promoter determined by the detection of expressed
shRNAs. Comparison of the H1 promoter to the chicken RNA polymerase III 7SK and
U6 promoters demonstrated that expressed shRNAs from the H1 promoter induced gene
specific silencing, albeit to lower levels in comparison to both 7SK and U6
promoters. Here we have identified a new tool for RNAi research with specific
applications to the chicken. The availability of a RNA polymerase III promoter
that drives shRNA expression to reduced levels will greatly benefit in ovo/in
vivo applications where there are concerns of cytotoxicity resulting from
overexpression of an shRNA.
PMID- 22093829
TI - Crystal structure and thermostability of a putative alpha-glucosidase from
Thermotoga neapolitana.
AB - Glycoside hydrolase family 4 (GH4) represents an unusual group of glucosidases
with a requirement for NAD(+), Mn(2+), and reducing conditions. We found a
putative alpha-glucosidase belonging to GH4 in hyperthermophilic Gram-negative
bacterium Thermotoga neapolitana. In this study, we recombinantly expressed the
putative alpha-glycosidase from T. neapolitana, and determined the crystal
structure of the protein at a resolution of 2.0A in the presence of Mn(2+) but in
the absence of NAD(+). The structure showed the dimeric assembly and the Mn(2+)
coordination that other GH4 enzymes share. In comparison, we observed structural
changes in T. neapolitana alpha-glucosidase by the binding of NAD(+), which also
increased the thermostability. Numerous arginine-mediated salt-bridges were
observed in the structure, and we confirmed that the salt bridges correlated with
the thermostability of the proteins. Disruption of the salt bridge that linked N
terminal and C-terminal parts at the surface dramatically decreased the
thermostability. A mutation that changed the internal salt bridge to a hydrogen
bond also decreased the thermostability of the protein. This study will help us
to understand the function of the putative glucosidase and the structural
features that affect the thermostability of the protein.
PMID- 22093830
TI - Gold nanoparticle-assisted delivery of small, highly structured RNA into the
nuclei of human cells.
AB - Previous studies have shown that functionalized gold nanoparticles (AuNPs) can be
used as a general platform for loading and delivering DNA oligonucleotides and
short hairpin RNA to living systems. Here, we report the ability of
functionalized AuNP to deliver RNA aptamers into the nuclei of human cells. An in
vitro-synthesized RNA aptamer specific to the beta-catenin protein was delivered
into the HepG2 human cell line more efficiently via functionalized AuNP than
liposome-based delivery, and resulted in nearly complete inhibition of beta
catenin binding to the p50 subunit of NF-kappaB in the nucleus. This inhibition
led to repression of NF-kappaB p50-dependent transcription of CRP. Also, the beta
catenin aptamer in the nucleus led to down-regulation of beta-catenin-mediated
transcriptional activity through the TCF complex and resulted in decrease in the
levels of cyclin D, and c-myc mRNA by ~47% and ~57%, respectively. In addition,
we used functionalized AuNP to deliver another RNA aptamer targeted to the p50
subunit of NF-kappaB into the A549 human cell line, and this was sufficient to
induce apoptosis of the cells. Our findings demonstrate that AuNP GDS can be used
to deliver small, highly structured RNA aptamers into the nucleus of human cells
where they modulate the activity of transactivators by interacting with target
proteins.
PMID- 22093831
TI - Nkx3.2-induced suppression of Runx2 is a crucial mediator of hypoxia-dependent
maintenance of chondrocyte phenotypes.
AB - Hypoxia is a key factor in the maintenance of chondrocyte identity. However,
crucial chondrogenic transcription factors in the Sox families are not activated
in this phenomenon, indicating that other pathways are involved. Nkx3.2 is a well
known chondrogenic transcription factor induced by Sonic hedgehog (Shh); it
suppresses a key osteogenic transcriptional factor, Runt-related transcription
factor 2 (Runx2), to maintain the chondrogenic phenotype in mesenchymal lineages.
The purpose of this study was to examine the function of Nkx3.2 in hypoxia
dependent maintenance of chondrocyte identity. C3H10T1/2 pluripotent mesenchymal
cells were cultured with rh-BMP2 (300 ng/ml) to induce chondrogenesis under
normoxic (20% O(2)) or hypoxic (5% O(2)) conditions. Immunohistological detection
of Nkx3.2 in a micromass cell culture system revealed that hypoxia promoted
expression of the Nkx3.2 protein. Real-time RT-PCR analysis revealed that hypoxia
promoted Nkx3.2 mRNA expression and suppressed Runx2 mRNA expression; however,
Sox9 mRNA expression was not altered by oxygen conditions, as previously
described. Over-expression of exogenous Nkx3.2 promoted glycosaminoglycan (GAG)
production and inhibited Runx2 mRNA expression and, based on a dual luciferase
assay, Runx2 promoter activity. Interestingly, downregulation of Nkx3.2 using
RNAi abolished hypoxia-dependent GAG production and restored Runx2 mRNA
expression and promoter activity. These results demonstrated that Nkx3.2
dependent suppression of Runx2 was a crucial factor in hypoxia-dependent
maintenance of chondrocyte identity.
PMID- 22093832
TI - Triptolide inhibits COX-2 expression by regulating mRNA stability in TNF-alpha
treated A549 cells.
AB - Cyclooxygenase-2 (COX-2) over-expression is frequently associated with human non
small-cell lung cancer (NSCLC) and involved in tumor proliferation, invasion,
angiogenesis and resistance to apoptosis. In the present study, the effects of
triptolide on COX-2 expression in A549 cells were investigated and triptolide was
found to inhibit TNF-alpha-induced COX-2 expression. In our further studies, it
was found that triptolide decreased the half-life of COX-2 mRNA dramatically and
that it inhibited 3'-untranslated region (3'-UTR) fluorescence reporter gene
activity. Meanwhile, triptolide inhibited the HuR shuttling from nucleus to
cytoplasm. After triptolide treatment, decreased COX-2 mRNA in pull-down
experiments with anti-HuR antibodies was observed, indicating that the decreased
cytoplasmic HuR is responsible for the decreased COX-2 mRNA. Taken together, our
results provided evidence for the first time that triptolide inhibited COX-2
expression by COX-2 mRNA stability modulation and post-transcriptional
regulation. These results provide a novel mechanism of action for triptolide
which may be important in the treatment of lung cancer.
PMID- 22093833
TI - Role of suppressor of cytokine signaling 3 in lipid metabolism: analysis based on
a phage-display human liver cDNA library.
AB - Suppressor of cytokine signaling 3 (SOCS3) is a likely mediator of feedback
inhibition on the leptin receptor and may cause physiological leptin-resistance,
leading to the development of obesity. The aim of this study was to identify
potential peptides interacting with purified SOCS3 by using a phage-display human
liver cDNA library. We developed a T7 select phage-display system with purified
SOCS3 as bait to screen a human liver cDNA library. After 4 rounds of screening
and sequencing analysis, we found that phage-presenting peptide RGGVVTSNPLGF show
significant binding to SOCS3. The peptide sequence was similar to the sequence of
amino acids 644-655 of C-terminal extra-polypeptide of very-long-chain acyl-CoA
dehydrogenase (VLCAD), which is 1 of 4 flavoproteins that catalyzing the initial
step of the mitochondrial fatty acid beta-oxidation, implying a close
relationship between SOCS3 and VLCAD. We identified VLCAD as a novel SOCS3
interacting protein both in vitro and vivo, and found that SOCS3 mediates the
ubiquitination pathway for proteasomal degradation of VLCAD C-terminal extra
polypeptide via its SOCS-box. Animal experimentation demonstrated that VLCAD is
functionally involved in SOCS3 binding and thus, SOCS3 play an important role in
the regulation of fatty acid beta-oxidation. In conclusion, SOCS3 is an important
factor for lipid metabolism and a potential drug-target for treatment of
widespread obesity.
PMID- 22093834
TI - miR-125b suppresses the proliferation and migration of osteosarcoma cells through
down-regulation of STAT3.
AB - There is accumulating evidence that microRNAs are involved in multiple processes
in development and tumor progression. Abnormally expressed miR-125b was found to
play a fundamental role in several types of cancer; however, whether miR-125b
participates in regulating the initiation and progress of osteosarcoma still
remains unclear. Here we demonstrate that miR-125b is frequently down-regulated
in osteosarcoma samples and human osteosarcoma cell lines. The ectopic
restoration of miR-125b expression in human osteosarcoma cells suppresses
proliferation and migration in vitro and inhibits tumor formation in vivo. We
further identified signal transducer and activator of transcription 3 (STAT3) as
the direct and functional downstream target of miR-125b. Interestingly, we
discovered that the expression of miR-125b is regulated by STAT3 at the level of
transcription. STAT3 binds to the promoter region of miR-125b in vitro and serves
as a transactivator. Taken together, our findings point to an important role in
the molecular etiology of osteosarcoma and suggest that miR-125b is a potential
target in the treatment of osteosarcoma.
PMID- 22093835
TI - Evaluation of a UCMK/dCK fusion enzyme for gemcitabine-mediated cytotoxicity.
AB - While gemcitabine (2'-2'-difluoro-2'-deoxycytidine, dFdC) displays wide-ranging
antineoplastic activity as a single agent, variable response rates and poor
intracellular metabolism often limit its clinical efficacy. In an effort to
enhance dFdC cytotoxicity and help normalize response rates, we created a
bifunctional fusion enzyme that combines the enzymatic activities of
deoxycytidine kinase (dCK) and uridine/cytidine monophosphate kinase (UCMK) in a
single polypeptide. Our goal was to evaluate whether the created fusion could
induce beneficial, functional changes toward dFdC, expedite dFdC conversion to
its active antimetabolites and consequently amplify cell dFdC sensitivity. While
kinetic analyses revealed the UCMK/dCK fusion enzyme to possess both native
activities, the fusion rendered cells sensitive to the cytotoxic effects of dFdC
at the same level as dCK expression alone. These results suggest that increased
wild-type UCMK expression does not provide a significant enhancement in dFdC
mediated cytotoxicity and may warrant the implementation of studies aimed at
engineering UCMK variants with improved activity toward gemcitabine
monophosphate.
PMID- 22093836
TI - A structural model of the HIV-1 Rev-integrase complex: the molecular basis of
integrase regulation by Rev.
AB - The HIV-1 Rev and integrase (IN) proteins control important functions in the
viral life cycle. We have recently discovered that the interaction between these
proteins results in inhibition of IN enzymatic activity. Peptides derived from
the Rev and IN binding interfaces have a profound effect on IN catalytic
activity: Peptides derived from Rev inhibit IN, while peptides derived from IN
stimulate IN activity by inhibiting the Rev-IN interaction. This inhibition leads
to multi integration, genomic instability and specific death of virus-infected
cells. Here we used protein docking combined with refinement and energy function
ranking to suggest a structural model for the Rev-IN complex. Our results
indicate that a Rev monomer binds IN at two sites that match our experimental
binding data: (1) IN residues 66-80 and 118-128; (2) IN residues 174-188.
According to our model, IN binds Rev and its cellular cofactor, lens epithelium
derived growth factor (LEDGF), through overlapping interfaces. This supports
previous observations that IN is regulated by a tight interplay between Rev and
LEDGF. Rev may bind either the IN dimer or tetramer. Accordingly, Rev is
suggested to inhibit IN by two possible mechanisms: (i) shifting the
oligomerization equilibrium of IN from an active dimer to an inactive tetramer;
(ii) displacing LEDGF from IN, resulting in inhibition of IN binding to the viral
DNA. Our model is expected to contribute to the development of lead compounds
that inhibit the Rev-IN interaction and thus lead to multi-integration of viral
cDNA and consequently to apoptosis of HIV-1 infected cells.
PMID- 22093837
TI - Novel multi-component enzyme machinery in lactic acid bacteria catalyzing C=C
double bond migration useful for conjugated fatty acid synthesis.
AB - Linoleic acid isomerase was identified as a multi-component enzyme system that
consists of three enzymes that exist in both the membrane and soluble fractions
of Lactobacillus plantarum. One enzyme (CLA-HY) is present in the membrane
fraction, while two enzymes (CLA-DH and CLA-DC) exist in the soluble fraction.
Three Escherichia coli transformants expressing CLA-HY, CLA-DH, and CLA-DC were
constructed. Conjugated linoleic acid (CLA) and 10-hydroxy-12-octadecenoic acid
were generated from linoleic acid only when all these three E. coli transformants
were used as catalysts simultaneously. CLA-HY catalyzed the hydration reaction, a
part of linoleic acid isomerization, to produce 10-hydroxy-12-octadecenoic acid.
This multi-component enzyme system required oxidoreduction cofactors such as NADH
and FAD. This is the first report to reveal enzymes genes and the elaborate
machinery that synthesizes CLA, especially an important isomer of cis-9, trans-11
CLA, in lactic acid bacteria.
PMID- 22093838
TI - [Norepinephrine-new milestone for treatment of shock].
PMID- 22093839
TI - [Effects of statins on mortality in patients with infection: a systematic
review].
AB - OBJECTIVE: To review systematically the literatures regarding the effect of
statins on mortality in patients with infection. METHODS: PUBMED, EMBASE, Web of
Science and CNKI databases from 2000 to 2010 were searched. And the clinical
trials on statins-related mortality in patients with infection were identified by
electronic and manual searching. A systematic review of relevant data was
conducted by the methods as recommended by the Cochrane Collaboration. RESULTS:
Sixteen trials (11 retrospective and 5 prospective cohort studies) involving 8775
cases in statins group and 46 539 in control group were included for analysis.
The mortalities of infection were 5.2% (458/8775) and 18.6% (8637/46 539) in
statins and control groups respectively. In comparison with control group,
statins could reduce the mortality in patients with infection [OR = 0.51, (95%
CI: 0.36 - 0.71); P < 0.000 01]. Prospective cohort studies observed 6774
patients with infection whose survival were improved by statins [OR = 0.48, (95%
CI: 0.24 - 0.94); P = 0.03]. It was consistent with the results of retrospective
cohort studies [OR = 0.66, (95% CI: 0.58 - 0.75); P = 0.002] and large sample
studies [OR = 0.56, (95% CI: 0.50 - 0.63); P = 0.02]. Statins lowered the short
term mortality [OR = 0.47, (95% CI: 0.29 - 0.78); P = 0.004], but failed to
improve the outcomes of severe septic patients in Intensive Care Unit (ICU) [OR =
0.69, (95% CI: 0.32 - 1.48); P = 0.34]. CONCLUSION: Statins have favorable
effects on the patients with infection, but there is no effect for severe septic
patients in ICU. Further randomized controlled trials of a large sample size are
warranted.
PMID- 22093840
TI - [Impact of extended focus assessed transthoracic echocardiography protocol in
septic shock patients].
AB - OBJECTIVE: To investigate the impact of extended focus assessed transthoracic
echocardiography (eFATE) in septic shock patients. METHODS: A total of 83 septic
shock patients were recruited. And they were divided into the eFATE and routine
groups. In the routine group, the patients were assessed by routine methods. And
in the eFATE group, the following parameters of central venous pressure (CVP),
mean arterial pressure (MAP), cardiac index (CI), stroke volume index (SVI) and
ScvO(2) (central venous oxygen saturation) were measured routinely. The PiCCO
(pulse indicator continuous cardiac output) method was employed when needed. All
parameters and the others [acute physiology and chronic health evaluation II
(APACHEII) & lactate] were recorded every 6 h. At 6, 24, 72 h and 1 w, the fluid
intake volumes were recorded. All values were analyzed by statistic methods. And
the mortality rates of intensive care unit and Day 28 were recorded. RESULTS: In
the eFATE group, the 24 h targeting rate was markedly higher than the routine
group. Yet there was no effect on the 6 h targeting rate. In the eFATE group, the
fluid intake volumes at 6, 24 h and 1 w were markedly lowered than those of the
control group. And the myocardial inhibition occurred earlier versus the control
group. There was no difference in mortality rate between two groups. CONCLUSION:
eFATE plays an important role in the correct assessment of septic shock patients.
But its prognostic impact remains to be further defined.
PMID- 22093841
TI - [Effects of mechanical ventilation and positive end-expiratory on central venous
pressure].
AB - OBJECTIVE: To investigate the effects of mechanical ventilation and positive end
expiratory pressure (PEEP) on central venous pressure (CVP). METHODS: Forty cases
of respiratory failure with mechanical ventilation were enrolled. Catheter was
inserted via subclavian vein in each. And then CVP was measured without
mechanical ventilation and under different PEEP conditions of 0, 6 and 12 cm H2O.
RESULTS: Mechanical ventilation could affect the levels of CVP, P(peak) ~
PS+PEEP, followed PEEP, t = 3.364, P = 0.006. There was significant difference
was found among the three groups, F = 15.293, P = 0.000. And CVP increased with a
rising level of PEEP. CONCLUSION: Mechanical ventilation and PEEP affect the
accuracy of CVP.
PMID- 22093842
TI - [Psychiatric comorbidities in patients referred for irritable bowel syndrome].
AB - OBJECTIVE: To assess the prevalence of psychiatric comorbidities in patients
referred for irritable bowel syndrome (IBS) with questionnaires for mental
disorders. METHODS: A total of 83 IBS patients at our hospital were enrolled and
assessed with the Personality Diagnostic Questionnaire for DSM-IV, version 4 (PDQ
4) and Composite International Diagnostic Interview, version 3.0 and 2.1 (CIDI
3.0 & CIDI-2.1) by trained interviewers. Such items as personality dysfunction,
mental disorder and somatization disorder were examined. RESULTS: The male-female
ratio was 1.08/1. Their mean age was (38 +/- 14) years old. Among them, 20
patients (24.1%) were constipation-predominant, 31 (37.3%) diarrhea-predominant,
15 (18.1%) mixed and 17 (20.5%) unclassified type. (1) Sixty-two (74.7%) patients
scored positive for any personality dysfunction. There was no significant gender
difference. The cluster C (anxious-fearful) personality disorder was most
commonly found in IBS patients (n = 58, 69.9%). The prevalence of somatoform
disorders plus personality dysfunction was 46.8% (29/62). It was significantly
higher than those without personality dysfunction [19.0% (4/21), P = 0.025]. (2)
Thirty-seven patients (44.6%) had a lifetime CIDI-3.0 diagnosis. It was
significantly higher than that in the general population. There was no gender
difference. Anxiety and mood disorders were the most common types of psychiatric
comorbidities [n = 21 (25.3%) and n = 19 (22.9%) respectively]. The lifetime
prevalence of alcohol or nicotine abuse and(or) dependence and intermittent
explosive disorder were 10.8% (n = 9) and 8.4% (n = 7). Psychiatric comorbidities
were most commonly found in diarrhea-predominant patients (58.1%). But there was
no significant difference among the subgroups. (3) Thirty-three patients (39.8%)
had somatoform disorders. Neither gender nor subgroup difference was observed.
The IBS patients with anxiety disorders presented significantly more somatoform
disorders than the remainders [61.9% (13/21) vs 32.3% (20/62), P = 0.016].
CONCLUSION: Such psychiatric comorbidities as anxiety disorders and mood
disorders are common in patients referred for IBS. The patients with personality
dysfunction and(or) anxiety were more likely to suffer somatoform disorders. A
gastroenterologist should grasp a thorough knowledge and make appropriate
therapeutic recommendations for those patients.
PMID- 22093843
TI - [Performance of current infection marker test for the diagnosis of Helicobacter
pylori current infection: a multicenter clinical trial].
AB - OBJECTIVE: To evaluate the performance of the ASSURE(TM) Helicobacter pylori (H.
pylori) rapid test [current infection marker (CIM) kit, Genelabs Diagnostics] in
detecting the current infection marker CIM for the diagnosis of H. pylori in
adult patients. METHODS: For this multicenter and controlled clinical trial, a
total of 300 patients with an average age of (41 +/- 16) years old were recruited
from 7 participating hospitals. There were 128 men and 172 women, never received
any H. pylori eradication therapy. Each subject received a (13)C-urea breath test
((13)C-UBT) and a CIM test. Such performance parameters as sensitivity,
specificity, positive and negative predictive values and accuracy were determined
by (13)C-UBT for the diagnosis of current H. pylori infection. RESULTS: According
to the gold standard, there were 131 positive and 169 negative subjects. The
accuracy rate, sensitivity, specificity, positive and negative predictive values
of CIM test were 89.0% (267/300), 87.8% (115/131), 89.9% (152/169), 87.1%
(115/132) and 90.5% (152/168) respectively. CONCLUSION: As a simple, rapid,
accurate and affordable assay, CIM test may be useful for a non-invasive
diagnosis of H. pylori infection in cases without eradication therapy.
PMID- 22093844
TI - [Value of peritoneoscopy via natural orifice transluminal endoscopic surgery in
the diagnosis of peritoneal carcinomatosis].
AB - OBJECTIVE: To evaluate the value of peritoneoscopy via natural orifice
transluminal endoscopic surgery (NOTES) in the diagnosis of patients with
peritoneal carcinomatosis. METHODS: A total of 32 patients with peritoneal
carcinomatosis were diagnosed by histological examination of biopsies at our
hospital from April 2007 to October 2010. Their data of clinical manifestations,
gastroscopy, colonoscopy, abdominal ultrasonography, abdominal computed
tomography, magnetic resonance imaging, ascitic cytology and transgastric
peritoneoscopy via NOTES were analyzed retrospectively. RESULTS: Among them,
gastrointestinal cancers were diagnosed by digestive endoscopy in 9 cases
(28.1%). And ovarian lesions in 8 cases (25.0%), pancreatic cancer in 2 cases
(6.3%), primary liver cancer in 2 cases (6.3%) and bile duct carcinoma in 1 case
(3.1%) were suspected according to imaging examinations. No peritoneal
carcinomatosis was found by digestive endoscopy or imaging examinations. Ascitic
cytology was positive in 6 cases (18.8%). Peritoneal carcinomatosis was diagnosed
by transgastric peritoneoscopy via NOTES with histological examination of
biopsies in all patients. Their findings of transgastric peritoneoscopy via NOTES
were divided into 5 types, i.e., mass type (n = 3, 9.4%), nodular type (n = 5,
15.6%), ulcerative type (n = 1, 3.1%), omentum-embracing type (n = 1, 3.1%) and
mixture type (n = 22, 68.8%). CONCLUSION: Transgastric peritoneoscopy via NOTES
with histological examination of biopsies has important value in the pathologic
diagnosis and the endoscopic typing of peritoneal carcinomatosis.
PMID- 22093845
TI - [Age-related changes of normal adult inferior rectus muscle:analysis with dynamic
contrast-enhanced magnetic resonance imaging].
AB - OBJECTIVE: To explore the age-related changes of blood supply and extracellular
space volume in normal adult inferior rectus muscle, evaluate the
microcirculation in extraocular muscle and offer important information for the
diagnosis and treatment of extraocular muscle disorders. METHODS: A total of 250
patients undergoing nose-sinus dynamic contrast-enhanced magnetic resonance
imaging were studied. Five groups were divided according to age (20 - 30 yr old,
30 - 40 yr old, 40 - 50 yr old, 50 - 60 yr old and over 60 yr old). The technique
of 3DFSPGR (3-dimensional fast spoiled gradient-recalled) was used in dynamic
contrast-enhanced imaging scanning 4 minutes and 59 seconds and acquiring 12
phases. Time-intensity curves of orbital layer, global layer of inferior rectus
muscle and temporal muscle ipsilaterally were drawn on post-process work station.
From the curves, the values of SIpre, SImax and SIphase12 were obtained and then
SIpeak (peak signal intensity), Tpeak (time of peak enhancement) and WR (washout
ratio) computed. The data were analyzed statistically. RESULTS: SIpeak of orbital
layer in all groups was as follows: 404.7 +/- 12.7, 395.1 +/- 15.9, 367.3 +/-
5.1, 307.0 +/- 12.4 and 265.3 +/- 6.2 respectively. Tpeak: (85.2 +/- 1.0) s,
(93.2 +/- 8.1) s, (106.8 +/- 4.6), (122.8 +/- 8.6) s and (133.0 +/- 0.8) s
respectively. WR: (9.25 +/- 0.50)%, (8.25 +/- 0.50)%, (7.50 +/- 0.58)%, (4.75 +/-
1.26)% and (3.50 +/- 1.73)% respectively. SIpeak of global layer in all groups
was as follows:261.9 +/- 2.1, 256.6 +/- 1.1, 243.8 +/- 3.3, 225.4 +/- 4.9 and
219.8 +/- 5.3 respectively. Tpeak: (157.8 +/- 1.7) s, (166.5 +/- 4.5) s, (173.2
+/- 7.2) s, (192.8 +/- 9.1) s and (200.5 +/- 2.4) s respectively. WR: (7.25 +/-
0.50)%, (6.27 +/- 0.00)%, (4.50 +/- 0.58)%, (3.75 +/- 0.50)% and (2.25 +/- 1.50)%
respectively. SIpeak, Tpeak and WR of temporal muscle in all groups showed no
statistical significance (P > 0.05). Tpeak increased while SIpeak and WR
decreased with age. Furthermore the changes of Tpeak of orbital layer were more
sensitive. CONCLUSION: Dynamic contrast-enhanced magnetic resonance imaging can
non-invasively reflect the age-related changes of blood supply and extracellular
space volume in normal adult inferior rectus muscle so as to offer important
information for the diagnosis and treatment of extraocular muscle disorders.
PMID- 22093846
TI - [A comparison study on cognitive function in patients with single subcortical
lesion stroke of four different areas].
AB - OBJECTIVE: Comparing the characteristics of cognitive impairment of patients with
single subcortical lesion stroke of four different areas, we are to explore the
cognitive function of the thalamus and basal ganglia and this is help for early
identification of vascular cognitive impairment (VCI). METHODS: 63 patients with
single subcortical lesion stroke (including 14 left thalamic stoke group, 17 left
basal ganglia stroke group, 15 right thalamic stroke group, 17 right basal
ganglia stroke group) and 34 healthy subjects participated in the current study,
whose age, sex and education were matched. A comprehensive neuropsychological
battery was used for evaluation. RESULTS: Compared to the normal control group,
there was an overall decline of cognitive functions in patients with single
subcortical lesion stroke in memory, attention/executive function, language, and
visuospatial ability (P < 0.05). The scores of the left thalamic stroke group
were worse than the other three stroke groups in language (BNT16.6 +/- 2.6),
auditory verbal learning test-immediate recall (12.8 +/- 4.4), auditory verbal
learning test-delayed recall (2.4 +/- 2.3), listening recognition (19.1 +/- 3.1),
structure delayed recall (9.1 +/- 4.7) and symbol digit modalities test-recall
(0.9 +/- 1.1) (P < 0.05). However, the left basal ganglia stroke group did better
in tests manipulated by the right hand [including Trial making test (part A)
score (75 +/- 22), Trail making test (part B) score (204 +/- 81), Clock drawing
test (23.5 +/- 4.6), Symbol digit modalities test (24 +/- 9)] than other three
stroke group, as good as the normal group (P < 0.05). CONCLUSIONS: Single
subcortical stroke patients may have general, non-selective cognitive impairment.
But, different stroke areas have their own characteristics. The scores of the
left thalamic stroke group were worse than the other three stroke groups.
PMID- 22093847
TI - [Evaluation of safety and anesthetic effect for ultrasound-guided cervical plexus
block].
AB - OBJECTIVE: To observe the influences upon the degree of diaphragmatic excursion
during deep cervical plexus block at the third cervical vertebra (C3) and compare
the safety and anesthetic effect of modified cervical plexus block by ultrasonic
guidance and blocking of cervical plexus at one point. METHODS: Part I: 30
patients of ASA (American society of anesthesiologists) I-II scheduled for
thyroid surgery were selected for bilateral cervical plexus block at C3 and
bilateral skin nerve branches via ultrasonic guidance. Diaphragmatic excursion
was recorded. Part II: 80 patients of ASAI-II scheduled for thyroid surgery were
randomly divided into 2 groups: experimental group (Group U) and control group
(Group C). In Group U, modified cervical plexus block was used to fix both sides
of C3 and skin nerve branches. The anesthetic mixture with 2% lidocaine and 0.75%
ropivacaine was injected. And anesthetic effects and complications were detected.
In control group, traditional one-point method for blocking cervical plexus was
employed. RESULTS: High-frequency Doppler sonography could clearly visualize
important neck structures and precisely guide the injection of mixture to the
transverse process of C3. Diaphragmatic excursion decreased significantly at 15
and 30 min post-blocking (P < 0.05). And no paralysis of diaphragmatic muscle
occurred. Hoverer 3 cases had partial diaphragmatic paralysis. Both blood
pressure and heart rate increased significantly post-blocking in both groups (P <
0.05 or 0.01). In comparison with Group C, the range of blood pressure was
notably lower at 10 and 20 min in Group U. And heart rate was notably lower at 5,
10, 20 and 30 min (P < 0.05 or 0.01). Furthermore the onset time of skin nerve
branches was significantly shorter in Group U (P < 0.01). And the anesthetic
effect score was better than that in Group C (P < 0.01). The incidence of
complications, such as hoarseness, was significantly lower in Group U (12 cases
in Group C but none in Group U, P < 0.01) and Horner's syndrome (2 cases in Group
C). The number of cases requiring hypotensor and heart rate control drug was
significantly smaller in Group U than that in Group C (P < 0.01). CONCLUSION: The
improving effect of ultrasound-guided cervical plexus block upon the degree of
diaphragmatic movement is within the compensatory range of body. In comparison
with the traditional one-point blocking of cervical plexus, the modified cervical
plexus block with ultrasonic guidance offers better anesthetic effects, fewer
complications and convenient anesthetic localization. Thus it may be clinically
applicable.
PMID- 22093848
TI - [Neuroendoscope-assisted surgical treatment of spinal dural arteriovenous
fistulas].
AB - OBJECTIVE: To study the utility of neuroendoscope-assisted surgery in the
treatment of spinal dural arteriovenous fistulas. METHODS: From November 2008 to
November 2010, 8 cases of spinal dural arteriovenous fistulas underwent
neuroendoscope-assisted surgical treatment by a hemilaminectomy approach.
Retrospective analyses were performed for their clinical manifestations, imaging
findings, surgical approaches, postoperative recovery and follow-up profiles.
RESULTS: All were of single fistula. Under the assistance of neuroendoscope, the
fistulas were found intra-operatively and the draining veins disconnected
successfully. The results of post-operative angiography showed the disappearance
of all draining veins. After a follow-up period of 3 - 35 months, 2 cases became
asymptomatic, 5 cases improved obviously and 1 case had no change. CONCLUSION:
Neuroendoscope-assisted surgery is mini-invasive, safe and effective in the
treatment of spinal dural arteriovenous fistulas.
PMID- 22093849
TI - [Value of bronchoalveolar lavage as a rescue measurement for systemic lupus
erythematosus complicated with diffuse alveolar hemorrhage].
AB - OBJECTIVE: To explore the value of bronchoalveolar lavage as an emergency
treatment for systemic lupus erythematosus (SLE) patients with concurrent diffuse
alveolar hemorrhage (DAH). METHODS: A total of 21 SLE plus DAH patients were
divided randomly into 2 groups. The patients in Group A received
methylprednisolone 1000 mg/d for 3 days while those in Group B methylprednisolone
1000 mg/d for 3 days in combination with a bronchoalveolar lavage. Partial
pressure of carbon dioxide (PaCO2), partial pressure of oxygen (PaO2), oxygen
saturation (SaO2) and Borg scale (BS) for quantitative evaluation of dyspnea were
recorded before and after treatment. RESULTS: Except for PaCO2, all other
parameters of blood gas analysis in all patients in Group A (P > 0.05) were
better after treatment than before (all P < 0.05). After treatment, PaO2 and SaO2
in Group B were higher than those in Group A (all P < 0.05), but PaCO2 was not
markedly changed (P > 0.05). BS decreased significantly in both groups (both P <
0.01). And more decrease was observed in Group B as compared with Group A after
treatment (P < 0.05). CONCLUSION: Bronchoalveolar lavage plus a high-dose
implosive therapy of methylprednisolone may alleviate hypoxemia and dyspnea in
acute period of SLE complicated with DAH.
PMID- 22093850
TI - [Prevention of peri-operative infection with sequential therapy of levofloxacin
in patients undergoing laparoscopic hysterectomy, laparoscopic assisted vaginal
hysterectomy and transvaginal hysterectomy].
AB - OBJECTIVE: To investigate the effect and safety of sequential therapy of
levofloxacin in the prevention of peri-operative infections among patients
undergoing laparoscopic hysterectomy (LH), laparoscopic assisted vaginal
hysterectomy (LAVH) and transvaginal hysterectomy (TVH). METHODS: During 2009 -
2010, a total of 50 patients with the indications for LH, LAVH or TVH at our
hospital were recruited. Their age range was 18 - 65 years old. In fair general
conditions, they had no severe infection of female reproductive system.
Levofloxacin 500 mg was administered by an intravenous injection once daily and
then orally once daily. RESULTS: The average duration of intravenous injection of
levofloxacin was 4.3 days while and the duration of its oral administration 4.5
days. No infection was seen in 48 patients with an efficient prevention rate of
96.0%. Only 1 patient showed mild gastroenterological reactions. The side
reaction rate was 2.0%. CONCLUSION: The sequential therapy of levofloxacin is
both effective and safe in the prevention of peri-operative infections among
patients undergoing LH, LAVH and TVH.
PMID- 22093851
TI - [Evaluating radiation dose of 128-slice dual-source computed tomographic coronary
angiography with different electrocardiogram pulsing models].
AB - OBJECTIVE: To determine the effects of heart rate frequency (HRF) and heart rate
variability (HRV) on radiation exposure and image quality in a large cohort of
patients undergoing DSCT (dual-source computed tomograph) coronary angiography
with three different electrocardiogram (ECG) pulsing models, to prospectively
investigate CT image quality parameters by using different protocols and to
calculate the radiation dose estimates for noninvasive coronary angiography
performed by DSCT. METHODS: Over a 1-month period, 253 consecutive patients were
recruited and categorized into 3 groups: Group A:low HRF (<= 75 beats/min) with
normal-minor heart rate variability (HRV) (mean interbeat difference (IBD), 0 -
3), coronary CT angiography proceeding with prospective ECG-triggered flash
spiral scan; Group B: intermediate-high HRF (> 75 beats/min) with normal-minor
HRV (IBD, 0 - 4), with prospective ECG-triggered sequence scan; Group C:
intermediate-high HRF (> 75 beats/min) with moderate-severe HRV (IBD >= 5) with
retrospective ECG-gated spiral scan. RESULTS: CT coronary angiography yielded
excellent image quality in 87.7% of patients (222/253). No significant
differences were found among three groups with different HRF and HRV in image
quality and diagnostic performance. Radiation exposure was significantly higher
in patients with low versus high HRF and in patients with severe versus normal
HRV. Significant difference (P < 0.001) was found among the effective doses of
group A (mean +/- standard deviation, 0.602 +/- 0.363 mSv), group B (1.253 +/-
0.804 mSv) and group C (9.039 +/- 5.657 mSv). CONCLUSION: The higher temporal
resolution of dual-source spiral CT coronary angiography performed with adaptive
ECG pulsing results in preserved diagnostic image quality and performance
independent of HRF or HRV at the cost of limited dose reduction in arrhythmic
patients.
PMID- 22093852
TI - [Study on thoracoabdominal incision for thoracic esophageal carcinoma by rotation
position].
AB - OBJECTIVE: To explore the clinical feasibility of thoracoabdominal incision for
thoracic esophageal carcinoma by rotation position. METHODS: From January 2004 to
December 2007, 126 patients with thoracic esophageal carcinoma performed
operation by rotation position. There were 75 males and 51 females aged 46 to 78
years. Tumor was located mid-esophagus in 74 patients, whereas sub-esophagus was
present in 52 patients. All patients underwent esophagectomy by rotation position
(thoracoabdominal incision). Thoracic and abdominal cavity were exposed well.
RESULTS: All operations were completed successful. Anastomotic stoma was located
right thorax. The mean number of tow-field lymph node dissection was 25.6. There
was no mortality. Postoperative complication include pulmonary complication,
incision infection, recurrent laryngeal nerve damage, arrhythmia. The operation
time was significantly shortened by rotation position. The number of lymph node
dissection was significantly increased. CONCLUSION: The results of this study
demonstrated that thoracoabdominal incision for thoracic esophageal carcinoma by
rotation position exposes the operation fields clearly and make radical
lymphadenectomy thoroughly. Disease-free survival is significantly improved.
PMID- 22093853
TI - [Diagnosis and surgical treatment for mediastinal hemangioma and lymphangioma].
AB - OBJECTIVE: To review the experience of diagnosis and surgical treatment of the
primary mediastinal hemangioma and lymphangioma. METHODS: We summarized the
medical records of patients with primary mediastinal hemangioma or lymphangioma
at our hospital from January 1998 to January 2009, then extracted relevant
clinical data and carried out the retrospective analysis. RESULTS: There were 11
patients in the whole group. The age range was 4 - 78 years old (average: 38.9).
Six patients were symptom-free and most patients had not an accurate preoperative
diagnosis. All patients underwent surgical procedures. The radical excision was
accomplished in 10 cases and incomplete excision in 1 case. Two cases of
surgically related complications were observed. All the cases were diagnosed by
postoperative histopathological examination. There were hemangioma (n = 5),
lymphangioma (n = 3) and hematolymphangioma (n = 3). CONCLUSIONS: The operation
should be performed once the diagnosis of hemangioma or lymphangioma is made.
Radical excision should be performed to prevent a post-operative recurrence.
PMID- 22093855
TI - Diabetes and insulin resistance in pediatric obesity.
AB - Over the past 2 decades, the prevalence of obesity and type 2 diabetes mellitus
(T2DM) in children and adolescents has risen to epidemic proportions and
disproportionately affects racial and ethnic minorities, who are at greater risk.
The pathophysiology of T2DM is complex and involves insulin resistance,
pancreatic beta-cell dysfunction, and visceral adiposity. Current treatments of
T2DM are limited to lifestyle intervention, metformin, and insulin therapy; use
of these strategies in combination is often most effective. The role of research
is to uncover simple biomarkers for insulin sensitivity and optimal and
innovative treatment of insulin resistance and T2DM.
PMID- 22093854
TI - Etiologies of obesity in children: nature and nurture.
AB - Childhood obesity is a profoundly complex problem and serves as an example of a
biospsychosocial issue. Scientific inquiry has provided incredible insight into
the complex etiology of weight gain but must be viewed as an interaction between
a human's propensity to conserve calories for survival in a world with an
abundance of it. This article provides a brief overview divided between
biological (nature) and psychosocial and behavioral (nurture) factors.
PMID- 22093857
TI - Advances in pediatric nonalcoholic fatty liver disease.
AB - Nonalcoholic fatty liver disease (NAFLD) has emerged as the most common cause of
pediatric liver disease in the developed world. Children have a form of NAFLD
that is pathologically distinct from adults. Although NAFLD remains a pathologic
diagnosis, biomarkers and imaging studies hold promise as noninvasive means of
both establishing the diagnosis and following the disease course. Significant
advancements have recently been made in genetics, pathophysiology, and the
treatment of NAFLD. The purpose of this article is to provide a clinically
relevant review of pediatric NAFLD with an emphasis on recent developments in the
field.
PMID- 22093856
TI - Dyslipidemia and pediatric obesity.
AB - Cardiovascular disease is the leading cause of death in the United States despite
a reduction in mortality over the past 4 decades. Much of this success is
attributed to public health efforts and more aggressive treatment of clinical
disease. The rising rates of obesity and diabetes, especially among adolescents
and young adults, raise concern for increases in mortality. National vital
statistics have shown a leveling of cardiovascular disease death rates in the
fifth decade of life. Public health efforts have begun to address childhood
obesity. This article reviews the dyslipidemia associated with obesity in
childhood and outlines a proposed approach to management.
PMID- 22093858
TI - Psychological complications of pediatric obesity.
AB - Psychological complications associated with pediatric obesity include low self
esteem, depression, body dissatisfaction, loss-of-control eating, unhealthy and
extreme weight control behaviors, impaired social relationships, obesity stigma,
and decreased health-related quality of life. Bioecological models offer a
framework for understanding the interaction between pediatric obesity and
psychological complications and illustrate system-level approaches for prevention
and intervention. As the medical setting is often the first point of contact for
families, pediatricians are instrumental in the identification and referral of
children with psychological complications. Motivational interviewing, patient
talking points, brief screening measures, and referral resources are important
tools in this process.
PMID- 22093860
TI - Practical approaches to the treatment of severe pediatric obesity.
AB - Pediatric obesity is a major public health threat. Obese children and adolescents
are at increased risk for many medical and surgical conditions. These conditions
may affect their quality of life and life expectancy. The rapidly progressive
nature of type 2 diabetes mellitus within the first 5 years of obesity diagnosis
is particularly concerning. Because health risk increases with degree of obesity,
adolescents who may be eligible for more aggressive obesity treatment should be
identified and counseled.
PMID- 22093859
TI - Counseling and behavior change in pediatric obesity.
AB - To effectively intervene with the overweight and obese youth, it is imperative
that primary care providers and behavioral interventionists work in concert to
help families implement healthy behaviors across socioenvironmental domains. In
this article, the authors review current office-based counseling practices and
provide evidence-based recommendations for addressing weight status and
strategies for encouraging behavior change with children and families, primarily
by increasing social support. By providing such collaborative targeted efforts,
consistent health messages and support are delivered across children's everyday
contexts, thereby helping the youth to achieve successful implementation of
eating and activity behaviors and sustainable weight loss outcomes.
PMID- 22093861
TI - Strategies for pediatric practitioners to increase fruit and vegetable
consumption in children.
AB - High intake of fruits and vegetables (FV) is associated with a decreased risk for
many chronic diseases and may assist in weight management, but few children and
adolescents consume the recommended amounts of FV. The pediatric practitioner can
positively influence FV consumption of children through patient-level
interventions (eg, counseling, connecting families to community resources),
community-level interventions (eg, advocacy, community involvement), and health
care facility-level interventions (eg, creating a healthy food environment in the
clinical setting). This article reviews the importance of FV consumption,
recommended intakes for children, and strategies by which pediatric practitioners
can influence FV consumption of children.
PMID- 22093862
TI - The role of added sugars in pediatric obesity.
AB - This article provides an overview for pediatricians and other health care
providers of the role of added sugars (caloric sweeteners) in the diets of US
children and the recent evidence linking added sugar consumption to increased
obesity and other chronic disease risk in children. The hypothesized biologic
mechanisms for these associations are summarized, and evidence-based strategies
are provided that may help children and their families to reduce their sugar
consumption. Primary health care providers play an important role in assessing
the added sugar intake of their patients and in providing nutrition and behavior
change counselling to high-risk children and their families.
PMID- 22093863
TI - Artificial sweetener use among children: epidemiology, recommendations, metabolic
outcomes, and future directions.
AB - This review summarizes the literature pertaining to the epidemiology and current
recommendations for pediatric artificial sweetener use and presents the results
of studies investigating metabolic responses to artificial sweeteners among
children. An understanding of the research previously conducted and the gaps that
remain will inform future clinical and translational research, to develop
evidence-based recommendations for artificial sweetener use in the prevention and
treatment of pediatric obesity.
PMID- 22093864
TI - The role of physical activity in pediatric obesity.
AB - This article provides an overview of the benefits of physical activity in
children, including the effects on obesity, cognitive development, academic
achievement and cognition, and health. The recommendations of health
organizations are also outlined, and practical recommendations are provided for
parents and educators.
PMID- 22093865
TI - The fault, dear viewer, lies not in the screens, but in ourselves: relationships
between screen media and childhood overweight/obesity.
AB - This article summarizes recent findings about associations between electronic
screen media and childhood overweight/obesity, hypothesized mechanisms, and
mediators. Recommendations are made for parents and clinicians.
PMID- 22093866
TI - Media, social networking, and pediatric obesity.
AB - The 5 years leading up to 2011 witnessed unprecedented transformations in the
technology and media available to American consumers. These changes have led to
major paradigmatic shifts in the way people think about media, how they use it,
and the role they expect it to play in their lives. This article discusses the
new media landscape and summarizes the evidence regarding media influences on
pediatric obesity. Various effects on pediatric obesity are discussed and some
conclusions and implications are provided, including possibilities and future
directions for clinical practice and research.
PMID- 22093867
TI - Policies to support obesity prevention for children: a focus on of early
childhood policies.
AB - Policies at many levels may help to shape environments that promote healthy
weight and prevent obesity. We present policies to support obesity prevention for
young children. We highlight policy Sand environmental systems change examples in
the areas of promoting breastfeeding and providing healthy affordable food and
information about food in community and child care settings and promoting
physical activity in child care and the community. We address the role of the
health care system and health care professionals to shape and advocate for policy
and environmental systems change and provide resources for pediatric health care
professionals to engage in community-based advocacy.
PMID- 22093868
TI - Update in childhood and adolescent obesity.
PMID- 22093869
TI - Multiple end joining mechanisms repair a chromosomal DNA break in fission yeast.
AB - Non-homologous end joining (NHEJ) is an important mechanism for repairing DNA
double-strand breaks (DSBs). The fission yeast Schizosaccharomyces pombe has a
conserved set of NHEJ factors including Ku, DNA ligase IV, Xlf1, and Pol4. Their
roles in chromosomal DSB repair have not been directly characterized before. Here
we used HO endonuclease to create a specific chromosomal DSB in fission yeast and
examined the imprecise end joining events allowing cells to survive the
continuous expression of HO. Our analysis showed that cell survival was
significantly reduced in mutants defective for Ku, ligase IV, or Xlf1. Using
Sanger sequencing and Illumina sequencing, we have characterized in depth the
repair junction sequences in HO survivors. In wild type cells the majority of
repair events were one-nucleotide insertions dependent on Ku, ligase IV, and
Pol4. Our data suggest that fission yeast Pol4 is important for gap filling
during NHEJ repair and can extend primers in the absence of terminal base pairing
with the templates. In Ku and ligase IV mutants, the survivors mainly resulted
from two types of alternative end joining events: one used microhomology flanking
the HO site to delete sequences of hundreds to thousands of base pairs, the other
rejoined the break using the HO-generated overhangs but also introduced one- or
two-nucleotide base substitutions. The chromosomal repair assay we describe here
should provide a useful tool for further exploration of the end joining repair
mechanisms in fission yeast.
PMID- 22093871
TI - Superior performance of multilayered fluoropolymer films in low voltage
electrowetting.
AB - The requirement for low operational voltage in electrowetting devices, met using
thin dielectrics, is usually connected with serious material failure issues.
Dielectric breakdown (visible as electrolysis) is frequently evident slightly
beyond the onset of the contact angle saturation. Here, plasma-enhanced chemical
vapor deposition (PECVD) is used to deposit thin fluorocarbon films prior to the
spin-coating of Teflon(r) amorphous fluoropolymer. The resulting multilayered
hydrophobic top coating improves the electrowetting performance of the stack, by
showing high resistance to dielectric breakdown at high applied voltages and for
continuous long term application of DC and AC voltage. Leakage current
measurements during electrowetting experiments with the proposed composite
coating showed that current remains fairly constant at consecutive electrowetting
tests in contrast to plain Teflon(r) coating in which material degradation is
evident by a progressive increase in the leakage current after multiple
electrowetting tests. Since the proposed composite coating demonstrates increased
resistance to material failure and to dielectric breakdown even at thin
configurations, its integration in electrowetting devices may impact their
reliability, robustness, and lifetime.
PMID- 22093870
TI - Androgen deprivation therapy before radical prostatectomy is associated with
poorer postoperative erectile function outcomes.
AB - Study Type - Therapy (case series) Level of Evidence 4 What's known on the
subject? and What does the study add? Erectile dysfunction is a recognized
complication of radical prostatectomy. Androgen deprivation therapy adversely
impacts sexual function. Our study shows that the preoperative use of androgen
deprivation therapy significantly reduces erectile function recovery after
radical prostatectomy. The underneath pathophysiological mechanisms for this to
occur are reviewed. OBJECTIVE: To define the impact of androgen deprivation
therapy (ADT), undergone before radical prostatectomy (RP), on erectile function
(EF) recovery. MATERIAL AND METHODS: A total of 38 consecutive patients
presenting to a sexual medicine clinic after undergoing RP who had received ADT
before RP (ADT+ group) were compared with a contemporary, age and comorbidity
matched cohort of 94 patients who did not receive ADT (ADT- group) before
undergoing RP. Medical records were reviewed for demographics, comorbidity
profiles and duration of ADT exposure. All the patients underwent Doppler penile
ultrasonography within 6 months of RP and were administered the International
Index of Erectile Function (IIEF) questionnaire. All the patients underwent
evaluation of EF recovery. We analysed the incidence of venous leak (VL), mean
IIEF EF domain score and proportion of men with EF domain scores >= 24 at 18
months after RP. RESULTS: The mean age, comorbidity profiles, median Gleason
score, median pre-treatment PSA level, and mean time to evaluation after RP were
similar between the two groups. The median duration of ADT exposure in the ADT+
group was 3 months. The incidence of VL within 6 months of surgery was 60% for
the ADT+ and 20% for the ADT- group (P < 0.001). Likewise, the IIEF EF domain
scores and proportion of men with EF domain scores >= 24 at 18 months were
significantly lower in the ADT+ group, even when controlled for nerve-sparing
status. CONCLUSION: Our data suggest that preoperative use of ADT adversely
impacts EF outcomes and should therefore be avoided in the absence of robust data
suggesting any oncological benefit.
PMID- 22093872
TI - In situ kinetic study of zinc sulfide activation using a quartz crystal
microbalance with dissipation (QCM-D).
AB - We have studied the activation kinetics of zinc sulfide (ZnS) using silver as an
activator by a quartz crystal microbalance with dissipation (QCM-D). The zinc
sulfide coating on QCM-D sensor was shown to have similar crystallographic
structure, composition, and surface properties as nature sphalerite through the
characterization of X-ray diffraction (XRD), X-ray photoelectron spectroscopy
(XPS), and xanthate adsorption measurement using QCM-D. The activation of ZnS
sensor by silver was confirmed by the mass increase in ZnS sensor coupled with
subsequent xanthate adsorption during QCM-D measurement, the change of surface
wettability, and the presence of Ag(2)S on the surface. Two distinct stages on
the silver uptake vs. time curve were identified and fitted well by a logarithmic
function for the initial stage and a parabolic law in the later stage, which
agrees with the two-stage zinc-silver reaction kinetics reported previously.
Argon sputtering followed by XPS measurement on the ZnS surface demonstrated the
penetration of silver into the bulk ZnS after activation. The present study is
the first of its kind to apply the QCM-D technique to investigate sphalerite
activation, which introduces a new in situ approach to investigate surface
adsorption and activation in many mineral processes and surface modifications.
PMID- 22093873
TI - Synergistic effect of polycation and polyanion on silica polymerization.
AB - Colloidal silica (SiO(2)) is perhaps the most undesirable inorganic deposit
formed in industrial water treatment systems. SiO(2) can either be found in bulk
or on surfaces, such as heat exchangers, pipelines, or membrane. Conventional
mineral scale inhibitors cannot inhibit its formation. Chemical cleaning is
difficult and not free from hazards. This paper reports the excellent inhibition
efficiency of adipic acid/amine-terminated polyethers D230/diethylenetriamine
copolymer (AA/AT/DE). However, a small amount of silica-AA/AT/DE white insoluble
floc appears in the solution. To overcome this problem, polyepoxysuccinic acid
(PESA) is introduced. PESA by itself cannot inhibit silica polymerization.
However, the combination of PESA and AA/AT/DE cannot only prevent the white floc,
but also improve the inhibition performance of AA/AT/DE. The underlying mechanism
is investigated based on zeta potentials and atomic force microscopy topography.
PMID- 22093874
TI - Evaluation of the NOD/SCID xenograft model for glucocorticoid-regulated gene
expression in childhood B-cell precursor acute lymphoblastic leukemia.
AB - BACKGROUND: Glucocorticoids such as prednisolone and dexamethasone are critical
drugs used in multi-agent chemotherapy protocols used to treat acute
lymphoblastic leukemia (ALL), and response to glucocorticoids is highly
predictive of outcome. The NOD/SCID xenograft mouse model of ALL is a clinically
relevant model in which the mice develop a systemic leukemia which retains the
fundamental biological characteristics of the original disease. Here we report a
study evaluating the NOD/SCID xenograft mouse model to investigate glucocorticoid
induced gene expression. Cells from a glucocorticoid-sensitive xenograft derived
from a child with B-cell precursor ALL were inoculated into NOD/SCID mice. When
highly engrafted the mice were randomized into groups of 4 to receive
dexamethasone 15 mg/kg by intraperitoneal injection or vehicle control. Leukemia
cells were harvested from mice spleens at 0, 8, 24 or 48 hours thereafter, and
gene expression analyzed on Illumina WG-6_V3 chips, comparing all groups to time
0 hours. RESULTS: The 8 hour dexamethasone-treated timepoint had the highest
number of significantly differentially expressed genes, with fewer observed at
the 24 and 48 hour timepoints, and with minimal changes seen across the time
matched controls. When compared to publicly available datasets of glucocorticoid
induced gene expression from an in vitro cell line study and from an in vivo
study of patients with ALL, at the level of pathways, expression changes in the 8
hour xenograft samples showed a similar response to patients treated with
glucocorticoids. Replicate analysis revealed that at the 8 hour timepoint, a
dataset with high signal and differential expression, using data from 3
replicates instead of 4 resulted in excellent recovery scores of > 0.9. However
at other timepoints with less signal very poor recovery scores were obtained with
3 replicates. CONCLUSIONS: The NOD/SCID xenograft mouse model provides a
reproducible experimental system in which to investigate clinically-relevant
mechanisms of drug-induced gene regulation in ALL; the 8 hour timepoint provides
the highest number of significantly differentially expressed genes; time-matched
controls are redundant and excellent recovery scores can be obtained with 3
replicates.
PMID- 22093875
TI - Efficient transcription by RNA polymerase I using recombinant core factor.
AB - Transcription of ribosomal DNA by RNA polymerase I is a central feature of
eukaryotic ribosome biogenesis. Since ribosome synthesis is closely linked to
cell proliferation, there is a need to define the molecular mechanisms that
control transcription by RNA polymerase I. To fully define the factors that
control RNA polymerase I activity, biochemical analyses using purified
transcription factors are essential. Although such assays exist, one limitation
is the low abundance and difficult purification strategies required for some of
the essential transcription factors for RNA polymerase I. Here, we describe a new
method for expression and purification of the three subunit core factor complex
from Escherichia coli. We demonstrate that the recombinant material is more
active than yeast-derived core factor in assays for RNA polymerase I
transcription in vitro. Finally, we use recombinant core factor to differentiate
between two opposing models for the role of the TATA-binding protein in
transcription by RNA polymerase I.
PMID- 22093876
TI - Distribution of a marker of germline methylation differs between major families
of transposon-derived repeats in the human genome.
AB - A potential relationship between transposon-derived repeats (TDR) and human
germline methylation is of biological importance since many genes are flanked by
TDR and methylation could affect the expression of nearby genes. Furthermore, DNA
methylation has been suggested as a global defense mechanism against genome
instability threatened by TDR. We studied the correlation between the density of
HapMap methyl-associated SNPs (mSNPs), a marker of germline methylation, and
proportion of TDR. After correcting for confounding variables, we found a
negative correlation between proportion of Alu repeats and mSNP density for 125
1000 kb windows. Similar results were found for the most active subgroup of
repeats. In contrast, a negative correlation between proportion of L1 repeats and
mSNP density was found only in the larger 1000 kb windows. Using methylation data
on germ cells (sperm) from the Human Epigenome Project, we found a lower
proportion of Alu repeats adjacent (3-15 kb) to hypermethylated amplicons. On the
contrary, there was a higher proportion of L1 repeats in the 3-5 kb of sequence
flanking hypermethylated amplicons but not in the 10-15 kb flanks. Our data
indicate a differential response to the major repeat families and that DNA
methylation is unlikely to be a uniform global defense system against all TDR. It
appears to play a role for the L1 subgroup, with sequences adjacent to L1 repeats
methylated in response to their proximity. In contrast, sequences adjacent to Alu
repeats appear to be hypomethylated, arguing against a role of methylation in
germline defense against those elements.
PMID- 22093877
TI - Polymorphism of estrogen response element in TFF1 gene promoter is associated
with an increased susceptibility to gastric cancer.
AB - TFF1 is a cysteine-rich protein that forms a characteristic trefoil domain
through disulfide bonds, which render it resistant to vigorous conditions and it
involves in maintaining the integrity of the gastric mucosa. Decreased expression
of TFF1 gene plays a role in the development of gastric cancer. We examined the
association between the promoter polymorphisms of the TFF1 gene and the risk of
development of gastric cancer, in a case-control study including 199 controls and
141 patients with gastric cancer. Assessment of single nucleotide polymorphisms
in the promoter region of the TFF1 gene was performed by sequencing and
polymerase chain reaction-based restriction fragment length polymorphism. We
found a statistically significant increased risk of gastric cancer associated
with -394 TT genotypes (OR=8.78, CI=2.85-27.05, p<0.001) and CT (OR=1.64, CI=1.04
2.60, p=0.033). This single nucleotide polymorphism occurs naturally in an
estrogen response element. According to induction of the TFF1 gene by estrogen,
it is possible that the substitution of C to T results in a decreased estrogen
receptor binding affinity to the estrogen response element and in turn it
decreases the expression of the TFF1 gene that may be involved in development of
gastric cancer over a lifetime.
PMID- 22093878
TI - Expression of Escherichia coli cspA during early exponential growth at 37
degrees C.
AB - CspA is a small (7.4 kDa) nucleic acid binding protein of Escherichia coli whose
expression is stimulated after cold-stress but whose level is also
extraordinarily high during the early phase of growth of non-stressed cells. In
this study the relationship existing between cspA transcription/translation on
the one hand and the acquisition of critical mass for cell division and
chromosome replication, on the other, in stationary phase cells subjected to a
nutritional up-shift at 37 degrees C has been analyzed. Measurements of optical
density and viable counts, pulse-chase, real-time PCR and immunodetection
experiments, as well as cytofluorimetric and DNA duplication analyses show that
synthesis of new CspA molecules at 37 degrees C is not only restricted to the
lag phase ensuing the nutritional up-shift, but continues also during the first
stages of logarithmic growth, when cells have already started dividing; although
the early synthesized molecules are diluted by the following cell divisions and
new synthesis occurs at an extremely low level, cspA mRNA and CspA continue to be
present. A possible explanation for the apparent paradox that cspA is activated
not only following cold stress, but also under non-stress and other stress
conditions which entail a down-regulation of bulk gene expression and protein
synthesis is presented.
PMID- 22093879
TI - Dynamics of Escherichia coli O157:H7 outbreak detection and investigation,
Minnesota 2000-2008.
AB - We determined characteristics of Escherichia coli O157:H7 pulsed-field gel
electrophoresis clusters that predict their being solved (i.e. that result in
identification of a confirmed outbreak). Clusters were investigated by the
Minnesota Department of Health (MDH) using a dynamic iterative model. During 2000
2008, 19 (23%) of 84 clusters were solved. Clusters of >=3 isolates were more
likely to be solved than clusters of two isolates. Clusters in which the first
two case isolates were received at MDH on the same day were more likely to be
solved than were clusters in which the first two case isolates were received over
>=8 days. Investigation of clusters of >=3 E. coli O157:H7 cases increased the
success of cluster investigations.
PMID- 22093880
TI - Evaluation of the personal development portfolio in higher education: an
explorative study.
AB - Personal Development Profiles (PDPs) have been an important and necessary feature
of United Kingdom (UK) Higher Education for more than a decade. There is
significant agreement as to their core purpose and their key features are of
relevance to higher education institutions internationally, irrespective of
whether an equivalent formal system or process is in place. The aim of this
exploratory study was to evaluate the use of PDPs within a Child Health Nursing
Programme in a UK University, looking at the experiences of both teaching staff
and of students coming to the end of their three year programme of study. A
convenience sample of final year pre-registration Child Health Nursing students
and their lecturers was used for the purposes of this study with mixed methods of
collection used to generate the required data. A survey was conducted with
questionnaires. Following the analysis of the questionnaires, a discussion group
was undertaken with the students. A series of questions was developed to guide
this discussion. The structure offered by the system of PDPs evaluated here was
seen to offer much in the way of potential value. Staff saw it as offering
direction, a tool for discussion and a clear time and reason for meeting their
students. Students similarly appreciated the structure it provided, but did
describe it as somewhat repetitive. These findings were clearly of use in terms
of evaluating this ongoing work, but also offer the potential to inform the work
of other educational institutions.
PMID- 22093881
TI - Lecturers' experiences of facilitating guided group reflection with pre
registration BSc Nursing students.
AB - The development of reflective practitioners is integral to undergraduate nursing
degree programmes. This study reports on lecturers' experiences of facilitating
guided group reflection with pre-registration BSc Nursing students.The research
purposively sampled lecturers (n=7) working in a department of nursing and
midwifery at a third level institute in Ireland, all of whom were registered
nurses. Using a qualitative research approach, data was collected through audio
taped semi-structured individual interviews. The data were thematically analysed
using guidelines developed by Braun and Clarke (2006). Tripartite researcher
discussion and further analysis of these initial individual analyses led to
consensus regarding the three themes arising from the study. These were: Being a
facilitator; Facilitating reflective learning and Creating structure. The
discussion centred on: having knowledge and experience to effectively facilitate
guided group reflection; the influence of the facilitator's personal philosophy
on reflection and adult learning on group facilitation; and finally concerns
regarding professional responsibility in response to students' reflective
practice accounts.
PMID- 22093882
TI - Peer bullying in a pre-registration student nursing population.
AB - Peer bullying is a major problem in schools and workplaces including the National
Health Service. Although there are a few published studies exploring the
incidence of peer bullying among university students, none is specific to pre
registration nursing students. Nursing programmes are delivered across two
campuses of the university however students registered at individual campuses do
not mix which makes the experiences of each campus individual. The aim of this
study was to explore the incidence and manifestation of peer bullying amongst pre
registration nursing students in the university setting. The study describes the
reported incidence of the three types of peer bullying behaviour: physical,
verbal and non-verbal bullying. Participants in their final year of adult nurse
education were asked to explore their perceptions of peer bullying, the frequency
of witnessed or experienced behaviour and the location of where this behaviour
occurred on the university campuses via a quantitative questionnaire. In total
190 students were surveyed with 156 (82%) responding. Participants reported peer
bullying is experienced by student nurses on university premises and that
academic members of staff are sometimes present when this behaviour is
demonstrated. Reported levels of bullying decreased during their 2nd and 3rd
years of the course compared to the foundation year. This decrease may have been
in response to the university's strong anti-bullying stance.
PMID- 22093883
TI - Critical conversations: developing a methodology for service user involvement in
mental health nursing.
AB - AIM: Recent policy initiatives have identified that service user involvement in
the education, design and delivery of mental health services should be more
evident. This paper will discuss a methodology that was developed to do this.
METHOD: A small study was designed and undertaken to explore the needs of service
users and carers when becoming involved in mental health care. This paper will
discuss the methodology that was developed for the study in order to make
involvement practices more visible. FINDINGS: A critical conversation or
narrative was developed that identified three main themes. Developing
relationships with service users and carers that share universal goals whilst
respecting diverse needs and encouraging recovery were found to be valued by the
participants. CONCLUSION: The paper will conclude by showing how this methodology
can be equally applied in a learning context, relevant for mental health nurse
education.
PMID- 22093884
TI - Liver transplantation for refractory severe pruritus related to widespread
multifocal hepatic focal nodular hyperplasia (FNH) in a child: case report and
review of literature.
AB - FNH is a rare and benign tumor of the liver. It is not a conventional indication
for liver transplantation, and no transplant for FNH in a child has been reported
to date. Multifocal FNH growing in adolescent age to a widespread tumor invading
the whole liver and associated with severe refractory pruritus was an unusual
indication for transplantation in a 13-yr-old girl. The operation and the follow
up were uneventful, allowing full recovery and disappearance of pruritus.
PMID- 22093885
TI - Energetic and biomechanical constraints on animal migration distance.
AB - Animal migration is one of the great wonders of nature, but the factors that
determine how far migrants travel remain poorly understood. We present a new
quantitative model of animal migration and use it to describe the maximum
migration distance of walking, swimming and flying migrants. The model combines
biomechanics and metabolic scaling to show how maximum migration distance is
constrained by body size for each mode of travel. The model also indicates that
the number of body lengths travelled by walking and swimming migrants should be
approximately invariant of body size. Data from over 200 species of migratory
birds, mammals, fish, and invertebrates support the central conclusion of the
model - that body size drives variation in maximum migration distance among
species through its effects on metabolism and the cost of locomotion. The model
provides a new tool to enhance general understanding of the ecology and evolution
of migration.
PMID- 22093886
TI - [Accuracy of transthoracic Doppler echocardiography in the estimation of
pulmonary artery systolic pressures].
AB - OBJECTIVE: To investigate the qualitative accuracy of pulmonary artery systolic
pressure (PASP) as estimated by transthoracic Doppler echocardiography (TDE).
METHODS: A retrospective study was conducted on 102 adult patients with
idiopathic pulmonary arterial hypertension undergoing Doppler echocardiography
within 72 hours prior to right heart catheterization. During this period, all
patients were stable without any specific drug therapy. Compared with right heart
catheterization, the accuracy of PASP as measured by TDE was evaluated. RESULTS:
Among them, there were 38 males and 64 females with an average age of (31 +/- 11)
years old (range: 18 - 59 years old). There was a moderate correlation between
the measurements of PASP by TDE and right heart catheterization (r = 0.64, P <
0.01). Through the analysis of Bland-Altman, the bias for the TDE estimates of
PASP was 6.7 mm Hg with a 95% limit of agreement ranging from -47.6 to 34.3 mm
Hg. There were 60 (58.8%) cases with absolute differences over 10 mm Hg between
two methods. Overestimation and underestimation of PASP by TDE occurred in 15.7%
(16/102) and 43.1% (44/102) respectively. The magnitude of pressure
underestimation and overestimation was insignificant [(25 +/- 12) vs (26 +/- 16)
mm Hg, P = 0.765]. The probability of underestimate was higher than that of
overestimate. As to the corresponding diagnostic categories of severity that each
subject would fall into for each technique, the diagnostic categories of 16
overestimated patients were in accordance. Among 44 underestimated patients, 9
(20.5%) had their pressure underestimated within one diagnostic category (minor
error) while 2 (4.5%) were within two diagnostic categories (major error).
CONCLUSION: Due to a frequent rate of inaccurate estimation of PASP, TDE can not
replace right heart catheterization. TDE tends to underestimate PASP and results
in a diagnostic misclassification of degree.
PMID- 22093887
TI - [Relationship between plasma total homocysteine and mild cognitive impairment in
senile patients with type 2 diabetes].
AB - OBJECTIVE: To explore the relationship between fasting plasma level of total
homocysteine (tHcy) and mild cognitive impairment in senile patients with type 2
diabetes mellitus. METHODS: A total of 88 senile type 2 diabetics with mild
cognitive impairment treated at our hospital from July 2008 to November 2010 were
recruited into the MCI group while 52 senile type 2 diabetics into the DNC group.
And the control group was composed of 36 healthy elders. The parameters of tHcy,
total glyceride (TG), total cholesterol (TC), low density lipoprotein-C (LDL-C),
high density lipoprotein-C (HDL-C), creatinine (Cr), hemoglobin A1c (HbA1c),
fasting plasma glucose (FPG), 2 h plasma glucose (2 hPG), fasting insulin (FINS),
homeostasis model of insulin resistance (HOMA-IR), folic acid (FA) and vitamin
B(12) (VitB(12)) were detected. RESULTS: The patients had a higher level of tHcy
in the MCI group than those in the NCM and control groups [(11.3 +/- 1.8) vs (9.8
+/- 1.5) and (8.1 +/- 1.1) umol/L; P < 0.01]. ROC curve showed that tHcy level
had some value of predicting the occurrence of mild cognitive impairment in
senile patients with type 2 diabetes mellitus (AUC 0.825, 95%CI 0.758-0.893, P <
0.01). Logistic regression analysis showed that tHcy, SBP, HbA1c, 2 h PG, FINS,
LDL-C, HOMA-IR, FA and VitB(12) [OR value: 3.64, 1.68, 1.10, 1.05, 0.81, 1.42,
0.83, 0.74, 0.86 (P < 0.05 or 0.01)] were independent risk factors of mild
cognitive impairment in senile diabetic patients. CONCLUSION: Such factors as
tHcy, SBP, HbA1c, FPG, 2 hPG, FINS, LDL-C, HOMA-IR, FA and VitB(12) induce senile
patients with type 2 diabetes mellitus to suffer mild cognitive impairment. But
tHcy level may play an important role in senile diabetic patients with mild
cognitive impairment.
PMID- 22093888
TI - [Application of sedation with a low dose of dexmedetomidine during intrathecal
anesthesia in elderly patients].
AB - OBJECTIVE: To explore the feasibility and safety of sedation with a low dose of
dexmedetomidine (DEX) during intrathecal anesthesia in the elderly patients.
METHODS: Thirty elderly patients were randomly divided into the DEX group (n =
15) and the control group (n = 15). There were 13 males and 17 females with a
mean age of 77 years old (range: 65 - 89 years old). After an induction of
intrathecal anesthesia, the patients in the DEX group received an infusion of 0.4
ug*kg(-1)*h(-1) for 10 min. Then the infusion speed was adjusted between 0.2 and
0.4 ug*kg(-1)*h(-1) to maintain the values of bispectral index (BIS) at around
80. An equivalent volume of normal saline was administered in the control group.
Blood pressure, heart rate, respiratory rate, pulse oxygen saturation (SpO2), end
tidal carbon dioxide partial pressure (P(ET)CO(2)) and BIS were recorded at the
beginning of DEX infusion, 10, 20, 30 and 60 min after DEX infusion beginning and
at the end of surgery. The observer's assessment of alertness/sedation (OAA/S)
scores and the clinical responses were also recorded. A statistical analysis was
performed. RESULTS: All intraoperative hemodynamic and respiratory parameters
were within the normal range in both groups. Compared with the beginning after
infusion, blood pressure at the point of 10 min after infusion decreased about
10% - 15% (P < 0.05) in the DEX group. But there was no such change in the
control group. The values of BIS also decreased significantly at each time point
after 10 min infusion versus the beginning of administration (P < 0.05); as
compared with the control group, the values of BIS also decreased significantly
at 30, 60 min and the end of infusion (P < 0.05). The 95%confidence interval (CI)
of BIS values were 70 - 95 in the DEX group and 80 - 100 in the control group (P
< 0.05). In the DEX group, the OAA/S scores were significantly lower at the
points of 20, 30, 60 min and the end of infusion versus the beginning after
infusion. And it was also lower in the DEX group than that in the control group
(95%CI: 3 - 4 vs 4 - 5, P < 0.05). No bradycardia occurred in the DEX group. Two
of three patients with concurrent atrial fibrillation were converted to sinus
rhythm after the administration of DEX. Patients with severe lung diseases
(pulmonary fibrosis, asthma or chronic obstructive pulmonary disease) maintained
normal cardiopulmonary functions in the DEX group. DEX showed a good sedation
effect in 1 patient with mental diseases. CONCLUSION: Sedation with a small dose
of DEX during intrathecal anesthesia in elderly patients is both feasible and
efficacious. But studies of larger sample sizes are warranted to confirm its
safety.
PMID- 22093889
TI - [Surgical strategies on intravenous leiomyomatosis invading heart].
AB - OBJECTIVE: To explore the diagnostic features and therapeutic methods of
intravenous leiomyomatosis with a potential of heart invasion. METHODS: Eight
cases of cardiac involvement with intravenous leiomyomatosis treated at our
hospital from November 2002 to August 2011 were analyzed and compared. RESULTS:
Either imaging or surgery confirmed that in all 8 patients the pelvic lesions
originated from the inferior vena cava and heart involvement without pulmonary
embolism. In 3 cases, palpitations and chest tightness were noticed. Four cases
showed lower extremity edema and abdominal distension while no obvious clinical
symptom was found for 1 case. All 8 cases had a history of uterine fibroids and 6
underwent previous hysterectomy. Among 8 patients, there were 5 cases of
cardiopulmonary bypassing right heart, inferior vena cava tumor resection and
pelvic involvement vein ligation and 2 cases of routine off-pumping of inferior
vena cava with pelvic tumor resection. Palliative therapy was administered in 1
case. Two patients were resection the right heart and inferior vena cava tumor in
the cardiopulmonary bypass, 3 months later were resection gynecologic uterine,
double-accessories and broad ligament and were not treated with hormone. After
operation, another 5 surgery patients received high-dose hormone treatments. 2
cases of recurrence in each group were found by ultrasound follow-ups from 15 -
90 months. CONCLUSION: Invasion of the heart intravenous leiomyomatosis is a rare
case, which can be tackled with a good effect by completely surgical resection
and a limited effect on hormone therapy to prevent recurrence.
PMID- 22093890
TI - [A meta-analysis of early percutaneous coronary intervention within 24 hours of
thrombolysis in acute ST-elevation myocardial infarction].
AB - OBJECTIVE: To evaluate the efficacy and safety of early percutaneous coronary
intervention (PCI) within 24 hours of thrombolysis in acute ST-elevation
myocardial infarction. METHODS: The databases of Medline, EMBASE, Elsevier,
Cochrane library, Wanfang and CNKI were searched for randomized controlled
trials. Quality assessment and data extraction were performed by two independent
reviewers. Statistical analyses were conducted with Stata 10.0 and RevMan 5.0
software. RESULTS: Eight studies (NORDI-STEMI, TRANSFER-AMI, WEST, CARESS-AMI,
CAPITAL-AMI, GRACIA-I, SIAMI III & PRAGUE-I) involving a total of 3157 patients
fulfilled the inclusion criteria. Meta-analysis results showed that, as compared
with the control group, (1) the combined endpoint of 30 day mortality, re
infarction and ischemia was significantly lower in early PCI within 24 h of
thrombolysis group [relative risk (RR) = 0.52, 95% confidence interval (CI) 0.42
0.65, P < 0.001]; (2) 30-day re-infarction decreased in early PCI within 24 h of
thrombolysis group (RR = 0.57, 95%CI 0.40 - 0.81, P = 0.002); (3) 30-day ischemia
had a significant reduction in early PCI within 24 h of thrombolysis group (RR =
0.27, 95%CI 0.14 - 0.52, P < 0.001); (4) 30-day major hemorrhage or mortality
rates were not significantly different between two groups (RR = 1.07, 95%CI 0.78
1.46, P = 0.69; RR = 0.86, 95%CI 0.62 - 1.20, P = 0.38 respectively). CONCLUSION:
When primary PCI is not feasible, our meta-analysis favors early PCI within 24 h
of thrombolysis for acute ST-elevation myocardial infarction. Early PCI is
associated with a lowered recurrence of major adverse cardiac events, ischemia
and re-infarction. But there is no elevated risk of major hemorrhage and
mortality.
PMID- 22093892
TI - [Extramammary Paget's disease of the scrotum: an analysis of 26 cases].
AB - OBJECTIVE: To investigate the clinical features, diagnosis and therapeutics of
extramammary Paget's disease. METHODS: A total of 26 cases of scrotal Paget's
disease were included. According to Ray staging, 16, 7 and 3 cases had A1, A2 and
B stages of Peget's diseases respectively. All cases underwent surgical resection
of their diseased sites after a biopsy. The excision margin was 2 cm apart from
the edge of lesion. And resection depth reached deep fascia. During operation,
frozen sections of excised tissue specimens in 18 cases were pathologically
examined. And the excision margins were involved by tumor cell in 3 cases
(16.67%). Thus extended excision was performed immediately. Postoperatively,
excision margin involvement was confirmed in 1 case and phase II operation
performed. Three cases with inguinal lymph node metastasis underwent unilateral
or bilateral inguinal lymph node dissection. RESULTS: Paget's disease was
confirmed in all 26 cases. Tumor was localized in epidemics in 15 cases and skin
invasion found in 11 cases. The lymph node was tumescent in 9 cases. Among them,
3 had pathologically confirmed metastasis and 6 was diagnosed with lymphadenitis.
All cases received a mean follow-up period of 5 years (range: 1 - 7 years). Five
locally recurrent cases healed after a second operation. CONCLUSION: Chronic skin
lesions of the scrotum should be biopsied as early as possible if extramammary
Paget's disease is suspected. Radical resection of diseased skin is its preferred
therapy. A second operation may be performed for recurrence. The prognosis of
extramammary Paget's disease is excellent after radical surgery.
PMID- 22093891
TI - [Autologous peripheral blood hematopoietic stem cell transplantation in the
treatment of type 1 diabetic mellitus: a report of 16 cases].
AB - OBJECTIVE: To explore the efficacy and safety of autologous peripheral blood
hematopoietic stem cell transplantation (APBHST) in patients with type 1 diabetes
mellitus. METHODS: Hematopoietic stem cells were mobilized with cyclophosphamide
and granulocyte colony stimulating factor for 16 patients with type 1 diabetes
mellitus who admitted to our department during November 2009 to August 2010. And
then stem cells were collected from peripheral blood by leukapheresis and
cryopreservation. The cells were infused intravenously after conditioning with
cyclophosphamide and antithymocyte globulin. To compare the daily dose of
exogenous insulin requirements, the serum levels of hemoglobin A1c (HbA1c), C
peptide, islet cell function during the mixed meal tolerance test were measured
before and at different times after APBHST. Blood glucose was monitored 7 times a
day before and after APBHST. And the adverse effects were recorded during and
after APBHST. RESULTS: The median follow-up was 28 weeks (range: 8 - 44 weeks).
Twelve of 16 patients stayed free from insulin at 3 - 20 days post APBHST. And
islet cell function greatly improved after APBHST. Four of 16 patients required
exogenous insulin but the dosage decreased. And all 4 patients had a poor level
of C-peptide before APBHSCT. There were no such severe adverse effects as
myelosuppression. CONCLUSION: Very encouraging results have been obtained in the
patients treated with APBHST. There is definite therapeutic effects and safety in
a short term. But further follow-up is necessary to confirm the duration of
insulin independence and the mechanisms of action.
PMID- 22093893
TI - [Private hospital medical process of virtual team members impact on the analysis
of differences].
AB - OBJECTIVE: To analyze the private hospital the individual characteristics of
virtual team members to run the hospital medical process for the impact of the
differences, the private hospital managers to develop management strategies for
scientific and rational basis. METHODS: Four different regions of the empirical
investigation of private hospitals, and the use of SPSS software for statistical
analysis of survey data. RESULTS: The ages of staff in dealing with the original
terms of team cohesion were significant differences; gender, education, job
titles and other features of the nature and impact of medical procedures for the
difference was not statistically significant. CONCLUSION: Older employees the
minimum of the original team cohesion; women in trust and work among the members
of the initiative are better than men; specialist qualifications of staff-level
positive initiative, strongest work, job confidence among members of difference
in performance prominent.
PMID- 22093894
TI - [Evaluation of immune responses and related patho-inflammatory reactions of a
candidate inactivated EV71 vaccine in neonatal monkeys].
AB - OBJECTIVE: To evaluate the safety of enterovirus type 71 (EV71) inactivated
vaccine (human diploid derived) for infection prevention in an animal model by
investigating the immune responses and related patho-inflammatory reactions.
METHODS: In the neonatal monkey model for EV71 vaccine protection, vaccinated
group (n = 4) and unvaccinated group (n = 4) were attacked with live virus at the
same time, the parameters of clinical observations, antibodies and inflammatory
factors in peripheral blood and cerebrospinal fluid (CSF) were detected. And the
pathological changes in major organs were used to determine the patho
inflammatory reactions during the immune responses elicited by vaccination.
RESULTS: The neutralizing antibodies of vaccine group reach to 1:32. There was no
obvious changes of inflammatory factors in peripheral blood and CSF of monkeys
challenged or unchallenged by live virus. In peripheral blood of unvaccinated
group, the level of basophilic granulocyte higher 4 - 5 times than normal level
and the interferon-gamma (IFN-gamma) showed obvious increase. Live virus infected
after 7 days, the interleukin-6 (IL-6) and IFN-gamma in peripheral blood of
unvaccinated group (18.5, 12.7 pg/ml) were higher than vaccinated group (10.2,
7.6 pg/ml). Furthermore, the IL-6 in CSF (102.0 pg/ml) had 4 - 5 times increased
than vaccinated group (12.4 pg/ml) at 7 days after virus exposure. Meanwhile, the
pathological analysis revealed that no obvious changes were detected in CNS and
other organs of vaccinated monkeys challenged with live virus. However, the
pathological damages induced by virus infection could be determined in the
unvaccinated control monkeys, including neuronal damage, massive cellular
infiltration associated with pulmonary edema/hemorrhage and pulmonary/bronchial
damage due to an infiltration of inflammatory cells. CONCLUSION: Capable of
inducing an immune response, the EV71 inactivated vaccine offers protection to
neonatal rhesus monkeys against the attacks of live virus. Based on the results
of no patho-inflammatory reaction and pathological damage after viral infection
in vaccinated animals, the excellent safety of this vaccine may be confirmed in
neonatal monkey.
PMID- 22093895
TI - [Therapeutic effect of transplantation of bone marrow mesenchymal stem cells over
expressing Cx43 on heart failure in post-infarction rats].
AB - OBJECTIVE: To explore the therapeutic effect of transplantation of bone marrow
mesenchymal stem cells (BMSCs) over-expressing Cx43 on heart failure in post
infarction rats. METHODS: Sixty SD rats were randomly divided equally into 4
groups: sham group, DMEM/F12 group injected with DMEM/F12, EGFP group with
transplanted EGFP transfected BMSCs and Cx43 group with transplanted Cx43
transfected BMSCs. Myocardial infarction model was established by ligating
anterior descending branch and the cells were transplanted after 30 minutes. At
Week 4 post-infarction, the heart functions of rats were evaluated by
echocardiography. After the rats were sacrificed, their tissue samples were
collected. The areas of myocardial infarction and the levels of collagen fiber
content were measured. And the expressions of EGFR and Cx43 were observed under
laser confocal microscopy. The level of Cx43 mRNA was measured by reverse
transcription-polymerase chain reaction (RT-PCR). RESULTS: As compared with the
DMEM/F12 group, cardiac function was improved significantly, myocardial infarct
area shrunk and collagen fiber content decreased significantly in the EGFP and
group in Cx43 groups the. Survival of BMSCs and the formation of gap junction
between BMSCs and the host myocardium could be observed under laser confocal
microscopy both in EGFP group and Cx43 groups. And the post-infarction,
expression of Cx43 mRNA in myocardial tissue decreased significantly in the group
DMEM/F12, when compared with sham group (0.18 +/- 0.05 vs 0.78 +/- 0.14, P <
0.01). There was no significant difference on expression of Cx43 mRNA between
DMEM/F12 group and EGFP group (0.18 +/- 0.05 vs 0.20 +/- 0.09, P > 0.05). The
lever of Cx43 mRNA was higher in group Cx43 than in group DMEM/F12 and group
EGFP(0.39 +/- 0.14 vs 0.18 +/- 0.05, P < 0.01; 0.39 +/- 0.14 vs 0.20 +/- 0.09, P
< 0.05). CONCLUSION: Transplantation of BMSCs attenuates ventricular remodeling
and improves cardiac functions. It may result from the over-expression of Cx43
gene through its effects of improving gap junction remodeling and increasing
electro-mechanical coupling between myocardial cells in peri-infarct area.
PMID- 22093896
TI - [Effects of soy isoflavones and major active component genistein on the
expression of ovarian estrogen receptor-alpha in rats].
AB - OBJECTIVE: To investigate the effects of soy isoflavones (SI) on the expression
of estrogen receptor-alpha (ER-alpha) in senile rat ovaries and ovarian granulosa
cell cultured in vitro treated with genistein, a major active component of SI.
METHODS: The animal model of perimenopause rats was established by unforced
aging. The animals were treated by intragastric administration (ig) with low (50
mg/kg), middle (158 mg/kg) and high (500 mg/kg) dose of SI for 8 weeks. The
expressions of ER-alpha mRNA and protein were detected by reverse transcription
polymerase chain reaction (RT-PCR) and immunohistochemistry respectively. The
granulosa cells of rat ovaries were isolated and administered with genistein (0,
0.1, 1, 5, 10, 100 umol/L) for 48 h and the expression levels of ER-alpha mRNA
detected by RT-PCR. RESULTS: The ER-alpha mRNA expression levels of the low,
middle and high dose groups of SI (0.207 +/- 0.014, 0.316 +/- 0.073 and 0.402 +/-
0.170 respectively) were higher than those of the model group (0.671 +/- 0.170)
(all P < 0.01). The expression levels of ER-alpha protein for the low, middle and
high dose groups of SI (7.35 +/- 4.90, 13.90 +/- 5.12 and 23.79 +/- 10.31
respectively) were higher than those of the model group (2.74 +/- 0.09) (all P <
0.01). The expression levels of ER-alpha mRNA in granulosa cells treated with 1,
5, 10 umol/L genistein for 48 h were 0.927 +/- 0.232, 1.067 +/- 0.154, 1.118 +/-
0.126 respectively (all P < 0.01). They were higher than those of the control
group (0.671 +/- 0.170). But the expression levels of 100 umol/L genistein group
were lower than those of the control group (P < 0.05). CONCLUSION: Soy
isoflavones can up-regulate the expressions of ER-alpha mRNA and protein in
senile rat ovaries. As a major active component of soy isoflavones, genistein can
regulate the expressions ER-alpha mRNA in granulosa cells of rat ovaries. Such an
effect is concentration-dependent. And 1-10 umol/L genistein may up-regulate the
expression of ER-alpha mRNA.
PMID- 22093897
TI - [Regulating action of iron regulatory protein-2 in iron metabolism of lung
cancer].
AB - OBJECTIVE: To discuss the regulating mechanism of iron regulatory protein-2
(IRP2) in the iron metabolism of lung cancer. METHODS: The cultured A549 cells
were divided into 3 groups: liposome group (including liposomes 20 mg/L), random
oligonucleotide group (SCODN group) and antisense oligonucleotide group (ASODN
group). And the liposome-mediated transfection was employed with the liposome and
SCODN groups as controls. Reverse transcription-polymerase chain reaction (RT
PCR) and Western blot were used to examine the mRNA and protein expressions of
iron metabolism-related transferring (Tf), transferrin receptor (TfR) and
ferritin (Fn) genes, etc. RESULTS: After a 48-hour transfection, the mRNA
expression of Tf had no statistically significant difference among three groups
(F = 2.18, P = 0.078); the mRNA expression of TfR in the ASODN group was
significantly lower than that in the liposome and SCODN groups (P < 0.05). The
expression of Fn mRNA in the ASODN group (0.56 +/- 0.06) was higher than that in
the liposome (0.36 +/- 0.05) and SCODN groups (0.39 +/- 0.03) (P < 0.05). After a
48-hour transfection, the IRP2 protein expression of the ASODN group was
significantly lower than those of the liposome and SCODN groups (P < 0.05). The
Tf protein expression was not statistically different in three groups (F = 2.67,
P = 0.088). The TfR protein expression of the ASODN group was lower than those of
the liposome and SCODN groups (P < 0.05). And the Fn protein expression of the
ASODN group was higher than those of the liposome and SCODN groups (P < 0.05).
CONCLUSION: IRP2 may affect the expressions of TfR and Fn in lung adenocarcinoma
A549 cells by changing the amount of protein and regulating the iron metabolism.
PMID- 22093898
TI - [Mechanisms and dynamics of Th17 cells in mice with cigarette smoke-induced
emphysema].
AB - OBJECTIVE: To evaluate the expression of Th17 cell in a cigarette smoke-induced
mice model of emphysema and explore the probable mechanisms of its elevation.
METHODS: Forty male Balb/c mice were randomly divided into 4 groups: control
group for 12 weeks (C12), control group for 24 weeks (C24), smoke-exposure group
for 12 weeks (S12) and smoke-exposure group for 24 weeks (S24)(n = 10 each).
Morphological changes were evaluated by mean linear intercepts (Lm) and
destructive index (DI). The percentages of Th17, Th1, Th17/Th1, CD4(+)IL
17(+)CCR6(+)T and CCR6(+)Th17 cells were determined by tetra-color flow cytometry
while the levels of interleukin (IL)-1beta, IL-6, IL-23, transforming growth
factor (TGF)-beta, interferon (IFN)-gamma and CC chemokine ligand (CCL)-20
assayed by enzyme-linked immunosorbent assay (ELISA). RESULTS: The values of Lm
[(39 +/- 4) um, (47 +/- 7) um] and DI [(39.1 +/- 1.6), (45.2 +/- 3.1)] were
significantly higher in S12 and S24 than those in C12 [(33 +/- 3) um, (28.2 +/-
1.6)] and C24 [(32 +/- 4) um, (28.9 +/- 2.1)], particularly in C24 (all P <
0.05). The percentages of Th17 cell [(3.27 +/- 1.12), (7.19 +/- 2.24)], Th17/Th1
cell [(0.61 +/- 0.30), (1.82 +/- 0.52)] and Th1 cell [(10.02 +/- 3.68), (26.21 +/
6.04)] in the lungs of S12 and S24 significantly increased than those in C12
[(1.80 +/- 0.75), (0.27 +/- 0.12), (3.75 +/- 1.72)] and C24 [(1.99 +/- 0.59),
(0.28 +/- 0.11), (4.16 +/- 1.32)], particularly in C24 (all P < 0.01). The
percentages of Th17, Th17/Th1 and Th1 cells in the lungs of S12 and S24 had a
positive correlation with Lm and DI (all P < 0.01). The percentages of CD4(+)IL
17(+)CCR-6(+)T cell [(0.69 +/- 0.34), (1.11 +/- 0.48)] and CCR6(+)Th17 cell
[(12.23 +/- 2.13), (18.65 +/- 1.17)] were significantly elevated in S12 and S24
compared to those in C12 [(0.22 +/- 0.18), (6.55 +/- 2.13)] and C24 [(0.25 +/-
0.17), (7.29 +/- 1.57)], particularly in C24 (all P < 0.05). Furthermore, a
positive correlation between CCR6(+)Th17 cell and emphysematous lesions was also
found (all P < 0.05). The levels of IL-1beta, IL-6, IL-23, TGF-beta, IFN-gamma
and CCL20 significantly increased in S12 and S24 as compared with those of C12
and C24 (all P < 0.05). Meanwhile, the percentage of Th17 cell had a positive
correlation with IL-1beta, IL-6, IL-23, TGF-beta, IFN-gamma and CCL20.
CONCLUSION: There is an up-regulated expression of Th17 in lungs of cigarette
smoke-induced emphysema mice. The CCR6/CCL20 axis and the elevated levels of IL
1beta, IL-6, IL-23, TGF-beta and IFN-gamma may be related with the above effect.
PMID- 22093899
TI - [Effects and mechanisms of phosphodiesterase type 5 inhibitors on rats with
overactive bladder].
AB - OBJECTIVE: To investigate the effects and the possible mechanistic pathway of
phosphodiesterase type 5 (PDE5) inhibitors on rats with overactive bladder.
METHODS: A total of 24 adult male spontaneously hypertensive rats (SHRs) were
randomly divided into 3 groups: daily lavage group, discontinuous lavage group
and blank group (n = 8 each). Daily vardenafil (10 mg*kg(-1)*d(-1)),
discontinuous vardenafil (10 mg*kg(-1)*d(-1)) and daily normal saline were
administered respectively to 3 groups by lavage. And 8 adult male SD rats were
included into the control group. Bladder urodynamic examinations were conducted
in each group 2 weeks later. Then bladder detrusor muscle strips isolated from
each group were further divided into two parts. One part was first pre-contracted
and then the relaxant effects of sodium nitroprusside and Y-27632 were observed.
For another part, enzyme-linked immunosorbent assay was used to measure cyclic
guanosine monophosphate (cGMP). RESULTS: As compared with the control group, the
values of bladder inter contraction interval (ICI) and bladder capacity (BC) were
significantly lower [(409 +/- 36) s vs (568 +/- 60) s, (284 +/- 25) ul vs (395 +/
42) ul, P < 0.01] while the bladder non voiding contraction (NVC) was
significantly higher in the blank group [(2.03 +/- 0.49) number/min vs(1.07 +/-
0.30) number/min, P < 0.01]. Compared with the blank group, the values of ICI and
BC were elevated. NVC decreased obviously in the discontinues and daily lavage
groups [(486 +/- 53) s and (564 +/- 44) s; (337 +/- 37) ul and (392 +/- 30) ul;
(1.82 +/- 0.32) number/min and (0.52 +/- 0.23) number/min, P < 0.05]. The effects
were more significant in the daily lavage group (P < 0.01). The maximal relaxant
effect of sodium nitroprusside was obviously enhanced in the discontinues and
daily lavage groups [(50.6 +/- 2.1)% and (67.9 +/- 4.1)% vs(25.3 +/- 5.0)%, P <
0.01]. However the sensitivity of Y-27632 decreased significantly [(35.8 +/-
2.5)% and (20.2 +/- 2.3)% vs (71.6 +/- 2.8)%, P < 0.01], while the level of cGMP
was significantly higher in the bladder detrusor muscle [(20.6 +/- 4.1) fmol/mg
and (29.4 +/- 4.3) fmol/mg vs (12.9 +/- 2.1) fmol/mg, P < 0.01]. The effects of
the daily lavage group were more pronounced (P < 0.01). CONCLUSION: The
phenomenon of bladder overactivity is observed in the SHRs. The PDE5 inhibitors
are effective in treating overactive bladder. And the effect of daily supplement
is much better. In addition, the mechanism may operate through the cGMP-dependent
protein kinase G-RhoA/Rho kinase signaling pathway.
PMID- 22093900
TI - [Effects of combined enteral nutrition support on hemorrheologic parameters and
the level of inflammatory factors in rabbits with severe acute pancreatitis].
AB - OBJECTIVE: To explore the effects of combined enteral nutrition (CEN) on the
hemorheologic parameters and the changing levels of inflammatory factors in an
animal model of severe acute pancreatitis (SAP). METHODS: The experimental
animals were divided randomly into 3 groups, i.e. early enteral nutrition (EEN)
group, CEN group and parenteral nutrition(PN)group (n = 20 each). Enteral
nutrition was administered to the EEN and CEN group animals at 24 h and 72 h post
modeling respectively. The PN group animals were supported by parenteral
nutrition all time. Hemorrheologic indices of all experimental animals were
examined on Days 1, 3 and 7 post-modeling. And the inflammatory factors were
examined on Days 1 and 7. RESULTS: Compared with the EEN and PN groups, some
hemorrheologic indices of the CEN group decreased significantly (P < 0.05) on Day
7 post-modeling. They included blood sedimentation, hematocrit (HCT), whole blood
high-cut reduction viscosity and whole blood low-cut reduction viscosity. As
compared within the CEN group, each hemorrheologic index was lower on Day 7 than
that on Day 1 (P < 0.05). Except for whole blood high-cut reduction viscosity and
erythrocyte aggregation index in the EEN group after a 7-day nutrition support,
there was no significant change for all hemorrheologic indices in the PN group.
As to the level of inflammatory factors, the values of interleukin 8 (IL-8) and
tumor necrosis factor-alpha (TNF-alpha) in the CEN group were lower than those in
the PN group on Day 7 post-modeling (P < 0.05). The values of IL-8 and IL-6 in
the CEN group were lower than those in the EEN group on the same day (P < 0.05).
As compared within the CEN group, the values of IL-6 and TNF-alpha were lower on
Day 7 than those on Day 1 post-modeling (P < 0.05). CONCLUSION: The modulatory
mechanism of EN over SAP should be achieved by correcting hemorrheologic index
change and lowering the level of inflammatory factors. A proper timing of EN is
probably the most optimal nutrition support mode of SAP therapy.
PMID- 22093901
TI - [Effects of cPKCbetaII/gamma membrane translocation ischemic/hypoxic tolerance
induced by morphine postconditioning in hippocampal slices].
AB - OBJECTIVE: To determine whether or not morphine postconditioning can induce
ischemic/hypoxic tolerance in neurons subjected to reperfusion injury after
oxygen-glucose deprivation (OGD). METHODS: Hippocampal slices of 400 um thickness
were prepared from healthy adult male BALB/c mice. The slices were incubated in
oxygen-saturated ACSF without or with calcium, then were subjected to OGD for 20
min. After recovery, the samples were immersed in oxygenated artificial fluid for
2 hours in the presence or absence of morphine postconditioning at 3 umol/L
during the first 5 - 60 min. The assessment of slices injury was performed by a
determination of the intensity of slice stain incubated with TTC (2% 2, 3, 5
triphenyltetrazolium chloride) and the leakage rate of LDH also evaluated. At the
designated periods during incubation, some slices were immersed into liquid
nitrogen for a later analysis of Western blot. The frozen slices were
homogenized, sonicated and centrifuged to separate soluble and particulate
proteins. 10% SDS-PAGE Western blot was used to identify the changes of membrane
specific translocation of cPKCbetaII/gamma. RESULTS: After reperfusion, the cell
survival significantly decreased with the elongation of OGD (51.4%). The release
rate of LDH (184.05%) significantly increased simultaneously. In hippocampal
slices postconditioned with morphine for 20 - 60 min, the release rate of LDH
(136%, 142%, 144%) significantly decreased as compared with the group OGD. In the
hippocampal slices postconditioned with morphine for 10 - 30 min, the cell
survival rate (64.9%, 69.9%, 63.5%) significantly increased as compared with
reperfusion alone. cPKCgamma of particulate fraction increased versus the
control. And there was a corresponding decrease of cytosolic fraction. Morphine
postconditioning significantly inhibited the cPKCgamma isoform-specific membrane
translocation. It declined from 136% in the group OGD to 123%, 118%, 114% in the
group morphine 20 - 60 min. cPKCbetaII membrane translocation had no change.
CONCLUSION: Morphine postconditioning can induce ischemic tolerance in nerons.
The protective mechanism may be through inhibiting the cPKCgamma isoform-specific
membrane translocation.
PMID- 22093902
TI - Exposure to repeated maternal aggression induces depressive-like behavior and
increases startle in adult female rats.
AB - The stress response is a multifaceted physiological reaction that engages a wide
range of systems. Animal studies examining stress and the stress response employ
diverse methods as stressors. While many of these stressors are capable of
inducing a stress response in animals, a need exists for an ethologically
relevant stressor for female rats. The purpose of the current study was to use an
ethologically relevant social stressor to induce behavioral alterations in adult
female rats. Adult (postnatal day 90) female Wistar rats were repeatedly exposed
to lactating Long Evans female rats to simulate chronic stress. After six days of
sessions, intruder females exposed to defeat were tested in the sucrose
consumption test, the forced swim test, acoustic startle test, elevated plus
maze, and open field test. At the conclusion of behavioral testing, animals were
restrained for 30 min and trunk blood was collected for assessment of serum
hormones. Female rats exposed to maternal aggression exhibited decreased sucrose
consumption, and impaired coping behavior in the forced swim test. Additionally,
female rats exposed to repeated maternal aggression exhibited an increased
acoustic startle response. No changes were observed in female rats in the
elevated plus maze or open field test. Serum hormones were unaltered due to
repeated exposure to maternal aggression. These data indicate the importance of
the social experience in the development of stress-related behaviors: an acerbic
social experience in female rats precipitates the manifestation of depressive
like behaviors and an enhanced startle response.
PMID- 22093903
TI - Wastewater irrigation and environmental health: implications for water governance
and public policy.
AB - Climate change is a large-scale and emerging environmental risk. It challenges
environmental health and the sustainability of global development. Wastewater
irrigation can make a sterling contribution to reducing water demand, recycling
nutrients, improving soil health and cutting the amount of pollutants discharged
into the waterways. However, the resource must be carefully managed to protect
the environment and public health. Actions promoting wastewater reuse are every
where, yet the frameworks for the protection of human health and the environment
are lacking in most developing countries. Global change drivers including climate
change, population growth, urbanization, income growth, improvements in living
standard, industrialization, and energy intensive lifestyle will all heighten
water management challenges. Slowing productivity growth, falling investment in
irrigation, loss of biodiversity, risks to public health, environmental health
issues such as soil salinity, land degradation, land cover change and water
quality issues add an additional layer of complexity. Against this backdrop, the
potential for wastewater irrigation and its benefits and risks are examined.
These include crop productivity, aquaculture, soil health, groundwater quality,
environmental health, public health, infrastructure constraints, social concerns
and risks, property values, social equity, and poverty reduction. It is argued
that, wastewater reuse and nutrient capture can contribute towards climate change
adaptation and mitigation. Benefits such as avoided freshwater pumping and energy
savings, fertilizer savings, phosphorous capture and prevention of mineral
fertilizer extraction from mines can reduce carbon footprint and earn carbon
credits. Wastewater reuse in agriculture reduces the water footprint of food
production on the environment; it also entails activities such as higher crop
yields and changes in cropping patterns, which also reduce carbon footprint.
However, there is a need to better integrate water reuse into core water
governance frameworks in order to effectively address the challenges and harness
the potential of this vital resource for environmental health protection. The
paper also presents a blueprint for future water governance and public policies
for the protection of environmental health.
PMID- 22093904
TI - Intimate partner violence among women with HIV infection in rural Uganda:
critical implications for policy and practice.
AB - BACKGROUND: Intimate partner violence (IPV) is a major public health problem in
Africa and worldwide. HIV infected women face increased IPV risk. We assessed the
prevalence and factors associated with IPV among HIV infected women attending HIV
care in Kabale hospital, Uganda. METHODS: This cross-sectional study was
conducted among 317 HIV infected women attending Kabale regional hospital HIV
treatment centre, from March to December 2010. Participants were interviewed
using an interviewer-administered questionnaire. Data was collected on socio
demographic variables, social habits, and IPV (using the abuse assessment screen
and the Severity of Violence against Women Scale to identify physical, sexual and
psychological violence). Characteristics of the participants who reported IPV
were compared with those who did not. Multivariate logistic-regression analysis
was conducted to analyze factors that were independently associated with IPV.
RESULTS: The mean age of 317 respondents was 29.7 years. Twenty two (6.9%) were
adolescents and 233 (73.5%) were married or cohabiting. The mean age of the
spouse was 33.0 years.One hundred and eleven (35.0%) were currently on
antiretroviral therapy. Lifetime prevalence of IPV (physical or sexual) was
36.6%. In the preceding 12 months, IPV (any type) was reported by 93 respondents
(29.3%). This was physical for 55 (17.6%), and sexual /psychological for 38
(12.1%). On multivariate multinomial logistic regression analysis, there was a
significant but inverse association between education level and physical partner
violence (adjusted relative risk (ARR) 0.50, confidence limits (95% CI) 0.31
0.82, p-value = 0.007). There was a significant but inverse association between
education level of respondent and sexual/psychological violence (ARR 0.47 95%CI
(0.25-0.87), p-value = 0.017) Likewise, there was a significant inverse
association between the education level of the spouse and psychological/sexual
violence (ARR 0.57, 95% CI 0.25-0.90, p-value = 0.018). Use of antiretroviral
therapy was associated with increased prevalence of any type of violence
(physical, sexual or psychological) with ARR 3.04 (95%CI 1.15-8.45, p-value =
0.032). CONCLUSION: Almost one in three women living with HIV had suffered
intimate partner violence in the preceding 12 months. Nearly one in five HIV
patients reported physical violence, and about one in every seven HIV patients
reported sexual/psychological violence. Likewise, women who were taking
antiretroviral drugs for HIV treatment were more likely to report any type of
intimate partner violence (physical, sexual or psychological). The implication of
these findings is that women living with HIV especially those on antiretroviral
drugs should be routinely screened for intimate partner violence.
PMID- 22093905
TI - Expression of the human tumor suppressor p53 induces cell death in Pichia
pastoris.
AB - The human tumor suppressor p53 is known as guardian of genome because of its
involvement in many signals related to cell life or death. In this work, we
report that human p53 induces cell death in the yeast Pichia pastoris. We showed
a growth inhibition effect, which increased with the p53 protein expression level
in recombinant Mut(s) (methanol utilization slow) strain of Pichia. However, no
effect of p53 was observed in recombinant strain of Mut(+) (methanol utilization
plus) phenotype. Interestingly, human p53 induces cell death in recombinant
strains Mut(s) with characteristic markers of apoptosis such as DNA
fragmentation, exposure of phosphatidylserine, and reactive oxygen species
generation. Taken together, our results strongly suggest that human p53 is
biologically active in this heterologous context. Thus, we propose that P.
pastoris could be a useful tool to better understand the biological function of
human p53.
PMID- 22093906
TI - First evidence of previously undescribed trichomonad species in the intestine of
pigs?
AB - Three different parasites of the phylum Parabasala (Tritrichomonas foetus,
Trichomitus rotunda and Tetratrichomonas buttreyi) have been described in pigs.
In a previous study (Mostegl et al., 2011) approximately 47% of 91 paraffin wax
embedded intestinal samples of pigs which were Trichomonas-positive by in situ
hybridization using a probe with a broad reactivity spectrum contained other
species than T. foetus. Out of these, intestinal trichomonads from three pigs
(pigs 1-3) were further analyzed by gene sequencing of a part of the 18S
ribosomal RNA (rRNA) gene using primer walking. Subsequently, the partial
sequences achieved by the different primer pairs were combined to a sequence of
about 1000 bp for each trichomonad. In all three pigs unique sequences were
acquired which showed only moderate similarities to sequences available in the
GenBank. Alignments and the BLAST analysis showed a high degree of homology
between sequences of trichomonads from pig 1 and pig 3 with only 1% difference.
These sequences were found to be 92% similar to Hypotrichomonas acosta, a
trichomonad isolated from squamate reptiles. The trichomonad sequence detected in
the intestine of pig 2 showed about 10% nucleotide differences compared to pigs 1
and 3. This sequence was 97% similar to two Trichomitus batrachorum (a frog
symbiont) sequences. A phylogenetic analysis using the neighbor-joining and
maximum likelihood methods supported the data of the BLAST analysis. These
results suggest the presence of at least two as yet undescribed trichomonad
species in the intestinal contents of pigs.
PMID- 22093907
TI - Heterorhabditis bacteriophora (Rhabditida: Heterorhabditidae) HP88 for biological
control of Rhipicephalus microplus (Acari: Ixodidae): the effect of different
exposure times of engorged females to the nematodes.
AB - The aim of this study was to assess the influence of different exposure times of
engorged female the Rhipicephalus microplus to infective juveniles of
Heterorhabditis bacteriophora isolate HP88. The engorged females were divided
into seven groups (six treatments and one control) of 20 ticks each with
statistically similar average weights (p>0.05) and exposed to concentrations of
300 nematodes/tick for periods of 3, 6, 12, 24, 48 and 72 h. The following
biological parameters were monitored: pre-oviposition period; egg mass weight;
hatching percentage; and efficacy of treatment. There was no influence of the
exposure time on the pre-oviposition period (p>0.05), while there were
significant differences (p<0.05) between the groups exposed for 24, 48 and 72 h
and the control group with respect to the egg mass weight, and 24h for hatching
percentage. Treatment efficacy reached 100% after exposure for 48 and 72 h. These
results demonstrate that infective juveniles of H. bacteriophora HP88, under
laboratory conditions, interfere in the majority of the biological parameters of
the non-parasitic phase of engorged R. microplus females when the exposure time
is greater than or equal to 24h.
PMID- 22093908
TI - Effect of infection with Teladorsagia circumcincta on milk production and
composition in Assaf dairy sheep.
AB - Infection by gastrointestinal nematodes (GIN) in grazing sheep can negatively
influence the growth of lambs and milk yield. In the current study we evaluated
the effect of high and low levels of infection with Teladorsagia circumcincta on
production parameters of lactating ewes. Two groups of ewes were infected with
50,000 T. circumcincta third stage larvae (L3) 30 days before lambing. To obtain
a high level of infection, Group HI was challenged with 30,000 L3 30 days post
partum (pp) and again 90 days pp with the same dose. The low infection group (LI)
was treated with an intraruminal controlled-release albendazole bolus one-month
pp. We determined the effect of the differing parasite loads on milk yield, milk
composition, weight gain, and body condition (BC). Throughout the trial the milk
yield was higher in the LI group and, consequently, at the end of the lactation,
this group produced 11.1% more milk than the HI group. In the final third of
lactation there was an overall increase in milk fat, protein, and lactose
although the factor most affected by infection level was the protein percentage,
with an increment of 11.9% in the HI group. The somatic cell counts remained low
during the experiment indicating the absence of udder infection. Regarding weight
and BC, significant differences were found only in BC although LI ewes weighed 2%
more than the HI group. In conclusion, a low level of GIN infection in sheep
leads to a better BC and a higher milk production with high protein percentage.
PMID- 22093909
TI - The inverse agonist effect of rimonabant on G protein activation is not mediated
by the cannabinoid CB1 receptor: evidence from postmortem human brain.
AB - Rimonabant (SR141716) was the first potent and selective cannabinoid CB1 receptor
antagonist synthesized. Several data support that rimonabant behaves as an
inverse agonist. Moreover, there is evidence suggesting that this inverse agonism
may be CB1 receptor-independent. The aim of the present study was to elucidate
whether the effect of rimonabant over G protein activation in postmortem human
brain is CB1 dependent or independent. [(35)S]GTPgammaS binding assays and
antibody-capture [(35)S]GTPgammaS scintillation proximity assays (SPA) were
performed in human and mice brain. [(3)H]SR141716 binding characteristics were
also studied. Rimonabant concentration-dependently decreased basal
[(35)S]GTPgammaS binding to human cortical membranes. This effect did not change
in the presence of either the CB1 receptor agonist WIN 55,212-2, the CB1 receptor
neutral antagonist O-2050, or the CB1 allosteric modulator Org 27569.
[(35)S]GTPgammaS binding assays performed in CB1 knockout mice brains revealed
that rimonabant inhibited the [(35)S]GTPgammaS binding in the same manner as it
did in wild-type mice. The SPA combined with the use of specific antibody-capture
of G(alpha) specific subunits showed that rimonabant produces its inverse agonist
effect through G(i3), G(o) and G(z) subtypes. This effect was not inhibited by
the CB1 receptor antagonist O-2050. Finally, [(3)H]SR141716 binding assays in
human cortical membranes demonstrated that rimonabant recognizes an additional
binding site other than the CB1 receptor orthosteric binding site recognized by O
2050. This study provides new data demonstrating that at least the inverse
agonist effect observed with >1MUM concentrations of rimonabant in
[(35)S]GTPgammaS binding assays is not mediated by the CB1 receptor in human
brain.
PMID- 22093910
TI - Towards a new treatment for equine acute laminitis: the importance of signalling
pathways.
PMID- 22093911
TI - The effect of radiological hip dysplasia and breed on survival in a prospective
cohort study of four large dog breeds followed over a 10 year period.
AB - The aim of the study was to measure the effect of radiological hip and elbow
dysplasia status and breed on overall survival in a cohort of four large dog
breeds in Norway. Privately owned dogs of the Newfoundland (NF), Labrador
Retriever (LR), Leonberger (LEO), and Irish Wolfhound (IW) breeds were followed
prospectively from birth to 10 years of age. The age of death/euthanasia was
registered. A total of 501 dogs from 103 litters were enrolled. Kaplan-Meier
survival curves were used to describe breed differences in survival times. The
effects of radiological hip and elbow dysplasia status as well as breed were
assessed using a Cox proportional hazards model. The variables 'sex' and 'living
region' were explored as potential confounders. Among LRs, 60.2% of the dogs were
still alive at 10 years of age, and the corresponding figures for NFs, LEOs, and
IWs were 28.8%, 16.11%, and 6.4%, respectively. Radiological hip dysplasia status
and breed were found to influence overall survival. Two different time-varying
effects were observed in that with the IW the hazard of death increased linearly
through time, while the effect of severe radiological hip dysplasia decreased
logarithmically with time. Location influenced the death hazard and dogs living
in suburban areas or cities had longer mean time to death and a lower hazard
compared to dogs living in the countryside. Radiological elbow dysplasia status
was not found to have an effect on overall survival.
PMID- 22093912
TI - Cost-effectiveness analysis of newer anticholinergic drugs for urinary
incontinence vs oxybutynin and no treatment using data on persistence from the
Swedish prescribed drug registry.
AB - Study Type - Therapy (cost effectiveness). Level of Evidence 2a. What's known on
the subject? and What does the study add? Anticholinergic drugs are a common
treatment alternative in urinary incontinence, which results in large costs for
caregivers. So far, most cost-effectiveness analyses of anticholinergic drugs
have focused on small putative differences between the newer anticholinergics.
This study takes a novel approach by treating the clinical effects of the newer
alternatives as similar and evaluating them as a group in relation to no
treatment and oxybutynin (immediate release). It also uses registry data to
account for persistence. OBJECTIVE: * To analyse the cost-effectiveness of newer
anticholinergic drugs in relation to oxybutynin immediate release (IR) and no
treatment for patients with urgency urinary incontinence. PATIENTS AND METHODS: *
A decision analytic model was constructed. * Results were collected from
randomized trials and combined with registry data on persistence of medicine use
and estimated number of severe adverse events. * The setting corresponds to
Swedish clinical practice. * The costs and effects of the treatment options were
analysed over a period of 1 year. Costs included drug costs, treatment costs and
costs for pad use. Patients' utilities were based on treatment effect and the
lack or presence of adverse events. RESULTS: * No treatment was the least costly
treatment but also resulted in the fewest number of quality adjusted life years
(QALYs). * Treatment with newer anticholinergic drug medications is the most
costly option but also the most efficient treatment. Sensitivity analyses showed
that the results were robust. * Treatment with newer anticholinergics resulted in
a cost per QALY gained of ?21 045 compared with no treatment and no effect and
?65 435 compared with no treatment and placebo effect. Compared with oxybutynin
IR, the cost per QALY gained was ?37 119. These calculations are based on
relatively low pad costs, resulting in higher costs per QALY for the original
drugs. CONCLUSIONS: * The newer anticholinergic medications are likely to be cost
effective in relation to oxybutynin IR. * The cost-effectiveness of the newer
anticholinergics compared with no treatment depends on assumptions of the effect
of no treatment, the severity of the treated condition and the treated
individual's risk of adverse events. * Treatment is less likely to be cost
effective for elderly persons or for persons otherwise at higher risk for adverse
events.
PMID- 22093913
TI - Six-month incidence of bloodstream infections in intestinal transplant patients.
AB - BACKGROUND: Intestinal transplantation has emerged as an established treatment
for life-threatening intestinal failure. The most common complication and cause
of death is infection. Risk of infection is highest during the first 6 months, as
a consequence of maximal immunosuppression, greater than that required for any
other organ allograft. METHODS: We performed a retrospective chart review of all
(56) adult and pediatric (<18 years) small bowel transplant patients at our
institution between November 2003 and July 2007, and analyzed the 6-month post
transplant incidence of bloodstream infections (BSIs). We evaluated multiple risk
factors, including inclusion of a colon or liver, total bilirubin >5, surgical
complications, and acute rejection. RESULTS: A BSI developed in 34 of the 56
patients, with a total of 85 BSI episodes. Of these BSI episodes, 65.9% were due
to gram-positive organisms, 34.1% gram-negative organisms, and 2.4% due to fungi.
The most common isolates were Enterococcus species, Enterobacter species,
Klebsiella species, and coagulase-negative staphylococci. Inclusion of the liver
and/or a preoperative bilirubin >5 mg/dL appeared to increase the incidence of
BSI (P = 0.0483 and 0.0005, respectively). Acute rejection and colonic inclusion
did not appear to affect the incidence of BSI (P = 0.9419 and 0.8248,
respectively). The BSI incidence was higher in children (P = 0.0058).
CONCLUSIONS: BSIs are a common complication of intestinal transplantation. Risk
factors include age <18, inclusion of the liver, and pre-transplant bilirubin >5.
Acute rejection and colon inclusion do not appear to be associated with increased
BSI risk.
PMID- 22093914
TI - The effect of anemia and white matter hyperintensities (WMH) on cognitive
impairment in patients with amnestic mild cognitive impairment (MCI).
AB - Anemia and subcortical ischemic change might be associated with increased risks
for cognitive impairment among the elderly. This study examined the associations
among anemia, WMH and cognitive function in patients with amnestic MCI. We
recruited 278 subjects with amnestic MCI from the Clinical Research Center for
Dementia of South Korea (CREDOS), a hospital-based cohort study. A standardized
neuropsychological battery, containing tests of language, visuospatial function,
verbal memory and executive function, was used for all patients. Anemia was
defined as a hemoglobin concentration below 12 g/dl for women and below 13 g/dl
for men. The severity of WMH was also examined using brain magnetic resonance
imaging (MRI). After multivariable adjustments, anemia and WMH were associated
with poorer performance on cognitive function tests (anemia: Stroop test, F=4.17,
p=0.042; WMH: Stroop test, F=6.45, p=0.002; Rey-complex figure test-copy, F=4.08,
p=0.018). Moreover, a significant interaction between anemia and the severity of
WMH was observed in performance on the Go/no go test (F=4.50, p=0.012) and the
Stroop test (F=3.36, p=0.037). In post hoc analysis, anemic patients with severe
WMH had significantly worse scores on measure of executive function (Go/no go
test, p=0.011; Stroop test, p=0.001). Anemia and WMH had interactive effects on
executive function impairment among the elderly with amnestic MCI.
PMID- 22093915
TI - The contribution of spinal glial cells to chronic pain behaviour in the
monosodium iodoacetate model of osteoarthritic pain.
AB - BACKGROUND: Clinical studies of osteoarthritis (OA) suggest central sensitization
may contribute to the chronic pain experienced. This preclinical study used the
monosodium iodoacetate (MIA) model of OA joint pain to investigate the potential
contribution of spinal sensitization, in particular spinal glial cell activation,
to pain behaviour in this model. Experimental OA was induced in the rat by the
intra-articular injection of MIA and pain behaviour (change in weight bearing and
distal allodynia) was assessed. Spinal cord microglia (Iba1 staining) and
astrocyte (GFAP immunofluorescence) activation were measured at 7, 14 and 28 days
post MIA-treatment. The effects of two known inhibitors of glial activation,
nimesulide and minocycline, on pain behaviour and activation of microglia and
astrocytes were assessed. RESULTS: Seven days following intra-articular injection
of MIA, microglia in the ipsilateral spinal cord were activated (p < 0.05,
compared to contralateral levels and compared to saline controls). Levels of
activated microglia were significantly elevated at day 14 and 21 post MIA
injection. At day 28, microglia activation was significantly correlated with
distal allodynia (p < 0.05). Ipsilateral spinal GFAP immunofluorescence was
significantly (p < 0.01) increased at day 28, but not at earlier timepoints, in
the MIA model, compared to saline controls. Repeated oral dosing (days 14-20)
with nimesulide attenuated pain behaviour and the activation of microglia in the
ipsilateral spinal cord at day 21. This dosing regimen also significantly
attenuated distal allodynia (p < 0.001) and numbers of activated microglia (p <
0.05) and GFAP immunofluorescence (p < 0.001) one week later in MIA-treated rats,
compared to vehicle-treated rats. Repeated administration of minocycline also
significantly attenuated pain behaviour and reduced the number of activated
microglia and decreased GFAP immunofluorescence in ipsilateral spinal cord of MIA
treated rats. CONCLUSIONS: Here we provide evidence for a contribution of spinal
glial cells to pain behaviour, in particular distal allodynia, in this model of
osteoarthritic pain. Our data suggest there is a potential role of glial cells in
the central sensitization associated with OA, which may provide a novel analgesic
target for the treatment of OA pain.
PMID- 22093916
TI - Protein composition of interband regions in polytene and cell line chromosomes of
Drosophila melanogaster.
AB - BACKGROUND: Despite many efforts, little is known about distribution and
interactions of chromatin proteins which contribute to the specificity of
chromomeric organization of interphase chromosomes. To address this issue, we
used publicly available datasets from several recent Drosophila genome-wide
mapping and annotation projects, in particular, those from modENCODE project, and
compared molecular organization of 13 interband regions which were accurately
mapped previously. RESULTS: Here we demonstrate that in interphase chromosomes of
Drosophila cell lines, the interband regions are enriched for a specific set of
proteins generally characteristic of the "open" chromatin (RNA polymerase II,
CHRIZ (CHRO), BEAF-32, BRE1, dMI-2, GAF, NURF301, WDS and TRX). These regions
also display reduced nucleosome density, histone H1 depletion and pronounced
enrichment for ORC2, a pre-replication complex component. Within the 13 interband
regions analyzed, most were around 3-4 kb long, particularly those where many of
said protein features were present. We estimate there are about 3500 regions with
similar properties in chromosomes of D. melanogaster cell lines, which fits quite
well the number of cytologically observed interbands in salivary gland polytene
chromosomes. CONCLUSIONS: Our observations suggest strikingly similar
organization of interband chromatin in polytene chromosomes and in chromosomes
from cell lines thereby reflecting the existence of a universal principle of
interphase chromosome organization.
PMID- 22093917
TI - Comparison of endocrine-mediated effects of two bisphenol A related compounds,
2,2-bis(4-cyanatophyenyl)propane and 4,4'-cyclohexylidenebisphenol, based on
subacute oral toxicity studies using rats.
AB - The purpose of this study was to compare endocrine-mediated effects of bisphenol
A related compounds, 2,2-bis(4-cyanatophyenyl)propane and 4,4'
cyclohexylidenebisphenol with reference to OECD Test Guideline No. 407. Rats were
orally gavaged with 0, 4, 20, and 100 mg/kg/day of 2,2-bis(4
cyanatophyenyl)propane, and 0, 30, 100, and 300 mg/kg/day of 4,4'
cyclohexylidenebisphenol for at least 28 days beginning at 8 weeks of age.
Endocrine-mediated effects were not observed in rats given 2,2-bis(4
cyanatophyenyl)propane. Male accessory sex organ weights decreased in the 4,4'
cyclohexylidenebisphenol 300 mg/kg group and serum T4 values increased in all
male groups treated with this compound. Our results suggest that endocrine
mediated changes caused by the present bisphenol related compound can be divided
into estrogenic or thyroid hormonal effects, and estrogenic effects observed in
the repeated-dose study were related to their estrogenic potency confirmed by
uterotrophic assay.
PMID- 22093918
TI - Hesperidin alleviates acetaminophen induced toxicity in Wistar rats by abrogation
of oxidative stress, apoptosis and inflammation.
AB - Acetaminophen (APAP) is a widely used analgesic and antipyretic drug, but at high
dose it leads to undesirable side effects, such as hepatotoxicity and
nephrotoxicity. The present study demonstrates the comparative hepatoprotective
and nephroprotective activity of hesperidin (HD), a naturally occurring
bioflavonoid against APAP induced toxicity. APAP induces hepatotoxicity and
nephrotoxicity as was evident by abnormal deviation in the levels of antioxidant
enzymes. Moreover, APAP induced renal damage by inducing apoptotic death and
inflammation in renal tubular cells, manifested by an increase in the expression
of caspase-3, caspase-9, NFkB, iNOS, Kim-1 and decrease in Bcl-2 expression.
These results were further supported by the histopathological examination of
kidney. All these features of APAP toxicity were reversed by the co
administration of HD. Therefore, our study favors the view that HD may be a
useful modulator in alleviating APAP induced oxidative stress and toxicity.
PMID- 22093919
TI - When warm air is what we need.
PMID- 22093920
TI - Thinking outside the box: Aboriginal people's suggestions for conducting health
studies with Aboriginal communities.
AB - OBJECTIVES: Aboriginal people are under-represented in epidemiological research,
largely due to past failures to engage and recruit Aboriginal communities,
research fatigue and the use of culturally inappropriate methods. A qualitative
study was undertaken in rural and urban Aboriginal communities in north-eastern
and south-western Ontario to identify culturally congruent public health research
methodologies. STUDY DESIGN: A qualitative participatory research study using
focus group discussions. METHODS: This study employed a participatory research
framework to elicit methodological suggestions for conducting public health
research with Aboriginal communities during focus groups with healthcare
providers from six diverse Aboriginal health organizations in Ontario, Canada.
RESULTS: Continuing requests for participation in health research studies have
led to community exhaustion. Discussions explored appropriate methods to obtain
community approval and support for a study, the need for cultural sensitivity
training for researchers, the value of conducting studies of interest and benefit
to the community, advantages and disadvantages of qualitative and quantitative
studies, the benefit of both Aboriginal and non-Aboriginal ethics reviews, the
importance of safeguarding trusted information, types of incentives that may
enhance study participation, suggestions to improve the collection of
questionnaire information and biological specimens, how to resolve contentious
issues and dissemination of study results. CONCLUSION: In order to successfully
engage Aboriginal people in health studies, researchers need to build rapport
with communities, have a community presence, be respectful and collaborative,
utilize incentives, and employ flexible and adaptive methodologies of reasonable
length. Oral interviews are preferred to self-completed information. The use of
more mixed methods methodologies was suggested when quantitative data collection
is necessary. Communities expect presentations about research findings.
PMID- 22093921
TI - Successful liver transplantation following veno-arterial extracorporeal membrane
oxygenation in a child with fulminant Wilson disease and severe pulmonary
hemorrhage: a case report.
AB - Massive pulmonary hemorrhage and other serious cardiopulmonary diseases in
patients with fulminant hepatitis result not only in graft failure but also
mortality after LT. ECMO is used to treat children with cardiorespiratory failure
refractory to conventional intensive care. We describe a five-yr-old girl with
genetically confirmed fulminant Wilson disease and severe pulmonary hemorrhage
who underwent successful primary LT following veno-arterial ECMO. To our
knowledge, this is the first report of successful primary LT in a patient using
veno-arterial ECMO. The present case demonstrates that ECMO, as a bridging
modality to LT, may be necessary to manage both massive pulmonary hemorrhage and
possible graft loss because of hypoxemia.
PMID- 22093922
TI - The development of verbal and visual working memory processes: a latent variable
approach.
AB - Working memory (WM) processing in children has been studied with different
approaches, focusing on either the organizational structure of WM processing
during development (factor analytic) or the influence of different task
conditions on WM processing (experimental). The current study combined both
approaches, aiming to distinguish verbal and visual processing in order to
investigate WM development. We investigated recall performance under different
task conditions in a sample of 5- to 13-year-olds, applying latent class
regression analysis. In this analysis, we examined latent classes (subgroups)
within the sample that differed in terms of processing type. The interpretations
of the latent classes were validated internally using characteristics of the
latent classes and externally using recall performance of words and figures. The
results showed that children of different developmental stages used the same type
of processing under the same conditions. However, due to developmental
differences, their overall performances differed, showing groups of children who
were successful in verbal or visual processing and groups of children who were
not. This study shows and discusses the importance of disentangling the influence
of task conditions from the influence of WM development when interpreting recall
performance in children.
PMID- 22093923
TI - Children's understanding of nonverbal expressions of pride.
AB - To chart the developmental path of children's attribution of pride to others, we
presented children (4 years 0 month to 11 years 11 months of age, N=108) with
video clips of head-and-face, body posture, and multi-cue (both head-and-face and
body posture simultaneously) expressions that adults consider to convey pride.
Across age groups, 4- and 5-year-olds did not attribute pride to any expression
presented, 6- and 7-year-olds attributed pride only to the multi-cue expression,
and 8- to 11-year-olds attributed pride to both the head-and-face and multi-cue
expressions. Children of all ages viewed the postural expression as anger rather
than pride. Developmentally, pride is first attributed only when several cues are
present and only later when a single cue (head-and-face) is present.
PMID- 22093925
TI - [Bacteria against bacterium: the inhibitory effects of probiotics on Helicobacter
pylori].
PMID- 22093924
TI - Nicotinic stimulation induces Tristetraprolin over-production and attenuates
inflammation in muscle.
AB - Cholinergic signaling suppresses inflammation in blood and brain and attenuates
apoptosis in other tissues, but whether it blocks inflammation in skeletal muscle
under toxicant exposure, injuries and diseases remained unexplored. Here, we
report nicotinic attenuation of inflammation and alteration of apoptotic protein
expression pattern in murine muscle tissue and cultured myotubes, involving the
RNA-binding protein, Tristetraprolin, and the anti-apoptotic protein, Mcl-1. In
muscles and C2C12 myotubes, cholinergic excitation by exposure to nicotine or the
organophosphorous pesticide, Paraoxon, induced Tristetraprolin overproduction
while reducing pro-inflammatory transcripts such as IL-6, CXCL1 (KC) and CCL2
(MCP-1). Furthermore, nicotinic excitation under exposure to the bacterial
endotoxin LPS attenuated over-expression of the CCL2 and suppressed the
transcriptional activity of NF-KB and AP-1. Tristetraprolin was essential for
this anti-inflammatory effect of nicotine in basal conditions. However, its
knockdown also impaired the pro-inflammatory response to LPS. Finally, in vivo
administration of Paraoxon or recombinant Acetylcholinesterase, leading
respectively to either gain or loss of cholinergic signaling, modified muscle
expression of key mRNA processing factors and several of their apoptosis-related
targets. Specifically, cholinergic imbalances enhanced the kinase activators of
the Serine-Arginine splicing kinases, Clk1 and Clk3. Moreover, Paraoxon raised
the levels of the anti-apoptotic protein, Mcl-1, through a previously
unrecognized polyadenylation site selection mechanism, producing longer, less
stable Mcl-1 mRNA transcripts. Together, our findings demonstrate that in
addition to activating muscle function, acetylcholine regulates muscle
inflammation and cell survival, and point to Tristetraprolin and the choice of
Mcl-1 mRNA polyadenylation sites as potential key players in muscle reactions to
insults.
PMID- 22093926
TI - [Association analysis between dopamine D1 receptor gene and symptom quantitative
trait of schizophrenia].
AB - OBJECTIVE: To explore the relationship between dopamine D1 receptor gene (DRD1)
and symptom quantitative trait of schizophrenia. METHODS: Peripheral blood
samples were collected from 211 schizophrenics and 247 healthy controls at our
center. Five tag SNPs (single nucleotide polymorphisms) (rs4532, rs5326,
rs2168631, rs6882300 & rs267418) within DRD1 gene were genotyped by TaqMan SNP
genotyping assay. The positive and negative syndrome scale (PANSS) was used to
quantify the phenotypes of schizophrenia. RESULTS: No significant differences
existed in the frequencies of genotypes and alleles of DRD1 gene between the
schizophrenics and normal controls (Ps > 0.05); strong linkage disequilibrium was
observed between rs4532 and rs5326 (D' = 0.84); no significant difference of
haplotypic distribution was identified between the patients and controls (Ps >
0.05); the patients with rs4532G allele had a higher negative subscale score than
those without G allele (20.3 +/- 3.3 vs 18.2 +/- 3.9, P < 0.01). CONCLUSION: The
rs4532 within DRD1 gene may be associated with negative symptom quantitative
trait in schizophrenia.
PMID- 22093927
TI - [Clinical efficacy of transcatheter renal arterial embolization plus cryoablation
for medium and advanced renal carcinomas].
AB - OBJECTIVE: To investigate the clinical efficacy of transcatheter renal arterial
embolization (TRAE) plus cryoablation in the treatment of medium and advanced
stage renal carcinomas. METHODS: The patients with medium and advanced stage
renal carcinomas were randomized into 2 groups: TRAE group (A, n = 53) and TRAE
plus cryoablation group (B, n = 51) undergoing cryoablation 2 - 3 weeks after
TRAE. A total of 128 tumors (8.7 +/- 3.2) (4.0 - 19.8) cm in diameter were
detected. And the largest tumor in a specific patient with multiple lesions was
selected for observation. At pre- and post-treatment, their clinical symptoms,
kidney function and tumor diameters (computed tomography or magnetic resonance
imaging) were observed. And their post-treatment profiles of tumor necrosis and
survival were assessed. RESULTS: There was no difference in gender, age, size and
Robson stage between two groups. The tumor necrosis of Group B was significantly
higher than that of Group A (61% vs 35%, t = 6.784, P < 0.01). The median
survival duration of Group B was significantly longer than that of Group A (24 vs
15 months, P < 0.05). There was no significant change of kidney function at pre-
and post-treatment (P > 0.05). The quality-of-life scores improved at post
treatment (P < 0.01). CONCLUSION: As compared with TRAE therapy alone, the
combination of TRAE and cryoablation may improve the tumor necrosis rate and
prolong the patient survival duration.
PMID- 22093928
TI - [Correlation between severe preeclampsia and abnormal expression of long-chain
fatty acid oxidative enzyme].
AB - OBJECTIVE: To explore the correlation between severe preeclampsia and abnormal
expression of long-chain 3-hydroxyacyl-CoA dehydrogenase (LCHAD). METHODS: Serum
free trophoblast cells cultured in vitro were divided into 4 groups under the
stimulations of normal pregnancy serum (NP group), early onset severe
preeclampsia serum (E-PE group), late onset severe preeclampsia serum (L-PE
group) and HELLP (hemolysis, elevated liver enzymes & low platelets) syndrome
serum (HELLP group) respectively. The expressions of mRNA and protein of LCHAD in
trophoblast cells were detected by real-time polymerase chain reaction (PCR) and
Western blot. RESULT: (1) Expression of LCHAD mRNA: the relative expressions of
mRNA of LCHAD in NP, E-PE, L-PE and HELLP groups were 1.00 +/- 0.00, 3.08 +/-
0.22, 1.62 +/- 0.23 and 3.36 +/- 0.18 respectively. The relative expressions of
LCHAD mRNA were significantly reduced in the E-PE, L-PE and HELLP groups versus
the NP group (P < 0.05). Compared with the L-PE group, the gene expressions of
LCHAD significantly decreased in the E-PE and HELLP groups (P < 0.05) while no
significant difference was found between the E-PE and HELLP groups (P > 0.05).
(2) Expression of LCHAD protein: the relative expressions of LCHAD protein were
4.94 +/- 0.02, 2.93 +/- 0.13, 4.14 +/- 0.06 and 2.80 +/- 0.09 in the NP, E-PE, L
PE and HELLP groups respectively. The protein expressions of LCHAD were
remarkably reduced in the E-PE, L-PE and HELLP groups versus the NP group (P <
0.05). The expressions of LCHAD protein remarkably decreased in the E-PE and
HELLP groups versus the L-PE group (P < 0.05) while no significant difference was
found between the E-PE and HELLP groups (P > 0.05). CONCLUSION: Long chain fatty
acid oxidation is involved in the pathogenesis and development of preeclampsia.
The expressions of LCHAD gene and protein are remarkably affected by early onset
severe preeclampsia and HELLP syndrome. The interacting mechanism and influence
between fatty acid oxidation and the development of preeclampsia are worth
further exploring.
PMID- 22093929
TI - [Prediction of chemoresistance in epithelial ovarian cancer by clinical factors].
AB - OBJECTIVE: To compare the clinical features and prognosis among patients with
different chemosensitivity and determine the specific clinical influencing
factors of chemotherapeutic resistance. METHODS: In references to the 2010 NCCN
clinical practice guidelines on ovarian cancer and in accordance with the length
of disease-free interval, 244 patients were divided into 3 groups: platinum
resistant, partially sensitive and platinum-sensitive. The differences of
clinical features, treatment and prognosis were compared among these 3 groups.
RESULTS: The resistant, partially sensitive, sensitive rates to platinum-based
chemotherapy were 23.4%, 13.5% and 63.1% respectively. Such factors as age,
pathological type, primary tumor size. intraperitoneal chemotherapy and venous
chemotherapy regimen had no effect on the responses to the combination platinum
based chemotherapy. The platinum-resistant percentage of advanced stage patients
without optimal cytoreductive surgery was higher (P < 0.01). The poorly
differentiated rate of partially sensitive patients was higher than that of
platinum-sensitive ones (P < 0.05). The CA125 level and lymph node metastasis
rate of platinum-resistant patients were higher than those of platinum-sensitive
patients (P < 0.05, P < 0.01). The 5-year survival rates of resistant, partially
sensitive and sensitive patients were 9.5%, 38.4% and 81.9% respectively. There
were statistics differences in overall survival and progression-free survival
among the 3 groups (P < 0.01). CONCLUSION: Advanced ovarian cancer, poor
differentiation, lymph node-positivity, CA125 rising above 1000 * 10(3) U/L and
suboptimal cytoreductive surgery would lead to the occurrences of resistance or
partially sensitivity. Clinical factors have some reference values for the
prediction of resistance. But it is impossible to accurately determine
chemoresistance.
PMID- 22093930
TI - [A 2-year follow-up results of knee arthroscopic synovectomy plus disease
modifying antirheumatic drugs for the treatment of rheumatoid arthritis].
AB - OBJECTIVE: To evaluate the clinical efficacy of knee arthroscopic synovectomy
plus disease-modifying antirheumatic drug (DMARD) for the treatment of rheumatoid
arthritis (RA) patients. METHODS: A total of 97 RA patients were treated with
knee arthroscopic synovectomy plus DMARD after arthroscopy. The control group
received only DMARD. The patients were assessed at pre-treatment and 1, 6, 12, 24
month post-treatment. Tender joint count, swollen joint count, morning stiffness,
resting pain, patient global assessment, physician global assessment, erythrocyte
sedimentation rate (ESR), C-reactive protein (CRP), rheumatoid factor (RF) and
disease activity score (DAS) 28 were observed. RESULTS: Tender joint count,
swollen joint count, morning stiffness, resting pain, patient global assessment,
physician global assessment and DAS 28 score improved significantly at 1, 6 month
post-treatment in the combined treatment group versus the control group. At 2
years post-treatment, there was still significant difference in DAS28 between two
groups. CONCLUSION: The combined treatment of knee arthroscopic synovectomy and
disease modifying antirheumatic drugs can control the disease activity of RA
during an early period. And a long-term efficacy may be maintained.
PMID- 22093931
TI - [Relationship between ROCK2 expression and clinicopathologic characteristics of
esophageal squamous cell carcinoma].
AB - OBJECTIVE: To investigate the expression and relationship of Rho-associated
protein kinase 2 (ROCK2) and clinical characteristics in esophageal squamous cell
carcinoma (ESCC). METHODS: Immunohistochemistry was performed to assay the
expression of ROCK2 in tumor tissues and adjacent normal epithelium from 118 ESCC
patients in tissue microarray. The relationship between ROCK2 level and
clinicopathologic profiles such as age, gender, location, smoking,
differentiation degree, T stage, lymph node metastasis and TNM stage were
analyzed. RESULTS: The ROCK2 expression was up-regulated in 54 of 118 (45.76%)
ESCC tissues. The up-regulated expression of ROCK2 was observed in 55.74% (34/61)
ESCC tissues of patients under 61 years old. And it was significantly higher than
that in 35.09% (20/57) of patients over 61 years old (chi(2) = 5.062, P = 0.024).
In addition, the rate of up-regulation of ROCK2 was significantly higher in high
grade differentiation group (58.70%, 27/46) than that in moderate-grade and low
grade differentiation group (37.50%, 27/72) (chi(2) = 5.080, P = 0.024).
CONCLUSION: The up-regulated expression of ROCK2 is correlated with patient age
and differentiation grade of ESCC.
PMID- 22093932
TI - [Pathological changes of rectal cancer after irinotecan, 5-fluorouracil or
combined short-term radiotherapy].
AB - OBJECTIVE: To observe and evaluate the pathologic changes and curative effects of
irinotecan (CPT-11), 5-fluorouracil (5-FU) and combined short-term radiotherapy
before low-set rectal cancer operation so as to provide a theoretic basis for
formulating a new effective adjuvant therapeutic regimen. METHODS: A total of 41
patients of low rectal cancer were treated with CPT-11, 5-FU therapy or CPT-11
plus 5-FU combined short-term radiotherapy from April 2002 to April 2009. They
were divided into 2 groups according to different treatment schemes, including
irinotecan group (n = 18) and irinotecan combined short-term radiotherapy group
(n = 23). The pathologic changes before and after treatment were observed and the
differences of two treatment approaches compared. RESULTS: Tumor cells had
different degrees of degeneration and necrosis under microscope in two groups.
Compared with computed tomographic findings before therapy, tumor sizes of two
groups were reduced by an average of 33.1% (13.5 mm vs 20.2 mm) and 34.4% (12.8
mm vs 19.5 mm) respectively. Two groups were graded according to the RCRG (rectal
cancer regression grade) score: RCRG1: 7 cases vs 18 cases, RCRG2: 4 cases vs 3
cases and RCRG3: 7 cases vs 2 cases. According to the pathologic evaluation
standard, 3-degree necrosis, cell interstitial fibrosis and intimal thickening in
vessels were observed in two groups: 7 cases vs 17 cases, 6 cases vs 17 cases and
3 cases vs 14 cases respectively (all P < 0.05). Five patients achieved complete
pathological remission in the irinotecan combined short-term radiotherapy group.
CONCLUSION: Based on the pathological changes and mitigation results after
treatment, CPT-11 and 5-FU may be used as neoadjuvant drugs for rectal cancer. If
the above two drugs can be used in combination with short-term radiation, the
curative effect will be better.
PMID- 22093933
TI - [Autologous bone grafting plus screw fixation for medial tibial defects in total
knee arthroplasty].
AB - OBJECTIVE: To investigate the efficacy of autologous bone grafting plus screw
fixation to reconstruct the medial tibial defects in total knee arthroplasty
(TKA). METHODS: From November 2001 to November 2004, 46 patients (50 knees) with
medial tibial bone defects underwent TKA at our hospital. There were 16 males (16
knees) and 30 females (34 knees). They included osteoarthritis (OA) (n = 35, 38
knees) and rheumatoid arthritis (RA) (n = 11, 12 knees). A total of 46 patients
underwent three-dimensional CT (computed tomography) reconstruction to evaluate
the tibial plateau defects after osteotomy. Single or double distal femoral
osteotomic bone was used to reconstruct the bone defects with the hollow nail
internal fixation. Another 80 TKA patients (86 knees) were randomly selected as
the control group. The surgical outcome, lateral migration of tibial component
and joint line elevation, etc. were analyzed and the follow-up knee society
scores recorded. RESULTS: The patients were followed up for 6 to 9 years. Two
patients were lost to follow-up and 1 died of myocardial infarction at 5 years
post-TKA in the test group. In the last follow-up, 1 case suffered deep
infections and all others had no prosthetic loosening. One case (1 knee) had
resorption of wedge-shaped bone graft after 8 years. The remaining graft healed
and there was no screw displacement. Medial platform split fracture occurred in 3
patients (3 knees) in the OA group. The quantity of distal femoral osteotomy,
thickness of polyethylene insert, tibial implant size, joint line elevation and
lateral migration of tibial base were greater than the other two groups (q test,
P < 0.05). The pre- and post-operative KSS scores had significant differences in
each group (F test, P < 0.05). But the same group showed no significant
difference at 6, 9 years (q test, P > 0.05). CONCLUSION: As an easy and effective
way of reconstructing the medial tibial bone defects, autologous bone grafting
plus screw fixation can restore knee mechanical axis and stability. But in OA
patients with tibial sclerosis, the complications of tibial component lateral
migration, joint line elevation and splitting tibia fractures should be avoided
during the reconstruction.
PMID- 22093934
TI - [Digital simulation of unipedicular thoracolumbar vertebroplasty puncture].
AB - OBJECTIVE: To measure such operative parameters of unipedicular kyphoplasty as
optimal entry point, angle and depth so as to provide rationales for its clinical
management and formulate a standardized protocol for unipedicular vertebroplasty.
METHODS: Ten dry thoracolumbar specimens were prepared for measurement. The entry
and target points were defined according to the Roy-Camille method. A 3mm
Kirschner wire was used to puncture and view in the anteroposterior and lateral
aspects of radiography until a satisfying position. The outside oblique and
upward oblique angles were measured on the radiographic pictures. After
extraction, the depth of Kirschner wire was measured. The positions of entry
point were changed and the largest upward oblique angle and largest declination
angle measured on the radiographic pictures. RESULTS: For safe puncturing, as the
outside oblique and upward oblique angles enlarged from T(11) to L(3), the length
enlarged from T(11) to T(12) and L(1) to L(3). The accepted error was that the
largest upward oblique angle and largest declination angle enlarged from T(11) to
L(3). The alteration range for outside oblique angle was extremely narrow.
CONCLUSION: The experimental results provide the guiding data for the operative
management of unipedicular thoracolumbar vertebroplasty. If the pedicle is too
small or the angle too narrow, the operative sophistication of vertebroplasty
will be highly demanding.
PMID- 22093935
TI - [Magnetic resonance imaging findings of lesions in limbic system related
structures in general paresis of insane].
AB - OBJECTIVE: To analyze the magnetic resonance imaging (MRI) findings of lesions in
the limbic system related structures in general paresis of insane (GPI) patients
so as to explore its pathogenesis and provide a new MRI diagnostic method.
METHODS: The clinical data and MRI findings of lesions in the limbic system
related structures were retrospectively analyzed for a total of 31 GPI patients.
The parameters were volume and signal abnormality. RESULTS: On MRI, structural
abnormalities were found in amygdaloid body (n = 29), hippocampus (n = 28),
insular lobe (n = 24), parahippocampal gyrus (n = 23), lenticular nucleus (n =
23), corpus callosum (n = 20), caudate nucleus (n = 11), hypothalamus (n = 10),
anterior nucleus of thalamus (n = 10), cingulate gyrus (n = 8) and thalamus (n =
2). The frequent manifestations were atrophy, swelling, T2 hyperintensity in
various structures and T2 hypointensity in lenticular nucleus. CONCLUSION: A
frequent involvement of limbic system structures in GPI patients may be related
with dementia and other psychiatric symptoms. Swelling and T2 hyperintensity of
involved structures may be the characteristic MRI manifestations of GPI.
PMID- 22093936
TI - [Relationship between methylenetetrahydrofolate reductase gene C677T polymorphism
and susceptibility of ischemic stroke: a meta-analysis].
AB - OBJECTIVE: To evaluate the relationship between the methylenetetrahydrofolate
reductase (MTHFR) gene polymorphism and the susceptibility of ischemic stroke
among different ethnic populations. METHODS: The databases of MEDLINE, EMBASE,
Cochrane Library and others were searched. And a total of 17 studies published in
English between 2000 and October 2010 on the association of MTHFR gene C677T
polymorphism with ischemic stroke were selected. A comprehensive quantitative
analysis was performed by meta-analysis. RESULTS: The results showed that the
pooled OR values of ischemic stroke with TT and CC genotype were 1.30 (95%CI:
1.11 to 1.52) and 0.88 (95%CI: 0.79 to 0.98) respectively. And when sub-grouped
by ethnic populations, the Caucasians were more likely than other populations to
suffer ischemic stroke. There was a low probability of publication bias.
CONCLUSION: The presence of MTHFR TT gene is associated with an elevated risk of
developing ischemic stroke, especially in Caucasians.
PMID- 22093937
TI - [Effects of magnesium valproate on endocrine system and reproductive functions of
female epileptics].
AB - OBJECTIVE: To explore the effects of valproate (VPA) on endocrine system in
adolescent and reproductive female patients with epilepsy. METHODS: A total of 30
adolescent and reproductive female patients with a diagnosis of epilepsy at our
hospital during July 2009 to March 2010 were recruited. All cases with magnesium
VPA alone were included. The levels of follicle-stimulating hormone (FSH),
luteinizing hormone (LH), prolactin (PRL), estradiol (E2), progesterone (P) and
testosterone (T) were detected respectively at pre-therapy and 3, 6 and 12 months
post-therapy. And the changes of menstruation and ovaries were recorded. RESULTS:
The serum concentration of PRL was lower at 3 and 6 months post-therapy than that
at pre-therapy. There was significant difference (P = 0.010 and 0.014). The serum
concentration of E2 significantly decreased after a 3-month therapy of valproate
(P < 0.05). While comparing the parameter's level between the initial test and at
a 3, 6 and 12-month follow-up, the level of P significantly decreased in the
later groups than that of the former one while the level of T showed a marked
increase. The levels of FSH and LH were not significantly different at pre- and
post-therapy. And 6 (20%) of them presented with menstrual dysfunctions and 3
(10%) polycystic ovary. CONCLUSION: The valproate therapy can not only cause the
changes of endocrine system and hormonal levels, but also induce such endocrine
dysfunction syndromes as menstrual suspension and polycystic ovary. It eventually
causes polycystic ovary syndrome.
PMID- 22093938
TI - [A study of microPET for assessing regional cerebral glucose metabolism and the
expression of dopamine receptor type 2 in a rat model of Parkinson's disease].
AB - OBJECTIVE: To employ (18)F-fluoro-2-deoxyglucose ((18)F-FDG) and (3-N-[(11)C]
methylspiperone)(11)C-NMSP microPET to assess the changes of regional cerebral
glucose metabolism and the expression of dopamine receptor type 2 (DRD(2)) in a
rat model of Parkinson's disease (PD). METHODS: A hemiparkinsonian model was
established in rats by unilateral pretreatment with 6-hydroxydopamine (6-OHDA).
At 2 weeks after 6-OHDA insult, (18)F-FDG and (11)C-NMSP microPET scan were
performed to compare the differences of regional cerebral glucose metabolism and
the expression of DRD(2) between the PD and control groups respectively. The
immunohistochemical staining was used to detect the expression of tyrosine
hydroxylase in two groups. RESULTS: In the PD model, the glucose metabolism rates
were 88.2% +/- 2.2%, 94.5% +/- 4.5% and 96.2% +/- 5.8% respectively, in right
striatum, hippocampus and sensorimotor cortex. And they were significantly lower
than those in the control group [92.7% +/- 2.8% (P < 0.01), 98.9% +/- 3.9% (P <
0.01) & 102.8% +/- 2.1% (P < 0.01)]. The expression of DRD(2) in right striatum
was significantly higher in the PD group than that in the control group (112.9%
+/- 9.0% vs 102.3% +/- 1.4%, P < 0.01). CONCLUSION: In the PD rats, glucose
metabolism decreases in injured side striatum, hippocampus and sensorimotor
cortex while and the expression of DRD(2) increases in injured side
striatum.(18)F-FDG and (11)C-NMSP microPET can effectively assess the regional
cerebral glucose metabolism and the expression of DRD(2) in PD. They may serve as
effective molecular imaging tools for an early diagnosis of PD.
PMID- 22093939
TI - [Effects of PTHrP and Notch signaling on the proliferation of epiphysis stem
cells].
AB - OBJECTIVE: To study the regulation of the proliferation of epiphysis stem cells
by the PTHrP (parathyroid hormone related peptide) and Notch signaling systems.
METHODS: An organ culture system of femurs of SD rat in 24 h after birth was
employed. PTHrP (1 - 34) was used as the activator of the PTHrP signaling pathway
and PTHrP (7 - 34) as the antagonist of PTH (parathyroid hormone)-receptor. For
Notch signaling system, Jagged1/Fc was used as the activator and DAPT as its
inhibitor. The femurs were cultured in DMEM (Dulbecco's modified Eagle's
medium)/F12 medium while phosphate buffered saline was used for the control
groups. Hematoxylin and eosin staining and bromodeoxyuridine analysis were used
to analyze the length of the epiphysis stem cells zone and the proliferation of
epiphysis stem cells. The expression of NICD (Notch intra-cellular domain) and
Jagged1 were analyzed by immunohistochemistry. The epiphysis stem cells were
transfected with the lentiviral vectors with rat PTHrP gene overexpression or
inhibition properties, the cells transfected with the PGC-GFP-lentivirus or NC
GFP-lentivirus were used as control. Western blot was employed to detect the
expression of NICD and Jagged1 genes. RESULTS: PTHrP (1 - 34) and Jagged1/Fc
could dramatically elevate the rate of epiphysis stem cells zone by the whole
growth plate length measurement while PTHrP (7 - 34) and DAPT could decrease the
rate. Brdu analysis also showed that the number of proliferative epiphysis stem
cells could be up-regulated by the PTHrP (1 - 34) or Jagged1/Fc signaling. By
contrast, the treatment with PTHrP (7 - 34) or DAPT reduced the number of
proliferative epiphysis stem cells. Immunohistochemistry and Western blot showed
a significantly elevated expression of NICD and Jagged1 when PTHrP signaling was
activated while a reductive expression of NICD and Jagged1 when PTHrP signaling
was inactivated. CONCLUSION: Both of PTHrP and Notch signaling system could
promote the proliferation of epiphysis stem cells. And the PTHrP signaling can
stimulate Notch signaling to promote the proliferation of epiphysis stem cells.
PMID- 22093940
TI - [Generation of antitumor response against hepatocellular carcinoma by in vitro
transduction of dendritic cells with adeno-associated virus expressing alpha
fetoprotein].
AB - OBJECTIVE: To investigate the generation of antitumor response against
hepatocellular carcinoma by in vitro transduction of dendritic cells (DC) with
recombinant adeno-associated virus expressing alpha-fetoprotein (rAAV-AFP).
METHODS: Peripheral blood mononuclear cells were isolated from healthy
volunteers. Adherent peripheral blood mononuclear cells were transduced with AAV
AFP and cultured in the presence of granulocyte macrophage colony stimulating
factor and interleukin-4 to generate dendritic cells. MTS assay was used to
measure the ability of DC transduced with AAV-AFP (AAV-AFP + DC) to stimulate the
proliferation of T cell. The phenotype and AFP protein expression of DC and the
secretion of IFN (interferon)-gamma and IL (interleukin)-4 by T cells were
detected by flow cytometry. The killing efficacy of cytotoxic T lymphocytes (CTL)
activated by AAV-AFP + DC against AFP positive hepatocellular carcinoma cell
lines was detected by lactate dehydrogenase (LDH) release assay. RESULTS: AAV-AFP
+ DC expressed HLAI (97.12%), HLAII (97.32%), CD80 (38.94%), CD83 (60.84%) and
CD86 (98.14%). AFP was secreted by 81.2% of AAV-AFP + DC. And it could stimulate
effectively the proliferation of T cell. 19.84% of CD4(+)T cells and 18.65% of
CD8(+)T cells activated by AAV-AFP + DC produced IFN-gamma but not IL-4 and
showed distinct killing activities against AFP positive hepatocellular carcinoma
cell lines HepG2 (56.45%) and BEL7402 (78.84%). CONCLUSION: AAV-AFP + DC can
elicit distinct antitumor responses against AFP positive hepatocellular carcinoma
cell lines so as to provide a basis for further researches on the clinical
application of AAV-AFP + DC in the treatment of hepatocellular carcinoma.
PMID- 22093941
TI - Method comparison of dipeptidyl peptidase IV activity assays and their
application in biological samples containing reversible inhibitors.
AB - BACKGROUND: Dipeptidyl peptidase IV (DPPIV, DPP4) is a serine protease that
releases N-terminal dipeptides. It is a validated drug target for type 2 diabetes
and DPPIV inhibitors are currently evaluated for other therapeutic applications.
Various assays are used for DPPIV activity measurements in biological samples.
Highly sensitive methods are needed to measure also very low activities in
inhibited samples. METHODS: Here, the three most extensively used substrates to
quantify DPPIV activity are compared using in-house methods. A luminescent kit
was also included. In addition, one of the in-house fluorometric assays was
elaborated for use in biological samples containing reversible DPPIV inhibitors
to estimate residual DPPIV activity which is usually underestimated due to sample
dilution. RESULTS: The in-house methods showed a good precision, linearity and
specificity. Both fluorometric substrates had a 10-fold higher sensitivity
compared to the colorimetric assay. The luminescent kit was found to be the most
sensitive. CONCLUSIONS: All three in-house methods can be used to measure DPPIV
activity in non-inhibited biological samples. The more sensitive fluorometric
assays are recommended when sample volumes are limited or when using inhibited
samples. The elaborated fluorometric method can be used to estimate the residual
in vivo DPPIV activity in inhibitor treated subjects.
PMID- 22093942
TI - Corin in clinical laboratory diagnostics.
AB - Corin is a transmembrane serine protease identified in the heart, where it
converts natriuretic peptides from inactive precursors to mature active forms.
Studies in animal models and patients with hypertension and heart disease
demonstrate that corin is critical in maintaining normal blood pressure and
cardiac function. Like many proteolytic enzymes, corin expression and activity
are regulated. Cell biology experiments indicate that transcriptional control,
intracellular protein trafficking, cell surface targeting, zymogen activation and
ectodomain shedding are important mechanisms in regulating corin expression and
activity in the heart. More recently, soluble corin was detected in human blood
and its levels were found to be reduced in patients with heart failure (HF).
These findings indicate that corin deficiency may be involved in the pathogenesis
of HF and suggest that soluble corin may be used as a biomarker for the disease.
In this review, we describe the function and regulation of corin and discuss
recent studies of soluble corin in human blood and its potential use as a
biomarker for HF.
PMID- 22093943
TI - Outcome, quality of life and cognitive function of patients with brain metastases
from non-small cell lung cancer treated with whole brain radiotherapy combined
with gefitinib or temozolomide. A randomised phase II trial of the Swiss Group
for Clinical Cancer Research (SAKK 70/03).
AB - PURPOSE: Patients with brain metastases (BM) rarely survive longer than 6months
and are commonly excluded from clinical trials. We explored two combined modality
regimens with novel agents with single agent activity and radiosensitizing
properties. PATIENTS AND METHODS: In this randomised phase II trial patients with
BM from NSCLC were randomly assigned to 30Gy WBRT with either concomitant
gefitinib (GFT) 250mg/day continuously or temozolomide (TMZ) 75mg/m(2) for
21/28days. The primary end-point was overall survival, with quality of life and
cognitive function as secondary end-points. RESULTS: We enrolled 59 patients (GFT
16, TMZ 43), and 56 patients have died, mainly (80%) from disease progression.
Four patients succumbed complications of the disease or corticosteroids
(intestinal perforation (2), CNS haemorrhage and pulmonary emboli). Median
overall survival in the gefitinib arm was 6.3months (95% CI 2.1-14.6), and
4.9months (95% CI 2.3-5.6) in TMZ treated patients. Fatigue was the main
complaint. CONCLUSIONS: No relevant toxicity with those therapeutic regimens was
observed. Fatal outcome in three patients may have been related to
corticosteroids. Cognitive function improved during treatment. However, median
overall survival for all patients was only 4.9months (95% CI 2.3-5.7) and 1-year
survival 25.4% (95% CI 15.4-37.0%).
PMID- 22093944
TI - Treatment outcome after low intensity chemotherapy [CVP] in children and
adolescents with early stage nodular lymphocyte predominant Hodgkin's lymphoma -
an Anglo-French collaborative report.
AB - PURPOSE: To examine whether three cycles of a low-intensity chemotherapy
consisting of cyclophosphamide [500 mg/m(2) - day 1], vinblastine [6 mg/m(2) -
days 1 and 8] and prednisolone [40 mg/m(2) - days 1-7] (CVP) is safe and
therapeutically effective in children and adolescents with early stage nodular
lymphocyte predominant Hodgkin lymphoma [nLPHL]. PATIENTS AND METHODS: Fifty-five
children and adolescents with early stage nLPHL [median age 13 years, range 4-17
years] diagnosed between June 2005 and October 2010 in the UK and France are the
subjects of this report. Staging investigations included conventional cross
sectional as well as 18 fluro-deoxyglucose [FDG] PET imaging. Histology was
confirmed as nLPHL by an expert pathology panel. RESULTS: Of the 45 patients, who
received CVP as first line treatment, 36 [80%, 95% Confidence Interval [CI]: (68;
92)] either achieved a complete remission [CR] or CR unconfirmed [CRu], the
remaining nine patients achieved a partial response. All nine subsequently
achieved CR with salvage chemotherapy [n=7] or radiotherapy [n=2]. Ten patients
received CVP at relapse after primary treatment that consisted of surgery alone
and all achieved CR. To date, only three patients have relapsed after CVP
chemotherapy and all had received CVP as first line treatment at initial
diagnosis. The 40-month freedom from treatment failure and overall survival for
the entire cohort were 75.4% (SE +/- 6%) and 100%, respectively. No significant
early toxicity was observed. CONCLUSIONS: Our results show that CVP is an
effective chemotherapy regimen in children and adolescents with early stage nLPHL
that is well tolerated with minimal acute toxicity.
PMID- 22093945
TI - How many deaths would be avoidable if socioeconomic inequalities in cancer
survival in England were eliminated? A national population-based study, 1996
2006.
AB - AIM: Inequalities in survival between rich and poor have been reported for most
adult cancers in England. This study aims to quantify the public health impact of
these inequalities by estimating the number of cancer-related deaths that would
be avoidable if all patients were to have the same cancer survival as the most
affluent patients. METHODS: National Cancer Registry data for all adults
diagnosed with one of 21 common cancers in England were used to estimate relative
survival. We estimated the number of excess (cancer-related) deaths that would be
avoidable within three years after diagnosis if relative survival for patients in
all deprivation groups was as high as the most affluent group. RESULTS: For
patients diagnosed during 2004-2006, 7122 of the 64,940 excess deaths a year
(11%) would have been avoidable if three-year survival for all patients had been
as high as in the most affluent group. The annual number of avoidable deaths fell
from 8435 (13%) a year for patients diagnosed during 1996-2000. Over 60% of the
total number of avoidable deaths occurred within six months after diagnosis and
approximately 70% occurred in the two most deprived groups. CONCLUSION: The
downward trend in the annual number of avoidable deaths reflects more an
improvement in survival in England overall, rather than a narrowing of the
deficit in cancer survival between poor and rich. The lack of any substantial
change in the percentage of avoidable excess deaths highlights the persistent
nature of the deficit in survival between affluent and deprived groups.
PMID- 22093946
TI - Targeted agents: how to select the winners in preclinical and early clinical
studies?
AB - There has been a significant shift within oncology drug development away from
empiric screening of cytotoxic compounds to the era of genomics and molecularly
targeted agents. The drug development process is evolving with greater emphasis
on proof-of-mechanism studies in both preclinical and early clinical development.
The Methodology for the Development of Innovative Cancer Therapies (MDICT) Task
Force, established as a forum for academic and pharmaceutical leaders to discuss
methodological issues in targeted anticancer therapy development, met in March
2010 to review what were the minimal data required to make appropriate decisions
about moving new targeted cancer agents from late preclinical development into
phase I and from phase I into phase II trials. A number of specific questions
were posed, and responses to each developed through survey, literature review and
discussion at the face to face meeting of the MDICT Task Force. Consensus emerged
around the necessity to demonstrate proof-of-mechanism and obtain information on
key pharmacokinetic aspects of drug behaviour in late preclinical and early
clinical trials. However, controversy remains on the extent of in vivo anti
tumour efficacy required to support clinical development of targeted agents. A
systematic review of the data in this area would be informative. Further, while
objective response in phase I trials may be a favourable signal about the
potential activity of a new agent, debate exists around the weight that should be
placed on the observation of stable disease or functional imaging changes in
driving drug development decisions in the absence of observing either responses
or convincing pharmacodynamic data in phase I. MDICT made a number of
recommendations that may aid in future development of targeted agents.
PMID- 22093947
TI - Baropodometry on women suffering from chronic pelvic pain--a cross-sectional
study.
AB - BACKGROUND: Previous studies have associated chronic pelvic pain with a
stereotyped pattern of movement and posture, lack of normal body sensations, a
characteristic pain distribution. We aimed at evaluating if these postural
changes are detectable in baropodometry results in patients with chronic pelvic
pain. METHODS: We performed a prospective study in a university hospital. We
selected 32 patients suffering from chronic pelvic pain (study group) and 30
women without this pathology (regular gynecological work out--control group).
Pain scores and baropodometric analysis were performed. RESULTS: As expected,
study group presented higher pain scores than control group. Study and control
groups presented similar averages for the maximum pressures to the left and right
soles as well as soles supports in the forefeet and hind feet. Women suffering
from chronic pelvic pain did not present differences in baropodometric analysis
when compared to healthy controls. CONCLUSIONS: This data demonstrates that
postural abnormalities resulting from CPP could not be demonstrated by
baropodometric evaluation. Other postural measures should be addressed to
evaluate pelvic pain patients.
PMID- 22093948
TI - Androgen deprivation therapy before radical prostatectomy is associated with
poorer postoperative erectile function outcomes.
PMID- 22093949
TI - Incidental encoding of goal irrelevant information is associated with
insufficient engagement of the dorsal frontal cortex and the inferior parietal
cortex.
AB - Previous studies have shown that goal-irrelevant distractors are incidentally
encoded into long-term memory. Neuroimaging studies have suggested that the
medial temporal and visual association regions are involved in incidental
encoding of goal-irrelevant information. However, few studies have investigated
prefrontal/parietal influence during the incidental encoding. The present study
performed whole brain analysis to identify the brain regions involved in the
incidental encoding of goal-irrelevant information. A face working memory (WM)
task was administered with insertion of face distractors during the delay period.
Following the WM task, a surprise recognition task was given in an MRI scanner.
Recognition rate of distractors was higher than that of novel fillers.
Recognition time was also faster in distractors than in novel fillers.
Neuroimaging results showed less activation to distractors subsequently
remembered than those forgotten in the middle and superior frontal regions and
the lateral inferior parietal lobe including the angular gyrus and the
temporoparietal regions. However, the left anterior hippocampus and the right
fusiform gyrus showed greater activation to distractors subsequently remembered.
Those findings suggest that insufficient engagement of the dorsal frontal cortex
which regulates attentional control and the inferior parietal lobe which
functions to reorient attention may allow goal-irrelevant information access to
working memory and to be encoded into long-term memory.
PMID- 22093950
TI - Pantoea clinical isolates cannot be accurately assigned to species based on
metabolic profiling.
PMID- 22093951
TI - Effect of dornase alfa on inflammation and lung function: potential role in the
early treatment of cystic fibrosis.
AB - Dornase alfa has been shown to reduce markers of inflammation and neutrophil
associated metalloproteinases in cystic fibrosis (CF), suggesting a potential
benefit from use of this therapy early in the disease. However, observational
studies indicate that dornase alfa is often reserved for "sicker" patients. A 2
year, early intervention study of dornase alfa in CF patients with early lung
disease demonstrated significant improvements in lung function and risk of
exacerbation compared to placebo. A more recent analysis, using the database of
the large observational Epidemiologic Study of Cystic Fibrosis (ESCF), found that
initiation of dornase alfa has the potential to alter the course of CF by
decreasing the rate of lung function decline in children and adults. These
encouraging results, possibly linked to indirect effects on inflammation, suggest
a greater role for dornase alfa therapy in the early treatment of CF, where it
may help preserve lung function and potentially extend survival.
PMID- 22093953
TI - Hypertrophy hypothesis as an alternative explanation of the phenomenon of
replicative aging of yeast.
AB - This paper summarizes numerous arguments demonstrating that the hypothesis of
accumulation of the senescence factor, which was the basis for introducing yeast
to the group of model organisms of gerontology, finds no experimental support.
Among several candidates for the role of the causative agents of replicative
aging, only one - hypertrophy - always accompanies symptoms of aging, not only in
Saccharomyces cerevisiae, but also in Schizosaccharomyces pombe.
PMID- 22093952
TI - Functional characterization and analgesic effects of mixed cannabinoid receptor/T
type channel ligands.
AB - BACKGROUND: Both T-type calcium channels and cannabinoid receptors modulate
signalling in the primary afferent pain pathway. Here, we investigate the
analgesics activities of a series of novel cannabinoid receptor ligands with T
type calcium channel blocking activity. RESULTS: Novel compounds were
characterized in radioligand binding assays and in vitro functional assays at
human and rat CB1 and CB2 receptors. The inhibitory effects of these compounds on
transient expressed human T-type calcium channels were examined in tsA-201 cells
using standard whole-cell voltage clamp techniques, and their analgesic effects
in response to various administration routes (intrathecally, intraplantarly,
intraperitoneally) assessed in the formalin model. A series of compounds were
synthesized and evaluated for channel and receptor activity. Compound NMP-7 acted
as non-selective CB1/CB2 agonist while NMP4 was found to be a CB1 partial agonist
and CB2 inverse agonist. Furthermore, NMP-144 behaved as a selective CB2 inverse
agonist. All of these three compounds completely inhibited peak Cav3.2 currents
with IC50 values in the low micromolar range. All compounds mediated analgesic
effects in the formalin model, but depending on the route of administration,
could differentially affect phase 1 and phase 2 of the formalin response.
CONCLUSIONS: Our results reveal that a set of novel cannabinioid receptor ligands
potently inhibit T-type calcium channels and show analgesic effects in vivo. Our
findings suggest possible novel means of mediating pain relief through mixed T
type/cannabinoid receptor ligands.
PMID- 22093954
TI - Gemcitabine and tamoxifen-loaded liposomes as multidrug carriers for the
treatment of breast cancer diseases.
AB - The effects of a lipid composition on the physico-chemical and technological
properties of a multidrug carrier (MDC) containing both gemcitabine (GEM) and
tamoxifen (TMX), as well as its in vitro antitumoral activity on different breast
cancer cell lines, were investigated. In particular, the following three
different liposomal formulations were prepared: DPPC/Chol/DSPE-mPEG2000 (6:3:1
molar ratio, formulation A), DPPC/Chol/DOTAP (6:3:1 molar ratio, formulation B)
and DPPC/Chol/DPPG (6:3:1 molar ratio, formulation C). The colloidal systems were
obtained by the TLE technique and the extrusion process allowed us to obtain
vesicles having mean sizes of 150-200 nm, while the surface charges varied
between 50 mV and -30 mV. Formulation A showed the best encapsulation efficiency
between the two compounds and the presence of TMX influenced the release profile
of GEM (hydrophilic compound) as a consequence of its effect on the fluidity of
the bilayer. An MDC of formulation A was used to effectuate the in vitro
cytotoxicity experiments (MTT-test) on MCF-7 and T47D cells. The liposomal MDC
provided the best results with respect to the single drug tested in the free form
or entrapped in the same liposomal formulation. The CLSM experiments showed a
great degree of cell interaction of liposomal MDC after just 6h.
PMID- 22093955
TI - Preparation and evaluation of a novel gastric floating alginate/poloxamer inner
porous beads using foam solution.
AB - In the present study, a simple and rapid method was developed to prepare a novel
kind of inner-porous floating beads. The beads were prepared by dripping the foam
solution into CaCl(2) solution using disposable syringe needle, where the foam
solution consisting numerous of microbubbles with poloxamer 188 as foaming
agents, alginate as foaming stablizer. Foamability and foam stability of
different polymer ratios were evaluated. The SEM cross-section pictures of the
beads showed that the beads were inner-porous and composed of bubbles with very
thin wall bubbles stacked together. The visual observation result and the
resultant-weight method confirmed that the floating beads showed good buoyancy,
most beads could float in the stomach for more than 6 h. The floating beads
release behavior in vitro showed that drug release from the beads in a sustained
release fashion for 10 h. Gamma scintigraphic images and pharmacokinetic studies
in vivo showed that the beads can retained in the stomach for over 6 h and can
improve the bioavailability of drug with narrow absorption window.
PMID- 22093956
TI - Anti-tumor activity of all-trans retinoic acid-incorporated glycol chitosan
nanoparticles against HuCC-T1 human cholangiocarcinoma cells.
AB - The aim of this study is to investigate antitumor activity of all-trans retinoic
acid (RA)-incorporated glycol chitosan (GC) nanoparticles. RA-incorporated GC
nanoparticles were prepared by electrostatic interaction between RA and amine
group of GC. RA-incorporated GC nanoparticles have spherical shape and their
particle size was 317 +/- 34.5 nm. They were simply reconstituted into aqueous
solution without changes of intrinsic properties. RA-incorporated GC
nanoparticles were evidently inhibited the proliferation of HuCC-T1
cholangiocarcinoma cells at higher than 20 MUg/ml of RA concentration while empty
GC vegicles did not affect to the viablity of tumor cells. Apoptosis and necrosis
analysis of tumor cells with treatment of RA or RA-incorporated GC nanoparticles
also supported these results. Invasion test using Matrigel also showed that
invasion of tumor cells was significantly inhibited at higher than 20 MUg/ml of
RA concentration. Wound healing assay also showed that RA-incorporated GC
nanoparticles were inhibited migration of tumor cells as similar to RA itself.
Our results suggested that RA-incorporated GC nanoparticles is a promising
vehicles for RA delivery to HuCC-T1 cholangiocarcinoma cells.
PMID- 22093958
TI - Evaluation of high frequency ultrasound methods and contrast agents for
characterising tumor response to anti-angiogenic treatment.
AB - PURPOSE: To compare non-enhanced and contrast-enhanced high-frequency 3D Doppler
ultrasound with contrast-enhanced 2D and 3D B-mode imaging for assessing tumor
vascularity during antiangiogenic treatment using soft-shell and hard-shell
microbubbles. MATERIALS AND METHODS: Antiangiogenic therapy effects (SU11248) on
vascularity of subcutaneous epidermoid-carcinoma xenografts (A431) in female CD1
nude mice were investigated longitudinally using non-enhanced and contrast
enhanced 3D Doppler at 25 MHz. Additionally, contrast-enhanced 2D and 3D B-mode
scans were performed by injecting hard-shell (poly-butyl-cyanoacrylate-based) and
soft-shell (phospholipid-based) microbubbles. Suitability of both contrast agents
for high frequency imaging and the sensitivity of the different ultrasound
methods to assess early antiangiogenic therapy effects were investigated.
Ultrasound data were validated by immunohistology. RESULTS: Hard-shell
microbubbles induced higher signal intensity changes in tumors than soft-shell
microbubbles in 2D B-mode measurements (424 +/- 7 vs. 169 +/- 8 A.U.; p<0.01). In
3D measurements, signals of soft-shell microbubbles were hardly above the
background (5.48 +/- 4.57 vs. 3.86 +/- 2.92 A.U.), while signals from hard-shell
microbubbles were sufficiently high (30.5 +/- 8.06 A.U). Using hard-shell
microbubbles 2D and 3D B-mode imaging depicted a significant decrease in tumor
vascularity during antiangiogenic therapy from day 1 on. Using soft-shell
microbubbles significant therapy effects were observed at day 4 after therapy in
2D B-mode imaging but could not be detected in the 3D mode. With non-enhanced and
contrast-enhanced Doppler imaging significant differences between treated and
untreated tumors were found from day 2 on. CONCLUSION: Hard-shell microbubble
enhanced 2D and 3D B-mode ultrasound achieved highest sensitivity for assessing
therapy effects on tumor vascularisation and were superior to B-mode ultrasound
with soft-shell microbubbles and to Doppler imaging.
PMID- 22093957
TI - Integration of a laterally acquired gene into a cell network important for growth
in a strain of Vibrio rotiferianus.
AB - BACKGROUND: Lateral Gene Transfer (LGT) is a major contributor to bacterial
evolution and up to 25% of a bacterium's genome may have been acquired by this
process over evolutionary periods of time. Successful LGT requires both the
physical transfer of DNA and its successful incorporation into the host cell. One
system that contributes to this latter step by site-specific recombination is the
integron. Integrons are found in many diverse bacterial Genera and is a genetic
system ubiquitous in vibrios that captures mobile DNA at a dedicated site. The
presence of integron-associated genes, contained within units of mobile DNA
called gene cassettes makes up a substantial component of the vibrio genome (1
3%). Little is known about the role of this system since the vast majority of
genes in vibrio arrays are highly novel and functions cannot be ascribed. It is
generally regarded that strain-specific mobile genes cannot be readily integrated
into the cellular machinery since any perturbation of core metabolism is likely
to result in a loss of fitness. RESULTS: In this study, at least one mobile gene
contained within the Vibrio rotiferianus strain DAT722, but lacking close
relatives elsewhere, is shown to greatly reduce host fitness when deleted and
tested in growth assays. The precise role of the mobile gene product is unknown
but impacts on the regulation of outermembrane porins. This demonstrates that
strain specific laterally acquired mobile DNA can be integrated rapidly into
bacterial networks such that it becomes advantageous for survival and adaptation
in changing environments. CONCLUSIONS: Mobile genes that are highly strain
specific are generally believed to act in isolation. This is because perturbation
of existing cell machinery by the acquisition of a new gene by LGT is highly
likely to lower fitness. In contrast, we show here that at least one mobile gene,
apparently unique to a strain, encodes a product that has integrated into central
cellular metabolic processes such that it greatly lowers fitness when lost under
those conditions likely to be commonly encountered for the free living cell. This
has ramifications for our understanding of the role mobile gene encoded products
play in the cell from a systems biology perspective.
PMID- 22093960
TI - Patch valvuloplasty in patients with posterior chordae rupture.
PMID- 22093959
TI - Bone and mineral metabolism and fibroblast growth factor 23 levels after kidney
donation.
AB - BACKGROUND: Living kidney donation offers a unique setting to study changes in
phosphate and vitamin D homeostasis attributable to mild isolated decreases in
estimated glomerular filtration rate (eGFR). STUDY DESIGN: Cross-sectional study.
SETTING & PARTICIPANTS: 198 living kidney donors and 98 nondonor controls from 9
transplant centers across 3 countries. For donors, median time after donation was
5.3 years. At assessment, donors had a lower eGFR than controls (73 vs 98
mL/min/1.73 m(2)). PREDICTOR: Living kidney donation (mildly decreased eGFR).
OUTCOMES: Biochemical markers of chronic kidney disease-mineral and bone
disorder. MEASUREMENTS: Serum creatinine, total serum calcium, serum and urine
inorganic phosphate, plasma intact parathyroid hormone, serum calcidiol and
calcitriol, renal fractional excretion of inorganic phosphate, and intact serum
fibroblast growth factor 23 (FGF-23). RESULTS: Serum FGF-23 levels were
significantly higher in donors (38.1 vs 29.7 pg/mL; P < 0.001). For every 10
mL/min/1.73 m(2) decrease in eGFR, FGF-23 level was higher by 3.2 (95% CI, 2.0
4.4) pg/mL. Compared with controls, donors showed higher renal tubular fractional
excretion of inorganic phosphate (17.8% vs 12.3%; P < 0.001), lower serum
phosphate (0.97 vs 1.02 mmol/L; P = 0.03), and lower serum calcitriol values (63
vs 77 pmol/L; P < 0.001). Serum calcium levels were not significantly different
between the 2 groups. Plasma intact parathyroid hormone levels were significantly
higher in donors (5.7 vs 5.0 pmol/L; P = 0.03), but were not correlated with FGF
23 or calcitriol levels. LIMITATIONS: Enrollment of a small proportion of past
donors at participating centers; assessment of only postdonation values; unable
to assess seasonal variation or other temporal patterns in biochemical markers;
assessment of kidney function was based on eGFR, not measured GFR. CONCLUSIONS:
The FGF-23 pathway may be activated in living kidney donors who show early
biochemical changes compatible with chronic kidney disease-mineral and bone
disorder. Whether these changes influence bone mineral density and fracture rates
warrants consideration.
PMID- 22093961
TI - Long-term outcome of sirolimus-eluting vs bare-metal stent in the setting of
acute myocardial infarction: 5-year results of the SESAMI trial.
AB - BACKGROUND: few long-term randomized data on safety and effectiveness of
sirolimus-eluting stent (SES) in the ST-segment elevation myocardial infarction
(STEMI) setting are available. The aim of the present investigation was to
evaluate the 5-year clinical outcome of SES vs bare-metal stent (BMS)
implantation in patients with STEMI. METHODS: 320 STEMI patients were randomized
to receive SES or BMS. The primary end-point was the incidence of target vessel
failure (TVF) at 5-year follow-up. The secondary end-points were the rate of
target lesion revascularization (TLR), major adverse cardiovascular events
(MACE), death or non-fatal MI and stent thrombosis (ST). Event rates from 1 to 5
years in patients undergoing TLR and those TLR free at 1 year were also
investigated. RESULTS: The 5-year survival rate free from TVF and TLR was
significantly higher in the SES than in the BMS group (85% vs 76% p=0.038; 92% vs
85% p=0.045, respectively). The lower incidence of adverse events was achieved in
the first year of follow-up. The cumulative incidence of MACE, death or non-fatal
MI and ST was comparable in the 2 groups at 5-year follow-up. Moreover death or
MI incidence was 5% in the patients who did not experience TLR within 1-year and
16% in those who experience TLR in the same period (p=0.033). Predictors of death
or MI during 5-year follow-up were TLR within 1 year (OR 3.4, 95% CI 1.1-10.1;
p=0.04) and small vessels treatment (OR 4.8 95% CI 1.7-13.0; p=0.002).
CONCLUSIONS: The clinical benefits of SES are maintained up to 5years without
safety concerns.
PMID- 22093962
TI - Transradial extra deep coronary intubation with a guide catheter for direct
thromboaspiration in acute myocardial infarction.
PMID- 22093964
TI - No evidence for an association of AB0 blood group and manifestation of thrombotic
microangiopathies.
PMID- 22093963
TI - Overexpression of cAMP-response element modulator causes abnormal growth and
development of the atrial myocardium resulting in a substrate for sustained
atrial fibrillation in mice.
AB - BACKGROUND AND METHODS: Atrial fibrillation (AF) is the most common cardiac
arrhythmia in clinical practice. The substrate of AF is composed of a complex
interplay between structural and functional changes of the atrial myocardium
often preceding the occurrence of persistent AF. However, there are only few
animal models reproducing the slow progression of the AF substrate to the
spontaneous occurrence of the arrhythmia. Transgenic mice (TG) with cardiomyocyte
directed expression of CREM-IbDeltaC-X, an isoform of transcription factor CREM,
develop atrial dilatation and spontaneous-onset AF. Here we tested the hypothesis
that TG mice develop an arrhythmogenic substrate preceding AF using physiological
and biochemical techniques. RESULTS: Overexpression of CREM-IbDeltaC-X in young
TG mice (<8weeks) led to atrial dilatation combined with distension of
myocardium, elongated myocytes, little fibrosis, down-regulation of connexin 40,
loss of excitability with a number of depolarized myocytes, atrial ectopies and
inducibility of AF. These abnormalities continuously progressed with age
resulting in interatrial conduction block, increased atrial conduction
heterogeneity, leaky sarcoplasmic reticulum calcium stores and the spontaneous
occurrence of paroxysmal and later persistent AF. This distinct atrial
remodelling was associated with a pattern of non-regulated and up-regulated
marker genes of myocardial hypertrophy and fibrosis. CONCLUSIONS: Expression of
CREM-IbDeltaC-X in TG hearts evokes abnormal growth and development of the atria
preceding conduction abnormalities and altered calcium homeostasis and the
development of spontaneous and persistent AF. We conclude that transcription
factor CREM is an important regulator of atrial growth implicated in the
development of an arrhythmogenic substrate in TG mice.
PMID- 22093965
TI - Controversies surrounding the clinical potential of cinnamon for the management
of diabetes.
AB - Obesity levels have increased significantly in the past five decades and are
predicted to continue rising, resulting in important health implications. In
particular, this has translated to an increase in the occurrence of type II
diabetes mellitus (T2D). To alleviate associated problems, certain nutraceuticals
have been considered as potential adjuncts or alternatives to conventional
prescription drugs. Cinnamon, a commonly consumed spice originating from South
East Asia, is currently being investigated as a potential preventative supplement
and treatment for insulin resistance, metabolic syndrome and T2D. Extensive in
vitro evidence has shown that cinnamon may improve insulin resistance by
preventing and reversing impairments in insulin signalling in skeletal muscle. In
adipose tissue, it has been shown that cinnamon increases the expression of
peroxisome proliferator-activated receptors including, PPARgamma. This is
comparable to the action of commonly used thiazolinediones, which are PPAR
agonists. Studies have also shown that cinnamon has potent anti-inflammatory
properties. However, numerous human clinical trials with cinnamon have been
conducted with varying findings. While some studies have showed no beneficial
effect, others have indicated improvements in cholesterol levels, systolic blood
pressure, insulin sensitivity and postprandial glucose levels with cinnamon.
However, the only measurement consistently improved by cinnamon consumption is
fasting glucose levels. While it is still premature to suggest the use of
cinnamon supplementation based on the evidence, further investigation into
mechanisms of action is warranted. Apart from further characterization of genetic
and epigenetic changes in model systems, systematic large-scale clinical trials
are required. In this study, we discuss the mechanisms of action of cinnamon in
the context of T2D and we highlight some of the associated controversies.
PMID- 22093966
TI - Detection, localisation and characterisation of prostate cancer by prostate
HistoScanning(TM).
AB - What's known on the subject? and What does the study add? Prostate cancer is one
of the few solid-organ cancers in which imaging is not used in the diagnostic
process. Novel functional magnetic resonance imaging techniques offer promise but
may not be cost-effective. Prostate HistoScanning(TM) (PHS) is an ultrasound
based tissue characterisation technique that has previously shown encouraging
results in the detection of clinically significant prostate cancer. The present
study reports on the open 'unblinded' phase of a European multicentre study. The
prospective 'blind' phase is currently in progress and will determine the value
of PHS in a robust fashion overcoming many of the biases inherent in evaluating
prostate imaging. OBJECTIVE: To evaluate the ability of prostate
HistoScanning(TM) (PHS) an ultrasound (US)-based tissue characterization
application, to detect cancer foci by correlating results with detailed radical
prostatectomy (RP) histology. PATIENT AND METHODS: In all, 31 patients with organ
confined prostate cancer, diagnosed on transrectal biopsies taken using US
guidance, and scheduled for RP were recruited from six European centres. Before
RP three-dimensional (3D) US raw data for PHS analysis was obtained. Histology by
Bostwick Laboratories (London) examined sections obtained from whole mounted
glands cut every 3-4 mm. Location and volume estimation of cancer foci by PHS
were undertaken using two methods; a manual method and an embedded software tool.
In this report we evaluate data obtained from a planned open study phase. The
second phase of the study is 'blinded', and currently in progress. RESULTS: 31
patients were eligible for this phase. Three patients were excluded from analysis
due to inadequate scan acquisition and pathology violations of the standard
operating procedure. One patient withdrew from the study after 3D TRUS
examination. PHS detected cancer >= 0.20 mL in 25/27 prostates (sensitivity 93%).
In all, 23 patients had an index focus >= 0.5 mL at pathology, of which 21 were
identified as >= 0.5 mL by PHS using the manual method (sensitivity 91%) and 19
were correctly identified as >= 0.5 mL by the embedded tool (sensitivity 83%). In
27 patients, histological analysis found 32 cancerous foci >= 0.2 mL, located in
97 of 162 sextants. After sextant analysis, PHS showed a 90% sensitivity and 72%
specificity for the localisation of lesions >= 0.2 mL within a sextant.
CONCLUSIONS: PHS has the ability to identify and locate prostate cancer and
consequently may aid in pre-treatment and pre-surgical planning. In men with a
lesion identified, it has potential to enable improved targeting, allowing better
risk stratification by obtaining more representative cores. However further
verification from the results of the blinded phase of this study are awaited.
PMID- 22093967
TI - The phylogeny of the BEP clade in grasses revisited: evidence from the whole
genome sequences of chloroplasts.
AB - Despite the considerable efforts to reconstruct the phylogeny of grasses, the
relationships among the subfamilies Bambusoideae, Pooideae and Ehrhartoideae in
the BEP clade remain unresolved. Here we completely sequenced three chloroplast
genomes of representative species from Bambusoideae and Ehrhartoideae and
obtained 19 additional chloroplast genome sequences of other grasses from
GenBank. Using sequences of 76 chloroplast protein-coding genes from the 22 grass
species, we fully resolved the phylogeny of the BEP clade. Our results strongly
supported the (B,P)E hypothesis, i.e., Bambusoideae and Pooideae are more closely
related than Ehrhartoideae. This result was not biased by systematic or sampling
errors and was impervious to phylogenetic methods or model specification. The
divergence time estimate suggests that the initial diversification of the BEP
clade into three subfamilies happened within a short time period (~ 4 MY). The
presence of these short internal branches may explain the inability of previous
studies to achieve a confident resolution of the BEP clade. The combination of
the sequences of the entire chloroplast genomes provided sufficient phylogenetic
information to resolve the BEP phylogeny fully. These results provide a valuable
evolutionary framework for comparative and functional genomic studies using the
grass family as a model system.
PMID- 22093968
TI - Performance evaluation of the Vitros anti-hepatitis C virus antibody assay for
use in clinical laboratories.
AB - OBJECTIVES: We evaluated the performance of Vitros anti-HCV assay. DESIGN AND
METHODS: Precision performance was assessed for 20 days. A total of 1011 sera
were tested for anti-HCV with Vitros and Elecsys assays. Specimens positive for
any of the two assays were retested with Architect assay. Discrepant results were
evaluated with recombinant immunoblot assay (RIBA) and HCV RNA quantification.
RESULTS: Total imprecision of Vitros assay was 11.6% and 3.3% CV for negative and
positive QC. Among the 1011 sera, 17 showed discrepant results between the three
assays. Six were positive and three negative for RIBA. HCV RNA was not detected
from all discrepant cases. Sensitivity and specificity were 99.5% and 99.5% for
the Vitros, and 100.0% and 99.9% for the Elecsys assay. CONCLUSIONS:
Sensitivities and specificities of the anti-HCV assays were sufficiently high for
use in clinical laboratories, but retesting of weak positive results may be
necessary.
PMID- 22093969
TI - Betaine excretion correlates with plasma homocysteine when plasma lipids are
elevated.
AB - OBJECTIVES: To reconcile observing a positive correlation of betaine excretion
with homocysteine in lipid disorder patients but not other study groups. DESIGN
AND METHODS: Correlations were estimated in subgroups of a control group and the
lipid disorder patients. RESULTS: Plasma non high-density lipoprotein (non-HDL)
cholesterol differed (p<0.0001) between the groups. The correlation increased
with the median plasma non-HDL cholesterol in subgroups. CONCLUSIONS: This
correlation is associated with patients with elevated plasma lipids.
PMID- 22093970
TI - Three-dimensional imaging of sulfides in silicate rocks at submicron resolution
with multiphoton microscopy.
AB - We report the first application of multiphoton microscopy (MPM) to generate three
dimensional (3D) images of natural minerals (micron-sized sulfides) in thick
(~120 MUm) rock sections. First, reflection mode (RM) using confocal laser
scanning microscopy (CLSM), combined with differential interference contrast
(DIC), was tested on polished sections. Second, two-photon fluorescence (TPF) and
second harmonic signal (SHG) images were generated using a femtosecond-laser on
the same rock section without impregnation by a fluorescent dye. CSLM results
show that the silicate matrix is revealed with DIC and RM, while sulfides can be
imaged in 3D at low resolution by RM. Sulfides yield strong autofluorescence from
392 to 715 nm with TPF, while SHG is only produced by the embedding medium.
Simultaneous recording of TPF and SHG images enables efficient discrimination
between different components of silicate rocks. Image stacks obtained with MPM
enable complete reconstruction of the 3D structure of a rock slice and of sulfide
morphology at submicron resolution, which has not been previously reported for 3D
imaging of minerals. Our work suggests that MPM is a highly efficient tool for 3D
studies of microstructures and morphologies of minerals in silicate rocks, which
may find other applications in geosciences.
PMID- 22093971
TI - [User satisfaction in the Virgen del Valle Geriatric Hospital, Toledo].
AB - OBJECTIVE: Knowing the degree of satisfaction of inpatients at a geriatric
hospital METHODS: Observational and descriptive study. Period 23/12/2008 to
02/24/2009. Scope Geriatric Hospital (acute unit and middle stay unit).
Discharged patient population. SERVQUAL adapted questionnaire. Main outcomes
(received attention, environment, attitude and care professionals, overall
satisfaction) analyzed using frequencies and percentages. Comparison of variables
with chi square. Suggestions section grouped by category, expressed as
frequencies. RESULTS: 159 respondents surveyed. in 50.90% times the family
answered. Care received was valued as good and very good in 92%. Environment
rating good or very good 75.6%. Professional attitude always nearly always 82.9%.
89.30% would recommend the hospital and overall satisfaction level of 52.20% very
satisfied. Suggestions section was completed in 63 questionnaires, we found 20
gratitudes. Respondents that expressed overall satisfaction quite satisfied and
very pleased noted to feel trust and security nearly always and always (p
=0.0009). CONCLUSIONS: Overall satisfaction levels have been high. Most value
good or very good to all professionals. Regarding attitude and care professionals
most satisfied or very satisfied. We must improve infrastructure.
PMID- 22093972
TI - Performance of sequencing batch biofilm reactors with different control systems
in treating synthetic municipal wastewater.
AB - This study aimed to evaluate the performances of sequencing batch biofilm
reactors (SBBRs) in removing nitrogen and phosphorus from synthetic municipal
wastewater with different carbon to total nitrogen (C/N) ratios. The effect of
control systems, including an intelligent control system (ICS) and conventional
timer control system (TCS) on the performance of SBBRs was also investigated.
When C/N ratios were 10.0, 5.0 and 3.3, the average COD removal efficiencies in
the ICS-SBBR reached 87.7%, 92.3% and 97.6%, while total phosphorous (TP)
removals reached 95.0%, 97.0% and 97.2%. When the C/N ratio was 5.0, the TN
removal efficiency was 81.0% under ICS and 65.4% under TCS. Moreover, compared
with TCS-SBBR, both reaction time and aeration time were shortened by 180 min and
157 min, respectively, in the ICS-SBBR. Therefore, the ICS-SBBR has potential in
practical applications for significant nitrogen and phosphorus removal and energy
savings.
PMID- 22093973
TI - Bioconversion of crude glycerol feedstocks into ethanol by Pachysolen
tannophilus.
AB - Glycerol, the by-product of biodiesel production, is considered as a waste by
biodiesel producers. This study demonstrated the potential of utilising the
glycerol surplus through conversion to ethanol by the yeast Pachysolen
tannophilus (CBS4044). This study demonstrates a robust bioprocess which was not
sensitive to the batch variability in crude glycerol dependent on raw materials
used for biodiesel production. The oxygen transfer rate (OTR) was a key factor
for ethanol production, with lower OTR having a positive effect on ethanol
production. The highest ethanol production was 17.5 g/L on 5% (v/v) crude
glycerol, corresponding to 56% of the theoretical yield. A staged batch process
achieved 28.1g/L ethanol, the maximum achieved so far for conversion of glycerol
to ethanol in a microbial bioprocess. The fermentation physiology has been
investigated as a means to designing a competitive bioethanol production process,
potentially improving economics and reducing waste from industrial biodiesel
production.
PMID- 22093974
TI - Pre-treatment optimization of Scenedesmus obliquus microalga for bioethanol
production.
AB - The present work deals with the optimization of cellular disruption and sugar
extraction from the microalgae Scenedesmus obliquus (Sc) for bioethanol
production. Among the physical and physicochemical methods tested, the best
results were obtained with acid hydrolysis by H(2)SO(4) (2N), at 120 degrees C
for 30 min and using dried biomass. The sugar extraction efficiency level reached
was 95.6% when compared to the harsh quantitative acid hydrolysis. The influence
of other parameters such as biomass loading and number of extraction cycles were
also evaluated. The results obtained in the latter case showed that a unique
hydrolysis step is sufficient.
PMID- 22093975
TI - Relationship of cell-wall bound fatty acids and the demulsification efficiency of
demulsifying bacteria Alcaligenes sp. S-XJ-1 cultured with vegetable oils.
AB - Considering that the surface properties of demulsifying cells correlate with
their demulsification efficiency, the demulsifying bacteria Alcaligenes sp. S-XJ
1 with various surface properties were obtained using different vegetable oils as
carbon sources. The results show that better performance was achieved with
demulsifying bacteria S-XJ-1 possessing a relatively high cell surface
hydrophobicity (CSH) and total unsaturated degree for the cell-wall bound fatty
acids. There also appeared to be a correlation between the specific cell-wall
bound fatty acid components of the bacteria, in terms of carbon chain length or
degree of unsaturation, and either CSH or demulsification efficiency. The fatty
acids attached to the cell wall were mainly composed of palmitic acid (C16:0),
stearic acid (C18:0), oleic acid (C18:1), linoleic acid (C18:2) and linolenic
acid (C18:3). C18:1 and C18:2 had a positive effect on the formation of CSH,
while C18:0 and C18:3 had the opposite effect.
PMID- 22093976
TI - Pretreatment of paddy straw with Trametes hirsuta for improved enzymatic
saccharification.
AB - Delignification of paddy straw with the white-rot fungus, Trametes hirsuta under
solid state fermentation, for enhanced sugar recovery by enzymatic
saccharification was studied. T. hirsuta MTCC136 showed high ligninase and low
cellulase activities. Solid state fermentation of paddy straw with T. hirsuta
enhanced carbohydrate content by 11.1% within 10 days of incubation. Alkali
extracts of Trametes pretreated paddy straw showed high absorbance at 205 nm
indicating high lignin break down. The amount of value-added lignin recovered
from the Trametes pretreated paddy straw was much higher than controls. Enzymatic
hydrolysis of the Trametes pretreated paddy straw yielded much higher sugars than
controls and yields increased till 120 h of incubation. Saccharification
efficiency of the biologically pretreated paddy straw with Accelerase(r)1500 was
52.69% within 72 h and was higher than controls. Thus, the study brings out the
delignification potential of T. hirsuta for pretreatment of lignocellulosic
substrate and facilitating efficient enzymatic digestibility of cellulose.
PMID- 22093977
TI - Efficient production of D-(-)-lactic acid from broken rice by Lactobacillus
delbrueckii using Ca(OH)2 as a neutralizing agent.
AB - Effects of Ca(OH)(2), NH(4)OH, and NaOH as neutralizing agents for efficient
recovery of lactic acid was investigated. Lactic acid was produced from broken
rice in a simultaneous saccharification and fermentation (SSF) process with
Lactobacillus delbrueckii. Consumption of glucose (from broken rice) by the cells
and the cell growth were the best with Ca(OH)(2) among the three neutralizing
agents used. Maximum productivities of lactic acid reached with Ca(OH)(2),
NH(4)OH, and NaOH were 3.59 g l(-1) h(-1), 1.51 g 1(-1) h(-1), and 1.40 g l(-1)
h(-1), respectively. Ca(OH)(2) reduced the lactate molarity of the fermentation
broth, and thus resulted in the highest lactic acid productivity. Furthermore, it
was apparently clear that divalent cation (Ca(2+)) was more effective in
neutralizing the cultures compared to monovalent (Na(+) and NH(3)(+)) cations.
PMID- 22093978
TI - Enzymatic routes for the production of mono- and di-glucosylated derivatives of
hydroxytyrosol.
AB - In this work, a new eco-friendly procedure for the synthesis of hydroxytyrosol
and tyrosol alpha-glycosidic derivatives was proposed by using the marine alpha
glucosidase from Aplysia fasciata, and a commercial tyrosinase from mushroom for
the bioconversion of tyrosol glycosidic derivatives into the corresponding
hydroxytyrosol products. New hydroxytyrosol mono- and di-saccharide derivatives
were synthesized at final concentrations of 9.35 and 10.8 g/l of reaction,
respectively, and their antioxidant activity was evaluated by DPPH test. The best
antioxidant agent resulted the (3,4-dihydroxyphenyl) ethyl-alpha-D
glucopyranoside; it showed a radical scavenging activity similar to that of the
hydroxytyrosol, together with an increased hydrosolubility. This molecule could
be a good response to many food industry demands, always in search of cheap
antioxidants with nutritional properties to improve the nutritional value and the
quality of foods.
PMID- 22093980
TI - [High altitude medicine in China: present aspects, progress and prospect].
PMID- 22093979
TI - Phenol degradation activity and reusability of Corynebacterium glutamicum coated
with NH(2)-functionalized silica-encapsulated Fe3O4 nanoparticles.
AB - In this study, a novel method to immobilize and separate Corynebacterium
glutamicum for phenol degradation was developed using Fe(3)O(4) nanoparticles
(NPs). The Fe(3)O(4) NPs were encapsulated with silica and functionalized with
NH(2) groups to enhance their capacity to adsorb on the cell surface. The results
showed that the NH(2)-functionalized silica-encapsulated Fe(3)O(4) NPs strongly
adsorbed on the cell surface of C. glutamicum during 32 d culture without any
interruptions of their normal cell growth. The coated C. glutamicum were easily
separated from the culture broth within 2 min by applying an external magnetic
field Also, the coated C.glutamicum were able to completely degrade 50 ppm phenol
in the culture broth after 8d culture at 30 degrees C. Concerning reusability,
the coated cells could completely degrade phenol during the first 2 cycles, and
retain ~60% activity of phenol degradation for the third and four cycles.
PMID- 22093981
TI - [Association of single nucleotide polymorphism in human CYP8B1 gene with
gallstone disease].
AB - OBJECTIVE: To identify the single nucleotide polymorphisms of human CYP8B1gene
and explore the association of some of these SNPs with gallstone disease in
Chinese population. METHODS: The exon and part of promoter were sequenced by a
fluorescent labeling automatic method to identify and characterize the SNPs in
Chinese population. For SNPs with an allelic frequency of over 10%, a case
control study was performed in patients and controls. RESULTS: Eleven SNPs were
found within a 5119 bp region. Among them, 1 was in coding region, 5 in promoter
and 5 in 3'-UTR. There were 3 novel SNPs and 12 SNPs in SNP database were not
found. The allelic frequency of rs3732860 polymorphism showed a significant
difference (P = 0.022) in the association study. The subjects with A allele had a
significantly lower frequency of gallstone disease than those with G allele (OR =
1.465, 95%CI 1.055 - 2.034, P = 0.023). CONCLUSION: SNP rs3732860 of CYP8B1 gene
is associated with gallstone disease in Chinese population. And A allele may play
a protective role in the pathogenesis of gallstone.
PMID- 22093982
TI - [Tone recognition of REZ-1 multi-channel unilateral cochlear implants for
profoundly postlingual deaf adults].
AB - OBJECTIVE: To assess the tone recognition of cochlear-implant subjects by a REZ-1
device. METHODS: Speech recognition experiments were conducted to measure the
tone recognition with standard assessment table and standard testing program. A
total of 34 postlingual hearing-impaired adults who were native speakers of
Mandarin undergoing operations from June to October, 2009 were tested and scored
both preoperatively and postoperatively (1, 2, 3, 6, 12 months post-implantation)
for speech recognition. RESULTS: All patient scores of speech recognition
(initials, finals, monosyllabic words & tones) decreased gradually during the
postoperative follow-up period. Preoperative speech recognition scores were all
0. And after a 12-month training, their average scores were increased
significantly [(70 +/- 8)% +/- (82 +/- 8)%]. Significant statistical differences
existed between pre- and post-implantation in each test (P < 0.01). CONCLUSION:
REZ-1 cochlear implantation may improve the tone recognition of adults with
severe-to-profound postlingual hearing loss in a quiet environment.
PMID- 22093983
TI - [Anatomic variations and corresponding surgical techniques of total anomalous
pulmonary venous connection].
AB - OBJECTIVE: To describe the anatomic variations of total anomalous pulmonary
venous connection (TAPVC) and its corresponding surgical techniques. METHODS: A
total of 143 TAPVC subjects were hospitalized from April 1981 to July 2010. Those
patients with other complex congenital heart diseases, such as transposition of
great artery and single ventricle, were excluded. A pathological diagnosis was
made by echocardiography, magnetic resonance imaging, computed tomography,
catheterization and intra-operative findings. The specific types of TAPVC were as
follows:supra-cardiac (49.7%, 71/143), cardiac (40.6%, 58/143), infra-cardiac
(4.2%, 6/143) and mixed (5.6%, 8/143). The subtypes were classified by the
pathway of common confluence, distribution of pulmonary vein and their orifice
site. The techniques of surgical repairs included modified Warden procedure and
pulmonary vein transplantation. RESULTS: The patients with supra-cardiac type
were further divided into 4 subtypes according to the course of vertical veins
and their orifice site: right and left veins forming a common confluence, then
draining into vertical and innominate veins (n = 65); common confluence of
pulmonary vein drainage into superior vena cava through a short vertical vein at
the right pulmonary hilus (n = 3); right and left pulmonary veins separately
draining into superior vena cava (n = 2); common confluence draining into
innominate vein through a right path beside trachea (n = 1). Cardiac types were
further divided into 3 subtypes: coronary sinus (n = 20), right atrium (n = 37)
and right atrium & sinus (n = 1). Infra-cardiac type had no subtype. Mixed type
was more complex and it was further divided into 3 subtypes: bilateral &
symmetrical connection (right 2 + left 2, n = 5); bilateral & asymmetrical
connection (3 + 1, n = 3). Surgical repairs were performed on 135 patients. The
surgical mortality of TAPVC was 5.9% (8/135). And there was no late death. The
major causes of death were pulmonary infection and low cardiac output syndrome.
CONCLUSION: A detailed classification of TAPVC is of great importance for
surgical approaches and methodological designs. And an individualized surgical
plan yields excellent patient outcomes.
PMID- 22093984
TI - [Effects of ventricular demand and dual-chamber pacing models on the long-term
clinical outcome and cardiac remodeling in patients with symptomatic
bradycardia].
AB - OBJECTIVE: To assess the effects of VVI (ventricular demand) and DDD (dual
chamber) pacing models on cardiac remodeling and the long-term clinical outcome
of patients with symptomatic bradycardia. METHODS: All patients with DDD and VVI
pacing models at our hospital from January 1991 to January 2003 were
retrospectively analyzed. RESULTS: After a follow-up period of over 8 years in
DDD and VVI groups (97 +/- 27, 107 +/- 44 months), left atrial diameter [(45 +/-
12) mm vs (39 +/- 12) mm, P < 0.01] and left ventricular end-diastolic diameter
[(53 +/- 11) mm vs (50 +/- 9) mm, P = 0.01] in 57 patients with VVI pacing model
were markedly enlarged than those at pre-implantation. And tricuspid
regurgitation increased (42.4% vs 16.9%, P < 0.05). But in 59 patients with DDD
pacing model, except for increased tricuspid regurgitation (42.1% vs 10.5%, P <
0.01), left atrial diameter [(37 +/- 5) mm vs. (35 +/- 5) mm, P = 0.07] and left
ventricular end-diastolic diameter [(47 +/- 7) mm vs (47 +/- 5) mm, P = 0.32]
were not significantly different. Mitral regurgitation significantly increased
only in the VVI group (P < 0.01). The increases of left ventricular end-diastolic
diameter (P = 0.04), mitral valve (P = 0.02) and tricuspid regurgitation (P <
0.01) were much more pronounced in the VVI group than those in the DDD group.
Left ventricular ejection fraction (LVEF) showed no difference with that at pre
implantation (P = 0.11 in DDD group, P = 0.05 in VVI group). But the LVEF value
was lower (P = 0.04) while the incidence of thrombosis was higher (P = 0.03) in
the VVI group than those in the DDD group at post-implantation. However, the
incidence of atrial fibrillation (P = 0.14), hospitalization (P = 0.08) and
survival (P = 0.77) showed no significant difference between two groups.
CONCLUSION: DDD pacing offers more benefits over VVI pacing through improving
cardiac functions and arresting left ventricular remodeling. However, neither
groups showed any difference in decreasing mortality rate and hospitalization.
Moreover, both pacing modes fail to reverse cardiac electrical and anatomical
remodeling. It is imperative to explore more physiological pacing site and
rational atrioventricular (AV) interval to improve the prognosis of patients.
PMID- 22093985
TI - [Association of visceral adiposity with urinary albumin excretion in type 2
diabetics].
AB - OBJECTIVE: To decipher the association of visceral adiposity (VA) with 24-hour
urinary albumin excretion (24 h-UAE) in type 2 diabetics. METHODS: We collected
the clinical data, VA, subcutaneous adiposity (SA), 24 h-UAE, blood lipids,
fasting blood glucose, glycosylated hemoglobin, insulin and tumor necrosis factor
(TNF)-alpha of type 2 diabetic inpatients at our hospital. According to the
quartile of VA, the subjects were divided into 4 groups. And their profiles were
compared with regards to the level of 24 h-UAE and the incidence of heavy
proteinuria. And their relative intensities and the linear relationship of VA and
lg (24 h-UAE) were analyzed. RESULTS: lg (24 h-UAE) of groups C and D was larger
than that of groups A and B. With the rising level of VA, the prevalence of heavy
proteinuria increased. A moderate correlation existed between VA and lg (24 h
UAE) (r = 0.51). lg (24 h-UAE) increased 0.26 units as VA expanded 100 cm(2),
i.e. 0.15 units after relative factor adjusting. After gender and triglyceride
adjusting, the odds ratio of heavy albuminuria in group C was 2.75 versus that in
group A. And the OR was 3.87 in group D. CONCLUSION: Expansion of VA is a risk
factor for an elevated risk of 24 h-UAE. With the expansion of VA, the prevalence
of heavy albuminuria increases.
PMID- 22093986
TI - [Diagnostic values of self-assessment tool for Asians for osteoporosis in aged
men].
AB - OBJECTIVE: To evaluate the diagnostic values of osteoporosis self-assessment tool
for Asians (OSTA) in aged men. METHODS: Bone mineral density (BMD) was measured
by dual energy X-ray absorptiometry (DXA) in 382 male outpatients. Their data
were collected. After excluding such influencing factors of BMD as diseases or
drugs, they were divided into 3 groups according to the WHO osteoporosis
diagnosis value or age. The correlation between OSTA index and BMD was analyzed
by Spearman correlation. The concordance of OSTA and BMD were calculated and
analyzed by a four-fold table. RESULTS: The prevalence of osteoporosis was 9.42%
and osteopenia 42.67%. Age increased gradually in the osteoporosis, osteopenia
and normal bone mass groups. But OSTA index, BMD (including lumbar vertebrae L(1
4), femoral neck, trochanter and hip) and weight decreased gradually in those
groups. The percent of normal bone mass decreased with advancing age. And the
percentages were 60.68%, 51.97% and 33.33% respectively. Osteoporosis increased
in the older group (> 80 years old) and the percentage was 24.64% accounting for
94.44% of all osteoporotic subjects. Judging by the OSTA index, the rate of low
osteoporotic risk decreased with advancing age. But the rates of mid-risk and
high-risk increased. The correlation coefficients of OSTA index and BMD in
femoral neck, trochanter, hip and L(1-4) was 0.33, 0.28, 0.29 and 0.06
respectively. And the correlation between OSTA index and BMD had statistical
significance except for L(1-4). The sensitivity, specificity, coincidence and
Youden index of OSTA index with a T score cutoff of -1 of BMD were 56.28%,
64.48%, 60.21% and 0.21 respectively versus 86.11%, 57.80%, 60.47% and 0.44 with
a T score cutoff of -2.5. CONCLUSION: Correlation exists between OSTA index and
BMD. The sensitivity, specificity and coincidence of OSTA index are excellent
based upon the standard of BMD by DXA. It is a useful and simple diagnostic tool
of osteoporosis.
PMID- 22093987
TI - [Impact of response of positive axillary nodes to neoadjuvant chemotherapy on
breast cancer survival].
AB - OBJECTIVE: To compare the distant disease-free survival between breast cancer
patients with nodal pathological complete response (pCR) and those with nodal
residual disease (RD) after neoadjuvant chemotherapy. METHODS: The clinical and
pathological data of 376 needle biopsy proved node positive breast cancer
patients undergoing neoadjuvant chemotherapy were retrospectively analyzed.
RESULTS: The median follow-up time was 24 months (range: 5 - 100). The pCR rate
of axillary lymph node was 30.9%. And the three-year distant disease-free
survival (DDFS) rates were 91.7% and 78.8% in the patients with axillary lymph
node pCR and RD respectively. According to the Log-rank test, there were
significant differences in survival curves (P = 0.016). Multivariate analysis
showed that the relative risk of DDFS for patients with RD was 2.14 folds of than
that of the pCR group (P = 0.047). No significant difference existed between the
disease-free survival (DFS) curve in two groups. DDFS had significant differences
between the patients with the number of lymph node metastasis <= 3 and >= 4 in
the RD group (P = 0.001). CONCLUSION: The distant disease-free survival of node
positive breast cancer is associated with the status of axillary lymph node after
neoadjuvant chemotherapy.
PMID- 22093988
TI - [Serum alpha1-acid glycoprotein, imatinib concentration and efficacy in chronic
myeloid leukemia patients].
AB - OBJECTIVE: To explore the relationship between serum alpha1-acid glycoprotein
(AGP), disease progression, imatinib plasma trough concentration and efficacy in
the patients with chronic myeloid leukemia (CML). METHODS: A total of 112 CML
patients were recruited from August 2008 to February 2010 in our hospital. There
were 72 males and 40 females with a median age of 39 years old (range: 6 - 76
years old). Among them, 102 patients were in chronic phase, 4 in accelerated
phase and 6 in blastic phase. Ninety-nine patients were treated with imatinib
while 13 patients received hydroxyurea. Twenty healthy blood donors were
designated as the control group. The serum AGP levels of all patients were
detected by immuno-turbidimetric assay. And the concentrations of AGP and
imatinib were detected in 12 patients before and after 3 months of imatinib
therapy respectively. For 84 CML patients, their plasma trough concentrations of
imatinib were detected by high performance liquid chromatography-tandem mass
spectrometry simultaneously. All patients were divided into 5 groups by efficacy
to evaluate the significance of serum AGP and its relationship with imatinib
concentration. RESULTS: Serum AGP of no response (NR) group [(1.18 +/- 0.26) g/L]
was significant higher than that of complete cytogenetic response (CCR), complete
hematologic response (CHR) and control group [(0.60 +/- 0.21), (0.71 +/- 0.17),
(0.52 +/- 0.15) g/L, all P < 0.05]. Serum AGP of accelerated/blastic phase group
[(1.28 +/- 0.50) g/L] was significant higher than CCR or control group (P <
0.05). Serum AGP of CHR group was higher than that of control group (P < 0.05).
No significant difference existed between CCR, CHR or control group (P > 0.05).
There were no significant differences between NR, relapse or accelerated/blastic
phase group (P > 0.05). The serum AGP of 12 patients on a 3-month therapy of
imatinib were lower than that of patients at pre-treatment [(0.54 +/- 0.17) g/L
vs (0.83 +/- 0.31) g/L, P < 0.01]. The plasma trough concentration of imatinib
was (1307 +/- 586) ug/L (range: 109 - 3400 ug/L) in 84 patients. And it was
positively correlated with the serum level of AGP (r = 0.443, P < 0.01).
CONCLUSION: The serum level of AGP can reflect the in vivo loads of leukemic
cells for CML patients. There is a positive correlation between the serum level
of AGP and the plasma trough concentration of imatinib. Serum AGP can be used as
a monitoring index of efficacy for CML patients.
PMID- 22093989
TI - [Effects of Foxp3 knockdown on the functions of human regulatory T cells].
AB - OBJECTIVE: To employ the technology of interfering RNA (RNAi) to identify the
role of Foxp3 in the in vitro suppressive effect of human regulatory T cell
(Treg) on effector T cells. METHODS: Expanded human Treg were transfected with
siRNA targeting Foxp3 genes. The transfection efficiency, the level of
corresponding gene and its protein expression were measured by fluorescent
microscopy, fluorescence-activated cell sorting (FACS), real-time PCR and Western
blot respectively. The phenotypes of Treg were analyzed by FACS. The siRNA
transfected Treg was then co-cultured with porcine PBMC or human PBMC-stimulated
autologous CD4+CD25- T cells. Their proliferations were examined by WST-1. Treg
and autologous CD4+CD25- T cell-related suppressive cytokines were assessed by
ELISA. RESULTS: A 68% transfection efficiency in expanded Treg was achieved for
Foxp3 siRNA. Real-time PCR revealed a 61.4% mRNA knockdown induced by siRNA
targeting Foxp3 genes in Treg versus the control (P < 0.01). Some Treg-associated
surface markers were significantly altered versus the control. And the production
of suppressive cytokines was lowered. These changes were correlated with the
diminished Treg activity in suppressing the proliferation of effector CD4+CD25- T
cells. There was 83% suppression by non-transfected Treg vs 48% suppression by
Foxp3 siRNA transfected Treg in xeno-immune response (P < 0.05); and 65%
suppression by non-transfected Treg vs 48% suppression by Foxp3 siRNA transfected
Treg in allo-immune response (P < 0.01). CONCLUSION: Foxp3 is a key intracellular
marker for maintaining the phenotypes and functions of Treg.
PMID- 22093990
TI - [STAT5 phosphorylation levels of erythropoietin and thrombopoietin receptors in
CD34(+)CD59(-) and CD34(+)CD59(+) bone marrow cells of patients with paroxysmal
nocturnal hemoglobinuria].
AB - OBJECTIVE: To study the STAT5 phosphorylation levels of erythropoietin receptor
(EPOR) and thrombopoietin receptor (TPOR) in CD34(+)CD59(-) and CD34(+)CD59(+)
bone marrow cells of the patients with paroxysmal nocturnal hemoglobinuria (PNH).
METHODS: The bone marrow mononuclear cells (BMMNC) were extracted from 23 PNH
patients treated at our department from April 2010 to February 2011 and 11 normal
controls. The mean fluorescence intensity (MFI) of phosphorylated STAT5 (P-STAT5)
in CD34(+)CD59(+) cells and CD34(+)CD59(-) cells with or without the stimulation
of 10 U/ml EPO and 50 U/ml TPO were examined by flow cytometry. RESULTS: (1)
Without stimulation, the P-STAT5 MFI in CD34(+)CD59(-) cells of PNH patients was
significantly lower than that of CD34(+)CD59(+) cells (31 +/- 15 vs 74 +/- 47, P
< 0.01). And it was 59 +/- 23 in normal control CD34(+)CD59(+) cells (P < 0.05).
No statistic difference existed between the CD34(+)CD59(+) cells of PNH patients
and the normal control CD34(+)CD59(+) cells. (2) Under the stimulations of EPO
and TPO, the P-STAT5 MFI was significantly lower in CD34(+)CD59(-) cells of PNH
patients than that of CD34(+)CD59(+) cells (49 +/- 24 and 51 +/- 41 vs 120 +/- 82
and 124 +/- 87, both P < 0.01). For the normal control CD34(+)CD59(+) cells, they
were 79 +/- 47 and 98 +/- 53 respectively (P < 0.05). No statistic difference
existed between the CD34(+)CD59(+) cells of PNH patients and the normal control
CD34(+)CD59(+) cells. P-STAT5 MFI was elevated after the stimulations of EPO and
TPO. The increments of CD34(+)CD59(+) cells in PNH patients were significantly
higher than those of CD34(+)CD59(-) cells (49 +/- 11 and 54 +/- 43 vs 17 +/- 4
and 16 +/- 6, both P < 0.01). CONCLUSION: Under the in vitro stimulations of EPO
and TPO, the STAT5 phosphorylation levels of EPO and TPO receptors in normally
cloned hematopoietic stem cells in PNH patients are obviously superior to those
in abnormally cloned counterparts.
PMID- 22093991
TI - [Oral controlled-release oxycodone for uterine cramping pain after cesarean
section].
AB - OBJECTIVE: To evaluate whether combined oral oxycodone hydrochloride controlled
release tablets plus paracetamol and tramadol hydrochloride tablets is better
than epidural analgesia with respect to uterine cramping pain control and side
effects after cesarean section. METHODS: Sixty consecutive patients scheduled for
cesarean section from April to May, 2010 were randomized to either patient
controlled epidural analgesia with 0.1% ropivacaine, 0.1 ug/ml sufentanil (for
postoperative 48 h) plus injected pethidine on demand (EDA group) or controlled
release oxycodone (2 * 15 mg for 1st postoperative 24 h; 2 * 10 mg for 2nd
postoperative 24 h), paracetamol & tramadol hydrochloride tablets (8 * 1 tablet
for postoperative 48 h) orally plus pethidine injection on demand (OXY group).
Two groups were compared with respects to uterine cramping pain control when the
oxytocin infusion (20 U plus 500 ml 5% glucose solution, iv. gtt within 2 h) once
per day for postoperative 3 days as determined by the means of a visual analogue
scale (VAS), pethidine consumption, side effects and costs. RESULTS: The EDA
group experienced significant more pain than the OXY group when the oxytocin
infusion was administered (mm) [50.0 (15.0, 72.5) vs 25.0 (0, 40.0), 60.0 (47.5,
72.5) vs 20.0 (0, 30.0), 35.0 (20.0, 50.0) vs 0 (0, 20.0)]. all P < 0.05).
Pethidine was used for pain control in 2 patients (150 mg total) of EDA group
during the oxytocin infusion whereas none of the OXY group received an injection
of pethidine. There was a higher level of maternal satisfaction with a lower
analgesic dose in the EDA group (80.9 +/- 9.3 vs 90.0 +/- 9.8, P < 0.01). The
median duration of hospital stay was around 5 days in both groups. CONCLUSION:
Postoperative pain control after cesarean section with the above combined regimen
is superior to EDA in terms of a lower cost and a higher level of maternal
satisfaction.
PMID- 22093992
TI - [Effects of endothelial progenitor cells on spermatogenic functions in testicular
detorsion in rats].
AB - OBJECTIVE: To investigate the effects of transplanted endothelial progenitor
cells (EPCs) on the spermatogenic functions in testicular detorsion. METHODS:
Bone-marrow-derived EPCs were obtained from rats and transfected by enhanced
green fluorescent protein adenovirus (Ad-eGFP). The rats were divided into 3
groups (n = 6 each). In the sham group, left testis was not twisted. In the
ischemia reperfusion injury (IRI) group, 1 ml saline was injected into the
femoral vein of each rat after testicular detorsion. In the EPCs group, 1 ml EPCs
suspension (1.0 * 10(6) EPCs) was injected into each rat after testicular
detorsion. The Ad-eGFP transfected EPCs were injected into the 3 additional rats
of testicular torsion-detorsion. At Day 5 post-transplantation, the
characteristics of transplanted EPCs homing were detected. And the pathological
changes and apoptotic cells/seminiferous tubules in left testis were examined.
RESULTS: When the value of multiplication of infection (MOI) was at 50, the
transfection rate of EPCs by Ad-eGFP exceeded 73.7%. At Day 5 post-treatment, the
cells exhibiting green fluorescence were detected in left testis. The germ cells
in rats of the sham group were normal. And the ratio of apoptotic cells to
seminiferous tubules was 0.09 +/- 0.02. The germ cells in rats of the IRI group
were much fewer. And the ratio of apoptotic cells to seminiferous tubules was
2.82 +/- 0.81. As compared with the IRI group, seminiferous epithelium was
thicker in the EPCs group. And the ratio of apoptotic cells to seminiferous
tubules was 0.32 +/- 0.09 in the EPCs group. It was much smaller than that in the
IRI group. There was significant difference (P < 0.01). CONCLUSION: The
transplantation of EPCs is effective for treating the spermatogenic dysfunctions
caused by testicular torsion so as to greatly enhance the spermatogenic
functions.
PMID- 22093993
TI - [Effects of Akt2-siRNA on chemotherapeutic sensitivity and drug resistance in
human lung cancer cells].
AB - OBJECTIVE: To explore the effects of oncogene protein v-akt-siRNA on the
sensitivity of human lung cancer cell line NCI-H446 to cisplatin and drug
resistance proteins in human lung cancer cells. METHODS: The small interfering
siRNA expression vector targeting Akt2 gene (siAkt2) was constructed. And the NCI
H446 cells were transfected with negative control vector or siRNA vector. The
expressions of Akt2-mRNA and lung resistance-related protein (LRP) and P
glycoprotein (P-gp) were detected by reverse transcription-polymerase chain
reaction and immunocytochemistry respectively. NCI-H446 and transfected cells
were treated by cisplatin for 24 h. The cell proliferation was measured by 3-(4,5
dimethylthiazol-2-yl)-2,5-diphenyl tetrazolium (MTT) assay and cell apoptotic
rate detected by flow cytometry. RESULTS: Akt2-mRNA decreased significantly in
the transfected NCI-H446 cells versus the non-transfection group. And the
expressions of LRP and P-gp proteins decreased significantly in the transfection
group versus the control group (P < 0.01). The cell proliferation rate decreased
from (60.2 +/- 2.8)% to (34.7 +/- 2.6)% (P < 0.01). The cell apoptotic rate
increased from (19.3 +/- 1.6)% to (38.8 +/- 1.2)% after a therapy of cisplatin (P
< 0.01). CONCLUSION: The siRNA targeting Akt2 can decrease the Akt2 expression,
increase the chemotherapeutic sensitivity to cisplatin and partially reverse the
cisplatin resistance of NCI-H446. The mechanism may be through the lowered
expressions of LRP and P-gp.
PMID- 22093994
TI - [Protection of hyperoxia-induced lung injury by granulocyte-macrophage colony
stimulating factor via RAGE-NF-kappaB signaling pathway in newborn rats].
AB - OBJECTIVE: To explore the effects of granulocyte-macrophage colony-stimulating
factor (GMCSF) on hyperoxia exposure lung injury in newborn rats and elucidate
its protective mechanism of operating via the signaling pathway of advanced
glycation end products (RAGE)-NF-kappaB. METHODS: Twenty-four 3-day-old SD rats
from 3 litters were randomly divided into 3 groups. They were hyperoxia exposure
plus GMCSF group (group A), hyperoxia exposure group (group B) and air exposure
group (group C). The rats from groups A and B were placed in a sealed Plexiglas
chamber with a minimal in-and-outflow, providing 6 - 7 exchanges per hour of
chamber volume and maintaining O(2) levels above 95%. While the rats in group C
only were exposed to air simultaneously. The rats in group A received
subcutaneous injections of recombinant murine GMCSF (9 ug/kg) during hyperoxia
exposure at 24 h, 72 h and 120 h respectively. And the rats in groups B and C
received subcutaneous injections of saline vehicle alone at the same time point.
Seven days later, all were sacrificed and immunohistochemistry was employed to
assess the expression of RAGE in lung tissue. The levels of tumor necrosis factor
alpha in bronchoalveolar lavage fluid (BALF) and serum samples were detected by
ELISA (enzyme-linked immunosorbent assay). The RAGE mRNA and NF-kappaB mRNA in
tissue homogenates were detected by RT-PCR while RAGE and NF-kappaB by Western
blot. Also the values of lung damage score were calculated with microscopic
histology. RESULTS: The value of lung damage score in group C, B and A was 0.46
+/- 0.20, 3.06 +/- 0.33 and 2.31 +/- 0.56 respectively, there was significantly
difference among three groups (P = 0.000). The expression of RAGE mRNA and
protein in three groups were 0.14 +/- 0.02, 0.34 +/- 0.06, 0.28 +/- 0.04 and 0.30
+/- 0.04, 0.76 +/- 0.11, 0.55 +/- 0.08 respectively. There were both
significantly differences among three groups (P = 0.000, P = 0.000). The
expression of NF-kappaB mRNA and protein in three groups were 0.41 +/- 0.21, 0.90
+/- 0.36, 0.69 +/- 0.30 and 0.41 +/- 0.26, 0.96 +/- 0.43, 0.77 +/- 0.33
respectively, there were both significantly difference among three groups (P =
0.000, P = 0.017). The level of TNF-alpha in BALF was 76 +/- 10, 224 +/- 42 and
143 +/- 24 respectively, there was significantly difference among three groups (P
= 0.000). All indicators above in group B and group A were significantly more
than those in group C (all P < 0.05), while these indicators in group A were
lower than those in group B. But there was no difference in the level of TNF
alpha of serum among three groups (P > 0.05). CONCLUSION: GMCSF may protect
hyperoxia-induced lung injury via down-regulating the signaling pathway of RAGE
NF-kappaB.
PMID- 22093995
TI - [Differentiation of polygene-modified bone marrow mesenchymal stem cells into
insulin-producing cells].
AB - OBJECTIVE: To evaluate the effects of insulin gene transcription regulators PDX
1, NeuroD1 and MafA on the differentiation of bone marrow mesenchymal stem cells
(mMSCs) into insulin-producing cells. METHODS: Murine mMSCs were isolated,
cultured and expanded. The base sequences of transcription factors PDX-1, NeuroD1
and MafA were obtained by total gene synthesis and the recombinant adenovirus
vectors harboring target genes constructed and transfected into packaging cell
line 293A. mMSCs were infected with adenovirus separately or together, and then
differentiated in vitro into insulin-producing cells. Reverse transcription
polymerase chain reaction (RT-PCR) was utilized to detect insulin gene
expression, immunofluorescence for identifying the presence of insulin protein
and insulin enzyme-linked immunosorbent assay (ELISA) for evaluating the
secretory volume of insulin. RESULTS: The differentiation extent of mMSCs into
beta-cell was analyzed. The beta-cell-specific transcriptional regulators and
insulin gene were expressed in mMSCs after transfection. Immunofluorescent
analyses revealed the activated expression of insulin in the cytoplasm of
differentiated cells. A significant content of insulin was released in these
cells in response to a certain concentrations of glucose stimulation. The insulin
content of mMSCs infected with a combination of three transcription factors was
significantly higher than that of the control group [(112.84 +/- 9.67) mU/L vs
(1.60 +/- 0.22) mU/L, P < 0.05]. CONCLUSION: After modification by
transcriptional factors PDX-1, NeuroD1 and MafA, mMSCs can secrete insulin
through starting endogenous insulin gene transcription.
PMID- 22093996
TI - Analysis and clinical correlation of genetic variation in cytomegalovirus.
AB - BACKGROUND: Cytomegalovirus (CMV) displays genetic polymorphisms in multiple
genes, which may result in important virulence differences. Glycoprotein N (gN)
and immediate early 1 (IE1) are key viral genes and immune targets. We aimed to
characterize the molecular epidemiology of gN and IE1 genotypes in organ
transplant patients with CMV disease in the context of clinical and virologic
endpoints. METHODS: A total of 240 patients with CMV disease had genotyping
analysis by nested polymerase chain reaction assays and sequencing using blood
samples obtained at disease onset. Results were correlated with viral clearance
kinetics and recurrence. RESULTS: Complex patterns of gN and IE1 genotypes were
present with no clear genetic linkages. No single genotype of IE1 or gN was
associated with poorer outcome. For example, different gN or IE1 genotypes had
comparable baseline viral load, clearance half-lives, time to clearance, and
rates of virologic recurrence. Mixed infection was present at IE1 in 15.8% and gN
in 21.9%, but analysis of a single gene was insufficient to detect all mixed
infections. Infections caused by multiple strains, as opposed to single strains,
were associated with higher baseline viral loads (P = 0.011), delayed viral
clearance (P = 0.033), and higher rates of virologic recurrence (P = 0.008).
CONCLUSIONS: Genetic diversity in CMV is complex. Specific gN or IE subtypes do
not seem to affect in vivo viral virulence patterns in single-strain infections.
Mixed infections demonstrate associations with virologic outcomes that single
strain infections do not.
PMID- 22093997
TI - Enhancement of antimicrobial activities of whole and sub-fractionated white tea
by addition of copper (II) sulphate and vitamin C against Staphylococcus aureus;
a mechanistic approach.
AB - BACKGROUND: Enhancement of antimicrobial plant products e.g. pomegranate extract
by copper (II) sulphate is known. Such combinations have applications in various
settings, including the identification of novel compositions to study, treat and
control infection. METHODS: A combination of white tea (WT) (made allowing 10
minutes infusion time at 100 degrees C) was combined with 4.8 mM copper (II)
sulphate and tested for antimicrobial effect on the viability of Staphylococcus
aureus NCTC 06571. Comparisons were made with green (GT) and black (BT) teas. A
WT sub-fraction (WTF < 1000 Da) was tested with copper (II) sulphate and 4.8 mM
vitamin C. pH measurements of samples were taken for controls and to observe any
changes due to tea/agent interaction. Catalase was used to investigate hydrogen
peroxide release. UV-vis. was used to compare WT and WTF. RESULTS: A 30 minute
incubation at room temperature of copper (II) sulphate alone and combined with WT
reduced the viability of S. aureus NCTC 06571 by c.a 1 log10 cfu mL-1. GT and BT
with copper (II) sulphate negated activity to buffer values. Combined with copper
(II) sulphate, vitamin C, WTF and, vitamin C plus WTF all reduced the viability
of S. aureus NCTC 06571 by c.a. 3.5 log10 cfu mL-1. Independent experiments
showed the results were not due to pH effects. Adding WT or WTF to copper (II)
sulphate resulted in increased acidity. Copper (II) sulphate alone and combined
with WT required c.a 300 MUg mL-1 (final concentration) catalase to restore S.
aureus viability, WTF with copper (II) sulphate and added vitamin C required c.a
600 MUg mL-1. WT and WTF UV-visible spectra were similar. CONCLUSIONS: WT showed
no efficacy in the combinations tested. WTF was enhanced with copper (II)
sulphate and further with vitamin C. WT and WTF increased acidity of copper (II)
sulphate possibly via the formation of chemical complexes. The difference in
WT/WTF absorbance possibly represented substances less concentrated or absent in
WTF. Investigations to establish which WTF component/s and in what proportions
additives are most effective against target organisms are warranted.
PMID- 22093998
TI - Natural biopolymer for preservation of microorganisms during sampling and
storage.
AB - Stability of microbial cultures during sampling and storage is a vital issue in
various fields of medicine, biotechnology, food science, and forensics. We have
developed a unique bacterial preservation process involving a non-toxic, water
soluble acacia gum polymer that eliminates the need for refrigerated storage of
samples. The main goal of this study is to characterize the efficacy of acacia
gum polymer for preservation of pathogenic bacteria (Bacillus anthracis and
methicillin-resistant Staphylococcus aureus-MRSA) on different materials, used
for swabbing and filtration: cotton, wool, polyester, rayon, charcoal cloth, and
Whatman paper. Acacia gum polymer used for preservation of two pathogens has been
shown to significantly protect bacteria during dehydration and storage in all
tested samples at the range of temperatures (5-45 degrees C for MRSA and 40-90
degrees C for B. anthracis). Our results showed higher recovery as well as higher
viability during the storage of both bacteria in all materials with acacia gum.
Addition of acacia gum polymer to swabbing materials or filters will increase
efficacy of sample collection and identification of pathogenic bacteria from
locations such as hospitals or the environment. Proposed approach can also be
used for long-term storage of culture collections, since acacia gum contributes
to viability and stability of bacterial cultures.
PMID- 22093999
TI - Molecular serogrouping of porcine enterotoxigenic Escherichia coli from
Australia.
AB - Enterotoxigenic Escherichia coli (ETEC) is a common etiological agent of
neonatal, pre and post weaning diarrhoea in piglets. One of the most important
steps in the diagnosis and epidemiological understanding of this organism is
accurate serogrouping. In many instances, however, conventional serogrouping
fails to produce accurate identification of serogroups. In this communication we
report a modified and simplified molecular serogrouping method (rfb-RFLP) for the
accurate identification of the most common porcine ETEC strains that cause
neonatal, pre and post weaning diarrhoea in Australia.
PMID- 22094000
TI - Flux patterns and membrane fouling propensity during desalination of seawater by
forward osmosis.
AB - The membrane fouling propensity of natural seawater during forward osmosis was
studied. Seawater from the Red Sea was used as the feed in a forward osmosis
process while a 2M sodium chloride solution was used as the draw solution. The
process was conducted in a semi-batch mode under two crossflow velocities, 16.7
cm/s and 4.2 cm/s. For the first time reported, silica scaling was found to be
the dominant inorganic fouling (scaling) on the surface of membrane active layer
during seawater forward osmosis. Polymerization of dissolved silica was the major
mechanism for the formation of silica scaling. After ten batches of seawater
forward osmosis, the membrane surface was covered by a fouling layer of assorted
polymerized silica clusters and natural organic matter, especially biopolymers.
Moreover, the absorbed biopolymers also provided bacterial attachment sites. The
accumulated organic fouling could be partially removed by water flushing while
the polymerized silica was difficult to remove. The rate of flux decline was
about 53% with a crossflow velocity of 16.7 cm/s while reaching more than 70%
with a crossflow velocity of 4.2 cm/s. Both concentration polarization and
fouling played roles in the decrease of flux. The salt rejection was stable at
about 98% during seawater forward osmosis. In addition, an almost complete
rejection of natural organic matter was attained. The results from this study are
valuable for the design and development of a successful protocol for a
pretreatment process before seawater forward osmosis and a cleaning method for
fouled membranes.
PMID- 22094001
TI - In-pipe water quality monitoring in water supply systems under steady and
unsteady state flow conditions: a quantitative assessment.
AB - Monitoring the quality of drinking water from the treatment plant to the
consumers tap is critical to ensure compliance with national standards and/or WHO
guideline levels. There are a number of processes and factors affecting the water
quality during transmission and distribution which are little understood. A
significant obstacle for gaining a detailed knowledge of various physical and
chemical processes and the effect of the hydraulic conditions on the water
quality deterioration within water supply systems is the lack of reliable and low
cost (both capital and O & M) water quality sensors for continuous monitoring.
This paper has two objectives. The first one is to present a detailed evaluation
of the performance of a novel in-pipe multi-parameter sensor probe for reagent-
and membrane-free continuous water quality monitoring in water supply systems.
The second objective is to describe the results from experimental research which
was conducted to acquire continuous water quality and high-frequency hydraulic
data for the quantitative assessment of the water quality changes occurring under
steady and unsteady-state flow conditions. The laboratory and field evaluation of
the multi-parameter sensor probe showed that the sensors have a rapid dynamic
response, average repeatability and unreliable accuracy. The uncertainties in the
sensor data present significant challenges for the analysis and interpretation of
the acquired data and their use for water quality modelling, decision support and
control in operational systems. Notwithstanding these uncertainties, the unique
data sets acquired from transmission and distribution systems demonstrated the
deleterious effect of unsteady state flow conditions on various water quality
parameters. These studies demonstrate: (i) the significant impact of the unsteady
state hydraulic conditions on the disinfectant residual, turbidity and colour
caused by the re-suspension of sediments, scouring of biofilms and tubercles from
the pipe and increased mixing, and the need for further experimental research to
investigate these interactions; (ii) important advances in sensor technologies
which provide unique opportunities to study both the dynamic hydraulic conditions
and water quality changes in operational systems. The research in these two areas
is critical to better understand and manage the water quality deterioration in
ageing water transmission and distribution systems.
PMID- 22094002
TI - Integration of anammox into the aerobic granular sludge process for main stream
wastewater treatment at ambient temperatures.
AB - Anaerobic ammonium oxidation, nitrification and removal of COD was studied at
ambient temperature (18 degrees C +/- 3) in an anoxic/aerobic granular sludge
reactor during 390 days. The reactor was operated in a sequencing fed batch mode
and was fed with acetate and ammonium containing medium with a COD/N ratio of 0.5
[g COD/gN]. During influent addition, the medium was mixed with recycled effluent
which contained nitrate in order to allow acetate oxidation and nitrate reduction
by anammox bacteria. In the remainder of the operational cycle the reactor was
aerated and controlled at a dissolved oxygen concentration of 1.5 mg O(2)/l in
order to establish simultaneous nitritation and Anammox. Fluorescent in-situ
hybridization (FISH) revealed that the dominant Anammox bacterial population
shifted toward Candidatus "Brocadia fulgida" which is known to be capable of
organotrophic nitrate reduction. The reactor achieved stable volumetric removal
rates of 900 [g N(2)-N/m(3)/day] and 600 [g COD/m(3)/day]. During the total
experimental period Anammox bacteria remained dominant and the sludge production
was 5 fold lower than what was expected by heterotrophic growth suggesting that
consumed acetate was not used by heterotrophs. These observations show that
Anammox bacteria can effectively compete for COD at ambient temperatures and can
remove effectively nitrate with a limited amount of acetate. This study indicates
a potential successful route toward application of Anammox in granular sludge
reactors on municipal wastewater with a limited amount of COD.
PMID- 22094003
TI - Defects in sensory organ morphogenesis and generation of cochlear hair cells in
Gata3-deficient mouse embryos.
AB - The development of the inner ear sensory epithelia involves a complex network of
transcription factors and signaling pathways and the whole process is not yet
entirely understood. GATA3 is a DNA-binding factor that is necessary for otic
morphogenesis and without GATA3 variable defects have been observed already at
early stages in mouse embryos. In the less severe phenotypes, one small oval
shaped vesicle is formed whereas in the more severe cases, the otic epithelium
becomes disrupted and the endolymphatic domain becomes separated from the rest of
the otic epithelium. Despite these defects, the early sensory fate specification
occurs in Gata3-/- otic epithelium. However, due to the early lethality of Gata3
deficient embryos, the later morphogenesis and sensory development have remained
unclear. To gain information of these later processes we produced drug-rescued
Gata3-/- embryos that survived up to late gestation. In these older Gata3-/-
embryos, a similar variability was observed as earlier. In the more severely
affected ears, the development of the separate endolymphatic domain arrested
completely whereas the remaining vesicle formed an empty cavity with variable
forms, but without any distinguishable otic compartments or morphologically
distinct sensory organs. However, the dorsal part of this vesicle was able to
adopt a sensory fate and to produce some hair cells. In the less severe cases of
Gata3-/- ears, distinct utricular, saccular and cochlear compartments were
present and hair cells could be detected in the vestibular sensory epithelia.
Although clear cristae and maculae formed, the morphology and size of these
sensory areas were abnormal and they remained often un-separated. In contrast to
the vestibule, the cochlear sensory compartment remained more immature and no
hair or supporting cells could be detected. Our results suggest that GATA3 is
critical for normal vestibular and cochlear morphogenesis and that it is
especially important for cochlear sensory differentiation.
PMID- 22094005
TI - Pitfalls in extrapolating adult data to rare paediatric diseases.
PMID- 22094004
TI - Meningiomas in children and adolescents: a meta-analysis of individual patient
data.
AB - BACKGROUND: The epidemiological, prognostic, and therapeutic features of child
and adolescent meningioma are poorly defined. Clinical knowledge has been drawn
from small case series and extrapolation from adult studies. This study was done
to pool and analyse the clinical evidence on child and adolescent meningioma.
METHODS: Searches of PubMed, Medline, and Embase identified 35 case series of
child and adolescent meningioma completed over the past 21 years. Individual
patient data were obtained from 30 studies via direct communication with
investigators. Primary outcomes were relapse-free survival (RFS) and overall
survival. Prognostic variables were extent of initial surgery, use of upfront
radiotherapy, age, sex, presence of neurofibromatosis, tumour location, and
tumour grade. RFS and overall survival were analysed using Kaplan-Meier survival
curves and multivariable Cox regression models. FINDINGS: From a total of 677
children and adolescents with meningioma, 518 were eligible for RFS analysis and
547 for overall survival analysis. Multivariable analysis showed that patients
who underwent initial gross-total resection had better RFS (hazard ratio 0.16,
95% CI 0.10-0.25; p<0.0001) and overall survival (0.21, 0.11-0.39; p<0.0001) than
those who had subtotal resection. No significant benefit was seen for upfront
radiotherapy in terms of RFS (0.59, 0.30-1.16; p=0.128) or overall survival
(1.10, 0.53-2.28; p=0.791). Patients with neurofibromatosis type 2 (NF2) had
worse RFS than those without neurofibromatosis (2.36, 1.23-4.51; p=0.010). There
was a significant change in overall survival with time between patients with NF2
compared with those without neurofibromatosis (1.45, 1.09-1.92; p=0.011);
although overall survival was initially better for patients with NF2 than for
those without neurofibromatosis, overall survival at 10 years was worse for
patients with NF2. Patients with WHO grade III tumours had worse RFS than those
with WHO grade I (3.90, 2.10-7.26; p<0.0001) and grade II tumours (2.49, 1.11
5.56; p=0.027). INTERPRETATION: Extent of initial surgical resection is the
strongest independent prognostic factor for child and adolescent meningioma. No
benefit for upfront radiotherapy was noted. Hence, aggressive surgical
management, to achieve gross-total resection, is the initial treatment of choice.
In the event of a subtotal resection, repeat resection is recommended to achieve
maximum extirpation. Close observation is warranted for patients who have a
subtotal resection or who have WHO grade III tumours. Patients without
neurofibromatosis should have a minimum 10-year follow-up, whereas patients with
NF2 should be considered a special risk category, necessitating life-long follow
up. FUNDING: None.
PMID- 22094006
TI - Using In(III) as a promoter for glycosylation.
AB - InCl(3), InBr(3), and In(OTf)(3) were tested as promoters in the preparation of
glycosides from trichloroacetimidate precursors. A range of protecting groups and
of alcohol acceptors were used to determine the versatility of these promoters.
Disaccharide formation was demonstrated. In most cases, the In(III) compounds
were shown to promote glycosylation better than the widely used promoter
BF(3).OEt(2).
PMID- 22094007
TI - Synthesis and characterization of new polyamino-cyclodextrin materials.
AB - With the aim of the synthesis of chemically modified cyclodextrins bearing
polyamine pendant groups, potentially useful as capping agents for the
preparation of nanosized metal systems or as auxiliaries for gene transfection,
the reaction between the heptakis-(6-iodo)-(6-deoxy)-beta-cyclodextrin and
various polyamines has been explored. This synthetic approach allows obtaining
materials constituted by mixtures of cyclodextrins, having different degrees of
substitution, which were satisfactorily characterized by means of various
complementary techniques (ESI-MS, NMR, potentiometric titration). The products
obtained were successfully subjected to preliminary tests for their binding
abilities towards suitable organic guests and as capping agents for the
preparation of stable silver nanoparticles.
PMID- 22094008
TI - Determination of tranexamic acid concentration by solid phase microextraction and
liquid chromatography-tandem mass spectrometry: first step to in vivo analysis.
AB - A solid phase microextraction (SPME) method followed by LC-MS/MS analysis was
developed to determine the concentration of tranexamic acid (TA) in plasma. The
use of a new biocompatible C18 coating allowed the direct extraction from complex
biological samples without prior sample preparation; no matrix effect was
observed. The results revealed that SPME was suitable for the analysis of polar
drugs such as TA; such an application was previously inaccessible because of the
limited availability of SPME coatings that can extract polar molecules. The
proposed method was validated according to the bioanalytical method validation
guidelines. LOD and LLOQ were 0.5 and 1.5 MUg/ml, respectively. The recovery for
the method was 0.19%, and the accuracy and precision of the method were <9 and
<11%, respectively, with the exception of LLOQ, where the values were <16 and
<13%, respectively. The performance of the proposed method was also compared
against that of the standard techniques of protein precipitation and
ultrafiltration. A statistical analysis indicated a clinically significant
agreement among all assays. Another advantage of SPME over conventional
techniques was the easy automation and feasibility of in vivo analysis; this
advantage makes it possible to use the proposed method for an on-site analysis in
clinical practice.
PMID- 22094009
TI - Exploitation, vulnerability to tuberculosis and access to treatment among Uzbek
labor migrants in Kazakhstan.
AB - In recent years, Kazakhstan has become an important destination for primarily
undocumented seasonal workers from Uzbekistan. In a context of high tuberculosis
(TB) incidence, TB treatment is provided free for all residents in Kazakhstan,
but migrants rarely access these services. This paper reports findings from a
qualitative study conducted with migrants, TB patients and health care workers
between July and September 2008 to understand the mechanisms that impede
migrants' access to care. Findings describe three structural contexts--the
employment, legal and health care contexts - which act in concert to render
migrants vulnerable to exploitative work conditions and cause a series of
barriers to health care. These conditions contribute to increased exposure to TB,
heightened risk of reactivation due to weakened immunity, treatment-seeking
delays, and increased severity of disease. Seasonal migration patterns also
contribute to treatment interruption, which constitutes a risk for the creation
of drug resistance. Using the theory of structural violence coupled with the
concept of cumulative vulnerability, this paper analyzes how illegality interacts
with exploitation and social marginalization to produce vulnerability to TB and
restrict access to treatment.
PMID- 22094010
TI - VAMP-2, SNAP-25A/B and syntaxin-1 in glutamatergic and GABAergic synapses of the
rat cerebellar cortex.
AB - BACKGROUND: The aim of this study was to assess the distribution of key SNARE
proteins in glutamatergic and GABAergic synapses of the adult rat cerebellar
cortex using light microscopy immunohistochemical techniques. Analysis was made
of co-localizations of vGluT-1 and vGluT-2, vesicular transporters of glutamate
and markers of glutamatergic synapses, or GAD, the GABA synthetic enzyme and
marker of GABAergic synapses, with VAMP-2, SNAP-25A/B and syntaxin-1. RESULTS:
The examined SNARE proteins were found to be diffusely expressed in glutamatergic
synapses, whereas they were rarely observed in GABAergic synapses. However, among
glutamatergic synapses, subpopulations which did not contain VAMP-2, SNAP-25A/B
and syntaxin-1 were detected. They included virtually all the synapses
established by terminals of climbing fibres (immunoreactive for vGluT-2) and some
synapses established by terminals of parallel and mossy fibres (immunoreactive
for vGluT-1, and for vGluT-1 and 2, respectively). The only GABA synapses
expressing the SNARE proteins studied were the synapses established by axon
terminals of basket neurons. CONCLUSION: The present study supplies a detailed
morphological description of VAMP-2, SNAP-25A/B and syntaxin-1 in the different
types of glutamatergic and GABAergic synapses of the rat cerebellar cortex. The
examined SNARE proteins characterize most of glutamatergic synapses and only one
type of GABAergic synapses. In the subpopulations of glutamatergic and GABAergic
synapses lacking the SNARE protein isoforms examined, alternative mechanisms for
regulating trafficking of synaptic vesicles may be hypothesized, possibly
mediated by different isoforms or homologous proteins.
PMID- 22094011
TI - Changes of microRNAs-192, 196a and 203 correlate with Barrett's esophagus
diagnosis and its progression compared to normal healthy individuals.
AB - BACKGROUND: Barrett's esophagus (BE) is a disease with a rising prevalence in
western countries probably due to the unhealthy lifestyle. In significant number
of cases it develops to esophageal adenocarcinoma. Two decades ago, important
gene regulators (microRNAs) were discovered and their attendance in the process
of malignant transformation was demonstrated (e.g. miR-192, 196a, 203). Our aim
was to select the patients with the increased risk of malignant transformation
before the cancer develops. METHODS: 71 patients with BE disease were selected,
slides from FFPE blocks were prepared, the lesions were microdissected and a qPCR
relative expression analysis for selected microRNAs (generally known to be
connected with malignant transformation process) was carried out. RESULTS: We
demonstrated unequivocal statistically significant upregulation of two microRNAs
(miR-192, 196a) and downregulation of miR-203 and positive miR-196a correlation
with progression from intestinal metaplasia to adenocarcinoma compared to normal
individuals. CONCLUSIONS: We hypothesize that there do exist changes of selected
microRNAs which can undoubtedly distinguish the patients with BE from normal
healthy individuals.
PMID- 22094012
TI - Molecular and physiological aspects of alcohol dehydrogenases in the ethanol
metabolism of Saccharomyces cerevisiae.
AB - The physiological role and possible functional substitution of each of the five
alcohol dehydrogenase (Adh) isozymes in Saccharomyces cerevisiae were
investigated in five quadruple deletion mutants designated strains Q1-Q5, with
the number indicating the sole intact ADH gene. Their growth in aerobic batch
cultures was characterised in terms of kinetic and stoichiometric parameters.
Cultivation with glucose or ethanol as carbon substrate revealed that Adh1 was
the only alcohol dehydrogenase capable of efficiently catalysing the reduction of
acetaldehyde to ethanol. The oxidation of produced or added ethanol could also be
attributed to Adh1. Growth of strains lacking the ADH1 gene resulted in the
production of glycerol as a major fermentation product, concomitant with the
production of a significant amount of acetaldehyde. Strains Q2 and Q3, expressing
only ADH2 or ADH3, respectively, produced ethanol from glucose, albeit less than
strain Q1, and were also able to oxidise added ethanol. Strains Q4 and Q5 grew
poorly on glucose and produced ethanol, but were neither able to utilise the
produced ethanol nor grow on added ethanol. Transcription profiles of the ADH4
and ADH5 genes suggested that participation of these gene products in ethanol
production from glucose was unlikely.
PMID- 22094013
TI - The medical care costs of obesity: an instrumental variables approach.
AB - This paper is the first to use the method of instrumental variables (IV) to
estimate the impact of obesity on medical costs in order to address the
endogeneity of weight and to reduce the bias from reporting error in weight.
Models are estimated using restricted-use data from the Medical Expenditure Panel
Survey for 2000-2005. The IV model, which exploits genetic variation in weight as
a natural experiment, yields estimates of the impact of obesity on medical costs
that are considerably higher than the estimates reported in the previous
literature. For example, obesity is associated with $656 higher annual medical
care costs, but the IV results indicate that obesity raises annual medical costs
by $2741 (in 2005 dollars). These results imply that the previous literature has
underestimated the medical costs of obesity, resulting in underestimates of the
economic rationale for government intervention to reduce obesity-related
externalities.
PMID- 22094014
TI - Optimization and validation of liquid chromatography and headspace-gas
chromatography based methods for the quantitative determination of capsaicinoids,
salicylic acid, glycol monosalicylate, methyl salicylate, ethyl salicylate,
camphor and l-menthol in a topical formulation.
AB - Capsaicinoids, salicylic acid, methyl and ethyl salicylate, glycol
monosalicylate, camphor and l-menthol are widely used in topical formulations to
relieve local pain. For each separate compound or simple mixtures, quantitative
analysis methods are reported. However, for a mixture containing all above
mentioned active compounds, no assay methods were found. Due to the differing
physicochemical characteristics, two methods were developed and optimized
simultaneously. The non-volatile capsaicinoids, salicylic acid and glycol
monosalicylate were analyzed with liquid chromatography following liquid-liquid
extraction, whereas the volatile compounds were analyzed with static headspace
gas chromatography. For the latter method, liquid paraffin was selected as
compatible dilution solvent. The optimized methods were validated in terms of
specificity, linearity, accuracy and precision in a range of 80% to 120% of the
expected concentrations. For both methods, peaks were well separated without
interference of other compounds. Linear relationships were demonstrated with R2
values higher than 0.996 for all compounds. Accuracy was assessed by performing
replicate recovery experiments with spiked blank samples. Mean recovery values
were all between 98% and 102%. Precision was checked at three levels: system
repeatability, method precision and intermediate precision. Both methods were
found to be acceptably precise at all three levels. Finally, the method was
successfully applied to the analysis of some real samples (cutaneous sticks).
PMID- 22094016
TI - An assessment of burn injury hospitalisations of adolescents and young adults in
Western Australia, 1983-2008.
AB - BACKGROUND: This study presents a 26-year epidemiological assessment of burn
injury hospitalisations for people 15-29 years of age in Western Australia.
METHODS: Linked hospital morbidity and death data for all persons hospitalised
with an index burn injury in Western Australia for the period 1983-2008 were
analyzed. Annual age-specific incidence rates were estimated. Poisson regression
analyses were used to estimate temporal trends in hospital admissions. RESULTS:
There were 6404 burn hospital admissions of which 76% were male. Males had
hospitalisation rates 3.0 times that of females (95%CI: 2.8-3.2) and Aboriginal
people had rates 2.3 times (95%CI: 2.1-2.5) that of non-Aboriginal persons.
Hospitalisations for burn injury declined by 42% (95%CI: 35-47) for males and 21%
(95%CI: 6-33) for females. Hospitalisations declined by 53% (95%CI: 35-63) for
Aboriginal people, and by 35% (95%CI: 29-41) for non-Aboriginal people.
Significant reductions were observed for flame and electrical burn
hospitalisations. The major causes of burns in males were exposure to controlled
fires and ignition of inflammable materials, with scalds the predominant cause of
burn in females. CONCLUSIONS: Downward trends in burn injury hospitalisations for
both males and females 15-29 years of age were observed; however, males and
Aboriginal persons have significantly elevated hospitalisation rates.
PMID- 22094017
TI - Distinct phases of Wnt/beta-catenin signaling direct cardiomyocyte formation in
zebrafish.
AB - Normal heart formation requires reiterative phases of canonical Wnt/beta-catenin
(Wnt) signaling. Understanding the mechanisms by which Wnt signaling directs
cardiomyocyte (CM) formation in vivo is critical to being able to precisely
direct differentiated CMs from stem cells in vitro. Here, we investigate the
roles of Wnt signaling in zebrafish CM formation using heat-shock inducible
transgenes that increase and decrease Wnt signaling. We find that there are three
phases during which CM formation is sensitive to modulation of Wnt signaling
through the first 24 h of development. In addition to the previously recognized
roles for Wnt signaling during mesoderm specification and in the pre-cardiac
mesoderm, we find a previously unrecognized role during CM differentiation where
Wnt signaling is necessary and sufficient to promote the differentiation of
additional atrial cells. We also extend the previous studies of the roles of Wnt
signaling during mesoderm specification and in pre-cardiac mesoderm. Importantly,
in pre-cardiac mesoderm we define a new mechanism where Wnt signaling is
sufficient to prevent CM differentiation, in contrast to a proposed role in
inhibiting cardiac progenitor (CP) specification. The inability of the CPs to
differentiate appears to lead to cell death through a p53/Caspase-3 independent
mechanism. Together with a report for an even later role for Wnt signaling in
restricting proliferation of differentiated ventricular CMs, our results indicate
that during the first 3days of development in zebrafish there are four distinct
phases during which CMs are sensitive to Wnt signaling.
PMID- 22094018
TI - Disruption of Eaat2b, a glutamate transporter, results in abnormal motor
behaviors in developing zebrafish.
AB - Analysis of zebrafish mutants that have defects in motor behavior can allow
entree into the hindbrain and spinal cord networks that control locomotion. Here,
we report that zebrafish techno trousers (tnt) locomotor mutants harbor a
mutation in slc1a2b, which encodes Eaat2b, a plasma membrane glutamate
transporter. We used tnt mutants to explore the effects of impaired glutamate
transporter activity on locomotor network function. Wild-type larvae perform
robust swimming behavior in response to touch stimuli at two and four days after
fertilization. In contrast, tnt mutant larvae demonstrate aberrant, exaggerated
body bends beginning two days after fertilization and they are almost paralyzed
four days after fertilization. We show that slc1a2b is expressed in glial cells
in a dynamic fashion across development, which may explain the abnormal sequence
of motor behaviors demonstrated by tnt mutants. We also show that tnt larvae
demonstrate enhanced excitation of neurons, consistent with the predicted effects
of excessive glutamate. These findings illustrate the dynamic regulation and
importance of glutamate transporters during development. Since glutamate toxicity
caused by EAAT2 dysfunction is thought to promote several different neurological
disorders in humans, including epilepsy and neurodegenerative diseases, tnt
mutants hold promise as a new tool to better understand these pathologies.
PMID- 22094020
TI - [From image-guided radiotherapy to dose-guided radiotherapy].
AB - PURPOSE: In case of tumour displacement, image-guided radiotherapy (IGRT) based
on the use of cone beam CT (tomographie conique) allows replacing the tumour
under the accelerator by rigid registration. Anatomical deformations require
however replanning, involving an estimation of the cumulative dose, session after
session. This is the objective of this study. PATIENTS AND METHODS: Two examples
of arc-intensity modulated radiotherapy are presented: a case of prostate cancer
(total dose=80 Gy) with tomographie conique (daily prostate registration) and one
head and neck cancer (70 Gy). For the head and neck cancer, the patient had a
weekly scanner allowing a dose distribution calculation. The cumulative dose was
calculated per voxel on the planning CT after deformation of the dose
distribution (with trilinear interpolation) following the transformation given by
a non-rigid registration step (Demons registration method) from: either the
tomographie conique (prostate), or the weekly CT. The cumulative dose was
eventually compared with the planned dose. RESULTS: In cases of prostate
irradiation, the "cumulative" dose corresponded to the planned dose to the
prostate. At the last week of irradiation, it was above the planned dose for the
rectum and bladder. The volume of rectal wall receiving more than 50 Gy (V50) was
20% at the planning and 26% at the end of treatment, increasing the risk of
rectal toxicity (NTCP) of 14%. For the bladder wall, V50 were 73% and 82%,
respectively. In head and neck, the "cumulative" dose to the parotid exceeded the
planned dose (mean dose increasing from 46 Gy to 54 Gy) from the 5th week of
irradiation on, suggesting the need for replanning within the first 5 weeks of
radiotherapy. CONCLUSION: The deformable registration estimates the cumulative
dose delivered in the different anatomical structures. Validation on digital and
physical phantoms is however required before clinical evaluation.
PMID- 22094019
TI - Conditional hypoxia inducible factor-1alpha induction in embryonic pulmonary
epithelium impairs maturation and augments lymphangiogenesis.
AB - Hypoxia inducible factor (HIF) 1a, EPAS1 and NEPAS are expressed in the embryonic
mouse lung and each isoform exhibits distinct spatiotemporal expression patterns
throughout morphogenesis. To further assess the role of the HIF1a isoform in lung
epithelial cell differentiation and homeostasis, we created transgenic mice that
express a constitutively active isoform of human HIF-1a (HIF-1a three point
mutant (TPM)), in a doxycycline-dependent manner. Expression of HIF1a TPM in the
developing pulmonary epithelium resulted in lung hypoplasia characterized by
defective branching morphogenesis, altered cellular energetics and impaired
epithelial maturation, culminating in neonatal lethality at birth from severe
respiratory distress. Histological and biochemical analyses revealed expanded
glycogen pools in the pulmonary epithelial cells at E18.5, concomitant with
decreased pulmonary surfactant, suggesting a delay or an arrest in maturation.
Importantly, these defects occurred in the absence of apoptosis or necrosis. In
addition, sub-pleural hemorrhaging was evident as early as E14.5 in HIF1a TPM
lungs, despite normal patterning of the blood vasculature, consistent with
defects in endothelial barrier function. Epithelial expression of HIF1a TPM also
resulted in increased VEGFA and VEGFC production, an increase in the number of
lymphatic vessels and indirect activation of the multiple Notch pathway
components in endothelial precursor cells. Collectively, these data indicate that
HIF-1a protein levels in the pulmonary epithelium must be tightly controlled for
proper development of the epithelial and mesenchymal compartments.
PMID- 22094021
TI - Image processing and lattice determination for three-dimensional nanocrystals.
AB - Three-dimensional nanocrystals can be studied by electron diffraction using
transmission cryo-electron microscopy. For molecular structure determination of
proteins, such nanosized crystalline samples are out of reach for traditional
single-crystal X-ray crystallography. For the study of materials that are not
sensitive to the electron beam, software has been developed for determining the
crystal lattice and orientation parameters. These methods require radiation-hard
materials that survive careful orienting of the crystals and measuring
diffraction of one and the same crystal from different, but known directions.
However, as such methods can only deal with well-oriented crystalline samples, a
problem exists for three-dimensional (3D) crystals of proteins and other
radiation sensitive materials that do not survive careful rotational alignment in
the electron microscope. Here, we discuss our newly released software AMP that
can deal with nonoriented diffraction patterns, and we discuss the progress of
our new preprocessing program that uses autocorrelation patterns of diffraction
images for lattice determination and indexing of 3D nanocrystals.
PMID- 22094022
TI - Factors predicting deviation from an enhanced recovery programme and delayed
discharge after laparoscopic colorectal surgery.
AB - AIM: The study aimed to identify factors that predict postoperative deviation
from an enhanced recovery programme (ERP) and/or delayed discharge following
colorectal surgery. METHOD: Data were prospectively collected from all patients
undergoing elective laparoscopic colorectal resection between January 2006 and
December 2009. They included Physiological and Operative Severity Score for the
enUmeration of Mortality and morbidity (POSSUM) variables, body mass index (BMI),
sex, preoperative serum albumin, pathology, conversion from a laparoscopic to an
open approach and postoperative length of hospital stay. RESULTS: There were 176
patients (90 women) of mean age 68 years. Fifteen (9%) operations were converted
from laparoscopic to open. The remainder were completed laparoscopically. Fifty
five (31%) deviated from the ERP, with most failing multiple elements. The most
common reason was failure to mobilize, which often occurred in conjunction with
paralytic ileus or analgesic failure. Factors independently predicting ERP
deviation on multivariate analysis were pathology and intra-operative
complications. The median length of stay was 5 days. Sixty-four (36%) patients
had a prolonged length of stay that was predicted by age, number of procedures
and ERP deviation. CONCLUSION: Pathology and intra-operative complications are
independent predictors of ERP deviation. Prolonged length of stay can be
predicted by age, multiple procedures and ERP deviation. Failure to mobilize
should be considered as a red flag sign prompting further investigation following
colorectal resection.
PMID- 22094023
TI - An indwelling urinary catheter for the 21st century.
AB - What's known on the subject? and What does the study add? A vast literature has
been published on the prevalence, morbidity and microbiology of catheter
associated urinary tract infections. Research and development in recent years has
focused on producing antibacterial coatings for the indwelling Foley catheter
with insufficient attention to its design. This article provides a critical
examination of the design of the indwelling Foley catheter. Design specifications
are outlined for a urine collection device that should reduce the vulnerability
of catheterised urinary tract to infection. The indwelling urinary catheter is
the most common cause of infections in hospitals and other healthcare facilities
[1]. As long ago as 1958, Paul Beeson [2] warned '... the decision to use this
instrument should be made with the knowledge that it involves the risk of
producing a serious disease which is often difficult to treat'. Since then,
scientific studies have progressed revealing a greater understanding of the
bladder's defence mechanisms against infection and how they are undermined by the
Foley catheter [3-5]. In addition, the complications caused by the development of
bacterial biofilms on catheters have been recognised and the ways in which these
bacterial communities develop on catheters have become clear [5,6]. It is now
obvious that fundamental problems with the basic design of the catheter, which
has changed little since it was introduced into urological practice by Dr
Fredricc Foley in 1937 [7], induce susceptibility to infection. These issues need
to be addressed urgently if we are to produce a device suitable for use in the
21st century.
PMID- 22094024
TI - Primary sclerosing cholangitis and disease distribution in inflammatory bowel
disease.
AB - BACKGROUND & AIMS: The relationship between site of intestinal inflammation and
primary sclerosing cholangitis (PSC) development in inflammatory bowel disease
(IBD) has not been studied extensively, but may be important in understanding the
pathogenesis of PSC. We aimed to determine patterns of disease distribution in
IBD patients with and without PSC. METHODS: We performed a 2-part study involving
the following: (1) 2754 IBD patients and (2) 82 separate PSC patients attending
the Irish National Liver Transplant Unit. RESULTS: Fifty-nine of 2708 (2.2%) IBD
patients had PSC. In ulcerative colitis patients, PSC incidence increased with
increasing colonic involvement (P = .001) and was relatively rare in those
without total colitis. Thirteen Crohn's disease patients had PSC, none with
isolated small-bowel disease had PSC (P = .03). In study 2, the majority of
ulcerative colitis patients with PSC had total colitis, whereas the remainder had
disease extending at least to the left colon. In addition, all 10 PSC patients
with Crohn's disease had colonic involvement. CONCLUSIONS: An inflamed colon, but
not small bowel, is important in PSC development and it is possible that
bacterial translocation and subsequent portal bacteremia is important in PSC
development in IBD.
PMID- 22094025
TI - Role of albumin treatment in patients with spontaneous bacterial peritonitis.
AB - BACKGROUND & AIMS: Intravenous administration of albumin decreases the incidence
of renal failure and mortality among patients with spontaneous bacterial
peritonitis (SBP). However, it is unclear whether it should be given to all
patients with SBP; we evaluated its efficacy. METHODS: We analyzed data from all
episodes of SBP (n = 216) during a 7-year period that occurred in a nonselected
series of 167 patients with cirrhosis. Low-risk episodes (urea <11 mmol/L and
bilirubin <68 MUmol/L) were not treated with albumin, whereas high-risk episodes
(urea >11 mmol/L and/or bilirubin >68 MUmol/L) were or were not given albumin at
the discretion of the attending physician. RESULTS: Sixty-four episodes of SBP
(29.6%) were low risk and not treated with albumin, whereas 152 (70.4%) were high
risk; 73 of these (48%) were treated with albumin and 79 (52%) were not. Renal
failure before SBP resolution was less frequent after low-risk episodes than high
risk episodes (4.7% versus 25.6%; P = .001), in-hospital mortality was lower
(3.1% versus 38.2%; P < .001), and the 3-month probability of survival was higher
(93% versus 53%; P < .001). In an analysis of only the high-risk group, patients
who received albumin had lower in-hospital mortality than those not treated with
albumin (28.8% versus 46.8%; P = .02) and a greater 3-month probability of
survival (62% versus 45%; P = .01). CONCLUSIONS: Albumin therapy increases
survival of patients who have high-risk episodes of SBP, although it does not
seem to be necessary for patients with low risk of death.
PMID- 22094026
TI - What to do with my ambulatory surgery center.
PMID- 22094027
TI - Dirlotapide as a model substrate to refine structure-based drug design strategies
on CYP3A4-catalyzed metabolism.
AB - Multiple crystal structures of CYP3A4 bound with various substrates or inhibitors
have been used as templates for docking of new chemical entities to predict sites
of metabolism and molecular interactions for drug design. Herein, modeling
studies with dirlotapide, a CYP3A4 substrate, indicated that a substantial
conformational change of CYP3A4 was necessary to accommodate it within the active
site cavity, which is in good agreement with a new published CYP3A4 ritonavir co
crystal structure. Thus, the importance of considering the substrate-induced
conformational change in CYP3A4, thermochemical properties of reaction centers,
and essential in vitro experimental data support were analyzed for the refinement
of computational models.
PMID- 22094028
TI - Lead optimisation of pyrazoles as novel FPR1 antagonists.
AB - Optimisation of a series of pyrazole inhibitors of the human FPR1 receptor has
been achieved. The use of an in vitro media loss assay was utilised to identify
sub-series with more robust DMPK profiles. These were subsequently improved to
generate analogues with attractive overall profiles.
PMID- 22094029
TI - [The surgical option of degenerative cervical spinal stenosis].
PMID- 22094030
TI - [One-stage posterior approach spinal reconstruction for severe lumbar burst
fracture].
AB - OBJECTIVE: To evaluate the clinical therapeutic outcomes for severe burst
fracture of lumbar vertebrae via a posterior approach for one-stage spinal "three
column" reconstruction. METHODS: An retrospective analysis of data was performed
for 21 patients with severe burst fracture of lumbar vertebrae undergoing a
posterior approach for one-stage spinal "three-column" reconstruction from 2005
to 2010. It was compared with previous 15 cases undergoing a staged
anteroposterior approach. The operative duration, volume of blood loss, pre- and
post-operative imaging measurements of kyphosis and vertebral height and nerve
function recovery were evaluated. RESULTS: The values of operative duration and
volume of blood loss in the one-stage posterior approach group were significantly
less than those of the two-stage anteroposterior approach group [(263 +/- 72) min
vs (439 +/- 75) min, t = -5.303, P < 0.01; (1143 +/- 296) ml vs (1471 +/- 399)
ml, t = -2.169, P = 0.042)]. Statistical significance existed in postoperative
kyphosis between two groups [(0.5 +/- 2.0) degrees vs (3.9 +/- 2.6) degrees , t
= -3.336, P = 0.003]. Vertebral height had no statistical significance pre- and
post-operatively between two groups while restoration of vertebral height did
[(0.47 +/- 0.19) mm vs (0.26 +/- 0.15) mm, t = 2.669, P = 0.015]. CONCLUSION:
Posterior approach for one-stage vertebral resection, mesh implantation, pedicle
screws and rod internal fixation for reconstructing spinal "three-column"
structures offers excellent feasibility and safety. And it may avoid
complications associated with an anteroposterior approach for two-stage
procedures. The median length of hospital stay is also reduced.
PMID- 22094031
TI - [Lateral position one-stage combined posteroanterior approaches for the treatment
of lumbosacral tuberculous spondylitis].
AB - OBJECTIVE: To investigate the feasibility of a one-stage combined posteroanterior
approaches for the treatment of lumbosacral tuberculous spondylitis with the
patients lying in a lateral position. METHODS: A retrospective review was
conducted for 15 patients with lumbosacral tuberculosis undergoing one-stage
combined posteroanterior approaches for radical lesion resection and
reconstruction. All patients were observed and evaluated by clinical and imaging
studies. RESULTS: Operative posture:11 cases for right side and 4 cases for left
side. The mean operative duration was 280 min (range: 230 - 380 min) and the mean
estimated volume of blood loss 1720 ml (range: 1100 - 3000 ml). Imaging results:
No recurrence of tuberculose focus was found until the last follow-up. Upon image
reviewing, it showed no loosening or displacement except for two cases of
slightly collapsed titanium mesh. Preoperative and postoperative changes in the
degree of lumbar lordosis were statistically significant [(20 +/- 5) degrees vs
(31 +/- 5) degrees , P < 0.05]. The Kirkaldy-Willis classification rating yielded
satisfactory results for 13 cases. CONCLUSION: The patients with lumbosacral
tuberculosis undergoing one-stage combined posteroanterior approaches may achieve
radical lesion resection, posteroanterior collaboration and reconstruction. It
avoids a 2-stage operation, eliminates the need of changing a patient's body
position with secondary sterilization and shortens the operative duration.
Lumbosacral surgery for tuberculosis combines the respective advantages of
anterior, posterior and combined posteroanterior approaches and yet makes up for
their deficiencies.
PMID- 22094032
TI - [Treatment of combination atlantoaxial fractures with posterior fusion plus
atlantoaxial pedicle screw].
AB - OBJECTIVE: To explore the clinical feasibility, approach, efficacy and
indications of posterior fusion plus pedicle screw fixation in the treatment of
combination atlantoaxial fractures. METHODS: A retrospective analysis was
conducted for 26 cases of Jefferson and dens fracture treated with atlantoaxial
pedicle screw from June 2008 to May 2010. RESULTS: The postoperative radiographs
verified an excellent position of all screws with a satisfactory atlantoaxial
reduction. Operative time was (126 +/- 26) min, and blood loss was (350 +/- 107)
ml. During an average follow-up period of 14 months (range: 6 - 28), it showed no
spine cord and vertebral artery injury or interfixation failure. Atlantoaxial
alignment and stability were restored without any instrumentation-related
complication. CONCLUSION: Posterior atlantoaxial pedicle screw and rod fixation
may provide immediate three-dimensional rigid fixation of atlantoaxial joint.
This technique is more effective than other previously reported approaches.
PMID- 22094033
TI - [Building an effective nonlinear three-dimensional finite-element model of human
thoracolumbar spine].
AB - OBJECTIVE: To build an effective nonlinear three-dimensional finite-element (FE)
model of T(11)-L(3) segments for a further biomechanical study of thoracolumbar
spine. METHODS: The CT (computed tomography) scan images of healthy adult T(11)
L(3) segments were imported into software Simpleware 2.0 to generate a triangular
mesh model. Using software Geomagic 8 for model repair and optimization, a solid
model was generated into the finite element software Abaqus 6.9. The reasonable
element C3D8 was selected for bone structures. Created between bony endplates,
the intervertebral disc was subdivided into nucleus pulposus and annulus fibrosus
(44% nucleus, 56% annulus). The nucleus was filled with 5 layers of 8-node solid
elements and annulus reinforced by 8 crisscross collagenous fiber layers. The
nucleus and annulus were meshed by C3D8RH while the collagen fibers meshed by two
node-truss elements. The anterior (ALL) and posterior (PLL) longitudinal
ligaments, flavum (FL), supraspinous (SSL), interspinous (ISL) and
intertransverse (ITL) ligaments were modeled with S4R shell elements while
capsular ligament (CL) was modeled with 3-node shell element. All surrounding
ligaments were represented by envelope of 1 mm uniform thickness. The discs and
bone structures were modeled with hyper-elastic and elasto-plastic material laws
respectively while the ligaments governed by visco-elastic material law. The
nonlinear three-dimensional finite-element model of T(11)-L(3) segments was
generated and its efficacy verified through validating the geometric similarity
and disc load-displacement and stress distribution under the impact of violence.
Using ABAQUS/ EXPLICIT 6.9 the explicit dynamic finite element solver, the impact
test was simulated in vitro. RESULTS: In this study, a 3-dimensional, nonlinear
FE model including 5 vertebrae, 4 intervertebral discs and 7 ligaments consisted
of 78 887 elements and 71 939 nodes. The model had good geometric similarity
under the same conditions. The results of FEM intervertebral disc load
displacement curve were similar to those of in vitro test. The stress
distribution results of vertebral cortical bone, posterior complex and cancellous
bone were similar to those of other static experiments in a dynamic impact test
under the observation of stress cloud. CONCLUSION: With the advantages of high
geometric and mechanical similarity and complete thoracolumbar, hexahedral
meshes, nonlinear finite element model may facilitate the impact loading test for
a further dynamic analysis of injury mechanism for thoracolumbar burst fracture.
PMID- 22094034
TI - [Altered expression of endogenous transforming growth factor beta1 and early
calcification related genes in rat endplate].
AB - OBJECTIVE: To explore the relationship between endogenous transforming growth
factor (TGF)-beta1 and calcification-related genes through an in vitro
degeneration model by propagating rat endplate chondrocytes during a natural
degeneration process. METHODS: Endplate chondrocytes were extracted from rat
lumbar vertebrae, isolated by enzyme digestion and P2 and P4 generations selected
for a 6-day in vitro culture. The specimens were photographed microscopically to
observe the cellular differences by alizarin red staining. Type II collagen
marker gene, transcription factor SOX-9 gene and metabolism-related genes
proteoglycan. matrix metalloproteinase (MMP)-13, a disintegrin and
metalloproteinase with thrombospondin motifs (ADAMTS)-4 and ADAMTS-5 were
detected by RT-PCR to verify the degeneration model. Based on this model, the
changes of growth factor TGF-beta1 and calcification-related genes ankyrin (ANK),
ectonucleotide pyrophosphatase (ENPP), tissue-nonspecific alkaline phosphatase
(TNAP) were continuously tested. RESULTS: Compared with P2 cells, P4 cells tended
to assume a spindle-shaped morphology. And alizarin red staining showed no change
between them. The level of transcription factor SOX-9 of P4 cells (P4/P2 =
0.0690, P = 0.0489) was significantly lower than that of P2 cells. Type II
collagen (P4/P2 = 0.0535, P = 0.009) and proteoglycan (P4/P2 = 0.2672, P =
0.0343) were also significantly lower than those of P2 cells. No significant
changes were observed in other metabolism-related genes. TGF-beta1 (P4/P2 =
0.5934, P = 0.0482) was significantly lower. The expressions of TNAP (P4/P2 =
0.0385, P = 0.0139) and ANK (P4/P2 = 0.2121, P = 0.0009) were significantly
lower. But ENPP showed no significant change. CONCLUSION: P4 endplate
chondrocytes undergo natural degeneration in vitro with the rising passage
number. Type II collagen, SOX-9 and proteoglycan are significantly reduced.
Endogenous TGF-beta1 gene and calcification-related genes are down-regulated. The
decrease of ANK gene may be caused by the down-regulation of endogenous TGF
beta1. Modulating the expression of endogenous TGF-beta1 gene in endplate
chondrocytes may become a new therapeutic approach for the degeneration of
intervertebral disc.
PMID- 22094035
TI - [Diffusion tensor imaging findings in first-episode and chronic schizophrenics].
AB - OBJECTIVE: To investigate the integrity of white matters in first-episode and
chronic schizophrenics. METHODS: For this study, 39 first-episode and 38 chronic
schizophrenics, 69 healthy controls (age, gender and years of received education
no significantly different from those of the patients) underwent diffusion
weighted images with a single-shot echo planar imaging (EPI) sequence aligned to
the straight axial plane. The fractional anisotropy (FA) images of three groups
underwent one-way ANOVA with the methods of voxel-based morphometric (VBM)
analysis. RESULTS: (1) There were three brain regions where the FA values of
white matter were different among three groups: right caudate nucleus (MNI: 20,
12, 14; cluster = 432 voxels; FA value: 0.36 +/- 0.18 vs 0.35 +/- 0.24 vs 0.38 +/
0.17), left insula (MNI: -32, 18, 2; cluster = 204 voxels; FA value: 0.35 +/-
0.31 vs 0.33 +/- 0.24 vs 0.36 +/- 0.21) and right anterior cingulate (MNI: 16,
36, 12; cluster = 132 voxels; FA value: 0.35 +/- 0.29 vs 0.34 +/- 0.31 vs 0.37 +/
0.25). (2) The mean FA values of the three brain regions of two patients groups
decreased versus those of healthy controls (P < 0.05). (3) The mean FA values of
left insular region in chronic patients decreased versus those of the first
episode patients (P < 0.05). CONCLUSION: The reduced integrity of white matter
may play an etiological role in schizophrenia and the changes are probably
progressive.
PMID- 22094036
TI - [Transcatheter arterial chemoembolization plus percutaneous thermal ablation in
large hepatocellular carcinoma: clinical observation of efficacy and predictors
of prognostic factors].
AB - OBJECTIVE: To explore the technical success rate, efficacy, overall survival,
recurrence-free survival and prognostic factors of transcatheter arterial
chemoembolization (TACE) plus thermal ablations of radiofrequency ablation (RFA)
and microwave ablation (MWA) in the patients with large hepatocellular carcinoma
(HCC). METHODS: Forty-five cases with Child-pugh class A, B cirrhosis and large
HCC with a maximum tumor between 5.0 cm to 10.0 cm underwent TACE and thermal
ablation. Twenty-five patients received TACE plus RFA while the other 20 patients
underwent TACE plus MWA. Their efficacy, long-term survival and prognostic
factors were statistically analyzed. RESULTS: TACE plus thermal ablation were
performed in 84 tumors with a successful rate of 100% (86/86) and a complete
ablation rate of 94.0% (79/84). The major complication rate was 6.7% (3/45).
Local and distant recurrence rates were 66.7% (30/45) and 71.1% (32/45)
respectively. The 1 and 2-year recurrence-free survival rates were 26.7% (12/45)
and 13.3% (6/45). And the 1, 2 and 3-year survival rates were 80.0% (36/45),
33.3% (15/45) and 6.7% (3/45) respectively. Univariate and Cox regression
analyses indicated that tumor size > 7.0 cm, multi-nodularity, incomplete
necrosis and pretherapy alpha-fetoprotein (AFP) >= 200 ug/L were 4 unfavorable
prognostic factors for the long-term survival. CONCLUSION: The combined
procedures of TACE and percutaneous thermal ablation are both safe and effective
for the unresectable large HCC (> 5.0 cm). It represents an alleviate treatment
for those patients with tumor size > 7.0 cm. Tumor size, tumor number,
completeness of necrosis and AFP level are all significant prognostic factors.
PMID- 22094037
TI - [Comparison of effects in puerpera and fetus with ephedrine and phenylephrine
during a cesarean delivery].
AB - OBJECTIVE: To compare the different effects in fetus and puerpera with an
equivalent dose of ephedrine (E) and phenylephrine (Ph) for maintaining maternal
blood pressure near baseline during spinal anesthesia for a cesarean delivery.
METHODS: Ninety mature parturient women with single-embryo scheduled for an
elective cesarean delivery under spinal anesthesia at our hospital during January
June 2010 were randomly divided into 3 groups (E, E + Ph and Ph, n = 30 each).
Group E received an infusion of ephedrine (ephedrine 4 g/L), Group E + Ph
ephedrine plus phenylephrine (ephedrine 2 g/L + phenylephrine 25 mg/L) and Group
Ph phenylephrine (phenylephrine 50 mg/L). The blood pressure was maintained near
baseline by adjusting the infusion rate during anesthesia. The maternal blood
pressure, heart rate and fetal heart rate were measured at the time points of 1,
3, 5 and 10 min, skin incision and uterine incision after injecting anesthetic
into subarachnoid space. Immediately after delivery, maternal arterial, umbilical
arterial and umbilical venous blood samples were withdrawn for the measurements
of blood gases and plasma concentrations of lactate and glucose. RESULTS: The
fetal heart rate of groups E and E + Ph significantly increased after infusion [5
min: (150 +/- 10) times/min vs (142 +/- 13) times/min, (146 +/- 10) times/min vs
(142 +/- 9) times/min, both P < 0.05] while those of group Ph had no significant
changes [5 min: (143 +/- 9) times/min vs(143 +/- 6) times/min, P > 0.05]. The
incidence of fetal tachycardia in groups E and E + Ph was greater than that in
group Ph. In group E, umbilical arterial and umbilical venous pH and base excess
were lower than those in groups E + Ph and Ph [umbilical arterial: 7.20 +/- 0.10
vs 7.27 +/- 0.05, 7.28 +/- 0.03, (-3.1 +/- 3.1) mmol/L vs (-0.9 +/- 1.7) mmol/L,
(-0.3 +/- 1.7) mmol/L, umbilical venous:7.29 +/- 0.09 vs 7.34 +/- 0.03, 7.34 +/-
0.03, (-3.3 +/- 2.9) mmol/L vs (-2.0 +/- 1.7) mmol/L, (-0.9 +/- 1.5) mmol/L, all
P < 0.05]. Umbilical arterial PCO2 and plasma concentrations of lactate and
glucose in group E were greater than those in group Ph (all P < 0.05). Umbilical
arterial and umbilical venous plasma concentrations of lactate and glucose were
greater in group E + Ph than those in group Ph (all P < 0.05). But base excess
was lower (P < 0.05). CONCLUSION: Phenylephrine may be more ideal for treating
the hypotension of spinal anesthesia for a cesarean delivery. It corrects
hypotension following spinal anesthesia, improves fetal oxygen supply and demand
balance but induces no metabolic excitation in fetus as compared with ephedrine.
PMID- 22094038
TI - [Effects of incretion hormones on growth pituitary adenoma ectomy and evaluation
of long-term therapeutic efficacy].
AB - OBJECTIVE: To investigate the effect of incretion hormones on growth pituitary
adenoma ectomy patients and evaluate its long-term therapeutic efficacy. METHODS:
A total of 38 growth pituitary adenoma patients were treated by adenoma ectomy
between 2002 to 2009 at our hospital. The dynamic concentrations of serum
prolactin (PRL), growth hormone (GH), cortisone, adrenocorticotrophic hormone
(ACTH) and thyroid function (T3, T4 & thyroid-stimulating hormone) were monitored
before and after microsurgery. All cases had been followed up for over one year
to evaluate the long-term therapeutic efficacy. RESULTS: The level of GH
decreased markedly within one week after ectomy [(2.49 +/- 0.22) ug/L vs (9.24 +/
0.56) ug/L, P < 0.05] and remained stable afterwards. Among 22 cases of GH
secreting pituitary adenoma with total removal, the post-operative level of GH
dropped below 2.5 ug/L in 11 cases but the other 11 cases did not. And 3 cases
were recurrent within 3 years post-operation. CONCLUSIONS: The GH level at Week 1
post-operation is one of the major indicators for evaluating the efficacy of
surgery. And the level of GH dropping below 2.5 ug/L at Week 1 post-operation may
be used as a standard for clinical cure.
PMID- 22094039
TI - [Endovascular treatment of ruptured intracranial very small aneurysms].
AB - OBJECTIVE: To explore the technique of endovascular therapy for ruptured
intracranial very small aneurysms and evaluate the feasibility and safety of
endovascular treatment of such aneurysms. METHODS: Twenty-two intracranial very
small aneurysms in 22 patients with subarachnoid hemorrhage undergoing
endovascular therapy were reviewed retrospectively. Their clinical, imaging,
interventional and follow-up data were analyzed. Among them, there were 13 males
and 9 females with a mean age of 54.5 years old. The Hunt-Hess grade was as
follows: Grades I and II (n = 12) and Grades III and IV (n = 10). The locations
of aneurysms were as follows: anterior communicating artery (n = 7), middle
cerebral artery (n = 2), posterior communicating artery (n = 8) and internal
carotid artery (n = 5). All aneurysms were embolized with coils. Among them, 7
received stent assistance and 2 balloon remodeling. RESULTS: Among 22 coiled
aneurysms, complete occlusion was achieved in 17 aneurysms, neck remnant occurred
in 1 patient and aneurysm remnant in 4 patients. Two aneurysms ruptured during
coiling and one patient died from it. One patient had transient hemiparesis. All
patients received a follow-up of 3 - 36 months and there was no recurrent
hemorrhage. CONCLUSION: Endovascular treatment of ruptured intracranial very
small aneurysms seems to be technically feasible, relatively safe and practically
effective. An appropriate selection of interventional materials and use of
sophisticated techniques yield an excellent outcome.
PMID- 22094040
TI - [Clinical study of cryoablation in the salvage treatment of stage III non-small
cell lung cancer].
AB - OBJECTIVE: To evaluate the efficacy and safety of argon-helium cryoablation as a
salvage therapy for the failure cases of non-small cell lung cancer (NSCLC) after
radiochemotherapy. METHODS: A total of 12 NSCLC patients (stage III) with a
diagnosis of recurrence after radiochemotherapy received cryoablation. The
endpoint objectives were overall response rate (RR), clinical benefit rate (CBR),
1-year survival rate, quality of life (QOL) and safety profile. RESULTS: Among
them, the overall response rate was 6/12 cases and the clinical benefit rate 7/12
cases at 12 months post-cryoablation. The 1-year survival rate was 10/12 cases.
No significant complications or adverse reactions occurred during the process of
cryoablation. As shown by the QOL score, the quality of life was satisfactory.
CONCLUSION: As a salvage treatment for the recurrence NSCLC cases after
radiochemotherapy, cryoablation may achieve a high response rate, a favorable 1
year survival rate and a high safety profile. It has excellent prospects for
clinical applications.
PMID- 22094041
TI - [Analysis of low-quality embryo transfer strategy in in vitro fertilization and
embryo transfer cycle].
AB - OBJECTIVE: To investigate the transfer strategy of low-quality embryo in in vitro
fertilization and embryo transfer (IVF-ET) cycle. METHODS: A retrospective
analysis was performed for the clinical data of 621 IVF-ET cycles under
controlled ovarian hyperstimulation, including 574 fresh embryo transfer (ET)
cycles (Group T1) and 47 frozen-thawed embryo transfer (FET) as the first ET
cycles (Group C1). Logistic regression was used to model the probability of
clinical pregnancy rate based on the cycle-specific factors. RESULTS: The
clinical pregnancy rate was significantly higher in Group C1 than Group T1 [38.3%
(18/47) vs 22.1% (127/574), P < 0.05]. Multivariate logistic regression analysis
revealed that patient age and ET method were significantly associated with the
clinical pregnancy rate. After adjusting for patient age, the clinical pregnancy
rate remained significantly higher in Group C1 than Group T1 (OR: 2.107, 95%CI:
1.128 - 3.939, P < 0.05). CONCLUSION: The use of FET instead of fresh ET may
improve the clinical pregnancy rate in low-quality embryo cycles.
PMID- 22094042
TI - [Expression of bone morphogenetic protein receptor 2 in myocardialization of
cardiac proximal outflow tract septum in connexin 43 knockout embryonic mice].
AB - OBJECTIVE: To investigate the mechanism of myocardialization of proximal outflow
tract septum and its effect on the conotruncal anomaly in mice. METHODS: The
C(57)/BL(6) mice of embryonic day (E) 11.5 - 16.5 were selected. The phenotypes
of connexin 43 (Cx43) homozygotes (Cx43(-/-)), heterozygotes (Cx43(+/-)) and wild
types (Cx43(+/+)) were genetically typed by polymerase chain reaction (PCR). Bone
morphogenetic protein receptor 2 (Bmpr2) and alpha-sarcomeric acti (alpha-SCA)
were detected by immunohistochemistry. RESULTS: The expression of alpha-SCA in
the proximal outflow tract (OFT) septum was delayed obviously in Cx43(-/-)
predominantly at E13.5 and E14.5. From E11.5 to E13.5, the expression of Bmpr2
was detected in cardiac atrium and epicardium of Cx43(+/+) fetal heart. And Bmpr2
was slightly expressed in ventricular muscle of Cx43(+/+) fetal heart. And it was
expressed slightly only in cardiac atrium and epicardium of Cx43(+/-) and Cx43(-/
) fetal heart. From E14.5 to E16.5, its expression was detected obviously in
cardiac atrium, epicardium, endocardium, trabeculum, ventricular muscle and OFT
septum of Cx43(+/+) fetal heart. At E14.5, its expression was detected obviously
in cardiac atrium, epicardium, endocardium and trabeculum of Cx43(+/-) and Cx43(
/-) fetal heart while none in ventricular muscle and OFT septum. At E15.5 and
E16.5, its expression was detected obviously in cardiac atrium, epicardium,
endocardium, trabeculum, ventricular muscle and OFT septum of Cx43(+/-) and Cx43(
/-) fetal heart. Its expression was also detected obviously in OFT septum of
Cx43(+/-) and Cx43(-/-) fetal heart with incomplete myocardialization.
CONCLUSION: Cx43KO embryonic mice exhibit delayed myocardialization. As compared
with the Cx43(+/+), the expression of Bmpr2 in proximal OFT septum was delayed
obviously in Cx43(+/-) and Cx43(-/-) mice. And the expression of Bmpr2 is
abnormal in OFT septum of Cx43(+/-) and Cx43(-/-) fetal heart. Bmpr2 may be
involved in the interaction between epicardium and myocardium. It may be a
critical mechanism in the maturation process of cardiac muscles.
PMID- 22094043
TI - [Autophagy of human vascular endothelial cells by oxidized low-density
lipoprotein: involvement of oxidative stress but no oxidized low density
lipoprotein-1].
AB - OBJECTIVE: Our previous studies found that 100 ug/ml oxidized low-density
lipoprotein (ox-LDL) could up-regulate the autophagic level in human umbilical
vein endothelial cells (HUVEC). The present study was conducted to observe the
roles of oxidative stress and lectin-like oxidized low density lipoprotein-1 (LOX
1) in the ox-LDL-induced up-regulation of autophagy. METHODS: Prior to the ox-LDL
exposure, LOX-1mAb, vitamin C and vitamin E were used to study the roles of LOX-1
and oxidative stress in the activation of autophagy. The contents of total
superoxide dismutase (T-SOD) and MDA (malondialdehyde) in the culture medium were
detected with enzyme linked immunosorbent assay. Western blot was employed to
detect the levels of autophagic marker microtubule-associated protein light chain
3 (MAP1-LC3)-II/LC3-I, beclin1 and lysosome associated membrane protein 2a
(lamp2a). RESULTS: After the ox-LDL exposure, the down-regulated level of T-SOD
[0.5 h (32.73 +/- 1.09 vs 40.16 +/- 1.28) U/ml, P < 0.01; 6 h (29.32 +/- 1.56 vs
40.16 +/- 1.28) U/ml, P < 0.01] and the up-regulated level of MDA [0.5 h (1.11 +/
0.04 vs 0.57 +/- 0.05) nmol/ml, P < 0.01; 6 h (0.69 +/- 0.03 vs 0.57 +/- 0.05)
nmol/ml, P < 0.05] in culture medium were also significant at 0.5 h and 6 h. The
ox-LDL-induced increased ratio of LC3-II/LC3-I was reversed by the pretreatments
of vitamin C and vitamin E (0.5 h, vitC: 3.11 +/- 0.02 vs 4.31 +/- 0.50, P <
0.05; vitE: 3.46 +/- 0.19 vs 4.31 +/- 0.50, P < 0.05; 6 h, vitC: 1.44 +/- 0.05 vs
2.31 +/- 0.16, P < 0.05), but not LOX-1mAb. LOX-1mAb decreased the ox-LDL-induced
elevated level of lamp2a protein while vitamin C and vitamin E only inhibited the
elevation of lamp2a at the timepoint of 6 h, but not 0.5 h. CONCLUSION: Oxidative
stress, rather than LOX-1, plays an important role in the ox-LDL-induced up
regulation of autophagy in HUVEC. The formation of autolysosomes is associated
with the LOX-1-mediated endocytosis of ox-LDL. Oxidative stress only plays a
minor role in the formation of autolysosomes induced by the engulfed ox-LDL.
PMID- 22094044
TI - Conceptualization of category-oriented likelihood ratio: a useful tool for
clinical diagnostic reasoning.
AB - BACKGROUND: In the diagnostic reasoning process medical students and novice
physicians need to be made aware of the diagnostic values of the clinical
findings (including history, signs, and symptoms) to make an appropriate
diagnostic decision. Diagnostic reasoning has been understood in light of two
paradigms on clinical reasoning: problem solving and decision making. They
advocate the reasoning strategies used by expert physicians and the statistical
models of reasoning, respectively. Evidence-based medicine (EBM) applies decision
theory to the clinical diagnosis, which can be a challenging topic in medical
education.This theoretical article tries to compare evidence-based diagnosis with
expert-based strategies in clinical diagnosis and also defines a novel concept of
category-oriented likelihood ratio (LR) to propose a new model combining both
aforementioned methods. DISCUSSION: Evidence-based medicine advocates the use of
quantitative evidence to estimate the probability of diseases more accurately and
objectively; however, the published evidence for a given diagnosis cannot
practically be utilized in primary care, especially if the patient is complaining
of a nonspecific problem such as abdominal pain that could have a long list of
differential diagnoses. In this case, expert physicians examine the key clinical
findings that could differentiate between broader categories of diseases such as
organic and non-organic disease categories to shorten the list of differential
diagnoses. To approach nonspecific problems, not only do the experts revise the
probability estimate of specific diseases, but also they revise the probability
estimate of the categories of diseases by using the available clinical findings.
SUMMARY: To make this approach analytical and objective, we need to know how much
more likely it is for a key clinical finding to be present in patients with one
of the diseases of a specific category versus those with a disease not included
in that category. In this paper, we call this value category-oriented LR.
PMID- 22094045
TI - Alpha spindles as neurophysiological correlates indicating attentional shift in a
simulated driving task.
AB - The intention of this paper is to describe neurophysiological correlates of
driver distraction with highly robust parameters in the EEG (i.e. alpha
spindles). In a simulated driving task with two different secondary tasks (i.e.
visuomotor, auditory), N=28 participants had to perform full stop brakes reacting
to appearing stop signs and red traffic lights. Alpha spindle rate was
significantly higher during an auditory secondary task and significantly lower
during a visuomotor secondary task as compared to driving only. Alpha spindle
duration was significantly shortened during a visuomotor secondary task. The
results are consistent with the assumption that alpha spindles indicate active
inhibition of visual information processing. Effects on the alpha spindles while
performing secondary tasks on top of the driving task indicate attentional shift
according to the task modality. As compared to alpha band power, both the
measures of alpha spindle rate and alpha spindle duration were less vulnerable to
artifacts and the effect sizes were larger, allowing for a more accurate
description of the current driver state.
PMID- 22094048
TI - Neutrophils in tuberculosis: friend or foe?
AB - Neutrophils are rapidly recruited to sites of mycobacterial infection, where they
phagocytose bacilli. Whether neutrophils can kill mycobacteria in vivo probably
depends on the tissue microenvironment, stage of infection, individual host, and
infecting organism. The interaction of neutrophils with macrophages, as well as
the downstream effects on T cell activity, could result in a range of outcomes
from early clearance of infection to dissemination of viable bacteria together
with an attenuated acquired immune response. In established disease, neutrophils
accumulate in situations of high pathogen load or immunological dysfunction, and
are likely to contribute to pathology. These activities may have clinical
importance in terms of new treatments, targeted interventions and vaccine
strategies.
PMID- 22094047
TI - The effect of fast-food availability on fast-food consumption and obesity among
rural residents: an analysis by race/ethnicity.
AB - Rural areas of the United States tend to have higher obesity rates than urban
areas, particularly in regions with high proportions of non-white residents. This
paper analyzes the effect of fast-food availability on the level of fast-food
consumption and obesity risk among both white and non-white residents of central
Texas. Potential endogeneity of fast-food availability is addressed through
instrumental variables regression using distance to the nearest major highway as
an instrument. We find that non-whites tend to exhibit higher obesity rates,
greater access to fast-food establishments and higher consumption of fast-food
meals compared to their white counterparts. In addition, we found that whites and
non-whites respond differently to the availability of fast-food in rural
environments. Greater availability is not associated with either greater
consumption of fast-food meals or a higher obesity risk among the sample of
whites. In contrast, greater availability of fast-food is positively associated
with both the number of meals consumed for non-white rural residents and their
obesity. While our results are robust to specification, the effect of
availability on weight outcomes is notably weaker when indirectly calculated from
the implied relationship between consumption and caloric intake. This highlights
the importance of directly examining the proposed mechanism through which an
environmental factor influences weight outcomes.
PMID- 22094046
TI - Effects of abiotic stress on plants: a systems biology perspective.
AB - The natural environment for plants is composed of a complex set of abiotic
stresses and biotic stresses. Plant responses to these stresses are equally
complex. Systems biology approaches facilitate a multi-targeted approach by
allowing one to identify regulatory hubs in complex networks. Systems biology
takes the molecular parts (transcripts, proteins and metabolites) of an organism
and attempts to fit them into functional networks or models designed to describe
and predict the dynamic activities of that organism in different environments. In
this review, research progress in plant responses to abiotic stresses is
summarized from the physiological level to the molecular level. New insights
obtained from the integration of omics datasets are highlighted. Gaps in our
knowledge are identified, providing additional focus areas for crop improvement
research in the future.
PMID- 22094049
TI - Metal contamination of short-term snow cover near urban crossroads: correlation
analysis of metal content and fine particles distribution.
AB - Snow samples were collected near crossroads in Novi Sad, Serbia, during December
2009 to assess metal concentrations (Ca, K, Zn, Fe, Cu, Mn, Al, Pb and Na), fine
particle distribution and pH value. The filtered samples of melted snow were
analysed, with a focus on particles smaller than a few MUm. The most common
values of the frequency number distribution curve were either in the range of
0.05-0.07 MUm or one order of magnitude higher (0.2-0.5 MUm). At examined
locations metal concentrations varied from 0.0004 mg L(-1) for Pb to 18.9 mg L(
1) for Na. Besides Na, which mostly originated from de-icing salt, Ca is found to
be the most abundant element in snow indicating the dominant influence of natural
factors on snow chemistry. No significant difference was found in concentration
of the elements at locations near crossroads with either low or high traffic
volume, except for Na and Zn. To investigate how metals were related a
correlation analysis was done for the concentrations of metals and with respect
to the particle size distribution parameters and pH values. The major influence
on the fine particle volume (mass) was concluded to be due to the elements from
anthropogenic sources. This conclusion was based on the significant positive
correlation between Fe, Zn and Al and the fine particle volume based distribution
parameters.
PMID- 22094050
TI - Reduction of U(VI) by the deep subsurface bacterium, Thermus scotoductus SA-01,
and the involvement of the ABC transporter protein.
AB - In this study we investigated the effect of uranium on the growth of the
bacterium Thermus scotoductus strain SA-01 as well as the whole cell U(VI)
reduction capabilities of the organism. Also, site-directed mutagenesis confirmed
the identity of a protein capable of a possible alternative mechanism of U(VI)
reduction. SA-01 can grow aerobically in up to 1.25 mM uranium and has the
capability to reduce low levels of U(VI) in under 20 h. TEM analysis performed on
cells exposed to uranium showed extracellular and membrane-bound accumulation of
uranium. The reductase-like protein was surprisingly identified as a peptide ABC
transporter, peptide-binding protein. This study showcases the concept of protein
promiscuity, where this protein with a distinct function in situ can also have
the unintended function of a reactant for the reduction of U(VI).
PMID- 22094051
TI - Anaerobic degradation of microcrystalline cellulose: kinetics and micro-scale
structure evolution.
AB - The degradation kinetics and micro-scale structure change of microcrystalline
cellulose during anaerobic biodegradation were investigated. A modified Logistic
model was established to properly describe the kinetics, which showed good
fitness and wide applicability for cellulose degradation. A maximum degradation
rate of 0.14 g L(-1) h(-1) was achieved after cultivating for 51.5 h. This result
was in good agreement with the scanning electron microscope and X-ray diffraction
analysis. Channels of 400-500 nm size started to occur on the crystalline surface
of cellulose at around the inflexion time. Accordingly, the crystallinity
significantly decreased at this point, indicating a degradation of the
crystalline structure zones by anaerobic bacteria. This study offers direct
morphological evidence and quantitative analysis of the biodegradation process of
cellulose, and is beneficial to a better understanding of the cellulose
degradation mechanism.
PMID- 22094052
TI - Partitioning of arsenic in soil-crop systems irrigated using groundwater: a case
study of rice paddy soils in southwestern Taiwan.
AB - The accumulation of As in rice due to groundwater irrigation in paddy fields
represents a serious health hazard in South and Southeast Asia. In Taiwan, the
fate of As in long-term irrigated paddy fields is poorly understood. Groundwater,
surface soil, and rice samples were collected from a paddy field that was
irrigated with As-containing groundwater in southwestern Taiwan. The purpose of
this study is to elucidate the source and sink of As in the paddy field by
comparing the As fractions in the soils that were obtained by a sequential
extraction procedure (SEP) with the As uptake of rice. The risks associated with
eating rice from the field can thus be better understood. The concentration of As
in groundwater varied with time throughout the growing seasons of rice, but
always exceeded the permitted maximum (10 MUg L(-1)) for drinking water by the
WHO. The As concentration increased with the concentration of Fe in the
groundwater, supporting the claim that a large amount of As was concentrated in
the Fe flocs collected from the internal wall of the groundwater pump. The
results of the SEP revealed that As bound with amorphous and crystalline hydrous
oxides exhibited high availability in the soils. The root of rice accumulated the
largest amount of As, followed by the straw, husk, and grain. Although the As
concentration in the rice grain was less than 1.0 mg kg(-1), the estimated intake
level was close to the maximum tolerable daily intake of As, as specified by the
WHO.
PMID- 22094053
TI - Experimental evaluation of PCDD/Fs and PCBs release and mass balance of a WTE
plant.
AB - The behaviour of waste incineration plants with respect to organic toxic trace
contaminants such as PCDDs, PCDFs and, to a minor extent, PCBs, is still a matter
of concern for the public opinion and the decision makers. It is therefore very
important, first, to evaluate the release of these organic toxic trace
contaminants in the environment during waste incineration, not only through the
stack gas emission but also with the solid and liquid residues, and then to
compare the total release with the input through the treated waste in order to
assess the plant behaviour as a "sink" rather than a "source" of organic toxic
trace contaminants. The experimental investigation carried out on an Italian full
scale incineration plant has shown a total 17 PCDD/Fs and 12 dioxin-like PCBs
release of 5.5-27 MUg WHO-TEQ per tonne of treated waste and an input flux of 1.6
44 MUg WHO-TEQ per tonne of waste, with the difference between the input and the
output fluxes rather small and the plant behaviour toward organic trace toxic
contaminants in average neutral. Results are compared with similar evaluations
conducted in the last decade on a number of waste-to-energy (WTE) plants
operating in Italy.
PMID- 22094054
TI - The culturable intestinal microbiota of triploid and diploid juvenile Atlantic
salmon (Salmo salar) - a comparison of composition and drug resistance.
AB - BACKGROUND: With the increased use of ploidy manipulation in aquaculture and
fisheries management this investigation aimed to determine whether triploidy
influences culturable intestinal microbiota composition and bacterial drug
resistance in Atlantic salmon (Salmo salar). The results could provide answers to
some of the physiological differences observed between triploid and diploid fish,
especially in terms of fish health. RESULTS: No ploidy effect was observed in the
bacterial species isolated, however, triploids were found to contain a
significant increase in total gut microbiota levels, with increases in
Pseudomonas spp., Pectobacterium carotovorum, Psychrobacter spp., Bacillus spp.,
and Vibrio spp., (12, 42, 9, 10, and 11% more bacteria in triploids than
diploids, respectively), whereas a decrease in Carnobacterium spp., within
triploids compared to diploids was close to significant (8% more bacteria in
diploids). With the exception of gentamicin, where no bacterial resistance was
observed, bacterial isolates originating from triploid hosts displayed increased
resistance to antibacterials, three of which were significant (tetracycline,
trimethoprim, and sulphonamide). CONCLUSION: Results indicate that triploidy
influences both the community and drug resistance of culturable intestinal
microbiota in juvenile salmon. These results demonstrate differences that are
likely to contribute to the health of triploid fish and have important
ramifications on the use of antibacterial drugs within aquaculture.
PMID- 22094055
TI - Comparative pharmacokinetics and bioavailability of escin Ia and isoescin Ia
after administration of escin and of pure escin Ia and isoescin Ia in rat.
AB - ETHNOPHARMACOLOGICAL RELEVANCE: Escin Ia and isoescin Ia have been traditionally
used clinically as the chief active ingredients of escin, a major triterpene
saponin isolated from horse chestnut (Aesculus hippocastanum) seeds for the
treatment of chronic venous insufficiency, hemorrhoids, inflammation and edema.
AIM OF THE STUDY: To establish a sensitive LC-MS/MS method and investigate the
pharmacokinetic properties of escin Ia and isoescin Ia in rats and the
pharmacokinetics difference of sodium escinate with pure escin Ia and isoescin
Ia. The absolute bioavailability of escin Ia and isoescin Ia and the
bidirectional interconversion of them in vivo were also scarcely reported.
MATERIALS AND METHODS: Wister rats were administrated an intravenous (i.v.) dose
(1.7 mg/kg) of sodium escinate (corresponding to 0.5mg/kg of escin Ia and
0.5mg/kg of isoescin Ia, respectively) and an i.v. dose (0.5mg/kg) or oral dose
(4mg/kg) of pure escin Ia or isoescin Ia, respectively. At different time points,
the concentrations of escin Ia and isoescin Ia in rat plasma were determined by
LC-MS/MS method. Main pharmacokinetic parameters including t(1/2), MRT, CL, V(d),
AUC and F were estimated by non-compartmental analysis using the TopFit 2.0
software package (Thomae GmbH, Germany) and statistical analysis was performed
using the Student's t-test with P<0.05 as the level of significance. RESULTS:
After administration of sodium escinate, the t(1/2) and MRT values for both escin
Ia and isoescin Ia were larger than corresponding values for the compounds given
alone. Absorption of escin Ia and isoescin Ia was very low with F values both
<0.25%. Escin Ia and isoescin Ia were found to form the other isomer in vivo with
the conversion of escin Ia to isoescin Ia being much extensive than from isoescin
Ia to escin Ia. CONCLUSION: Comparison of the pharmacokinetics of escin Ia and
isoescin Ia given alone and together in rat suggest that administration of herbal
preparations of escin for clinical use may provide longer duration of action than
administration of single isomers. The interconversion of escin Ia and isoescin Ia
when given alone indicates that administration of one isomer leads to exposure to
the other.
PMID- 22094057
TI - Diverse interactions mediate asymmetric incompatibility by the het-6 supergene
complex in Neurospora crassa.
AB - Heterokaryon incompatibility (HI) in filamentous fungi is a form of nonself
recognition that operates during the vegetative phase of the life cycle. One HI
gene complex in Neurospora crassa, the het-6 locus, comprises two incompatibility
genes, het-6 and un-24, each having two allelic variants, Oak Ridge (OR) and
Panama (PA). The un-24 gene also encodes the large subunit of ribonucleotide
reductase while het-6 appears to be a member of a repetitive gene family with no
other known function aside from HI. These two genes are in severe linkage
disequilibrium such that only un-24(OR)het-6(OR) and un-24(PA)het-6(PA)
haplotypes occur in nature. In this study we unravel several genetic interactions
that govern the HI functions of this gene complex. We use novel un-24(PA)het
6(OR) strains and het-6 deletion strains to demonstrate that nonallelic
interactions occur between un-24 and het-6 and reveal an allelic incompatibility
interaction between the OR and PA forms of un-24 that is asymmetrically enhanced
by the presence of het-6(OR) or het-6(PA). We also show how two allelic forms of
vib-1, a suppressor of het-c- and mat-associated incompatibility, differentially
act as recessive suppressors of HI associated with nonallelic interactions
between un-24(PA) and het-6(OR). In contrast, vib-1 is a dominant suppressor of
HI associated with allelic differences at un-24 and a dominant partial suppressor
of the un-24(OR) and het-6(PA) nonallelic interaction. The range of suppressor
activities is largely explained by an interesting differential effect on het
6(OR) and het-6(PA) transcript levels by VIB-1.
PMID- 22094056
TI - Analgesic and anti-inflammatory activity and pharmacokinetics of alkaloids from
seeds of Strychnos nux-vomica after transdermal administration: effect of changes
in alkaloid composition.
AB - ETHNOPHARMACOLOGICAL RELEVANCE: Strychnos nux-vomica L. (Loganiaceae) is grown
extensively in southern Asian countries. The dried seed of this plant, nux
vomica, has been clinically used in Chinese folk medicine for improving blood
circulation, relieving rheumatic pain, reducing swelling and treating cancer. AIM
OF THE STUDY: This study was carried out to investigate the effect of removing
most strychnine from the total alkaloid fraction (TAF) extracted from nux vomica
on analgesic and anti-inflammatory activity and pharmacokinetics after
transdermal administration. MATERIALS AND METHODS: Most strychnine was removed
from TAF and the resulted modified total alkaloid fraction (MTAF) was obtained.
The contents of strychnine and brucine in TAF and MTAF were determined. Then the
analgesic and anti-inflammatory activity of TAF, MTAF, brucine and strychnine
dissolved in hydrogel was compared after transdermal administration. Furthermore,
in vitro and in vivo transdermal absorption profiles of brucine after
administration of TAF, MTAF and brucine dissolved in hydrogel were also compared.
RESULTS: In contrast to TAF, most strychnine was removed from MTAF and the ratio
of brucine to strychnine was adjusted from 1:1.8 to 2.7:1. MTAF showed
significant analgesic activity in all the chemical-, thermal- and physical-
induced nociception models, which indicated the presence of both centrally and
peripherally mediated activities. MTAF also showed significant anti-inflammatory
activity against xylene-induced ear edema. But TAF and strychnine demonstrated
little activity in all those pharmacological tests. Brucine showed to be
effective in acetic acid-induced writhing and xylene-induced ear edema test.
Brucine in MTAF was absorbed more completely than it alone at the same dosage of
brucine after transdermal administration. CONCLUSIONS: The results from the
present study appeared to support the viewpoint that most strychnine should be
removed from TAF to improve analgesic and anti-inflammatory activity. The
relatively higher pharmacological activity of MTAF compared to brucine alone is
partly due to the enhanced transdermal absorption of brucine.
PMID- 22094058
TI - Alternative splicing directs dual localization of Candida albicans 6
phosphogluconate dehydrogenase to cytosol and peroxisomes.
AB - The pentose phosphate pathway (PPP) is the main source of NADPH in the cell and
therefore essential for the maintenance of the redox balance and anabolic
reactions. NADPH is produced by the two dehydrogenases in the oxidative branch of
the PPP: glucose-6-phosphate dehydrogenase (Zwf1) and 6-phosphogluconate
dehydrogenase (Gnd1). We observed that in the commensal fungus Candida albicans
these two enzymes contain putative peroxisomal targeting signals (PTSs): Zwf1 has
a putative PTS1, while the annotated intron of GND1 encodes a PTS2. By
subcellular fractionation and fluorescence microscopy, we show that both enzymes
have a dual localization in which the majority is cytosolic, but a small fraction
is peroxisome associated. Analysis of GND1 transcripts revealed that dual
targeting of Gnd1 is directed by alternative splicing resulting in two Gnd1
isoforms, one without targeting signals localized to the cytosol and one with an
N-terminal PTS2 targeted to peroxisomes. To our knowledge, Gnd1 is the first
example of dual targeting of a protein by alternative splicing in C. albicans. In
silico analysis suggests that PTS-mediated peroxisomal targeting of Zwf1 and Gnd1
is conserved across closely related Candida species. We discuss putative
functions of the peroxisomal oxidative PPP in these organisms.
PMID- 22094059
TI - The phantom spider and other myths.
PMID- 22094060
TI - Hydrophobic statins induce autophagy and cell death in human rhabdomyosarcoma
cells by depleting geranylgeranyl diphosphate.
AB - Statins are the most common type of medicine used to treat hypercholesterolemia;
however, they are associated with a low incidence of myotoxicity such as myopathy
and rhabdomyolysis. The mechanisms for the adverse effects remain to be fully
elucidated for safer chronic use and drug development. The results of our earlier
work suggested that hydrophobic statins induce autophagy in cultured human
rhabdomyosarcoma A204 cells. In this study, we first confirmed the statin-induced
autophagy by assessing other criteria, including induced expression of the
autophagy-related genes, enhanced protein degradation of autophagy marker protein
p62 and electron microscopic observation of induced formation of autophagosome.
We next demonstrated that the extent of inhibition of HMG-CoA reductase in the
cell is parallel with the ability of a statin to induce autophagy. Thus, the
primary activity of statins causes autophagy in A204 cells. Considering the
mechanism for the induction, we showed that statins induce autophagy by depleting
cellular levels of geranylgeranyl diphosphate (GGPP) mostly through an unknown
pathway that does not involve two major small G proteins, Rheb and Ras. Finally,
we demonstrated that the ability of statins to induce autophagy parallels their
toxicity to A204 cells and that both can be suppressed by GGPP.
PMID- 22094061
TI - The role of extracellular-signal regulate kinase (ERK) in the regulation of
airway tone in porcine isolated peripheral bronchioles.
AB - Extracellular signal regulated kinase (ERK) is known to regulate vascular smooth
muscle contraction. However, a role for ERK in airway smooth muscle contraction
has yet to be demonstrated conclusively, although contractile agents increase ERK
activity in airway smooth muscle. Rather than initiating contraction, ERK could
regulate airway tone by interfering with relaxation. Therefore, the aim of this
study was to determine whether ERK regulates contraction or relaxation of airway
smooth muscle. Segments of porcine peripheral bronchioles were mounted in an
isolated tissue bath in Krebs-Henseleit buffer and maintained at 37 degrees C.
Cumulative concentration-response curves to histamine, endothelin-1, or the
muscarinic agonist carbachol were then carried out in the absence or presence of
the MEK inhibitor PD98059. In separate experiments, cumulative concentration
response curves to the beta-adrenoceptor agonist isoprenaline or the adenylyl
cyclase activator forskolin were carried out in the absence or presence of the
MEK inhibitors PD98059 or U0126. ERK activity was measured by Western blotting.
All three contractile agents increased ERK activity, but the contractile
responses were unaffected by PD98059. On the other hand, both PD98059 and U0126
enhanced the relaxations to isoprenaline but not relaxations to the adenylyl
cyclase activator forskolin. The enhancement of isoprenaline-induced relaxations
with PD98059 was prevented by the K(+) channel blocker tetraethylammonium. These
data suggest that ERK regulates airway smooth muscle tone by inhibiting beta
adrenoceptor-mediated relaxations, rather than an initiation of contraction. The
effect on beta-adrenoceptor-mediated responses appears to be through a cAMP
independent mechanism, possibly through an interaction with K(+) channels.
PMID- 22094062
TI - Protective effect of apocynin, a NADPH-oxidase inhibitor, against contrast
induced nephropathy in the diabetic rats: a comparison with n-acetylcysteine.
AB - The aim of this study was to investigate the effects of apocynin, a NADPH
(nicotinamide adenine dinucleotide phosphate)-oxidase inhibitor, in diabetic rats
with nephropathy induced by contrast medium (CIN). Diabetes was induced in male
Wistar rats by a single dose of streptozotocin (60 mg/kg i.v.). Animals were then
divided into the following groups: 1) control group (diabetic rats treated i.v.
with saline solution); 2) iomeprol group (iomeprol at 10 ml/kg was injected i.v.
30 min after saline administration); 3) apocynin group (identical to the iomeprol
group, except for pre-treatment with apocynin 5mg/kg i.v., 30 min before iomeprol
injection) and 4) N-acetylcysteine group (NAC) (same as iomeprol group, except
for the treatment with NAC 20 mg/kg i.v. 30 min before iomeprol injection). CIN
in animals were assessed 24h after administration of iomeprol. Apocynin
significantly attenuates the impaired glomerular function, concentration of
Na(+), K(+), alpha glutathione S-transferase levels in urine and neutrophil
gelatinase-associated lipocalin levels in plasma caused by iomeprol. In kidney,
immunohistochemical analysis of some inflammatory mediators, such as
nitrotyrosine, poly-ADP-ribosyl polymerase, tumor necrosis factor-alpha,
interleukin-1beta as well as apoptosis (evaluated as terminal
deoxynucleotidyltransferase-mediated UTP end labeling assay) revealed positive
staining in tissue obtained from iomeprol group. These parameters were markedly
reduced in animals treated with apocynin. Similarly, these parameters were also
markedly modified by NAC pre-treatment. Here, we have shown that apocynin
attenuates the degree of iomeprol-induced nephropathy in diabetic rats.
PMID- 22094063
TI - Differential vasoactive effects of sildenafil and tadalafil on cerebral arteries.
AB - Phosphodiesterase 5 (PDE5) is associated with migraine pathophysiology, stroke
recovery and vasospasm treatment. The potential vascular interplay of PDE5
inhibitors sildenafil, tadalafil and UK-114,542 was studied by intra- versus
extra-luminal administration in rat middle cerebral arteries in vitro and on
middle meningeal arteries in vivo. By Western blot PDE5 was detected in both
cerebral and meningeal arteries, though with minor variations in band intensity
between vascular beds. Rat middle cerebral artery diameter was investigated using
pressurised arteriography, applying UK-114,542, sildenafil, and tadalafil intra-
or extra-luminally. Effects on the dural middle meningeal artery were studied in
the in vivo closed cranial window model. At high concentrations, abluminal
sildenafil and UK-114,542, but not tadalafil, induced dilatation of the middle
cerebral artery. Luminal application elicited a contraction of 4% (sildenafil,
P=0.03) and 10% (tadalafil, P=0.02). In vivo, sildenafil, but not tadalafil, dose
dependently dilated middle meningeal artery concomitant to blood pressure
reduction (1-3mg/kg);1mg/kg sildenafil inducing 60 +/- 14% (P=0.04) and vehicle
(DMSO) 13 +/- 6% dilatation. In conclusion, PDE5 inhibitors applied luminally had
minor contractile effect, whereas abluminal sildenafil induced middle cerebral
artery dilatation above therapeutic levels. In vivo, sildenafil dilated middle
meningeal artery concomitant with a reduction in blood pressure. Tadalafil had no
dilatory effects. PDE5 inhibitors show differential vascular activity in cerebral
arteries from healthy animals; arterial dilatation is seen primarily above
therapeutic levels. Such findings support clinical studies showing no vasodilator
effects of sildenafil on cerebral arteries in healthy subjects.
PMID- 22094064
TI - Effect of iridoid glucoside on streptozotocin induced diabetic rats and its role
in regulating carbohydrate metabolic enzymes.
AB - Vitex negundo is a medicinal plant used to treat many ailments. An active
compound of iridoid glucoside was isolated from V. negundo leaves and its
efficacy was investigated in streptozotocin induced diabetic rats with special
reference to carbohydrate metabolizing enzymes. The optimum dose of iridoid
glucoside was determined by oral glucose tolerance test. The effects of iridoid
glucoside were compared with glibenclamide Administration of iridoid glucoside
(50mg/kg body weight) to diabetic rats for 30 days resulted in significant
reduction in the levels of plasma glucose, glycosylated hemoglobin and increase
in the levels of insulin and hemoglobin. Administration of iridoid glucoside
showed a significant increase in the levels of glycolytic enzymes and glycogen
content and decrease in the levels of gluconeogenic enzymes in the liver of
diabetic treated rats. Further, iridoid glucoside showed antihyperlipidemic
activity as evidenced by significant reduction in serum total cholesterol,
triglyceride, low density lipoprotein and very low density lipoprotein coupled
together with elevation of high density lipoprotein in diabetic rats. A
significant decrease was observed in the activities of aspartate
aminotransferase, alanine aminotransferase and decrease in the levels of serum
urea and creatinine in diabetic treated rats when compared to diabetic untreated
rats. Treatment of iridoid glucoside alleviated body weight loss in diabetic
rats. The effect produced by iridoid glucoside on various parameters was
comparable to that of glibenclamide. These results indicate that iridoid
glucoside possess antihyperlipidemic effect in addition to its antidiabetic
effect.
PMID- 22094065
TI - The noble gas argon modifies extracellular signal-regulated kinase 1/2 signaling
in neurons and glial cells.
AB - Recently, the noble gas argon has been identified as a potent neuroprotective
agent, but little is known about its cellular effects. In this in vitro study, we
investigated argon's influence on the extracellular signal-regulated kinase (ERK)
1/2, a ubiquitous enzyme with numerous functions in cell proliferation and
survival. Primary neuronal and astroglial cell cultures and the microglial cell
line BV-2 were exposed to 50 vol.% argon. Further possible effects were studied
following stimulation of microglia with 50 ng/ml LPS. ERK 1/2 activation was
assessed by phosphorylation state-specific western blotting, cytokine levels by
real-time PCR and western blotting. Total phosphotyrosine phosphatase activity
was examined with p-nitrophenylphosphate. After 30 min exposure, argon
significantly activated ERK 1/2 signaling in microglia. Enhanced phosphorylation
of ERK 1/2 was also found in astrocytes and neurons following argon exposure, but
it lacked statistical significance. In microglia, argon did not substantially
interfere with LPS-induced ERK1/2 activation and inflammatory cytokine induction.
Addition of the MEK-Inhibitor U0126 abolished the induced ERK 1/2
phosphorylation. Cellular phosphatase activity and the inactivation of
phosphorylated ERK 1/2 were not altered by argon. In conclusion, argon enhanced
ERK 1/2 activity in microglia via the upstream kinase MEK, probably through a
direct mode of activation. ERK 1/2 signaling in astrocytes and neurons in vitro
was also influenced, although not with statistical significance. Whether ERK 1/2
activation by argon affects cellular functions like differentiation and survival
in the brain in vivo will have to be determined in future experiments.
PMID- 22094066
TI - A synthetic prostacyclin agonist with thromboxane synthase inhibitory activity,
ONO-1301, protects myocardium from ischemia/reperfusion injury.
AB - ONO-1301, a synthetic prostacyclin agonist with thromboxane synthase inhibitory
activity, promotes the production of hepatocyte growth factor (HGF) and vascular
endothelial growth factor (VEGF) by various cell types. Here, we evaluated the
therapeutic efficacy of ONO-1301 in rats with ischemia/reperfusion injury.
Ligation of the left anterior descending arteries was performed in 10-week-old
Wistar rats, and released 30 min later. A slow-release form of ONO-1301 was
administered subcutaneously at 3h and 3 weeks after reperfusion injury.
Hemodynamic parameters were significantly improved in the ONO-1301 group.
Histological analysis revealed that ONO-1301 suppressed ischemic and fibrotic
changes in the myocardium (ischemic area, control group: 58.6 +/- 8.7% vs. ONO
1301 group: 44.4 +/- 5.8%, fibrotic area, 33.5 +/- 5.9% vs. 22.3 +/- 6.2%,
P<0.05, respectively), and enhanced neovascularization in the border zone. HGF
expression was up-regulated by ONO-1301. Double-immunostaining revealed that
myofibroblasts in the border zone of ischemic myocardium mainly expressed HGF.
Our findings suggest that ONO-1301 might have therapeutic potential in treating
ischemic heart disease.
PMID- 22094067
TI - Protective effects of ligustrazine on TNF-alpha-induced endothelial dysfunction.
AB - To investigate the effects of Ligustrazine, a compound derived from chuanxiong,
on tumor necrosis factor-alpha (TNF-alpha) stimulated endothelial cells. Human
umbilical vein endothelial cells (HUVECs) were stimulated with TNF-alpha in
vitro. Nitric oxide (NO) was measured as a standard of endothelial dysfunction.
Two important indicators of autoimmunity, intracellular adhesion molecular-1
(ICAM-1) and heat shock protein 60 (HSP60), were selected to evaluate the
influence of Ligustrazine on HUVECs. Ligustrazine (40 MUg/ml) significantly
reversed the decrease in NO production induced by TNF-alpha (5 ng/ml) in HUVECs.
The expressions of ICAM-1 and HSP60 were increased by TNF-alpha treatment, but
dramatically inhibited by treatment with ligustrazine in TNF-alpha-stimulated
cells. Ligustrazine increased the production of NO in HUVECs and had an
immunomodulatory effect on HUVECs stimulated with TNF-alpha by down-regulating
the expression of ICAM-1 and HSP60. These results suggest that ligustrazine
protects the endothelium via inhibition of immunological reactions, preventing
atherosclerosis.
PMID- 22094068
TI - Functional antagonism of amphetamine versus ethanol on adrenergic
neurotransmission in vas deferens of adolescent rats.
AB - Because of the few studies that emphasize the in vivo use of amphetamine and
ethanol, and their consequences on autonomic neurotransmission, we decided to
study the effect of these drugs on peripheral noradrenergic neurotransmission of
young animals. We used contractions of the vas deferens of adolescent rats as a
model for the study of pre-treatment with both agents. The 30 to 40 day old
adolescent rats were pre-treated with amphetamine, at doses of 3mg/kg, or ethanol
at doses of 1.2 g/kg. Both agents were also used simultaneously to investigate
possible interactions. The group treated with amphetamine showed a potentiation
of the vas deferens contractions evoked by noradrenaline and barium (about 20%),
as well as time-response contractions of calcium (about 20%). However, the
response to electrical field stimulation (EFS) was not significantly changed, but
the content of noradrenaline was reduced by about 50%. The group treated with
ethanol showed a decrease in vas deferens contractility to noradrenaline,
phenylephrine, and barium, by less than 20%. In this group, contraction by EFS
was reduced by about 40% (Tonic, 2 Hz) and 20% (Phasic, 5 Hz), but the response
to calcium was not changed. As after amphetamine, the content of noradrenaline
was reduced by about 50%. In the group treated with amphetamine+ethanol all the
changes described after the single treatments with amphetamine or ethanol were
neutralized. It is concluded that a functional antagonism was shown between
amphetamine and ethanol when administered simultaneously on peripheral
sympathetic neurotransmission in vas deferens of adolescent animals.
PMID- 22094069
TI - Screening for mutations in Spanish families with myotonia. Functional analysis of
novel mutations in CLCN1 gene.
AB - Myotonia congenita is an inherited muscle disorder caused by mutations in the
CLCN1 gene, a voltage-gated chloride channel of skeletal muscle. We have studied
48 families with myotonia, 32 out of them carrying mutations in CLCN1 gene and
eight carry mutations in SCN4A gene. We have found 26 different mutations in
CLCN1 gene, including 13 not reported previously. Among those 26 mutations,
c.180+3A>T in intron 1 is present in nearly one half of the Spanish families in
this series, the largest one analyzed in Spain so far. Although scarce data have
been published on the frequency of mutation c.180+3A>T in other populations, our
data suggest that this mutation is more frequent in Spain than in other European
populations. In addition, expression in HEK293 cells of the new missense mutants
Tyr137Asp, Gly230Val, Gly233Val, Tyr302His, Gly416Glu, Arg421Cys, Asn567Lys and
Gln788Pro, demonstrated that these DNA variants are disease-causing mutations
that abrogate chloride currents.
PMID- 22094070
TI - [The Sertoli cell].
AB - The Sertoli cell is essential for the formation and functioning of the testis.
This is the first cell to differentiate into the initially bipotential genital
ridge and is the only somatic cell present in seminiferous tubules. Maturation
involves the loss of proliferative capacity, formation of intercellular tight
junctions and the appearance of some specific markers. We can consider
schematically two distinct roles associated with different features: a process of
sexual differentiation with testicular formation and a role in spermatogenesis
allowing the germ cells progression into sperm through close contact in the
seminiferous tubules. These events, separated in time, are in fact intimately
linked.
PMID- 22094071
TI - Impulsive action and impulsive choice are mediated by distinct
neuropharmacological substrates in rat.
AB - Impulsivity is a heterogeneous construct according to clinical and preclinical
behavioural measures and there is some preliminary evidence indicating distinct
neurobiological substrates underlying the sub-components of impulsivity. Two
preclinical assays, the five-choice serial reaction time task (5-CSRTT) and the
delayed discounting task (DDT), are hypothesized to provide measures of impulsive
action (premature responding) and impulsive choice (percent choice for delayed
reward), respectively. In the present studies, we show that the norepinephrine
reuptake inhibitor atomoxetine attenuated premature responding in the 5-CSRTT,
but was ineffective in the DDT. The mixed dopamine/norepinephrine reuptake
inhibitor methylphenidate exhibited an opposite profile of effects. In addition,
blockade of 5-HT2A/C receptors via ketanserin decreased premature responding but
had no effects on percent choice for delayed reward; blockade of 5-HT2C receptors
via SB 242084 had opposite effects. Follow-up studies provided some limited
evidence of additive effects of 5-HT2A/C receptor blockade on the effects of
atomoxetine on impulsive action. These studies demonstrate dissociable profiles
of stimulant vs. non-stimulant attention deficit hyperactivity disorder
medications and 5-HT subtype-selective ligands, in the 5-CSRTT and DDT assays.
Thus, the present findings support the sub-categorization of impulsivity and
suggest that 5-HT receptor subtype-selective antagonists may provide therapeutic
targets for disorders characterized by different forms of impulsivity.
PMID- 22094072
TI - The prognostic value of early repolarization with ST-segment elevation in African
Americans.
AB - BACKGROUND: Increased prevalence of classic early repolarization, defined as ST
segment elevation (STE) in the absence of acute myocardial injury, in African
Americans is well established. The prognostic value of this pattern in different
ethnicities remains controversial. OBJECTIVE: Measure association between early
repolarization and cardiovascular mortality in African Americans. METHODS: The
resting electrocardiograms of 45,829 patients were evaluated at the Palo Alto
Veterans Affairs Hospital. Subjects with inpatient status or electrocardiographic
evidence of acute myocardial infarction were excluded, leaving 29,281 subjects.
ST-segment elevation, defined as an elevation of >0.1 mV at the end of the QRS,
was electronically flagged and visually adjudicated by 3 observers blinded to
outcomes. An association between ethnicity and early repolarization was measured
by using multivariate logistic regression. We analyzed associations between early
repolarization and cardiovascular mortality by using the Cox proportional hazards
regression analysis. RESULTS: Subjects were 13% women and 13.3% African
Americans, with an average age of 55 years and followed for an average of 7.6
years, resulting in 1995 cardiovascular deaths. There were 479 subjects with
lateral STE and 185 with inferior STE. After adjustment for age, sex, heart rate,
and coronary artery disease, African American ethnicity was associated with
lateral or inferior STE (odds ratio 3.1; P = .0001). While lateral or inferior
STE in non-African Americans was independently associated with cardiovascular
death (hazard ratio 1.6; P = .02), it was not associated with cardiovascular
death in African Americans (hazard ratio 0.75; P = .50). CONCLUSIONS: Although
early repolarization is more prevalent in African Americans, it is not predictive
of cardiovascular death in this population and may represent a distinct
electrophysiologic phenomenon.
PMID- 22094073
TI - Implantable cardioverter-defibrillator longevity under clinical circumstances: an
analysis according to device type, generation, and manufacturer.
AB - BACKGROUND: One of the major drawbacks of implantable cardioverter-defibrillator
(ICD) treatment is the limited device service life. Thus far, data concerning ICD
longevity under clinical circumstances are scarce. In this study, the ICD service
life was assessed in a large cohort of ICD recipients. OBJECTIVE: To assess the
battery longevity of ICDs under clinical circumstances. METHODS: All patients
receiving an ICD in the Leiden University Medical Center were included in the
analysis. During prospectively recorded follow-up visits, reasons for ICD
replacement were assessed and categorized as battery depletion and non-battery
depletion. Device longevity and battery longevity were calculated. The impact of
device type, generation, manufacturer, the percentage of pacing, the pacing
output, and the number of shocks on the battery longevity was assessed. RESULTS:
Since 1996, 4673 ICDs were implanted, of which 1479 ICDs (33%) were replaced.
Mean device longevity was 5.0 +/- 0.1 years. A total of 1072 (72%) ICDs were
replaced because of battery depletion. Mean battery longevity of an ICD was 5.5
+/- 0.1 years. When divided into different types, mean battery longevity was 5.5
+/- 0.2 years for single-chamber ICDs, 5.8 +/- 0.1 for dual-chamber ICDs, and 4.7
+/- 0.1 years for cardiac resynchronization therapy-defibrillators (P <.001).
Devices implanted after 2002 had a significantly better battery longevity as
compared with devices implanted before 2002 (5.6 +/- 0.1 years vs 4.9 +/- 0.2
years; P <.001). In addition, large differences in battery longevity between
manufacturers were noted (overall log-rank test, P <.001). CONCLUSIONS: The
majority of ICDs were replaced because of battery depletion. Large differences in
longevity exist between different ICD types and manufacturers. Modern ICD
generations demonstrated improved longevity.
PMID- 22094074
TI - A fully automatic, implantable cardioverter-defibrillator algorithm to prevent
inappropriate detection of ventricular tachycardia or fibrillation due to T-wave
oversensing in spontaneous rhythm.
AB - BACKGROUND: T-wave oversensing (TWOS) may cause inappropriate shocks in patients
with implantable cardioverter-defibrillator (ICD). Programming options to prevent
TWOS are usually implemented only after TWOS has occurred, and they may
compromise sensing of ventricular fibrillation (VF). OBJECTIVE: To evaluate an
ICD algorithm that differentiates TWOS from ventricular tachycardia (VT) or VF to
prevent inappropriate detection of VT/VF when TWOS occurs. METHODS: We developed
a TWOS algorithm based on both the differential frequency content of R vs T waves
and their alternating pattern. Algorithm parameters were developed from a
database of stored electrograms. The algorithm was validated on a hardware system
consisting of actual ICD circuitry by using an independent database of stored
electrograms including inappropriate detections of both VT/VF caused by
spontaneous TWOS and induced true VF to assess delays in detection. RESULTS: We
tested 83 inappropriate detections of VF due to TWOS from 22 patients. All 22
patients had at least 1 successful rejection of TWOS, and rejection was effective
in 80 of the 83 episodes. After adjustment for multiple episodes per patient,
specificity was 96.6% (95% confidence interval 90.3%-98.8%). In 166 episodes of
true VF in 92 patients, the sensitivity for VF detection was 100% (95% confidence
interval 98.2%-100%) at a nominal sensitivity of 0.3 mV; the new TWOS algorithm
did not delay the detection of VF. CONCLUSION: A novel TWOS rejection algorithm
is designed to operate in real time. The algorithm reduced inappropriate
detections of VF in spontaneous TWOS episodes by 96.6% while maintaining 100%
sensitivity for detecting true VF.
PMID- 22094075
TI - Renin-angiotensin system blockers are associated with reduced mortality and heart
failure hospitalization in patients paced for complete atrioventricular block.
AB - BACKGROUND: Right ventricular apical pacing can cause dyssynchronous activation
of the ventricles, increase sympathetic activation, cause abnormalities in
myocardial perfusion, worsen cardiac output and endothelial function, and may be
associated with adverse cardiovascular effects. The use of rennin-angiotensin
system blockers (RASBs) may be beneficial in counteracting these potentially
harmful effects of right ventricular pacing. OBJECTIVE: To explore the impact of
RASB use on the outcome in patients with right ventricular pacemakers implanted
for complete atrioventricular (AV) block. METHODS: Patients implanted with right
ventricular pacemakers for complete AV block between 1994 and 2009 were
identified from the Tayside Pacing Registry. Cox proportional hazards model was
used to assess differences in all-cause mortality and congestive heart failure
hospitalizations for those receiving RASB during follow-up, adjusted for
confounding variables. We also performed 2 sensitivity analyses--a propensity
score-matched analysis and time-dependent analyses--to minimize bias. RESULTS:
Eight hundred twenty patients (57% men; median age 73 years; range 22-103 years)
received pacemakers for complete AV block between 1994 and 2008 (54% dual-chamber
pacemaker and 46% ventricular demand pacemaker). Two hundred seventy-eight (34%)
patients had received RASBs. Mean follow-up was 4.9 +/- 4.6 years, with 540 (65%)
deaths. RASB use was independently associated with significantly reduced
mortality (adjusted hazard ratio 0.67; 95% confidence interval 0.47-0.94; P =
.017) and reduced heart failure hospitalization (adjusted hazard ratio 0.42; 95%
confidence interval 0.17-0.92; P <.001). CONCLUSIONS: This study suggests that
RASBs may confer outcome benefits in patients with right ventricular pacemakers
implanted for complete AV block.
PMID- 22094077
TI - Regulation of foamy viral transcription and RNA export.
AB - Foamy viruses (FVs) are distinct members of the retrovirus (RV) family. In this
chapter, the molecular regulation of foamy viral transcription, splicing,
polyadenylation, and RNA export will be compared in detail to the
orthoretroviruses. Foamy viral transcription is regulated in early and late
phases, which are separated by the usage of two promoters. The viral
transactivator protein Tas activates both promoters. The nature of this early
late switch and the molecular mechanism used by Tas are unique among RVs. RVs
duplicate the long terminal repeats (LTRs) during reverse transcription. These
LTRs carry both a promoter region and functional poly(A) sites. In order to
express full-length transcripts, RVs have to silence the poly(A) signal in the 5'
LTR and to activate it in the 3' LTR. FVs have a unique R-region within these
LTRs with a major splice donor (MSD) at +51 followed by a poly(A) signal. FVs use
a MSD-dependent mechanism to inactivate the polyadenylation. Most RVs express all
their genes from a single primary transcript. In order to allow expression of
more than one gene from this RNA, differential splicing is extensively used in
complex RVs. The splicing pattern of FV is highly complex. In contrast to
orthoretroviruses, FVs synthesize the Pol precursor protein from a specific and
spliced transcript. The LTR and IP-derived primary transcripts are spliced into
more than 15 different mRNA species. Since the RNA ratios have to be balanced, a
tight regulation of splicing is required. Cellular quality control mechanisms
retain and degrade unspliced or partially spliced RNAs in the nucleus. In this
review, I compare the RNA export pathways used by orthoretroviruses with the
distinct RNA export pathway used by FV. All these steps are highly regulated by
host and viral factors and set FVs apart from all other RVs.
PMID- 22094076
TI - Why caretakers bypass Primary Health Care facilities for child care - a case from
rural Tanzania.
AB - BACKGROUND: Research on health care utilization in low income countries suggests
that patients frequently bypass PHC facilities in favour of higher-level
hospitals - despite substantial additional time and financial costs. There are
limited number of studies focusing on user's experiences at such facilities and
reasons for bypassing them. This study aimed to identify factors associated with
bypassing PHC facilities among caretakers seeking care for their underfive
children and to explore experiences at such facilities among those who utilize
them. METHODS: The study employed a mixed-method approach consisting of an
interviewer administered questionnaires and in-depth interviews among selected
care-takers seeking care for their underfive children at Korogwe and Muheza
district hospitals in north-eastern Tanzania. RESULTS: The questionnaire survey
included 560 caretakers. Of these 30 in-depth interviews were conducted. Fifty
nine percent (206/348) of caretakers had not utilized their nearer PHC facilities
during the index child's sickness episode. The reasons given for bypassing PHC
facilities were lack of possibilities for diagnostic facilities (42.2%), lack of
drugs (15.5%), closed health facility (10.2%), poor services (9.7%) and lack of
skilled health workers (3.4%). In a regression model, the frequency of bypassing
a PHC facility for child care increased significantly with decreasing travel time
to the district hospital, shorter duration of symptoms and low disease
severity.Findings from the in-depth interviews revealed how the lack of quality
services at PHC facilities caused delays in accessing appropriate care and how
the experiences of inadequate care caused users to lose trust in them.
CONCLUSION: The observation that people are willing to travel long distances to
get better quality services calls for health policies that prioritize quality of
care before quantity. In a situation with limited resources, utilizing available
resources to improve quality of care at available facilities could be more
appropriate for improving access to health care than increasing the number of
facilities. This would also improve equity in health care access since the poor
who can not afford travelling costs will then get access to quality services at
their nearer PHC facilities.
PMID- 22094078
TI - Diagnosis and control of cereal viruses in the Middle East.
AB - Middle Eastern countries are major consumers of small grain cereals. Egypt is the
biggest bread wheat producer with 7.4 million tons (MT) in 2007, but at the same
time, it had to import 5.9 MT. Jordan and Israel import almost all the grains
they consume. Viruses are the major pathogens that impair grain production in the
Middle East, infecting in some years more than 80% of the crop. They are
transmitted in nonpersistent, semipersistent, and persistent manners by insects
(aphids, leafhoppers, and mites), and through soil and seeds. Hence, cereal
viruses have to be controlled, not only in the field but also through the
collaborative efforts of the plant quarantine services inland and at the borders,
involving all the Middle Eastern countries. Diagnosis of cereal viruses may
include symptom observation, immunological technologies such as ELISA using
polyclonal and monoclonal antibodies raised against virus coat protein expressed
in bacteria, and molecular techniques such as PCR, microarrays, and deep
sequencing. In this chapter, we explore the different diagnoses, typing, and
detection techniques of cereal viruses available to the Middle Eastern countries.
We highlight the plant quarantine service and the prevention methods. Finally, we
review the breeding efforts for virus resistance, based on conventional selection
and genetic engineering.
PMID- 22094079
TI - The roles of host factors in tombusvirus RNA recombination.
AB - RNA viruses are the champions of evolution due to high frequency mutations and
genetic recombination occurring during virus replication. These genetic events
are due to the error-prone nature of viral RNA-dependent RNA polymerases (RdRp).
Recently emerging models on viral RNA recombination, however, also include key
roles for host and environmental factors. Accordingly, genome-wide screens and
global proteomics approaches with Tomato bushy stunt virus (TBSV) and yeast
(Saccharomyces cerevisiae) as a model host have identified 38 host proteins
affecting viral RNA recombination. Follow-up studies have identified key host
proteins and cellular pathways involved in TBSV RNA recombination. In addition,
environmental factors, such as salt stress, have been shown to affect TBSV
recombination via influencing key host or viral factors involved in the
recombination process. These advances will help build more accurate models on
viral recombination, evolution, and adaptation.
PMID- 22094080
TI - Coronavirus pathogenesis.
AB - Coronaviruses infect many species of animals including humans, causing acute and
chronic diseases. This review focuses primarily on the pathogenesis of murine
coronavirus mouse hepatitis virus (MHV) and severe acute respiratory coronavirus
(SARS-CoV). MHV is a collection of strains, which provide models systems for the
study of viral tropism and pathogenesis in several organs systems, including the
central nervous system, the liver, and the lung, and has been cited as providing
one of the few animal models for the study of chronic demyelinating diseases such
as multiple sclerosis. SARS-CoV emerged in the human population in China in 2002,
causing a worldwide epidemic with severe morbidity and high mortality rates,
particularly in older individuals. We review the pathogenesis of both viruses and
the several reverse genetics systems that made much of these studies possible. We
also review the functions of coronavirus proteins, structural, enzymatic, and
accessory, with an emphasis on roles in pathogenesis. Structural proteins in
addition to their roles in virion structure and morphogenesis also contribute
significantly to viral spread in vivo and in antagonizing host cell responses.
Nonstructural proteins include the small accessory proteins that are not at all
conserved between MHV and SARS-CoV and the 16 conserved proteins encoded in the
replicase locus, many of which have enzymatic activities in RNA metabolism or
protein processing in addition to functions in antagonizing host response.
PMID- 22094082
TI - Mind as a nested operational architectonics of the brain: Comment on
"Neuroontology, neurobiological naturalism, and consciousness: a challenge to
scientific reduction and a solution" by Todd E. Feinberg.
PMID- 22094081
TI - Human immunodeficiency virus viral protein R as an extracellular protein in
neuropathogenesis.
AB - Numerous studies published in the past two decades have identified the viral
protein R (Vpr) as one of the most versatile proteins in the life cycle of human
immunodeficiency virus type 1 (HIV-1). In this regard, more than a thousand Vpr
molecules are present in extracellular viral particles. Subsequent to viral
entry, Vpr participates in early replicative events by assisting in viral genome
nuclear import and, during the viral life cycle, by shuttling between the nucleus
and the cytoplasm to accomplish its functions within the context of other
replicative functions. Additionally, several studies have implicated Vpr as a
proapoptotic protein because it promotes formation of permeability transition
pores in mitochondria, which in turn affects transmembrane potential and
adenosine triphosphate synthesis. Recent studies have identified Vpr as a virion
free protein in the serum and cerebrospinal fluid of patients infected with HIV-1
whose plasma viremia directly correlates with the extracellular concentration of
Vpr. These observations pointed to a new role for Vpr as an additional weapon in
the HIV-1 arsenal, involving the use of an extracellular protein to target and
possibly inhibit HIV-1-uninfected bystander cells to enable them to escape immune
surveillance. In addition, extracellular Vpr decreases adenosine triphosphate
levels and affects the intracellular redox balance in neurons, ultimately causing
their apoptosis. Herein, we review the role of Vpr as an extracellular protein
and its downstream effects on cellular metabolism, functionality, and survival,
with particular emphasis on how extracellular Vpr-induced oxidative stress might
aggravate HIV-1-induced symptoms, thus affecting pathogenesis and disease
progression.
PMID- 22094084
TI - [Preventive of complications after radical prostatectomy].
PMID- 22094083
TI - Obesity is associated with castration-resistant disease and metastasis in men
treated with androgen deprivation therapy after radical prostatectomy: results
from the SEARCH database.
AB - Study Type - Prognosis (cohort series). Level of Evidence 2a. What's known on the
subject? and What does the study add? The incidence and prevalence of obesity in
the USA and Europe is increasing. Higher body mass index is associated with a
lower risk of overall prostate cancer diagnosis but also with an increased risk
of high grade prostate cancer. Obese men undergoing primary therapy with radical
prostatectomy or external beam radiation are more likely to experience a
biochemical recurrence after treatment compared with normal weight men. Finally,
obesity is associated with increased prostate-cancer-specific mortality. We
hypothesized that obese men on androgen deprivation therapy may be at increased
risk for prostate cancer progression. Previous studies have shown that obese men
have lower levels of testosterone compared with normal weight men. Additionally,
one previous study found that obese men have higher levels of testosterone on
androgen deprivation therapy. Men with higher levels of testosterone on androgen
deprivation therapy are at increased risk of prostate cancer progression. We
found that men with higher body mass index were at increased risk of progression
to castration-resistant prostate cancer, development of metastases and prostate
cancer-specific mortality. When we adjusted for various clinicopathological
characteristics, obese men were at increased risk of progression to castration
resistant prostate cancer and development of metastases. The results of our study
help generate hypotheses for further study regarding the mechanisms between
obesity and aggressive prostate cancer. OBJECTIVE: * To investigate whether
obesity predicts poor outcomes in men starting androgen deprivation therapy (ADT)
before metastasis, since previous studies found worse outcomes after surgery and
radiation for obese men. METHODS: * A retrospective review was carried out of 287
men in the SEARCH database treated with radical prostatectomy between 1988 and
2009. * Body mass index (BMI) was categorized to <25, 25-29.9 and >= 30 kg/m2. *
Proportional hazards models were used to test the association between BMI and
time to castration-resistant prostate cancer (PC), metastases and PC-specific
mortality adjusting for demographic and clinicopathological data. RESULTS: *
During a median 73-month follow-up after radical prostatectomy, 403 men (14%)
received early ADT. * Among 287 men with complete data, median BMI was 28.3
kg/m2. * Median follow-up from the start of ADT was 52 months during which 44 men
developed castration-resistant PC, 34 developed metastases and 24 died from PC. *
In multivariate analysis, higher BMI was associated with a trend for greater risk
of progression to castration-resistant PC (P= 0.063), a more than threefold
increased risk of developing metastases (P= 0.027) and a trend toward worse PC
specific mortality (P= 0.119). * Prognostic biomarkers did not differ between BMI
groups. CONCLUSIONS: * Among men treated with early ADT, our results suggest that
obese men may have increased risk of PC progression. * These data support the
general hypothesis that obesity is associated with aggressive PC, although
validation of these findings and further study of the mechanisms linking obesity
and poor PC outcomes are required.
PMID- 22094085
TI - [The suggestion of thrombolytic therapy for intermediate risk patients with acute
pulmonary embolism].
PMID- 22094086
TI - [Analysis of risk factors for urinary continence after radical prostatectomy].
AB - OBJECTIVE: There are many causative factors for the occurrence of post
prostatectomy incontinence. Objective clinical characteristics, surgical
techniques and pelvic floor muscle therapy are the most important ones. The
present study was to identify the risk factors associated with urinary
incontinence after radical retropubic prostatectomy (RRP). METHODS: A total of
263 patients were recruited for this multivariate analysis. After a close follow
up, a series of pre-, peri- and post-operative factors were recorded and
analyzed. RESULTS: Urinary continence after radical prostatectomy was 14.8% at 4
weeks and 94.7% at 16 weeks. The most important recovery interval for urinary
continence was 4 - 16 weeks post-operation. Multivariate analysis revealed that
age (P = 0.015), blood transfusion (P = 0.017), previous TURP (transurethral
resection of the prostate) (P = 0.006) and neoadjuvant hormonal therapy (P =
0.005) were the important risk factors for urinary incontinence. CONCLUSION:
During RRP, optimized preservation of urethral rhabdosphincter length, nerve
sparing and early postoperative functional exercises can improve the recovery of
urinary continence. Age, blood transfusion and previous TURP are the independent
prognostic factors. Neoadjuvant hormonal therapy may improve urinary continence
through increasing the preoperative length of membranous urethra.
PMID- 22094087
TI - [Influences of erectile functions in benign prostatic hyperplasia patients by two
micro (thulium) laser resection of prostate-tangerine technique].
AB - OBJECTIVE: To observe the influences of erectile dysfunction (ED) by age,
prostate size and lower urinary tract symptom (LUTS) undergoing two micro
(thulium) laser resection of prostate-tangerine technique (TmLRP-TT). METHODS: A
total of 50 BPH (benign prostatic hyperplasia) patients underwent TmLRP-TT with a
70 W laser power. The patient prostate volume, international prostatic
symptomatic score (IPSS), maximal flow rate and international index of erectile
function (IIEF-5) were assessed preoperatively. A retrospective assessment was
made after surgery and at a 6-month follow-up. They were divided into 2 groups
according to prostate volume <= 50 or > 50 ml, age <= 65 yrs or > 65 yrs, IIEF-5
<= 20 or > 21 and IPSS <= 27 or > 27 respectively. The postoperative influences
of erection by age, prostate size and LUTS were observed. RESULTS: Significant
differences existed between pre-operation and 6 months post-operation in terms of
IPSS (25.35 +/- 5.6 vs 9.52 +/- 3.1, P < 0.01) and maximal flow rate (6.51 +/-
1.21 vs 13.4 +/- 2.7 ml/s, P < 0.01). There was no difference between pre
operation and 6 months post-operation (34 vs 32 cases, chi(2) = 0.673, P =
0.603). The patients with prostate volume >50 ml group had 9 additional ED cases
while those with IPSS >27 group contained 11 fewer ED cases. There were
significant differences between both groups (chi(2) = 5.255, P = 0.002; chi(2) =
11.560, P = 0.001 respectively). BPH patients aged over 65 years old were more
likely to suffer ED than those under 65 years old (chi(2) = 5.882, P = 0.015).
However, there was no significant difference in age in terms of suffering
postoperative ED (chi(2) = 3.125, P = 0.077). CONCLUSION: TmLRP-TT can
significantly improve LUTS in BPH patients. The presence of large volume prostate
is an independent risk factor for an increased number of ED patients after TmLRP
TT. LUTS is an independent risk factor for ED. And TmLRP-TT may improve the ED
patients with severe LUTS.
PMID- 22094088
TI - [Clinical study of diethylstilbestrol in hormone refractory prostate cancer].
AB - OBJECTIVE: To summarize the clinical outcome and adverse events of estrogen
therapy for hormone refractory prostate cancer. METHODS: A total of 32 patients
with hormone refractory prostate cancer received diethylstilbestrol (DES) 2 mg
daily at our institute. The data of PSA (prostate-specific antigen) change, time
to progression, overall survival rate, disease-specific survival rate and adverse
events were collected and analyzed. RESULTS: The data of 29 patients were
complete. The mean duration of DES dosing was 8.6 +/- 0.9 months. Among them, 8
(27.5%) patients achieved a PSA response with a 50% decrement of PSA or more.
Seven (24.1%) patients had a stable level of PSA (50% < PSA < 125%) while 14 of
29 (48.3%) maintained a PSA progression with a 25% increment of PSA or more. The
overall median time to progression was 4 (1 - 12) months. And the median time to
progression was 6 (5-12) months in the PSA response group. The overall survival
rate was 48.3% and disease-specific survival rate 55.2%. The main adverse events
were gynecomastia (10/29, 34.5%) and deep vein thrombosis (3/29, 10.3%).
CONCLUSION: When used for the treatment of hormone refractory prostate cancer,
diethylstilbestrol at a daily dose of 2 mg can achieve a PSA response in 27.5%
patients and a PSA stability in 24.1% patients. And the median time to
progression is 4 months. Estrogen is efficacious for the patients with hormone
refractory prostate cancer.
PMID- 22094089
TI - [Differentially expressed urine protein of contrast induced acute kidney injury
by two-dimensional differential in-gel electrophoresis and mass spectrometry].
AB - OBJECTIVE: To explore the differentially expressed proteins of contrast-induced
nephropathy through a comparison of urinary proteome so as to further elucidate
the pathogenesis and discover the disease biomarker. METHODS: The urine samples
of 12 patients were collected before and after coronary angiography. Two
dimensional electrophoresis was performed after the urine samples were labeled by
different dyes. The differences of urine proteome were analyzed by Decyder
software and the differentially expressed spots identified by mass spectrometry.
RESULTS: A total of 56 differentially expressed spots were detected. Among them,
39 spots were up-regulated and 17 spots down-regulated. And mannose binding
lectin and mannose binding lectin associated serine protease 2, key proteins in
complement body activation, were both significantly up-regulated. CONCLUSION:
Urine proteomic study methods are constructed based on 2D-DIGE and mass
spectrometry. The lectin pathway of complement body may be associated with
contrast-induced acute kidney injury.
PMID- 22094090
TI - [Stratification therapy in patients with acute promyelocytic leukemia after a
complete remission by all-trans retinoic acid].
AB - OBJECTIVE: To explore an efficacious protocol for the patients with acute
promyelocytic leukemia (APL) after a complete remission (CR) by all-trans
retinoic acid (ATRA). METHODS: A total of 32 APL patients with an induction of CR
by ATRA at our hospital from January 2000 to October 2007 received conventional
standard chemotherapy as a consolidation regimen. Stratified according to age,
those under 50 years old received an intermediate dose of cytarabine(IDAra-C)and
over 50 years old non-IDAra-C regimen. Maintenance regimen: all patients received
ATRA, arsenic trioxide (As2O3) and 6-mercaptopurine (6-MP) + methotrexate (MTX)
alternately and sequentially for 3 years. The efficacy and side effects of these
chemotherapies were observed. RESULTS: The median follow-up was 72 (40 - 124)
months. The 5-year disease-free survival (DFS) rates of under 50 years old and
over 50 years old were 94.7% and 92.3% respectively. The difference was
statistically insignificant (P > 0.05). One patient relapsed after a
consolidation therapy and so did another on a maintenance regimen. Thirty
patients achieved a constant CR. And 16 of 30 patients completed chemotherapy
beyond 5 years and survived disease-free. The 5-year DFS rate of 32 patients was
93.8%. CONCLUSION: After the achievement of CR with ATRA, all APL patients have a
higher rate of DFS after stratification. The side effects are generally mild.
Thus a stratification therapy is both feasible and efficacious.
PMID- 22094091
TI - [Clinical treatment of application of deep venous thrombosis by retrievable vena
cava filter].
AB - OBJECTIVE: To summarize the clinical experiences of treating deep venous
thrombosis (DVT) with retrievable vena cava filter. METHODS: A total of 180
patients with DVT in unilateral lower extremity were recruited. There were 82
males and 98 females with an average age of 46 years old. After the diagnosis of
vascular color Doppler ultrasound, retrievable vena cava filter was implanted
through contralateral femoral vein. Simultaneously another 114 patients underwent
femoral vein embolectomy. All cases received the post-operative therapies of
anticoagulation, thrombolysis and vasodilation. RESULTS: The implantation of
retrievable vena cava filter was successful in all cases. Among 142 cases of
attempted extraction, 116 filters were extracted successfully at Days 12 - 24.
The success rate was 81.69%. Filters captured thrombosis in 34 cases (29.31%).
CONCLUSION: As a safe and efficacious method, the implantation of retrievable
vena cava filter prevents the occurrence of pulmonary embolism in the patients
with DVT in unilateral lower extremity. Its timely extraction may avoid the long
term complications.
PMID- 22094092
TI - [Effects of different ventilation mode on pulmonary function during minor
operation of general anesthesia with SLIPA laryngeal mask].
AB - OBJECTIVE: To retrospectively analyze the effects of different ventilation modes
on pulmonary function during minor operation of general anesthesia with
streamlined liner of the pharynx airway (SLIPA) laryngeal mask and assess its
safety of spontaneous respiration during general anesthesia. METHODS: A total of
76 adult patients (aged 28 - 44 years old, American Society of Anesthesiologists
physical status I-II) scheduled for operations were randomly allocated into the
mechanical ventilation and spontaneous respiration group (n = 38 each).
Anesthetic induction was performed intravenously with propofol (2 mg/kg),
sulfentanyl (0.5 ug/kg) and cisatracurium besylate (0.3 mg/kg) (in mechanical
ventilation group). Anesthesia was maintained by sevoflurane at 0.7 minimum
alveolar concentration (MAC), mixture of atmosphere and oxygen at 1:1 and a
continuous infusion of propofol at the speed of 4 mg*kg(-1)h(-1). Neostigmine (2
mg) and atropine (1 mg) were administered intravenously before the extraction of
SLIPA laryngeal mask in order to antagonize the residual effects of muscle
relaxation. The parameters including heart rate (HR), mean blood pressure (MAP)
and end-tidal pressure of CO(2) (P(ET)CO(2)), blood gas analysis and the alveolar
arterial oxygen gradient [P(A-a)DO(2)], respiratory index (RI) and dead
volume/tidal volume (V(D)/V(T)) were calculated at Different time points.
RESULTS: No significant differences were found in HR (spontaneous respiration
group: 76 +/- 7, 78 +/- 6, 79 +/- 7/min; mechanical ventilation group: 77 +/- 6,
80 +/- 5, 79 +/- 6/min), MAP (spontaneous respiration group: 91 +/- 10, 89 +/- 9,
90 +/- 9mm Hg; mechanical ventilation group: 89 +/- 10, 88 +/- 9, 92 +/- 8) mm Hg
at the monitored time points between two groups (P > 0.05). At the time of 30 min
and 60 min after insertion, the pH value was significantly lower in spontaneous
respiration group (7.351 +/- 0.028, 7.338 +/- 0.025) than those in mechanical
ventilation group (7.391 +/- 0.031, 7.389 +/- 0.032). The values of PaCO(2) (42
+/- 4, 46 +/- 6) mm Hg and P(ET)CO(2) (41 +/- 5, 45 +/- 3) mm Hg were higher than
those in mechanical ventilation group (37 +/- 3, 35 +/- 5; 37 +/- 4, 36 +/- 4) mm
Hg (P < 0.05). No significant difference was found in the P(A-a)DO(2), RI or
V(D)/V(T) at the monitored time points between two groups (P > 0.05). CONCLUSION:
Neither mechanical ventilation mode nor spontaneous respiration ventilation mode
has any effect upon pulmonary function by during minor operation of general
anesthesia with SLIPA laryngeal mask. Spontaneous respiration can offer a
sufficient supply of oxygen but it carries the risk of CO(2) accumulation.
PMID- 22094093
TI - [Sevoflurane postconditioning attenuates myocardial apoptosis in isolated rat
hearts via a modulation of Bcl-2 family proteins].
AB - OBJECTIVE: To explore the effects of sevoflurane postconditioning on
ischemic/reperfused myocardial apoptosis. METHODS: Isolated perfused rat hearts
were randomly assigned into 3 groups: sham-operation (sham), ischemia/reperfusion
(I/R) and sevoflurane postconditioning (SPC). Except for the sham group, the
hearts were subjected to 40 min global myocardial ischemia and 120 min
reperfusion. Left ventricular systolic pressure (LVSP), left ventricular
developed pressure (LVDP), left ventricular end-diastolic pressure (LVEDP),
maximum increase rate of LVDP (+dp/dt), maximum decrease rate of LVDP (-dp/dt),
heart rate (HR) and coronary flow (CF) were measured at baseline, R (reperfusion)
30 min, R60 min, R90 min and R120 min. Creatine kinase (CK) and lactate
dehydrogenase (LDH) were measured at 5 min and 10 min post-reperfusion. Infarct
size was determined by triphenyltetrazolium chloride staining at the end of
reperfusion. The expressions of Bcl-2 and Bax were determined by Western blot.
RESULTS: The values of LVSP, LVDP, +/- dp/dt and CF were higher while that of
LVEDP was lower in the SPC group than the I/R group at all time points of
reperfusion (P < 0.05). The releases of CK and LDH and infarct size were
significantly reduced in the SPC group versus the I/R group (22.2% +/- 2.8% vs
I/R: 44.9% +/- 6.6%, P < 0.05). The expression of Bcl-2 increased significantly
while that of Bax decreased in the SPC group verus the I/R group. CONCLUSION:
Sevoflurane postconditioning may improve myocardial functions, reduce infarct
size and attenuate myocardial apoptosis. And the modulated expression of
apoptotic proteins plays an important role in sevoflurane-induced myocardial
protection.
PMID- 22094094
TI - [Effect of mesenchymal stem cells on cardiac function and restenosis of injured
artery after myocardial infarction].
AB - OBJECTIVE: Although earlier studies have shown that the transplantation of
mesenchymal stem cells (MSCs) might improve cardiac functions after myocardial
infraction, its role on vascular restenosis after percutaneous coronary
intervention (PCI) remains controversial. The aim of this study was to
investigate the effects of MSCs on the restenosis of injured artery following
balloon angioplasty in a rabbit model with both myocardial infarction reperfusion
and atherosclerotic stenosis carotid artery by balloon injury. METHODS: After the
animal model was established for myocardial infraction reperfusion and
atherosclerotic stenosis carotid artery by balloon injury, the rabbits received
an intravenous transplantation of MSCs. And an equal volume of phosphate buffered
solution was administered for the control group. The animal vascular tissue and
myocardium tissue were excised at different time points post-transplantation and
used to detect the homing of MSCs and the expressions of platelet-endothelial
cell adhesion molecule-1 (CD31) and proliferating cell nuclear antigen (PCNA) by
immunohistochemical staining. Four weeks later, vascular restenosis was analyzed
by angiography of bilateral carotid arteries and the vascular tissues were used
for histological studies. RESULTS: At one week post-transplantation, the 4',6
diamidino-2-phenylindole (DAPI)-labeled MSCs could be detected in myocardial
infarction and injured intima. And the intimal expression of CD31 was observed at
2 weeks in the MSCs transplantation group. Yet the expression of PCNA was
significantly lower in the MSCs transplantation group than that in the control
group (50.5% +/- 3.6% vs 23.4% +/- 2.8%, P < 0.05). At 4 week post
transplantation, the neointimal area of injured vessels and the vascular
restenosis were significantly lower in the MSCs transplantation group than those
in the control group (0.092 +/- 0.009 vs 0.189 +/- 0.007, P < 0.05; 41.7 +/- 3.7
vs 61.3 +/- 1.6, P < 0.05). Furthermore the MSCs transplantation group
demonstrated improved cardiac functions, reduced myocardial infarct size (21.7%
+/- 2.2% vs 34.3% +/- 1.8%, P < 0.05) and significantly increased capillary
density around infarction foci (33.6% +/- 2.1% vs 20.8% +/- 2.6%, P < 0.05)
versus the control group. CONCLUSION: The transplantation of MSCs plays
significant roles in cardiac repairing in terms of improved cardiac functions,
accelerated repair of injured vessels, suppression of neointimal hyperplasia and
reduced restenosis of injured vessels.
PMID- 22094095
TI - [Mechanisms of focal adhesion kinase in the proliferation of human pulmonary
artery smooth cells under hypoxia].
AB - OBJECTIVE: To explore the mechanisms of focal adhesion kinase (FAK) in the
proliferation of human pulmonary artery smooth muscle cells (HPASMCs) under
hypoxia. METHODS: Cultured HPASMCs were passively transfected with FAK
oligonucleotides (ODNS) and under normoxia or hypoxia condition. They were
divided into four groups: normoxia without fibronectin (FN), normoxia with FN,
hypoxia without FN, hypoxia with FN in vitro respectively. Cytoplasmic FAK, Grb2
and paxillin were observed simultaneously by immunoprecipitation and Western
blot. In addition, the expressions of cytoplasmic FAK, Grb2 and paxillin were
detected by immunocytochemical staining. RESULTS: Immunoprecipitation and Western
blot demonstrated that cytoplasmic expressions of FAK, Grb2 and paxillin in
HPASMCs increased in hypoxia with FN from 43.4 +/- 1.4, 69.7 +/- 1.9, 59.3 +/-
1.6 to 35.7 +/- 1.2, 48.7 +/- 1.3, 33.2 +/- 1.8 at 1.5 h (all P < 0.05), from
41.3 +/- 1.3, 71.3 +/- 1.5, 59.4 +/- 1.8 to 41.3 +/- 1.3, 50.2 +/- 1.7, 38.9 +/-
1.9 at 24 h respectively (P < 0.01, P < 0.05, P < 0.05). Immunocytochemistry
staining showed that the cytoplasmic expressions of FAK, Grb2 and paxillin were
enhanced in hypoxia with FN versus normoxia with FN. There were significant
differences. CONCLUSION: Hypoxia can induce the activation of cytoplasmic FAK,
Grb2 and paxillin so as to regulate the migration, survival and proliferation of
HPASMCs.
PMID- 22094096
TI - [Inhibitory effects of zoledronic acid on cell proliferation and invasion in
human nasopharyngeal carcinoma cell line HNE1].
AB - OBJECTIVE: To explore the in vitro anti-tumor effects of zoledronic acid on cell
proliferation and invasion in human nasopharyngeal carcinoma cell line HNE1.
METHODS: The cytotoxic effects of zoledronic acid on HNE1 cells were detected by
MTT assay, invasion of HNE1 cells by Transwell assay, secretion of (vascular
endothelial growth factor)VEGF by (enzyme-linked immunosorbent assay) ELISA and
the activities of MMP (matrix metalloproteinase) 2 and MMP9 by gelatine
zymography. And the expressions of mRNA and proteins of MMP2, MMP9 and VEGF were
analyzed by reverse transcription-polymerase chain reaction (RT-PCR) and Western
blot respectively. RESULTS: After a treatment of zoledronic acid at 2.5, 5, 10,
20 and 40 mol/L for 48 h or 72 h, the highest inhibition rate of proliferation at
approximately 50% was observed in the 40 mol/L group after 72 h. The inhibitory
effect was not in a dose/time-dependent manner. After a 24-hour treatment of
zoledronic acid at different concentrations (0, 10, 20 and 40 mol/L), the numbers
of membrane-invading cells were 75.8 +/- 2.6, 54.8 +/- 5.4, 44.6 +/- 6.4 and 38.6
+/- 8.2 respectively (all P < 0.01). Gelatinase zymography demonstrated that the
activities of MMP2 and MMP9 were inhibited significantly only in cells treated at
0 umol/L. After a 24-hour exposure to zoledronic acid at 0, 10, 20 and 40 umol/L,
the concentrations of VEGF in supernatant were (5264 +/- 89), (4626 +/- 30),
(4155 +/- 40) and (1908 +/- 171) g/L respectively (all P < 0.01). The expressions
of mRNA and protein of MMP2, MMP9 and VEGF were down-regulated. CONCLUSION:
Zoledronic acid can inhibit the in vitro proliferation and invasion of HNE1 cell
through suppressing the secretion of VEGF, the activities of MMP2 and MMP9 and
the expressions of VEGF, MMP2 and MMP9.
PMID- 22094097
TI - [Protective effects of erythromycin on human bronchial epithelial cells impaired
by interleukin-4].
AB - OBJECTIVE: To study the protective effects and mechanisms of erythromycin on
human bronchial epithelial (HBE) cells damaged by interleukin-4. METHODS: The
growth curve of HBE cells was recorded by MTT. The cells were divided into the
following groups: control (incubation for 24, 48 h); IL-4 (0.01 mg/L, incubation
for 24, 48 h); erythromycin intervention group 1 (4 mg/L erythromycin co
incubation for 24, 48 h after adding IL-4) and erythromycin intervention group 2
(40 mg/L erythromycin co-incubation for 24, 48 h after adding IL-4). The mitotic
cycle of HBE cell was determined by flow cytometry and its apoptosis examined by
Hoechst dyeing. RESULTS: The viability of HBE cells was significantly enhanced
after a 24/48-hour treatment of erythromycin as compared with IL-4 group (P <
0.05, P < 0.01). In erythromycin intervention group 1, the cell ratios of
G(0)/G(1) and S phases were (55.9 +/- 2.5)% and (34.7 +/- 3.4)% respectively
while the rate of cell apoptosis was (9.5 +/- 0.9)%. There were significant
differences as compared with IL-4 group (P < 0.05). In erythromycin intervention
group 2, the cell ratios of G(0)/G(1) and S phases were (55.1 +/- 0.5)% and (36.2
+/- 2.7)% respectively while the rate of cell apoptosis was (4.0 +/- 0.6)%. There
were significant differences as compared with IL-4 group (P < 0.05). CONCLUSION:
Erythromycin has protective effects on HBE cells damaged by IL-4. The mechanism
is probably through influencing the mitotic cycle and inhibiting the apoptosis.
PMID- 22094098
TI - [Effect of RAD001 or plus LBH589 on the proliferation, apoptosis and drug
resistance in chemoresistant acute myeloid leukemic cells].
AB - OBJECTIVE: To investigate the effects of everolimus (RAD001) or plus panobinostat
(LBH589) on the proliferation, apoptosis and drug resistance in chemoresistant
acute myeloid leukemic cells. METHODS: HL-60/ADM cells were treated with RAD001
alone or with LBH589. Proliferation and apoptosis were evaluated by 3-(4,5)
dimethylthiahiazo (-z-y1)-3,5-di-phenytetrazoliumromide (MTT) assay, Hoechst33342
and AnnexinV-FITC/PI stain. The altered expressions of multidrug resistance
associated protein 1 (MRP1) and intercellular adriamycin accumulation were
analyzed by flow cytometry. The change in protein level was analyzed by Western
blot. RESULTS: Effective proliferative inhibition and apoptotic induction in
HL60/ADM cells were observed in the treatment of 10 - 50 umol/L RAD001. The
maximal effect was shown for the concentration of 30 umol/L RAD001 at 48 and 72
hours. The inhibition ratio remained unchanged with the adjustment of drug doses
(P < 0.05). Moreover, there was no synergistic effects in the treatment with
different concentration of RAD001 and LBH589 (CI >= 1.0). A down-regulation of
MRP1 (93.9% +/- 4.2% vs 79.10 +/- 3.28%) and an up-regulation of adriamycin (8.53
+/- 0.68% vs 15.37% +/- 1.46%) were induced by the treatment with 10 umol/L
RAD001 (both P < 0.01). RAD001 inhibited the p53-dependent expression of MRP1 via
an inhibition of phosphoinositide 3-kinase (PI3K)/Akt/mTOR signaling pathway.
CONCLUSION: The combined treatment of RAD001 and LBH589 has no synergistically
inhibitory effect on HL60/ADM cells. But the sole treatment of RAD001 may inhibit
proliferation, induce apoptosis and accumulate intercellular adriamycin through a
down-regulated expression of MRP1 in HL60/ADM cells via an inhibition of
PI3K/Akt/mTOR signaling pathway.
PMID- 22094099
TI - [Genotyping for CYP2C19 with multiplex PCR point mutation screening technology].
AB - OBJECTIVE: To establish a multiplex PCR point mutation screening technique for
the genotyping of CYP2C19. METHODS: Deoxyinosine multiplex-polymerase chain
reaction (PCR) primers (DMPs) were designed to detect simultaneously
CYP2C19*1,*2,*3 alleles in one PCR tube. RESULTS: The above technique could
detect the genotypes of CYP2C19*1, CYP2C19*2 and CYP2C19*3 successfully. And the
results were completely consistent with those of DNA sequencing. CONCLUSION: A
novel screening technique of multiplex PCR point mutation is successfully
established. With the advantages of high specificity, convenient handling, fast
completion and low cost, it provides a reasonable and reliable detection method
for basic researches and personalized medicine.
PMID- 22094100
TI - Retention of different-sized particles and derived gut fill estimate in tammar
wallabies (Macropus eugenii): physiological and methodological considerations.
AB - The capacity of the digestive tract is an important parameter in understanding
digestive adaptations, particularly in herbivores. Measures of this capacity
('gut fill') are commonly performed in killed animals, which has ethical and
logistical implications. Alternatively, dry matter gut contents (DMC) can be
estimated in live animals from food intake, digesta retention and digestibility,
based on physical principles (Holleman and White, Can. J. Zool. 67, 488-494,
1989). Although this method has been used to some extent, it still awaits
thorough validation. Here we estimated DMC in seven tammar wallabies during 5-day
feeding trials and compared the results to those gained from dissections
immediately after the trials. Calculated DMC exceeded that actually measured by
29 +/- 22%. A closer inspection of the data suggested that this was partly due to
the fact that DMC as measured by dissection is susceptible to short-term
influences such as daily variation in food intake, whereas the calculated DMC
represents an integrative measure over the whole period of the feeding trial.
Correlations between both the measured digesta retention times, and the
calculated DMC, with the measured wet contents mass suggest that it is
particularly the DMC determined via dissection that needs to be measured with
care. For a comparison of gut capacities, the calculated DMC therefore can be
considered adequate, but should for a more widespread use be validated in further
studies including more species and experimental regimes controlling food intake
variation. Additionally, we tested whether very small (100-500 MUm) and small
(500-1000 MUm) particles were retained differently in the tammar wallabies. There
was no indication of such a difference. Whether the macropod forestomach
selectively passes a certain particle fraction (that represents microbes) with
the generally faster-passing fluids remains to be investigated with even smaller
markers, e.g. labelled bacteria.
PMID- 22094101
TI - Risk perception and social participation among women exposed to manganese in the
mining district of the state of Hidalgo, Mexico.
AB - OBJECTIVE: To analyze the importance attributed to manganese (Mn) in the health
disease-death process and possibilities for participation in risk management of
women from two communities who live near the plant in the Molango mining
district. MATERIALS AND METHODS: Qualitative study based on 6 focus groups with
women of different ages. Audio recordings were made of the sessions, after verbal
informed consent, and were transcribed with a word processor. The analysis was
conducted according to theme, taking into account the age group and community to
which the women belonged, using the Atlas-ti (v.5.0) program. RESULTS: The
youngest women from Chiconcoac attribute the cause of headaches and chest pain to
manganese, while women from the Tolago community believe it exacerbates disease
in general. Women between 31 and 40 years old from Chiconcoac attribute the cause
of "brain" pain, burning eyes and coughs to manganese, and those in Tolago report
that it causes memory problems. The oldest women in Tolago believe Mn causes
learning difficulties and affects children's growth and development. The women of
all ages in Tolago believe that Mn has decreased the production of fruit and
vegetables in the region. Some of the obstacles to participation in risk
management are: women are not allowed to attend meetings at which men discuss the
Mn problem and they perceive the mine as a source of employment for the
community. CONCLUSIONS: The women perceive Mn to have serious effects on health
and the local environment and have fewer opportunities than men to participate in
risk management. Some of the health consequences attributed to Mn are consistent
with those reported by neurological studies, nevertheless, other risks are
overestimated. Spaces for communication need to be created to listen to the
women's interests and concerns and empower them to participate in the risk
management plan.
PMID- 22094102
TI - Protection against TNFalpha-dependent liver toxicity by intraperitoneal liposome
delivered DsiRNA targeting TNFalpha in vivo.
AB - Tumor necrosis factor-alpha (TNFalpha) is a classic proinflammatory cytokine
implicated in the pathogenesis of several autoimmune and inflammatory diseases
including viral encephalitis. Macrophages being major producers of TNFalpha are
thus attractive targets for in vivo RNA interference (RNAi) mediated down
regulation of TNFalpha. The application of RNAi technology to in vivo models
however presents obstacles, including rapid degradation of RNA duplexes in
plasma, insufficient delivery to the target cell population and toxicity
associated with intravenous administration of synthetic RNAs and carrier
compounds. We exploited the phagocytic ability of macrophages for delivery of
Dicer-substrate small interfering RNAs (DsiRNAs) targeting TNFalpha (DsiTNFalpha)
by intraperitoneal administration of lipid-DsiRNA complexes that were efficiently
taken up by peritoneal macrophages and other phagocytic cells. We report that
DsiTNFalpha-lipid complexes delivered intraperitoneally altered the disease
outcome in an acute sepsis model. Down-regulation of TNFalpha in peritoneal
CD11b+ monocytes reduced liver damage in C57BL/6 mice and significantly delayed
acute mortality in mice treated with low dose LPS plus d-galactosamine (D-GalN).
PMID- 22094103
TI - Polyethyleneimine-based core-shell nanogels: a promising siRNA carrier for
argininosuccinate synthetase mRNA knockdown in HeLa cells.
AB - RNA interference using small interfering RNA (siRNA) is a promising biological
strategy for treatment of diverse diseases; however, application of siRNA is
severely hindered by its poor stability and low cellular uptake efficiency. We
have recently demonstrated that polyethyleneimine (PEI)-based amphiphilic core
shell particles have several distinguishing advantages over native PEI and its
derivatives. This paper presents a novel type of PEI-based nanogels with a
biodegradable gelatin core. The core-shell nanogels were synthesized via a two
stage reaction: (1) preparation of highly uniform gelatin nanoparticles through
appropriate treatment of gelatin solution; and (2) conjugation of branched PEI to
the preformed gelatin nanoparticles, followed by repeated cycles of desolvation
and drying of the gelatin-PEI nanogels in ethanol/water mixture. The resulting
nanogels have a well-defined nanostructure that contains a gelatin core and a PEI
shell. They have an average diameter of 200 +/- 40nm with high uniformity. The
nanogel particles possess positive zeta-potential values of up to +40mV at
neutral pH, indicating that they are highly positive and very stable in aqueous
medium. The gelatin-PEI nanogels were able to completely condense siRNA at N/P
ratios of as low as 5:1, and effectively protected siRNA against enzymatic
degradation. Furthermore, the nanogels were four times less toxic than native
PEI. Besides low toxicity, the nanogels were able to effectively deliver siRNA
into HeLa cells. It was found that increasing the N/P ratio from 10 to 30
significantly increased the intracellular uptake efficiency of siRNA from 41 to
84%. Confocal laser scanning microscopic images confirmed that the nanogels were
able to effectively deliver siRNA in the cytoplasm of HeLa cells. The delivered
siRNA could inhibit 70% of human argininosuccinate synthetase 1 (ASS1) gene
expression. This gene silencing percentage is much higher than that of the
commercial Lipofectamine(TM) 2000. Our studies demonstrate that gelatin-PEI core
shell nanogels have promising potential to act as an effective siRNA carrier.
PMID- 22094104
TI - State of the art in PEGylation: the great versatility achieved after forty years
of research.
AB - In the recent years, protein PEGylation has become an established and highly
refined technology by moving forward from initial simple random coupling
approaches based on conjugation at the level of lysine epsilon-amino group.
Nevertheless, amino PEGylation is still yielding important conjugates, currently
in clinical practice, where the degree of homogeneity was improved by optimizing
the reaction conditions and implementing the purification processes. However, the
current research is mainly focused on methods of site-selective PEGylation that
allow the obtainment of a single isomer, thus highly increasing the degree of
homogeneity and the preservation of bioactivity. Protein N-terminus and free
cysteines were the first sites exploited for selective PEGylation but currently
further positions can be addressed thanks to approaches like bridging PEGylation
(disulphide bridges), enzymatic PEGylation (glutamines and C-terminus) and
glycoPEGylation (sites of O- and N-glycosylation or the glycans of a
glycoprotein). Furthermore, by combining the tools of genetic engineering with
specific PEGylation approaches, the polymer can be basically coupled at any
position on the protein surface, owing to the substitution of a properly chosen
amino acid in the sequence with a natural or unnatural amino acid bearing an
orthogonal reactive group. On the other hand, PEGylation has not achieved the
same success in the delivery of small drugs, despite the large interest and
several studies in this field. Targeted conjugates and PEGs for combination
therapy might represent the promising answers for the so far unmet needs of PEG
as carrier of small drugs. This review presents a thorough panorama of recent
advances in the field of PEGylation.
PMID- 22094105
TI - Complement system and the brain: selected pathologies and avenues toward
engineering of neurological nanomedicines.
AB - Several nanoparticle systems and supramolecular assemblies are under
investigation as potential therapeutic entities for Alzheimer's disease and other
neurological disorders through both brain-specific targeting and peripheral
effects. However, activation of the complement system, a complex innate immune
network of over 30 circulating and membrane-bound proteins, remains a serious
concern related to the use of these prospective neurological nanomedicines. The
role of complement in processes of neurodegeneration in the injured or aged and
diseased central nervous system is well known. Nanoparticle-mediated complement
activation cannot only induce adverse cardiopulmonary distress in sensitive
subjects, but may further aggravate the already-compromised condition of
neurological disorders and diseases. This minireview briefly examines the role of
complement in neurological diseases and outlines the current status of the
development of key neurological nanomedicines with respect to complement
activation. Understanding of these topics is crucial for rational design and
development of safe neurological nanomedicines.
PMID- 22094106
TI - Albendazole sensitizes cancer cells to ionizing radiation.
AB - BACKGROUND: Brain metastases afflict approximately half of patients with
metastatic melanoma (MM) and small cell lung cancer (SCLC) and represent the
direct cause of death in 60 to 70% of those affected. Standard of care remains
ineffective in both types of cancer with the challenge of overcoming the blood
brain barrier (BBB) exacerbating the clinical problem. Our purpose is to
determine and characterize the potential of albendazole (ABZ) as a cytotoxic and
radiosensitizing agent against MM and SCLC cells. METHODS: Here, ABZ's mechanism
of action as a DNA damaging and microtubule disrupting agent is assessed through
analysis of histone H2AX phosphorylation and cell cyle progression. The
cytotoxicity of ABZ alone and in combination with radiation therapy is determined
though clonogenic cell survival assays in a panel of MM and SCLC cell lines. We
further establish ABZ's ability to act synergistically as a radio-sensitizer
through combination index calculations and apoptotic measurements of poly (ADP
ribose) polymerase (PARP) cleavage. RESULTS: ABZ induces DNA damage as measured
by increased H2AX phosphorylation. ABZ inhibits the growth of MM and SCLC at
clinically achievable plasma concentrations. At these concentrations, ABZ arrests
MM and SCLC cells in the G2/M phase of the cell cycle after 12 hours of
treatment. Exploiting the notion that cells in the G2/M phase are the most
sensitive to radiation therapy, we show that treatment of MM and SCLC cells
treated with ABZ renders them more sensitive to radiation in a synergistic
fashion. Additionally, MM and SCLC cells co-treated with ABZ and radiation
exhibit increased apoptosis at 72 hours. CONCLUSIONS: Our study suggests that the
orally available antihelminthic ABZ acts as a potent radiosensitizer in MM and
SCLC cell lines. Further evaluation of ABZ in combination with radiation as a
potential treatment for MM and SCLC brain metastases is warranted.
PMID- 22094107
TI - Curtains up! Using forum theatre to rehearse the art of communication in
healthcare education.
AB - Teaching students to develop high quality communication skills in healthcare
education is vitally important if best practice is to be achieved. These skills
have often been seen as challenging to successfully develop. Didactic approaches
impart knowledge but not necessarily the opportunities to practice communication
techniques. This paper shares the experiences of a group of University lecturers
introducing the use of an experiential theatrical technique, forum theatre, to
support students to develop their communication skills. Forum theatre aims to
create a dynamic learning environment enabling students to try out different
communication strategies within a safe setting. Academic staff perform short
scripted scenes developed using their knowledge from practice along with the
experience of carers and service users. The end result is always unsatisfactory
for the service user. The scene is then re-run inviting the students to interact
with the characters and to change the outcome to a positive one resulting in a
collaborative experience.
PMID- 22094108
TI - The use of skills inventories to assess and grade practice: Part 1--design and
implementation.
AB - Employers increasingly require evidence of competent practice and cost-effective
education that is fit for purpose. Historically, universities providing nurse
education have been more concerned with the testing and grading of theory at
undergraduate level which ultimately defines degree classification. This may be
at the expense of recognising excellence in clinical practice which should be the
ultimate goal of any nurse education programme. This paper reviews the
development and introduction of an assessment tool to grade clinical competence
in higher education level 6 post-registration critical care courses using a
skills-based assessment strategy. The knowledge and practice components for each
skill are defined within a standardised template. A number of skills pertinent to
the area of practice and academic module are then collected in a skills inventory
for assessment and grading which contribute to degree classification.
PMID- 22094110
TI - Longitudinal hypothalamic-pituitary-adrenal axis trait and state effects in
recurrent depression.
AB - BACKGROUND: Hypothalamic-pituitary-adrenal (HPA)-axis hyperactivity has been
observed in (recurrent) major depressive disorder (MDD), although inconsistently
and mainly cross-sectional. Longitudinal studies clarifying state-trait issues
are lacking. We aimed to determine whether HPA-axis (hyper)activity in recurrent
MDD is: (I) reflecting a persistent trait; (II) influenced by depressive state;
(III) associated with stress or previous episodes; (IV) associated with
recurrence; and (V) influenced by cognitive therapy. METHODS: We included 187
remitted highly recurrent MDD-patients (mean number of previous episodes: 6.3),
participating in a randomized-controlled-trial investigating the preventive
effect of additional cognitive therapy on recurrence. In an add-on two-staged
patient-control and prospective-cohort design, we first cross-sectionally
compared patients' salivary morning and evening cortisol concentrations with 72
age- and sex-matched controls, and subsequently longitudinally followed-up the
patients with repeated measures after three months and two years. RESULTS:
Patients had higher cortisol concentrations than controls (p<.001), which did not
change by MDD-episodes during follow-up. HPA-axis activity had no relation with
daily hassles or childhood life events. Cortisol concentrations were lower in
patients with more previous episodes (p=.047), but not associated with
recurrence(s) during follow-up. Finally, randomly assigned cognitive therapy at
study-entry enhanced cortisol declines over the day throughout the two-year
follow-up (p=.052). CONCLUSIONS: Our results indicate that remitted recurrent MDD
patients have a persistent trait of increased cortisol concentrations,
irrespective of stress. In combination with our finding that patients' cortisol
concentrations do not change during new MDD-episodes (and thus not represent
epiphenomenal or state-effects), our results support that hypercortisolemia
fulfills the state-independence criterion for an endophenotype for recurrent
depression.
PMID- 22094109
TI - Interprofessional education: innovation in action.
PMID- 22094111
TI - Meal anticipation potentiates postprandial ghrelin suppression in humans.
AB - Circulating concentrations of the orexigenic hormone ghrelin show a postprandial
decrease in dependence on meal size and composition. Cognitive determinants of
postprandial ghrelin suppression in humans are largely unexplored. We assessed
the effects of cued meal anticipation on pre- and postprandial concentrations of
total plasma ghrelin, pancreatic polypeptide and leptin as well as on markers of
glucose metabolism in healthy men. In a between-subject comparison, meal
anticipation was induced in 14 fasted men at 08:00 h by the announcement and
subsequent presentation of a breakfast buffet. Fifteen fasted control subjects
were informed that they would remain fasted until noon. At 10:00 h, both groups
were served a rich free-choice breakfast. At 12:00 h, all subjects underwent a
snack test assessing casual cookie intake. Circulating concentrations of ghrelin,
pancreatic polypeptide, glucose, insulin and leptin were frequently assessed.
Preprandial endocrine parameters as well as breakfast intake (all p>0.23) and
subsequent snack consumption (p>0.83) were comparable between groups. The
postprandial suppression of ghrelin levels observed in both groups was markedly
stronger in subjects who had anticipated breakfast intake (p<0.03) while
pancreatic polypeptide concentrations did not differ between groups (p>0.56).
Results indicate that meal anticipation is a critical determinant of postprandial
ghrelin suppression that, as suggested by unaltered pancreatic polypeptide
levels, appears to be mediated independent of vagal activation. Our findings
highlight the role of subtle cognitive factors in the postprandial regulation of
ghrelin secretion, suggesting that neurobehavioral approaches to improved food
intake control should take into account meal anticipatory mechanisms.
PMID- 22094112
TI - A novel proapoptotic gene PANO encodes a post-translational modulator of the
tumor suppressor p14ARF.
AB - The protein p14ARF is a known tumor suppressor protein controlling cell
proliferation and survival, which mainly localizes in nucleoli. However, the
regulatory mechanisms that govern its activity or expression remain unclear.
Here, we report that a novel proapoptotic nucleolar protein, PANO, modulates the
expression and activity of p14ARF in HeLa cells. Overexpression of PANO enhances
the stability of p14ARF protein by protecting it from degradation, resulting in
an increase in p14ARF expression levels. Overexpression of PANO also induces
apoptosis under low serum conditions. This effect is dependent on the nucleolar
localization of PANO and inhibited by knocking-down p14ARF. Alternatively, PANO
siRNA treated cells exhibit a reduction in p14ARF protein levels. In addition,
ectopic expression of PANO suppresses the tumorigenicity of HeLa cells in nude
mice. These results indicate that PANO is a new apoptosis-inducing gene by
modulating the tumor suppressor protein, p14ARF, and may itself be a new
candidate tumor suppressor gene.
PMID- 22094113
TI - Epigenetic and transcriptional control of the 15-lipoxygenase-1 gene in a Hodgkin
lymphoma cell line.
AB - Lipoxygenases oxidatively metabolize polyunsaturated fatty acids to a rich
spectrum of biologically active metabolites. The present study aimed at
delineating the transcriptional and epigenetic mechanisms leading to 15
lipoxygenase-1 (15-LOX-1) expression in the Hodgkin lymphoma (HL) cell line
L1236. Examination of the 15-LOX-1 5' promoter region demonstrated three putative
binding sites for signal transducer and activator of transcription (STAT6) within
the proximal 1200 base pairs relative to the start codon. Analysis by serial
promoter deletions and STAT6 binding site mutations indicated that all three
STAT6 binding sites are required for full activation of the 15-LOX-1 promoter.
Chromatin immunoprecipitation assay demonstrated that these regions were occupied
by STAT6 in L1236 (15-LOX-1 positive) but not in L428 (15-LOX-1 negative)
cultured HL cells. Furthermore, DNA hypomethylation and histone hyperacetylation
were detectable within the core promoter region of 15-LOX-1 only in L1236 cells
but not L428 cells. Taken together, our data indicate that STAT6 activation and
chromatin remodeling by DNA demethylation and histone acetylation are crucial for
transcriptional activation of 15-LOX-1 in cultured HL cells. These prerequisites
are fulfilled in the L1236 cell line, but not in the L428 cell line.
PMID- 22094114
TI - Advances in Arachis genomics for peanut improvement.
AB - Peanut genomics is very challenging due to its inherent problem of genetic
architecture. Blockage of gene flow from diploid wild relatives to the
tetraploid; cultivated peanut, recent polyploidization combined with self
pollination, and the narrow genetic base of the primary genepool have resulted in
low genetic diversity that has remained a major bottleneck for genetic
improvement of peanut. Harnessing the rich source of wild relatives has been
negligible due to differences in ploidy level as well as genetic drag and
undesirable alleles for low yield. Lack of appropriate genomic resources has
severely hampered molecular breeding activities, and this crop remains among the
less-studied crops. The last five years, however, have witnessed accelerated
development of genomic resources such as development of molecular markers,
genetic and physical maps, generation of expressed sequenced tags (ESTs),
development of mutant resources, and functional genomics platforms that
facilitate the identification of QTLs and discovery of genes associated with
tolerance/resistance to abiotic and biotic stresses and agronomic traits.
Molecular breeding has been initiated for several traits for development of
superior genotypes. The genome or at least gene space sequence is expected to be
available in near future and this will further accelerate use of biotechnological
approaches for peanut improvement.
PMID- 22094115
TI - "There's no kind of respect here" A qualitative study of racism and access to
maternal health care among Romani women in the Balkans.
AB - INTRODUCTION: Roma, the largest minority group in Europe, face widespread racism
and health disadvantage. Using qualitative data from Serbia and Macedonia, our
objective was to develop a conceptual framework showing how three levels of
racism--personal, internalized, and institutional--affect access to maternal
health care among Romani women. METHODS: Eight focus groups of Romani women aged
14-44 (n = 71), as well as in-depth semi-structured interviews with gynecologists
(n = 8) and key informants from NGOs and state institutions (n = 11) were
conducted on maternal health care seeking, experiences during care, and perceived
health care discrimination. Transcripts were coded, and analyzed using a grounded
theory approach. Themes were categorized into domains. RESULTS: Twenty-two
emergent themes identified barriers that reflected how racism affects access to
maternal health care. The domains into which the themes were classified were
perceptions and interactions with health system, psychological factors, social
environment and resources, lack of health system accountability, financial needs,
and exclusion from education. CONCLUSIONS: The experiences of Romani women
demonstrate psychosocial and structural pathways by which racism and
discrimination affect access to prenatal and maternity care. Interventions to
address maternal health inequalities should target barriers within all three
levels of racism.
PMID- 22094116
TI - Evaluation of the evidence on staging imaging for detection of asymptomatic
distant metastases in newly diagnosed breast cancer.
AB - While guidelines recommend against routine use of staging imaging to detect
asymptomatic distant metastases (DM) in newly diagnosed breast cancer (BC),
modern imaging technologies may have improved detection capability and may have a
role in some cases. We performed a systematic review of studies (1995-2011)
evaluating the prevalence of DM and the accuracy of staging imaging for detection
of asymptomatic DM. Twenty-two studies reporting on 14,824 BC subjects (median
age 53 years) undergoing staging imaging were eligible. Median prevalence of DM
was 7.0% (range 1.2-48.8%); prevalence increased with increasing BC stage.
Conventional imaging studies had lower DM prevalence than studies of PET(PET/CT).
Imaging median sensitivity/specificity respectively were: combined conventional
imaging 78.0%/91.4%; bone scintigraphy 98.0%/93.5%; chest X-ray 100%/97.9%; liver
ultrasound 100%/96.7%; CT chest/abdomen 100%/93.1%; FDG-PET 100.0%/96.5%; FDG
PET/CT 100%/98.1%. Low prevalence of DM was seen in Stage I-II BC with much
higher prevalence in more advanced disease. Accuracy of PET modalities was very
high however the high proportion of detected asymptomatic DM partly reflects
selection bias.
PMID- 22094117
TI - Polymorphisms of genes involved in extracellular matrix remodeling and abdominal
aortic aneurysm.
AB - BACKGROUND: Abdominal aortic aneurysm (AAA) has a multifactorial etiology and the
relevance of genetic factors is getting increasing interest, in particular those
related to the destructive remodeling of extracellular matrix. METHODS: We
performed a candidate gene association study of polymorphisms in genes coding
matrix metalloproteinases (MMPs), tissue inhibitors of MMPs (TIMPs), and elastin
(ELN) in AAA. DNA samples from 423 AAA patients and 423 controls were genotyped
for 12 polymorphisms in 10 genes: MMP1 (-1607G/GG), MMP2 (-735C/T; -1306C/T;
1575 G/A), MMP3 (5A/6A), MMP9 (-1562C/T), MMP10 (A180G), MMP-12 (-82A/G), MMP-13
(-77A/G), TIMP1 (C434T), TIMP3 (-1296T/C), and ELN (G1355A). RESULTS: Genotype
distribution was significantly different between patients and controls for the
following polymorphisms: -1306C/T MMP2; 5A/6A MMP3; -77A/G MMP-13; G1355A ELN;
and C434T TIMP1. In a multivariable logistic regression analysis adjusted for
traditional cardiovascular risk factors and chronic obstructive pulmonary
disease, -1306C/T MMP2 (odds ratios [OR] = 0.55 [95% confidence interval, CI .34
.85], P < .007) and G1355A ELN (OR = 0.64 ([95% CI .41-.99], P = .046)
polymorphisms resulted in independent protective factors for abdominal aortic
aneurysm (AAA), whereas 5A/6A MMP3 (OR = 1.82 [95% CI 1.04-3.12], P = .034) and
77 A/G MMP-13 (OR = 2.14 [95% CI 1.18-3.86], P = .012) polymorphisms resulted in
independent risk factors for AAA. In a multivariable logistic regression analysis
adjusted for traditional cardiovascular factors and chronic obstructive pulmonary
disease, the prevalence of the contemporary presence of three or four genetic
risk conditions was a strong and independent determinant of AAA disease (OR =
2.96, 95% CI 1.67-5.24, P < .0001). For those polymorphisms independently
associated with AAA in this study (-1306C/T MMP2, 5A/6A MMP3, -77A/G MMP-13, and
G1355A ELN polymorphisms), we performed a meta-analysis of the available data
(this paper and literature data). We found a significant association with an
increased risk of AAA for MMP3 (AAA patients n = 1258, controls n = 1406: OR =
1.48 [95% CI = 1.23-1.78], I(2) = 0%) and MMP-13 (AAA patients n = 800, controls
n = 843: OR = 1.37 [95% CI = 1.04-1.82], I(2) = 25%) polymorphisms and a trend
that did not reach the statistical significance, toward a decreased risk of AAA
for MMP2 (AAA patients n = 1090, controls n = 1077: OR = 0.83 [95% CI = .60
1.15], I(2) =7 1%) and ELN (AAA patients n = 904, controls n = 1069: OR = 0.79
[95% CI = .53-1.18], I(2) = 72%) polymorphisms. CONCLUSIONS: These findings
suggest that polymorphisms in MMP2, MMP3, MMP-13, and ELN genes may independently
contribute to the pathogenesis of AAA.
PMID- 22094119
TI - Effect of increasing energy cost on arm coordination in elite sprint swimmers.
AB - The purpose of this study was to analyze the changes in stroke parameters, motor
organization and swimming efficiency with increasing energy cost in aquatic
locomotion. Seven elite sprint swimmers performed a 6*300-m incremental swimming
test. Stroke parameters (speed, stroke rate and stroke length), motor
organization (arm stroke phases and arm coordination index), swimming efficiency
(swimming speed squared and hand speed squared) and stroke index were calculated
from aerial and underwater side-view cameras. The energy cost of locomotion was
assessed by measuring oxygen consumption and blood lactate. Results showed that
the increase in energy cost of locomotion was correlated to an increase in the
index of coordination and stroke rate, and a decrease in stroke length (p<.05).
Furthermore, indicators of swimming efficiency and stroke index did not change
significantly with the speed increments (p<.05), indicating that swimmers did not
decrease their efficiency despite the increase in energy cost. In parallel, an
increase in the index of coordination IdC and stroke rate were observed, along
with a decrease in stroke length, stroke index and hand speed squared with each
increment, revealing an adaptation to the fatigue within the 300m.
PMID- 22094118
TI - Motor abundance supports multitasking while standing.
AB - Many activities require simultaneous performance of multiple tasks. Motor
redundancy may provide a key mechanism for multitasking, ensuring minimal inter
task interference. This study investigated the effect of performing two supra
postural tasks on postural stability. The component of joint configuration
variance (JCV) reflecting flexible joint combinations (V(UCM)) that stabilize the
center of mass (CoM) position and the component of JCV leading to variability
(V(ORT)) of the CoM were determined using the Uncontrolled Manifold (UCM)
approach. Subjects executed a targeting task alone or in combination with a ball
balancing task. UCM analysis revealed that the joints were coordinated such that
their combined variance reflected primarily V(UCM), without a substantial effect
on CoM position stability. Evidence for this flexible control strategy increased
when the ball-balancing task was added to targeting, or when the index of
difficulty of targeting increased, both without leading to substantial increases
in V(ORT) or CoM position variance. The increase in joint variance when
performing additional tasks without affecting adversely CoM position stability
supports the hypothesis that the nervous system takes advantage of available
motor redundancy for the successful performance of multiple tasks concurrently.
Future work is needed to investigate the limits of this control scheme.
PMID- 22094120
TI - Novel micelles based on amphiphilic branched PEG as carriers for fenretinide.
AB - This study reports on the preparation and evaluation of amphiphilic
macromolecules based on branched polyethylene glycol covalently linked with alkyl
hydrocarbon chains. These macromolecules easily dissolved in an aqueous
environment, with formation of micellar nanoaggregates endowed with hydrophobic
inner cores capable of hosting fenretinide by complexation. The complexes
increased fenretinide aqueous solubility, while hindering its release as a free
drug in an aqueous environment. Particle size analysis indicated dimensional
suitability of the complexes for intravenous administration. Neuroblastoma cell
lines (SH-SY5Y and NGP) exhibited increased sensitivity to fenretinide in complex
as compared to free drug, associated with higher intracellular concentrations of
fenretinide observed after treatment with the complex. Transmission electronic
microscopy images revealed endocytosis of the micellar complex. Moreover,
fenretinide conversion to its metabolite 4-oxo-fenretinide was delayed in cells
treated with the complex, further supporting the hypothesis that fenretinide may
be absorbed by micellar transport and exposed to the cytoplasm for conversion to
its metabolite only after micelle destabilization.
PMID- 22094121
TI - New strategy of efficient inhibition of cancer cells by carborane carboxylic acid
CdTe nanocomposites.
AB - Nanoconjugates composed of drug molecules encapsulated in quantum dots (QDs)
attract enormous attention due to their promising bioimaging and biomedical
applications. Here, the anticancer efficiency of potential pharmacophore agents
(o-carborane (Cb), o-carborane-C-carboxylic acid (Cbac1), and o-carborane
C(1)C(2)-dicarboxylic acid (Cbac2) coupling with cadmium telluride QDs capped
with cysteamine (CA-CdTe QDs)) have been explored. Compared with free CA-CdTe
QDs, the composites consisting of Cbac1/Cbac2 and safe-dosage QDs can greatly
improve the inhibition efficiency toward SMMC-7721 hepatocellular carcinoma cells
with the aid of our real-time cell bioelectronic sensing system and the MTT
assay. The enhanced cytotoxicity correlates with increased intracellular reactive
oxygen species generation and cell apoptosis. Confocal laser scanning fluorescent
microscopy shows improved cellular uptake and drug distribution of the
Cbac1/Cbac2-CdTe QDs nanoconjugates. This work raises the possibility that the
carborane pharmacophore in combination with QDs or other anticancer drugs may be
viable for efficient cancer diagnosis and chemotherapy.
PMID- 22094122
TI - Mutagenic effects of gold nanoparticles induce aberrant phenotypes in Drosophila
melanogaster.
AB - The peculiar physical/chemical characteristics of engineered nanomaterials have
led to a rapid increase of nanotechnology-based applications in many fields.
However, before exploiting their huge and wide potential, it is necessary to
assess their effects upon interaction with living systems. In this context, the
screening of nanomaterials to evaluate their possible toxicity and understand the
underlying mechanisms currently represents a crucial opportunity to prevent
severe harmful effects in the next future. In this work we show the in vivo
toxicity of gold nanoparticles (Au NPs) in Drosophila melanogaster, highlighting
significant genotoxic effects and, thus, revealing an unsettling aspect of the
long-term outcome of the exposure to this nanomaterial. After the treatment with
Au NPs, we observed dramatic phenotypic modifications in the subsequent
generations of Drosophila, demonstrating their capability to induce mutagenic
effects that may be transmitted to the descendants. Noteworthy, we were able to
obtain the first nanomaterial-mutated organism, named NM-mut. Although these
results sound alarming, they underline the importance of systematic and reliable
toxicology characterizations of nanomaterials and the necessity of significant
efforts by the nanoscience community in designing and testing suitable nanoscale
surface engineering/coating to develop biocompatible nanomaterials with no
hazardous effects for human health and environment. FROM THE CLINICAL EDITOR:
While the clinical application of nanomedicine is still in its infancy, the rapid
evolution of this field will undoubtedly result in a growing number of clinical
trials and eventually in human applications. The interactions of nanoparticles
with living organisms determine their toxicity and long-term safety, which must
be properly understood prior to large-scale applications are considered. The
paper by Dr. Pompa's team is the first ever demonstration of mutagenesis
resulting in clearly observable phenotypic alterations and the generation of nano
mutants as a result of exposure to citrate-surfaced gold nanoparticles in
drosophila. These groundbreaking results are alarming, but represent a true
milestone in nanomedicine and serve as a a reminder and warning about the
critical importance of "safety first" in biomedical science.
PMID- 22094123
TI - Cancer cell response to nanoparticles: criticality and optimality.
AB - A stochastic variation in size and electrical parameters is common in
nanoparticles. Synthesizing gold nanoparticles with a varying range of size and
zeta potential, we show that there is clustering at certain regions of
hydrodynamic diameter and zeta potentials that can be classified using k
clustering technique. A cluster boundary was observed around 50 nm, a size known
for its optimal response to cells. However, neither size nor zeta potential alone
determined the optimal cellular response (e.g., percentage cell survival) induced
by such nanoparticles. A complex interplay prevails between size, zeta potential,
nature of surface functionalization, and extent of adhesion of the cell to a
solid matrix. However, it follows that the ratio of zeta potential to surface
area, which scales as the electrical field (by Gaussian law), serves as an
appropriate indicator for optimal cellular response. The phase plot spanned by
fractional survival and effective electric field (charge density) indicates a
positive correlation between mean cell survival and the magnitude of the electric
field. The phase plot spanned by fractional survival and effective electric field
(charge density) associated with the nanosurface shows a bifurcation behavior.
Wide variation of cell survival response is observed at certain critical values
of the surface charge density, whereas in other ranges the cellular response is
well behaved and more predictable. Existence of phase points near the critical
region corresponds to wide fluctuation of nanoparticle-induced response, for
small changes in the nanosurface property. Smaller nanoparticles with low zeta
potential (e.g., those conjugated with arginine) can have such an attribute
(i.e., higher electrical field strength), and eventually they cause more cell
death. The study may help in optimal design of nanodrugs.
PMID- 22094125
TI - A united approach to European neurology.
PMID- 22094124
TI - Human electrophysiological correlates of learned irrelevance: effects of the
muscarinic M1 antagonist biperiden.
AB - Learned irrelevance (LIrr) refers to a reduction in associative learning after
pre-exposure of the conditioned and unconditioned stimulus in a non-contingent
fashion. This paradigm might serve as a translational model for (pre)attentive
information processing deficits in schizophrenia. This is the first study to
investigate the event-related potentials (ERPs) of a within-subject LIrr paradigm
in humans. Furthermore, the effects of the muscarinic M1 antagonist biperiden on
LIrr were assessed. As expected, LIrr was found to be intact in young healthy
volunteers after placebo. Furthermore, in the placebo condition P3b latency was
decreased for target stimuli, which were pre-cued. This suggests that the
predictability of the occurrence of these stimuli is mainly reflected by this ERP
component. Biperiden had no effect on the behavioural LIrr measures, although
prolonged reaction times were evident. Biperiden increased the N1 amplitude of
the pre-exposed predictor letters, suggesting an effect of this drug on early
perceptual processing. In conclusion, the within-subject paradigm used in the
current study in combination with electroencephalography can reveal brain
mechanisms involved in LIrr. M1 antagonism did not affect LIrr performance but
seemed to influence early information processing.
PMID- 22094126
TI - The virtual neurologist.
PMID- 22094127
TI - Francesc Graus: solving paraneoplastic puzzles.
PMID- 22094129
TI - Familial amyloid polyneuropathy.
AB - Familial amyloid polyneuropathies (FAPs) are a group of life-threatening
multisystem disorders transmitted as an autosomal dominant trait. Nerve lesions
are induced by deposits of amyloid fibrils, most commonly due to mutated
transthyretin (TTR). Less often the precursor of amyloidosis is mutant
apolipoprotein A-1 or gelsolin. The first identified cause of FAP-the TTR
Val30Met mutation-is still the most common of more than 100 amyloidogenic point
mutations identified worldwide. The penetrance and age at onset of FAP among
people carrying the same mutation vary between countries. The symptomatology and
clinical course of FAP can be highly variable. TTR FAP typically causes a nerve
length-dependent polyneuropathy that starts in the feet with loss of temperature
and pain sensations, along with life-threatening autonomic dysfunction leading to
cachexia and death within 10 years on average. TTR is synthesised mainly in the
liver, and liver transplantation seems to have a favourable effect on the course
of neuropathy, but not on cardiac or eye lesions. Oral administration of
tafamidis meglumine, which prevents misfolding and deposition of mutated TTR, is
under evaluation in patients with TTR FAP. In future, patients with FAP might
benefit from gene therapy; however, genetic counselling is recommended for the
prevention of all types of FAP.
PMID- 22094130
TI - Lambert-Eaton myasthenic syndrome: from clinical characteristics to therapeutic
strategies.
AB - Lambert-Eaton myasthenic syndrome (LEMS) is a neuromuscular autoimmune disease
that has served as a model for autoimmunity and tumour immunology. In LEMS, the
characteristic muscle weakness is thought to be caused by pathogenic
autoantibodies directed against voltage-gated calcium channels (VGCC) present on
the presynaptic nerve terminal. Half of patients with LEMS have an associated
tumour, small-cell lung carcinoma (SCLC), which also expresses functional VGCC.
Knowledge of this association led to the discovery of a wide range of
paraneoplastic and non-tumour-related neurological disorders of the peripheral
and central nervous systems. Detailed clinical studies have improved our
diagnostic skills and knowledge of the pathophysiological mechanisms and
association of LEMS with SCLC, and have helped with the development of a protocol
for early tumour detection.
PMID- 22094131
TI - Modelling of Parkinson's disease in mice.
AB - Although progress has been made in the symptomatic treatment of Parkinson's
disease since the discovery of L-dopa in the 1960s, no neuroprotective therapy is
yet available. Absence of adequate animal models of the disease that enable
prediction of clinical success of potential treatments is often cited as a major
impediment to progress and discourages researchers and pharmaceutical companies
from investing resources to develop such treatments. Classic models are still
widely used, but have been disappointing, and development of genetic models has
given new hope. However, can a human disease be faithfully reproduced in a mouse?
In this Review we summarise evidence that some genetic mouse models do reproduce
key features of Parkinson's disease and show that much can be learned from even
imperfect models. The hope is that this information could be used to advance the
search for neuroprotective therapies for Parkinson's disease.
PMID- 22094133
TI - Ambient temperature affects thrombotic potential at rest and following exercise.
AB - INTRODUCTION: During exercise, ischemic risk increases, possibly due to changes
in coagulation and fibrinolytic activity. Previous research suggests ambient
temperature affects resting thrombotic potential, but the effect of heat and cold
on hemostasis during exercise is unknown. The purpose of this study was to assess
changes in coagulation and fibrinolysis during maximal exercise in hot and cold
temperatures, and to compare those responses to exercise under temperate
conditions. MATERIALS & METHODS: Fifteen healthy men completed maximal exercise
tests in hot (30 degrees C), temperate (20 degrees C) and cold (5 degrees - 8
degrees C) temperatures. Blood samples were obtained before and immediately after
exercise and analyzed for concentrations of thrombin-antithrombin III (TAT),
active tissue plasminogen activator (tPA) and plasminogen activator inhibitor-1
(PAI-1). Results were analyzed by ANOVA. RESULTS: A main effect of time was
observed for TAT (temperate=1.71 +/- 0.82 - 2.61 +/- 0.43 ng/ml, hot=1.81 +/-
0.73 - 2.62 +/- 0.67 ng/ml, cold=2.33 +/- 0.65 - 2.89 +/- 0.81 ng/ml, PRE to
POST, respectively) and tPA activity (temperate=0.72 +/- 0.44 - 2.71 +/- 0.55
IU/ml, hot=0.72 +/- 0.38 - 2.64 +/- 0.61 IU/ml, cold=0.86 +/- 0.45 - 2.65 +/-
0.77 IU/ml, PRE to POST, respectively). A trend was observed for the PAI-1
response to exercise (temperate=14.5 +/- 23.7 - 12.3 +/- 20.2I U/ml, hot=15.1 +/-
26.5 - 10.0 +/- 15.1 IU/ml, cold=10.5 +/- 10.4 - 7.9 +/- 9.7 IU/ml, PRE to POST,
respectively, p=0.08). TAT concentrations were significantly higher in cold
compared to temperate and hot conditions. CONCLUSION: Coagulation potential is
elevated during exposure to cold temperatures. These data suggest that risk of an
ischemic event may be elevated in the cold.
PMID- 22094132
TI - The pleiotropic effects of erythropoietin in infection and inflammation.
AB - Erythropoietin (EPO) is a multi-functional cytokine, which exerts erythropoietic
effects but also carries anti-apoptotic and immune-modulatory activities upon
binding to two distinct receptors which are expressed on erythroid, parenchymal
and immune cells, respectively. Whereas EPO ameliorates hemolytic anemia in
malaria or trypanosomiasis and improves the course of autoimmune diseases such as
inflammatory bowel disease or autoimmune encephalomyelitis, it deleteriously
inhibits macrophage functions in Salmonella infection in animal models. Thus, the
specific modulation of extra-erythropoietic EPO activity forms an attractive
therapeutic target in infection and inflammation.
PMID- 22094134
TI - How the immune response to vaccines is created, maintained and measured:
addressing patient questions about vaccination.
AB - This article gives an overview of the immune response to vaccines, including ways
in which it is measured and/or augmented to enhance its effectiveness. A brief
description is given of the immune response, adaptive immunity, immunologic
memory, antibodies, and adjuvants. Given that many young parents and physicians
have never witnessed the ravages of vaccine-preventable diseases, it is hoped
this article will aid the many people involved in the prevention of infectious
disease to understand better the concepts and practicalities of immunization and
vaccine development.
PMID- 22094136
TI - Adult vaccination.
AB - Immunization has effectively decreased the burden of disease on society.
Nevertheless, over 50,000 deaths occur annually in the United States from vaccine
preventable disease, and nearly all of these occur in adults. It is essential for
primary care physicians to be knowledgeable about the unique immunization-related
needs of adults and to be aware of the factors that determine the need for
vaccination.
PMID- 22094135
TI - Routine pediatric immunization, special cases in pediatrics: prematurity, chronic
disease, congenital heart disease: recent advancements/changes in pediatric
vaccines.
AB - Vaccination is a powerful and dynamic weapon in reducing the impact of infectious
diseases in children. The field and schedules are constantly evolving, with
significant changes resulting in new and exciting vaccines almost yearly. Special
cases in pediatrics represent unique challenges and differences in vaccinations.
Health care providers need to be knowledgable about the current vaccines and to
remain up to date with the constant evolution, as well as be aware of the latest
recommendations, warnings, and news about vaccines and their use. This article
updates and discusses current but ever-changing routine pediatric vaccination
programs.
PMID- 22094137
TI - Vaccine-preventable diseases and foreign-born populations.
AB - According to the most recent census data, foreign-born individuals account for
more than 12% of the US population. Because many vaccine-preventable outbreaks in
the United States have been correlated with disease importation, Congress has
mandated vaccinations for numerous immigrant populations. It is essential for
primary care physicians to be knowledgeable about the unique immunization-related
needs of foreign-born individuals to recognize some of the cultural and
linguistic challenges that immigrants have accessing health care and to remember
to use each medical encounter as an opportunity to provide necessary
vaccinations.
PMID- 22094138
TI - Immunization in travel medicine.
AB - The specialty of travel medicine encompasses a broad and dynamic practice. A
thorough pretravel consultation provides an individual with a comprehensive,
evidence-based, contextual discussion of the risk profile for specific itinerary
based, travel-related illness and injury, allowing the traveler to use this
information in conjunction with his or her personal health belief model, risk
tolerance, and experience to decide on an informed management plan. This article
focuses on the pretravel consultation with emphasis on the contribution of
immunization to traveler's health.
PMID- 22094139
TI - Passive immunization.
AB - Passive immunization employs preformed antibodies provided to an individual that
can prevent or treat infectious diseases. There are several situations in which
passive immunization can be used: for persons with congenital or acquired
immunodeficiency, prophylactic administration when there is a likelihood of
exposure to a particular infection, or treatment of a disease state already
acquired by the individual. Passive immunization is limited by short duration
(typically weeks to months), variable response, and adverse reactions. This
article focuses on specific immunoglobulins for preventing or treating infectious
diseases, as these are the most likely scenarios one might encounter in primary
care practice.
PMID- 22094140
TI - The course and management of the 2009 H1N1 pandemic influenza.
AB - The 2009 influenza A (H1N1) pandemic provided a major test to the public health
system in the United States and abroad. Although the virus was rapidly
identified, it took longer than expected to bring an effective vaccine to market.
During the interim the virus demonstrated a predilection for infecting younger
persons, particularly those with medical conditions such as asthma or pregnancy,
placing them at risk. Early treatment with neuraminidase inhibitors was found to
be of some benefit. When the 2009 H1N1 influenza A vaccine became available,
there were distribution issues in matching the number of doses to areas of need.
PMID- 22094141
TI - Cancer vaccines.
AB - The term cancer vaccines encompasses 2 different types of vaccines. Prophylactic
vaccines block infection by viruses that can alter host DNA and result in cancer.
The hepatitis B vaccine and the human papillomavirus vaccines are examples of
prophylactic vaccines that can prevent cancer from developing. More recently,
therapeutic vaccines have been developed and used as adjunctive therapy in
patients who have already been diagnosed with cancer. Therapeutic vaccines
stimulate the host's immune system to recognize cancer cells as foreign and to
attack them. Most of the therapeutic vaccines being studied are used in
combination with other forms of cancer therapy.
PMID- 22094142
TI - Vaccination refusal: ethics, individual rights, and the common good.
AB - Among the obstacles to the success of vaccination programs is the apparent recent
increase in hesitancy and outright resistance to the recommended vaccination
schedule by some parents and patients. This article reviews the spectrum of
patient or parental attitudes that may be described as vaccine refusal, explores
related ethical considerations in the context of the doctor-patient relationship
and public health, and evaluates the possible responses of physicians when
encountering resistance to vaccination recommendations. Health care providers
should view individuals hesitant about or opposed to vaccines not as frustrations
or threats to public health, but as opportunities to educate and inform.
PMID- 22094143
TI - Office immunization.
AB - Nothing has improved disease control as thoroughly as immunizations. In well
immunized populations, there is no flaccid paralysis (polio), almost no
epiglottitis or postmeningitis deafness (Haemophilus influenzae), and little
postviral male sterility (mumps). Immunizations are not perfect; they may cause
side effects, some of which have led to the discontinuation of the vaccine when
side effects have outweighed the vaccine's protective effects. However,
immunization works best not by the protection it provides the individual but by
the protection provided to the population at risk. This article discusses the
currently available vaccines along with recommendations for their use.
PMID- 22094144
TI - Keeping up-to-date with immunization practices.
AB - This article presents sources of information for those in practice,
administration, or education to stay up-to-date in vaccine recommendations. Web
based repositories predominate in the provision of information. Other sources
include newsletters, conferences, journals, expert opinion, community
organizations, and books. The promise of the electronic health record remains
unfulfilled but improving.
PMID- 22094145
TI - Q & A: patient to physician FAQs: answers to common patient questions about
vaccinations.
AB - This article outlines common questions about vaccinations that patients ask their
physicians and provides answers to those questions.
PMID- 22094146
TI - Immunizations as the nucleus of prevention.
PMID- 22094147
TI - Vaccine science: a critical field in the control of diseases. Preface.
PMID- 22094148
TI - [Effect of different propranolol doses on skeletal structural and mechanic
efficiency in an animal model of growth retardation].
AB - OBJECTIVE: To assess in a growth retardation (GR) model the impact of different
propranolol (P) doses on anthropomorphometric and biomechanical variables of the
appendicular skeleton. MATERIALS AND METHODS: Twenty-one day-old male Wistar rats
were divided into the following groups: control (C), C+P3.5 (CP3.5); C+P7 (CP7);
C+P10.5 (CP10.5); C+P14 (CP14); ED, ED+P3.5 (EDP3.5); ED+P7 (EDP7); ED+P10.5
(EDP10.5), and ED+P14 (EDP14). Control animals with/without P were fed a rodent
diet ad libitum. GR rats with/without P were given 80% of the same diet per 100g
body weight for 4 weeks (T4). Propranolol 3.5, 7, 10.5, and 14 mg/kg/day was
intraperitoneally injected 5 days/week for 4 weeks to the CP3.5 and EDP3.5; CP7
and EDP7; CP10.5 and EDP10.5, and CP14 and EDP14 groups respectively. RESULTS: At
T4, energy restriction had negative effects upon overall growth, femur, and its
mechanical competence. Propranolol improved bone rigidity in GR animals at doses
of 7 and 10.5mg/kg/day, with a maximum response at 7 mg/kg/day. CONCLUSIONS:
Propranolol 7 mg/kg/day would be the most effective dose for modeling
incorporation of bone, as shown by the increased skeletal structural and mechanic
efficiency in this animal model of growth retardation. Such effect may result
from maintenance of mechanosensor viability, changes in its sensitivity, the
biomechanical reference point and/or effector response in GR rats.
PMID- 22094149
TI - [Glucocentricity or adipocentricity: a critical view of consensus and clinical
guidelines for the treatment of type 2 diabetes mellitus].
AB - Eighty percent of patients with type 2 diabetes mellitus (T2DM) are overweight or
obese, which in turn is associated with other cardiovascular risk factors and an
increased risk of cancer. Large intervention studies focused on intensive
glycemic control have failed to show a reduction of cardiovascular events in T2DM
patients. The two major concerns in these studies were weight gain and severe
hypoglycemia in the arms of intensive intervention, which could have mitigated
the potential beneficial effect of glycemic control. On the contrary, weight loss
in diabetic patients through changes in lifestyle, drugs and/or surgery
simultaneously improves all cardiovascular risk factors including hyperglycemia.
Bariatric surgery has shown an early resolution of T2DM in a large percentage of
patients and a decrease of diabetes-specific mortality. Despite this, all
consensus and recommendations for the treatment of T2DM focus their decisions on
the glycated hemoglobin value. This article aims to open a debate on the need to
replace the glucose-centered therapeutic strategy for a weight-centered strategy.
PMID- 22094150
TI - Including the third dimension: a spatial analysis of TB cases in Houston Harris
County.
AB - To reach the tuberculosis (TB) elimination goals established by the Institute of
Medicine (IOM) and the Centers for Disease Control and Prevention (CDC), measures
must be taken to speed the currently stagnant TB elimination rate and curtail a
future peak in TB incidence. Increases in TB incidence have historically
coincided with immigration, poverty, and joblessness; all situations that are
currently occurring worldwide. Effective TB elimination strategies will require
the geographical elucidation of areas within the U.S. that have endemic TB, and
systematic surveillance of the locations and location-based risk factors
associated with TB transmission. Surveillance data was used to assess the spatial
distribution of cases, the yearly TB incidence by census tract, and the
statistical significance of case clustering. The analysis revealed that there are
neighborhoods within Houston/Harris County that had a heavy TB burden. The
maximum yearly incidence varied from 245/100,000-754/100,000 and was not
exclusively dependent of the number of cases reported. Geographically weighted
regression identified risk factors associated with the spatial distribution of
cases such as: poverty, age, Black race, and foreign birth. Public transportation
was also associated with the spatial distribution of cases and census tracts
identified as high incidence were found to be irregularly clustered within
communities of varied SES.
PMID- 22094152
TI - Current concepts review: carpal injuries - fractures, ligaments, dislocations.
AB - An overview about current concepts in treating carpal injuries is presented.
These injuries are more commonly seen in young, active individuals after a fall
on an outstretched hand. Conventional radiographs and a thorough examination are
important. The scaphoid is the most affected bone. Scaphoid fractures can be
classified in accordance to OTA, AO, and other classification systems, but mostly
to Herbert. It can be treated non-operatively if undisplaced, however a
percutaneous internal fixation can be discussed to achieve earlier return to work
and shorter time to union, but hazarding the consequences of an operation.
Unstable, proximal pole, or delayed diagnosed scaphoid fractures should be
treated surgically. Nonunion is seen in 5 - 40% of scaphoid fractures depending
mainly on displacement and localization of the fracture. The gold standard in non
osteoarthritic scaphoid nonunion is debridement of the nonunion site, bone
grafting, realignment, stable fixation and rehabilitation. The treatment of
scaphoid-nonunion advanced collapse is more complex. Proximal row carpectomy or
arthrodesis (four-corner or complete wrist) can be mandatory. Other carpal bone
fractures are rare. Perilunate dislocations are also uncommon but can be
disabling. They usually originate in high-energy trauma. The Mayfield stages help
to understand the injury pattern. Open reduction through both volar and dorsal
approaches, repair of the volar capsule as well as volar and dorsal ligaments,
and internal fixation is commonly the standard treatment. However osteoarthritis
and carpal instability are often encountered.
PMID- 22094151
TI - ChiZ levels modulate cell division process in mycobacteria.
AB - We have previously shown that expression of chiZ (Rv2719c), encoding a cell wall
hydrolase, is upregulated in response to DNA damaging agents and exposure to
cephalexin. Furthermore, increased levels of ChiZ lead to decreased viability,
loss of membrane integrity and defects in FtsZ-GFP localization and cell
division. We now show that ChiZ N'-terminal 110 amino acid region, containing the
cell wall hydrolase activity, is sufficient to modulate FtsZ-GFP localization.
Further, we found that FtsZ-GFP rings are stabilized in a chiZ deletion strain
indicating that ChiZ activity regulates FtsZ assembly. Overexpression of ftsZ did
not reverse the reduction in viability caused by overproduction of ChiZ
indicating that ChiZ neither interacts with nor directly influences FtsZ
assembly. Bacterial two-hybrid assays revealed that ChiZ interacts with FtsI and
FtsQ, two other septasomal proteins, but not with FtsZ. Finally, we show that
ChiZ is not required for virulence of Mycobacterium tuberculosis in murine
macrophages and mice. Our data suggest that optimal levels and activity of the
cell wall hydrolase ChiZ are required for regulated cell division in
mycobacteria.
PMID- 22094153
TI - Biomechanical comparison of an interspinous device and a rigid stabilization on
lumbar adjacent segment range of motion.
AB - PURPOSE OF THE STUDY: Decompression surgery with or without fusion is the gold
standard treatment of lumbar spinal stenosis, but adjacent segment degeneration
has been reported as a long-term complication after fusion. This led to the
development of dynamic implants like the interspinous devices. They are supposed
to limit extension and expand the spinal canal at the symptomatic level, but with
reduced effect on the range of motion of the adjacent segments. The aim of the
present study is the evaluation of the biomechanical effects on the range of
motion (ROM) of adjacent lumbar segments after decompression and instrumentation
with an interspinous device compared to a rigid posterior stabilization device.
MATERIALS AND METHODS: Eight fresh frozen human cadaver lumbar spines (L2-L5)
were tested in a spinal testing device with a moment of 7.5 Nm in
flexion/extension, lateral bending and rotation with and without a preload. The
preload was applied as a follower load of 400N along the curvature of the spine.
The range of motion (ROM) of the adjacent segments L2/L3 and L4/L5 was measured
with the intact segment L3/L4, after decompression, consisting of resection of
the interspinous ligament, flavectomy and bilateral medial facetecomy, and
insertion of the Coflex(r) (Paradigm Spine, Wurmlingen) and after instrumentation
with Click X(r) (Synthes, Umkirch) as well. RESULTS: The interspinous and the
rigid device caused a significant increase of ROM at both adjacent segments
during all directions of motion and under follower load, without significant
difference between these devices. The ROM of L2/L3 tends to increase more than
the ROM of L4/L5 after instrumentation without statistical significance.
DISCUSSION: The "dynamic" Coflex device caused a significant increase of ROM at
both adjacent lumbar segments comparable to the increase of ROM after
instrumentation with the rigid Click X device. Other in vitro studies observed
comparable biomechanical effects on the adjacent segments after fusion, but
biomechanical spacer studies concentrated on the "noncompressible" X-Stop(r) and
could not demonstrate a significant adjacent segment effect of this device.
CONCLUSIONS: The hypothesis, that an interspinous device would reduce the stress
on adjacent segments compared to a rigid posterior stabilization device, could
not be demonstrated with this biomechanical in vitro study. Therefore, the
protection of adjacent segments after instrumentation with dynamic devices is
still not completely achieved.
PMID- 22094154
TI - Serum-cobalt levels with metal-on-metal bearings in the cement-free total hip
arthroplasty results covering two years; prospective study.
AB - PURPOSE OF THE STUDY: Total hip arthroplasty increases the use of alternate
bearings to prevent polyethylene wear as the number of younger and more active
patients has drastically risen. We carried out a prospective randomized study, to
assess and compare clinical results and radiological changes, serum-cobalt- and
serum-aluminium-levels when metal-on-metal and ceramic-on-ceramic bearings are
applicated. MATERIAL AND METHODS: After giving informed consent 80 consecutive
patients were included in this prospective randomized study. They were randomly
assigned to receive either a metal-on-metal or a ceramic-on-ceramic bearing in
their total hip replacement. Eligible were patients with a primary coxarthrosis
or an avascular necrosis of the head of femur. Of the 80 patients 54 were females
and 26 males. 42 patients were randomized to a metal-on-metal bearing and 38
patients were randomized to a ceramic-on-ceramic bearing. The average patient-age
was 65,8 years and the mean body mass index was 27,7 at the time of operation.
Surgery was performed through a transgluteal approach in supine position under
general or spinal anaesthesia. A forged conical threaded acetabular component
made of titanium-aluminium-niobium alloy was used in all patients. The metal
inlays and the 28 mm metal heads were made of Co-28Cr-6Mo alloy with a carbon
content of 0,2%. The ceramic inlays and the 28 mm ceramic heads are Al2O3
implants. We used as femoral component a conical rectangular stem of a titanium
aluminium-niobium alloy. Cup and stem werde implanted cementfree. Clinical data
werde obtained at a follow up at a minimum of two years after implantation.
Patients were assessed with the Harris Hip Score and the University of California
at Los Angeles activity scale. 72 of the 80 patients could be explored clinically
and radiologically. RESULTS: The 2 year follow up check showed clinically and
radiologically no difference between the two groups. The median Harris HipScore
was above 90 points and the UCLA score was about 7 points. The medium serum
cobalt level was in the metal-on-metal group about 1.2MUg/L and in the ceramic-on
ceramic group below the detection limit. The medium serum aluminium level showed
values of 1.2 respectively 1.3 MUg/L. The luminescencies in the metal-on-metal
group were increased, but all components of the prosthesis could be regarded as
stable. DISCUSSION: It was the goal of our prospective study to compare clinical
and radiological results of hip arthroplasty in metal-on-metal and ceramic-on
ceramic bearings and assess the serum-cobalt and the serum-aluminium levels. The
socalled "biocorrosion" is still a high risk element for loosening of implants
because of aseptic osteolysis. A higher release of polyethylene and metal
particles is triggered, which leads to a slow but continuous process of
inflammation. Apart from the debris also metal ions in a higher concentration are
released, so that we could detect after some years in patients with artificial
implants a higher level of metal in blood and urine. So far we did not recognize
any carcinogenity or the appearance of renal disorders, or other mutagene effects
in our patients. We could also not observe any fractures in ceramic implants. We
are convinced that short time and intermediate reports are necessary, although
final judgement can only be based on long term data. CONCLUSION: Our prospective
randomized study showed after two years no difference clinically between the two
groups of metal-on-metal and ceramic-on-ceramic bearings with total
endoprostheses of the hip. Although medium serum-cobalt level in the metal-on
metal group with 1,2u/L is a significant higher value, whereas it lies in the
ceramic-on-ceramic group below the detectable limit.
PMID- 22094155
TI - [Cement-within-cement femoral stem reimplantation technique].
AB - PURPOSE OF THE STUDY: The reimplantation of a cemented femoral component at
revision is always a challenge for the orthopaedic surgeon, particularly when the
cement mantle is intact. The aim of this study was to provide evidence that the
recementing of a femoral stem into the original cement mantle can be included in
routine surgical procedures. MATERIAL AND METHODS: A group of 104 patients with
femoral stem revision, followed-up for an average of 50.2 months, were
retrospectively reviewed. The outcome evaluation was focused, in the first place,
on survivorship of the femoral component, acetabular migration, and dislocation
and infection after revision arthroplasty. Hip function evaluation was based on
the Harris hip scores before surgery and at the latest follow-up. On radiographs
Gruen zones were assessed pre-operatively and at the latest follow-up. The
results were statistically evaluated using the Kaplan-Meier survival analysis
(Statistica 8.0). RESULTS: Of the 104 patients, only three (2.9 %) had stem re
revision due to its loosening. Further 16 patients underwent revision for other
post-operative complications. The success rate of reimplantation in our group
including all post-operative complications was 81.7 %. The average Harris scores
were 56 before surgery and 87 at the latest follow-up. Radiolucent lines in Gruen
zones were on average 0.45 mm in width before revision and 0.15 mm at the latest
follow-up. Fourteen patients had second revision within 20 months of the first
and only five were revised after a long period. DISCUSSION: The cement-within
cement exchange of a femoral component is a relatively frequent orthopaedic
procedure. Despite this frequency, however, there have not been enough literature
reports based on large patient groups to give support to its routine use. The aim
of this study was to demonstrate on a large patient group that recementing a
femoral stem into the original intact cement mantle can be considered an
established operative technique. Our results suggest that the list of indications
for this technique, as described by Lieberman and Nelson, can be extended by the
following: broken stem with an intact distal cement mantle, replacement of a
monoblock femoral component due to severe head damage, loosening of the femoral
component without impairment of the distal cement mantle, conversion of a cervico
capital to a total hip replacement and the need of removing all bone cement. No
risk is associated with reimplantation of the original component if there is no
need for a different implant to correct angle or length stability. A new implant
is always used when any part of the femoral component has been damaged
mechanically. If only the proximal stem requires recementing, the use of the
original component is preferred because of absolute cement/stem cohesion. The
number of our patients in which the technique failed was generally in agreement
with the results of other authors. CONCLUSIONS: Utilisation of the original
cement mantle of a femoral component is one of the options at revision
arthroplasty. It requires rational considerations based on the type of surgery,
state of the cement mantle, and type of material used for the femoral stem. The
method is indicated preferably in the hips with an intact cement mantle treated
for loosening of the acetabular component, recurrent dislocation or unequal leg
length in monoblock femoral components. In such situations the removal of well
fixed cement would also involve a considerable loss of bone tissue. The follow-up
outcomes showed that the involvement of the cement-within-cement technique in
routinely used surgical procedures is fully justified.
PMID- 22094156
TI - [Total elbow replacement in patients with rheumatoid arthritis].
AB - PURPOSE OF THE STUDY: Total elbow arthroplasty is associated with a higher
occurrence of complications than is usual for large-joint replacements. Two kinds
of prostheses, unconstrained and semi-constrained, are currently used and each
has its supporters or opponents. In this study the results of the two techniques
used in our patients are evaluated and compared. MATERIAL: Two groups of elbows
in patients with rheumatoid arthritis were evaluated. One comprised 58 elbows
treated by Souter-Strathclyde total elbow arthroplasty (S-S group). The mean age
of the patients at the time of surgery was 53 years (range, 22 to 71) and the
mean follow-up was 9.5 years (range, 0.7 to 16.7). The other group included 63
elbows treated by Coonrad-Morrey elbow arthroplasty (C-M group). The mean age of
the patients at the time of surgery was 54 years (range, 26 to 75) and the mean
follow-up was 4.21 years (range, 0.28 to 7.87). METHODS: The Kaplan-Meier
analysis was used to estimate implant survival in each group. Clinical assessment
included range of motion and pain experience. The Mayo Elbow Performance Score
(MEPS) was used as a clinical rating scale for the whole group. Radiographs were
taken in two basic projections. The elbows with an implant removed or re
implanted were excluded from the evaluation. The patients were studied
prospectively. The results were statistically analysed, with the level of
significance set at 0.05. RESULTS: All patients experienced pain relief after
surgery. In the S-S group, 35 elbows were free from pain (77.7 %), in the C-M
group this was 53 elbows (88.3 %). The range of motion improved after
arthroplasty in both groups. Flexion more than 110 degrees was achieved in twice
as many elbows in the C-M group than in the S-S group. Flexion contracture in the
S-S group did not improve significantly. MEPS values after surgery improved in
both groups, with significantly better results in the C-M group. In the S-S
group, four elbow arthroplasties (6.9 %) showed instability, which was treated by
replacement with a semi-constrained implant in one case and managed by
articulated external fixation of the elbow for 6 weeks in three cases.
Radiolucent lines were detected in five replacements (11.1 %) along the whole
ulnar component width, in 12 (26.6 %) in the olecranon region and in 14 (31.1 %)
in the distal humeral component. In the C-M group no radiolucency was recorded
around the component. In the S-S group, revision surgery was carried out in 13
arthroplasties (22.4 %); of these, 10 (17.2%) were due to aseptic loosening, one
(1.7 %) due to instability and one (1.7%) because of deep infection. In the C-M
group, three elbows required revision (4.8 %), one for periprosthetic fracture
(1.6 %) and two for deep infection (3.2 %). The results of survival analysis did
not differ between the two groups. DISCUSSION: The weak point of Souter
Strathclyde total elbow arthroplasty is the ulnar component whose impairment and
subsequent wear are involved in all cases of aseptic loosening. An insufficient
length of the humeral component is another risk factor. Even natural movements of
the elbow joint produce concentration of stresses on a small surface; this
gradually weakens component fixation in bone and results in aseptic loosening. A
higher risk of failure in Coonrad-Morrey elbow arthroplasty is associated with
polyethylene lining of the hinge mechanism. CONCLUSIONS: The Coonrad-Morrey total
elbow replacement is at present considered the method of choice. It is easier to
perform and provides better functional outcomes than the Souter-Strathclyde elbow
implant.
PMID- 22094157
TI - [Treatment of degenerative spondylolisthesis of the lumbosacral spine by
decompression and dynamic transpedicular stabilisation].
AB - PURPOSE OF THE STUDY: The aim of the study was to present the effect and
advantages of surgical decompression and dynamic transpedicular stabilisation in
patients with degenerative spondylolisthesis of the lumbosacral spine. MATERIAL
AND METHODS: This prospective study involved patients undergoing dynamic
transpedicular stabilisation using Isolock or Isobar TTL (Scient X, France)
systems. Between June 2003 and June 2009, 65 patients were treated and followed
up. They were aged 35 to 75 years (average, 57.17 years), and there were 32 men
and 33 women. Follow-up ranged from 1 to 6 years. Based on indications for
surgery they fell into two groups. Group 1 included 52 patients with grade I or
II degenerative spondylolisthesis or retrolisthesis. Group 2 (control) consisted
of 13 patients with degenerative disc disease or failed back surgery syndrome.
The disorder had always been manifested by combined axial and radicular symptoms.
Treatment included posterior decompression of nerve structures by laminectomy in
conjunction with semi-rigid stabilisation, without fusion. Followup clinical
(VAS, ODI), neurological and radiographic examinations were carried out at 6
weeks, 6 months and 1 to 6 years after surgery. The VAS and ODI results of both
groups were statistically analysed and compared. RESULTS: During follow-up the
ODI values decreased by 54 % (from 58.4 % to 26.8 %) and VAS values by 62 % (from
7.9 to 3.0) as compared with the pre-operative values, and this was statistically
significant. When both groups were compared, the VAS values decreased
significantly (by 5.61) in Group 1, as compared with Group 2 (decrease by 3.54).
DISCUSSION: In the treatment of pseudospondylolisthesis, the semi-rigid
stabilisation with spinal decompression, as presented here, is a convenient
alternative to simple decompression without fixation or to various forms of
instrumented or non-instrumented arthrodesis. A disadvantage associated with
arthrodesis is a higher risk of ASD development; dynamic systems do not allow for
reduction of spondylolisthesis and involve a change in sagittal spinal balance,
and simple decompression carries the risk of slip progression and recurrent
problems. CONCLUSIONS: The authors demonstrated that decompression combined with
semi-rigid stabilisation had a very good effect on the clinical state of patients
with degenerative spondylolisthesis (retrolisthesis) at medium-term follow-up.
The procedure was less effective in other indications. Semi-rigid stabilisation
with Isobar TTL or Isolock systems prevented the progression of anterolisthesis
or retrolisthesis; none of the patients experienced instrumentation failure.
Neither symptomatic restenosis nor disc herniation was found in the instrumented
segment. Semi-rigid stabilisation can, if necessary, be converted to fusion or
disc replacement.
PMID- 22094158
TI - [Minimally invasive cervical elastic laminoplasty - principles and surgical
technique].
AB - PURPOSE OF THE STUDY: To present a new technique of minimally invasive
decompression of the cervical spinal canal using elastic and plastic deformation
of the laminae. MATERIAL AND METHODS: Short midline vertical incision provides an
access to the superior aspect of the target spinous processes. Cranial edge of
the lamina is located by a midline, muscle-sparing interspinous dissection. The
spinous process is cut in mid-sagittal plane using a thin blade of an ultrasonic
bone scalpel down to epidural space. The created sagittal cleavage of the spinous
process is subjected to tension and elastic distraction by a custom-designed
distractor (Aesculap, Germany). Gradual increase of the distraction force leads
to a significant plastic deformation. This reduces the distraction force and
allows for a wider exposure which, in turn, facilitates dural visualization,
resection of the yellow ligament and undercutting of approximately a half of the
adjacent intact laminae. After completion of decompression, the plastic arch
expansion can be maintained either by interposed bone-graft or appropriately
shaped cage secured by a circumferential suture to the spinous process. Soft
tissue resection and permanent expansion of the laminae provide sufficient
decompression of the cervical spinal cord. In multilevel stenosis, the desired
laminae can be expanded using this technique. To achieve the same degree of canal
expansion as that by a classic laminoplasty (C3-7), a skip technique can be
utilized. This involves combining expansive laminoplasty of C4 and C6 with
bilateral undercutting of C5 and partial undercutting of C3 and C7. This can be
achieved through two short vertical incisions. Based on data and experience
gained from testing on 11 cadavers, we applied this method in 7 patients
requiring posterior cervical decompression. RESULTS: The spinous process or
laminae fractured during expansion in the initial 4 patients and the procedure
required conversion to a minimally invasive laminectomy. Further modification of
the distractor and spinous process splitting technique resulted in elimination of
this complication in subsequent cases. In all remaining patients, sufficient
canal expansion was achieved by soft tissue resection and distraction of laminae,
typically reaching 5 - 8 mm. Minimally-invasive muscle-sparing midline approach
provided very positive functional results in terms of postoperative pain and
range of motion allowing for immediate mobilization without external bracing.
CONCLUSION: Minimally invasive, muscle sparing, expansive laminoplasty provides
adequate spinal canal expansion. Use of this technique and its muscle-sparing
nature potentially result in improvement of early functional outcomes when
compared to standard laminoplasty techniques requiring lateral lamina-facet
border exposure. However, the theoretical superiority of this technique will need
to be clinically scrutinized in a well designed surgical outcome study.
PMID- 22094159
TI - [Vertebral body augmentation using a vertebral body stent].
AB - PURPOSE OF THE STUDY: Osteoporotic vertebral fractures can be treated by
minimally invasive percutaneous vertebral augmentation with bone cement using
vertebroplasty or balloon kyphoplasty. Transcutaneous reduction and vertebral
body stenting has been the most recent principle. In contrast to balloon
placement in kyphoplasty, the stent remains in the vertebral body and supports
both the vertebral body and cement filling. In this retrospective study we
present the essential information on the method and our first results. MATERIAL
AND METHODS: The method of vertebral body stent placement was used in 22 patients
treated at 29 levels. Of these, 19 patients with 26 segments followed up for 3
months were evaluated. The group included 12 women and seven men with an average
age of 68.3 years (12 to 83). The patients assessed their subjective complaints
on the visual analogue scale (VAS) before surgery, and then at 1, 6 and 12 weeks
post-operatively. The value of vertebral body reduction was obtained by
measurement of anterior, middle and posterior vertebral body heights (AVBH, MVBH
and PVBH, respectively) and a change in the vertebral body kyphotic angle (VBKA).
RESULTS: Twenty-four vertebrae were treated for osteoporotic fracture and two as
preventive stenting in metastatic breast cancer. In 24 fractures, the stents
extended fully in 20 vertebrae, i.e., 40 stents. These fractures evidently were
not older than 3 months. In four segments, a total of eight stents did not extend
at all or did only slightly. The 20 stabilised vertebral bodies had an average
AVBH value of 19.41 mm pre-operatively and that of 22.775 mm post-operatively,
which is an average increase by 3.365 mm in absolute numbers and by 17.34 %. The
average pre- and post-operative MVBH values were 16.625 mm and 23.065 mm, which
was improvement by 6.41 mm or by 38.56 %. The average PVBH values pre- and post
operatively were 26.835 mm and 28.31 mm, which meant improvement by 1.475 mm or
by 5.5 %. The average correction of the kyphotic angle was 4.58 degrees , i.e.,
35.2 %, from a VBKA of 11.71 degrees pre-operatively to 7.13 degrees post
operatively. There were five cases (22.7 %) of cement leakage, i.e., two of
ventral leakage, one of lateral leakage, one of dorsal leakage through a canal
left in the pedicle by cannula insertion, and a dorsal leakage in metastatic
disease. No neurological findings were recorded. The average VAS scores were as
follows: 81.4 before surgery, 30.6 at 1 week, 16.3 at 6 weeks and 15.4 at 12
weeks after surgery. DISCUSSION: Two experimental and one clinical study on
vertebral body stenting only have been available in the recent relevant
literature. In comparison with their results as well as with those of previous
reports on vertebroplasty and kyphoplasty, our results showed high quality
fracture reduction in all vertebrae. The rapid decrease in pain intensity in our
group is comparable with all available groups treated by any method of vertebral
body augmentation by cement injection; and cement leakage was recorded in even
fewer cases. CONCLUSIONS: The novel method of vertebral body stenting with cement
augmentation provides a rapid pain relief, gives stability to fracture reduction
and has a low rate of cement leakage. However, care must be taken not to indicate
cases with a damaged posterior corticalis of the vertebral body.
PMID- 22094160
TI - [Reduction of radiation exposure by the use of fluoroscopic guidance in
transpedicular instrumentation].
AB - PURPOSE OF THE STUDY: The variability in width, height, and orientation of spinal
pedicles makes pedicle screw insertion a delicate operation. Fluoroscopic
guidance often exposes the patient and especially surgeons to relatively high
doses of ionising radiation. The use of pulsed fluoroscopy is safer, as compared
to continuous fluoroscopy, because of reduced radiation exposure. There are
increasing numbers of literature reports regarding the high doses of radiation to
which orthopaedic and spine surgeons are exposed during surgical procedures.
Spine surgery can be associated with significant radiation exposure to the
surgical staff. The purpose of this prospective study was to compare a computer
assisted navigation with a conventional procedure in order to assess if it is
possible to reduce radiation exposure while preserving the accuracy of screw
placement. MATERIAL AND METHODS: The first "conventional" group consisted of 30
patients, with an average of 1.9 segments of the lumbar spine stabilised. Screws
were inserted transpedicularly under image intensifier guidance. In the second
"navigated" group of 30 patients, stabilisation of 1,8 segments was performed on
average. A CT-free fluoroscopic 2D spinal navigation system (VectorVision, Brain
LAB, Germany) was used intra-operatively. It combines image-guided surgery with C
arm fluoroscopy. For each surgery (navigated or not), the duration of irradiation
was recorded. The irradiation duration was collected from the X-ray image
intensifier. In both groups the screw positioning accuracy was controlled intra
operatively according to Learch's, Acikbas's, and Whitecloud's methods from AP
and lateral images and by meticulous pedicle palpation. RESULTS: The irradiation
duration calculated to one vertebra (two screws) was significantly shorter in the
second (navigated) group (3.4 s) than in the first (conventional) group (14.4 s).
The mean duration of data registration was 6.0 minutes (range, 3 to 11 minutes).
The mean ratio according to Acikbas's calculation method was 43.2 % (range, 32 %
to 74 %) in the first (conventional) group and 44.1 % (range, 35 % to 76 %) in
the second (navigated) group. DISCUSSION: During a conventional surgical
procedure many X-ray images are made to control the accuracy of screw insertion.
If the trajectory is not satisfying, it must be corrected or the pedicle is
drilled again, always with a new fluoroscopic control. The process is repeated
until satisfactory orientation is achieved. This is the explanation for a much
longer duration of irradiation in conventional procedures. Navigation facilitates
the surgical act, enabling us to acquire the right position of all screws, with
only an AP image and a lateral image at the beginning of instrumentation for data
registration; prolongation of the operative time is irrelevant. CONCLUSIONS:
Navigation allows us to keep the same accuracy of pedicle screw placement while
reducing radiation exposure of the surgeons and operating room staff by about one
quarter. In multiple-level vertebral instrumentations this reduction is more
pronounced. In centres where many procedures involving spine instrumentation are
done every day, the "saved" exposure time can amount to hours.
PMID- 22094161
TI - [Experiences and first outcome of posterior ankle arthroscopy].
AB - PURPOSE OF THE STUDY: Many hind foot problems can be treated by endoscopic
procedures. It is essential to identify the best candidates for this treatment
and also to assess the risk associated with these surgeries. Here we present our
first experience with posterior ankle arthroscopy. MATERIAL AND METHODS: Between
September 2007 and September 2009, we performed 21 arthroscopic procedures on the
posterior ankle (one-stage combined anterior and posterior surgery, 11x;
posterior procedure, 7x; two-stage anterior and posterior surgery, 3x). The group
included 21 patients, 18 men and three women, with an average age of 36 years (20
to 64) at the time of surgery. The average follow-up was 27 months (16 to 38).
Both posterolateral and posteromedial approaches were used, with major landmarks
being the processus posterior tali, posterior talofibular ligament and long
flexor tendon of the big toe. Surgery was performed by experienced specialists.
Outcome evaluation was based on the AOFAS and Kitaoka clinical rating scales and
the complication rate. RESULTS: In our group, the diagnoses treated by
arthroscopic surgery were as follows: arthrofibrosis (16x; 76 %), hypertrophic
processus posterior tali (13x; 62 %), and loose intra-articular bodies (8x; 38
%). All patients reported improvement in clinical condition after surgery. The
differences between the mean pre- and post-operative values were 24 points for
the AOFAS score (58 versus 82; p<0.00015) and 23 points for the Kitaoka score (58
versus 81; p < 0.00015). The most effective outcomes were achieved in the
patients operated on for loose bodies or hypertrophic processus posterior tali.
The worst result was found in the patient with advanced arthritis of the ankle
that had to undergo arthrodesis 13 months following arthroscopic treatment. There
were eight complications in five patients (24 %), including excessive bleeding in
five cases, temporary loss of sensation in two, and a damaged tendon of the long
flexor of the big toe. DISCUSSION: Arthroscopic surgery has recently been
advocated by many authors. The majority of them have reported good results and an
acceptable risk of complications. Almost all use either the posteromedial or the
lateral approach, which are anatomically safe procedures. Surgery is followed by
a thorough rehabilitation programme that allows most patients to resume their
normal daily activities in 8 weeks. Our results were not so good because we
mostly treated post-traumatic conditions, with advanced ankle arthritis in
several patients. CONCLUSIONS: Arthroscopy is an effective method for treating
both intra- and extra-articular pathologies in the posterior ankle. The best
effect of treatment was recorded in posterior tibiotalar impingement syndrome or
after extraction of loose intra-articular bodies. In advanced ankle arthritis, on
the other hand, the use of arthroscopic treatment should be carefully considered.
The risk of complications is high and the procedure requires good knowledge of
anatomy and high surgical skills.
PMID- 22094162
TI - [Lumbo-pelvic stabilisation following subtotal sacrectomy due to a giant invasive
schwannoma. Case report].
AB - The case of a rare giant invasive schwannoma of the sacrum is presented. This is
a slow-growing tumour reaching a large size because of non-specific symptoms.
Even though it is benign in nature, it has characteristics markedly differing
from commonly-known neurinomas; it invades and destroys bone, on MR examination
it shows a heterogeneous structure reminiscent of a malignant process and its
growth around the nerve roots makes nerve dissection in the cauda equina very
complicated. At the time of diagnosis a major part of the sacral bone is usually
destroyed and nerve root dissection is very difficult. In this case, because of
removal of most of the tissue, an almost complete sacrectomy was necessary
including the sacral roots with the exception of S1. At the second stage, lumbo
pelvic stabilisation was carried out using the modified Galveston technique.
Since only a very few similar cases have been reported, it is not possible to
predict the post-operative outcome in terms of potential recurrence or residual
progression. In our patient a small residuum was stable even at 37 months after
surgery. She remained incontinent after surgery, but able to walk unaided.
Radiographic examination showed the osteosynthetic material without signs of
loosening and in a good position.
PMID- 22094163
TI - [Solitary distal femoral osteosarcoma in 89-year-old woman. Case report].
AB - The authors describe the case of an 89-year-old patient with a one-month history
of pain in the right knee and a pathological fracture of the distal femur.
Excisional biopsy showed a classical osteogenic sarcoma. The patient died after
six months of palliative surgical and oncological therapy; her primary disease
generalised and progressed with numerous metastases. The authors discuss the
possibilities of histological diagnostics of osteogenic sarcoma and its
differential diagnosis. They draw attention to a possible occurrence of this type
of sarcoma also in elderly patients, and not only in adolescents.
PMID- 22094164
TI - [C-sign and talocalcaneal coalition].
AB - Talocalcaneal coalition is an abnormal bridge between talus and calcaneus,
causing pain and restriction of subtalar movement; its incidence is less than 1
%. The signs and symptoms usually become manifest in the second decade of life
with ossification of the lesion. They involve flat foot, peroneal muscle spasm,
tarsal tunnel syndrome, or valgus tilt of the heel. The sings need not be
noticeable and may appear only as tiredness and vague pain in the hind foot after
exercise or an easily twisted ankle. The authors describe the case of
talocalcaneal coalition in a 20-year-old man, incidentally diagnosed at ankle
fracture. The presence of C-sign led to CT examination and the exact diagnosis.
Radiological demonstration of this abnormality may be difficult because plain X
ray images in both projections may show normal findings. Literature data report,
in addition to C-sign, further secondary signs of talocalcaneal coalition present
on lateral radiographs of the ankle joint. Although these signs do not directly
point to talocalcaneal coalition, they reveal abnormal anatomy or movement of the
joint and may initiate more thorough examination by CT or MRI and the
establishment of an exact diagnosis. Therefore, to know the secondary signs and
pay attention to them is very useful.
PMID- 22094165
TI - Stress fibers stabilize the position of intranuclear DNA through mechanical
connection with the nucleus in vascular smooth muscle cells.
AB - Actin stress fibers (SFs) running across the top surface of the nucleus in
vascular smooth muscle cells were dissected using laser nano-dissection technique
to release its pretension, and the dynamic behavior of SFs, nucleus, and
intranuclear DNA were investigated. SFs shortened across the top surface of the
nuclei after their dissection. The nuclei moved in the direction of SF
retraction, and showed marked local deformation, indicating that SFs firmly
connected to the nuclear surface. Intranuclear DNA located near and around the
dissected SFs disappeared and their distribution changed markedly. These findings
suggest that SFs stabilize the position of intranuclear chromatin through
mechanical connection with the nucleus. The tension of SFs may be transmitted
mechanically to the nucleus inducing conformational changes of intranuclear
chromatin.
PMID- 22094166
TI - Human immunodeficiency virus-1 Rev protein activates hepatitis C virus gene
expression by directly targeting the HCV 5'-untranslated region.
AB - Coinfection with human immunodeficiency virus-1 (HIV-1) and hepatitis C virus
(HCV) accelerates hepatitis C disease progression; however, the mechanism
underlying this effect is unknown. Here, we investigated the role of HIV-1 in HCV
gene expression and the mechanism involved in this regulation. We discovered that
HIV-1 Rev protein activates HCV gene expression. We further revealed that Rev
binds to the internal loop of the HCV 5'-untranslated region (5'-UTR) to
stimulate HCV IRES-mediated translation.
PMID- 22094167
TI - The chromophore structure of the long-lived intermediate of the C128T
channelrhodopsin-2 variant.
AB - The photocycle of the light-activated channel, channelrhodopsin-2 C128T, has been
studied by resonance Raman (RR) spectroscopy focussing on the intermediates P380
and P353 that constitute a side pathway in the recovery of the parent state. The
P353 species displays a UV-vis absorption spectrum with a fine-structure
reminiscent of the reduced-retro form of bacteriorhodopsin, whereas the
respective RR spectra differ substantially. Instead, the RR spectra of the
P380/P353 intermediate couple are closely related to that of a free retinal in
the all-trans configuration. These findings imply that the parent state recovery
via P380/P353 involves the transient hydrolysis and re-formation of the retinal
protein linkage.
PMID- 22094168
TI - Parent, sibling and peer influences on smoking initiation, regular smoking and
nicotine dependence. Results from a genetically informative design.
AB - We sought to determine whether parenting, sibling and peer influences are
associated with offspring ever smoking, regular smoking and nicotine dependence
(ND) after controlling for familial factors. We used a twin-family design and
data from structured diagnostic surveys of 1919 biological offspring (ages 12-32
years), 1107 twin fathers, and 1023 mothers. Offspring were classified into one
of four familial risk groups based on twin fathers' and their co-twins' history
of DSM-III-R nicotine dependence. Multivariate multinomial logistic regression
was used to model familial risk, paternal and maternal parenting behavior and
substance use, sibling substance use, and friend and school peer smoking, alcohol
and drug use. Ever smoking was associated with increasing offspring age, white
race, high maternal pressure to succeed in school, sibling drug use, and friend
smoking, alcohol and drug use. Offspring regular smoking was associated with
these same factors with additional contribution from maternal ND. Offspring ND
was associated with increasing offspring age, male gender, biological parents
divorce, high genetic risk from father and mother ND, maternal problem drinking,
maternal rule inconsistency and sibling drug use, and friend smoking, alcohol and
drug use. Friend smoking had the largest magnitude of association with offspring
smoking. This effect remains after accounting for familial liability and numerous
parent and sibling level effects. Smoking interventions may have greatest impact
by targeting smoking prevention among peer groups in adolescent and young adult
populations.
PMID- 22094169
TI - Do drinking motives mediate the association between sexual assault and problem
drinking?
AB - Sexual assault and problem drinking are both prevalent in college women and are
interrelated. Findings from cross-sectional research indicate that motives to
drink to decrease negative affect (coping motives) or to increase positive affect
(enhancement motives) are partial mediators of the sexual assault-problem
drinking relation. However, no published longitudinal studies have examined these
relations. The current study tests a longitudinal model and examines coping and
enhancement motives as potential mediators. Participants were 131 female
undergraduates who completed baseline measures of self-reported sexual assault
victimization and problem drinking. Coping and enhancement motives were measured
at three-month follow up; problem drinking was measured at six-month follow-up.
Analyses using structural equation modeling (SEM) indicated direct and indirect
paths in the sexual assault-problem drinking relation. Zero-order correlations
indicated significant, positive relations among drinking motives, sexual assault,
and drinking variables. Longitudinally, mediation was evident for coping but not
enhancement motives. Ultimately, findings were most consistent with self
medication hypotheses about the sexual assault - problem drinking relation -
i.e., drinking in order to gain relief from symptoms or problems.
PMID- 22094170
TI - Cocaine and its metabolites in the placenta: a systematic review of the
literature.
AB - It is clear that cocaine and cocaine metabolites are present in the placenta and
may harm the fetus. The results of the experimental manipulation of cocaine
exposure are not reported in the literature in a consistent manner. We conducted
a systematic review of selected articles that demonstrated the analytical
detection of cocaine and its metabolites in the placenta and that were published
from January 1, 1956-June 30, 2011 using Medline, Toxline and Scopus databases.
The collected data confirm that the placenta does not act as a barrier to fetal
exposure, that cocaine quickly crosses the placenta and that one of the essential
roles of the placenta is to metabolize cocaine during pregnancy. Our systematic
review summarized the results showing that cocaine, benzoylecgonine and
norcocaine are stored in the myometrium and the placental membrane and maintain
continuous drug delivery to the amniotic fluid (and to the fetus) probably via
diffusion.
PMID- 22094171
TI - Community and household socioeconomic factors associated with pesticide-using,
small farm household members' health: a multi-level, longitudinal analysis.
AB - BACKGROUND: Longitudinal studies using multi-level models to examine health
inequalities in lower and middle income countries (LMICs) are rare. We explored
socio-economic gradients in health among small farm members participating in a
pesticide-related health and agriculture program in highland Ecuador. METHODS: We
profiled 24 communities through key informant interviews, secondary data (percent
of population with unsatisfied basic needs), and intervention implementation
indicators. Pre (2005) and post (2007) surveys of the primary household and crop
managers included common questions (education, age, and the health outcome -
digit span scaled 0-10)) and pesticide-related practice questions specific to
each. Household assets and pesticide use variables were shared across managers.
We constructed multi-level models predicting 2007 digit span for each manager
type, with staged introduction of predictor variables. RESULTS: 376 household
managers (79% of 2005 participants) and 380 crop managers (76% of 2005
participants) had complete data for analysis. The most important predictor of
2007 digit span was 2005 digit span: beta (Standard Error) of 0.31(0.05) per unit
for household and 0.17(0.04) for crop managers. Household asset score was next
most important: 0.14(0.06) per unit for household and 0.14(0.05) for crop
managers. Community percent with unsatisfied basic needs was associated with
reductions in 2007 digit span: -0.04(0.01) per percent for household and
0.03(0.01) for crop managers. CONCLUSIONS: The important roles of life endowments
and/or persistent neurotoxicity were exemplified by limited change in the health
outcome. Gradients by household assets and community deprivation were indicative
of ongoing, structural inequities within this LMIC.
PMID- 22094172
TI - Virus-induced acute respiratory distress syndrome: epidemiology, management and
outcome.
AB - The acute respiratory distress syndrome (ARDS) can be induced by viral diseases,
with two virus types being responsible: respiratory viruses that cause community
acquired viral pneumonia and Herpesviridae that cause nosocomial viral pneumonia.
Among the respiratory viruses that can affect the lung and cause ARDS, pandemic
viruses head the list, with influenza viruses H5N1 and H1N1 2009 being the most
recently identified. However, other viruses can cause severe ARDS. Notably, a
novel coronavirus was responsible for the severe acute respiratory syndrome
outbreak in 2003. Apart from these pandemic viruses, respiratory viruses are
rarely responsible for viral pneumonia and ARDS. Other than antiviral drug
(mainly oseltamivir) administration and avoidance of corticosteroids, management
of ARDS due to these viruses does not differ from that for ARDS caused by other
diseases. Among Herpesviridae, herpes simplex virus (HSV) and cytomegalovirus
(CMV) are the two viruses causing nosocomial viral pneumonia that can evolve into
ARDS. HSV is frequently recovered in the respiratory tract of mechanically
ventilated patients and can sometimes be responsible for HSV bronchopneumonitis.
Although not evaluated for this indication, acyclovir can be a therapeutic option
for patients with HSV bronchopneumonitis and ARDS. CMV pneumonia can also occur
in mechanically ventilated patients, but is difficult to diagnose because virus
recovery does not necessarily mean viral disease. Ganciclovir can be considered
for patients with ARDS and histology- or cytology-proven CMV pneumonia.
PMID- 22094173
TI - [Publication of MD theses at Brest University Medical School: current situation
and courses of action].
PMID- 22094174
TI - Coordinated multidisciplinary care for ambulatory Huntington's disease patients.
Evaluation of 18 months of implementation.
AB - BACKGROUND: A multidisciplinary outpatient department was set up in the northern
part of the Netherlands because of a local lack of adequate treatment and care
for Huntington's disease (HD)patients. Outreaching multidisciplinary care is a
novel way to optimise functioning and quality of life of HD patients. The vast
majority of patients want to stay home as long as possible. Huntington's disease
is a devastating neurodegenerative disorder leading to complete disability and
long term residence in a specialised institution. In this paper we outline this
new type of treatment and give the results of 1.5 year, we also present the
results of an inquiry on the appreciation of the working method. METHODS: In the
first project half (1.5 yr) 28 patients were seen as had been anticipated. The
multidisciplinary team consisting of an institutional physician, a psychologist,
a speech and language therapist, a social worker, an occupational therapist and a
case manager, assesses the stage of the disease and formulates, coordinates and
implements the individual care and treatment plan in the home situation. After
1.5 year a questionnaire on the appreciation of the department was sent to
patients, caregivers, healthcare professionals, the lay organisation and Dutch
"experts in the field". RESULTS: For the 28 HD patients a total of 242 problems
and actions were verbalised in the care plan, which was accepted by the majority
of the patients. Especially informal caregivers, the lay organisation and the
Dutch "experts in the field" were enthusiastic on the outreaching and
multidisciplinary nature of the department. The verdict over the continuance of
the clinic was positive and unanimous. CONCLUSIONS: We concluded that
coordinating outreaching multidisciplinary care from an outpatient clinic into
the dwelling place of the patient is feasible and appreciated.
PMID- 22094175
TI - [Neurotization of the deep branch of ulnar nerve with anterior interosseous
nerve: anatomic study].
AB - INTRODUCTION: The paralysis of the deep branch of ulnar nerve has major
consequences on the motricity of the hand that will be felt as more handicapping
by the patient than the sensory deficit. The current treatment of ulnar nerve
lesions is suture or nerve graft in first intention and is essentially palliative
in case of failure. We were interested in the anatomy of the anterior
interosseous nerve and the deep branch of ulnar nerve to know if neurotization
using direct suture of these two branches was possible in every case. PATIENTS
AND METHODS: Our anatomical study was done on 15 upper limbs. We dissected the
branch of the anterior interosseous nerve innervating the pronator quadratus
muscle and performed an intraneural dissection of the deep branch of ulnar nerve.
The distance between these two branches was then measured. RESULTS: The mean
distance separating the deep branch of ulnar nerve and the anterior interosseous
nerve is 2.5mm (-10-10). The direct suture of these two branches is possible in
every case of this study. The macroscopic calibre of both branches is similar.
DISCUSSION: Our study shows that the neurotization of the deep branch of ulnar
nerve with the anterior interosseous nerve is possible in every case using direct
suture, the wrist can be immobilised temporarily in flexion to reduce the
tension. Ustun et al. as well as Wang and Zhu showed that their calibre and the
number of axons is similar. We think that neurotization of the deep branch of
ulnar nerve with the anterior interosseous nerve can be realized in first
intention in case of high lesion of ulnar nerve. There is no major functional
loss due to the paralysis of the pronator quadratus muscle. CONCLUSION:
Neurotization of the deep branch of ulnar nerve with the anterior interosseous
nerve has no major functional loss and gives possible recovery of all the
intrinsic muscles innervated by the deep branch of ulnar nerve. This
neurotisation can be considered as an alternative to the usual techniques of
direct suture or nerve graft, in case of lesion above mid forearm.
PMID- 22094176
TI - [A bilateral and symmetric anatomic variation of a lombrical muscle causing a
trigger finger].
AB - Trigger finger is a very common disease. The vast majority of trigger fingers are
primary idiopathic trigger fingers. We report the case of a bilateral and
symmetric triggering of the fifth finger secondary to an anatomical variation of
the lumbrical muscle. This lumbrical muscle arose from the flexor digitorum
superficialis instead of the flexor digitorum profundus as is usual. To our
knowledge, this is the third published case of trigger finger caused by an
anatomical abnormality of a lumbrical muscle.
PMID- 22094177
TI - [Techniques of peripheral nerves repair: evolution of the literature from 1950 to
2010].
AB - The life expectancy of technological innovations is estimated at 50years. In
medicine, these cycles were poorly studied. The purpose of this work was to study
the time-evolution of publications concerning the techniques of repair of the
peripheral nerves (TRPN). We listed the publications concerning TRPN since their
appearance in the medical literature until nowadays, then studied their evolution
in the time. We looked in "Medline((r))" for the publications on TRPN between
1950 and 2010. The direct techniques were published 156 times. The sutures and
the biological glue appear in 1966 and the laser in 1979. The indirect techniques
were published 199 times. The nerve guides appear in 1956, the vein grafts in
1965, the nerve grafts in 1977. In the decade 2000-2010, the literature produced
as many publications on TRPN (181) as in the half-century from 1950 to 2000
(174). Conversely, the global medical literature produced twice as few
publications in the decade 2000-2010 (7000000) than in the half-century 1950-2000
(13000000). Several hypotheses can be evoked: encouragement of scientific
publication, the development of functional surgery, the augmentation of
microsurgery education.
PMID- 22094178
TI - The "peg test": a novel technique for dexterity evaluation in hand immobilized
with a splint.
AB - Manual dexterity tests are difficult to apply in the clinical practice. They are
either destined for specific lesions, or are rather complicated and time
consuming. The aim of this study was to evaluate a new manual dexterity test for
hand immobilized with a splint: the "peg test". Our series included 86 persons
(without any hand trauma or disease) of mean age 42 years of which 77 were right
handed. Materials included five pegs, splints immobilizing the DIP joint, a desk
and a stopwatch. Each subject moved the pegs from a horizontal to a vertical
position using the thumb-ring pinch grip. This was repeated three times without a
splint, with a palmar splint, with a simple dorsal splint and with a dorsal
splint glued to the nail, then once more without a splint. A time score was
recorded for each trial. A point was deduced at each fall of a peg for result
analysis. Results showed that a learning curve affected performance, but that
dominance and age before learning had no effect. After learning performance
diminished with these variables in the following order: without splint, then more
so with a glued splint, even more with a simple dorsal splint and most with a
palmar one. The peg test fulfills metrological requirements of dexterity tests:
test-retest (intra-observer) reliability (reproducibility), inter-observer
reliability (both measured by correlation coefficient), and validity of
instrument of measurement. Simple, rapid and reliable, it is perfectly adapted to
manual dexterity evaluation in hand immobilized with a splint.
PMID- 22094179
TI - Use of a common laboratory glassware detergent improves recovery of
Cryptosporidium parvum and Cyclospora cayetanensis from lettuce, herbs and
raspberries.
AB - The success of any protocol designed to detect parasitic protozoa on produce must
begin with an efficient initial wash step. Cryptosporidium parvum and Cyclospora
cayetanensis oocysts were seeded onto herbs, lettuces and raspberries, eluted
with one of four wash solutions and the recovered number of oocysts determined
via fluorescent microscopy. Recovery rates for fluorescein thiosemicarbazide
labeled C. parvum oocysts seeded onto spinach and raspberries and washed with de
ionized water were 38.4 +/- 10.1% and 34.9 +/- 6.2%, respectively. Two
alternative wash solutions viz. 1M glycine, pH 5.5 and a detachment solution were
tested also using labeled C. parvum seeded spinach and raspberries. No
statistically significant difference was noted in the recovery rates. However, a
wash solution containing 0.1% Alconox, a laboratory glassware detergent, resulted
in a significant improvement in oocyst recovery. 72.6 +/- 6.6% C. parvum oocysts
were recovered from basil when washed with 0.1% Alconox compared to 47.9 +/- 5.8%
using detachment solution. Also, C. cayetanensis oocysts were seeded onto
lettuces, herbs and raspberries and the recovery using de-ionized water were
compared to 0.1% Alconox wash: basil 17.5 +/- 5.0% to 76.1 +/- 14.0%, lollo rosso
lettuce 38.3 +/- 5.5% to 72.5 +/- 8.1%, Tango leaf lettuce 45.9 +/- 5.4% to 71.1
+/- 7.8% and spring mix (mesclun) 39.8 +/- 0.7% to 80.2 +/- 11.3%, respectively.
These results suggest that the use of Alconox in a wash solution significantly
improves recovery resulting in the detection of these parasitic protozoa on high
risk foods.
PMID- 22094180
TI - Diversity of the heterotrophic microbial populations for distinguishing natural
mineral waters.
AB - In the recent years the consumption of natural mineral waters has risen all over
the world, becoming a usual alternative for tap water and other beverages.
Natural mineral waters are complex environments containing a high diversity of
autochthonous microbiota. The identification and characterization of this
indigenous microbiota may help to detect changes occurring in the different steps
of the bottling process and take preventive measures before the bottled water
arrives to the consumer. The aims of this study were to describe the bacterial
heterotrophic populations in natural mineral waters with a cultivation-dependent
method and determine whether their autochthonous microbiota were specific enough
to be clearly distinguished from that of other natural mineral waters with a
phenotypic-based method. For this purpose, water from three independent Spanish
springs was sampled in two seasons (winter and summer) and heterotrophic aerobic
bacterial strains were isolated at two temperatures (22 +/- 2 degrees C and 36 +/
2 degrees C) on R2A agar. Isolates were phenotyped biochemically with Php-48
plates (Bactus AB, Sweden), and the indexes of diversity and similarity between
populations were calculated. The 16S rRNA gene of the most representative strains
of each biochemical cluster was sequenced for its identification. Finally, a ten
fold cross-validation method was assayed for the identification of the origin of
a natural mineral water when phenotyping a set of isolates. High levels of
diversity were found at all sites. One of the sources was found to present less
diversity due to a confirmed contamination with Pseudomonas aeruginosa. The study
of the similarities showed that growing temperatures and seasons caused
significant differences in structures and composition at the sources. In
addition, several bacterial species were isolated and identified, some of them
rarely isolated in natural mineral waters, revealing the complexity and lack of
knowledge of these ecosystems. Consequently, the applied phenotypic methodology
was found to be feasible for differential identification of microbiota in these
environments. Moreover, the experimental model assayed was strong enough to
identify the origin of a natural mineral water. It may thus be possible to
confirm that the evaluation of diversity of heterotrophic aerobic bacterial
populations could be applied to identify bottled water sources.
PMID- 22094181
TI - Virulence factors and genetic variability of Staphylococcus aureus strains
isolated from raw sheep's milk cheese.
AB - Contamination of dairy products with Staphylococcus aureus can be of animal or
human origin. The host pathogen relationship is an important factor determining
genetic polymorphism of the strains and their potential virulence. The aim of the
present study was to carry out an extensive characterization of virulence factors
and to study the genetic variability of S. aureus strains isolated from raw ewe's
milk cheese. A total of 100 S. aureus strains isolated from cheese samples
produced in 10 artisan cheese factories were analyzed for the presence of
enterotoxins (sea-see) and enterotoxins-like genes (seh, sek, sel, sem, seo,
sep), leukocidins, exfoliatins, haemolysins, toxic shock syndrome toxin 1 (TSST
1) and the accessory gene regulator alleles (agr). Strains were also typed using
pulsed-field gel electrophoresis (PFGE). AMOVA analysis carried out on PFGE and
PCR data showed that the major component explaining genetic distance between
strains was the dairy of origin. Of the total isolates 81% had a pathogenicity
profile ascribable to "animal" biovar while 16% could be related to "human"
biovar. The biovar allowed to estimate the most likely origin of the
contamination. Minimum inhibitory concentrations (MICs) of nine antimicrobial
agents and the presence of the corresponding genes coding for antibiotic
resistance was also investigated. 18 strains carrying blaZ gene showed resistance
to ampicillin and penicillin and 6 strains carrying tetM gene were resistant to
tetracycline. The presence of mecA gene and methicillin resistance, typical of
strains of human origin, was never detected. The results obtained in the present
study confirm that S. aureus contamination in artisan cheese production is mainly
of animal origin.
PMID- 22094182
TI - Parental and child fruit consumption in the context of general parenting,
parental education and ethnic background.
AB - This study examines the association between parental and child fruit consumption
in the context of general parenting, parental education and ethnic background. A
cross-sectional study was performed among 1762 parent-child dyads. Mean age of
the children was 8 years. One parent completed a questionnaire to measure their
own and their child's fruit consumption, parenting style, education level and
ethnicity. In mediation and moderation analyses, child fruit consumption was
regressed on parental fruit consumption, parenting style, parental education and
ethnicity. Participating children consumed on average 7.5 pieces of fruit per
week. Fourteen percent met the recommended Dutch norm of two pieces of fruit per
day. Parental and child fruit consumption were positively associated. The
association was more pronounced under higher levels of psychological control and
behavioural control, and among ethnic groups. Additionally, parental education
and child fruit consumption were positively associated. Parental fruit
consumption partially mediated this association. Interventions are needed to
increase child fruit consumption. Interventions should focus on increasing
parental fruit consumption and positive parental modelling, with particular focus
on low-SES families. Additionally, interventions that combine positive modelling
with positive general parenting skills (e.g. increasing behavioural control) may
be more effective than interventions that focus on parental modelling alone.
PMID- 22094183
TI - Pancreatic dual-source dual-energy CT: is it time to discard unenhanced imaging?
AB - AIM: To compare pancreatic virtual unenhanced (VUE) and true unenhanced (TUE)
images and to calculate the potential dose reduction by omitting the conventional
unenhanced scan. MATERIALS AND METHODS: Fifty-one patients with known or
suspected pancreatic masses underwent contrast-enhanced computed-tomography (CT)
during unenhanced and portal venous phases acquired in single-energy (SE) mode,
and pancreatic parenchymal phase acquired in dual-energy (DE) mode. The image
quality (IQ) and image noise (IN) of TUE and VUE images were evaluated. The
effective dose of a combined DE/SE dual-phase protocol was compared with that of
a theoretical standard SE triple-phase protocol. The results were tested for
statistical significance using the Cohen's k, the Wilcoxon's signed rank test,
and the paired t-test; p-values of less than 0.05 were considered significant.
RESULTS: Mean TUE and VUE IQ were 1.5 +/- 0.6 and 1.6 +/- 0.6 (k = 0.891), with
no significant difference (p > 0.05). Mean TUE and VUE IN were 12.3 +/- 1.6 and
10.3 +/- 1.5 HU, and resulted significantly different (p < 0.001). Mean effective
doses for a combined DE/SE dual-phase protocol and SE triple-phase protocol were
8.9 +/- 2.4 mSv (range 4.8-16.2 mSv) and 12.1 +/- 3.1 mSv (range 6.4-21.1 mSv).
The calculated mean dose reduction achievable by omitting the unenhanced scan was
26.7 +/- 9.7% (range 10-46.1; p < 0.001). CONCLUSION: VUE images are feasible for
pancreatic abdominal CT. A combined DE/SE dual-phase protocol permits a
significant reduction in dose exposure to patients.
PMID- 22094184
TI - Extra-pulmonary manifestations of sarcoidosis.
AB - Although, the diagnosis and evaluation of sarcoidosis has traditionally remained
confined to the chest, its multi-system nature has been widely recognized.
Radiological features of pulmonary sarcoidosis are well known but extra-pulmonary
manifestations can produce a plethora of non-specific imaging findings that can
affect subcutaneous tissue, and the neurological, cardiac, gastrointestinal,
urological, liver, spleen, and skeletal systems. In the literature, there are
various case reports and specific system reviews but there are few reviews that
encompass all the extra-pulmonary manifestations. In this paper, we
comprehensively review the imaging features of extra-pulmonary sarcoidosis with
characteristic features as well as atypical presentations. In addition, we
discuss the emerging role of nuclear medicine in sarcoidosis.
PMID- 22094185
TI - Thrombotic thrombocytopenic purpura triggered by influenza A virus subtype H1N1
infection.
AB - We report a case of acquired thrombotic thrombocytopenic purpura (TTP) triggered
by influenza A virus subtype H1N1 infection. In December 2010, a 27-year-old man
was diagnosed with pneumonia from influenza A virus infection at a local clinic.
Two days later, he was admitted to our hospital because of a worsening condition
and unexplained thrombocytopenia. The influenza A virus subtype H1N1 real-time
polymerase chain reaction test was positive. The patient had typical clinical
signs of TTP, thus he was diagnosed with TTP. He received treatment with
oseltamivir and high dose methylprednisolone. Plasma exchange therapy was started
daily at a 1.5 dose volume of his whole blood. After the 17th plasma exchange
therapy, the symptoms and abnormal laboratory results had recovered to normal.
Finally, 47 days after admission, the patient had recovered completely and was
discharged. This case suggests that the influenza A virus subtype H1N1 infection
may have triggered acquired TTP.
PMID- 22094186
TI - Myostatin inhibits brown adipocyte differentiation via regulation of Smad3
mediated beta-catenin stabilization.
AB - Brown adipocytes play an important role in regulating energy balance, and there
is a good correlation between obesity and the amount of brown adipose tissue.
Although the molecular mechanism of white adipocyte differentiation has been well
characterized, brown adipogenesis has not been studied extensively. Moreover,
extracellular factors that regulate brown adipogenic differentiation are not
fully understood. Here, we assessed the mechanism of the regulatory action of
myostatin in brown adipogenic differentiation using primary brown preadipocytes.
Our results clearly showed that differentiation of brown adipocytes was
significantly inhibited by myostatin treatment. In addition, myostatin-induced
suppression of brown adipogenesis was observed during the early phase of
differentiation. Myostatin induced the phosphorylation of Smad3, which led to
increased beta-catenin stabilization. These effects were blocked by treatment
with a Smad3 inhibitor. Expression of brown adipocyte-related genes, such as PPAR
gamma, UCP-1, PGC-1alpha, and PRDM16, were dramatically down-regulated by
treatment with myostatin, and further down-regulated by co-treatment with a beta
catenin activator. Taken together, the present study demonstrated that myostatin
is a potent negative regulator of brown adipogenic differentiation by modulation
of Smad3-induced beta-catenin stabilization. Our findings suggest that myostatin
could be used as an extracellular factor in the control of brown adipocyte
differentiation.
PMID- 22094187
TI - A comparison of glycine- and ivermectin-mediated conformational changes in the
glycine receptor ligand-binding domain.
AB - Glycine receptor chloride channels are Cys-loop receptor proteins that isomerize
between a low affinity closed state and a high affinity ion-conducting state.
There is currently much interest in understanding the mechanisms that link
affinity changes with conductance changes. This essentially involves an agonist
binding in the glycine receptor ligand-binding site initiating local
conformational changes that propagate in a wave towards the channel gate.
However, it has proved difficult to convincingly distinguish those agonist
induced domain movements that are critical for triggering activation from those
that are simply local deformations to accommodate ligands in the site. We
employed voltage-clamp fluorometry to compare conformational changes in the
ligand-binding site in response to activation by glycine, which binds locally,
and ivermectin, which binds in the transmembrane domain. We reasoned that
ivermectin-mediated activation should initiate a conformational wave that
propagates from the pore-lining domain towards the ligand-binding domain,
eliciting conformational changes in those extracellular domains that are
allosterically linked to the gate. We found that ivermectin indeed elicited
conformational changes in ligand-binding domain loops C, D and F. This implies
that conformational changes in these domains are important for activation. This
result also provides a mechanism to explain how ivermectin potentiates glycine
induced channel activation.
PMID- 22094188
TI - Four-and-a-half-LIM protein 1 down-regulates estrogen receptor alpha activity
through repression of AKT phosphorylation in human breast cancer cell.
AB - The Four-and-a-half LIM protein 1 (FHL-1) is a member of LIM-only protein family.
It plays important roles in proliferation and apoptosis regulation of certain
hepatocellular carcinoma and human breast cancer. Estrogen receptor alpha
(ERalpha) is involved in the development and progression of human breast cancer.
IGF/PI3K/AKT signaling pathway also plays certain roles in the program and
regulation of human breast cancer and ovary cancer. However, the biological
function of FHL-1 in regulation of human breast cancer and in the cross-talk of
estrogen and IGF signaling pathway remains largely unknown. In this paper, we
show that FHL-1 protein interacts with ERalpha and AKT. FHL-1 represses the
translation and transcription of estrogen receptor-responsive genes through down
regulating AKT activation. In addition, FHL-1 is not only an ERalpha-interacting
co-regulation protein, but also decreases the phosphorylation of AKT and ERalpha.
Depression of endogenous FHL-1 by FHL-1 targeted small interfering RNA enhances
the expression of these proteins and phosphorylation of AKT and ERalpha. These
data suggest that FHL-1 may regulate ER signaling function through regulation of
AKT activation besides the physical and functional interaction with ERalpha. By
establishing a linkage role of the FHL-1 between the estrogen ERalpha signaling
pathway and IGF/PI3K/AKT signaling pathway, this study identifies that FHL-1
proteins may be a useful molecular target for human breast cancer therapy.
PMID- 22094189
TI - Chronic widespread pain or fibromyalgia? That is the question.
PMID- 22094190
TI - Chronic widespread pain: from peripheral to central evolution.
AB - Chronic pain can be classified as localised, regional or widespread, and its high
prevalence in the general population seems to increase with age. The majority of
cases present with musculoskeletal pain. The conditions associated with chronic
widespread pain (CWP) are highly burdensome as their characteristic symptoms may
include multifocal pain, fatigue, insomnia, memory difficulties and a higher rate
of concomitant mood disorders. After many years of debate, it is still unclear
whether CWP (central sensitisation) is an entirely explainable neurotransmitter
related process or is partially or totally due to individual cognitive
experiences and evaluations. The two models (neurochemical and biopsychosocial)
also affect our ability to find therapeutic answers.
PMID- 22094191
TI - Central pain mechanisms in chronic pain states--maybe it is all in their head.
AB - Mechanisms underlying chronic pain differ from those underlying acute pain. In
chronic pain states, central nervous system (CNS) factors appear to play
particularly prominent roles. In the absence of anatomical causes of persistent
pain, medical sub-specialities have historically applied wide-ranging labels
(e.g., fibromyalgia (FM), irritable bowel syndrome, interstitial cystitis and
somatisation) for what now is emerging as a single common set of CNS processes.
The hallmark of these 'centrally driven' pain conditions is a diffuse
hyperalgesic state identifiable using experimental sensory testing, and
corroborated by functional neuroimaging. The characteristic symptoms of these
central pain conditions include multifocal pain, fatigue, insomnia, memory
difficulties and a higher rate of co-morbid mood disorders. In contrast to acute
and peripheral pain states that are responsive to non-steroidal anti-inflammatory
drugs (NSAIDs) and opioids, central pain conditions respond best to CNS
neuromodulating agents, such as serotonin-norepinephrine reuptake inhibitors
(SNRIs) and anticonvulsants.
PMID- 22094193
TI - Chronic widespread pain in the spectrum of rheumatological diseases.
AB - Fibromyalgia (FM) is a rheumatic disease characterised by musculoskeletal pain,
chronic diffuse tension and/or stiffness in joints and muscles, fatigue, sleep
and emotional disturbances and pressure pain sensitivity in at least 11 of 18
tender points. There are currently no instrumental tests or specific diagnostic
markers, and the characteristic symptoms of the disease overlap those of many
other conditions classified in a different manner. FM is often associated with
other diseases that act as confounding and aggravating factors, including primary
Sjogren's syndrome (pSS), systemic lupus erythematosus (SLE) and rheumatoid
arthritis (RA). It has been reported to coexist in 25% of patients with RA, 30%
of patients with SLE and 50% of patients with pSS. Its clinical diagnosis is not
easy because FM-like symptoms are frequent, and its differential diagnosis with
other causes of chronic diffuse pain is difficult. This is even more true in the
case of patients who are positive for antinuclear antibodies (ANAs) because,
although sensitive, ANA positivity is not specific for SLE or connective tissue
diseases, and can also be found in 10-15% of FM patients. Furthermore, composite
indices such as the disease activity score (DAS)-28, which are widely used in
everyday clinical practice and clinical trials, may be insufficient to evaluate
real inflammatory activity in patients with RA associated with chronic pain
syndromes such as FM, and can lead to an overestimate of disease activity in RA.
The presence of diffuse pain in autoimmune rheumatic diseases compromises the
quality of life of the patients, although overall mortality is not increased. A
misdiagnosis harms the patients and the community. Rheumatologists should be able
to recognise and distinguish primary and secondary FM, and need new guidelines
and instruments to avoid making mistakes.
PMID- 22094192
TI - Peripheral pain mechanisms in chronic widespread pain.
AB - Clinical symptoms of chronic widespread pain (CWP) conditions like fibromyalgia
(FM), include pain, stiffness, subjective weakness, and muscle fatigue. Muscle
pain in CWP is usually described as fluctuating and often associated with local
or generalised tenderness (hyperalgesia and/or allodynia). This tenderness
related to muscle pain depends on increased peripheral and/or central nervous
system responsiveness to peripheral stimuli, which can be either noxious
(hyperalgesia) or non-noxious (allodynia). For example, patients with muscle
hyperalgesia will rate painful muscle stimuli higher than normal controls,
whereas patients with allodynia may perceive light touch as painful, something
that a 'normal' individual will never describe as painful. The pathogenesis of
such peripheral and/or central nervous system changes in CWP is unclear, but
peripheral soft tissue changes have been implicated. Indirect evidence from
interventions that attenuate tonic peripheral nociceptive impulses in patients
with CWP syndromes like FM suggest that overall FM pain is dependent on
peripheral input. More importantly, allodynia and hyperalgesia can be improved or
abolished by removal of peripheral impulse input. Another potential mechanism for
CWP pain is central disinhibition. However, this pain mechanism also depends on
tonic impulse input, even if only inadequately inhibited. Thus, a promising
approach to understanding CWP is to determine whether abnormal activity of
receptors in deep tissues is fundamental to the development and maintenance of
this chronic pain disorder. CONCLUSIONS: Most CWP patients present with focal
tissue abnormalities including myofascial trigger points, ligamentous trigger
points or osteoarthritis of the joints and spine. While not predictive for the
development of CWP, these changes nevertheless represent important pain
generators that may initiate or perpetuate chronic pain. Local chemical
mediators, including lactic acid, adenosine triphosphate (ATP) and cytokines,
seem to play an important role in sensitising deep tissue nociceptors of CWP
patients. Thus, the combination of peripheral impulse input and increased central
pain sensitivity may be responsible for widespread chronic pain disorders
including FM.
PMID- 22094194
TI - Epidemiology of chronic musculoskeletal pain.
AB - Chronic widespread pain (CWP) due to musculoskeletal conditions is a major social
burden. The case definition of CWP relies on pain, chronicity (more than 3
months' duration) and widespread distribution (both sides of the body including
the axial skeleton). Health Interview Survey (HIS) and Health Examination Survey
(HES) have been used to assess the frequency of CWP in the general population.
Unfortunately, both techniques are poorly standardised, which hampers comparison
of data pertaining to different populations and countries. A major effort in the
European Union (EU) is the development of common strategies to investigate
musculoskeletal pain through HIS. Issues to be addressed include: (1) loss of
daily life functions due to pain; (2) pain duration and rhythm; (3) affected
sites; and (4) type of pain. We know that musculoskeletal pain affects between
13.5% and 47% of the general population, with CWP prevalence varying between
11.4% and 24%. Risk factors for musculoskeletal pain include age, gender,
smoking, low education, low physical activity, poor social interaction, low
family income, depression, anxiety and sleep disorders, as well as performing
manual work, being a recent immigrant, non-Caucasian and widowed, separated or
divorced.
PMID- 22094195
TI - Myofascial pain syndromes and their evaluation.
AB - This article reviews the available published knowledge about the diagnosis,
pathophysiology and treatment of myofascial pain syndromes from trigger points.
Furthermore, epidemiologic data and clinical characteristics of these syndromes
are described, including a detailed account of sensory changes that occur at both
painful and nonpainful sites and their utility for diagnosis and differential
diagnosis; the identification/diagnostic criteria available so far are critically
reviewed. The key role played by myofascial trigger points as activating factors
of pain symptoms in other algogenic conditions--headache, fibromyalgia and
visceral disease--is also addressed. Current hypotheses on the pathophysiology of
myofascial pain syndromes are presented, including mechanisms of formation and
persistence of primary and secondary trigger points as well as mechanisms beyond
referred pain and hyperalgesia from trigger points. Conventional and most recent
therapeutic options for these syndromes are described, and their validity is
discussed on the basis of results from clinical controlled studies.
PMID- 22094196
TI - Trauma and work-related pain syndromes: risk factors, clinical picture, insurance
and law interventions.
AB - In the past decade, major progress has been made in our understanding of
fibromyalgia syndrome (FMS). Various triggers have been implicated as
contributing to symptom development in FMS when genetically susceptible
individuals are challenged. A substantial amount of data points towards the
association between trauma and chronic widespread pain/fibromyalgia syndrome
(CWP/FMS). There is abundant data suggesting that the pathogenesis of CWP/FMS
might be related to cervical spine injury. Furthermore, several persistent local
pain conditions may progress to CWP/FMS. These conditions may share a common
pathogenic mechanism namely, central sensitisation. Physical trauma and emotional
trauma co-exist in many traumatic events and may interact in the pathogenesis of
CWP/FMS.
PMID- 22094197
TI - Translational musculoskeletal pain research.
AB - Diagnosis and management of musculoskeletal pain is a major clinical challenge.
Fundamental knowledge of nociception from deep somatic structures and related
mechanisms of sensitisation have been characterised in animals but the
translation into clinical sciences is still lacking. Development and refinement
of mechanism-based quantitative sensory testing in healthy volunteers and pain
patients have provided new opportunities to assess pain and hyperalgesic
reactions. The current technologies can provide information about, for example,
peripheral and central sensitisation, descending pain control, central
integration and structure specific sensitisation. Such a mechanistic approach can
be used for differentiated diagnosis and for target validating new and existing
analgesics. Mechanistic pain assessment of new compounds under development
provides opportunities for target validation in proof-of-concept studies, which
generate information to be used for selecting the most optimal patients for later
clinical trials. New safe and efficient compounds are highly needed in the area
of musculoskeletal pain management.
PMID- 22094198
TI - Fibromyalgia and sleep.
AB - Chronic pain in fibromyalgia patients, together with its associated symptoms and
co-morbidities, is now considered a result of dysregulated mechanisms in the
central nervous system (CNS). As fibromyalgia patients often report sleep
problems, the physiological processes that normally regulate sleep may be
disturbed and overlap with other CNS dysfunctions. Although the mechanisms
potentially linking chronic widespread pain, sleep alterations and mood disorders
have not yet been proven, polysomnography findings in patients with fibromyalgia
and non-restorative sleep and their relationships with clinical symptoms support
the hypothesis of a conceptual common mechanism called 'central sensitisation'.
Food and Drug Administration (FDA)-approved drugs for the treatment of
fibromyalgia may benefit sleep, but their label does not include the treatment of
fibromyalgia-associated sleep disorders. Non-pharmacological therapies (including
a thorough sleep assessment) can be considered in the first-line treatment of non
restorative sleep, although they have not yet been fully investigated in patients
with fibromyalgia. Both pharmacological and non-pharmacological treatments should
be used cautiously in patients with fibromyalgia, bearing in mind the patients'
underlying disorders and the potential interactions of the therapies.
PMID- 22094199
TI - Fatigue and fibromyalgia syndrome: clinical and neurophysiologic pattern.
AB - The concept of 'fatigue' is strictly related to parameters of the setting in
which fatigue is measured. Therefore, it is mandatory to provide a definition of
fatigue and the modalities of its use. This is of pivotal importance with regard
to the fibromyalgia (FM) syndrome, where fatigue is the most invalidating symptom
and where, paradoxically, no clear and widely accepted definition of fatigue is
available in the literature as yet. In the clinical setting, fatigue can be
measured by different methods of various complexity. The simplest technique to
assess fatigue involves the use of a visual analogue scale (VAS); however, a
number of scales with differing levels of complexity are available for use. It
is, often, difficult to detach the term 'fatigue' from tiredness and task
failure, which correspond to two completely distinguished forms of fatigue: one
with central origin (tiredness) and another which is localised within the muscle
(peripheral muscle fatigue). The former is related to changes in motor-unit
recruitment strategies, whereas the latter is attributed to changes in membrane
properties. To extensively assess fatigue and, partially, to avoid confusion
among the types of fatigue described above, a number of laboratory tests have
been developed; among these, there are multichannel surface electromyography
(EMG) recordings. Using this type of an approach, it is possible the estimation
of motor unit location within the muscle, the decomposition of the surface EMG
(sEMG) interference signal into constituent trains of motor unit action
potentials (MUAPs) and the analysis of single unit properties.
PMID- 22094200
TI - Clinimetric evaluations of patients with chronic widespread pain.
AB - Assessing chronic widespread pain (CWP) and its impact on physical, emotional and
social function requires multidimensional qualitative and health-related quality
of life (HRQL) instruments. The recommendations of the Initiative on Methods,
Measurement, and Pain Assessment in Clinical Trials (IMMPACT) concerning outcome
measurements for pain trials are useful for making routine assessments, the most
significant of which include pain, fatigue, disturbed sleep, physical
functioning, emotional functioning, patient global ratings of satisfaction and
HRQL. However, despite the growing spread of instruments and theoretical
publications devoted to measuring the various aspects of chronic pain, there is
little widespread agreement, and no unified approach has yet been devised. There
is therefore still considerable scope for the development of consensus around a
core set of measures and response criteria, as well as for the development and
refinement of the related instruments, standardised assessor training, the cross
cultural adaptation of health status questionnaires, electronic data capture and
the introduction of valid, reliable and responsive standardised quantitative
measurements into routine clinical care. Clinicians need to be aware of the
psychometric properties of the instruments used, including their levels of
imprecision and minimum clinically important differences (those indicating a
meaningful change in clinical status). This article reviews a selection of the
instruments used to assess CWP patients, including validated newly developed and
well-established screening instruments, and discusses their advantages and
limitations.
PMID- 22094201
TI - Neuroimaging of fibromyalgia.
AB - The primary symptom of fibromyalgia is widespread pain. This symptom is
accompanied by secondary symptoms, such as cognitive difficulties and sensitivity
to painful stimulation, and by numerous co-morbidities. The first neuroimaging
studies addressed the primary symptom by examining differences between patients
and controls using single-photon-emission-computed tomography (SPECT). Subsequent
studies focussed on the secondary symptom of increased sensitivity to painful
stimulation. Functional MRI (fMRI) studies using the blood-oxygen-level-dependent
(BOLD) method to assess brain activation demonstrated augmented sensitivity to
painful pressure and the association of this augmentation with variables such as
depression and catastrophising. These studies have also assessed brain processes
associated with cognitive dysfunction. Neuroimaging studies of fibromyalgia have
now come full circle, using new techniques to provide information about
differences that may relate to underlying mechanisms and the primary symptom of
widespread pain. Using a wide array of techniques, these studies have found
differences in opioid receptor binding, concentration of metabolites associated
with neural processing in pain-related regions and differences in functional
brain networks and in regional brain volume and in white-matter tracks. This
array of neuroimaging techniques continues to provide increasing information
about supraspinal mechanisms associated with fibromyalgia that will aid in
diagnosis, including identification of diagnostic subgroups, the development of
new efficacious treatments that address both causes and symptoms and the matching
of patients to treatments.
PMID- 22094202
TI - Pharmacotherapy of fibromyalgia.
AB - There have been substantial advances in the pharmacotherapy of fibromyalgia (FM),
which have occurred in parallel with advances in our understanding of the
pathophysiology of FM in the past several years. Consortia of researchers have
established a core set of symptom domains, which constitute the condition of FM,
including pain, fatigue, sleep and mood disturbance and cognitive dysfunction,
which significantly impact a patient's overall well-being and ability to
function. Outcome measures, which assess these domains, both singly and in
composite format, are showing increasing reliability to discriminate between the
treatment and placebo arms in clinical trials of emerging therapies, which are
targeting the pathophysiologic mechanisms of FM. Several different medications,
including the serotonin and norepinephrine reuptake inhibitors, duloxetine and
milnacipran, and the alpha(2)delta modulator, pregabalin, have been approved by
the Food and Drug Administration (FDA) for the management of FM, based on their
clinically meaningful and durable effect on pain in monotherapy trials. They also
have been shown to beneficially effect patient global impression of change,
function and variably other key symptom domains, such as fatigue, sleep
disturbance and cognition. Other medicines, although they have not gone through
the formal approval process, have also shown efficacy in multiple domains of FM.
Although combination trials have generally not yet been performed, the combined
use of medicines with complementary mechanisms of action is rational, and, when
done with appropriate caution, will likely be shown to be safe and well
tolerated. Adjunctive therapy with medicines targeted at specific symptom
domains, such as sleep, as well as treatments aimed at common co-morbid
conditions, such as irritable bowel syndrome, or disease states, such as
rheumatoid arthritis, should be considered for the purpose of reducing the
patient's overall symptom burden. Current therapies neither completely treat FM
symptoms nor benefit all patients; thus, further research on new therapies with
different mechanisms and side-effect profiles is needed.
PMID- 22094203
TI - Non-pharmacological treatment of chronic widespread musculoskeletal pain.
AB - Individuals with chronic widespread pain, including those with fibromyalgia, pose
a particular challenge to treatment, given the modest effectiveness of
pharmacological agents for this condition. The growing consensus indicates that
the best approach to treatment involves the combination of pharmacological and
non-pharmacological interventions. Several non-pharmacological interventions,
particularly exercise and cognitive-behavioural therapy (CBT), have garnered good
evidence of effectiveness as stand-alone, adjunctive treatments for patients with
chronic pain. In this article, evidenced-based, non-pharmacological management
techniques for chronic widespread pain are described by using two broad
categories, exercise and CBT. The evidence for decreasing pain, improving
functioning and changing secondary symptoms is highlighted. Lastly, the methods
by which exercise and CBT can be combined for a multi-component approach, which
is consistent with the current evidence-based guidelines of several American and
European medical societies, are addressed.
PMID- 22094204
TI - Multidisciplinary approach to fibromyalgia: what is the teaching?
AB - Fibromyalgia (FM) is a rheumatic disease that is characterised by chronic
musculoskeletal pain, stiffness, fatigue, sleep and mood disorder. FM patients
demonstrate dysregulation of pain neurotransmitter function and experience a
neurohormone-mediated association with sleep irregularities. There are currently
no instrumental tests or specific diagnostic markers for FM, and many of the
existing indicators are only significant for research purposes. Anti-depressants,
non-steroidal anti-inflammatory drugs (NSAIDS), opioids, sedatives, muscle
relaxants and antiepileptics have all been used to treat FM with varying results.
It has been shown that interdisciplinary treatment programmes lead to greater
improvements in subjective pain and function than monotherapies. Physical
exercise and multimodal cognitive behavioural therapy are the most widely
accepted and beneficial forms of non-pharmacological therapy.
PMID- 22094205
TI - Future perspectives in generalised musculoskeletal pain syndromes.
AB - This article describes contemporary controversies regarding two categories of
soft-tissue pain (STP)--chronic widespread pain and fibromyalgia syndrome. The
tone is more editorial than review didactic. It draws upon history to explain
current trends that project possible future implications. It begins with an
orientation to classification of STP pain conditions and contrasts two ways to
make the fibromyalgia diagnosis. Epidemiological data will be placed in
perspective. The article ends with the voice of a non-physician patient advocate.
STP classification divides relevant painful conditions into three subgroups,
depending on the extent of body involvement (localised, regional and
generalised). Fibromyalgia syndrome, in the generalised STP category, is
distinguished from other types of chronic widespread pain by virtue of its
greater severity. During the past 20 years, the diagnosis of fibromyalgia was
based on a research classification (1990 American College of Rheumatology
Research Classification Criteria (1990 ACR RCC)) that requires a history of
chronic widespread pain and the examination finding of widespread mechanical
allodynia. A new approach (2010 American College of Rheumatology Fibromyalgia
Diagnostic Criteria (2010 ACR FDC)), validated for clinical use, still requires a
history of chronic widespread pain, but the examination is replaced by a
historical assessment of co-morbid symptom severity. The populations identified
by the two criteria are similar but not identical. Misuse of the new criteria
could expand fibromyalgia from 2 to 10% of the general population. Avoidance of
the term 'fibromyalgia' could return it to the obscurity from whence it came,
leaving a much larger problem in its stead.
PMID- 22094206
TI - A qualitative examination of body image threats using Social Self-Preservation
Theory.
AB - This study sought to identify and describe comfortable and uncomfortable body
related situations of young women, as well as describe their responses to such
situations and strategies they used to cope with body-related threats, using
Social Self-Preservation Theory (SSPT) as a guiding framework. Interviews were
conducted with college women (N=23). A theoretical thematic analysis approach was
taken to identify, code, and report themes. In general, participants found it
difficult to identify specific comfortable situations and responses in those
situations. Comfortable situations were characterized by the presence of
supportive others and feelings of general calmness and lowered body awareness or
self-presentational concerns. Findings regarding uncomfortable body-related
situations indicated that the context (e.g., presence of others, body exposure),
responses (e.g., embarrassment, inadequacy, awareness of others' evaluations,
faster heart rate), and coping strategies (e.g., avoidance, concealing behaviors)
described by participants were consistent with SSPT.
PMID- 22094207
TI - Dopamine control of LH release in the tench (Tinca tinca).
AB - Tench (Tinca tinca) is apparently the only known member of the Cyprinidae in
which ovulation is stimulated following administration of a low dose of GnRH
analogue (GnRHa) without a dopamine inhibitor. This study evaluated LH release
effectiveness of the most commonly used GnRHa and clarified whether LH secretion
followed by ovulation is subject to inhibitory dopaminergic control in tench.
Fish were intraperitoneally injected with three types of GnRHa, GnRHa with
dopamine inhibitor metoclopramide (combined treatment), or the dopamine inhibitor
metoclopramide alone. LH concentrations at five sampling times (0, 6, 12, 24, and
33 h) together with ovulation success and fecundity index were recorded. The
combined treatment triggered an almost immediate LH release peak with a gradual
decline, and resulted in a high ovulation rate. In contrast to the combined
treatment, an application of GnRHa alone at 10 MUg kg(-1) induced gradual
increase of LH concentrations with peaks close to ovulation time, and with high
ovulation success. Significant differences in LH concentrations at 6 and 12h and
no differences in ovulation success were found between the combined and the GnRHa
alone treatments. Metoclopramide alone induced a small increase in LH with no
ovulation. The study presents clear evidence of dopaminergic control of LH
release in tench, with a high ovulation rate obtained after application of GnRHa
alone or in combination with dopamine inhibitor.
PMID- 22094208
TI - The role of growth hormone in growth, lipid homeostasis, energy utilization and
partitioning in rainbow trout: interactions with leptin, ghrelin and insulin-like
growth factor I.
AB - The growth-promoting effects of in vivo growth hormone (GH) treatment were
studied in relation to size and lipid content of energy stores including liver,
mesentery, white muscle and belly flap in rainbow trout. In order to elucidate
endocrine interactions and links to regulation of growth, adiposity and energy
metabolism, plasma levels of GH, insulin-like growth factor I (IGF-I), leptin
(Lep) and ghrelin, were assessed and correlated to growth and energy status. In
addition tissue-specific expression of lepa1 mRNA was examined. Juvenile rainbow
trout were implanted with sustained-release bovine GH implants and terminally sub
sampled at 1, 3 and 6 weeks. GH increased specific growth rate, reduced condition
factor (CF) and increased feed conversion efficiency resulting in a
redistribution of energy stores. Thus, GH decreased mesenteric (MSI) and liver
somatic index (LSI). Lipid content of the belly flap increased following GH
treatment while liver and muscle lipid content decreased. Independent of GH
substantial growth was accompanied by an increase in muscle lipids and a decrease
in belly flap lipids. The data suggest that the belly flap may function as an
energy buffering tissue during episodes of feeding and lean growth. Liver and
muscle lipids were positively correlated to body weight, indicating a size
dependent change in adiposity. Hepatic lepa1 mRNA positively correlated to MSI
and CF and its expression decreased following GH treatment, coinciding with
decreased hepatic lipid content. Plasma Lep was positively correlated to MSI and
belly flap lipid content, suggesting that Lep may communicate energy status. In
summary, the observed GH tissue-specific effects on lipid metabolism in rainbow
trout highlight the complex physiology of the energy reserves and their endocrine
control.
PMID- 22094209
TI - Is the new ICRP eye dose limit justified?
PMID- 22094210
TI - Hpn protein as a mediator between Helicobacter pylori infection and Alzheimer's
disease in sub-populations worldwide.
PMID- 22094212
TI - [A drink can harm the baby in the womb].
PMID- 22094211
TI - Potential role of TNF alpha blockers in delaying the progression of hepato-renal
syndrome.
AB - Hepatorenal syndrome occurs in patients with advanced liver cirrhosis and is
associated with functional renal impairment and poor prognosis. These patients
present a challenge to physicians and management strategies. Although various
pharmacological therapies are available, large randomized controlled trials are
required to determine which treatment modality is most effective to improve
survival rates along with its dose and duration of treatment. The overproduction
of tumor necrosis factor-alpha (TNF-alpha) has been postulated to play a role in
progression of this disease, being associated with hyperdynamic circulation and
inflammatory process. Moreover, increasing the levels of TNF-alpha during the
course of hospitalization is suggested to be associated with increased mortality.
Hence the role of TNF-alpha inhibitors, such as pentoxifylline, may prove to be
become a new clinical pathway. Various randomized clinical trials have
demonstrated a significant reduction in mortality in patients receiving
pentoxifylline, in comparison to the control group. This survival rate benefit
was associated with decrease in the incidence of hepatorenal syndrome in these
patients. We propose a double-blinded trial to test the hypothesis, in which
patients with advanced liver disease without evidence of hepatorenal syndrome are
recruited. The control group receives the standard management while the case
group receives standard management along with TNF-alpha blockers. If the cases
develop hepatorenal syndrome at a significant duration later in comparison to the
control group, our hypothesis will be confirmed. Our methodology is limited due
to costs of TNF-alpha inhibitors in a developing country setup, hence it has only
been proposed as a hypothesis. If the recommended trial confirms our hypothesis,
we might see favorable outcomes and improved survival rates in patients with
decompensated liver diseases.
PMID- 22094213
TI - [Reduced synthesis of coenzyme Q10 may cause statin related myopathy].
AB - Statin treatment can cause muscular side effects. It has been suggested that the
mechanism is reduced synthesis of coenzyme Q10 (coQ10) and a subsequent
dysfunction of the respiratory chain. A literature review resulted in
insufficient evidence supporting this theory. It is uncertain whether
intramuscular levels of coQ10 and mitochondrial function are affected by statin
therapy and whether the symptoms of myopathy can be alleviated with coQ10
supplementation. Nevertheless, due to a favourable safety profile, coQ10 can be
tested in patients whose muscular symptoms cannot be managed otherwise.
PMID- 22094214
TI - [Audience response-systems enhance the learning environment].
AB - The purpose of this article was to describe the possibilities gained by using an
electronic voting system (EVS), the existing evidence to determine whether an EVS
affects learning proceeds, challenges in EVS and perspectives for EVS in Danish
medical training. Audience Response-Systems (ARS) seem suited to enhance
participants' activity and attention. The technology as such holds the potential
to enhance learning outcome, but changes in the pedagogical method are needed.
More studies examining the use of new wireless, mobile voting systems are
required.
PMID- 22094215
TI - [Lichen sclerosus--a neglected disease].
AB - We present a small review of lichen sclerosus in women and an update on the
newest knowledge, e.g. on calcineurin inhibitors as a choice of treatment. The
goal is to put more focus on the disease in Denmark because it is so often
diagnosed only with a great delay. We further emphasize the importance of follow
up on these patients, due to the risk of cancer, the great influence of the
disease on quality of life and the risk of structural changes in the vulva
region.
PMID- 22094216
TI - [Primary autoimmune neutropenia in children].
AB - Primary autoimmune neutropenia (AIN) is characterised by severe neutropenia and
the presence of granulocyte reactive autoantibodies. The pathogenesis of the
disease remains unknown and the disease is believed to be underdiagnosed. AIN
occurs predominantly at the age of 6-24 months and despite severe neutropenia the
symptomatology covers mainly benign infections. Serious bacterial infections
might occur and some patients thus may benefit from treatment with prophylactic
antibiotics or granulocyte growth factor. Spontaneous remission usually occurs
within 30 months from the time of diagnosis.
PMID- 22094217
TI - [Autoimmune neutropenia in children].
AB - Case reports of three children with autoimmune neutropenia are presented. The
children had a number of infections, which required antibiotic treatment, mostly
respiratory tract infections, but one child had a septic coxitis. During a follow
up period of 1-3 years, only one patient showed complete remission after 15
months of neutropenia. One child was treated with granulocyte colony-stimulating
factor without effect, whereas two children received prophylactic antibiotics
with clinical benefit. In view of the frequent infections and benefit of
prophylactic measures, children with neutropenia or an inappropriately low
neutrophil count during bacterial infections should be evaluated for autoimmune
neutropenia.
PMID- 22094218
TI - [Early stage of Acanthamoeba keratitis].
AB - We present a case of early detected Acanthamoeba keratitis. The patient was a 31
year-old female with keratitis who was referred to our clinic. Upon arrival the
patient presented with subepithelial corneal infiltrates and radiating neuritis.
In vivo confocal microscopy showed Acanthamoeba cysts and treatment was
initiated. Polymerase chain reaction analysis later confirmed the diagnosis and
after three months symptoms had abated and vision was 1.0 (6/6). We confirm that
an early diagnosis af Acanthamoeba keratitis is pivotal.
PMID- 22094219
TI - [Treatment of acute myeloid leukaemia without blood transfusion in a member of
Jehovah's Witnesses].
AB - We present a case in which a young woman was diagnosed with acute myeloid
leukaemia, FAB-classification type M2. As a member of Jehovah's Witnesses she
refused to accept any treatment involving blood transfusions. A modified
induction and consolidation chemotherapy regimen was applied, tailored to reduce
prolonged myelosuppression. Despite severe anaemia, she survived to achieve
complete remission. She is currently under treatment-free observation after two
courses of consolidation treatment.
PMID- 22094220
TI - [Traumatic duodenal perforation in a 16 month-old girl].
AB - Duodenal injury following a blunt abdominal trauma is a rare condition. It poses
a diagnostic challenge since symptoms are often subtle in the beginning and the
trauma may initially remain undisclosed. We present a case of a 16 month-old
girl, who was admitted to hospital due to critical illness after a tumble the day
before. An explorative laparotomy revealed a perforation of the duodenum. This
case demonstrates the importance of thoroughly evaluating anamnesis information
and emphasises the need of considering a variety of potential diagnoses when
assessing the critically ill child.
PMID- 22094221
TI - [Picture of the month: Verotoxin-producing Escherichia coli].
PMID- 22094222
TI - Hyperpolarization-activated cation current contributes to spontaneous network
activity in developing neocortical cultures.
AB - The mechanisms underlying spontaneous burst activity (SBA), appearing in networks
of embryonic cortical neurons at the end of the first week in vitro, remain
elusive. Here we investigated the contribution of the hyperpolarization-activated
cation current (I(h)) to SBA in cortical cultures of GAD67-GFP mice. I(h) current
could be detected in GFP-positive large GABAergic interneurons (L-INs) and
glutamatergic principal neurons (PNs) as early as DIV 5. Under current-clamp
conditions, blockers of I(h) current, ZD7288 and Cs+, abolished the voltage sag
and rebound depolarization. ZD7288 induced a hyperpolarization concomitant with
an increase in the membrane input resistance in L-INs and PNs. Voltage-clamp
recordings revealed I(h) as slowly activating inward current with a reversal
potential close to -50 mV and a mid-activation point around -90 mV. Both, ZD7288
(1-10 MUM) and Cs+ (1-2 mM) reduced SBA, spontaneous activity-driven Ca2+
transients, and frequency as well as amplitude of miniature GABAergic
postsynaptic currents. Immunocytochemistry and Western blot demonstrated that
HCN1 and HCN2 were the prevalent isoforms of HCN channels expressed in L-INs and
PNs. These results suggest an important contribution of HCN channels to the
maintenance of SBA in embryonic cortical cultures.
PMID- 22094223
TI - Superoxide dismutase 1 encoding mutations linked to ALS adopts a spectrum of
misfolded states.
AB - BACKGROUND: Mutations in superoxide dismutase 1 (SOD1), which are one cause of
familial amyotrophic lateral sclerosis (fALS), induce misfolding and aggregation
of the protein. Misfolding can be detected by the binding of antibodies raised
against peptide epitopes that are normally buried in the native conformation,
shifts in solubility in non-ionic detergents, and the formation of macromolecular
inclusions. In the present study, we investigate the relationship between
detergent-insoluble and sedimentable forms of mutant SOD1, forms of mutant SOD1
with aberrantly accessible epitopes, and mutant protein in inclusions with the
goal of defining the spectrum of misfolded states that mutant SOD1 can adopt.
RESULTS: Using combined approaches in cultured cell models, we demonstrate that a
substantial fraction of mutant SOD1 adopts a non-native conformation that remains
soluble and freely mobile. We also show that mutant SOD1 can produce multimeric
assemblies of which some are insoluble in detergent and large enough to sediment
by ultracentrifugation and some are large enough to detect visually. Three
conformationally restricted antibodies were found to be useful in discriminating
mal-folded forms of mutant SOD1. An antibody termed C4F6 displays properties
consistent with recognition of soluble, freely mobile, mal-folded mutant SOD1. An
antibody termed SEDI, which recognizes C-terminal residues, detects larger
inclusion structures as well as soluble misfolded entities. An antibody termed
hSOD1, which recognizes aa 24-36, detects an epitope shared by soluble non
natively folded WT and mutant SOD1. This epitope becomes inaccessible in
aggregates of mutant SOD1. CONCLUSIONS: Our studies demonstrate how different
methods of detecting misfolding and aggregation of mutant SOD1 reveal different
forms of aberrantly folded protein. Immunological and biochemical methods can be
used in combination to detect soluble and insoluble misfolded forms of mutant
SOD1. Our findings support the view that mutant SOD1 can adopt multiple misfolded
conformations with the potential that different structural variants mediate
different aspects of fALS.
PMID- 22094224
TI - Resveratrol enhances the therapeutic effect of temozolomide against malignant
glioma in vitro and in vivo by inhibiting autophagy.
AB - The alkylating agent temozolomide (TMZ) is the major chemotherapeutic drug used
clinically in the treatment of malignant gliomas. This study investigated the
mechanism behind TMZ-induced cell death and the possibility that resveratrol
might increase TMZ efficacy. TMZ induced both apoptotic cell death and
cytoprotective autophagy through a reactive oxygen species (ROS) burst and
extracellular signal-regulated kinase (ERK) activation, which was suppressed by
resveratrol, resulting in a decrease in autophagy and an increase in apoptosis,
suggesting that the ROS/ERK pathway plays a crucial role in the fate of cells
after TMZ treatment. Isobolographic analysis indicated that the combination of
TMZ and resveratrol has a synergistic effect. Moreover, an in vivo mouse
xenograft study also showed that coadministration of resveratrol and TMZ reduced
tumor volumes by suppressing ROS/ERK-mediated autophagy and subsequently inducing
apoptosis. Taken together, our data indicate that TMZ-induced ROS/ERK-mediated
autophagy protected glioma cells from apoptosis, and the combination of
resveratrol with TMZ could improve the efficacy of chemotherapy for brain tumors.
PMID- 22094226
TI - A quantitative method for evaluating inferior glenohumeral joint stiffness using
ultrasonography.
AB - Subluxation of the affected shoulder in post-stroke patients is associated with
nerve disorders and muscle fatigue. Clinicians must be able to accurately and
reliably measure inferior glenohumeral subluxation in patients to provide
appropriate treatment. However, quantitative methods for evaluating the laxity
and stiffness of the glenohumeral joint (GHJ) are still being developed. The aim
of this study was to develop a new protocol for evaluating the laxity and
stiffness of the inferior GHJ using ultrasonography under optimal testing
conditions and to investigate changes in the GHJ from a commercially available
humerus brace and shoulder brace. Multistage inferior displacement forces were
applied to create a glide between the most cephalad point on the visible
anterosuperior surface of the humeral head and coracoid process in seven healthy
volunteers. GHJ stiffness was defined as the slope of the linear regression line
between the glides and different testing loads. The testing conditions were
defined by different test loading mechanisms (n=2), shoulder constraining
conditions (n=2), and loading modes (n=4). The optimal testing condition was
defined as the condition with the least residual variance of measured laxity to
the calculated stiffness under different testing loads. A paired t-test was used
to compare the laxity and stiffness of the inferior GHJ using different braces.
No significant difference was identified between the two test loading mechanisms
(t=0.218, p=0.831) and two shoulder constraining conditions (t=-0.235, p=0.818).
We concluded that ultrasonographic laxity measurements performed using a pulley
set loading mechanism was as reliable as direct loading. Additionally,
constraining the unloaded shoulder was proposed due to the lower mean residual
variance value. Moreover, pulling the elbow downward with loading on the upper
arm was suggested, as pulling the elbow downward with the elbow flexed and
loading on the forearm may overestimate stiffness and pain in the inferior GHJ at
the loading point due to friction between the wide belt and skin. Furthermore,
subjects wearing a humerus brace with a belt, which creates the effect of lifting
the humerus toward the acromion, had greater GHJ stiffness compared to subjects
wearing a shoulder brace without a belt to lift the humerus under the proposed
testing conditions. This study provides experimental evidence that shoulder
braces may reduce GHJ laxity under an external load, implying that the use of a
humeral brace can prevent subluxation in post-stroke patients. The resulting
optimal testing conditions for measuring the laxity and stiffness of the GHJ is
to constrain the unloaded shoulder and bend the loaded arm at the elbow with
loading on the upper arm using a pulley system.
PMID- 22094227
TI - Telling the story of XX sex reversal in the goat: highlighting the sex-crossroad
in domestic mammals.
AB - The conditions for sex reversal in vertebrate species have been studied
extensively and have highlighted numerous key factors involved in sex
differentiation. We review here the history of the development of knowledge,
referring to one example of complete female-to-male XX sex reversal associated
with a polled phenotype in the goat. The results and hypotheses concerning this
polled intersex syndrome (PIS) are then presented, firstly with respect to the
transcriptional regulatory effects of the PIS mutation, and secondly regarding
the role of the main ovarian-differentiating factor in this PIS locus, the FOXL2
gene.
PMID- 22094225
TI - Rotenone activates phagocyte NADPH oxidase by binding to its membrane subunit
gp91phox.
AB - Rotenone, a widely used pesticide, reproduces parkinsonism in rodents and
associates with increased risk for Parkinson disease. We previously reported that
rotenone increased superoxide production by stimulating the microglial phagocyte
NADPH oxidase (PHOX). This study identified a novel mechanism by which rotenone
activates PHOX. Ligand-binding assay revealed that rotenone directly bound to
membrane gp91(phox), the catalytic subunit of PHOX; such binding was inhibited by
diphenyleneiodonium, a PHOX inhibitor with a binding site on gp91(phox).
Functional studies showed that both membrane and cytosolic subunits were required
for rotenone-induced superoxide production in cell-free systems, intact
phagocytes, and COS7 cells transfected with membrane subunits
(gp91(phox)/p22(phox)) and cytosolic subunits (p67(phox) and p47(phox)). Rotenone
elicited extracellular superoxide release in p47(phox)-deficient macrophages
suggested that rotenone enabled activation of PHOX through a p47(phox)
independent mechanism. Increased membrane translocation of p67(phox), elevated
binding of p67(phox) to rotenone-treated membrane fractions, and
coimmunoprecipitation of p67(phox) and gp91(phox) in rotenone-treated wild-type
and p47(phox)-deficient macrophages indicated that p67(phox) played a critical
role in rotenone-induced PHOX activation via its direct interaction with
gp91(phox). Rac1, a Rho-like small GTPase, enhanced p67(phox)-gp91(phox)
interaction; Rac1 inhibition decreased rotenone-elicited superoxide release. In
conclusion, rotenone directly interacted with gp91(phox); such an interaction
triggered membrane translocation of p67(phox), leading to PHOX activation and
superoxide production.
PMID- 22094228
TI - Interventions for coordination of walking following stroke: systematic review.
AB - Impairments in gait coordination may be a factor in falls and mobility
limitations after stroke. Therefore, rehabilitation targeting gait coordination
may be an effective way to improve walking post-stroke. This review sought to
examine current treatments that target impairments of gait coordination, the
theoretical basis on which they are derived and the effects of such
interventions. Few high quality RCTs with a low risk of bias specifically
targeting and measuring restoration of coordinated gait were found. Consequently,
we took a pragmatic approach to describing and quantifying the available evidence
and included non-randomised study designs and limited the influence of
heterogeneity in experimental design and control comparators by restricting meta
analyses to pre- and post-test comparisons of experimental interventions only.
Results show that physiotherapy interventions significantly improved gait
function and coordination. Interventions involving repetitive task-specific
practice and/or auditory cueing appeared to be the most promising approaches to
restore gait coordination. The fact that overall improvements in gait
coordination coincided with increased walking speed lends support to the
hypothesis that targeting gait coordination gait may be a way of improving
overall walking ability post-stroke. However, establishing the mechanism for
improved locomotor control requires a better understanding of the nature of both
neuroplasticity and coordination deficits in functional tasks after stroke.
Future research requires the measurement of impairment, activity and cortical
activation in an effort to establish the mechanism by which functional gains are
achieved.
PMID- 22094229
TI - Influence of BDNF variants on diagnosis and response to treatment in patients
with major depression, bipolar disorder and schizophrenia.
AB - AIM: The present study aimed to explore whether some single nucleotide
polymorphisms (SNPs) within the BDNF gene could be associated with major
depression (MD), bipolar disorder (BD) and schizophrenia, and whether they could
predict clinical outcomes in Korean inpatients treated with antidepressants, mood
stabilizers and antipsychotics, respectively. METHODS: One hundred and forty-five
patients with MD, 132 patients with BD, 221 patients with schizophrenia and 170
psychiatrically healthy controls were genotyped for 5 BDNF SNPs (rs2030324,
rs7103873, rs10835210, rs11030101 and rs6265). Baseline and final clinical
measures--including the Montgomery-Asberg Depression Rating Scale, Young Mania
Rating Scale and Positive and Negative Symptoms Scale for patients with MD, BD
and schizophrenia, respectively--were recorded. RESULTS: rs10835210 CA and
rs11030101 AT genotype frequencies were higher in BD and schizophrenia patients
than in healthy and MD subjects. No significant association was found with
clinical improvement. DISCUSSION: Our findings provide evidence of an association
between BDNF and BD and schizophrenia. However, taking into account the several
limitations of our study, including the moderately small sample size, further
research is needed to draw more definitive conclusions.
PMID- 22094230
TI - The effects of huwentoxin-I on the voltage-gated sodium channels of rat
hippocampal and cockroach dorsal unpaired median neurons.
AB - Huwentoxin-I (HWTX-I) is a 33-residue peptide isolated from the venom of
Ornithoctonus huwena and could inhibit TTX-sensitive voltage-gated sodium
channels and N-type calcium channels in mammalian dorsal root ganglion (DRG)
neurons. However, the effects of HWTX-I on mammalian central neuronal and insect
sodium channel subtypes remain unknown. In this study, we found that HWTX-I
potently inhibited sodium channels in rat hippocampal and cockroach dorsal
unpaired median (DUM) neurons with the IC(50) values of 66.1+/-5.2 and 4.80+/
0.58nM, respectively. Taken together with our previous work on DRG neurons
(IC(50)~55nM), the order of sodium channel sensitivity to HWTX-I inhibition was
insect central DUM?mammalian peripheral>mammalian central neurons. HWTX-I
exhibited no effect on the steady-state activation and inactivation of sodium
channels in rat hippocampal and cockroach DUM neurons.
PMID- 22094231
TI - Expression patterns of the immunosuppressive proteins PD-1/CD279 and PD-L1/CD274
at different stages of cutaneous T-cell lymphoma/mycosis fungoides.
PMID- 22094232
TI - Levamisole-induced vasculopathy: a report of 2 cases and a novel histopathologic
finding.
AB - Although cocaine-induced pseudovasculitis and urticarial vasculitis have been
reported in the past, levamisole-induced vasculopathy with ecchymosis and
necrosis, termed here LIVEN, has only recently been described in association with
cocaine use. Levamisole, a veterinary antihelminthic agent used previously as an
immunomodulating agent, is present as a "cutting agent" in approximately two
thirds of the cocaine currently entering the United States. Levamisole is
believed to potentiate the effects of cocaine and may also be used as a
"signature" for tracing its market distribution. Herein, we report 2 cases of
LIVEN in patients with histories of chronic cocaine use. In both the cases, a
temporal association with neutropenia preceding the eruption was noted. A novel
histopathologic finding present only in the second case was the presence of
extensive interstitial and perivascular neovascularization. Our 2 cases reaffirm
that neutropenia may precede the cutaneous eruption of LIVEN. Case 2 extends the
spectrum of histopathologic findings to include the novel phenomenon of
neovascularization-hitherto unreported in this entity.
PMID- 22094233
TI - Lack of correlation between immunohistochemical expression of CKIT and KIT
mutations in atypical acral nevi.
AB - BACKGROUND: Given the correlation between KIT mutations and immunohistochemical
expression of CKIT in acral melanoma, our aim was to confirm the utility of CKIT
detection as a screening tool for KIT genotyping in atypical acral nevi and to
ascertain the frequency of KIT mutations in the same. DESIGN: Immunohistochemical
staining for CKIT was performed and staining criteria were the following:
negative = <10%, 1 = 11%-49%, and 2 = >50% of cells. Intensity grading was as
follows: negative = 0, weak = 1, moderate = 2, and strong = 3. Genomic
amplification was performed on KIT exons commonly mutated in acral melanomas (11,
13, and 17) from atypical acral nevi (23) ranging in severity from mild (9),
moderate (10), and severe (4). The control group included acral nevi without
atypia (19). For purposes of statistical analyses, cases with 11% or more
staining of cells were compared with negative cases and cases with a staining
intensity of 1 or higher were compared with the negatives. RESULTS:
Immunohistochemical analyses revealed the following: positive staining with an
intensity 1 or more in 18 of 22 (82%) of cases with atypia (5 mild; 9 moderate
and 4 severe) and in 13 of 17 (76%) nevi without atypia with no statistically
significant differences between both groups. Genomic analyses of exon regions
revealed no abnormalities in "hotspots" frequently associated with point
mutations in acral melanomas. CONCLUSIONS: Our findings indicate a lack of
correlation between immunohistochemical expression of CKIT and KIT mutations in
atypical acral nevi. Atypical acral nevi do not exhibit genetic alterations in
KIT associated with acral melanomas.
PMID- 22094234
TI - Immunogenicity and reactogenicity of a monovalent inactivated 2009 influenza A
vaccine in adolescents: with special reference to pre-existing antibody.
AB - OBJECTIVE: To evaluate the immunogenicity and reactogenicity of a monovalent 2009
pandemic influenza vaccine in Japanese adolescents. STUDY DESIGN: A total of 111
junior high school and high school students aged 13 to 18 years participated.
Subjects received two doses of a monovalent inactivated unadjuvanted 2009
influenza A vaccine. Immunogenicity of the vaccine was evaluated according to the
international criteria. We also asked subjects to report adverse reactions.
RESULTS: After the first dose of vaccine, the seroprotection rate was 91% (95%
CI, 85%-96%), the seroconversion rate was 78% (70%-86%), and the geometric mean
titer ratio was 11.9 in all subjects. Antibody titers achieved did not differ
significantly after the first and the second doses. With multivariate analysis,
an independent negative effect of a prevaccination titer of >=1:40 on >=4 fold
antibody increase was indicated. No serious adverse reaction was reported.
CONCLUSION: The monovalent pandemic vaccine generally was safe, and a single dose
of the vaccine given to adolescents induced sufficient immunity. Pre-existing
antibody showed substantial effect on antibody response. The effect of pre
existing titer should be considered when evaluating the immunogenicity of
influenza vaccines, especially in studies conducted during pandemic waves.
PMID- 22094235
TI - The modern staged repair of classic bladder exstrophy: a detailed postoperative
management strategy for primary bladder closure.
AB - PURPOSE: Successful primary bladder closure of classic bladder exstrophy sets the
stage for development of adequate bladder capacity and eventual voided
continence. The postoperative pathway following primary bladder closure at the
authors' institution is quantitatively and qualitatively detailed. MATERIALS AND
METHODS: Sixty-five consecutive newborns (47 male) undergoing primary closure of
classic bladder exstrophy were identified and data were extracted relating to
immediate postoperative care. Overall success rate was utilized to validate the
pathway. RESULTS: Mean age at time of primary closure was 4.6 days and mean
hospital stay was 35.8 days. Osteotomy was performed in 19 patients (mean age 8.8
days), and was not required in 39 infants (mean age 2.9 days). All patients were
immobilized for 4 weeks. Tunneled epidural analgesia was employed in 61/65
patients. All patients had ureteral catheters and a suprapubic tube, along with a
comprehensive antibiotic regimen. Postoperative total parenteral nutrition was
commonly administered, and enteral feedings started around day 4.6. Our success
rate of primary closure was 95.4%. CONCLUSIONS: A detailed and regimented plan
for bladder drainage, immobilization, pain control, nutrition, antimicrobial
prophylaxis, and adequate healing time is a cornerstone for the postoperative
management of the primary closure of bladder exstrophy.
PMID- 22094236
TI - Multidetector computed tomography detection of a very unusual double coronary
fistula from left anterior descending coronary artery to pulmonary artery and
descending aorta.
PMID- 22094237
TI - The role of echocardiography in quantification of left ventricular dyssynchrony:
state of the art and future directions.
AB - This article discusses how echocardiography can be applied to quantify
dyssynchrony in patients who are evaluated for cardiac resynchronization therapy
(CRT). A number of echocardiographic indices have been proposed as markers of
success of CRT. However, when tested against QRS width in prospective clinical
trials, none of the echocardiographic indices are proven to give clinical
benefit. One important message in this review is that future studies should focus
on approaches which can differentiate between electrical and non-electrical
aetiologies of dyssynchrony, since only electrical dyssynchrony is likely to
respond to CRT. Just measuring velocity indices does not identify the aetiology.
Myocardial strain appears more promising, but one should be aware that timing of
peak systolic strain is determined not only by electrical conduction. It is
proposed to use onset septal shortening during pre-ejection for timing of
earliest left ventricular (LV) electrical activation. One should take into
account potential ischaemia, scarring, and other structural changes as
contributors to dyssynchrony. As a method to identify electrical dyssynchrony,
the authors propose to use time of active force generation as defined by LV
pressure-strain loops. A non-invasive method to measure segmental pressure-strain
loops is also proposed as a means to quantify the impact of dyssynchrony on
distribution of myocardial work. Furthermore, it is important to be aware that LV
dyssynchrony may have a combination of aetiologies, not all amenable for CRT.
PMID- 22094238
TI - Non-invasive imaging in acute chest pain syndromes.
AB - This review has the purpose of informing the reader about the current use of
imaging techniques in patients presenting with acute chest pain to the emergency
department. We will focus on three aspects of managing the patient with acute
chest pain: Imaging to increase the number of correct diagnoses in the acute
situation; Imaging to rule out other than coronary causes of chest pain; Use of
imaging for risk stratification once myocardial infarction has been ruled out in
the CPU. Special emphasis is given to how these management aspects are discussed
in current guidelines on the management of patients with acute chest pain or
acute coronary syndrome.
PMID- 22094239
TI - Cardiac hybrid imaging.
AB - Cardiac hybrid imaging combines different imaging modalities in a way where both
modalities equally contribute to image information. The most common and best
studied approach is to combine computed tomography coronary angiography (CTCA)
and myocardial perfusion imaging either with single-photon emission computed
tomography or with positron emission tomography (PET). This combination is a
promising tool for evaluation of coronary artery disease since it allows
visualization of coronary atherosclerotic lesions and their haemodynamic
consequences in a single study and it appears to offer superior diagnostic
accuracy when compared with stand-alone imaging. More recent applications are a
combination of CTCA and cardiac magnetic resonance imaging by using software
image fusion and utilization of commercially available hybrid PET/MRI scanners
for cardiac applications. Currently, these methods have been reported only as
case reports, but several potential applications also in cardiology can be
anticipated. The development of new molecular imaging probes will also open
completely new possibilities for guidance and monitoring of advanced therapies.
This review will focus on the concepts and currently available clinical
experiences from cardiac hybrid imaging as well as discuss the potential future
applications.
PMID- 22094240
TI - Relationships between intramuscular fat content, selected carcass traits, and
fatty acid profile in bulls using a F2-population.
AB - The quality and nutritional value of beef is related to the amount of
intramuscular fat (IMF) as well as to its fatty acid composition. In this study
the relations between the IMF content and the total fatty acid composition, the
fatty acids (FA) of triacylglycerols (TG) and the FA of phospholipids (PL) in
longissimus muscle of F(2) Charolais*German Holstein crossbred bulls have been
analysed. Polyunsaturated fatty acids (PUFA, C18:2n-6; C18:3n-3) and stearic acid
(C18:0) are strongly positively correlated to IMF in longissimus muscle at the
quantitative level (mg/100g fresh tissue), but there are negative correlation
coefficients for the relative proportion of these fatty acids to IMF. The
relationships between IMF and the fatty acid profile of the subfractions TG and
PL are lower compared to total muscle fat. Selected carcass fat traits are
positively correlated to most of the fatty acids.
PMID- 22094241
TI - Isolation and characterization of T cells from semen.
AB - BACKGROUND: The male genital tract is of major importance in the transmission and
acquisition of HIV-1. Studying cellular immunity in the male genital tract is
important in development of HIV-1 vaccines protective at mucosal sites. Semen is
the primary HIV-1 containing fluid released from the male genital tract and
reducing virus levels in semen would also reduce HIV-1 spread. Characterizing
lymphocytes from semen requires the isolation of viable T cells that can be
analyzed by downstream applications such as flow cytometry. The aims of this
study were to investigate the influence of various parameters on CD3(+) T cell
yields from semen and to compare isolation methods to maximize CD3(+) T cell
yields for the purpose of functional characterization by flow cytometry. METHODS:
The influence of abstinence, storage temperature and time till processing on
semen CD3(+) T cell yields was investigated. Seminal CD3(+) T cell yields were
evaluated by comparing gradient separation, enzymatic digestion, filtration and
magnetic bead capture. The function and viability of seminal CD4(+) and CD8(+) T
cells were assayed by flow cytometry. RESULTS: We found that the use of pronase
and cell strainers resulted in significantly higher CD3(+) T cell yields when
compared to gradient separation alone. Positive selection of CD3(+) cells using
magnetic bead purification resulted in significantly higher yields and improved
resolution of lymphocyte subsets by flow cytometry. Processing of samples should
occur as expediently as possible to maximize CD3(+) T cell yields. However, if
this is not possible, loss of CD3(+) T cells can be minimized by storing samples
at 37 degrees C for up to one day post ejaculation. CONCLUSIONS: We describe a
simple method for the isolation of functional T cells from semen. Developing
standardized methods for processing samples and measuring immunity in the male
genital tract may be important in clinical trials of not only candidate HIV-1
vaccines, but in better understanding cellular immunity to a range of sexually
transmitted infections of global significance.
PMID- 22094242
TI - Diverse roles of the scaffolding protein RanBPM.
AB - Ran-binding protein microtubule-organizing center (RanBPM) appears to function as
a scaffolding protein in several signal transduction pathways. RanBPM is a
crucial component of multiprotein complexes that regulate the cellular function
by modulating and/or assembling with a wide range of proteins in different
intracellular regions and thereby mediate diverse cellular functions. This
suggests a role for RanBPM as a scaffolding protein. In this article, we have
summarized the diverse functions of RanBPM and its interacting partners that have
been investigated to date. Also, we have categorized the role of RanBPM into four
divisions: RanBPM as a modulator/protein stabilizer, regulator of transcription
activity, cell cycle and neurological functions.
PMID- 22094243
TI - MRI as a tool for evaluation of oral controlled release dosage forms.
AB - The magnetic resonance imaging (MRI) studies of controlled-release (CR) dosage
forms can be roughly divided into two groups. The first comprises studies
performed in static conditions (small solvent volumes and ambient temperature).
Such studies have provided insight into molecular phenomena in hydrating
polymeric matrices. The second group covers research performed in dynamic
conditions (medium flow or stirring) related to drug dissolution. An important
issue is supplementation of the MRI results with data obtained by complementary
techniques, such as X-ray microtomography (MUCT). As we discuss here, an
understanding of the mechanism underlying the release of the drug from the dosage
form will lead to the development of detailed, molecularly defined, CR dosage
forms.
PMID- 22094244
TI - Determinants for successful marketing authorisation of orphan medicinal products
in the EU.
AB - In 2010, the European Regulation for Orphan Medicinal Products (OMPs) was in
force for ten years. In this study we assessed possible determinants of
applications for OMPs in the EU since 2000 that are associated with a successful
marketing authorisation. Our analysis shows that clinical trial characteristics
such as demonstrating convincing evidence of a beneficial effect on the primary
endpoint, the selection of a clinically relevant endpoint, providing RCT data as
pivotal study evidence and the submission of sound dose finding data are critical
success factors. In addition, high medical need seems to counterweigh
uncertainties about the scientific evidence in the benefit-risk assessment of
OMPs.
PMID- 22094246
TI - Is there a clinical future for polymeric nanoparticles as brain-targeting drug
delivery agents?
AB - Injectable nanosized carriers (5-250 nm) are actively studied as anticancer drug
delivery agents for targeted drug delivery to the brain. Among these, polymeric
nanoparticles (Np) have been studied since 1995, but only five of them recently
started Phase I clinical trials, and none of these targets brain pathologies. To
date, clinical trials for brain drug delivery have started for macromolecular-
and nanocarrier-based systems in the treatment of brain tumors. This review, on
the basis of the results obtained so far from preclinical studies, will
critically consider the possibilities that polymeric Np have to reach the clinic
as drug delivery agents for the brain, in comparison with other platforms.
PMID- 22094247
TI - Collaboration between PcG proteins and MLL fusions in Leukemogenesis: an emerging
paradigm.
AB - PcG and TrxG proteins mostly with opposite transcriptional activities play key
roles in normal and malignant development. In this issue of Cancer Cell, Tan et
al. report an unexpected collaboration between CBX8 and MLL-AF9 in leukemia,
revealing a far more complicated functional crosstalk between these master
epigenetic regulators in oncogenesis.
PMID- 22094245
TI - Biomimetic tissues on a chip for drug discovery.
AB - Developing biologically relevant models of human tissues and organs is an
important enabling step for disease modeling and drug discovery. Recent advances
in tissue engineering, biomaterials and microfluidics have led to the development
of microscale functional units of such models also referred to as 'organs on a
chip'. In this review, we provide an overview of key enabling technologies and
highlight the wealth of recent work regarding on-chip tissue models. In addition,
we discuss the current challenges and future directions of organ-on-chip
development.
PMID- 22094248
TI - Platelets alter tumor cell attributes to propel metastasis: programming in
transit.
AB - Metastasis of epithelial tumors critically depends on acquisition of a
disseminating phenotype that allows tumor cells to colonize distant organs. In
this issue of Cancer Cell, Labelle et al. demonstrate that an epithelial
mesenchymal-like transition can be induced by interaction between platelets and
tumor cells.
PMID- 22094249
TI - Power cut: inhibiting mitochondrial translation to target leukemia.
AB - In this issue of Cancer Cell, Skrtic et al. demonstrate that inhibition of
mitochondrial ribosomes with tigecycline, a known antimicrobial, selectively
kills leukemia cells. This finding highlights the metabolic susceptibility of
leukemia cells to mitochondrial translational inhibition and identifies a
compound with significant efficacy in an in vivo preclinical model.
PMID- 22094250
TI - The Two Faces of NF-kappaB Signaling in Cancer Development and Therapy.
AB - Constitutive activation of NF-kappaB signaling can promote oncogenesis, providing
a rationale for anticancer strategies that inhibit NF-kappaB signaling. Two
recent publications in Genes & Development provide evidence that, in contexts
where prosurvival signals derive from other oncogenes, NF-kappaB activity instead
enhances sensitivity to cytotoxic chemotherapy, thereby exerting a tumor
suppressor function.
PMID- 22094251
TI - Discovery of a secreted tumor suppressor provides a promising therapeutic
strategy for follicular lymphoma.
AB - In a recent paper in Cell, Oricchio et al. identify EPHA7 as a tumor suppressor
gene residing in the 6q-deleted region in follicular lymphoma. A truncated
EPHA7(TR) isoform is secreted by normal B cells, inhibits EPHA2 signaling, and,
as a secreted tumor suppressor protein, has potential as a targeted therapeutic
polypeptide.
PMID- 22094252
TI - CBX8, a polycomb group protein, is essential for MLL-AF9-induced leukemogenesis.
AB - Chromosomal translocations involving the mixed lineage leukemia (MLL) gene lead
to the development of acute leukemias. Constitutive HOX gene activation by MLL
fusion proteins is required for MLL-mediated leukemogenesis; however, the
underlying mechanisms remain elusive. Here, we show that chromobox homolog 8
(CBX8), a Polycomb Group protein that interacts with MLL-AF9 and TIP60, is
required for MLL-AF9-induced transcriptional activation and leukemogenesis.
Conversely, both CBX8 ablation and specific disruption of the CBX8 interaction by
point mutations in MLL-AF9 abrogate HOX gene upregulation and abolish MLL-AF9
leukemic transformation. Surprisingly, Cbx8-deficient mice are viable and display
no apparent hematopoietic defects. Together, our findings demonstrate that CBX8
plays an essential role in MLL-AF9 transcriptional regulation and leukemogenesis.
PMID- 22094253
TI - Direct signaling between platelets and cancer cells induces an epithelial
mesenchymal-like transition and promotes metastasis.
AB - Interactions of cancer cells with the primary tumor microenvironment are
important determinants of cancer progression toward metastasis but it is unknown
whether additional prometastatic signals are provided during the intravascular
transit to the site of metastasis. Here, we show that platelet-tumor cell
interactions are sufficient to prime tumor cells for subsequent metastasis.
Platelet-derived TGFbeta and direct platelet-tumor cell contacts synergistically
activate the TGFbeta/Smad and NF-kappaB pathways in cancer cells, resulting in
their transition to an invasive mesenchymal-like phenotype and enhanced
metastasis in vivo. Inhibition of NF-kappaB signaling in cancer cells or ablation
of TGFbeta1 expression solely in platelets protects against lung metastasis in
vivo. Thus, cancer cells rely on platelet-derived signals outside of the primary
tumor for efficient metastasis.
PMID- 22094254
TI - Altered hematopoietic cell gene expression precedes development of therapy
related myelodysplasia/acute myeloid leukemia and identifies patients at risk.
AB - Therapy-related myelodysplasia or acute myeloid leukemia (t-MDS/AML) is a major
complication of cancer treatment. We compared gene expression in CD34+ cells from
patients who developed t-MDS/AML after autologous hematopoietic cell
transplantation (aHCT) for lymphoma with controls who did not develop t-MDS/AML.
We observed altered gene expression related to mitochondrial function,
metabolism, and hematopoietic regulation in pre-aHCT samples from patients who
subsequently developed t-MDS/AML. Progression to overt t-MDS/AML was associated
with additional alterations in cell-cycle regulatory genes. An optimal 38-gene
PBSC classifier accurately distinguished patients who did or did not develop t
MDS/AML in an independent group of patients. We conclude that genetic programs
associated with t-MDS/AML are perturbed long before disease onset, and accurately
identify patients at risk for this complication.
PMID- 22094255
TI - Oxidative damage targets complexes containing DNA methyltransferases, SIRT1, and
polycomb members to promoter CpG Islands.
AB - Cancer cells simultaneously harbor global losses and gains in DNA methylation. We
demonstrate that inducing cellular oxidative stress by hydrogen peroxide
treatment recruits DNA methyltransferase 1 (DNMT1) to damaged chromatin. DNMT1
becomes part of a complex(es) containing DNMT3B and members of the polycomb
repressive complex 4. Hydrogen peroxide treatment causes relocalization of these
proteins from non-GC-rich to GC-rich areas. Key components are similarly enriched
at gene promoters in an in vivo colitis model. Although high-expression genes
enriched for members of the complex have histone mark and nascent transcription
changes, CpG island-containing low-expression genes gain promoter DNA
methylation. Thus, oxidative damage induces formation and relocalization of a
silencing complex that may explain cancer-specific aberrant DNA methylation and
transcriptional silencing.
PMID- 22094256
TI - A systematic screen for CDK4/6 substrates links FOXM1 phosphorylation to
senescence suppression in cancer cells.
AB - Cyclin D-dependent kinases (CDK4 and CDK6) are positive regulators of cell cycle
entry and they are overactive in the majority of human cancers. However, it is
currently not completely understood by which cellular mechanisms CDK4/6 promote
tumorigenesis, largely due to the limited number of identified substrates. Here
we performed a systematic screen for substrates of cyclin D1-CDK4 and cyclin D3
CDK6. We identified the Forkhead Box M1 (FOXM1) transcription factor as a common
critical phosphorylation target. CDK4/6 stabilize and activate FOXM1, thereby
maintain expression of G1/S phase genes, suppress the levels of reactive oxygen
species (ROS), and protect cancer cells from senescence. Melanoma cells, unlike
melanocytes, are highly reliant on CDK4/6-mediated senescence suppression, which
makes them particularly susceptible to CDK4/6 inhibition.
PMID- 22094257
TI - Targeting of the tumor suppressor GRHL3 by a miR-21-dependent proto-oncogenic
network results in PTEN loss and tumorigenesis.
AB - Despite its prevalence, the molecular basis of squamous cell carcinoma (SCC)
remains poorly understood. Here, we identify the developmental transcription
factor Grhl3 as a potent tumor suppressor of SCC in mice, and demonstrate that
targeting of Grhl3 by a miR-21-dependent proto-oncogenic network underpins SCC in
humans. Deletion of Grhl3 in adult epidermis evokes loss of expression of PTEN, a
direct GRHL3 target, resulting in aggressive SCC induced by activation of
PI3K/AKT/mTOR signaling. Restoration of Pten expression completely abrogates SCC
formation. Reduced levels of GRHL3 and PTEN are evident in human skin, and head
and neck SCC, associated with increased expression of miR-21, which targets both
tumor suppressors. Our data define the GRHL3-PTEN axis as a critical tumor
suppressor pathway in SCC.
PMID- 22094258
TI - The NOD-like receptor NLRP12 attenuates colon inflammation and tumorigenesis.
AB - NLRP12 is a member of the intracellular Nod-like receptor (NLR) family that has
been suggested to downregulate the production of inflammatory cytokines, but its
physiological role in regulating inflammation has not been characterized. We
analyzed mice deficient in Nlrp12 to study its role in inflammatory diseases such
as colitis and colorectal tumorigenesis. We show that Nlrp12-deficient mice are
highly susceptible to colon inflammation and tumorigenesis, which is associated
with increased production of inflammatory cytokines, chemokines, and tumorigenic
factors. Enhanced colon inflammation and colorectal tumor development in Nlrp12
deficient mice are due to a failure to dampen NF-kappaB and ERK activation in
macrophages. These results reveal a critical role for NLRP12 in maintaining
intestinal homeostasis and providing protection against colorectal tumorigenesis.
PMID- 22094259
TI - IL-6 controls leukemic multipotent progenitor cell fate and contributes to
chronic myelogenous leukemia development.
AB - Using a mouse model recapitulating the main features of human chronic myelogenous
leukemia (CML), we uncover the hierarchy of leukemic stem and progenitor cells
contributing to disease pathogenesis. We refine the characterization of CML
leukemic stem cells (LSCs) to the most immature long-term hematopoietic stem
cells (LT-HSCs) and identify some important molecular deregulations underlying
their aberrant behavior. We find that CML multipotent progenitors (MPPs) exhibit
an aberrant B-lymphoid potential but are redirected toward the myeloid lineage by
the action of the proinflammatory cytokine IL-6. We show that BCR/ABL activity
controls Il-6 expression thereby establishing a paracrine feedback loop that
sustains CML development. These results describe how proinflammatory tumor
environment affects leukemic progenitor cell fate and contributes to CML
pathogenesis.
PMID- 22094261
TI - A pilot study of preemptive morphine analgesia in preterm neonates: effects on
head circumference, social behavior, and response latencies in early childhood.
AB - Use of preemptive analgesia in Neonatal Intensive Care Units is recommended for
severe and/or invasive procedures. However, the potential long-term consequences
of such analgesia, which may be prolonged, are only beginning to be studied. In
this pilot study, a subset of subjects previously enrolled in the Neurological
Outcomes and Preemptive Analgesia in Neonates (NEOPAIN) trial was assessed at
early childhood. These ex-preterm infants (born at 23-32 weeks of gestational
age) required intubation within 72 h postpartum and were randomized to receive
either preemptive morphine analgesia (maximum of 14 days) or placebo within 8h
post-intubation. At 5-7 years of age, neuropsychological outcomes, morphometrics,
adaptive behavior, parent-rated behavior, motivation, and short-term memory were
measured. Although overall IQ and academic achievement did not differ between the
morphine treated (n=14) and placebo (n=5) groups, preemptive morphine analgesia
was associated with distinct differences in other outcome variables. Head
circumference of morphine treated children was approximately 7% smaller
(Cohen'sd: 2.83, effect size large) and body weight was approximately 4% less
(Cohen'sd: 0.81, effect size large); however, height did not differ. In the short
term memory task (delayed matching to sample), morphine treated children
exhibited significantly longer choice response latencies than placebo children
(3.86+/-0.33 and 2.71+/-0.24 s, respectively) (p<0.03) and completed
approximately 27% less of the task than placebo children (Cohen'sd: 0.96, effect
size large). Parents described morphine treated children as having more social
problems, an effect specific to creating and maintaining friendships (Cohen'sd:
0.83, effect size large). Despite the small sample size and the preliminary
nature of this study, these results are strongly suggestive of long-lasting
effects of preemptive morphine analgesia. A larger investigation with more
comprehensive assessments of some of these key features will enable a more
complete understanding of the relationship between preemptive morphine treatment
and long-term neurocognitive, behavioral, and adaptive outcomes.
PMID- 22094260
TI - Inhibition of mitochondrial translation as a therapeutic strategy for human acute
myeloid leukemia.
AB - To identify FDA-approved agents targeting leukemic cells, we performed a chemical
screen on two human leukemic cell lines and identified the antimicrobial
tigecycline. A genome-wide screen in yeast identified mitochondrial translation
inhibition as the mechanism of tigecycline-mediated lethality. Tigecycline
selectively killed leukemia stem and progenitor cells compared to their normal
counterparts and also showed antileukemic activity in mouse models of human
leukemia. ShRNA-mediated knockdown of EF-Tu mitochondrial translation factor in
leukemic cells reproduced the antileukemia activity of tigecycline. These effects
were derivative of mitochondrial biogenesis that, together with an increased
basal oxygen consumption, proved to be enhanced in AML versus normal
hematopoietic cells and were also important for their difference in tigecycline
sensitivity.
PMID- 22094262
TI - Non-invasive prenatal genetic testing: a study of public attitudes.
AB - BACKGROUND/OBJECTIVES: Non-invasive prenatal genetic diagnostic (NIPD) tests are
being developed using cell-free fetal DNA in the maternal circulation. NIPD tests
avoid or reduce the need for invasive diagnostic procedures for conditions like
Down syndrome. Discussion of ethical and social implications of these techniques
is increasing. We report findings from a study of public attitudes relevant to
the introduction of NIPD. A key aim was to examine the range of attitudes
relevant to NIPD within a diverse sample. METHODS: Qualitative analysis of
written free text 'first responses' to a written neutral description of NIPD as
part of a Q-methodology study conducted with a purposive sample of the UK
population (n = 71). RESULTS: The majority (63%) of respondents described their
first response as positive. However, respondents displayed ambivalence,
expressing positive views of individual/medical rationale for NIPD and unease
concerning public health rationale and societal implications. Unease related to
eugenic reasoning underlying existing prenatal testing, 'too much control' in
reproduction, commercial provision, information and support requirements for
expanded testing, and limiting the use of testing. CONCLUSIONS: These findings
suggest that regulating and monitoring commercial provision of NIPD services, and
monitoring introduction and clinical use, are a public preference.
PMID- 22094263
TI - Disorders of sexual development in poultry.
AB - Sex in birds is determined genetically, as in mammals. However, in birds, female
is the heterogametic sex (ZW), while the male is homogametic (ZZ). Although the
exact mechanism of avian sex determination is still unclear, genes on one or both
of the sex chromosomes must control sexual differentiation of the embryonic
gonads into testes or ovaries, and eventually all other sexually dimorphic
features. In this review of disorders of sexual development in poultry, we focus
upon the gonads and external dimorphisms. Abnormalities of sexual development in
poultry can be broadly divided into 2 types: those due to disturbances in sex
hormone production by the gonads, and those due to abnormal inheritance of sex
chromosomes. Recent studies on gynandromorphic chickens (half male, half female)
point to the importance of genetic over hormonal factors in controlling sexual
development in fowl.
PMID- 22094264
TI - Common fragile sites: mechanisms of instability revisited.
AB - Common fragile sites (CFSs) are large chromosomal regions prone to breakage upon
replication stress that are considered a driving force of oncogenesis. CFSs were
long believed to contain sequences blocking fork progression, thus impeding
replication completion and leading to DNA breaks upon chromosome condensation.
However, recent studies show that delayed completion of DNA replication instead
depends on a regional paucity in initiation events. Because the distribution and
the timing of these events are cell type dependent, different chromosomal regions
can be committed to fragility in different cell types. These new data reveal the
epigenetic nature of CFSs and open the way to a reevaluation of the role played
by these sites in the formation of chromosome rearrangements found in tumors from
different tissues.
PMID- 22094265
TI - Characterizing complex structural variation in germline and somatic genomes.
AB - Genome structural variation (SV) is a major source of genetic diversity in
mammals and a hallmark of cancer. Although SV is typically defined by its
canonical forms (duplication, deletion, insertion, inversion and translocation),
recent breakpoint mapping studies have revealed a surprising number of 'complex'
variants that evade simple classification. Complex SVs are defined by clustered
breakpoints that arose through a single mutation but cannot be explained by one
simple end-joining or recombination event. Some complex variants exhibit
profoundly complicated rearrangements between distinct loci from multiple
chromosomes, whereas others involve more subtle alterations at a single locus.
These diverse and unpredictable features present a challenge for SV mapping
experiments. Here, we review current knowledge of complex SV in mammals, and
outline techniques for identifying and characterizing complex variants using next
generation DNA sequencing.
PMID- 22094268
TI - Effects of acute stress-induced immunomodulation on TH1/TH2 cytokine and
catecholamine receptor expression in human peripheral blood cells.
AB - AIMS: There is evidence that psychological stress can modulate immune functions.
It has been hypothesized that acute stressors can affect both immune balance
(including Th1 and Th2 cytokines) and expression of stress hormone receptors.
This study investigated the impact of an acute stressor on gene expressions of
glucocorticoid receptor (GR), and beta2-adrenergic receptor (beta2AR) in
leukocytes. The effect on T regulatory cells (Treg), regulatory cytokines IL-10
and TGF-beta, Th1 and Th2 cytokines and their receptors IFN-gammaR and IL-4R was
also studied. METHOD: Fourteen normal volunteers completed an acute laboratory
stressor, and blood samples were collected before, immediately after, and 1, 2, 6
and 24 h after completion of the tasks. Cytokine production and Treg were
determined by flow cytometry. Gene expressions of receptors were analyzed by real
time PCR. RESULTS: IFN-gamma was increased immediately and 1 h after stressor
(p<0.05, respectively) and upregulation of IFN-gammaR mRNA was noted at 2, 6 and
24 h (p<0.01, respectively). IL-10 was decreased at 2 h (p<0.01). There were no
significant changes in post-task IL-4R, Treg, or TGF-beta. beta2AR mRNA was
increased at 2, 6 and 24 h (p<0.01, respectively). On the other hand, no
significant alterations were observed in GR expression. CONCLUSION: An acute
stressor increased Th1 cytokine production and its receptor expression. beta2AR
but not GR was significantly increased after an acute stressor, which supports
the hypothesis that catecholamine-mediated signal pathways in communication with
the central nervous and immune systems play a fundamental role in acute stress
mediated immune alterations.
PMID- 22094269
TI - p24 proteins are required for secretion of Wnt ligands.
AB - During development and disease, the exocytosis of signalling molecules, such as
Wnt ligands, is essential to orchestrate cellular programs in multicellular
organisms. However, it remains a largely unresolved question whether signalling
molecules follow specialized transport routes through the exocytic pathway. Here
we identify several Drosophila p24 proteins that are required for Wnt signalling.
We demonstrate that one of these p24 proteins, namely Opossum, shuttles in the
early secretory pathway, and that the Drosophila Wnt proteins are retained in the
absence of p24 proteins. Our results indicate that Wnt secretion relies on a
specialized anterograde secretion route with p24 proteins functioning as
conserved cargo receptors.
PMID- 22094270
TI - The ubiquity of consciousness.
PMID- 22094271
TI - Algorithms and surrogate markers in translational research.
PMID- 22094272
TI - Wnts need a p(assport)24 to leave the ER.
PMID- 22094273
TI - Protein phosphatases, from molecules to networks.
AB - The third EMBO-sponsored 'Europhosphatases' meeting brought together 180
participants with a wide range of backgrounds and research interests to discuss
the current status of research on phosphatases. It became clear at this meeting
that the field is very active, and just as diverse as its members. This report
highlights some of the transformative research presented at the meeting.
PMID- 22094274
TI - Pol II caught speeding by single gene imaging.
PMID- 22094275
TI - Will we wake up to biodiversity?
PMID- 22094276
TI - Dilated cardiomyopathy: an unexpected complication of rapidly conducted atrial
flutter in the Wolff-Parkinson-White syndrome.
AB - This report describes a 34-year-old male with the Wolff-Parkinson-White syndrome
who presented with the unusual finding of a tachyarrhythmia-induced
cardiomyopathy secondary to atrial flutter with 1:1 conduction through a left
lateral accessory pathway. Catheter ablation of the accessory connection resulted
in complete normalization of cardiac function.
PMID- 22094277
TI - Synthesis and characterization of 5-alkoxycarbonyl-4-hydroxymethyl-5-alkyl
pyrroline N-oxide derivatives.
AB - The syntheses, analytical properties, and spin trapping behavior of four novel
EMPO derivatives, namely 5-ethoxycarbonyl-4-hydroxymethyl-5-methyl-pyrroline N
oxide (EHMPO), 5-ethoxycarbonyl-5-ethyl-4-hydroxymethyl-pyrroline N-oxide
(EEHPO), 4-hydroxymethyl-5-methyl-5-propoxycarbonyl-pyrroline N-oxide (HMPPO),
and 4-hydroxymethyl-5-methyl-5-iso-propoxycarbonyl-pyrroline N-oxide (HMiPPO),
towards different oxygen- and carbon-centered radicals are described.
PMID- 22094278
TI - Development of ligands at gamma-aminobutyrric acid type A (GABAA) receptor
subtype as new agents for pain relief.
AB - The identification of compounds with selective anxiolytic-like effects, exerted
through the benzodiazepine site on gamma-aminobutyric acid type A (GABA(A))
receptors, and that show pronounced antihyperalgesia in several pain models, has
oriented research towards the development of new agents for the relief of pain.
Starting from our previously reported ligands at the benzodiazepine site on
GABA(A) receptors showing selective anxiolytic-like effects, we have designed new
compounds with the aim of identifying those devoid of the typical side effects of
the classical benzodiazepines. Our preliminary results indicate that compounds 4,
10(+/-) and 11 have a very promising antihyperalgesic profile in different animal
pain models (peripheral mono-neuropathy, STZ-induced hyperalgesia). In particular
11 exhibits high potency since it exerted its protective effect starting from the
dose of 3mg/kg po, after single injection.
PMID- 22094279
TI - Design, synthesis, and biological evaluation of 4-phenylpyrrole derivatives as
novel androgen receptor antagonists.
AB - A series of 4-phenylpyrrole derivatives D were designed, synthesized, and
evaluated for their potential as novel orally available androgen receptor
antagonists therapeutically effective against castration-resistant prostate
cancers. 4-Phenylpyrrole compound 1 exhibited androgen receptor (AR) antagonistic
activity against T877A and W741C mutant-type ARs as well as wild-type AR. An
arylmethyl group incorporated into compound 1 contributed to enhancement of
antagonistic activity. Compound 4n, 1-{[6-chloro-5-(hydroxymethyl)pyridin-3
yl]methyl}-4-(4-cyanophenyl)-2,5-dimethyl-1H-pyrrole-3-carbonitrile exhibited
inhibitory effects on tumor cell growth against the bicalutamide-resistant LNCaP
cxD2 cell line as well as the androgen receptor-dependent JDCaP cell line in a
mouse xenograft model. These results demonstrate that this series of pyrrole
compounds are novel androgen receptor antagonists with efficacy against prostate
cancer cells, including castration-resistant prostate cancers such as
bicalutamide-resistant prostate cancer.
PMID- 22094280
TI - Arylcyanoacrylamides as inhibitors of the Dengue and West Nile virus proteases.
AB - The 3-aryl-2-cyanoacrylamide scaffold was designed as core pharmacophore for
inhibitors of the Dengue and West Nile virus serine proteases (NS2B-NS3). A total
of 86 analogs was prepared to study the structure-activity relationships in
detail. Thereby, it turned out that the electron density of the aryl moiety and
the central double bond have a crucial influence on the activity of the
compounds, whereas the influence of substituents of the amide residue is less
relevant. The para-hydroxy substituted analog was found to be the most potent
inhibitor in this series with a K(i)-value of 35.7 MUM at the Dengue and 44.6 MUM
at the West Nile virus protease. The aprotinin competition assay demonstrates a
direct interaction of the inhibitor molecule with active centre of the Dengue
virus protease. The target selectivity was studied in a counterscreen with
thrombin and found to be 2.8:1 in favor of DEN protease and 2.3:1 in favor of WNV
protease, respectively.
PMID- 22094281
TI - Vaccine shortages and suspect online pharmacy sellers.
AB - Vaccines represent half the products on the FDA Biologics Product Shortages list.
As a result, providers and patients may purchase them online, a process rife with
patient safety risks. We examined vaccine online availability by assessing up to
5 identified online sellers. We determined if sites were accredited by the
National Association of Boards of Pharmacy (NABP) VIPPS program, listed as US or
international, employed social media linking to suspect online pharmacies, and if
they were on the NABP Not Recommended list. All vaccines were advertised by
online pharmacies and through data aggregation and social media sites, none were
VIPPS-accredited, and most were on the NABP Not Recommended list. We found some
online sellers advertising vaccines as over-the-counter. We extended our analysis
to WHO Essential Medicines List vaccines and found all are also available online
from suspect, non-VIPPS accredited sellers. Stakeholders should be aware of these
online patient safety dangers.
PMID- 22094282
TI - Pertussis vaccination in infancy lowers the incidence of pertussis disease and
the rate of hospitalisation after one and two doses: analyses of 10 years of
pertussis surveillance.
AB - OBJECTIVES: Shortly after pertussis vaccination was reintroduced in Sweden in
1996, an intensified pertussis disease surveillance programme was set up. In this
study, we report on in-depth analyses of age-dose-number-specific incidences and
the rate of pertussis hospitalisation for children with no, 1 or 2 doses of an
acellular pertussis vaccine before pertussis disease. Vaccine coverage, the
timeliness of childhood vaccination and the effect of later than scheduled
pertussis vaccination(s) are also examined. STUDY DESIGN: Children with notified
laboratory-confirmed (culture or PCR) pertussis disease were evaluated among the
surveillance population of about 1 million infants, born between 1996 and 2007
and followed for pertussis disease from October 1997 to December 2007, for nearly
6 million person-years. Birth and vaccination dates of the diseased children are
known from the surveillance programme. To estimate denominators of the age-dose
number-specific pertussis incidences, we used birth and vaccination dates from a
vaccine trial with more than 72,000 infants combined with national pertussis
vaccine coverage data for children in the surveillance population. RESULTS: For
infants from 3 to <5 months of age, the incidence of pertussis disease with at
least 14 days of cough decreased from 264/100,000 for unvaccinated infants to
155/100,000 for infants with one dose of a pertussis vaccine prior to onset of
the disease. In the age range 5 to <12 months, the age-dose specific incidences
were 526, 95, and 24/100,000 for infants with no, 1 and 2 doses, respectively.
The rate of hospitalisation for infants with 1 dose of a pertussis vaccine prior
to onset of the disease was significantly lower than for unvaccinated infants of
the same age. For many infants, there is a delay in administration of the vaccine
doses according to the regular 3-5-12 month schedule (which has been the case for
many years). Hypothetically, if all infants had been vaccinated exactly on
schedule, we would expect about 28% fewer pertussis cases with at least 14 days
of cough and 38% fewer hospitalisations due to pertussis, of cases possible to
influence by vaccinations on schedule. CONCLUSION: Pertussis vaccination had a
significant effect among infants already after the first dose. This is
particularly important for premature infants and infants with severe respiratory
and cardiac diseases. A moderate decrease in the incidence of pertussis disease
in infants and rate of hospitalisation could be expected if primary vaccinations
were carried out closer to the scheduled time than is currently the practice in
Sweden.
PMID- 22094283
TI - Pneumococcal sequence type replacement among American Indian children: a
comparison of pre- and routine-PCV7 eras.
AB - BACKGROUND: Multi-locus sequence typing (MLST) of pneumococcal isolates collected
during an efficacy trial of the 7-valent pneumococcal conjugate vaccine (PCV7)
among Navajo and White Mountain Apache children from 1998 to 2000 showed a non
differential expansion of pre-existing sequence types (STs) and only one capsule
switching event in the PCV7-randomized communities. PCV7 was introduced as a
routine infant vaccine in October 2000. We assessed variability in PCV7
effectiveness and mechanisms of ST replacement after prolonged routine PCV7 use.
METHODS: We applied MLST to 267 non-vaccine type pneumococcal carriage and
invasive disease isolates from Navajo and White Mountain Apache children from
2006 to 2008, and compared them to those from 1998 to 2000. Microarray was used
to confirm capsule switching events. RESULTS: The primary mechanism of ST
replacement among Navajo and White Mountain Apache children was expansion of
existing STs, although introduction of new STs was an important secondary
mechanism. ST199, a majority being serotype 19A, was the most common ST in both
eras. Only ST193 (serotype 21) was preferentially expanding in the PCV7 era.
Three examples of capsule switching were identified. No variability in vaccine
effectiveness by ST was observed. CONCLUSION: We did not observe an influence of
ST on PCV7 serotype-specific effectiveness, although some STs may be favored in
replacement.
PMID- 22094284
TI - Aberrant expression of serum miRNAs in schizophrenia.
AB - The circulating miRNAs are sufficiently stable and detectable to serve as
clinical biomarkers as recent studies have revealed that the aberrant expression
of circulating miRNAs can directly reflect disease status. Based on the analysis
of the data (using miRanda software, TargetScan software and SOLID high
throughput sequencing) obtained from the literature, Schizophrenia Gene database,
NCBI database, the quantification of the nine miRNAs in the serum samples of 115
patients suffering from schizophrenia and 40 healthy individuals using qRT-PCR
and semi-nested qRT-PCR was conducted. The results suggested that the miR-181b,
miR-219-2-3p, miR-346, miR-195, miR-1308, miR-92a, miR-17, miR-103 and let-7g are
the key players to reflect the schizophrenia illnesses status and may serve as
candidate biomarkers for diagnosis of schizophrenia. In addition, we also found
that the risperidone improved the serum miR-346 level of schizophrenia
significantly, and therefore may not be an effective drug in regulating serum miR
346 level of schizophrenia. Furthermore, the expression level of serum miRNAs
levels and schizophrenia patients were regardless of family history subtypes,
ages, and gender. Collectively, these findings suggested that the serum miRNAs
have strong potential to reflect schizophrenia disease status. To the best of our
knowledge, this is the first report demonstrating the analysis of the circulating
miRNAs in schizophrenia.
PMID- 22094285
TI - Clinicopathological correlation of Kruppel-like factor 5 and matrix
metalloproteinase-9 expression and cartilage degeneration in human
osteoarthritis.
AB - The present study was designed to investigate the clinicopathological correlation
between the expression of KLF5 and MMP-9, which are associated with extracellular
matrix degradation and cartilage degeneration in human knee osteoarthritis (OA).
Tibiofemoral joint samples from 20 patients with OA, treated with surgery alone,
were divided into two groups: 0=no change (NC, n=17), and severe changes with a
higher mean score (>= 3) (SC, n=29). The latter group contains samples with
severe damages in cartilages and subchondral bones at medial tibial plateaux. The
expression of the proteins was detected by immunofluorescence and quantitative RT
PCR, respectively. Neurovascular invasion was evaluated by protein gene product
(PGP) 9.5 and CD34-positive staining and scanning electron microscopy,
respectively. Safranin O staining showed that the sections from the SC group had
increased cartilage degeneration. The number of vascular invasions in the SC
group (16/29, 55.2%) was higher than that in NC controls (2/17, 11.7%, P<0.05).
The expression of KLF5 and MMP-9 increased, and was co-localized in the same
cells of SC cartilages. The severity of cartilage degeneration and vascular
invasion was associated with upregulation of the two protein expressions and was
significantly different between SC and NC samples (P<0.05). Taken together, the
expression of KLF5 and MMP-9 may be involved in cartilage degeneration,
contributing to human OA.
PMID- 22094286
TI - Destiny in your own hands--does a positive family history matter in the
prevention of type 2 diabetes?
PMID- 22094287
TI - Amorphous silica nanoparticles do not induce cytotoxicity, cell transformation or
genotoxicity in Balb/3T3 mouse fibroblasts.
AB - Although amorphous silica nanoparticles (aSiO(2)NPs) are believed to be non-toxic
and are currently used in several industrial and biomedical applications
including cosmetics, food additives and drug delivery systems, there is still no
conclusive information on their cytotoxic, genotoxic and carcinogenic potential.
For this reason, this work has investigated the effects of aSiO(2)NPs on Balb/3T3
mouse fibroblasts, focusing on cytotoxicity, cell transformation and
genotoxicity. Results obtained using aSiO(2)NPs, with diameters between 15 nm and
300 nm and exposure times up to 72 h, have not shown any cytotoxic effect on
Balb/3T3 cells as measured by the MTT test and the Colony Forming Efficiency
(CFE) assay. Furthermore, aSiO(2)NPs have induced no morphological transformation
in Balb/3T3 cells and have not resulted in genotoxicity, as shown by Cell
Transformation Assay (CTA) and Micronucleus (MN) assay, respectively. To
understand whether the absence of any toxic effect could result from a lack of
internalization of the aSiO(2)NPs by Balb/3T3 cells, we have investigated the
uptake and the intracellular distribution following exposure to 85 nm
fluorescently-labelled aSiO(2)NPs. Using fluorescence microscopy, it was observed
that fluorescent aSiO(2)NPs are internalized and located exclusively in the
cytoplasmic region. In conclusion, we have demonstrated that although aSiO(2)NPs
are internalized in vitro by Balb/3T3 mouse fibroblasts, they do not trigger any
cytotoxic or genotoxic effect and do not induce morphological transformation,
suggesting that they might be a useful component in industrial applications.
PMID- 22094288
TI - Genotoxicity of inhaled nanosized TiO(2) in mice.
AB - In vitro studies have suggested that nanosized titanium dioxide (TiO(2)) is
genotoxic. The significance of these findings with respect to in vivo effects is
unclear, as few in vivo studies on TiO(2) genotoxicity exist. Recently, nanosized
TiO(2) administered in drinking water was reported to increase, e.g., micronuclei
(MN) in peripheral blood polychromatic erythrocytes (PCEs) and DNA damage in
leukocytes. Induction of micronuclei in mouse PCEs was earlier also described for
pigment-grade TiO(2) administered intraperitoneally. The apparent systemic
genotoxic effects have been suggested to reflect secondary genotoxicity of TiO(2)
due to inflammation. However, a recent study suggested that induction of DNA
damage in mouse bronchoalveolar lavage (BAL) cells after intratracheal
instillation of nanosized or fine TiO(2) is independent of inflammation. We
examined here, if inhalation of freshly generated nanosized TiO(2) (74% anatase,
26% brookite; 5 days, 4 h/day) at 0.8, 7.2, and (the highest concentration
allowing stable aerosol production) 28.5 mg/m(3) could induce genotoxic effects
in C57BL/6J mice locally in the lungs or systematically in peripheral PCEs. DNA
damage was assessed by the comet assay in lung epithelial alveolar type II and
Clara cells sampled immediately following the exposure. MN were analyzed by
acridine orange staining in blood PCEs collected 48 h after the last exposure. A
dose-dependent deposition of Ti in lung tissue was seen. Although the highest
exposure level produced a clear increase in neutrophils in BAL fluid, indicating
an inflammatory effect, no significant effect on the level of DNA damage in lung
epithelial cells or micronuclei in PCEs was observed, suggesting no genotoxic
effects by the 5-day inhalation exposure to nanosized TiO(2) anatase. Our
inhalation exposure resulted in much lower systemic TiO(2) doses than the
previous oral and intraperitoneal treatments, and lung epithelial cells probably
received considerably less TiO(2) than BAL cells in the earlier intratracheal
study.
PMID- 22094289
TI - Mequindox induced cellular DNA damage via generation of reactive oxygen species.
AB - Mequindox, a quinoxaline-N-dioxide derivative that possesses antibacterial
properties, has been widely used as a feed additive in the stockbreeding industry
in China. While recent pharmacological studies have uncovered potential hazardous
effects of mequindox, exactly how mequindox induces pathological changes and the
cellular responses associated with its consumption remain largely unexplored. In
this study, we investigated the cellular responses associated with mequindox
treatment. We report here that mequindox inhibits cell proliferation by arresting
cells at the G2/M phase of the cell cycle. Interestingly, this mequindox
associated deleterious effect on cell proliferation was observed in human, pig as
well as chicken cells, suggesting that mequindox acts on evolutionarily conserved
target(s). To further understand the mequindox-host interaction and the mechanism
underlying mequindox-induced cell cycle arrest, we measured the cellular content
of DNA damage, which is known to perturb cell proliferation and compromise cell
survival. Accordingly, using gamma-H2AX as a surrogate marker for DNA damage, we
found that mequindox treatment induced cellular DNA damage, which paralleled the
chemical-induced elevation of reactive oxygen species (ROS) levels. Importantly,
expression of the antioxidant enzyme catalase partially alleviated these
mequindox-associated effects. Taken together, our results suggest that mequindox
cytotoxicity is attributable, in part, to its role as a potent inducer of DNA
damage via ROS.
PMID- 22094290
TI - Assessing the genotoxic potentials of roxarsone in V79 cells using the alkaline
Comet assay and micronucleus test.
AB - Until recently, knowledge about the genotoxicity of roxarsone in vitro or in vivo
was limited. This study assessed the genotoxicity of roxarsone in an in vitro
system. Roxarsone was tested for potential genotoxicity on V79 cells by a Comet
assay and a micronucleus (MN) test, exposing the cells to roxarsone (1-500 MUM)
and to sodium arsenite (NaAsO2, 20 MUM) solutions for 3-48 h. Roxarsone was found
to be cytotoxic when assessed with a commercial cell counting kit (CCK-8) used to
evaluate cell viability, and moderately genotoxic in the Comet assay and
micronucleus test used to assess DNA damage. The Comet metrics (percentages TDNA,
TL, TM) increased significantly in a time- and concentration-dependent manner in
roxarsone-treated samples compared with PBS controls (P<0.05), while the data
from samples treated with 20 MUM NaAsO2 were comparable to those from 500 MUM
roxarsone-treated samples. The MN frequency of V79 cells treated with roxarsone
was higher than that in the negative control but lower than the frequency in
cells treated with 20 MUM NaAsO2. A dose- and time-dependent response in MN
induction was observed at 10, 50, 100 and 500 MUM doses of roxarsone after 12-48
h exposure time. The DNA damage in V79 cells treated with 500 MUM roxarsone was
similar to cells exposed to 20 MUM NaAsO2. The uptake of cells was correlated
with the DNA damage caused by roxarsone. This investigation depicts the genotoxic
potentials of roxarsone to V79 cells, which could lead to further advanced
studies on the genotoxicity of roxarsone.
PMID- 22094291
TI - Additive and interactive effects of nutrient classes on longevity, reproduction,
and diet consumption in the Queensland fruit fly (Bactrocera tryoni).
AB - Insect lifespan is often closely linked to diet, and diet manipulations have been
central to studies of ageing. Recent research has found that lifespan for some
flies is maximised on a very low yeast diet, but once all yeast is removed,
lifespan drops precipitously. Although effects of yeast availability on lifespan
are commonly interpreted in terms of protein, yeast is a complex mix of nutrients
and provides a rich source of vitamins, minerals and sterols. Elucidating which
components of yeast are involved in this lifespan drop provides insights into
more specific nutritional requirements and also provides a test for the
commonplace interpretation of yeast in terms of protein. To this end, we fed
Queensland fruit flies (Bactrocera tryoni) one of eight experimental diets that
differed in the nutrient group(s) found in yeast that were added to sucrose:
none, vitamins, minerals, amino acids, cholesterol, vitamin+mineral+cholesterol
(VMC), vitamin+mineral+cholesterol+amino acids (VMCA), and yeast. We measured
survival rates and egg production in single sex and mixed sex cages, as well as
nutrient intake of individual flies. We found that the addition of minerals
increased lifespan of both male and female flies housed in single sex cages by
decreasing baseline mortality. The addition of just amino acids decreased
lifespan in female flies; however, when combined with other nutrient groups found
in yeast, amino acids increased lifespan by decreasing both baseline mortality
and age-specific mortality. Flies on the yeast and VMCA diets were the only ones
to show significant egg production. We conclude that the drop in lifespan
observed when all yeast is removed is explained by missing micronutrients
(vitamins, minerals and cholesterol) as well as the absence of protein in
females, whereas minerals alone can explain the pattern for males. These results
indicate a need for caution when interpreting effects of dietary yeast as effects
of protein.
PMID- 22094292
TI - A method to evaluate profilometric tooth wear measurements.
AB - OBJECTIVES: To test the accuracy of measurements of tooth wear using a non
contacting laser profilometer (NCLP) and surface matching software. METHODS: The
accuracy and repeatability of the NCLP and software in measuring length was
assessed by repeatedly scanning a calibrated 25 mm engineering steel gage block.
Volumetric measurements were assessed after scanning commercially pure titanium
frustums of varying volume. The accuracy and repeatability of the systems in
measuring step height and volume after surface matching were assessed using a
custom built model with cemented engineering slip gages and cemented onlays of
super-plastically formed titanium. The overall effect on the uncertainty of
measurement of repeated superimpositions of the same cast, repeated impressions
of the same patient and using step-over distances smaller than the laser spot
size were also quantified. RESULTS: The accuracy and repeatability were 1.3 MUm
and 1.6 MUm in measuring length. The system was accurate for volumetric
measurement with coefficients of variation <5%. Measurements using the model with
cemented engineering slip gages and cemented super-plastically formed titanium
onlays varied slightly (23.07 MUm and 1.6 mm(3)). Scanning and superimposing the
same model introduced mean error of 2.7 MUm (SD=0.7). Scanning and superimposing
separate casts from repeated impressions of a patient introduced mean error of
14.8 MUm (SD=2.8). Decreasing step-over distances reduced measurement error
(p<0.05). SIGNIFICANCE: The methodologies described here served to assess sources
of error in tribology studies using surface mapping and surface matching
technologies. The results optimized data interpretation. Study supported by Guy's
and St. Thomas' Charity and the Royal College of Surgeons of England.
PMID- 22094293
TI - The different impact of a high fat diet on dystrophic mdx and control C57Bl/10
mice.
AB - The absence of functional dystrophin protein in patients with Duchenne muscular
dystrophy (DMD) and dystrophic mdx mice leads to fragile myofibre membranes and
cycles of myofibre necrosis and regeneration. It is proposed that both DMD
patients and mdx mice have an altered metabolism and impaired energy status and
that nutritional supplementation may reduce the severity of dystropathology. This
research compares the in vivo responses of dystrophic mdx and normal control
C57Bl/10 mice to a high protein (50%) or a high fat (16%) diet. Consumption of a
high protein diet had minimal effects on the body composition or muscle
morphology in both strains of mice. In contrast, differences between the strains
were seen in response to the high fat diet; this response also varied between mdx
mice aged <24 weeks, and mdx mice aged 24 - 40 weeks. C57Bl/10 mice demonstrated
many negative side effects after consuming the high fat diet, including weight
gain, increased body fat, and elevated inflammatory cytokines. In contrast, after
consuming the high fat diet for 16 weeks the mdx mice (< 24 weeks) remained lean
with minimal fat deposition and were resistant to changes in body composition.
These results support the proposal that energy metabolism in dystrophic mdx mice
is altered compared to normal C57Bl/10 mice and this enables the mdx mice to
better metabolise the high fat diet and avoid fat deposition. However, older mdx
mice (24 - 40-week-old), with increased energy intake, exhibited some mild
adverse effects of a high fat diet but to a far lesser extent than age-matched
C57Bl/10 mice. Benefits of the high fat diet on dystrophic muscles of young mice
were demonstrated by the significantly increased running ability (km) of
voluntarily exercised mdx mice and significantly reduced myofibre necrosis in 24
week-old sedentary mdx mice. These novel data clearly identify an 'altered'
response to a high fat diet in dystrophic mdx compared to normal C57Bl/10 mice.
Our data indicate that the high fat diet may better meet the energy needs of mdx
mice to reduce muscle damage and improve muscle function.
PMID- 22094294
TI - Increased risk of asthma and atopic dermatitis in perinatally HIV-infected
children and adolescents.
AB - The incidence of asthma and atopic dermatitis (AD) was evaluated in HIV-infected
(n = 451) compared to HIV-exposed (n = 227) but uninfected (HEU) children and
adolescents by abstraction from clinical charts. Asthma was more common in HIV
infected compared to HEU children by clinical diagnosis (25% vs. 20%, p = 0.101),
by asthma medication use, (31% vs. 22%, p = 0.012), and by clinical diagnosis
and/or medication use, (34% vs. 25%, p = 0.012). HIV-infected children had a
greater risk of asthma compared to HEU children (HR = 1.37, 95% CI: 1.01 to
1.86). AD was more common in HIV-infected than HEU children (20% vs. 12%, p =
0.009)) and children with AD were more likely to have asthma in both cohorts (41%
vs. 29%, p = 0.010). HIV-infected children and adolescents in this study had an
increased incidence of asthma and AD, a finding critical for millions of HIV
infected children worldwide.
PMID- 22094295
TI - A rare case of recurrent fetal goiter.
AB - We report the case of fetal goiter which occurred in two consecutive pregnancies
in the same patients. The first one, due to too late diagnosis and no
intrauterine treatment, contributed to the immediate postnatal death of the
newborn; the second one was properly diagnosed at 19 weeks and then effectively
treated prenatally which allowed to avoid the fatal complications for the fetus
and the newborn.
PMID- 22094296
TI - Ketorolac therapy for the prevention of acute pseudophakic cystoid macular edema:
a systematic review.
AB - To assess the effectiveness of ketorolac vs control for prevention of acute
pseudophakic cystoid macular edema (CME). The following databases were searched:
Medline (1950-June 11, 2011), The Cochrane Library (Issue 2, 2011), and the TRIP
Database (up to 11 June 2011), using no language or other limits. Randomized
controlled clinical trials (RCTs) were included that consisted of patients with
acute pseudophakic cystoid macular edema, those comparing ketorolac with control,
and those having at least a minimum follow-up of 28 days. In the four RCTs
evaluating ketorolac vs control, treatment with ketorolac significantly reduced
the risk of CME development at the end of treatment (~ 4 weeks) compared to
control (P=0.008; 95% confidence interval (0.03-0.58)). When analyzed
individually, each individual study was statistically nonsignificant in its
findings with the exception of one study. When the pooled relative risk was
calculated, the large sample size of this systematic review led to overall
statistical significance, which is attributable to the review's large sample size
and not to the individual studies themselves. In this systematic review of four
RCTs, two of which compared ketorolac with no treatment and two of which
evaluated ketorolac vs placebo drops, treatment with ketorolac significantly
reduced the risk of developing CME at the end of ~ 4 weeks of treatment compared
with controls. These results, however, should be interpreted with caution
considering the paucity of large randomized clinical trials in the literature.
PMID- 22094297
TI - The incidence and distribution of iatrogenic retinal tears in 20-gauge and 23
gauge vitrectomy.
AB - PURPOSE: The development of 23-gauge vitrectomy surgery has many benefits
including a reduction in surgical time and faster postoperative rehabilitation;
however, some have suggested that the complication rate is higher. To assess this
we compared the incidence and distribution of iatrogenic retinal tears in 20- and
23-gauge surgery. METHODS: Fifty consecutive 23-gauge and 50 consecutive 20-gauge
vitrectomy cases were selected; eyes with a history of previous vitreoretinal
surgery were excluded. All surgery was performed by two surgeons (JL and RN).
Entry-site breaks (ESB) were defined as any new vitreoretinal abnormality
occurring within 1 clock hour of an entry site for which treatment with
cryotherapy was deemed necessary. Data were collected by retrospective case note
review. Statistical analysis was carried out using Fisher's exact and chi(2)
tests. RESULTS: ESB occurred in 24% (12/50) of cases in the 20-gauge group
compared with 8% (4/50) in the 23-gauge group (P = 0.03); 88% (14/16) occurred
superiorly on the same side as the surgeons' dominant hand. Iatrogenic breaks
recorded elsewhere indicated an increased incidence in the 20-gauge group (9/50
compared with 6/50 in 23-gauge) but this did not achieve significance; the most
common position was at 6 o'clock. CONCLUSIONS: 23-Gauge vitrectomy is associated
with significantly fewer ESB when compared with conventional 20-gauge vitrectomy.
The incidence of other iatrogenic breaks did not appear to be significantly
different between the two groups.
PMID- 22094298
TI - The willingness of patients presenting with advanced glaucoma to participate in a
trial comparing primary medical vs primary surgical treatment.
AB - AIM: The aim of this study is to examine the attitudes of patients, who presented
with advanced glaucoma in at least one eye, to participation in a randomised
prospective trial comparing primary medical treatment with primary surgical
treatment for advanced glaucoma. METHODS: Patients who had presented with
advanced glaucoma (>15 dB loss mean deviation on Humphrey visual field testing)
in at least one eye were asked to participate. Five focus groups comprising of 4
8 patients and consisting of 29 patients in total were undertaken. The group
interviews were conducted by two experienced qualitative researchers, an
ophthalmic clinician was present to clarify technical issues. The focus group
discussions were taped and transcribed in full, and analysed through a process of
familiarisation, open (inductive) coding, theme generation, theme refinement, and
thematic mapping. RESULTS: Three overarching themes were identified: (1) the
extent of patients' knowledge, (2) anxieties about surgery, and (3) concerns
about compromised care due to trial involvement; these themes were further
classified into eight sub-themes. CONCLUSIONS: Patients' willingness to
participate in randomised clinical studies is significantly connected to their
level of comprehension and insight about the medical condition, its treatment,
and the research process; misunderstandings about any of these aspects may act as
a significant barrier to trial recruitment. Recruitment rates for future
randomised trials may be enhanced by ensuring that patients have full and
accurate information about the treatment alternatives, and that uncertainty
exists for best patient outcomes between treatment options, and reassuring
potential participants that the research process, in particular randomisation,
will not compromise clinical care.
PMID- 22094299
TI - Diagnosis of infectious diseases of the eye.
AB - Specific therapy of ocular infections often requires etiological diagnosis that
is a combined effect of observation of characteristic clinical features and
microbiological investigations. Clinical impression is central to guiding the
laboratory investigation, and the aim of laboratory investigation is to confirm
or rule out the clinical diagnosis. However, clinical features may vary
considerably, and no one clinical feature may be pathognomonic of a particular
pathogen. In addition, there may be a racial, geographical, and climatic
difference in the distribution and type of causative agents associated with
infections. Ophthalmologists have at their disposal in vivo and in vitro methods
of diagnosis of ocular infections. The expertise of the clinician and the
microbiologist along with the facilities available, determine the success with
accurate diagnosis. A wide range of conventional and molecular techniques are
available that not only provide rapid diagnosis for known common infections but
have the potential to bring to the fore unknown organisms that may be associated
with ocular infections.
PMID- 22094300
TI - Humphrey visual field findings in hydroxychloroquine toxicity.
AB - PURPOSE: To analyze Humphrey visual field (HVF) findings in hydroxychloroquine
(HCQ) retinal toxicity. METHODS: HVF tests were interpreted retrospectively in
this observational case series of 15 patients with HCQ toxicity. Patients seen at
Lahey Clinic were identified by diagnosis coding search. Patients with age
related macular degeneration or glaucoma with visual field loss were excluded.
HVFs done before the diagnosis were analyzed to see if earlier diagnosis could
have been possible. RESULTS: A total of 66 HVFs were reviewed and categorized.
Some abnormalities were subtle. Paracentral defects were seen on 10-2 tests
whereas 24-2 tests, due to their compressed scale, showed central changes. The
abnormalities were often more obvious on pattern deviation rather than the gray
scale. Of those patients with prior HVFs available for review, 50% showed HVF
abnormalities typical of HCQ toxicity present several months or years before
diagnosis. HVF changes preceded fundus changes in nine patients. CONCLUSION: HVF
abnormalities indicating HCQ toxicity vary depending on the specific HVF test
performed. Clinicians need to be aware of the subtle nature of HVF changes in
early toxicity.
PMID- 22094301
TI - Contact lens disinfecting solutions antibacterial efficacy: comparison between
clinical isolates and the standard ISO ATCC strains of Pseudomonas aeruginosa and
Staphylococcus aureus.
AB - PURPOSE: To evaluate the disinfectant properties of the three multipurpose
contact lens disinfecting solutions available in Iran, against clinical isolates
and the standard ISO ATCC strains of Pseudomonas aeruginosa and Staphylococcus
aureus, based on the international organization for standardization (ISO) 14729
guidelines. METHODS: Three multipurpose solutions that were tested were ReNu
Multiplus, Solo Care Aqua and All-Clean Soft. The test solutions were challenged
with clinical isolates and the standard strains of P. aeruginosa(ATCC 9027) and
S. aureus(ATCC 6538), based on the ISO Stand-alone procedure for disinfecting
products. Solutions were sampled for surviving microorganisms at manufacturer's
minimum recommended disinfection time. The number of viable organisms was
determined and log reductions calculated. RESULTS: All of the three test
solutions in this study provided a reduction greater than the required mean 3.0
logarithmic reduction against the recommended standard ATCC strains of P.
aeruginosa and S. aureus. Antibacterial effectiveness of Solo Care Aqua and All
Clean Soft against clinical isolates of P. aeruginosa and S. aureus were
acceptable based on ISO 14729 Stand-alone test. ReNu MultiPlus showed a minimum
acceptable efficacy against the clinical isolate of S. aureus, but did not reduce
the clinical isolate by the same amount. CONCLUSIONS: Although the contact lens
disinfecting solutions meet/exceed the ISO 14729 Stand-alone primary acceptance
criteria for standard strains of P. aeruginosa and S. aureus, their efficacy may
be insufficient against clinical isolates of these organisms.
PMID- 22094302
TI - Ophthalmic features of Friedreich ataxia.
AB - PURPOSE: To describe ocular abnormalities in patients with Friedreich ataxia
(FRDA). METHODS: Patients diagnosed with FRDA by genetic analysis were invited to
participate in a prospective cohort. The patients included underwent an extensive
ophthalmologic examination, including low-contrast Sloan letter charts test and
retinal nerve fiber layer (RNFL) thickness analysis by optical coherence
tomography (OCT). RESULTS: Twenty-three patients agreed to participate. In all,
19 patients (83%) had a visual acuity of at least 0.8 in both eyes. Fundus
examination showed diffuse optic nerve pallor in four patients. However, OCT
showed a decreased mean peripapillary RNFL thickness in all but three adult cases
and one teenager. The RNFL thickness was found to have a positive correlation
with visual acuity (P=0.001) and contrast sensitivity (P=0.001) and a negative
correlation with time elapsed from diagnosis (P=0.001). CONCLUSIONS: OCT and low
contrast test sensitivity show that the visual pathway is affected in FRDA.
However, in most patients there is no significant visual impairment. In a small
proportion of patients visual acuity declines with disease progression. This
study provides a better understanding of the ophthalmic features of FRDA.
PMID- 22094303
TI - Individualised patient care as an adjunct to standard care for promoting
adherence to ocular hypotensive therapy: an exploratory randomised controlled
trial.
AB - PURPOSE: To evaluate the impact of individualised patient care, as an adjunct to
standard care, on adherence to ocular hypotensive therapy. METHODS: A two-arm,
single-masked exploratory randomised controlled trial recruited patients newly
prescribed ocular hypotensive therapy. The intervention involved an individual
assessment of health-care needs and beliefs and a 1-year follow-up period
according to need. The primary outcome was refill adherence, measured by
collating prescription and dispensing data for 12 months. Secondary outcomes
included self-reported adherence, glaucoma knowledge, beliefs about illness and
medicines, quality of care, intraocular pressure (IOP) fluctuation, and changes
in clinical management assessed at 12 months. The strength of the intervention
was measured following withdrawal by reviewing clinical outcomes for a further 12
months. RESULTS: In all, 127 patients were recruited (91% response rate).
Intervention-arm patients collected significantly more prescriptions than control
arm patients. Self-report adherence was significantly better in the intervention
arm for patients who forgot drops and those who intentionally missed drops. The
intervention group demonstrated significantly more glaucoma knowledge, expressed
a significantly stronger belief in the necessity of eye drops and believed that
they had more personal control over managing their condition. Control-arm
patients had more IOP fluctuation and changes in clinical management. However,
this finding only reached significance at 24 months. CONCLUSION: Modelling
patient care according to health-care needs and beliefs about illness and
medicines can have a significant impact on improving adherence to therapy for
this patient group, with the potential benefit of improving clinical outcomes.
PMID- 22094305
TI - Predicting death in massive hemoptysis.
PMID- 22094304
TI - Total protein concentration and T-cell suppression activity of aqueous humour
before and after penetrating keratoplasty.
AB - PURPOSE: We wished to determine whether immune privilege parameters assayed in
aqueous humour (AqH) are relevant to the fate of penetrating keratoplasty (PK) in
humans. METHODS: AqH was collected in 28 patients before PK (prospective cohort),
in 6 patients with no history of graft rejection undergoing cataract surgery
after PK (acceptors), in another 6 patients undergoing treatment of an acute
endothelial immune reaction (rejectors), and in 65 controls undergoing
uncomplicated cataract extraction. AqH was tested for total protein concentration
and the ability to suppress T-cell activation. RESULTS: AqH protein
concentrations of acceptors and rejectors post-PK were elevated (2.7 +/- 0.8 and
2.7 +/- 0.7 mg/ml, respectively) compared with pre-PK AqH level and cataract
controls (1.0 +/- 0.1 mg/ml, P = 0.01). All AqH samples suppressed T-cell
activation, irrespective of source and timing of AqH removal. CONCLUSION: Assays
of immune privilege markers in AqH suggest that PK surgery may result in a
sustained loss of integrity of the blood-aqueous barrier. Although trends were
evident, values of immune privilege markers determined pre- and post-PK were not
statistically significantly different between the study groups. However, further
prospective studies determining additional immune privilege markers have to be
conducted in order to find out whether these markers might serve as predictive
parameters for immune reactions following PK.
PMID- 22094306
TI - Efficacy, nephrotoxicity and ototoxicity of aminoglycosides, mathematically
modelled for modelling-supported therapeutic drug monitoring.
AB - Therapeutic drug monitoring (TDM) of aminoglycosides has been a topic during the
last thirty years. There is a tendency that - because of the once-daily regimen -
TDM is considered not necessary anymore. Although once daily dosing has the
potential for decreased toxicity, long-term usage can cause severe nephro- and
ototoxicity. Furthermore, inadequate plasma concentrations can lead to treatment
failure. This work is devoted to the development and application of the first
mathematical model of aminoglycosides, which simulates in relation to the
pharmacokinetics both their effects on bacteria as well as their nephrotoxicity
and cochleotoxicity. Our software system is suitable for TDM. Based on
theoretical considerations, a multi-compartment mathematical model in a numerical
program in Matlab is derived that incorporates the antimicrobial effects of
aminoglycosides, the saturable and active uptake into kidney cells, the
reversible nephrotoxicity and the irreversible cochleotoxicity. Using fictitious
person data, and an assumed pharmacokinetic and dynamic parameter set obtained
from the literature, we simulated the drug concentrations, antibacterial effects,
and toxicity over time in virtual patients to illustrate the benefits of
optimized, efficacious dosage regimens that minimize (acceptable) nephro- and
auditory ototoxicity. Our model confirms that extended-interval dosing seems the
most appropriate to achieve this goal. By this manner, the present mathematical
model contributes to an increase in our knowledge of how to obtain an optimized
dosing strategy for individual patients. With the developed program, we are able
to demonstrate that optimal aminoglycoside dosing still needs a sophisticated
system of TDM.
PMID- 22094307
TI - Effects of early postnatal allopregnanolone administration on elevated plus maze
anxiety scores in adult male Wistar rats.
AB - BACKGROUND/AIMS: Recent findings suggest that neurosteroids are involved in brain
development. The present study focused on the long-term effects of
developmentally altered allopregnanolone (AlloP) levels on anxiety-like behavior
in adulthood. METHOD: We administered AlloP (10 mg/kg) to rat pups once a day
from the 5th to the 9th day after birth. A dose-response study on midazolam in
the elevated plus maze test was carried out in adulthood (experiment 1) in order
to screen GABAA-benzodiazepine function alterations. Given that the anxiety-like
responses were not affected by AlloP, we doubled the initial AlloP dose
(experiment 2). One group of pups was left undisturbed with their dams in order
to control the effects of daily handling. Only males were behaviorally tested.
RESULTS: Neonatal AlloP administration (10 mg/kg) did not alter the behavioral
response to midazolam in adulthood at the doses tested. Neonatal AlloP
administration at the higher dose (20 mg/kg) induced an anxiolytic-like profile
in adulthood (increased entries into and time spent in the open arms), without
affecting motor activity. The behavioral effects of neonatal AlloP administration
were both selective and independent of daily handling. CONCLUSION: Alterations in
AlloP levels during maturation could partly explain the interindividual
differences shown by adult subjects in response to environmental stress.
PMID- 22094308
TI - A computerized method for automated identification of erect posteroanterior and
supine anteroposterior chest radiographs.
AB - A computerized scheme was developed for automated identification of erect
posteroanterior (PA) and supine anteroposterior (AP) chest radiographs. The
method was based on three features, the tilt angle of the scapula superior
border, the tilt angle of the clavicle and the extent of radiolucence in lung
fields, to identify the view of a chest radiograph. The three indices A(scapula),
A(clavicle) and C(lung) were determined from a chest image for the three
features. Linear discriminant analysis was used to classify PA and AP chest
images based on the three indices. The performance of the method was evaluated by
receiver operating characteristic analysis. The proposed method was evaluated
using a database of 600 PA and 600 AP chest radiographs. The discriminant
performances Az of A(scapula), A(clavicle) and C(lung) were 0.878 +/- 0.010,
0.683 +/- 0.015 and 0.962 +/- 0.006, respectively. The combination of the three
indices obtained an Az value of 0.979 +/- 0.004. The results indicate that the
combination of the three indices could yield high discriminant performance. The
proposed method could provide radiologists with information about the view of
chest radiographs for interpretation or could be used as a preprocessing step for
analyzing chest images.
PMID- 22094309
TI - [The potential of new and old biomarkers for risk stratification in pulmonary
embolism].
PMID- 22094310
TI - Antithrombotic therapy in nonvalvular atrial fibrillation: a narrative review.
AB - Atrial fibrillation (AF) is an important and potentially modifiable cause of
stroke. It has been known since 1989 that oral anticoagulant drugs, such as
warfarin, lead to a dramatic decrease in stroke associated with AF. The best risk
benefit ratio is obtained with intensity of oral anticoagulant treatment for an
INR of 2-3, even in the elderly. Given the risks of anticoagulant therapy,
including bleeding, individual thromboembolic risk must be assessed in patients
with AF. In 2009, dabigatran was shown to be a reasonable alternative to vitamin
K antagonists, establishing itself as a major alternative to warfarin in AF
patients. Rivaroxaban and apixaban have subsequently also been shown to be
alternatives to warfarin. When there are contraindications to vitamin K
antagonists, antiplatelet agents can produce a therapeutic effect, although much
less than oral anticoagulants. Apixaban may be a better alternative to aspirin in
this setting. Patients with low-risk atrial fibrillation (no risk factors) have
not been the subjects of specific clinical trials. It is unclear what would be
the best therapeutic choice for these patients.
PMID- 22094311
TI - [Sequential double vessel myocardial infarction].
AB - Acute myocardial infarction (AMI) involving acute transmural ischemia of two
vascular territories at the same time, which is known as double or combined
infarction, is a well described phenomenon but rarely reported in most series of
patients admitted for AMI. This may be related to the fact that AMI with multiple
vessel obstruction often causes extensive myocardial injury and death before the
patient arrives at the hospital. It is speculated that double infarction results
from the overall prothrombotic and inflammatory conditions associated with AMI.
PMID- 22094312
TI - Determination of the optimal mean arterial pressure for postbleeding
resuscitation after hemorrhagic shock in rats.
AB - BACKGROUND: The authors previously found that 50-60 mmHg mean arterial blood
pressure (MAP) was an optimal target resuscitation pressure for hemorrhagic shock
before bleeding was controlled in rats. However, the optimal target resuscitation
pressure for hemorrhagic shock after bleeding has been controlled has not been
determined. METHODS: A model of uncontrolled hemorrhagic shock was initiated in
anesthetized Wistar rats. After 1-h hypotensive resuscitation and bleeding was
stopped, rats received fluid resuscitation to different target MAPs (50, 70, or
90 mmHg) with lactated Ringer's solution (LR), 6% hydroxyethyl starch (HES),
LR+HES (2:1) or LR+whole blood (2:1) for 2 h. Animal survival, hemodynamic
parameters, and vital organ functions were observed. RESULTS: After bleeding had
been controlled, mildly hypotensive resuscitation at a target MAP of 70 mmHg
increased the survival time and survival rate compared with a target MAP of 50
mmHg and 90 mmHg (P < 0.05 or 0.01). Hemodynamic parameters, cardiac output,
oxygen delivery, and vital organ function (including mitochondrial function) in
70 mmHg target MAP groups were better than in other two-target pressure groups (P
< 0.05 or 0.01). Among the fluids tested, LR+whole blood (2:1) or LR+HES130 (2:1)
had better effects than LR or HES alone at each level of target blood pressure.
CONCLUSION: Mildly hypotensive resuscitation is also needed for hemorrhagic shock
after bleeding has been controlled, irrespective of whether crystalloids or
colloids are used. The optimal target pressure was 70 mmHg in our rat model. A
resuscitation pressure that is too low or too high cannot produce a good
resuscitative effect.
PMID- 22094313
TI - POU1F1-mediated activation of hGH-N by deoxyribonuclease I hypersensitive site II
of the human growth hormone locus control region.
AB - The human growth hormone gene (hGH-N) is regulated by a distal locus control
region (LCR) composed of five deoxyribonuclease I hypersensitive sites (HSs). The
region encompassing HSI and HSII contains the predominant pituitary somatotrope
specific hGH-N activation function of the LCR. This activity was attributed
primarily to POU1F1 (Pit-1) elements at HSI, as linkage to HSI was sufficient for
properly regulated hGH-N expression in transgenic mice, while HSII alone had no
activity. However, the presence of HSII in conjunction with HSI further enhanced
hGH-N transgene expression, indicating additional determinants of pituitary hGH-N
activation in the HSII region, but limitations of transgenic models and previous
ex vivo systems have prevented the characterization of HSII. In the present
study, we employ a novel minichromosome model of the hGH-N regulatory domain and
show that HSII confers robust POU1F1-dependent activation of hGH-N in this
system. This effect was accompanied by POU1F1-dependent histone acetylation and
methylation throughout the minichromosome LCR/hGH-N domain. A series of in vitro
DNA binding experiments revealed that POU1F1 binds to multiple sites at HSII,
consistent with a direct role in HSII function. Remarkably, POU1F1 binding was
localized in part to the 3' untranslated region of a primate-specific LINE-1
(long interspersed nuclear element 1) retrotransposon, suggesting that its
insertion during primate evolution may have conferred function to the HSII region
in the context of pituitary GH gene regulation. These observations clarify the
function of HSII, expanding the role of POU1F1 in hGH LCR activity, and provide
insight on the molecular evolution of the LCR.
PMID- 22094314
TI - Molecular basis for the activation of a catalytic asparagine residue in a self
cleaving bacterial autotransporter.
AB - Autotransporters are secreted proteins produced by pathogenic Gram-negative
bacteria. They consist of a membrane-embedded beta-domain and an extracellular
passenger domain that is sometimes cleaved and released from the cell surface. We
solved the structures of three noncleavable mutants of the autotransporter EspP
to examine how it promotes asparagine cyclization to cleave its passenger. We
found that cyclization is facilitated by multiple factors. The active-site
asparagine is sterically constrained to conformations favorable for cyclization,
while electrostatic interactions correctly orient the carboxamide group for
nucleophilic attack. During molecular dynamics simulations, water molecules were
observed to enter the active site and to form hydrogen bonds favorable for
increasing the nucleophilicity of the active-site asparagine. When the activated
asparagine attacks its main-chain carbonyl carbon, the resulting oxyanion is
stabilized by a protonated glutamate. Upon cleavage, this proton could be
transferred to the leaving amine group, helping overcome a significant energy
barrier. Together, these findings provide insight into factors important for
asparagine cyclization, a mechanism broadly used for protein cleavage.
PMID- 22094315
TI - Bound for observation.
PMID- 22094317
TI - Intravenous rehydration in paediatric gastroenteritis.
PMID- 22094318
TI - Violence and poor mental health and functional outcomes.
PMID- 22094316
TI - Rapid versus standard intravenous rehydration in paediatric gastroenteritis:
pragmatic blinded randomised clinical trial.
AB - OBJECTIVE: To determine if rapid rather than standard intravenous rehydration
results in improved hydration and clinical outcomes when administered to children
with gastroenteritis. DESIGN: Single centre, two arm, parallel randomised
pragmatic controlled trial. Blocked randomisation stratified by site.
Participants, caregivers, outcome assessors, investigators, and statisticians
were blinded to the treatment assignment. SETTING: Paediatric emergency
department in a tertiary care centre in Toronto, Canada. PARTICIPANTS: 226
children aged 3 months to 11 years; complete follow-up was obtained on 223 (99%).
Eligible children were aged over 90 days, had a diagnosis of dehydration
secondary to gastroenteritis, had not responded to oral rehydration, and had been
prescribed intravenous rehydration. Children were excluded if they weighed less
than 5 kg or more than 33 kg, required fluid restriction, had a suspected
surgical condition, or had an insurmountable language barrier. Children were also
excluded if they had a history of a chronic systemic disease, abdominal surgery,
bilious or bloody vomit, hypotension, or hypoglycaemia or hyperglycaemia.
INTERVENTIONS: Rapid (60 mL/kg) or standard (20 mL/kg) rehydration with 0.9%
saline over an hour; subsequent fluids administered according to protocol. MAIN
OUTCOME MEASURES: PRIMARY OUTCOME: clinical rehydration, assessed with a
validated scale, two hours after the start of treatment. SECONDARY OUTCOMES:
prolonged treatment, mean clinical dehydration scores over the four hour study
period, time to discharge, repeat visits to emergency department, adequate oral
intake, and physician's comfort with discharge. Data from all randomised patients
were included in an intention to treat analysis. RESULTS: 114 patients were
randomised to rapid rehydration and 112 to standard. One child was withdrawn
because of severe hyponatraemia at baseline. There was no evidence of a
difference between the rapid and standard rehydration groups in the proportions
of participants who were rehydrated at two hours (41/114 (36%) v 33/112 (30%);
difference 6.5% (95% confidence interval -5.7% to 18.7%; P=0.32). The results did
not change after adjustment for weight, baseline dehydration score, and baseline
pH (odds ratio 1.8, 0.90 to 3.5; P=0.10). The rates of prolonged treatment were
similar (52% rapid v 43% standard; difference 8.9%, 21% to -5%; P=0.19). Although
dehydration scores were similar throughout the study period (P=0.96), the median
time to discharge was longer in the rapid group (6.3 v 5.0 hours; P=0.03).
CONCLUSIONS: There are no relevant clinical benefits from the administration of
rapid rather than standard intravenous rehydration to haemodynamically stable
children deemed to require intravenous rehydration. Trail registration Clinical
Trials NCT00392145.
PMID- 22094319
TI - A 41 year old man with an itchy rash.
PMID- 22094320
TI - You can stop the bleeding.
PMID- 22094321
TI - New education body will tackle variable quality of doctor training.
PMID- 22094322
TI - Micro-Raman spectroscopic analysis of the degree of conversion of composite
resins containing different initiators cured by polywave or monowave LED units.
AB - OBJECTIVES: To determine the degree of conversion (DC) over 48 h post-curing of
resin mixtures containing trimethylbenzoyl-diphenylphosphine oxide (TPO)
initiator cured by a polywave or a monowave LED light-curing unit (LCU). METHODS:
In resin mixtures based on equal weight percent (wt%) of BisGMA and TEGDMA the
following initiators were added: 0.2 wt% camphorquinone (CQ)+0.8 wt% ethyl-4
dimethylaminobenzoate (EDMAB) (Group 1); 1 wt% TPO (Group 2) and 0.1 wt% CQ+0.4
wt% EDMAB+0.5 wt% TPO (Group 3). Half of the samples in each group (n=5) were
cured using a polywave (bluephase((r)) G2, Ivoclar Vivadent) or a monowave LED
LCU (bluephase((r)), Ivoclar Vivadent). The DC was measured using micro-Raman
spectroscopy within 5 min and then 1, 3, 6, 24 and 48 h post-irradiation. The
data were analysed using general linear model and two-way ANOVA for the factors
'time', 'material', 'surface' and 'LCU' at alpha=0.05. RESULTS: The initial DC
values obtained upon light curing remained similar over a 48 h period.
bluephase((r)) G2 produced the highest DC in Group 2 followed by Group 3, and
Group 1. bluephase((r)) resulted in the highest DC in Group 1, followed by Group
2 and Group 3 (p<0.05). CONCLUSIONS: Unfilled resin materials containing both TPO
and CQ-amine initiators are effectively cured using bluephase((r)) G2. Resin
mixture with the same wt% of initiators is better cured when TPO is the only
initiator, compared to CQ-amine only or combined TPO and CQ-amine system. After
initial light cure, no additional conversion of uncured monomers was detected in
an unfilled resin material over 48 h at 37 degrees C.
PMID- 22094323
TI - From prevention to therapy: minimal intervention with sealants and resin
restorative materials.
AB - The breakthrough discovery of the acid-etch procedure by Buonocore in the mid
1950s laid the groundwork for the development of pit and fissure sealant as the
best preventive agent for use against the development and progression of pit and
fissure caries. The acid-etch technique is also the foundational technology
behind the ability for clinical dentistry to adapt to a more conservative,
minimally invasive, approach to restorative dentistry. The 1970s saw the acid
etch technique developed the first foray into minimally invasive operative
approaches, which was termed the Preventive Resin Restoration. With the
development of the etching of porcelain, additional uses of the acid-etch
technique (not discussed here) have led to other conservative procedures such as
the porcelain veneer technique and the restorative uses of bonded, etched
porcelain, and bonded fixed partial dentures.
PMID- 22094324
TI - Diffuse optical imaging and spectroscopy of the breast: a brief outline of
history and perspectives.
AB - Breast cancer is the most common cancer among women in industrialized countries.
At present, X-ray mammography is the gold standard for breast imaging, but has
limitations, especially when dense breasts are imaged, as typically occurs in
young women. Optical imaging can non-invasively provide information on tissue
composition, structure and physiology that can be beneficially exploited for
breast lesion detection and identification. In the last few decades optical
breast imaging has been investigated, using different geometries (projection
imaging and tomography) and measurement techniques (continuous wave, frequency
resolved and time resolved approaches). Also, data analysis and display varies
significantly, ranging from intensity images to maps of the optical properties
(absorption and scattering), tissue composition, and physiological parameters
(typically blood volume and oxygenation). This paper outlines the historical
evolution of optical imaging and spectroscopy of the breast, highlighting
potentialities and limitations, and presents an overview of the main applications
and perspectives of the field.
PMID- 22094325
TI - Advances in the understanding and management of haematological disorders
associated with pregnancy.
PMID- 22094326
TI - Variants of the MTHFR gene and susceptibility to acute lymphoblastic leukemia in
children: a synthesis of genetic association studies.
AB - BACKGROUND: Acute lymphoblastic leukemia (ALL) is a complex disease with genetic
background. The genetic association studies (GAS) that investigated the
association between ALL and the MTHFR C677T and A1298C gene variants have
produced contradictory or inconclusive results. MATERIALS AND METHODS: In order
to decrease the uncertainty of estimated genetic risk effects, a meticulous meta
analysis of published GAS related the variants in the MTFHR gene with
susceptibility to ALL was conducted. The risk effects were estimated based on the
odds ratio (OR) of the allele contrast and the generalized odds ratio (OR(G)).
Cumulative and recursive cumulative meta-analyses were also performed. RESULTS:
The analysis showed marginal significant association for the C677T variant,
overall [OR=0.91 (0.82-1.00) and OR(G)=0.89 (0.79-1.01)], and in Whites [OR=0.88
(0.77-0.99) and OR(G)=0.85 (0.73-0.99)]. The A1298C variant produced non
significant results. For both variants, the cumulative meta-analysis did not show
a trend of association as evidence accumulates and the recursive cumulative meta
analysis indicated lack of sufficient evidence for denying or claiming an
association. CONCLUSION: The current evidence is not sufficient to draw definite
conclusions regarding the association of MTHFR variants and development of ALL.
PMID- 22094327
TI - The importance of an exponential prostate-specific antigen decline after external
beam radiotherapy for intermediate risk prostate cancer.
AB - BACKGROUND: To study the influence of an exponential prostate-specific antigen
(PSA) decline on biochemical failure after external-beam radiotherapy (EBRT).
METHODS: We analyzed 114 patients with intermediate risk prostate cancer
(Gleason<=6 and PSA 10-20 or Gleason 7 and PSA <10). Patients were randomized
between EBRT doses of either 70.2 Gy or 79.2 Gy (1.8 Gy per day). All patients
had a follow up of at least six PSA measurements post-EBRT. Exponential decline
and PSA half life were included in a Cox regression analysis for factors
associated with biochemical failure. RESULTS: A total of 80/114 (70.2%) patterns
were classified as having an exponential PSA decline. Both exponential decline
(HR 0.115, 95%CI 0.03-0.44, p=0.0016) and PSA half life ratio were statistically
significant predictors (HR 1.03 (95% CI 1.01-1.06)) of biochemical failure. In
the model predicting for exponential decline, none of the factors were
significant. CONCLUSION: Patients with an exponential PSA decline show a better
biochemical outcome in the long term.
PMID- 22094328
TI - Near infrared spectroscopy combined with least squares support vector machines
and fuzzy rule-building expert system applied to diagnosis of endometrial
carcinoma.
AB - OBJECTIVE: The feasibility of early diagnosis of endometrial carcinoma was
studied by least squares support vector machines (LS-SVM) and fuzzy rule-building
expert system (FuRES) that classified near infrared (NIR) spectra of tissues.
METHODS: NIR spectra of 77 specimens of endometrium were collected. The spectra
were pretreated by principal component orthogonal signal correction (PC-OSC) and
direct orthogonal signal correction (DOSC) methods to improve the signal-to-noise
ratio (SNR) and remove the influences of background and baseline. The effects of
modeling parameters were investigated using bootstrapped Latin-partition methods.
RESULTS: The optimal LS-SVM model of the PC-OSC pretreatment method successfully
classified the samples with prediction accuracies of 96.8+/-1.4%. CONCLUSIONS:
The proposed procedure proved to be rapid and convenient, which is suitable to be
developed as a non-invasive diagnosis method for cancer tissue.
PMID- 22094330
TI - Posttranslational modifications control FoxO3 activity during denervation.
AB - Loss of muscle mass occurs in a variety of diseases including cancer, chronic
heart failure, AIDS, diabetes, and renal failure, often aggravating pathological
progression. The atrophy process is controlled by a transcriptional program that
regulates the expression of a subset of genes named atrophy-related genes. The
Forkhead Box O (FoxO) family of transcription factors plays a critical role in
the atrophy program being sufficient and necessary for the expression of rate
limiting enzymes of ubiquitin-proteasome and autophagy-lysosome systems.
Therefore, a fine regulation of FoxOs is critical to avoid excessive proteolysis
and cachexia. FoxO activity can be modulated by different mechanisms including
phosphorylation, acetylation, ubiquitination, and glycosylation. Here we show
that FoxO3 is progressively acetylated during denervation and concomitantly
atrogin-1, the bona fide FoxO3 target, is downregulated. FoxO3 interacts with the
histone acetyl-transferase p300, and its acetylation causes cytosolic
relocalization and degradation. Several lysine residues of FoxOs are known to be
acetylated. To identify which lysines are critical for FoxO3 activity we have
generated different FoxO3 mutants that either mimic or prevent lysine
acetylation. We found that FoxO3 mutants that mimic acetylation show a decrease
of transcriptional activity and cytosolic localization. Importantly, acetylation
induces FoxO3 degradation via proteasome system. Between the different lysines,
lysine 262 is critical for translocation of FoxO3. In conclusion, we provide
evidence that FoxO3 activity is negatively modulated by acetylation and
ubiquitination in a time-dependent and coordinated manner. This fine-tuning
mechanism of FoxO3 regulation may be important to prevent excessive muscle loss
and can be used as a therapeutic approach to counteract muscle wasting.
PMID- 22094329
TI - CDK5 interacts with Slo and affects its surface expression and kinetics through
direct phosphorylation.
AB - Large-conductance calcium-activated potassium (BK) channels are ubiquitous and
play an important role in a number of diseases. In hair cells of the ear, they
play a critical role in electrical tuning, a mechanism of frequency
discrimination. These channels show variable kinetics and expression along the
tonotopic axis. Although the molecular underpinnings to its function in hair
cells are poorly understood, it is established that BK channels consist of a pore
forming alpha-subunit (Slo) and a number of accessory subunits. Here we identify
CDK5, a member of the cyclin-dependent kinase family, as an interacting partner
of Slo. We show CDK5 to be present in hair cells and expressed in high
concentrations in the cuticular plate and in the circumferential zone. In human
embryonic kidney cells, we show that CDK5 inhibits surface expression of Slo by
direct phosphorylation of Slo. Similarly, we note that CDK5 affects Slo voltage
activation and deactivation kinetics, by a direct phosphorylation of T847. Taken
together with its increasing expression along the tonotopic axis, these data
suggest that CDK5 likely plays a critical role in electrical tuning and surface
expression of Slo in hair cells.
PMID- 22094331
TI - H-Ras isoform modulates extracellular matrix synthesis, proliferation, and
migration in fibroblasts.
AB - Ras GTPases are ubiquitous plasma membrane transducers of extracellular stimuli.
In addition to their role as oncogenes, Ras GTPases are key regulators of cell
function. Each of the Ras isoforms exhibits specific modulatory activity on
different cellular pathways. This has prompted researchers to determine the
pathophysiological roles of each isoform. There is a proven relationship between
the signaling pathways of transforming growth factor-beta1 (TGF-beta1) and Ras
GTPases. To assess the individual role of H-Ras oncogene in basal and TGF-beta1
mediated extracellular matrix (ECM) synthesis, proliferation, and migration in
fibroblasts, we analyzed these processes in embryonic fibroblasts obtained from H
Ras knockout mice (H-ras(-/-)). We found that H-ras(-/-) fibroblasts exhibited a
higher basal phosphatidylinositol-3-kinase (PI3K)/Akt activation than wild-type
(WT) fibroblasts, whereas MEK/ERK 1/2 activation was similar in both types of
cells. Fibronectin and collagen synthesis were higher in H-ras(-/-) fibroblasts
and proliferation was lower in H-ras(-/-) than in WT fibroblasts. Moreover, H-Ras
appeared indispensable to maintain normal fibroblast motility, which was highly
restricted in H-ras(-/-) cells. These results suggest that H-Ras (through
downregulation of PI3K/Akt activation) could modulate fibroblast activity by
reducing ECM synthesis and upregulating both proliferation and migration. TGF
beta1 strongly increased ERK and Akt activation in WT but not in H-ras(-/-)
fibroblasts, suggesting that H-Ras is necessary to increase ERK 1/2 activation
and to maintain PI3K downregulation in TGF-beta1-stimulated fibroblasts. TGF
beta1 stimulated ECM synthesis and proliferation, although ECM synthesis was
higher and proliferation lower in H-ras(-/-) than in WT fibroblasts. Hence, H-Ras
activation seems to play a key role in the regulation of these effects.
PMID- 22094332
TI - Potential role of insulin signaling on vascular smooth muscle cell migration,
proliferation, and inflammation pathways.
AB - To investigate the role of insulin signaling pathways in migration,
proliferation, and inflammation of vascular smooth muscle cells (VSMCs), we
examined the expression of active components of the phosphatidyl inositol 3 (PI
3) kinase (p-Akt) and mitogen-activated protein kinase (MAPK) (p-Erk) in primary
cultures of VSMCs from human coronary arteries. VSMCs were treated in a dose
response manner with insulin (0, 1, 10, and 100 nM) for 20 min, and Akt and Erk
phosphorylation were measured by Western blot analysis. In separate experiments,
we evaluated the effect of 200 MUM palmitate, in the presence and absence of 8
MUM pioglitazone, on insulin-stimulated (100 nM for 20 min) Akt and Erk
phosphorylation. The phosphorylation of Akt and Erk in VSMCs exhibited a dose
dependency with a three- to fourfold increase, respectively, at the highest dose
(100 nM). In the presence of palmitate, insulin-induced Akt phosphorylation was
completely abolished, and there was a threefold increase in p-Erk. With addition
of pioglitazone, the phosphorylation of Akt by insulin remained unchanged,
whereas insulin-stimulated Erk phosphorylation was reduced by pioglitazone. These
data in VSMCs indicate that high palmitate decreases insulin-stimulated Akt
phosphorylation and stimulates MAPK, whereas preexposure peroxisome proliferator
activated receptor-gamma agonist pioglitazone preserves Akt phosphorylation and
simultaneously attenuates MAPK signaling. Our results suggest that metabolic and
mitogenic insulin signals have different sensitivity, are independently
regulated, and may play a role in arterial smooth muscle cells migration,
proliferation, and inflammation in conditions of acute hyperinsulinemia.
PMID- 22094333
TI - A non-cross-bridge, static tension is present in permeabilized skeletal muscle
fibers after active force inhibition or actin extraction.
AB - When activated muscle fibers are stretched, there is a long-lasting increase in
the force. This phenomenon, referred to as "residual force enhancement," has
characteristics similar to those of the "static tension," a long-lasting increase
in force observed when muscles are stretched in the presence of Ca(2+) but in the
absence of myosin-actin interaction. Independent studies have suggested that
these two phenomena have a common mechanism and are caused either by 1) a Ca(2+)
induced stiffening of titin or by 2) promoting titin binding to actin. In this
study, we performed two sets of experiments in which activated fibers (pCa(2+)
4.5) treated with the myosin inhibitor blebbistatin were stretched from 2.7 to
2.8 MUm at a speed of 40 L(o)/s, first, after partial extraction of TnC, which
inhibits myosin-actin interactions, or, second, after treatment with gelsolin,
which leads to the depletion of thin (actin) filaments. We observed that the
static tension, directly related with the residual force enhancement, was not
changed after treatments that inhibit myosin-actin interactions or that deplete
fibers from troponin C and actin filaments. The results suggest that the residual
force enhancement is caused by a stiffening of titin upon muscle activation but
not with titin binding to actin. This finding indicates the existence of a Ca(2+)
regulated, titin-based stiffness in skeletal muscles.
PMID- 22094334
TI - Age-related changes in afferent responses in sensory neurons to mechanical
stimulation of osteoblasts in coculture system.
AB - Bone homeostasis is regulated by mechanical stimulation (MS). The sensory
mechanism of bone tissue for MS remains unknown in the maintenance of bone
homeostasis. We aimed to investigate the sensory mechanism from osteoblasts to
sensory neurons in a coculture system by MS of osteoblasts. Primary sensory
neurons isolated from dorsal root ganglia (DRG) of neonatal, juvenile, and adult
mice and osteoblasts isolated from calvaria of neonatal mice were cocultured for
24 h. The responses in DRG neurons elicited by MS of osteoblasts with a glass
micropipette were detected by increases in intracellular Ca(2+) concentration
([Ca(2+)](i)) with fluo 3-AM. In all developmental stages mice, [Ca(2+)](i)
increasing responses in osteoblasts were promptly elicited by MS. After a short
delay, [Ca(2+)](i)-increasing responses were observed in neurites of DRG neurons.
The osteoblastic response to second MS was largely attenuated by a stretch
activated Ca(2+) channel blocker, gadolinium. The increases of [Ca(2+)](i) in DRG
neurons were abolished by a P2 receptor antagonist; suramin, a P2X receptor
antagonist, pyridoxal-phosphate-6-azophenyl-2',4'-disulfonate; and an ATP
hydrolyzing enzyme, apyrase. Satellite cells were found around DRG neurons in
cocultured cells of only neonatal and juvenile mice. After satellite cells were
removed, excessive abnormal responses to MS of osteoblasts were observed in
neonatal neurites with unchanged osteoblast responses. The present study
indicated that MS of bone tissue elicited afferent P2X receptor-mediated
purinergic transmission to sensory neurons in all stages mice. This transmission
is modulated by satellite cells, which may have protective actions on sensory
neurons.
PMID- 22094335
TI - Orai1 and Ca2+-independent phospholipase A2 are required for store-operated Icat
SOC current, Ca2+ entry, and proliferation of primary vascular smooth muscle
cells.
AB - Store-operated Ca(2+) entry (SOCE) is important for multiple functions of
vascular smooth muscle cells (SMC), which, depending of their phenotype, can
resemble excitable and nonexcitable cells. Similar to nonexcitable cells, Orai1
was found to mediate Ca(2+)-selective (CRAC-like) current and SOCE in
dedifferentiated cultured SMC and smooth muscle-derived cell lines. However, the
role of Orai1 in cation-selective store-operated channels (cat-SOC), which are
responsible for SOCE in primary SMC, remains unclear. Here we focus on primary
SMC, and assess the role of Orai1 and Ca(2+)-independent phospholipase A(2)
(iPLA(2)beta, or PLA2G6) in activation of cat-SOC current (I(cat-SOC)), SOCE, and
SMC proliferation. Using molecular, electrophysiological, imaging, and functional
approaches, we demonstrate that molecular knockdown of either Orai1 or
iPLA(2)beta leads to similar inhibition of the whole cell cat-SOC current and
SOCE in primary aortic SMC and results in significant reduction in DNA synthesis
and impairment of SMC proliferation. This is the first demonstration that Orai1
and iPLA(2)beta are equally important for cat-SOC, SOCE, and proliferation of
primary aortic SMC.
PMID- 22094336
TI - Comparing three basic models for seasonal influenza.
AB - In this paper we report the use of the open source Spatiotemporal Epidemiological
Modeler (STEM, www.eclipse.org/stem) to compare three basic models for seasonal
influenza transmission. The models are designed to test for possible differences
between the seasonal transmission of influenza A and B. Model 1 assumes that the
seasonality and magnitude of transmission do not vary between influenza A and B.
Model 2 assumes that the magnitude of seasonal forcing (i.e., the maximum
transmissibility), but not the background transmission or flu season length,
differs between influenza A and B. Model 3 assumes that the magnitude of seasonal
forcing, the background transmission, and flu season length all differ between
strains. The models are all optimized using 10 years of surveillance data from 49
of 50 administrative divisions in Israel. Using a cross-validation technique, we
compare the relative accuracy of the models and discuss the potential for
prediction. We find that accounting for variation in transmission amplitude
increases the predictive ability compared to the base. However, little
improvement is obtained by allowing for further variation in the shape of the
seasonal forcing function.
PMID- 22094337
TI - What types of contacts are important for the spread of infections?: using contact
survey data to explore European mixing patterns.
AB - Knowledge of the determinants of infectious disease transmission is a public
health priority as it allows the design of optimal control strategies for endemic
or emerging infections. We analyse a detailed dataset on contact patterns across
five European countries and use available serological profiles for varicella and
parvovirus B19 infections to identify the types of contact that may be most
relevant for transmission. We show that models informed by contact data fit well
the observed serological profiles of both infections. We find that intimate types
of contacts explain the pattern of acquisition of serological markers by age
better than other types of social contacts. We observe similar patterns in each
of the countries analysed, suggesting that there are consistent biological
mechanisms at work.
PMID- 22094338
TI - Incorporating population dynamics into household models of infectious disease
transmission.
AB - Most household models of disease transmission assume static household
distributions. Although this is a reasonable simplification for assessing
vaccination strategies at a single point in time or over the course of an
outbreak, it has considerable drawbacks for assessing long term vaccination
policies or for predicting future changes in immunity. We demonstrate that
household models that include births, deaths and movement between households can
show dramatically different patterns of infection and immunity to static
population models. When immunity is assumed to be life-long, the pattern of
births by household size is the key driver of infection, suggesting that the
influx of susceptibles has most impact on infection risk in the household. In a
comparison of 12 countries, we show that both the crude birth rate and the mean
household size affect the risk of infection in households.
PMID- 22094339
TI - Evolution of diversity in epidemics revealed by analysis of the human bacterial
pathogen group A Streptococcus.
AB - Advancements in high-throughput, high-volume data generating techniques
increasingly present us with opportunities to probe new areas of biology. In this
work we assessed the extent to which four closely related and genetically
representative strains of group A Streptococcus causing epidemic disease have
differentiated from one another. Comparative genome sequencing, expression
microarray analysis, and proteomic studies were used in parallel to assess strain
variation. The extent of phenotypic differentiation was unexpectedly large. We
found significant associations between genetic polymorphisms and alterations in
gene expression allowing us to estimate the frequency with which specific types
of polymorphisms alter gene transcription. We identified polymorphisms in the
gene (ropB) encoding the RopB regulator that associate with altered transcription
of speB and production of the SpeB protein, a critical secreted protease
virulence factor. Although these four epidemic strains are closely related, a key
discovery is that accumulation of modest genetic changes has rapidly resulted in
significant strain phenotypic differentiation, including the extracellular
proteome that contains multiple virulence factors. These data provide enhanced
understanding of genetic events resulting in strain variation in bacterial
epidemics.
PMID- 22094340
TI - Modelling management strategies for a disease including undetected sub-clinical
infection: bacterial kidney disease in Scottish salmon and trout farms.
AB - Disease is a major constraint on animal production and welfare in agriculture and
aquaculture. Movement of animals between farms is one of the most significant
routes of disease transmission and is particularly hard to control for pathogens
with subclinical infection. Renibacterium salmoninarum causes bacterial kidney
disease (BKD) in salmonid fish, but infection is often sub-clinical and may go
undetected with major potential implications for disease control programmes. A
Susceptible-Infected model of R. salmoninarum in Scottish aquaculture has been
developed that subdivides the infected phase between known and undetected sub
clinically infected farms and diseased farms whose status is assumed to be known.
Farms officially known to be infected are subject to movement controls
restricting spread of infection. Model results are sensitive to prevalence of
undetected infection, which is unknown. However, the modelling suggests that
controls that reduce BKD prevalence include improve biosecurity on farms,
including those not known to be infected, and improved detection of infection.
Culling appears of little value for BKD control. BKD prevalence for rainbow trout
farms is less sensitive to controls than it is for Atlantic salmon farms and so
different management strategies may be required for the sectors.
PMID- 22094341
TI - The decline and resurgence of pertussis in the US.
AB - Although the resurgence of pertussis in nations with long-standing vaccination
programs has raised serious concerns about the effectiveness of current
immunization policy, the epidemiology of resurgence remains poorly understood. We
analyzed pertussis notifications in US states obtained from the National
Notifiable Disease Surveillance System from 1951 to 2010 to explore the timing,
spatial pattern and consistency of resurgence across the country. Here we show
that resurgence occurred at different times in different states, spread out over
a transition period of roughly three decades. Further, despite this spatial
variation, broad patterns in pertussis epidemiology can be described by two
dominant phases: (1) a period of decline ending in the mid-1970s, followed by (2)
nationwide resurgence. Together, these patterns explain 89.7% of the variation in
US case notifications between 1951 and 2005. This resurgence was interrupted,
however, by a synchronized downturn in 2005 that continues to the present in many
large states. The causes of these two transitions in pertussis epidemiology
remain hotly debated, though our findings suggest that evolution of the
Bordetella pertussis bacterium, loss of immunity and persistent transmission
among adults, and demographic drivers are more probable explanations than changes
in reporting or the introduction of acellular vaccines.
PMID- 22094342
TI - Sphagnum mosses harbour highly specific bacterial diversity during their whole
lifecycle.
AB - Knowledge about Sphagnum-associated microbial communities, their structure and
their origin is important to understand and maintain climate-relevant Sphagnum
dominated bog ecosystems. We studied bacterial communities of two cosmopolitan
Sphagnum species, which are well adapted to different abiotic parameters
(Sphagnum magellanicum, which are strongly acidic and ombrotrophic, and Sphagnum
fallax, which are weakly acidic and mesotrophic), in three Alpine bogs in Austria
by a multifaceted approach. Great differences between bacterial fingerprints of
both Sphagna were found independently from the site. This remarkable specificity
was confirmed by a cloning and a deep sequencing approach. Besides the common
Alphaproteobacteria, we found a discriminative spectrum of bacteria; although
Gammaproteobacteria dominated S. magellanicum, S. fallax was mainly colonised by
Verrucomicrobia and Planctomycetes. Using this information for fluorescent in
situ hybridisation analyses, corresponding colonisation patterns for
Alphaproteobacteria and Planctomycetes were detected. Bacterial colonies were
found in high abundances inside the dead big hyalocytes, but they were always
connected with the living chlorocytes. Using multivariate statistical analysis,
the abiotic factors nutrient richness and pH were identified to modulate the
composition of Sphagnum-specific bacterial communities. Interestingly, we found
that the immense bacterial diversity was transferred via the sporophyte to the
gametophyte, which can explain the high specificity of Sphagnum-associated
bacteria over long distances. In contrast to higher plants, which acquire their
bacteria mainly from the environment, mosses as the phylogenetically oldest land
plants maintain their bacterial diversity within the whole lifecycle.
PMID- 22094343
TI - Resource partitioning in relation to cohabitation of Lactobacillus species in the
mouse forestomach.
AB - Phylogenetic analysis of gut communities of vertebrates is advanced, but the
relationships, especially at the trophic level, between commensals that share gut
habitats of monogastric animals have not been investigated to any extent.
Lactobacillus reuteri strain 100-23 and Lactobacillus johnsonii strain 100-33
cohabit in the forestomach of mice. According to the niche exclusion principle,
this should not be possible because both strains can utilise the two main
fermentable carbohydrates present in the stomach digesta: glucose and maltose. We
show, based on gene transcription analysis, in vitro physiological assays, and in
vivo experiments that the two strains can co-exist in the forestomach habitat
because 100-23 grows more rapidly using maltose, whereas 100-33 preferentially
utilises glucose. Mutation of the maltose phosphorylase gene (malA) of strain 100
23 prevented its growth on maltose-containing culture medium, and resulted in the
numerical dominance of 100-33 in the forestomach. The fundamental niche of L.
reuteri 100-23 in the mouse forestomach can be defined in terms of 'glucose and
maltose trophism'. However, its realised niche when L. johnsonii 100-33 is
present is 'maltose trophism'. Hence, nutritional adaptations provide niche
differentiation that assists cohabitation by the two strains through resource
partitioning in the mouse forestomach. This real life, trophic phenomenon
conforms to a mathematical model based on in vitro bacterial doubling times, in
vitro transport rates, and concentrations of maltose and glucose in mouse stomach
digesta.
PMID- 22094344
TI - The activity level of a microbial community function can be predicted from its
metatranscriptome.
AB - The objective of this work was to improve our understanding of the quantitative
predictive capabilities of metatranscriptomics. To meet this objective, we
investigated whether we can predict the activity level of a specific biochemical
function based on the abundance of the corresponding gene transcript within
measured community metatranscriptomes. In addition, we investigated the lower
limit of a microorganism's abundance that still allows detection of its
transcripts within a metatranscriptome and prediction of the activity levels of
the enzyme encoded by the transcript. To do this, we amended an undefined
microbial community with varying fractions of an Escherichia coli strain that can
catalyze a specific transformation reaction for the herbicide atrazine. We
observed a linear and proportional relationship between the activity level of the
transformation reaction and the abundance of its associated encoding transcript
down to an E. coli cell density of 0.05% of the population.
PMID- 22094346
TI - Anaerobic oxidation of methane at different temperature regimes in Guaymas Basin
hydrothermal sediments.
AB - Anaerobic oxidation of methane (AOM) was investigated in hydrothermal sediments
of Guaymas Basin based on delta(13)C signatures of CH(4), dissolved inorganic
carbon and porewater concentration profiles of CH(4) and sulfate. Cool, warm and
hot in-situ temperature regimes (15-20 degrees C, 30-35 degrees C and 70-95
degrees C) were selected from hydrothermal locations in Guaymas Basin to compare
AOM geochemistry and 16S ribosomal RNA (rRNA), mcrA and dsrAB genes of the
microbial communities. 16S rRNA gene clone libraries from the cool and hot AOM
cores yielded similar archaeal types such as Miscellaneous Crenarchaeotal Group,
Thermoproteales and anaerobic methane-oxidizing archaea (ANME)-1; some of the
ANME-1 archaea formed a separate 16S rRNA lineage that at present seems to be
limited to Guaymas Basin. Congruent results were obtained by mcrA gene analysis.
The warm AOM core, chemically distinct by lower porewater sulfide concentrations,
hosted a different archaeal community dominated by the two deep subsurface
archaeal lineages Marine Benthic Group D and Marine Benthic Group B, and by
members of the Methanosarcinales including ANME-2 archaea. This distinct
composition of the methane-cycling archaeal community in the warm AOM core was
confirmed by mcrA gene analysis. Functional genes of sulfate-reducing bacteria
and archaea, dsrAB, showed more overlap between all cores, regardless of the core
temperature. 16S rRNA gene clone libraries with Euryarchaeota-specific primers
detected members of the Archaeoglobus clade in the cool and hot cores. A V6-tag
high-throughput sequencing survey generally supported the clone library results
while providing high-resolution detail on archaeal and bacterial community
structure. These results indicate that AOM and the responsible archaeal
communities persist over a wide temperature range.
PMID- 22094345
TI - Contrasting recombination patterns and demographic histories of the plant
pathogen Ralstonia solanacearum inferred from MLSA.
AB - We used multilocus sequence analysis (MLSA) on a worldwide collection of the
plant pathogenic Ralstonia solanacearum (Betaproteobacteria) to retrace its
complex evolutionary history. Using genetic imprints left during R. solanacearum
evolution, we were able to delineate distinct evolutionary complex displaying
contrasting dynamics. Among the phylotypes already described (I, IIA, IIB, III,
IV), eight groups of strains with distinct evolutionary patterns, named clades,
were identified. From our recombination analysis, we identified 21 recombination
events that occurred within and across these lineages. Although appearing the
most divergent and ancestral phylotype, phylotype IV was inferred as a gene donor
for the majority of the recombination events that we detected. Whereas this
phylotype apparently fuelled the species diversity, ongoing diversification was
mainly detected within phylotype I, IIA and III. These three groups presented a
recent expanding population structure, a high level of homologous recombination
and evidences of long-distance migrations. Factors such as adaptation to a
specific host or intense trading of infected crops may have promoted this
diversification. Whether R. solanacearum lineages will eventually evolve in
distinct species remains an open question. The intensification of cropping and
increase of geographical dispersion may favour situations of phylotype sympatry
and promote higher exchange of key factors for host adaptation from their common
genetic pool.
PMID- 22094347
TI - Magnitude and regulation of bacterioplankton respiratory quotient across
freshwater environmental gradients.
AB - Bacterioplankton respiration (BR) may represent the largest single sink of
organic carbon in the biosphere and constitutes an important driver of
atmospheric carbon dioxide (CO(2)) emissions from freshwaters. Complete
understanding of BR is precluded by the fact that most studies need to assume a
respiratory quotient (RQ; mole of CO(2) produced per mole of O(2) consumed) to
calculate rates of BR. Many studies have, without clear support, assumed a fixed
RQ around 1. Here we present 72 direct measurements of bacterioplankton RQ that
we carried out in epilimnetic samples of 52 freshwater sites in Quebec (Canada),
using O(2) and CO(2) optic sensors. The RQs tended to converge around 1.2, but
showed large variability (s.d.=0.45) and significant correlations with major
gradients of ecosystem-level, substrate-level and bacterial community-level
characteristics. Experiments with natural bacterioplankton using different single
substrates suggested that RQ is intimately linked to the elemental composition of
the respired compounds. RQs were on average low in net autotrophic systems, where
bacteria likely were utilizing mainly reduced substrates, whereas we found
evidence that the dominance of highly oxidized substrates, for example, organic
acids formed by photo-chemical processes, led to high RQ in the more
heterotrophic systems. Further, we suggest that BR contributes to a substantially
larger share of freshwater CO(2) emissions than presently believed based on the
assumption that RQ is ~1. Our study demonstrates that bacterioplankton RQ is not
only a practical aspect of BR determination, but also a major ecosystem state
variable that provides unique information about aquatic ecosystem functioning.
PMID- 22094348
TI - Analogous nutrient limitations in unicellular diazotrophs and Prochlorococcus in
the South Pacific Ocean.
AB - Growth limitation of phytoplankton and unicellular nitrogen (N(2)) fixers
(diazotrophs) were investigated in the oligotrophic Western South Pacific Ocean.
Based on change in abundances of nifH or 23S rRNA gene copies during nutrient
enrichment experiments, the factors limiting net growth of the unicellular
diazotrophs UCYN-A (Group A), Crocosphaera watsonii, gamma-Proteobacterium
24774A11, and the non-diazotrophic picocyanobacterium Prochlorococcus, varied
within the region. At the westernmost stations, numbers were enhanced by organic
carbon added as simple sugars, a combination of iron and an organic chelator, or
iron added with phosphate. At stations nearest the equator, the nutrient-limiting
growth was not apparent. Maximum net growth rates for UCYN-A, C. watsonii and
gamma-24774A11 were 0.19, 0.61 and 0.52 d(-1), respectively, which are the first
known empirical growth rates reported for the uncultivated UCYN-A and the gamma
24774A11. The addition of N enhanced total phytoplankton biomass up to 5-fold,
and the non-N(2)-fixing Synechococcus was among the groups that responded
favorably to N addition. Nitrogen was the major nutrient-limiting phytoplankton
biomass in the Western South Pacific Ocean, while availability of organic carbon
or iron and organic chelator appear to limit abundances of unicellular
diazotrophs. Lack of phytoplankton response to nutrient additions in the Pacific
warm pool waters suggests diazotroph growth in this area is controlled by
different factors than in the higher latitudes, which may partially explain
previously observed variability in community composition in the region.
PMID- 22094350
TI - Microbes in thawing permafrost: the unknown variable in the climate change
equation.
PMID- 22094349
TI - Ecological determinants of the occurrence and dynamics of Vibrio parahaemolyticus
in offshore areas.
AB - The life cycle of Vibrio parahaemolyticus has been conventionally associated with
estuarine areas characterized by moderate salinity and warm seawater
temperatures. Recent evidence suggests that the distribution and population
dynamics of V. parahaemolyticus may be shaped by the existence of an oceanic
transport of communities of this organism mediated by zooplankton. To evaluate
this possibility, the presence of V. parahaemolyticus in the water column of
offshore areas of Galicia was investigated by PCR monthly over an 18-month
period. Analysis of zooplankton and seawater showed that the occurrence of V.
parahaemolyticus in offshore areas was almost exclusively associated with
zooplankton and was present in 80% of the samples. The influence of environmental
factors assessed by generalized additive models revealed that the abundance and
seasonality of V. parahaemolyticus in zooplankton was favoured by the concurrence
of downwelling periods that promoted the zooplankton patchiness. These results
confirm that offshore waters may be common habitats for V. parahaemolyticus,
including strains with virulent traits. Additionally, genetically related
populations were found in offshore zooplankton and in estuaries dispersed along
1500 km. This finding suggests that zooplankton may operate as a vehicle for
oceanic dispersal of V. parahaemolyticus populations, connecting distant regions
and habitats, and thereby producing impacts on the local community demography and
the spread of Vibrio-related diseases.
PMID- 22094351
TI - Phenotyping of tianma-stimulated differentiated rat neuronal b104 cells by
quantitative proteomics.
AB - Gastrodia elata blume (tianma) is a traditional Chinese herb often used in the
treatment of convulsions, headaches, and hypertension. Although interest in
neuronal-related actions of tianma is increasing, minimal studies have been
conducted to determine its specific effects on neuronal cells. This study was
designed to examine the effects of tianma on the metabolism in differentiated
neuroblastoma cells using the isobaric tag for relative and absolute quantitation
(iTRAQ) technology. Stimulation of these cells with tianma caused changes in the
expression of 38 proteins that were subsequently classified according to their
physiological functions and association with neurodegenerative diseases. We
identified six proteins with altered functional activities in neurodegenerative
disease states that were modulated by tianma: triosephosphate isomerase (Tpi1),
peptidyl-prolyl cis-trans isomerase A (Ppia), neural cell adhesion molecule 1
(Ncam1), ubiquitin carboxyl-terminal hydrolase isozyme L1 (Uchl1), septin-2
(Sept2) and heat shock protein 90 (Hsp90aa1). We postulate that tianma mediates
its neuroprotective effects via upregulation of Ncam1, Hsp90aa1, Tpi1 and Ppia
while downregulating Sept2 and Uchl1. These changes in protein expression aid in
the restoration of the intracellular environment to a metabolically balanced
state, promoting cell survival. Based on these observed data, we conclude that
tianma has therapeutic potential, especially for neurodegenerative diseases.
PMID- 22094352
TI - Acute tryptophan depletion effects on the vertex and late positive potentials to
emotional faces in individuals with a family history of depression.
AB - BACKGROUND: Depression, which is associated with dysfunctional serotonin (5-HT)
activity, may be characterized by impaired emotional information processing. This
study assessed the effects of acute tryptophan depletion (TRP-), which
transiently lowers CNS 5-HT, on the emotion-sensitive vertex positive potential
(VPP) and late positive potential (LPP) event-related potentials (ERPs) and mood
in individuals with a family history of depression. The VPP and LPP are thought
to index the early and later stages of motivated attentional processing,
respectively. METHOD: Within a double-blind balanced design, ERPs were acquired
in 18 individuals with a family history of depression (12 females) after TRP- and
TRP+ (balanced) treatment while participants were presented with facial
expressions (neutral, as well as sad, joy and surprise at 50 and 100% intensity)
and responded to surprised faces. RESULTS: TRP- increased total mood disturbance
and maintained depression scores. The VPP and LPP were larger to intense versus
mild expressions. Enhanced processing of emotional versus neutral faces, as
indexed by the VPP, was primarily evident with TRP-. Speeded and enhanced
processing of intensely joyful versus mildly sad faces was found with TRP- (VPP
indexed). Compared with TRP+, TRP also increased the VPP to mildly joyful faces.
CONCLUSION: Transient 5-HT decreases in individuals with a family history of
depression induce subtle changes in early stages of motivated emotional
processing, though not in later ones.
PMID- 22094353
TI - Atorvastatin and hormone therapy effects on APOE mRNA expression in
hypercholesterolemic postmenopausal women.
AB - Menopause is associated with changes in lipid levels resulting in increased risk
of atherosclerosis and cardiovascular events. Hormone therapy (HT) and
atorvastatin have been used to improve lipid profile in postmenopausal women.
Effects of HT, atorvastatin and APOE polymorphisms on serum lipids and APOE and
LXRA expression were evaluated in 87 hypercholesterolemic postmenopausal women,
randomly selected for treatment with atorvastatin (AT, n=17), estrogen or
estrogen plus progestagen (HT, n=34) and estrogen or estrogen plus progestagen
associated with atorvastatin (HT+AT, n=36). RNA was extracted from peripheral
blood mononuclear cells (PBMC) and mRNA expression was measured by TaqMan((r))
PCR. APOE E2/E3/E4 genotyping was performed using PCR-RFLP. Total cholesterol
(TC), LDL-c and apoB were reduced after each treatment (p<0.001). Triglycerides,
VLDL-c and apoAI were reduced only after atorvastatin (p<0.05), whereas
triglycerides and VLDL-c were increased after HT (p=0.01). HT women had lower
reduction on TC, LDL-c and apoB than AT and HT+AT groups (p<0.05). APOE mRNA
expression was reduced after atorvastatin treatment (p=0.03). Although LXRA gene
expression was not modified by atorvastatin, it was correlated with APOE mRNA
before and after treatments. Basal APOE mRNA expression was not influenced by
gene polymorphisms, however the reduction on APOE expression was more pronounced
in E3E3 than in E3E4 carriers. Atorvastatin down-regulates APOE mRNA expression
and it is modified by APOE genotypes in PBMC from postmenopausal women.
PMID- 22094354
TI - Dasatinib overrides imatinib resistance mediated by the F359I residue mutation in
two patients with chronic myeloid leukemia.
AB - Despite the beneficial effects of imatinib mesylate, some patients may either not
respond or respond suboptimally. Here, we report two chronic myelogenous leukemia
patients; one had a suboptimal response according to European LeukemiaNet
criteria (a major molecular response was not achieved after 18 months of standard
dose imatinib therapy) and the other had failure with a standard dose of
imatinib. At the time of the suboptimal response in patient 1 and the failure in
patient 2, we were able to detect the F359I mutation in the BCR-ABL tyrosine
kinase domain using DNA sequencing in both patients. Therefore, it was decided to
change the therapeutic regimen to dasatinib at a dose of 100 mg once daily in
both patients. This change resulted in the achievement of complete cytogenetic
remission in patient 1 after 4 months and a major molecular response within 2 and
3 months in both patients. Detection of the F359I mutation in our two cases
likely explains the suboptimal response to imatinib in case 1 and the failure in
case 2. This implies that in such cases dasatinib should be considered to
effectively suppress the mutated clones.
PMID- 22094355
TI - Bridging gaps in handoffs: a continuity of care based approach.
AB - Handoff among healthcare providers has been recognized as a major source of
medical errors. Most prior research has often focused on the communication
aspects of handoff, with limited emphasis on the overall handoff process,
especially from a clinician workflow perspective. Such a workflow perspective
that is based on the continuity of care model provides a framework required to
identify and support an interconnected trajectory of care events affecting
handoff communication. To this end, we propose a new methodology, referred to as
the clinician-centered approach that allows us to investigate and represent the
entire clinician workflow prior to, during and, after handoff communication. This
representation of clinician activities supports a comprehensive analysis of the
interdependencies in the handoff process across the care continuum, as opposed to
a single discrete, information sharing activity. The clinician-centered approach
is supported by multifaceted methods for data collection such as observations,
shadowing of clinicians, audio recording of handoff communication, semi
structured interviews and artifact identification and collection. The analysis
followed a two-stage mixed inductive-deductive method. The iterative development
of clinician-centered approach was realized using a multi-faceted study conducted
in the Medical Intensive Care Unit (MICU) of an academic hospital. Using the
clinician-centered approach, we (a) identify the nature, inherent characteristics
and the interdependencies between three phases of the handoff process and (b)
develop a descriptive framework of handoff communication in critical care that
captures the non-linear, recursive and interactive nature of collaboration and
decision-making. The results reported in this paper serve as a "proof of concept"
of our approach, emphasizing the importance of capturing a coordinated and
uninterrupted succession of clinician information management and transfer
activities in relation to patient care events.
PMID- 22094356
TI - Summary of Product Characteristics content extraction for a safe drugs usage.
AB - The use of medications has a central role in health care provision, yet on
occasion, it may injure the person taking them as result of adverse drug events.
A correct drug choice must be modulated to acknowledge both patients' status and
drug-specific information. However, this information is locked in free-text and,
as such, cannot be actively accessed and elaborated by computerized applications.
The goal of this work lies in extracting content (active ingredient, interaction
effects, etc.) from the Summary of Product Characteristics, focusing mainly on
drug-related interactions, following a machine learning based approach. We
compare two state of the art classifiers: conditional random fields with support
vector machines. To this end, we introduce a corpus of 100 interaction sections,
hand annotated with 13 labels that have been derived from a previously developed
conceptual model. The results of our empirical analysis demonstrate that the two
models perform well. They exhibit similar overall performance, with an overall
accuracy of about 91%.
PMID- 22094357
TI - The link between tissue elasticity and thermal dose in vivo.
AB - The objective of this study was to investigate in vivo the relationship between
stiffness and thermal dose. For this purpose, shear wave elastography (SWE)--a
novel ultrasound-based technique for real-time mapping of the stiffness of
biological soft tissues--is performed in temperature-controlled experiments.
Experiments were conducted on nine anesthetized rats. Their right leg was put in
a thermo-regulated waterbath. The right leg of each animal was heated at one
particular temperature between 38 degrees C and 48.5 degrees C for 15 min to 3
h. Shear waves were generated in the muscle using the acoustic radiation force
induced by a linear ultrasonic probe. The shear wave propagation was imaged in
real time by the probe using an ultrafast scanner prototype (10,000 frames s-1).
The local tissue stiffness was derived from the shear wave speed. Two optical
fiber sensors were inserted into the muscle to measure in situ the temperature.
Stiffness was found to increase strongly during the experiments. When expressed
as a function of the thermal dose, the stiffness curves were found to be the same
for all experiments. A thermal dose threshold was found at 202 min for an
eightfold stiffness increase. Finally, the time-temperature relationship was
established for different stiffness ratios. The slope of the time-temperature
relationship based on stiffness measurements was found identical to the one
obtained for cell death in the seminal paper on the thermal dose by Sapareto and
Dewey in 1984 (Int. J. Radiat. Oncol. Biol. Phys. 10 787-800). The present
results highlight the stiffness increase as a good indicator of thermal necrosis.
SWE imaging can be used in vivo for necrosis threshold determination in thermal
therapy.
PMID- 22094358
TI - Neuroprotective effects of lithium treatment following hypoxic-ischemic brain
injury in neonatal rats.
AB - PURPOSE: Increasing evidence indicates that lithium is a neuroprotective agent
against transient focal and global ischemic injury in the adult animal. In the
developing brain, lithium has shown protective effects against neuroapoptosis
induced by drugs. This study was designed to investigate the neuroprotective
effects of lithium on hypoxic-ischemic brain injury in the neonatal rat. METHODS:
Seven-day-old Sprague-Dawley rats underwent hypoxic-ischemic injury (HII) induced
by ligation of the common carotid artery followed by exposure to ~2.5 h of
hypoxia (~7% oxygen). After HII, rat pups were randomly assigned into two groups:
a control group (n = 21), which received a daily subcutaneous injection of 0.9%
normal saline for 14 days following HII; and a lithium group (n = 32), treated
with daily injection of lithium chloride. N-acetylaspartate/creatinine,
choline/creatinine, lipid/creatinine ratios at 1.3 ppm (Lip(1.3)/Cr) and 0.9 ppm
(Lip(0.9)/Cr) lipid peaks were evaluated by proton magnetic resonance
spectroscopy on the day of HII and on days 7 and 14 after HII. Infarct ratios
based on magnetic resonance images were also determined at the same time points.
RESULTS: Seven days after HII, the Lip(1.3)/Cr and Lip(0.9)/Cr ratios as well as
the infarct ratio were significantly lower in the lithium group than in the
control group. The Lip(1.3)/Cr and Lip(0.9)/Cr ratios were significantly
correlated with infarct ratio. CONCLUSION: This study showed that post-HII
treatment with lithium may have a neuroprotective effect in the immature brain.
Further studies are needed to elucidate the mechanism of neuroprotective
properties of lithium against HII-induced neonatal brain damage.
PMID- 22094360
TI - Case of pituicytoma in childhood.
PMID- 22094361
TI - Modeling morphogen gradient formation from arbitrary realistically shaped
sources.
AB - Much of the analytical modeling of morphogen profiles is based on simplistic
scenarios, where the source is abstracted to be point-like and fixed in time, and
where only the steady state solution of the morphogen gradient in one dimension
is considered. Here we develop a general formalism allowing to model diffusive
gradient formation from an arbitrary source. This mathematical framework, based
on the Green's function method, applies to various diffusion problems. In this
paper, we illustrate our theory with the explicit example of the Bicoid gradient
establishment in Drosophila embryos. The gradient formation arises by protein
translation from a mRNA distribution followed by morphogen diffusion with linear
degradation. We investigate quantitatively the influence of spatial extension and
time evolution of the source on the morphogen profile. For different biologically
meaningful cases, we obtain explicit analytical expressions for both the steady
state and time-dependent 1D problems. We show that extended sources, whether of
finite size or normally distributed, give rise to more realistic gradients
compared to a single point-source at the origin. Furthermore, the steady state
solutions are fully compatible with a decreasing exponential behavior of the
profile. We also consider the case of a dynamic source (e.g. bicoid mRNA
diffusion) for which a protein profile similar to the ones obtained from static
sources can be achieved.
PMID- 22094362
TI - A letter to the editor about the article "A model for environmental sex reversal
in fish".
PMID- 22094364
TI - Model-independent plot of dynamic PET data facilitates data interpretation and
model selection.
AB - When testing new PET radiotracers or new applications of existing tracers, the
blood-tissue exchange and the metabolism need to be examined. However,
conventional plots of measured time-activity curves from dynamic PET do not
reveal the inherent kinetic information. A novel model-independent volume-influx
plot (vi-plot) was developed and validated. The new vi-plot shows the time course
of the instantaneous distribution volume and the instantaneous influx rate. The
vi-plot visualises physiological information that facilitates model selection and
it reveals when a quasi-steady state is reached, which is a prerequisite for the
use of the graphical analyses by Logan and Gjedde-Patlak. Both axes of the vi
plot have direct physiological interpretation, and the plot shows kinetic
parameter in close agreement with estimates obtained by non-linear kinetic
modelling. The vi-plot is equally useful for analyses of PET data based on a
plasma input function or a reference region input function. The vi-plot is a
model-independent and informative plot for data exploration that facilitates the
selection of an appropriate method for data analysis.
PMID- 22094363
TI - Confinement of gene drive systems to local populations: a comparative analysis.
AB - Mosquito-borne diseases such as malaria and dengue fever pose a major health
problem through much of the world. One approach to disease prevention involves
the use of selfish genetic elements to drive disease-refractory genes into wild
mosquito populations. Recently engineered synthetic drive systems have provided
encouragement for this strategy; but at the same time have been greeted with
caution over the concern that transgenes may spread into countries and
communities without their consent. Consequently, there is also interest in gene
drive systems that, while strong enough to bring about local population
replacement, are unable to establish themselves beyond a partially isolated
release site, at least during the testing phase. Here, we develop simple
deterministic and stochastic models to compare the confinement properties of a
variety of gene drive systems. Our results highlight several systems with
desirable features for confinement-a high migration rate required to become
established in neighboring populations, and low-frequency persistence in
neighboring populations for moderate migration rates. Single-allele
underdominance and single-locus engineered underdominance have the strongest
confinement properties, but are difficult to engineer and require a high
introduction frequency, respectively. Toxin-antidote systems such as Semele,
Merea and two-locus engineered underdominance show promising confinement
properties and require lower introduction frequencies. Killer-rescue is self
limiting in time, but is able to disperse to significant levels in neighboring
populations. We discuss the significance of these results in the context of a
phased release of transgenic mosquitoes, and the need for characterization of
local ecology prior to a release.
PMID- 22094365
TI - Outstanding circulation research by Japanese scientists.
PMID- 22094366
TI - The developmental history of the gadolinium chelates as intravenous contrast
media for magnetic resonance.
AB - The developmental history of the gadolinium chelates, which spans 30 years, is
described, focusing, in part, on the seminal work with each of the major agents
in use today. By examining this history, insight is gained into important issues
of efficacy and safety, with valuable lessons to be learned from the mistakes
made during this period. An overview of physicochemical characteristics and
chemical structures is also provided. The review concludes with a discussion of
current research directions involving this field, which is that of the
intravenous contrast media for magnetic resonance, in the past 5 years.
PMID- 22094367
TI - Variability in core areas of spider monkeys (Ateles geoffroyi) in a tropical dry
forest in Costa Rica.
AB - Core areas are highly used parts of the home range on which the survival of
solitary or group-living animals depends. We investigated the home range and core
area size and area fidelity of a spider monkey community in a tropical dry forest
over a 4-year period. Home ranges overlapped extensively across years, subgroup
sizes, and seasons. In contrast, spider monkeys used core areas that varied in
size and location across the study years, subgroup sizes, and seasons. These
shifts in core areas suggest that the understanding of core areas, and thus the
spatial requirements, of a species in a particular habitat may be limited if
based on short-term studies. In this respect, our findings emphasize the
importance of long-term studies of the spatial ecology of any species in a
particular habitat. Our study also shows that the yearly home range basically
includes all the core areas from different years, seasons, and subgroup sizes
(i.e., the super-core area). This is conceptually important for territorial
species, such as spider monkeys, which defend a stable home range as it contains
not only the current, but also the future core areas.
PMID- 22094368
TI - Revision of laparoscopic adjustable gastric banding: success or failure?
AB - BACKGROUND: Laparoscopic adjustable gastric banding (LAGB) is a safe and
frequently performed bariatric procedure. Unfortunately, re-operations are often
necessary. Reports on the success of revisional procedures are scarce and show
variable results, either supporting or declining the idea of revising LAGB. This
study describes a large cohort of re-operations after failed LAGB to determine
the success of revision. METHODS: By use of a prospective cohort, all LAGB
revisions performed between 1996 and 2008 were identified. From 301 primary LAGB
procedures in our centre, 43 patients (14.3%) required a band revision. In
addition, 51 patients were referred from other centres. Our analysis included in
total 94 patients with a mean follow-up period of 38 months after revision.
RESULTS: Revision was mainly necessary due to anterior slippage (46%) and
symmetrical pouch dilatation (36%), which could be resolved by replacing (70%) or
refixating the band (27%). Weight loss significantly increased after revision
(excess BMI loss (EBMIL), 37.2 +/- 36.3% versus 47.5 +/- 30.4%, P < 0.05). After
revision, 23 patients (24%) needed a second re-operation. Patients converted to
other procedures (16%) during the second re-operation showed larger weight loss
than the revised group (EBMIL, 64.3 +/- 28.1% versus 44.3 +/- 28.7%, P < 0.05).
CONCLUSIONS: We report on a large cohort of LAGB revisions with 38 months of
follow-up. Revision of failed LAGB by either refixation or replacement of the
band is successful and further increases weight loss.
PMID- 22094369
TI - Type 2 diabetes mellitus: a possible surgically reversible intestinal
dysfunction.
AB - Type 2 diabetes mellitus (T2DM) is a global public health problem often
associated with obesity. Bariatric surgery is effective for treating serious
obesity, and techniques involving intestinal bypass have metabolic benefits, such
as complete and early remission of T2DM. We present a literature review of the
possible mechanisms of early normalization of glycemic homeostasis after
bariatric surgery, including intestinal gluconeogenesis, increased
antidiabetogenic signals from L cells located in the distal small intestine, and
impaired secretion of diabetogenic signals in the upper part of the small
intestine. Adding to these potential mechanisms, unknown factors that regulate
insulin sensitivity may be involved and altered by bariatric surgery. This review
discusses the various hypotheses about the mechanisms of glycemic control after
bariatric surgery involving intestinal bypass. Further research is essential to
better understand these mechanisms and to identify potential new mechanisms that
might help in developing less invasive and safer alternatives for the treatment
of T2DM and reveal novel pharmaceutical targets for glycemic control.
PMID- 22094370
TI - Cardiovascular dysautonomia in Parkinson disease: from pathophysiology to
pathogenesis.
AB - Signs or symptoms of impaired autonomic regulation of circulation often attend
Parkinson disease (PD). This review covers biomarkers and mechanisms of autonomic
cardiovascular abnormalities in PD and related alpha-synucleinopathies. The
clearest clinical laboratory correlate of dysautonomia in PD is loss of
myocardial noradrenergic innervation, detected by cardiac sympathetic
neuroimaging. About 30-40% of PD patients have orthostatic hypotension (OH),
defined as a persistent, consistent fall in systolic blood pressure of at least
20 mmHg or diastolic blood pressure of at least 10 mmHg within 3 min of change in
position from supine to standing. Neuroimaging evidence of cardiac sympathetic
denervation is universal in PD with OH (PD+OH). In PD without OH about half the
patients have diffuse left ventricular myocardial sympathetic denervation, a
substantial minority have partial denervation confined to the inferolateral or
apical walls, and a small number have normal innervation. Among patients with
partial denervation the neuronal loss invariably progresses over time, and in
those with normal innervation at least some loss eventually becomes evident.
Thus, cardiac sympathetic denervation in PD occurs independently of the movement
disorder. PD+OH also entails extra-cardiac noradrenergic denervation, but this is
not as severe as in pure autonomic failure. PD+OH patients have failure of both
the parasympathetic and sympathetic components of the arterial baroreflex. OH in
PD therefore seems to reflect a "triple whammy" of cardiac and extra-cardiac
noradrenergic denervation and baroreflex failure. In contrast, most patients with
multiple system atrophy, which can resemble PD+OH clinically, do not have
evidence for cardiac or extra-cardiac noradrenergic denervation. Catecholamines
in the neuronal cytoplasm are potentially toxic, via spontaneous and enzyme
catalyzed oxidation. Normally cytoplasmic catecholamines are efficiently taken up
into vesicles via the vesicular monoamine transporter. The recent finding of
decreased vesicular uptake in Lewy body diseases therefore suggests a
pathogenetic mechanism for loss of catecholaminergic neurons in the periphery and
brain. Parkinson disease (PD) is one of the most common chronic neurodegenerative
diseases of the elderly, and it is likely that as populations age PD will become
even more prevalent and more of a public health burden. Severe depletion of
dopaminergic neurons of the nigrostriatal system characterizes and likely
produces the movement disorder (rest tremor, slowness of movement, rigid muscle
tone, and postural instability) in PD. Over the past two decades, compelling
evidence has accrued that PD also involves loss of noradrenergic neurons in the
heart. This finding supports the view that loss of catecholaminergic neurons,
both in the nigrostriatal system and the heart, is fundamental in PD. By the time
PD manifests clinically, most of the nigrostriatal dopaminergic neurons are
already lost. Identifying laboratory measures-biomarkers-of the disease process
is therefore crucial for advances in treatment and prevention. Deposition of the
protein, alpha-synuclein, in the form of Lewy bodies in catecholaminergic neurons
is a pathologic hallmark of PD. Alpha-synucleinopathy in autonomic neurons may
occur early in the pathogenetic process. The timing of cardiac noradrenergic
denervation in PD is therefore a key issue. This review updates the field of
autonomic cardiovascular abnormalities in PD and related disorders, with emphasis
on relationships among striatal dopamine depletion, sympathetic noradrenergic
denervation, and alpha-synucleinopathy.
PMID- 22094372
TI - Interlaboratory round robin on cantilever calibration for AFM force spectroscopy.
AB - Single-molecule force spectroscopy studies performed by Atomic Force Microscopes
(AFMs) strongly rely on accurately determined cantilever spring constants. Hence,
to calibrate cantilevers, a reliable calibration protocol is essential. Although
the thermal noise method and the direct Sader method are frequently used for
cantilever calibration, there is no consensus on the optimal calibration of soft
and V-shaped cantilevers, especially those used in force spectroscopy. Therefore,
in this study we aimed at establishing a commonly accepted approach to accurately
calibrate compliant and V-shaped cantilevers. In a round robin experiment
involving eight different laboratories we compared the thermal noise and the
Sader method on ten commercial and custom-built AFMs. We found that spring
constants of both rectangular and V-shaped cantilevers can accurately be
determined with both methods, although the Sader method proved to be superior.
Furthermore, we observed that simultaneous application of both methods on an AFM
proved an accurate consistency check of the instrument and thus provides optimal
and highly reproducible calibration. To illustrate the importance of optimal
calibration, we show that for biological force spectroscopy studies, an
erroneously calibrated cantilever can significantly affect the derived
(bio)physical parameters. Taken together, our findings demonstrated that with the
pre-established protocol described reliable spring constants can be obtained for
different types of cantilevers.
PMID- 22094373
TI - Elemental mapping of multilayered structures: a method to reconstruct 2D chemical
maps from a set of 1D line scans.
AB - We introduce a method to characterize the chemical distribution in nanostructures
using STEM and affiliated spectroscopy techniques. The method is applicable to
any nanostructure where the continuous layers of arbitrary geometry and
dimensions can be identified. The key feature of the suggested approach is
digital warping of the original STEM image into the quasi-1D image. The chemical
profiles of high resolution and high signal-to-noise ratio can be extracted from
the minimal set of the STEM spectroscopy data while minimizing material damage
during acquisitions. Finally, the 2D chemical maps of the area of interest are
reconstructed.
PMID- 22094374
TI - Grain detection from 2d and 3d EBSD data--specification of the MTEX algorithm.
AB - We present a fast and versatile algorithm for the reconstruction of the grain
structure from 2d and 3d Electron Back Scatter Diffraction (EBSD) data. The
algorithm is rigorously derived from the modeling assumption that grain
boundaries are located at the bisectors of adjacent measurement locations. This
modeling assumption immediately implies that grains are composed of Voronoi cells
corresponding to the measurement locations. Thus our algorithm is based on the
Voronoi decomposition of the 2d or 3d measurement domain. It applies to any
geometrical configuration of measurement locations and allows for missing data
due to measurement errors. The definition of grains as compositions of Voronoi
cells implies another fundamental feature of the proposed algorithm--its
invariance with respect to spatial displacements, i.e., rotations or shifts of
the specimen. This paper also serves as a reference paper for the texture
analysis software MTEX, which is a comprehensive and versatile, freely available
MATLAB toolbox that covers a wide range of problems in quantitative texture
analysis, including the analysis of EBSD data.
PMID- 22094375
TI - Pathways of electron transfer photosensitized by thiacyanine dimers.
AB - Pathways of electron transfer reaction between p-nitroacetophenone (p-NAP) and
ascorbic acid (AA) photosensitized by dimers of 3,3'-disulfopropyl-5,5'
dichlorothiacyanine triethylammonium (TC) and 3,3'-disulfopropyl-5,5'-dichloro
9,11-[betabeta-dimethyltrimethylene]thiadicarbocyanine triethylammonium (TDC) are
considered. In aqueous solution the dyes are present as an equilibrated mixture
of monomers (M(-)) and dimers (M(2)(2-)). In contrast to monomers, the dimers of
TC are characterized by a noticeable yield of intersystem crossing, whereas for
TDC the triplet-triplet absorption of both monomers and dimers is easily observed
upon ns-laser pulse. In the presence of p-NAP and AA the triplet state of the
dimers of both dyes is mostly quenched by p-NAP yielding the radical pair [M(2)(
)p-NAP(-)] with subsequent dissociation of M(2)(-) into M(-) and M followed by
one-electron reduction of M by AA. These steps constitute a pathway of
photosensitization by the dimers. For TDC an additional pathway of
photosensitization was found to occur. The primary step consists of electron
transfer in the excited singlet state of the dimer resulting in the formation of
the radicals M and M(2-). The next steps involve one-electron reduction of M by
AA and one-electron oxidation of M(2-) by p-NAP which results in the formation of
M(-) followed by dimerization.
PMID- 22094376
TI - Attack rates of seasonal epidemics.
AB - We study the attack rate, that is the total fraction of the population infected
each year, for a disease with seasonally varying transmission rate. The attack
rate is shown to be governed by both the reproductive number, reflecting the
transmissibility of the disease, and the birth rate, which provides a source of
new susceptibles. For the case of epidemics which have an annual period (like the
seasonality), we prove inequalities which show that the attack rate is close to
that of the non-seasonal model, so that it is nearly independent of the strength
of the forcing, despite the fact that the shape of the epidemic curve depends
strongly on the degree of seasonality of the forcing. Numerical simulations show
that this holds to an even stronger extent than is implied by our rigorous
results. When the system has subharmonic or chaotic solutions, we show that
similar results hold when the attack rate is replaced by the average attack rate
over several years. Consequences of these findings for analyzing the effect of
vaccination in seasonally-forced models are noted.
PMID- 22094377
TI - Functional -1149 g/t polymorphism of the prolactin gene in schizophrenia.
AB - BACKGROUND: Prolactin in schizophrenia is considered in the context of
antipsychotic drug-induced hyperprolactinemia. However, the European First
Episode Schizophrenia Trial showed that hyperprolacti nemia occurred in a
significant proportion of drug-naive first-episode schizophrenia patients, which
shows that it may also be caused by other factors, including genetic
predisposition. Therefore, we investigated the functional polymorphism of the
prolactin gene in schizophrenic patients compared with control subjects. METHOD:
The experimental group consisted of 403 patients with schizophrenia: 202 females
and 201 males. The control group consisted of 653 subjects: 377 females and 276
males. The functional polymorphism -1149 G/T (rs1341239) of the prolactin gene
was genotyped using the TaqMan single-nucleotide polymorphism allelic
discrimination method. RESULTS: The distribution of genotypes in schizophrenic
patients was significantly different from those of the control subjects
(p=0.031). After breaking down by gender, for male patients, the difference
versus control males was significant for both genotypes and alleles (p=0.031 and
p=0.002, respectively), with allele G being observed more frequently in
schizophrenic patients. CONCLUSION: The results may suggest a possible
abnormality of the functional -1149 G/T polymorphism of the prolactin gene in
schizophrenia, especially in male patients, similar to that found in autoimmune
diseases such as systemic lupus erythematosus and rheumatoid arthritis. This
could also correspond with an autoimmune pathogenesis of schizophrenia.
PMID- 22094378
TI - High-risk acute promyelocytic leukemia with early differentiation syndrome: a
therapeutic dilemma.
PMID- 22094379
TI - Bladder perivascular epithelioid cell tumor: a novel rare neoplasm.
AB - Perivascular epithelioid cell tumors (PEComas) have recently been defined as a
group of neoplasms that have in common the co-expression of melanocytic and
smooth muscle markers. We report a novel case of a rare bladder PEComa and we
review the relevant literature.
PMID- 22094380
TI - Protective effects of urate against 6-OHDA-induced cell injury in PC12 cells
through antioxidant action.
AB - There is evidence to support that oxidative stress is increased in Parkinson's
disease (PD) and contributes to the degeneration of dopaminergic neurons. Recent
research has shown that higher blood urate concentrations have now been linked to
decreased risks and progression rates of PD. However, the mechanisms about urate
to protect dopaminergic neurons are less clear. Our study investigated the effect
of urate on oxidative stress induced by 6-hydroxydopamine (6-OHDA) in neuronal
differentiated PC12 cells. We found that urate significantly reduced 6-OHDA
induced lactate dehydrogenas (LDH), malondialdehyde (MDA), and 8-hydroxy
deoxyguanosine (8-OHdG) generation but increased the superoxide dismutase (SOD)
activity and glutathione (GSH) levels in the PC12 cells. These results suggested
that urate can prevent PC12 cells from oxidative injury induced by 6-OHDA, which
may play an important role in the mechanisms underlying the association of high
plasma levels of urate with reduced risk and slower progression of PD. Urate
treatment could be a potential therapeutic strategy for PD.
PMID- 22094381
TI - The trapezius muscle uniquely lacks adaptive process in response to a repeated
moderate cognitive stressor.
AB - The aim of this study was to examine the adaptive process of muscular responses
in healthy subjects over two repeated exposures to the same moderate cognitive
stressor. The electromyographic (EMG) activity of the flexor pollicis brevis,
biceps brachii, triceps brachii, trapezius, gastrocnemius and soleus muscles was
recorded in 35 males during video-recorded Stroop color-word interference tests.
The results showed lower EMG activity in all muscles during the second exposure
to the stressful task, but not in the trapezius muscle. These findings could help
to the understanding of the role of stressful situations in the development of
musculoskeletal disorders.
PMID- 22094382
TI - Olfactory priming leads to faster sound localization.
AB - Cross-modal interactions between vision, audition and touch have been extensively
studied in the last decade. However, our understanding of how the chemical senses
interact with other sensory modalities remains relatively scarce. We performed a
cued auditory localization paradigm in healthy young adults by measuring reaction
times to monaural auditory stimuli after subjects had been cued by unilateral
olfactory stimuli, mixed olfactory/trigeminal stimuli or somatosensory stimuli.
As expected, all cuing conditions led to enhanced performances in auditory
localization. Further, both odors led to significantly shorter reaction times
when compared to the somatosensory stimuli. We did not observe any effect of side
congruency between the cues and the targets. These results suggest facilitative
effects of odorous cues independent of a possible trigeminal component in the
interaction between olfaction and audition.
PMID- 22094383
TI - The effects of the D2 dopamine receptor antagonist, eticlopride, on attention
following bilateral vestibular deafferentation in the rat.
AB - Loss of vestibular function has been associated with cognitive impairment,
including attentional problems. The aim of this study was to investigate the
effects of the D(2) dopamine receptor antagonist, eticlopride (0.02, 0.04 and
0.06 mg/kg; s.c.), on attention and impulsivity in rats at 2 months following
bilateral vestibular deafferentation (BVD), using a 5 choice serial reaction time
task (5CSRTT). The levels of the D(2) receptor protein in the frontal cortex were
measured at 1 and 6 months post-BVD using western blotting. Eticlopride caused a
dose-dependent decrease in response in the 5CSRTT, which was greater for sham
than for BVD rats in terms of the percentage of correct responses and the number
of perseverative responses. There were no changes in the amount of the D(2)
receptor in the frontal cortex at 1 or 6 months post-BVD; however, D(2) receptor
levels were significantly higher on the right side than the left in both sham and
BVD animals. These results suggest that BVD causes an increase in perseverative
behaviour that D(2) receptor blockade does not eliminate, but that D(2) receptors
in the frontal cortex are unchanged.
PMID- 22094384
TI - Influence of GRIA1, GRIA2 and GRIA4 polymorphisms on diagnosis and response to
antipsychotic treatment in patients with schizophrenia.
AB - The present study is aimed at exploring whether some single nucleotide
polymorphisms (SNPs) within GRIA1, GRIA2 and GRIA4 could be associated with
schizophrenia and whether they could predict clinical outcomes in Korean in
patients treated with antipsychotics. One hundred forty five patients with MD,
221 in-patients with schizophrenia and 170 psychiatrically healthy controls were
genotyped for 17 SNPs within GRIA1, GRIA2 and GRIA4. Baseline and final clinical
measures, including the Positive and Negative Symptoms Scale (PANSS), were
recorded. No significant association was found with the diagnosis of
schizophrenia. We observed an association between rs3813296 genotype and
improvement on PANSS negative scores. Our findings provide no evidence for an
association between SNPs within GRIA1, GRIA2 and GRIA4 under investigation and
schizophrenia susceptibility, although rs3813296 (GRIA2) could be associated with
improvement on PANSS negative scores. However, taking into account the several
limitations of our study, further research is needed to draw more definitive
conclusions.
PMID- 22094385
TI - Dizocilpine and cycloheximide prevent inhibition of c-Fos gene expression by
delta sleep-inducing peptide in the paraventricular nucleus of the hypothalamus
in rats with different resistance to emotional stress.
AB - The effects of the non-competitive NMDA-receptor blocker MK-801 (dizocilpine) and
the protein synthesis inhibitor cycloheximide on the delta sleep-inducing peptide
(DSIP) inhibition of c-Fos immediate early gene expression were studied in the
parvocellular subdivision of the hypothalamic paraventricular nucleus (pPVN) of
male Wistar rats with either high or low resistance to emotional stress,
predicted from differences in their open-field behaviour. The experiments show
that intraperitoneal (i.p.) DSIP injection (60 nmol/kg) decreased the number of
Fos-immunoreactive (Fos-IR) cells in the pPVN, activated by immobilization. The
NMDA-receptor antagonist dizocilpine (MK-801) (90 nmol i.c.v.) prevented the
inhibition of c-Fos expression by DSIP in the pPVN of rats predisposed to
emotional stress. The protein synthesis inhibitor cycloheximide (210 nmol i.c.v.)
prevented the inhibition of c-Fos expression by DSIP in the pPVN of rats that
were resistant to emotional stress. The experiments indicate that the DSIP effect
on c-Fos gene expression might be mediated by NMDA-receptors. DSIP may induce
production of some protein transcription factors, transmitting a signal from
membrane NMDA-receptors to the nucleus.
PMID- 22094386
TI - Olfactory deficits induce neurofilament hyperphosphorylation.
AB - Olfactory dysfunction, including structural abnormalities of the olfactory
epithelium, the olfactory bulb and the central olfactory cortices is recognized
as an early feature of Alzheimer disease (AD), the most prevalent
neurodegenerative disease in aged population characterized by intracellular
neurofibrillary tangles (NFTs). How olfactory deficits are linked with AD-like
neuropathological changes is still unknown. Here, by using two anosmia animal
models, bilateral olfactory bulbectomy (OBX) rats and Cnga2(-/Y) mice, which lack
intact olfactory CNG channels, we found the immunoreactivity of phosphorylated
neurofilament (NF) are highly increased in the neurites at both the hippocampus
and the cortex. As hyperphosphorylated NF is one of the main components of NFTs,
our study strongly suggested the underlying correlation of olfactory deficits
with AD-like pathological impairments.
PMID- 22094387
TI - Rigid cervical collar treatment for geriatric type II odontoid fractures.
AB - PURPOSE: To evaluate fracture healing, functional outcomes, complications, and
mortality associated with rigid cervical collars. METHODS: Thirty-four patients
with <50% odontoid displacement were treated with a rigid cervical collar for 12
weeks (Average age = 84 years). Outcome scores were compared with a group of 40
age-matched control subjects (Average age 79.3). RESULTS: At average 14.9-month
follow-up, only 6% demonstrated radiographic evidence of fracture healing and 70%
had mobile odontoid nonunion. NDI scores indicated only mild disability, pain
scores were low, and neither differed significantly from age-matched controls.
Mobile odontoid nonunion was not associated with higher levels of disability or
neck pain. Mortality rate was 11.8%. Treatment complications occurred in 6% of
patients. CONCLUSIONS: Odontoid nonunion and instability are high in geriatric
patients treated with a rigid cervical collar. Fracture healing and stability did
not correlate with improved outcomes. Outcomes did not differ significantly from
age-matched cohorts.
PMID- 22094388
TI - Heritability of scoliosis.
AB - PURPOSE: To estimate the heritability of scoliosis in the Swedish Twin Registry.
METHODS: Self-reported data on scoliosis from 64,578 twins in the Swedish Twin
Registry were analysed. Prevalence, pair- and probandwise concordances and
tetrachoric correlations in mono- and dizygotic same-sex twins were calculated.
The relative importance of genetic variance, i.e. the heritability, and unique
and shared environmental variance was estimated using structural equation
modelling in Mx software. In addition, all twins in the twin registry were
matched against the Swedish Inpatient Register on the primary diagnosis
idiopathic scoliosis. RESULTS: The prevalence of scoliosis was 4%. Pair- and
probandwise concordance was 0.11/0.17 for mono- and 0.04/0.08 for same-sex
dizygotic twins. The tetrachoric correlation (95% CI) was 0.41 (0.33-0.49) in
mono- and 0.18 (0.09-0.29) in dizygotic twins. The most favourable model in the
Mx analyses estimated the additive genetic effects (95% CI) to 0.38 (0.18-0.46)
and the unique environmental effects to 0.62 (0.54-0.70). Shared environmental
effects were not significant. The pairwise/probandwise concordance for idiopathic
scoliosis in the Swedish Inpatient Register was 0.08/0.15 for monozygotic and
zero/zero for same-sex dizygotic twins. CONCLUSION: Using self-reported data on
scoliosis from the Swedish Twin Registry, we estimate that 38% of the variance in
the liability to develop scoliosis is due to additive genetic effects and 62% to
unique environmental effects. This is the first study of sufficient size to make
heritability estimates of scoliosis.
PMID- 22094389
TI - Chondromyxoid fibroma of the lumbar spine: case report and literature review.
AB - PURPOSE: Chondromyxoid fibroma (CMF) is a benign tumour of the bone that
typically occurs in long bone metaphysis. Spinal involvement is uncommon, but
more frequent in the cervical and thoracic segments. Lumbar involvement is
extremely rare. We report the ninth case of lumbar CMF and the first one
involving the articular process of the vertebra. A review of the literature is
also intended making special emphasis on the differential diagnosis with other
benign spinal tumours of the bone. METHODS: A 21-year-old Caucasian male
suffering from low back pain that increased with sports and interrupted sleep was
diagnosed with a tumoural lesion in the right inferior articular process of L5.
RESULTS: Complete surgical excision of the tumour was accomplished. Histological
diagnosis confirmed a CMF. The patient remains asymptomatic at 1-year follow-up.
CONCLUSION: Despite the low incidence of CMF in the lumbar spine, differential
diagnosis must include this subtype of lesion among other benign tumours of the
bone and cartilage. Histological diagnosis is essential in order to provide the
patient with an accurate management of the pathology. Recurrence rate is to be
considered even in the case of complete surgical excision. Radiotherapy
administration is controversial due to suspicion of malignant transformation of
the tumour.
PMID- 22094391
TI - Novel dual-phase membranes for CO2 capture via an oxyfuel route.
AB - Ceria-based dual-phase membranes showing high oxygen permeation fluxes and
stability under a CO(2) environment are promising materials for CO(2) capture via
an oxyfuel route. The high oxygen permeation fluxes compared with other dual
phase membranes are derived from the mixed conducting properties of the
perovskite oxides used in the dual-phase membranes.
PMID- 22094392
TI - A Monte Carlo model of the Varian IGRT couch top for RapidArc QA.
AB - The objectives of this study are to evaluate the effect of couch attenuation on
quality assurance (QA) results and to present a couch top model for Monte Carlo
(MC) dose calculation for RapidArc treatments. The IGRT couch top is modelled in
Eclipse as a thin skin of higher density material with a homogeneous fill of foam
of lower density and attenuation. The IGRT couch structure consists of two
longitudinal sections referred to as thick and thin. The Hounsfield Unit (HU)
characterization of the couch structure was determined using a cylindrical
phantom by comparing ion chamber measurements with the dose predicted by the
treatment planning system (TPS). The optimal set of HU for the inside of the
couch and the surface shell was found to be respectively -960 and -700 HU in
agreement with Vanetti et al (2009 Phys. Med. Biol. 54 N157-66). For each plan,
the final dose calculation was performed with the thin, thick and without the
couch top. Dose differences up to 2.6% were observed with TPS calculated doses
not including the couch and up to 3.4% with MC not including the couch and were
found to be treatment specific. A MC couch top model was created based on the TPS
geometrical model. The carbon fibre couch top skin was modelled using carbon
graphite; the density was adjusted until good agreement with experimental data
was observed, while the density of the foam inside was kept constant. The
accuracy of the couch top model was evaluated by comparison with ion chamber
measurements and TPS calculated dose combined with a 3D gamma analysis. Similar
to the TPS case, a single graphite density can be used for both the thin and
thick MC couch top models. Results showed good agreement with ion chamber
measurements (within 1.2%) and with TPS (within 1%). For each plan, over 95% of
the points passed the 3D gamma test.
PMID- 22094390
TI - Female farmworkers' perceptions of pesticide exposure and pregnancy health.
AB - Occupational pesticide exposure may be hazardous to pregnant farmworkers, yet few
studies have focused on the health of female farmworkers distinct from their male
counterparts or on the impact of agricultural work tasks on pregnancy outcomes.
In the current community-based participatory research study, researchers
conducted five focus groups with female nursery and fernery workers in Central
Florida to enhance knowledge of attitudes about occupational risks and pregnancy
health and to gather qualitative data to help form a survey instrument. This
article presents the results from questions focused on pesticide exposure and its
impact on general, reproductive, pregnancy, and fetal health. Workers reported a
belief that pesticide exposure could be hazardous to pregnancy health;
descriptions of symptoms and health concerns believed to be related to farmwork
and to pesticide exposure; and descriptions of barriers preventing them from
practicing safer occupational behaviors.
PMID- 22094393
TI - Trans-tragal incision for improved exposure of diacapitular and condylar neck
fractures.
AB - The authors present a modification of the preauricular approach that improves the
exposure of the condyle whilst reducing diacapitular and condylar neck fractures.
The incision is a combination of the hockey-stick and endaural incisions. Its
inferior part runs within the ear on the posterior face of the tragus; the tragal
cartilage is transected together with the retrotragal skin and included in the
anterior skin flap. Between May 2009 and December 2010, 16 patients with
diacapitular or condylar neck fractures were treated with this approach. All
patients showed good occlusion postoperatively and satisfactory aesthetic
results. No infection or cartilage necrosis was observed in this series. This
approach improves the exposure of the condylar head during the reduction of
diacapitular and condylar neck fractures, ensuring easier internal fixation and
good cosmetic results.
PMID- 22094394
TI - Fronto-facial monobloc distraction in syndromic craniosynostosis. Three
dimensional evaluation of treatment outcome and facial growth.
AB - The objectives of this study were to investigate the treatment effect and
stability of fronto-facial monobloc distraction osteogenesis. Five consecutive
patients who underwent monobloc distraction were included (aged 4.8-18.4 years).
Three patients had Crouzon syndrome, one had Apert syndrome, and one had Pfeiffer
syndrome. The evaluation included clinical records, serial cephalograms for at
least 1-year follow up (average 24.6 months). The treatment and post-treatment
changes were measured. The intracranial volume, upper airway volume and globe
protrusion were calculated from CT before and after treatment. After distraction,
the supraorbital region was advanced 15.3mm forward, the midface demonstrated
forward advancement of 17.7 mm, 22.1mm and 23.1mm at orbitale, anterior nasal
spine and A point, respectively. The downward movement was 2-3mm at maxillary
level. The intracranial volume increased 11%; the upper airway volume increased
85% on average. Globe protrusion reduced 3.7 mm on average, which was 20% of
underlying skeletal movement. Facial growth demonstrated forward remodelling of
the supraorbital region, mild downward but no further forward growth of the
midface. Monobloc distraction is effective for relieving related symptoms and
signs through differential external distraction at different vertical levels of
the face.
PMID- 22094395
TI - Fibrillar structures in food.
AB - Assembly of proteins or peptides into fibrils is an important subject of study in
various research fields. In the field of food research, the protein fibrils are
interesting candidates as functional ingredients. It is essential to understand
the formation and properties of the fibrils for successful application of the
fibrils in food products. This paper describes the impact of recent research on
the general view of the process of fibril formation from beta-lg and the
properties of the fibrils that are formed, leading to better control of
applications for the fibrils. There is a need for a better understanding of the
behavior of fibrils in more complex food systems.
PMID- 22094396
TI - Most cited articles: ethanol-induced hepatotoxicity, anticarcinogenic effects of
polyphenolic compounds in tea, dose-response modeling, novel roles of epoxide
hydrolases and arsenic-induced suicidal erythrocyte death.
PMID- 22094398
TI - Milestones in melanocytes/melanogenesis.
PMID- 22094397
TI - Measurements of transmission spectrums and estimation of retinal blue-light
irradiance values of currently available clear and yellow-tinted intraocular
lenses.
AB - PURPOSE: To compare the spectral transmission characteristics of currently
available, foldable, clear and yellow-tinted intraocular lenses (IOLs), and
evaluate the protective effects they provide against retinal damage by sunlight.
METHODS: We measured the spectral transmittance in the wavelength range of 300
800 nm using a spectrophotometer for 63 IOLs including three clear IOLs (N4-18B,
Nidek; X-60, Santen; KS-3Ai, Staar Japan) and four yellow-tinted IOLs (N4-18YG,
Nidek; NX-60, Santen; KS-AiN, Staar Japan; NM-1, Hoya) with three different lens
powers. The blue-light irradiance (BLI) values through the IOLs were calculated
as the retinal hazard index for sungazing. The data from three clear IOLs
(SA60AT, Alcon Japan; VA-60BBR, Hoya; AU6K, Kowa) and three yellow-tinted IOLs
(SN60AT, Alcon Japan; YA-60BBR, Hoya; AU6N, Kowa) reported previously were also
discussed. RESULTS: Except for the X-60, the clear IOLs completely absorbed
ultraviolet (UV) light and nearly completely transmitted visible light at
wavelengths longer than 440 nm. Yellow-tinted IOLs absorbed more in the blue
light range (400-500 nm) than clear IOLs. All IOLs had lower BLI values than
aphakic eyes, and all yellow-tinted IOLs had lower BLI values than phakic eyes.
The BLI values of the NX-60, KS-AiN, NM-1, SN60AT and YA-60BBR IOLs decreased
with the increase in lens power. CONCLUSIONS: Compared to aphakic eyes, currently
available UV-blocking clear and yellow-tinted IOLs reduce the BLI values by 43
82%. However, the data presented in this study are not directly applicable to
humans implanted with IOLs or for the use of IOLs in a clinical situation, since
in those cases the balance between photoprotection and photoreception must be
taken into account.
PMID- 22094399
TI - The genetics of human pigmentary disorders.
PMID- 22094400
TI - Molecular aspects of tanning.
PMID- 22094401
TI - The genetics of vitiligo.
PMID- 22094402
TI - Key discoveries in melanocyte development.
PMID- 22094403
TI - Unpacking human evolution to find the genetic determinants of human skin
pigmentation.
PMID- 22094404
TI - Determination of melanin synthetic pathways.
PMID- 22094406
TI - Differential diagnosis of pulmonary embolism in outpatients with non-specific
cardiopulmonary symptoms.
AB - Most cardiopulmonary diseases share at least one symptom with pulmonary embolism
(PE). The aim of this study was to identify the most common acute causes of
dyspnea, chest pain, fainting or palpitations, which diagnostic procedures were
performed and whether clinicians investigate them appropriately. An Italian
multicenter collaboration gathered 17,497 Emergency Department (ED) records of
patients admitted from January 2007 to June 2007 in six hospitals. A block random
sampling procedure was applied to select 800 hospitalised patients. Results of
the overall 17,497 patients were obtained by weighting sampled cases according to
the probability of the randomisation block variables in the whole population. The
case-mix of enrolled patients was assessed in terms of cardiopulmonary symptoms,
and the prevalence of acute disorders. The actual performance of procedures was
compared with a measure of their accuracy as expected in the most common clinical
presentations. PE occurred in less than 4% of patients with cardiopulmonary
symptoms. Acute heart failure, pneumonia and chronic obstructive pulmonary
disease exacerbation were the most likely diagnoses in patients with dyspnea.
Acute myocardial infarction was present in roughly 10% of patients with chest
pain. Atrial fibrillation was the prevalent diagnosis in patients with
palpitations. Echocardiography, computed tomographic pulmonary angiography,
perfusion lung scan, D-dimer test and B-type natriuretic peptide were performed
less than expected from their accuracy. Diagnostic strategies, starting from non
specific symptoms and coping with the eventuality of PE, are likely to benefit
from an increased awareness of the examination's accuracy in discriminating among
several competing hypotheses, rather than in testing the single PE suspicion.
PMID- 22094407
TI - The predictive value of physical examination findings in patients with suspected
acute heart failure syndrome.
AB - It can be difficult to differentiate acute heart failure syndrome (AHFS) from
other causes of acute dyspnea, especially when patients present in extremis. The
objective of the study was to determine the predictive value of physical
examination findings for pulmonary edema and elevated B-type natriuretic peptide
(BNP) levels in patients with suspected AHFS. This was a secondary analysis of a
previously reported prospective study of jugular vein ultrasonography in patients
with suspected AHFS. Charts were reviewed for physical examination findings,
which were then compared to pulmonary edema on chest radiography (CXR) read by
radiologists blinded to clinical information and BNP levels measured at
presentation. The predictive value of every sign and combination of signs for
pulmonary edema on CXR or an elevated BNP was poor. Since physical examination
findings alone are not predictive of pulmonary edema or an elevated BNP,
clinicians should have a low threshold for using CXR or BNP in clinical
evaluation. This brief research report suggests that no physical examination
finding or constellation of findings can be used to reliably predict pulmonary
edema or an elevated BNP in patients with suspected AHFS.
PMID- 22094408
TI - Timing of the initiation of parenteral nutrition in critically ill adults.
PMID- 22094409
TI - One in 12 teenagers self harm but most stop spontaneously.
PMID- 22094410
TI - Doctors' leaders call for "bold" step to ban smoking in private cars.
PMID- 22094411
TI - Production, purification and characterization of mouse monoclonal antibodies
against human mitochondrial transcription termination factor 2 (MTERF2).
AB - Human mitochondrial transcription termination factor 2 (MTERF2) is a member of
the mitochondrial transcription termination factors (MTERFs) family and a cell
growth inhibitor. To create a specific mouse monoclonal antibody against human
MTERF2, the full-length His-tag MTERF2 protein (1-385 aa) was expressed in
Escherichia coli, and purified recombinant protein was injected into three BALB/c
mice to perform an immunization procedure. Eight stable positive monoclonal cell
lines were screened and established. ELISA results demonstrated that all antibody
light chains were kappa, while the heavy chains displayed three subtypes IgG1,
IgG2a, and IgG2b respectively. The sensitivity and specificity of the monoclonal
antibodies against human MTERF2 were determined using immunoblotting,
immunoprecipitation and immunofluorescence analyses. Furthermore, serum
regulation of human MTERF2 protein expression levels in human glioma U251 cells
was examined with these monoclonal antibodies and the results demonstrated that
the expression level of MTERF2 protein was dramatically inhibited by the addition
of serum to serum-starved cells. Taken together, our results demonstrate the
functionality of these mouse anti-human MTERF2 monoclonal antibodies, which may
provide a useful tool to elucidate the role of MTERF2 in human mitochondrial
transcription as well as other potential activities. To our knowledge, this is
the first report on the preparation and characterization of mouse monoclonal
antibodies against human MTERF2.
PMID- 22094412
TI - Conference report : The 40th European Muscle Conference, September 14-18, 2011,
Berlin, Germany.
PMID- 22094413
TI - Overcoming challenges in the study of nitrided microalloyed steels using atom
probe.
AB - Nitrided steels are widely used in the engineering field due to their superior
hardness and other attractive properties. Atom probe tomography (APT) was
employed to study two Nb-microalloyed CASTRIP steels with different N contents. A
major challenge of using APT to study this group of materials is the presence of
tails after Fe peaks in the mass spectra, which overestimates the composition for
alloying elements such as Nb and Cu in the steels. One important factor that
contributes to the tails is believed to be delayed field evaporation from Fe2+.
This artefact of the mass spectrum was observed to be the most severe when
voltage pulsing was used. The application of laser pulses with energy ranging
from 0.2 to 1.2 nJ successfully reduced the tails and lead to better
compositional measurement accuracy. Spatial resolution in the z-direction (along
the tip direction) was observed to be less affected by changing laser energy but
deteriorates in x-y direction with increasing laser energy. This investigation
suggests that pulsed-laser atom probe with ~0.4 nJ laser energy can be used to
study this group of materials with improved mass resolution while still
maintaining high spatial resolution.
PMID- 22094414
TI - ELNES for boron, carbon, and nitrogen K-edges with different chemical
environments in layered materials studied by density functional theory.
AB - Electron energy-loss near-edge fine structures (ELNES) were calculated for
graphene, doped graphene, a hexagonal BN monolayer, and a hexagonal BC2N layer
using an ab initio pseudopotential plane wave method including the core-hole
effect. Spectral features that can be used to distinguish different chemical
environments are identified. The spectral features are closely related to the
atomic species and arrangement. The connection between chemical environments and
fine structures is discussed.
PMID- 22094415
TI - Introduction.
AB - Aging in yeast is now a well researched area with hundreds of new research and
review papers appearing every year. The chapters following in this book written
by some of the leading experts in the field will give an overview of the most
relevant areas of yeast aging. The purpose of this chapter is to give the
newcomer an introduction to the field including some basic technical questions.
PMID- 22094416
TI - Oxidative stresses and ageing.
AB - Oxidative damage to cellular constituents has frequently been associated with
aging in a wide range of organisms. The power of yeast genetics and biochemistry
has provided the opportunity to analyse in some detail how reactive oxygen and
nitrogen species arise in cells, how cells respond to the damage that these
reactive species cause, and to begin to dissect how these species may be involved
in the ageing process. This chapter reviews the major sources of reactive oxygen
species that occur in yeast cells, the damage they cause and how cells sense and
respond to this damage.
PMID- 22094417
TI - The role of mitochondria in the aging processes of yeast.
AB - This chapter reviews the role of mitochondria and of mitochondrial metabolism in
the aging processes of yeast and the existing evidence for the "mitochondrial
theory of aging mitochondrial theory of aging ". Mitochondria are the major
source of ATP in the eukaryotic cell but are also a major source of reactive
oxygen species reactive oxygen species (ROS) and play an important role in the
process of apoptosis and aging. We are discussing the mitochondrial theory of
aging mitochondrial theory of aging (TOA), its origin, similarity with other
TOAs, and its ramifications which developed in recent decades. The emphasis is on
mother cell-specific aging mother cell-specific aging and the RLS (replicative
lifespan) with only a short treatment of CLS (chronological lifespan). Both of
these aging processes may be relevant to understand also the aging of higher
organisms, but they are biochemically very different, as shown by the fact the
replicative aging occurs on rich media and is a defect in the replicative
capacity of mother cells, while chronological aging occurs in postmitotic cells
that are under starvation conditions in stationary phase leading to loss of
viability, as discussed elsewhere in this book. In so doing we also give an
overview of the similarities and dissimilarities of the various aging processes
of the most often used model organisms for aging research with respect to the
mitochondrial theory of aging mitochondrial theory of aging.
PMID- 22094418
TI - The retrograde response retrograde response and other pathways of interorganelle
communication interorganelle communication in yeast replicative aging.
AB - A form of mitochondria-to-nucleus signaling mitochondria-to-nucleus signaling is
known to play a role in determining replicative life span in yeast. This
retrograde response is triggered by experimentally-induced mitochondrial
dysfunction mitochondrial dysfunction, but it also is activated during the course
of normal replicative aging, allowing yeast to have as long a replicative life
span as they do. The components of the retrograde signaling pathway participate
in diverse cellular processes such as mitophagy, which appear to be involved in
mitochondrial quality control mitochondrial quality control. This plethora of
mitochondrial surveillance mitochondrial surveillance mechanisms points to the
central importance of this organelle organelle in yeast replicative aging.
Additional pathways pathways that monitor mitochondrial status mitochondrial
status that do not apparently involve the retrograde response machinery also play
a role. A unifying theme is the involvement of the target of rapamycin target of
rapamycin (TOR) in both these additional pathways and in the retrograde response.
The involvement of TOR brings another large family of signaling events into
juxtaposition. Ceramide synthesis is regulated by TOR opening up the potential
for coordination of mitochondrial status with a wide array of additional cellular
processes. The retrograde response lies at the nexus of metabolic regulation
metabolic regulation, stress resistance stress resistance, chromatin-dependent
gene regulation chromatin-dependent gene regulation, and genome stability genome
stability. In its metabolic outputs, it is related to calorie restriction,calorie
restriction, which may be the result of the involvement of TOR. Retrograde
response-like processes have been identified in systems other than yeast,
including mammalian cells mammalian cells. The retrograde response is a
prototypical pathway of interorganelle communication. Other such phenomena are
emerging, such as the cross-talk cross-talk between mitochondria mitochondria and
the vacuole vacuole, which involves components of the retrograde signaling
pathway. The impact of these varied physiological responses on yeast replicative
aging remains to be assessed.
PMID- 22094420
TI - Aging and the survival of quiescent and non-quiescent cells in yeast stationary
phase cultures.
AB - In this chapter, we argue that with careful attention to cell types in stationary
phase cultures of the yeast, S. cerevisiae provide an excellent model system for
aging studies and hold much promise in pinpointing the set of causal genes and
mechanisms driving aging. Importantly, a more detailed understanding of aging in
this single celled organism will also shed light on aging in tissue-complex model
organisms such as C. elegans and D. melanogaster. We feel strongly that the
relationship between aging in yeast and tissue-complex organisms has been
obscured by failure to notice the heterogeneity of stationary-phase cultures and
the processes by which distinct cell types arise in these cultures. Although
several studies have used yeast stationary-phase cultures for chronological
aging, the majority of these studies have assumed that cultures in stationary
phase are homogeneously composed of a single cell type. However, genome-scale
analyses of yeast stationary-phase cultures have identified two major cell
fractions: quiescent and non-quiescent, which we discuss in detail in this
chapter. We review evidence that cell populations isolated from these cultures
exhibit population-specific phenotypes spanning a range of metabolic and
physiological processes including reproductive capacity, apoptosis, differences
in metabolic activities, genetic hyper-mutability, and stress responses. The
identification, in S. cerevisiae, of multiple sub-populations having
differentiated physiological attributes relevant to aging offers an unprecedented
opportunity. This opportunity to deeply understand yeast cellular (and
population) aging programs will, also, give insight into genomic and metabolic
processes in tissue-complex organism, as well as stem cell biology and the
origins of differentiation.
PMID- 22094419
TI - Chronological aging in Saccharomyces cerevisiae.
AB - The two paradigms to study aging in Saccharomyces cerevisiae are the
chronological life span (CLS) and the replicative life span (RLS). The
chronological life span is a measure of the mean and maximum survival time of non
dividing yeast populations while the replicative life span is based on the mean
and maximum number of daughter cells generated by an individual mother cell
before cell division stops irreversibly. Here we review the principal discoveries
associated with yeast chronological aging and how they are contributing to the
understanding of the aging process and of the molecular mechanisms that may lead
to healthy aging in mammals. We will focus on the mechanisms of life span
regulation by the Tor/Sch9 and the Ras/adenylate Ras/adenylate cyclase/PKA
pathways with particular emphasis on those implicating age-dependent oxidative
oxidative stress stress and DNA damage/repair.
PMID- 22094421
TI - Maximising the yeast chronological lifespan.
AB - When investigating aging it is important to focus on the factors that are needed
to attain, and which can be manipulated to extend, the longest lifespans. This
has long been appreciated by those workers who use Drosophila or Caenorhabditis
elegans as model experimental systems to study aging. Often though it seems it is
not a consideration in many studies of yeast chronological aging. In this chapter
I summarise how recent work has revealed the preconditioning that is needed for
yeast to survive for long periods in stationary phase, therefore for it to
exhibit a long chronological life span (CLS). Of critical importance in this
regard is the nature of the nutrient limitation that, during the earlier growth
phase, had forced the cells to undergo growth arrest. I have attempted to
highlight those studies that have focussed on the longest CLSs, as this helps to
identify investigations that may be addressing - not just factors that can
influence chronological longevity - but those factors that are correlated with
the authentic processes of chronological aging. Attempting to maximize long-term
stationary survival in yeast should also enhance the potential relevance of this
organism as an aging model to those who wrestle with the problems of aging in
more complex systems. Finally I also give a personal perspective of how studies
on the yeast CLS may still yet provide some important new insights into events
that are correlated with aging.
PMID- 22094423
TI - DNA damage and DNA replication stress in yeast models of aging.
AB - DNA damage DNA damage is an important factor in aging in all eukaryotes. Although
connections between DNA damage DNA damage and aging have been extensively
investigated in complex organisms, only a relatively few studies have
investigated DNA damage DNA damage as an aging factor in the model organism S.
cerevisiae. Several of these studies point to DNA replication stress DNA
replication stress as a cause of age-dependent DNA damage DNA damage in the
replicative model of aging, which measures how many times budding yeast cells
divide before they senesce and die. Even fewer studies have investigated how DNA
damage DNA damage contributes to aging in the chronological aging chronological
aging model, which measures how long cells in stationary phase cultures retain
reproductive capacity. DNA replication stress DNA replication stress also has
been implicated as a factor in chronological aging chronological aging . Since
cells in stationary phase are generally considered to be "post-mitotic" and to
reside in a quiescent G0/G1 state, the notion that defects in DNA replication
might contribute to chronological aging chronological aging appears to be
somewhat paradoxical. However, the results of recent studies suggest that a
significant fraction of cells in stationary phase cultures are not quiescent,
especially in experiments that employ defined medium, which is frequently
employed to assess chronological lifespan. Most cells that fail to achieve
quiescence remain in a viable, but non-dividing state until they eventually die,
similar to the senescent state in mammalian cells. In this chapter we discuss the
role of DNA damage DNA damage and DNA replication stress DNA replication stress
in both replicative and chronological aging chronological aging in S. cerevisiae.
We also discuss the relevance of these findings to the emerging view that DNA
damage DNA damage and DNA replication stress DNA replication stress are important
components of the senescent state that occurs at early stages of cancer.
PMID- 22094424
TI - Yeast aging and apoptosis.
AB - A concerted balance between proliferation and apoptosis is essential to the
survival of multicellular organisms. Thus, apoptosis per se, although it is a
destructive process leading to the death of single cells, also serves as a pro
survival mechanism pro-survival mechanism that ensures healthy organismal
development and acts as a life-prolonging or anti-aging anti-aging program. The
discovery that yeast also possess a functional and, in many cases, highly
conserved apoptotic machinery has made it possible to study the relationships
between aging and apoptosis in depth using a well-established genetic system and
the powerful tools available to yeast researchers for investigating complex
physiological and cytological interactions. The aging process of yeast, be it
replicative replicative or chronological chronological aging, is closely related
to apoptosis, although it remains unclear whether apoptosis is a causal feature
of the aging process or vice versa. Nevertheless, experimental results obtained
during the past several years clearly demonstrate that yeast serve as a powerful
and versatile experimental system for understanding the interconnections between
these two fundamentally important cellular and physiological pathways.
PMID- 22094422
TI - Amino acid homeostasis and chronological longevity in Saccharomyces cerevisiae.
AB - Understanding how non-dividing cells remain viable over long periods of time,
which may be decades in humans, is of central importance in understanding
mechanisms of aging and longevity. The long-term viability of non-dividing cells,
known as chronological longevity, relies on cellular processes that degrade old
components and replace them with new ones. Key among these processes is amino
acid homeostasis. Amino acid homeostasis requires three principal functions:
amino acid uptake, de novo synthesis, and recycling. Autophagy plays a key role
in recycling amino acids and other metabolic building blocks, while at the same
time removing damaged cellular components such as mitochondria and other
organelles. Regulation of amino acid homeostasis and autophagy is accomplished by
a complex web of pathways that interact because of the functional overlap at the
level of recycling. It is becoming increasingly clear that amino acid homeostasis
and autophagy play important roles in chronological longevity in yeast and higher
organisms. Our goal in this chapter is to focus on mechanisms and pathways that
link amino acid homeostasis, autophagy, and chronological longevity in yeast, and
explore their relevance to aging and longevity in higher eukaryotes.
PMID- 22094425
TI - Cellular homeostasis in fungi: impact on the aging process.
AB - Cellular quality control pathways are needed for maintaining the biological
function of organisms. If these pathways become compromised, the results are
usually highly detrimental. Functional impairments of cell components can lead to
diseases and in extreme cases to organismal death. Dysfunction of cells can be
induced by a number of toxic by-products that are formed during metabolic
activity, like reactive oxygen and nitrogen species, for example. A key source of
reactive oxygen species (ROS) are the organelles of oxidative phosphorylation,
mitochondria. Therefore mitochondrial function is also directly affected by ROS,
especially if there is a compromised ROS-scavenging capacity. Biological systems
therefore depend on several lines of defence to counteract the toxic effects of
ROS and other damaging agents. The first level is active at the molecular level
and consists of various proteases that bind and degrade abnormally modified and /
or aggregated mitochondrial proteins. The second level is concerned with
maintaining the quality of whole mitochondria. Among the pathways of this level
are mitochondrial dynamics and autophagy (mitophagy). Mitochondrial dynamics
describes the time-dependent fusion and fission of mitochondria. It is argued
that this kind of organellar dynamics has the power to restore the function of
impaired organelles by content mixing with intact organelles. If the first and
second lines of defence against damage fail and mitochondria become damaged too
severely, there is the option to remove affected cells before they can elicit
more damage to their surrounding environment by apoptosis. This form of
programmed cell death is strictly regulated by a complex network of interacting
components and can be divided into mitochondria-dependent and mitochondria
independent modes of action. In this review we give an overview on various
biological quality control systems in fungi (yeasts and filamentous fungi) with
an emphasis on autophagy (mitophagy) and apoptosis and how these pathways allow
fungal organisms to maintain a balanced cellular homeostasis.
PMID- 22094426
TI - Genome-wide analysis of yeast aging.
AB - In the past several decades the budding yeast Saccharomyces cerevisiae has
emerged as a prominent model for aging research. The creation of a single-gene
deletion collection covering the majority of open reading frames in the yeast
genome and advances in genomic technologies have opened yeast research to genome
scale screens for a variety of phenotypes. A number of screens have been
performed looking for genes that modify secondary age-associated phenotypes such
as stress resistance or growth rate. More recently, moderate-throughput methods
for measuring replicative life span and high-throughput methods for measuring
chronological life span have allowed for the first unbiased screens aimed at
directly identifying genes involved in determining yeast longevity. In this
chapter we discuss large-scale life span studies performed in yeast and their
implications for research related to the basic biology of aging.
PMID- 22094427
TI - Genetic approaches to aging in budding and fission yeasts: new connections and
new opportunities.
AB - Yeasts are powerful model systems to examine the evolutionarily conserved aspects
of eukaryotic aging because they maintain many of the same core cellular
signaling pathways and essential organelles as human cells. We constructed a
strain of the budding yeast Saccharomyces cerevisiae that could monitor the
distribution of proteins involved in heterochromatic silencing and aging, and
isolated mutants that alter this distribution. The largest class of such mutants
cause defects in mitochondrial function, and appear to cause changes in nuclear
silencing separate from the well-known Rtg2p-dependent pathway that alters
nuclear transcription in response to the loss of the mitochondrial genome.
Mutants that inactivate the ATP2 gene, which encodes the ATPase subunit of the
mitochondrial F(1)F(0)-ATPase, were isolated twice in our screen and identify a
lifespan extending pathway in a gene that is conserved in both prokaryotes and
eukaryotes. The budding yeast S. cerevisiae S. cerevisiae has been used with
great success to identify other lifespan-extending pathways in screens using
surrogate phenotypes such as stress resistance or silencing to identify random
mutants, or in high throughput screens that utilize the deletion strain set
resource. However, the direct selection of long-lived mutants from a pool of
random mutants is more challenging. We have established a new chronological aging
assay for the evolutionarily distant fission yeast Schizosaccharomyces pombe that
recapitulates aspects of aging conserved in all eukaryotes. We have constructed a
novel S. pombe S. pombe DNA insertion mutant bank, and used it to show that we
can directly select for a long-lived mutant. The use of both the budding and
fission yeast systems should continue to facilitate the identification and
validation of lifespan extending pathways that are conserved in humans.
PMID- 22094428
TI - Evolution of asymmetric damage segregation : a modelling approach.
AB - Mother cell-specific ageing is a well-known phenomenon in budding yeast
Saccharomyces cerevisiae. Asymmetric segregation of damage and its accumulation
in the mother cell has been proposed as one important mechanism. There are,
however, unicellular organisms such as the fission yeast Schizosaccharomyces
pombe, which replicates with almost no asymmetry of segregation of damage and the
pathogenic yeast Candida albicans, which falls around the middle of the
segregation spectrum far from both complete symmetry and complete asymmetry. The
ultimate evolutionary cause that determines the way damage segregates in a given
organism is not known. Here we develop a mathematical model to examine the
selective forces that drive the evolution of asymmetry and discover the
conditions in which symmetry is the optimal strategy. Three main processes are
included in the model: protein synthesis (growth), protein damage, and
degradation of damage. We consider, for the first time, the costs to the cell
that might accompany the evolution of asymmetry and incorporate them into the
model along with known trade-offs between reproductive and maintenance
investments and their energy requirements. The model provides insight into the
relationship between ecology and cellular trade-off physiology in the context of
unicellular ageing, and applications of the model may extend to multicellular
organisms.
PMID- 22094429
TI - Cellular ageing and the actin cytoskeleton.
AB - For some time the view that the actin cytoskeleton acts primarily as a scaffold,
to be assembled in response to a signaling cascade as an end point in the
pathway, has prevailed. However, it is now clear that the dynamic nature of the
cytoskeleton is linked to downstream signaling events that further modulate
cellular activity, and which can determine cell fate. Examples of this lie within
the regulation of programmed cell death, the maintenance of homeostasis and the
process of cellular ageing. In yeast the actin cytoskeleton has been shown to
interact directly with signaling pathways known to be important in the regulation
of both ageing and cell death. For example it has been discovered that the level
of damage sustained by the actin cytoskeleton under conditions of oxidative
stressoxidative stress is directly linked to apoptosis. Further evidence comes
from the finding that actin based propulsion mechanisms are required for the
inheritance of mitochondria and anti-ageing factors into newly formed cells. In
addition to this actin is known to directly influence the formation of protein
aggregations. In this chapter we will discuss these points and postulate as to
their significance with respect to the maintenance of cellular homeostasis.
PMID- 22094430
TI - Use of interactive telephone technology for longitudinal data collection in a
large trial.
AB - We report here on the use of interactive telephone technology for collecting
longitudinal data in a large randomized non-blinded parallel trial. Data were
primarily collected via an automated interactive telephone system which enabled
data to be downloaded by researchers periodically via a secure website.
Alternative methods were used by some participants to provide data; here we
analyze the demographic profiles of groups by preferred data provision, and
consider the cost-effectiveness and efficiency of such a system. The automated
telephone system was used to provide the majority of data obtained (75.7%),
however the group preferring to use this system to provide the majority of their
data was on the whole older, more likely to be married, university educated,
higher income and white compared to participants preferring to submit their data
via personal phone call or post. We conclude that interactive telephone
technology provides a means by which large quantities of longitudinal data may be
collected efficiently. Depending on the target population, however, considerable
staff time may be required to manage attrition and consequent data loss, and
alternative strategies should be considered to minimize this.
PMID- 22094431
TI - Sample sizes for time-to-event endpoints: should you insure against chance
variations in accrual?
AB - This note addresses the questions of whether one should safeguard against a
potential loss of power due to random variations of the accrual time, how this
"insurance" can be formulated, and how much the sample size needs to be increased
to obtain it.
PMID- 22094433
TI - Interview with Paul Raithby.
PMID- 22094432
TI - Perception of a naturalistic stressor interacts with 5-HTTLPR/rs25531 genotype
and gender to impact reward responsiveness.
AB - BACKGROUND: Stressful life experiences frequently precede the onset of major
depression; however, the mechanisms that underlie this link are poorly
understood. Importantly, some individuals are more susceptible to the
depressogenic effects of stress than others. Carriers of the S or LG allele of
the 5-HTTLPR/rs25531 polymorphisms (S' participants) have been found to be more
prone to developing depression under stress relative to L or LA homozygotes (L'
participants). Moreover, emerging evidence indicates that stress-induced
anhedonia may be a mechanism underlying links between stress and depression.
Given these findings, we hypothesized that exposure to a naturalistic stressor
(school final examinations) would disrupt reward responsiveness (a key behavioral
component of anhedonia), and that this effect would be strongest in S'
participants. METHODS: To objectively assess reward responsiveness, we
administered a probabilistic reward task to 70 Bulgarian high school students
over two sessions in the 6-month period preceding school finals. For each
participant, the two sessions were designated as the 'stress' and 'control'
conditions based on self-reported perceived stress. RESULTS: A genotype*condition
interaction emerged in males, with S' participants showing larger stress-related
reduction in reward responsiveness relative to L' participants. CONCLUSION: While
in need of replication in a larger sample, our results indicate that stress
associated with a real-life event is linked to reduced reward responsiveness, the
susceptibility to which is modulated by 5-HTTLPR/rs25531 genotype. Although
preliminary, these findings identify anhedonia as a promising mechanism linking 5
HTTLPR/rs25531 genotype and stress to depression.
PMID- 22094434
TI - Levator-sphincter reinforcement after ultralow anterior resection in patients
with low rectal cancer: the surgical method and evaluation of anorectal
physiology.
AB - PURPOSE: To determine whether ultralow anterior resection with levator-sphincter
reinforcement (uLAR-LSR), which is first introduced in the current study, offers
functional preservation in patients with low rectal cancer. METHODS: We assessed
the functional outcomes in 56 of 61 consecutively enrolled patients who underwent
uLAR-LSR. After rectal resection, levator-sphincter reinforcement (LSR) was
performed by approximation of the dissected muscles. The functional outcomes were
assessed preoperatively, and then 3, 12, and 24 months postoperatively. RESULTS:
There were no significant differences in the sphincter or high-pressure zone
length between the preoperative and postoperative periods in the uLAR-LSR group
(P = 0.298-0.981), which indicated functional preservation by the LSR. The
percentage of patients with moderate to severe incontinence (>10 using the Wexner
score) was significantly decreased at 24 months as compared to 3 months
postoperatively (15.7 vs, 39.6%, P < 0.001). At the limited mean follow-up of 41
months, local recurrence had been detected in one patient (1.8%). CONCLUSION: The
uLAR-LSR method is a novel technical option, which maintains the anorectal
function as well as accomplishing oncological safety during a short-term
evaluation.
PMID- 22094435
TI - Systemic inflammatory response syndrome as a predictor of anastomotic leakage
after esophagectomy.
AB - PURPOSE: Esophageal anastomotic leakage is still a major cause of morbidity and
mortality after esophagectomy. We conducted this study to elucidate how
anastomotic leakage affects the systemic inflammatory response syndrome (SIRS)
criteria. METHODS: The subjects of this retrospective study were 61 patients who
underwent esophagectomy. We evaluated their preoperative status, the surgical
procedures, and postoperative systemic response, including white blood cell
count, heart rate, respiratory rate, body temperature, and laboratory data up to
postoperative day (POD) 4. RESULTS: Anastomotic leakage developed in nine
patients (14.8%) and was found on POD 7 on average. These patients had a
significantly longer hospital stay than those without leakage. Although no
difference was observed in postoperative changes of any of the SIRS criteria, the
postoperative incidence of SIRS was significantly higher in the patients with
anastomotic leakage on POD 4. The number of positive criteria for SIRS was also
significantly higher in patients with anastomotic leakage than in those without
leakage on PODs 3 and 4. CONCLUSIONS: The SIRS scoring system is valuable for
evaluating the severity of systemic inflammatory response caused by anastomosis
leakage, and may serve as an indicator for prompt management.
PMID- 22094436
TI - Stent strut penetration during thoracic endovascular aortic repair: report of a
case.
AB - We experienced a serious complication of proximal stent strut penetration (PSSP)
during thoracic endovascular aortic repair in a 74-year-old man who underwent two
stage hybrid treatment for a distal arch thoracic aortic aneurysm. First, a
debranching right common carotid-left common carotid-left subclavian artery
bypass was performed. Second, a TALENT Thoracic Stent Graft (Medtronic, Tokyo,
Japan) was inserted at Zone 1 (Ishimaru). At deployment, a proximal bare strut
accidentally everted and penetrated the aortic wall vertically. Postoperative
computed tomography revealed that one crown of the proximal strut had penetrated
the aortic wall vertically and had produced an intramural hematoma around the
strut. The patient was observed carefully and discharged from the hospital
without any sequelae. Seven months after the procedure, there was no remarkable
change and his aneurysm was well excluded. PSSP can cause retrograde type-A
aortic dissections. A bare strut tends to cause proximal strut penetration more
frequently than a covered strut. More caution should be taken in the deployment
of a stent graft with a bare strut.
PMID- 22094437
TI - A modified liver-hanging maneuver focusing on the ligamentum venosum for left
hepatic lobectomy.
AB - PURPOSE: The liver-hanging maneuver (LHM) is a useful technique in major
hepatectomy. We made modifications to this technique with special reference to
the ligamentum venosum for performing a left hepatectomy (LH). The aim of this
study was to clarify the usefulness of our new technique. METHODS: Between August
2007 and May 2009, five patients underwent LH using our modified LHM and 12
patients underwent LH using a conventional procedure. The two groups were
compared in terms of the patient characteristics, preoperative hepatic functions,
surgical records, and outcomes. RESULTS: The characteristics and preoperative
hepatic function tests were similar between the modified LHM and non-LHM groups.
Intraoperative blood loss was significantly reduced in the modified LHM group
compared with the non-LHM group (193 +/- 133 vs. 375 +/- 167 ml, P < 0.05). The
lengths of the operations and time required to perform a parenchymal transection
did not differ significantly between the two groups (duration of operations 273
+/- 37 vs. 337 +/- 70 min; transection times 29 +/- 10 vs. 28 +/- 13 min). The
postoperative complications and hospital stays did not differ significantly
between the two groups. CONCLUSION: Our modified LHM can reduce the
intraoperative blood loss during LH, and our results have shown the usefulness of
this modified technique for LH.
PMID- 22094438
TI - Clinical application of an extracellular phosphate-buffered solution (EP-TU) for
lung preservation: preliminary results of a Japanese series.
AB - PURPOSE: We evaluated the effect of an extracellular phosphate-buffered lung
preservation solution (EP-TU solution) on acute postoperative graft function and
recipient survival in a Japanese series of cadaveric lung transplantation.
METHODS: The subjects were ten patients who received lung grafts preserved with
EP-TU solution at three of four designated lung transplant centers between 2000
and 2005. Three of the patients underwent single lung transplantation and seven
underwent bilateral lung transplantation. Postoperative graft function was
evaluated by primary graft dysfunction (PGD) grade (0-3), arterial oxygen
tension/inspired oxygen fraction (PaO(2)/FiO(2)) ratio, and the previously
reported chest roentgenogram score (CRS, 0-4) during the first 48 h after
intensive care unit admission. Survival rates were also calculated. RESULTS: The
average graft ischemic times for the first and second grafts were 431 and 571
min, respectively. The average PGD grade ranged from 0.5 to 1.0 and the average
PaO(2)/FiO(2) ratio ranged from 350 to 400 Torr during the 48 h. The average CRS
was always under 1.0. Survival rates at 30 days and 5 years were 90 and 80%,
respectively. CONCLUSIONS: EP-TU solution promoted excellent postoperative lung
graft function and enhanced recipient survival rates, despite a long average
preservation time.
PMID- 22094439
TI - Different incidence of synchronous liver metastasis between proximal and distal
colon cancer.
AB - PURPOSE: The clinicopathological features of colon cancer differ between proximal
and distal sites; however, the influence of tumor location on liver metastasis
has not been fully examined. The aim of this study was to evaluate the
differences in the features of liver metastasis between proximal and distal colon
cancer. METHODS: The clinicopathological data from 931 colon cancer patients who
were treated surgically were examined retrospectively using a multivariate
analysis. RESULTS: The incidence of synchronous liver metastasis was 7.1%
(31/438) in proximal colon patients and 11.6% (57/493) in distal colon patients.
Both univariate and multivariate analyses showed distal colon cancer to be a risk
factor for synchronous liver metastasis. CONCLUSION: The incidence of synchronous
liver metastasis differs between proximal and distal colon cancer.
PMID- 22094440
TI - Inhibitory effects of garcinol and pterostilbene on cell proliferation and
adipogenesis in 3T3-L1 cells.
AB - The aim of this work was to study the effects of garcinol and pterostilbene on
cell proliferation and adipogenesis in 3T3-L1 cells. The results showed that
garcinol and pterostilbene decreased the cell population growth and caused cell
cycle arrest at the G2/M phase in 3T3-L1 preadipocytes. During adipocyte
differentiation, both garcinol and pterostilbene had inhibitory effects on fat
droplet formation and triacylglycerol accumulation. The data indicated that
garcinol and pterostilbene could inhibit the glycerol-3-phosphate dehydrogenase
(GPDH) activity by 97.8 and 61.5%, respectively, as compared to the control. Both
garcinol and pterostilbene significantly attenuated the protein expressions of
PPARgamma and C/EBPalpha during 3T3-L1 adipocyte differentiation. Moreover,
garcinol and pterostilbene caused an inhibition of lipid accumulation in the 3T3
L1 adipocyte differentiation phase. Garcinol and pterostilbene also significantly
up-regulated the gene expression of adiponectin as well as down-regulated the
gene expressions of leptin, resistin, and fatty acid synthase (FAS) in 3T3-L1
adipocyte differentiation. In 3T3-L1 adipocytes, garcinol significantly down
regulated the protein expressions of PPARgamma and FAS as well as up-regulated
the protein expressions of adipose triglyceride lipase (ATGL) and adiponectin.
Garcinol also significantly up-regulated the gene expression of adiponectin as
well as down-regulated the gene expressions of leptin and FAS. These results
suggest that garcinol and pterostilbene have anti-adipogenic effects on
preadipocytes and adipocytes.
PMID- 22094443
TI - Development of hydrophilic photolabile hydroxyl protecting groups.
AB - Hydrophilic photolabile protecting groups (PPGs) for hydroxyl protection have
been developed. The new PPGs are derived from 3-(dimethylamino)trityl (DMATr) by
replacing the two methyl groups with two hydrophilic butyryl groups. The new PPG
reagents can be readily prepared and installed. They are stable in the dark but
can be removed cleanly and efficiently in aqueous environments upon irradiation
with a UV lamp or sunlight.
PMID- 22094441
TI - Changes of peroxisome proliferator-activated receptor-gamma on crushed rat
sciatic nerves and differentiated primary Schwann cells.
AB - Peroxisome proliferator-activated receptor-gamma (PPAR-gamma) has been found to
play an essential role in cell proliferation, but whether it was involved in
Schwann cells differentiation has never been studied. We have found in sciatic
nerve injury that expression of PPAR-gamma decreases mainly in Schwann cells, and
it was also increased in differentiated Schwann cells. Further, activated PPAR
gamma by the endogenous ligand 15 d-PGJ(2) increased expressions of PPAR-gamma
level and Schwann cell differentiation, and this effect may be protected by its
antagonist GDW9662. These results indicate that PPAR-gamma could promote Schwann
cell differentiation, which plays an important role in peripheral nerve injury
and regeneration.
PMID- 22094444
TI - A self-reference PRF-shift MR thermometry method utilizing the phase gradient.
AB - In magnetic resonance (MR) imaging, the most widely used and accurate method for
measuring temperature is based on the shift in proton resonance frequency (PRF).
However, inter-scan motion and bulk magnetic field shifts can lead to inaccurate
temperature measurements in the PRF-shift MR thermometry method. The self
reference PRF-shift MR thermometry method was introduced to overcome such
problems by deriving a reference image from the heated or treated image, and
approximates the reference phase map with low-order polynomial functions. In this
note, a new approach is presented to calculate the baseline phase map in self
reference PRF-shift MR thermometry. The proposed method utilizes the phase
gradient to remove the phase unwrapping step inherent to other self-reference PRF
shift MR thermometry methods. The performance of the proposed method was
evaluated using numerical simulations with temperature distributions following a
two-dimensional Gaussian function as well as phantom and in vivo experimental
data sets. The results from both the numerical simulations and experimental data
show that the proposed method is a promising technique for measuring temperature.
PMID- 22094446
TI - Seizure probability in animal models of acquired epilepsy: a perspective on the
concept of the preictal state.
AB - The concept of a preictal state is based on the belief that it may be possible to
predict seizures before they occur. The preictal state is viewed as a time period
when a seizure is practically inevitable, or at least a period of greatly
increased seizure probability. Changes in seizure frequency may provide insight
into how seizure probability increases after brain injury. Here, time-dependent
changes in the frequency of spontaneous recurrent seizures after brain injury are
summarized from published, nearly continuous, electrographic (EEG) recordings of
kainate-treated rats and neonatal rats subjected to hypoxia-ischemia. For these
animal models, seizure frequency - and thus seizure probability - was a sigmoid
function of time after the brain injury. This observation differs from the
traditional view, where the development of epilepsy after brain injury is a step
function of time, and the latent period is the time between a brain injury and
the first spontaneous seizure. Based on backward extrapolation of the plots of
seizure frequency versus time, these data suggest that seizure probability
increases continuously during the latent period. Also, spontaneous recurrent
seizures frequently occurred in clusters, suggesting that the intra-cluster
seizure intervals are periods of high seizure probability. Thus, seizure
probability progressively increases as a function of time after an epileptogenic
brain injury, and is particularly high between seizures within a cluster, as
compared to the time between clusters. These data suggest that the detectors of
the preictal state need to be accurate (and tested) over a very wide range of
seizure probabilities, and that studies on the physiological events that occur
during seizure clusters may provide insight on the properties of the preictal
state.
PMID- 22094445
TI - Multidimensional predictors of fatigue among octogenarians and centenarians.
AB - BACKGROUND: Fatigue is a common and frequently observed complaint among older
adults. However, knowledge about the nature and correlates of fatigue in old age
is very limited. OBJECTIVE: This study examined the relationship of functional
indicators, psychological and situational factors and fatigue for 210
octogenarians and centenarians from the Georgia Centenarian Study. METHODS: Three
indicators of functional capacity (self-rated health, instrumental activities of
daily living, physical activities of daily living), two indicators of
psychological well-being (positive and negative affect), two indicators of
situational factors (social network and social support), and a multidimensional
fatigue scale were used. Blocked multiple regression analyses were computed to
examine significant factors related to fatigue. In addition, multi-group analysis
in structural equation modeling was used to investigate residential differences
(i.e., long-term care facilities vs. private homes) in the relationship between
significant factors and fatigue. RESULTS: Blocked multiple regression analyses
indicated that two indicators of functional capacity, self-rated health and
instrumental activities of daily living, both positive and negative affect, and
social support were significant predictors of fatigue among oldest-old adults.
The multiple group analysis in structural equation modeling revealed a
significant difference among oldest-old adults based on residential status.
CONCLUSION: The results suggest that we should not consider fatigue as merely an
unpleasant physical symptom, but rather adopt a perspective that different
factors such as psychosocial aspects can influence fatigue in advanced later
life.
PMID- 22094447
TI - Introduction--Epilepsy Research UK Workshop 2010 on "Preictal Phenomena".
PMID- 22094448
TI - Living with idiopathic pulmonary fibrosis.
PMID- 22094449
TI - Efficacy of diaphragmatic breathing in patients with chronic obstructive
pulmonary disease.
AB - This study investigated the effects of diaphragmatic breathing (DB) on
ventilation and breathing pattern, seeking to identify predictors of its efficacy
in patients with chronic obstructive pulmonary disease (COPD). Twenty-nine
patients with moderate and severe COPD were monitored using respiratory
inductance plethysmography and metabolic gas analysis. After 4 minutes of natural
breathing, subjects completed 2 minutes of DB followed by 4 minutes of natural
breathing. Dyspnea was measured using a visual analogue scale. Diaphragmatic
mobility was assessed using chest radiography. DB was associated with a
significant increase in tidal volume and reduction in breathing frequency,
leading to higher ventilation and oxygen saturation, with a reduction in dead
space ventilation and ventilatory equivalent for carbon dioxide. A total of 10
subjects with moderate (5) and severe (5) COPD performed DB with asynchronous
thoracoabdominal motion, worsening the dyspnea, and decreasing the gain of tidal
volume. Diaphragmatic mobility, inspiratory muscular strength, lower scores for
dyspnea and hypoxemia as well as coordinated thoracoabdominal motion are
associated with effective DB. In patients with COPD, DB can improve breathing
pattern and ventilatory efficiency without causing dyspnea in patients whose
respiratory muscular system is preserved.
PMID- 22094450
TI - Developing the model of pulmonary rehabilitation for chronic heart failure.
AB - Patients with chronic obstructive pulmonary disease (COPD) and chronic heart
failure (CHF) commonly suffer from exertional symptoms of breathlessness and
fatigue. The similar systemic manifestations of the conditions, including
skeletal muscle dysfunction, are a major contributing factor to the limitation in
exercise capacity. A period of exercise training has been shown to improve
exercise performance and health-related quality of life for both conditions.
Exercise training is a key component of pulmonary rehabilitation (PR) which is
now a standard of care for patients with COPD and is symptom based. Although it
may be assumed that patients with CHF could be incorporated into cardiac
rehabilitation, this is predominantly a secondary prevention programme for
patients who are largely asymptomatic. It has been shown that patients with CHF
can be successfully trained together with patients with COPD by the same
therapists within PR. There are comparable outcome measures that can be used for
both COPD and CHF. Many patients with CHF still do not have access to an exercise
rehabilitation programme and incorporating them into the PR model of care could
be one solution. This article reviews the (1) similar symptoms, mechanisms and
consequences between COPD and CHF, (2) rationale and evidence for exercise
training in CHF, (3) model of PR, (4) safety of exercise training in CHF, (5)
evidence for combined exercise rehabilitation for CHF and COPD, (6) adaptations
necessary to include patients with CHF into PR, (7) the chronic care model and
(8) summary.
PMID- 22094451
TI - Impact of pulmonary vein anatomy assessed by cardiac magnetic resonance imaging
on endoscopic pulmonary vein isolation in consecutive patients.
AB - AIMS: Recently, the novel endoscopic ablation system (EAS) was introduced and
proved its potential for successful pulmonary vein isolation (PVI) in patients
suffering from paroxysmal atrial fibrillation (PAF). The current study will
investigate the impact of pulmonary vein (PV) anatomy assessed by pre
interventional cardiac magnetic resonance imaging (CMRI) on endoscopic PVI.
METHODS AND RESULTS: Fifty-one patients (23 females, age 57 +/- 9 years) with a
long history (5 +/- 5 years) of drug-refractory PAF were included into our
analysis. Cardiac magnetic resonance imaging was performed in all patients before
ablation. Each CMRI was evaluated for the number of PVs, for separate or common
insertion of ipsilateral PVs, for the PV diameter and PV shape (round vs. oval),
for the level of first PV branching, and for the level of insertion of the right
inferior PV (RIPV) into the left atrium (LA), respectively. Pulmonary vein
isolation was performed using exclusively the novel EAS. The CMRI findings were
correlated with the ablation results. A total of 195 PVs were identified and
targeted. In 192 of 195 (98%) PVs successful isolation was achieved using the
novel EAS irrespective of the PV diameter, the PV shape, the level of PV
branching, or the type of insertion of the PV into the LA. There was no
statistical significance when correlating the CMRI findings with acute isolation
success of the respective PVs or the number of laser applications needed until
PVI (P> 0.05). A low level of insertion of the RIPV into the LA was not
associated with PVI failure or a higher number of laser applications (P> 0.05).
CONCLUSIONS: The majority of PVs could have been targeted and successfully
isolated using exclusively the novel EAS irrespective of their anatomy assessed
by pre-interventional CMRI. Considering the economical impact of CMRI and its
minor influence on ablation strategy, success, and safety it is not an essential
pre-requisite for subsequent successful EAS-based PVI.
PMID- 22094452
TI - Phrenic nerve stimulation with the quadripolar left ventricular lead not overcome
by 'electronic repositioning'.
AB - Phrenic nerve stimulation (PNS) is a frequent occurrence in patients implanted
with a cardiac resynchronization therapy (CRT) device. The quadripolar left
ventricular offers 10 pacing configurations which can overcome PNS in most cases.
We report a rare case of significant PNS following upgrade to a CRT which was
present with all 10 pacing configurations one day following implantation which
required lead repositioning.
PMID- 22094453
TI - Risk perception and implantable cardioverter defibrillators.
PMID- 22094454
TI - The relation of ventricular arrhythmia electrophysiological characteristics to
cardiac phenotype and circadian patterns in hypertrophic cardiomyopathy.
AB - BACKGROUND: The triggers of ventricular arrhythmias (VAs) leading to sudden
cardiac death in hypertrophic cardiomyopathy (HCM) are ill defined. We sought to
examine the electrophysiological characteristics of VAs in HCM and study their
relation to cardiac phenotype and circadian patterns using stored intracardiac
electrocardiograms from implantable cardioverter defibrillators (ICDs). METHODS
AND RESULTS: A single centre, observational cohort study of 230 consecutively
evaluated ICD recipients with HCM [median age 42 years, 97% primary prevention,
51% with anti-tachycardia pacing (ATP)]. Fifty-six non-clustered VAs (39
initially treated with ATP and 17 with shocks) from 29 patients were analysed.
Monomorphic ventricular tachycardia was the culprit arrhythmia in 86% of cases,
ventricular fibrillation/flutter in 9%, and polymorphic ventricular tachycardia
in 5%. Prior to the onset of VA the rhythm was sinus in 67%, atrial
fibrillation/flutter in 19%, and 15% were paced ventricularly; tachycardia (cycle
length <600 ms) was present in 25%. Ventricular arrhythmias were triggered by
premature ventricular complexes (PVCs) in 72%, which were late-coupled (84%).
Short-long-short initiation was seen in 2% and 26% of VAs were sudden-onset
without preceding PVCs. Ventricular arrhythmia peaked at midday (with 20%
occurring between 2300 and 0700), on Sundays and in May. The cardiac phenotype
and time of the day did not predict the mode of initiation. Age at ICD
implantation was the only independent predictor of VA cycle length (linear
regression coefficient 0.67, 95% CI 0.02-1.32, P= 0.04). Anti-tachycardia pacing
terminated 67% of VAs, but patients with ATP therapy had a similar incidence of
appropriate shocks (log-rank test P= 0.25) and syncope (log rank P= 0.23) to
patients with shock as initial therapy. CONCLUSIONS: Most VAs are monomorphic
ventricular tachycardias triggered by late-coupled PVCs. They are frequently
terminated by ATP, but ATP does not reduce the frequency of ICD shocks. Younger
HCM patients have more rapid VAs, which may explain the peak of sudden cardiac
death in early adulthood. The circadian periodicity is different from that
observed in ischaemic heart disease, and is likely to relate to the distinct
character of the arrhythmogenic substrate in HCM and its modulators.
PMID- 22094455
TI - A selective Akt inhibitor produces hypotension and bradycardia in conscious rats
due to inhibition of the autonomic nervous system.
AB - Akt is a serine-threonine kinase that is amplified in a variety of human cancers,
and as with other anticancer agents, some Akt inhibitors have produced functional
cardiovascular effects such as marked hypotension that may limit their clinical
benefit. Although identified in preclinical studies, the mechanism(s) responsible
for these effects are often not fully characterized; potential targets include
Akt signaling disruption in cardiac tissue, vascular smooth muscle, and/or
autonomic system signaling. A selective Akt inhibitor was found to produce a
rapid and marked hypotension and bradycardia in conscious rats. Isolated right
atrial tissue and isolated thoracic aortic rings were used to examine direct
effects of Akt inhibition on cardiac and vascular tissues, respectively. In
addition, rats surgically prepared with telemetry units for monitoring blood
pressure and heart rate were used to investigate potential effects on the
autonomic nervous system (ANS). Whereas this Akt inhibitor did not produce any
significant effect on atrial tissue, it did cause vasorelaxation of aortic rings.
More significantly, in conscious rats, the Akt inhibitor inhibited the neural
pressor response to the known nicotinic acetylcholine receptor (nAchR) agonist
dimethylphenylpiperazinium (DMPP). In fact, the response observed was comparable
to the response observed with the known ganglionic blocker hexamethonium. Thus,
the hypotension and bradycardia produced by the Akt inhibitor is primarily due to
blockade of nAchRs in autonomic ganglia. This finding highlights the importance
of evaluating the ANS for cardiovascular effects associated with new chemical
entities as well as suggesting a novel direct effect of an Akt inhibitor on
nAchRs.
PMID- 22094456
TI - Early growth response factor-1 limits biliary fibrosis in a model of xenobiotic
induced cholestasis in mice.
AB - Hepatic expression of the transcription factor early growth response-1 (Egr-1) is
increased in livers of patients with cholestatic liver disease. Bile acid
induction of inflammatory genes in hepatocytes is Egr-1 dependent, and Egr-1
expression is increased in livers of mice after bile duct ligation. Of
importance, Egr-1 deficiency reduces liver inflammation and injury in that model.
However, it is not known whether Egr-1 promotes inflammation in other models of
cholestasis. We tested the hypothesis that Egr-1 contributes to liver
inflammation in mice exposed chronically to the bile duct epithelial cell (BDEC)
toxicant alpha-naphthylisothiocyanate (ANIT). Egr-1-knockout (Egr-1(-/-)) mice
and wild-type mice were fed a diet containing 0.025% ANIT for 2 weeks. Expression
of Egr-1 mRNA and protein was significantly increased in livers of mice fed ANIT
diet. Egr-1 deficiency did not significantly affect ANIT diet-induced
hepatocellular injury, inflammatory gene induction, BDEC hyperplasia, or hepatic
neutrophil accumulation. In contrast, the deposition of Type 1 collagen was
significantly increased in livers of Egr-1(-/-) mice fed ANIT diet compared with
wild-type mice fed ANIT diet. Interestingly, this increase in liver fibrosis
occurred in association with elevated expression of the beta6 integrin (Itgb6)
gene, suggesting the potential for increased local activation of transforming
growth factor beta. Taken together, the results indicate that Egr-1 does not
contribute to liver injury or inflammation in mice fed a diet containing ANIT.
Rather, these studies indicate that Egr-1 deficiency worsens liver fibrosis in
conjunction with enhanced expression of the profibrogenic Itgb6 gene.
PMID- 22094457
TI - 2-Amino-3-methylimidazo[4,5-f]quinoline (IQ) promotes mouse hepatocarcinogenesis
by activating transforming growth factor-beta and Wnt/beta-catenin signaling
pathways.
AB - The purposes of the present study were to investigate the modifying effects of 2
amino-3-methylimidazo[4,5-f]quinoline (IQ), a genotoxic carcinogen produced
during cooking of protein-rich foods, and elucidate underlying mechanisms in a
two-stage hepatocarcinogenesis mice model. Six-week-old B6C3F1 mice were
subjected to two-thirds partial hepatectomy at the beginning of the study,
followed by an intraperitoneal injection of diethylnitrosamine on day 1. Starting
1 week later, they were fed diets containing IQ at doses of 30, 100, or 300 ppm
for 39 weeks. A dose-dependent trend for increase in eosinophilic altered foci as
well as eosinophilic hepatocellular adenomas was observed, along with significant
elevation in the incidence of hepatocellular carcinomas in the 100- and 300-ppm
IQ groups as compared with initiation control group. Furthermore, IQ elevated the
protein expression levels of Wnt1, transforming growth factor-beta (TGF-beta),
TGF-beta receptors 1 and 2 (TbetaR1 and TbetaR2), and phosphorylated c-Jun (p-c
Jun), while suppressing those of E-cadherin and p21(WAF1/Cip1). Moreover,
translocation of beta-catenin to the nuclei as well as upregulated nuclear
expression of c-Myc and cyclin D1, which are downstream targets of beta-catenin
and p-c-Jun, were detected at 100 and 300 ppm. These findings suggest that IQ
exerts dose-dependent promoting effects on mice hepatocarcinogenesis by
activating TGF-beta and Wnt/beta-catenin signaling pathways and inhibiting cell
adhesion.
PMID- 22094458
TI - An NF-kappaB-independent and Erk1/2-dependent mechanism controls CXCL8/IL-8
responses of airway epithelial cells to cadmium.
AB - Airway epithelial cells in the lung are the first line of defense against
pathogens and environmental pollutants. Inhalation of the environmental pollutant
cadmium has been linked to the development of lung cancer and chronic obstructive
pulmonary disease, which are diseases characterized by chronic inflammation. To
address the role of airway epithelial cells in cadmium-induced lung inflammation,
we investigated how cadmium regulates secretion of interleukin 8 (IL-8) by airway
epithelial cells. We show that exposure of human airway epithelial cells to
subtoxic doses of cadmium in vitro promotes a characteristic inflammatory
cytokine response consisting of IL-8, but not IL-1beta or tumor necrosis factor
alpha. We also found that intranasal delivery of cadmium increases lung levels of
the murine IL-8 homologs macrophage inflammatory protein-2 and keracinocyte
derived chemokine and results in an influx of Gr1+ cells into the lung. We
determined that inhibition of the nuclear factor-kappaB (NF-kappaB) pathway had
no effect on cadmium-induced IL-8 secretion by human airway epithelial cells,
suggesting that IL-8 production was mediated through an NF-kappaB-independent
pathway. Mitogen-activated protein kinases (MAPKs) are often involved in
proinflammatory signaling. Cadmium could activate the main MAPKs (i.e., p38, JNK,
and Erk1/2) in human airway epithelial cells. However, only pharmacological
inhibition of Erk1/2 pathway or knockdown of the expression of Erk1 and Erk2
using small interfering RNAs suppressed secretion of IL-8 induced by cadmium. Our
findings identify cadmium as a potent activator of the proinflammatory cytokine
IL-8 in lung epithelial cells and reveal for the first time the role of an NF
kappaB-independent but Erk1/2-dependent pathway in cadmium-induced lung
inflammation.
PMID- 22094459
TI - Subchronic polychlorinated biphenyl (Aroclor 1254) exposure produces oxidative
damage and neuronal death of ventral midbrain dopaminergic systems.
AB - Recent epidemiologic studies have demonstrated a link between organochlorine and
pesticide exposure to an enhanced risk for neurodegenerative disorders such as
Parkinson's disease (PD). A common biological phenomenon underlying cell injury
associated with both polychlorinated biphenyl (PCB) exposure and dopaminergic
neurodegeneration during aging is oxidative stress (OS). In this study, we tested
the hypothesis that oral PCB exposure, via food ingestion, impairs dopamine
systems in the adult murine brain. We determined whether PCB exposure was
associated with OS in dopaminergic neurons, a population of cells that
selectively degenerate in PD. After 4 weeks of oral exposure to the PCB mixture
Aroclor 1254, several congeners, mostly ortho substituted, accumulated throughout
the brain. Significant increases in locomotor activity were observed within 2
weeks, which persisted after cessation of PCB exposure. Stereologic analyses
revealed a significant loss of dopaminergic neurons within the substantia nigra
and ventral tegmental area. However, striatal dopamine levels were elevated,
suggesting that compensatory mechanisms exist to maintain dopamine homeostasis,
which could contribute to the observed increases in locomotor activity following
PCB exposure. Biochemical experiments revealed alterations in OS markers,
including increases in SOD and HO-1 levels and the presence of oxidatively
modified lipids and proteins. These findings were accompanied by elevated iron
levels within the striatal and midbrain regions, perhaps due to the observed
dysregulation of transferrin receptors and ferritin levels following PCB
exposure. In this study, we suggest that both OS and the uncoupling of iron
regulation contribute to dopamine neuron degeneration and hyperactivity following
PCB exposure.
PMID- 22094460
TI - The C terminus of formin FMNL3 accelerates actin polymerization and contains a
WH2 domain-like sequence that binds both monomers and filament barbed ends.
AB - Formin proteins are actin assembly factors that accelerate filament nucleation
then remain on the elongating barbed end and modulate filament elongation. The
formin homology 2 (FH2) domain is central to these activities, but recent work
has suggested that additional sequences enhance FH2 domain function. Here we show
that the C-terminal 76 amino acids of the formin FMNL3 have a dramatic effect on
the ability of the FH2 domain to accelerate actin assembly. This C-terminal
region contains a WASp homology 2 (WH2)-like sequence that binds actin monomers
in a manner that is competitive with other WH2 domains and with profilin. In
addition, the C terminus binds filament barbed ends. As a monomer, the FMNL3 C
terminus inhibits actin polymerization and slows barbed end elongation with
moderate affinity. As a dimer, the C terminus accelerates actin polymerization
from monomers and displays high affinity inhibition of barbed end elongation.
These properties are not common to all formin C termini, as those of mDia1 and
INF2 do not behave similarly. Interestingly, mutation of two aliphatic residues,
which blocks high affinity actin binding by the WH2-like sequence, has no effect
on the ability of the C terminus to enhance FH2-mediated polymerization. However,
mutation of three successive basic residues at the C terminus of the WH2-like
sequence compromises polymerization enhancement. These results illustrate that
the C termini of formins are highly diverse in their interactions with actin.
PMID- 22094461
TI - Phospholipase D2 (PLD2) shortens the time required for myeloid leukemic cell
differentiation: mechanism of action.
AB - Cell differentiation is compromised in acute leukemias. We report that mammalian
target of rapamycin (mTOR) and S6 kinase (S6K) are highly expressed in the
undifferentiated promyelomonocytic leukemic HL-60 cell line, whereas PLD2
expression is minimal. The expression ratio of PLD2 to mTOR (or to S6K) is
gradually inverted upon in vitro induction of differentiation toward the
neutrophilic phenotype. We present three ways that profoundly affect the kinetics
of differentiation as follows: (i) simultaneous overexpression of mTOR (or S6K),
(ii) silencing of mTOR via dsRNA-mediated interference or inhibition with
rapamycin, and (iii) PLD2 overexpression. The last two methods shortened the time
required for differentiation. By determining how PLD2 participates in cell
differentiation, we found that PLD2 interacts with and activates the oncogene
Fes/Fps, a protein-tyrosine kinase known to be involved in myeloid cell
development. Fes activity is elevated with PLD2 overexpression, phosphatidic acid
or phosphatidylinositol bisphosphate. Co-immunoprecipitation indicates a close
PLD2-Fes physical interaction that is negated by a Fes-R483K mutant that
incapacitates its Src homology 2 domain. All these suggest for the first time the
following mechanism: mTOR/S6K down-regulation->PLD2 overexpression->PLD2/Fes
association->phosphatidic acid-led activation of Fes kinase->granulocytic
differentiation. Differentiation shortening could have a clinical impact on
reducing the time of return to normalcy of the white cell counts after
chemotherapy in patients with acute promyelocytic leukemia.
PMID- 22094462
TI - Negative cross-talk between calcium-sensing receptor and beta-catenin signaling
systems in colonic epithelium.
AB - Here, we examined the role of the extracellular Ca(2+)-sensing receptor (CaSR) in
the control of colonic epithelial cell proliferation in vivo and changes in beta
catenin triggered by CaSR stimulation in human colonic epithelial cells in vitro.
The in vivo studies, using a novel Casr intestinal-specific knock-out mouse,
indicate that the genetic ablation of the Casr leads to hyperproliferation of
colonic epithelial cells, expansion of the proliferative zone, changes in crypt
structure, and enhanced beta-catenin nuclear localization. The in vitro results
indicate that stimulation of the CaSR, by Ca(2+) or by the calcimimetic R-568,
produced a striking and time-dependent decrease in the phosphorylation of beta
catenin at Ser-552 and Ser-675, two amino acid residues that promote beta-catenin
transcriptional activity. The reduced phosphorylation of beta-catenin coincided
with a decline in its nuclear localization and a marked redistribution to the
plasma membrane. Furthermore, CaSR stimulation promoted a down-regulation of beta
catenin-mediated transcriptional activation. These studies demonstrate that
signaling pathways emanating from the CaSR control colonic epithelial cell
proliferation in vivo and suggest that the mechanism involves regulation of beta
catenin phosphorylation.
PMID- 22094463
TI - Conserved YjgF protein family deaminates reactive enamine/imine intermediates of
pyridoxal 5'-phosphate (PLP)-dependent enzyme reactions.
AB - The YjgF/YER057c/UK114 family of proteins is conserved in all domains of life,
suggesting that the role of these proteins arose early and was maintained
throughout evolution. Metabolic consequences of lacking this protein in
Salmonella enterica and other organisms have been described, but the biochemical
function of YjgF remained unknown. This work provides the first description of a
conserved biochemical activity for the YjgF protein family. Our data support the
conclusion that YjgF proteins have enamine/imine deaminase activity and
accelerate the release of ammonia from reactive enamine/imine intermediates of
the pyridoxal 5'-phosphate-dependent threonine dehydratase (IlvA). Results from
structure-guided mutagenesis experiments suggest that YjgF lacks a catalytic
residue and that it facilitates ammonia release by positioning a critical water
molecule in the active site. YjgF is renamed RidA (reactive intermediate/imine
deaminase A) to reflect the conserved activity of the protein family described
here. This study, combined with previous physiological studies on yjgF mutants,
suggests that intermediates of pyridoxal 5'-phosphate-mediated reactions may have
metabolic consequences in vivo that were previously unappreciated. The
conservation of the RidA/YjgF family suggests that reactive enamine/imine
metabolites are of concern to all organisms.
PMID- 22094464
TI - Reduction of glucose uptake through inhibition of hexose transporters and
enhancement of their endocytosis by methylglyoxal in Saccharomyces cerevisiae.
AB - Diabetes mellitus is characterized by an impairment of glucose uptake even though
blood glucose levels are increased. Methylglyoxal is derived from glycolysis and
has been implicated in the development of diabetes mellitus, because
methylglyoxal levels in blood and tissues are higher in diabetic patients than in
healthy individuals. However, it remains to be elucidated whether such factors
are a cause, or consequence, of diabetes. Here, we show that methylglyoxal
inhibits the activity of mammalian glucose transporters using recombinant
Saccharomyces cerevisiae cells genetically lacking all hexose transporters but
carrying cDNA for human GLUT1 or rat GLUT4. We found that methylglyoxal inhibits
yeast hexose transporters also. Glucose uptake was reduced in a stepwise manner
following treatment with methylglyoxal, i.e. a rapid reduction within 5 min,
followed by a slow and gradual reduction. The rapid reduction was due to the
inhibitory effect of methylglyoxal on hexose transporters, whereas the slow and
gradual reduction seemed due to endocytosis, which leads to a decrease in the
amount of hexose transporters on the plasma membrane. We found that Rsp5, a HECT
type ubiquitin ligase, is responsible for the ubiquitination of hexose
transporters. Intriguingly, Plc1 (phospholipase C) negatively regulated the
endocytosis of hexose transporters in an Rsp5-dependent manner, although the
methylglyoxal-induced endocytosis of hexose transporters occurred irrespective of
Plc1. Meanwhile, the internalization of hexose transporters following treatment
with methylglyoxal was delayed in a mutant defective in protein kinase C.
PMID- 22094465
TI - Functional analysis of DNA replication fork reversal catalyzed by Mycobacterium
tuberculosis RuvAB proteins.
AB - Initially discovered in Escherichia coli, RuvAB proteins are ubiquitous in
bacteria and play a dual role as molecular motor proteins responsible for branch
migration of the Holliday junction(s) and reversal of stalled replication forks.
Despite mounting genetic evidence for a crucial role of RuvA and RuvB proteins in
reversal of stalled replication forks, the mechanistic aspects of this process
are still not fully understood. Here, we elucidate the ability of Mycobacterium
tuberculosis RuvAB (MtRuvAB) complex to catalyze the reversal of replication
forks using a range of DNA replication fork substrates. Our studies show that
MtRuvAB, unlike E. coli RuvAB, is able to drive replication fork reversal via the
formation of Holliday junction intermediates, suggesting that RuvAB-catalyzed
fork reversal involves concerted unwinding and annealing of nascent leading and
lagging strands. We also demonstrate the reversal of replication forks carrying
hemi-replicated DNA, indicating that MtRuvAB complex-catalyzed fork reversal is
independent of symmetry at the fork junction. The fork reversal reaction
catalyzed by MtRuvAB is coupled to ATP hydrolysis, is processive, and culminates
in the formation of an extended reverse DNA arm. Notably, we found that sequence
heterology failed to impede the fork reversal activity of MtRuvAB. We discuss the
implications of these results in the context of recognition and processing of
varied types of replication fork structures by RuvAB proteins.
PMID- 22094466
TI - HAMP domain-mediated signal transduction probed with a mycobacterial adenylyl
cyclase as a reporter.
AB - HAMP domains, ~55 amino acid motifs first identified in histidine kinases,
adenylyl cyclases, methyl-accepting chemotaxis proteins, and phosphatases,
operate as signal mediators in two-component signal transduction proteins. A
bioinformatics study identified a coevolving signal-accepting network of 10 amino
acids in membrane-delimited HAMP proteins. To probe the functionality of this
network we used a HAMP containing mycobacterial adenylyl cyclase, Rv3645, as a
reporter enzyme in which the membrane anchor was substituted by the Escherichia
coli chemotaxis receptor for serine (Tsr receptor) and the HAMP domain
alternately with that from the protein Af1503 of the archaeon Archaeoglobus
fulgidus or the Tsr receptor. In a construct with the Tsr-HAMP, cyclase activity
was inhibited by serine, whereas in a construct with the HAMP domain from A.
fulgidus, enzyme activity was not responsive to serine. Amino acids of the signal
accepting network were mutually swapped between both HAMP domains, and serine
signaling was examined. The data biochemically tentatively established the
functionality of the signal-accepting network. Based on a two-state gearbox model
of rotation in HAMP domain-mediated signal propagation, we characterized the
interaction between permanent and transient core residues in a coiled coil HAMP
structure. The data are compatible with HAMP rotation in signal propagation but
do not exclude alternative models for HAMP signaling. Finally, we present data
indicating that the connector, which links the alpha-helices of HAMP domains,
plays an important structural role in HAMP function.
PMID- 22094467
TI - Chondroitin sulfate "wobble motifs" modulate maintenance and differentiation of
neural stem cells and their progeny.
AB - Chondroitin sulfate/dermatan sulfate (CS/DS) proteoglycans, major components of
the central nervous system, have the potential to interact with a wide range of
growth factors and neurotrophic factors that influence neuronal migration, axon
guidance pathways, and neurite outgrowth. Recent studies have also revealed the
role of CS/DS chains in the orchestration of the neural stem/progenitor cell
micromilieu. Individual functional proteins recognize a set of multiple
overlapping oligosaccharide sequences decorated to give different sulfation
patterns, which are termed here "wobble CS/DS oligosaccharide motifs," and induce
signaling pathways essential for the proliferation, self-renewal, and cell
lineage commitment of neural stem/progenitor cells.
PMID- 22094468
TI - Activation of Aurora-A kinase by protein partner binding and phosphorylation are
independent and synergistic.
AB - Protein kinases are activated by phosphorylation and by the binding of activator
proteins. The interplay of these two factors is incompletely understood. We
applied energetic analysis to this question and characterized the activation
process of the serine/threonine kinase Aurora-A by phosphorylation and by its
protein partner, targeting protein for Xenopus kinesin-like protein 2 (TPX2). We
discovered that these two activators act synergistically and without a predefined
order: each can individually increase the activity of Aurora-A, and the effect of
both bound together is the exact sum of their individual contributions to
catalysis. Unexpectedly, the unphosphorylated enzyme has catalytic activity that
is increased 15-fold by the binding of TPX2 alone. The energetic contribution of
phosphorylation to catalysis is 2-fold greater than that of TPX2 binding, which
is independent of the phosphorylation state of the enzyme. Based on this
analysis, we propose a revised, fluid model of Aurora-A activation in which the
first step is a reduction in the mobility of the activation loop by either TPX2
binding or phosphorylation. Furthermore, our results suggest that
unphosphorylated Aurora-A bound to the mitotic spindle by TPX2 is catalytically
active and that the phosphorylation state of Aurora-A is an inaccurate surrogate
for its activity. Extending this form of analysis will allow us to compare
quantitatively the effects of the whole network of kinase-activating partners.
Comparison with other kinases showed that kinetic characterization detects those
kinases whose activation loops undergo a rearrangement upon phosphorylation and
thus whose unphosphorylated state offers a distinct target for the development of
Type II inhibitors.
PMID- 22094469
TI - Acute activation of central GLP-1 receptors enhances hepatic insulin action and
insulin secretion in high-fat-fed, insulin resistant mice.
AB - Glucagon-like peptide-1 (GLP-1) receptor knockout (Glp1r(-/-)) mice exhibit
impaired hepatic insulin action. High fat (HF)-fed Glp1r(-/-) mice exhibit
improved, rather than the expected impaired, hepatic insulin action. This is due
to decreased lipogenic gene expression and triglyceride accumulation. The present
studies overcome these secondary adaptations by acutely modulating GLP-1R action
in HF-fed wild-type mice. The central GLP-1R was targeted given its role as a
regulator of hepatic insulin action. We hypothesized that acute inhibition of the
central GLP-1R impairs hepatic insulin action beyond the effects of HF feeding.
We further hypothesized that activation of the central GLP-1R improves hepatic
insulin action in HF-fed mice. Insulin action was assessed in conscious,
unrestrained mice using the hyperinsulinemic euglycemic clamp. Mice received
intracerebroventricular (icv) infusions of artificial cerebrospinal fluid, GLP-1,
or the GLP-1R antagonist exendin-9 (Ex-9) during the clamp.
Intracerebroventricular Ex-9 impaired the suppression of hepatic glucose
production by insulin, whereas icv GLP-1 improved it. Neither treatment affected
tissue glucose uptake. Intracerebroventricular GLP-1 enhanced activation of
hepatic Akt and suppressed hypothalamic AMP-activated protein kinase. Central GLP
1R activation resulted in lower hepatic triglyceride levels but did not affect
muscle, white adipose tissue, or plasma triglyceride levels during
hyperinsulinemia. In response to oral but not intravenous glucose challenges,
activation of the central GLP-1R improved glucose tolerance. This was associated
with higher insulin levels. Inhibition of the central GLP-1R had no effect on
oral or intravenous glucose tolerance. These results show that inhibition of the
central GLP-1R deteriorates hepatic insulin action in HF-fed mice but does not
affect whole body glucose homeostasis. Contrasting this, activation of the
central GLP-1R improves glucose homeostasis in HF-fed mice by increasing insulin
levels and enhancing hepatic insulin action.
PMID- 22094470
TI - Prolactin receptor antagonism in mouse anterior pituitary: effects on cell
turnover and prolactin receptor expression.
AB - Since anterior pituitary expresses prolactin receptors, prolactin secreted by
lactotropes could exert autocrine or paracrine actions on anterior pituitary
cells. In fact, it has been observed that prolactin inhibits its own expression
by lactotropes. Our hypothesis is that prolactin participates in the control of
anterior pituitary cell turnover. In the present study, we explored the action of
prolactin on proliferation and apoptosis of anterior pituitary cells and its
effect on the expression of the prolactin receptor. To determine the activity of
endogenous prolactin, we evaluated the effect of the competitive prolactin
receptor antagonist Delta1-9-G129R-hPRL in vivo, using transgenic mice that
constitutively and systemically express this antagonist. The weight of the
pituitary gland and the anterior pituitary proliferation index, determined by
BrdU incorporation, were higher in transgenic mice expressing the antagonist than
in wild-type littermates. In addition, blockade of prolactin receptor in vitro by
Delta1-9-G129R-hPRL increased proliferation and inhibited apoptosis of
somatolactotrope GH3 cells and of primary cultures of male rat anterior pituitary
cells, including lactotropes. These results suggest that prolactin acts as an
autocrine/paracrine antiproliferative and proapoptotic factor in the anterior
pituitary gland. In addition, anterior pituitary expression of the long isoform
of the prolactin receptor, measured by real-time PCR, increased about 10-fold in
transgenic mice expressing the prolactin receptor antagonist, whereas only a
modest increase in the S3 short-isoform expression was observed. These results
suggest that endogenous prolactin may regulate its own biological actions in the
anterior pituitary by inhibiting the expression of the long isoform of the
prolactin receptor. In conclusion, our observations suggest that prolactin is
involved in the maintenance of physiological cell renewal in the anterior
pituitary. Alterations in this physiological role of prolactin could contribute
to pituitary tumor development.
PMID- 22094471
TI - Proton magnetic resonance spectroscopy shows lower intramyocellular lipid
accumulation in middle-aged subjects predisposed to familial longevity.
AB - Families predisposed to longevity show enhanced glucose tolerance and skeletal
muscle insulin sensitivity compared with controls, independent of body
composition and physical activity. Intramyocellular lipid (IMCL) accumulation in
skeletal muscle has been associated with insulin resistance. Here, we assessed
whether subjects enriched for familial longevity have lower IMCL levels. We
determined IMCL levels in 48 subjects from the Leiden Longevity Study, comprising
24 offspring of nonagenarian siblings and 24 partners thereof as control
subjects. IMCL levels were assessed noninvasively using short echo time proton
magnetic resonance spectroscopy ((1)H-MRS) of the tibialis anterior muscle with a
7 Tesla human MR scanner. IMCL levels were calculated relative to the total
creatine (tCr) CH3 signal. Physical activity was assessed using the International
Physical Activity Questionnaire (IPAQ). After correction for age, sex, BMI, and
physical activity, offspring of long-lived nonagenarian siblings tended to show
lower IMCL levels compared with controls (IMCL/tCr: 3.1 +/- 0.5 vs. 4.5 +/- 0.5,
respectively, P = 0.051). In a pairwise comparison, this difference reached
statistical significance (P = 0.038). We conclude that offspring of nonagenarian
siblings predisposed to longevity show lower IMCL levels compared with
environmentally matched control subjects. Future research should focus on
assessing what mechanisms may explain the lower IMCL levels in familial
longevity.
PMID- 22094472
TI - Effects of moderate exercise on VLDL1 and Intralipid kinetics in overweight/obese
middle-aged men.
AB - Prior moderate exercise reduces plasma triglyceride (TG)-rich lipoprotein
concentrations, mainly in the large very low-density lipoprotein (VLDL1)
fraction, but the mechanism responsible is unclear. We investigated the effects
of brisk walking on TG-rich lipoprotein kinetics using a novel method. Twelve
overweight/obese middle-aged men underwent two kinetic studies, involving
infusion of Intralipid to block VLDL1 catabolism, in random order. On the
afternoon prior to infusion, subjects either walked on a treadmill for 2 h at
~50% maximal oxygen uptake or performed no exercise. Multiple blood samples were
taken during and after infusion for separation of Intralipid (S(f) 400) and VLDL1
(S(f) 60-400). VLDL1-TG and -apoB production rates were calculated from their
linear rises during infusion; fractional catabolic rates (FCR) were calculated by
dividing linear rises by fasting concentrations. Intralipid-TG FCR was determined
from the postinfusion exponential decay. Exercise reduced fasting VLDL1-TG
concentration by 30% (P = 0.007) and increased TG enrichment of VLDL1 particles
[30% decrease in cholesteryl ester (CE)/TG ratio (P = 0.007); 26% increase in
TG/apoB ratio (P = 0.059)]. Exercise also increased VLDL1-TG, VLDL1-apoB, and
Intralipid-TG FCRs by 82, 146, and 43%, respectively (all P < 0.05), but had no
significant effect on VLDL1-TG or -apoB production rates. The exercise-induced
increase in VLDL1-apoB FCR correlated strongly with the exercise-induced changes
in VLDL1 CE/TG (r = -0.659, r = 0.020) and TG/apoB (r = 0.785, P = 0.002) ratios.
Thus, exercise-induced reductions in VLDL1 concentrations are mediated by
increased catabolism, rather than reduced production, which may be facilitated by
compositional changes to VLDL1 particles that increase their affinity for
clearance from the circulation.
PMID- 22094473
TI - Oil composition of high-fat diet affects metabolic inflammation differently in
connection with endotoxin receptors in mice.
AB - Low-grade inflammation observed in obesity is a risk factor for cardiovascular
disease. Recent studies revealed that this would be linked to gut-derived
endotoxemia during fat digestion in high-fat diets, but nothing is known about
the effect of lipid composition. The study was designed to test the impact of oil
composition of high-fat diets on endotoxin metabolism and inflammation in mice.
C57/Bl6 mice were fed for 8 wk with chow or isocaloric isolipidic diets enriched
with oils differing in fatty acid composition: milk fat, palm oil, rapeseed oil,
or sunflower oil. In vitro, adipocytes (3T3-L1) were stimulated or not with
lipopolysaccharide (LPS; endotoxin) and incubated with different fatty acids. In
mice, the palm group presented the highest level of IL-6 in plasma (P < 0.01)
together with the highest expression in adipose tissue of IL-1beta and of LPS
sensing TLR4 and CD14 (P < 0.05). The higher inflammation in the palm group was
correlated with a greater ratio of LPS-binding protein (LBP)/sCD14 in plasma (P <
0.05). The rapeseed group resulted in higher sCD14 than the palm group, which was
associated with lower inflammation in both plasma and adipose tissue despite
higher plasma endotoxemia. Taken together, our results reveal that the palm oil
based diet resulted in the most active transport of LPS toward tissues via high
LBP and low sCD14 and the greatest inflammatory outcomes. In contrast, a rapeseed
oil-based diet seemed to result in an endotoxin metabolism driven toward less
inflammatory pathways. This shows that dietary fat composition can contribute to
modulate the onset of low-grade inflammation through the quality of endotoxin
receptors.
PMID- 22094474
TI - Evaluation of safety monitoring guidelines based on MRI lesion activity in
multiple sclerosis.
AB - OBJECTIVE: We evaluate variants of a commonly used data safety monitoring
guideline in clinical trials in multiple sclerosis (MS) that flags patients who,
at a follow-up visit, have 5 or more contrast-enhancing lesions (CELs) above
their baseline count. METHODS: We apply the guideline to a relapsing cohort and a
secondary progressive cohort. We assess the number of patients that meet the
guideline and describe the characteristics of these patients; we also examine the
value of the guideline in predicting relapse occurrence in the 28 days following
that MRI. These analyses were repeated for thresholds varying from 1 to 10 CELs
above baseline. RESULTS: Between 4% and 6% of patients met the threshold of 5 in
both cohorts; patients with higher baseline counts and higher T2 lesion burden
were more apt to meet the threshold. After adjustment for other covariates, the
odds ratio (OR) of relapse associated with meeting the threshold is significant
(p < 0.05) or near significant (0.05 <= p < 0.10) for thresholds between 5 and 8
for the relapsing cohort, but not for the secondary progressive cohort. Across
thresholds, the adjusted OR is consistently greater than 1, and there is an
increasing trend as the threshold increases from 1 to 7. CONCLUSIONS: A guideline
based on crossing a threshold CEL count above baseline may be valuable in
monitoring patient safety. Further study should be conducted using different
datasets to assess the generalizability of these results.
PMID- 22094475
TI - Natural history of MELAS associated with mitochondrial DNA m.3243A>G genotype.
AB - OBJECTIVE: To describe the natural history of clinical and laboratory features
associated with the m.3243A>G mitochondrial DNA point mutation. Natural history
data are needed to obtain prognostic information and for clinical trial planning.
METHODS: We included 85 matrilineal relatives from 35 families with at least 2
visits in this prospective cohort study. Thirty-one were fully symptomatic with
mitochondrial encephalomyopathy, lactic acidosis, and stroke-like episodes
(MELAS), and 54 were carrier relatives. Evaluations included standardized
questionnaires (medical history and daily living functioning), physical
examination, neuropsychological testing, and a battery of imaging and laboratory
tests. We evaluated changes in clinical and laboratory features over time and
survival. Outcomes are reported over a follow-up period of up to 10.6 years (mean
3.8 +/- 2.2 years for patients and 5.5 +/- 3.0 for carrier relatives). RESULTS:
Neurologic examination, neuropsychological testing, and daily living scores
significantly declined in all patients with MELAS, whereas no significant
deterioration occurred in carrier relatives. Cerebral MRI scores declined
significantly in patients with MELAS. Magnetic resonance spectroscopy estimates
of lactate in the lateral ventricles increased over time, and high lactate was
associated with increased mortality. Symptom onset in childhood often was
associated with worse outcome. Patients with MELAS had a greater death rate than
carrier relatives. CONCLUSIONS: Patients with MELAS carrying the m.3243A>G
mutation show a measurable decline in clinical and imaging outcomes. It is hoped
that these data will be helpful in anticipating the disease course and in
planning clinical trials for MELAS.
PMID- 22094476
TI - Evaluating patients with TIA: to hospitalize or not to hospitalize?
PMID- 22094477
TI - Should TIA patients be hospitalized or referred to a same-day clinic?: a decision
analysis.
AB - OBJECTIVE: For patients presenting with TIA, a previous study concluded that
hospitalization is cost-effective compared to discharge without treatment from
the emergency department. We performed a cost-effectiveness analysis of
hospitalization vs urgent clinic evaluation following TIA. METHODS: Among a
cohort of TIA patients, we created a decision tree model to compare the decision
to hospitalize or refer to urgent-access specialty clinic. We estimated
probabilities, utilities, and direct costs from the available literature and
calculated incremental cost-effectiveness ratio (ICER). We assumed equal access
to standard medical treatments between the 2 approaches; however, we estimated
higher tissue plasminogen activator (tPA) utilization among hospitalized
patients. We performed sensitivity analyses to assess all assumptions in our
model. RESULTS: In patients with TIA aged 65-74 years, hospitalization yielded
additional 0.00026 quality-adjusted life-years (QALYs) at 1 year, but at an
additional cost of $5,573 per patient compared to urgent clinic evaluation (ICER
= $21,434,615/QALY). Over 30 years, the ICER was $3,473,125/QALY. These results
were not sensitive to varying 48-hour stroke risk, length of stay, tPA
utilization rate, QALYs saved per tPA treatment, and hospitalization and clinic
costs, and cost saved per tPA treatment. CONCLUSION: Despite increased access to
tPA in the hospital, we found that hospitalization is not cost-effective compared
to same-day clinic evaluation following TIA. A very small fraction of patients
benefits from hospitalization if urgent-access TIA clinics are available. The
widespread development of urgent-access TIA clinics is warranted.
PMID- 22094478
TI - Does one guideline fit all?
PMID- 22094479
TI - Thrombolysis outcomes in acute ischemic stroke patients with prior stroke and
diabetes mellitus.
AB - BACKGROUND: Patients with concomitant diabetes mellitus (DM) and prior stroke
(PS) were excluded from European approval of alteplase in stroke. We examined the
influence of DM and PS on the outcomes of patients who received thrombolytic
therapy (T; data from Safe Implementation of Thrombolysis in Stroke-International
Stroke Thrombolysis Register) compared to nonthrombolyzed controls (C; data from
Virtual International Stroke Trials Archive). METHODS: We selected ischemic
stroke patients on whom we held data on age, baseline NIH Stroke Scale score
(NIHSS), and 90-day modified Rankin Scale score (mRS). We compared the
distribution of mRS between T and C by Cochran-Mantel-Haenszel (CMH) test and
proportional odds logistic regression, after adjustment for age and baseline
NIHSS, in patients with and without DM, PS, or the combination. We report odds
ratios (OR) for improved distribution of mRS with 95% confidence interval (CI)
and CMH p value. RESULTS: Data were available for 29,500 patients: 5,411 (18.5%)
had DM, 5,019 had PS (17.1%), and 1,141 (5.5%) had both. Adjusted mRS outcomes
were better for T vs C among patients with DM (OR 1.45 [1.30-1.62], n = 5,354),
PS (OR 1.55 [1.40-1.72], n = 4,986), or concomitant DM and PS (OR 1.23 [0.996
1.52], p = 0.05, n = 1,136), all CMH p < 0.0001. These are comparable to outcomes
between T and C among patients with neither DM nor PS: OR = 1.53 (1.42-1.63), p <
0.0001, n = 19,339. There was no interaction on outcome between DM and PS with
alteplase treatment (tissue plasminogen activator * DM * PS, p = 0.5). Age <=80
years or >80 years did not influence our findings. CONCLUSIONS: Outcomes from
thrombolysis are better than the controls among patients with DM, PS, or both. We
find no statistical justification for the exclusion of these patients from
receiving thrombolytic therapy.
PMID- 22094480
TI - Long-term association between seizure outcome and depression after resective
epilepsy surgery.
AB - OBJECTIVE: This study explored the association between long-term epilepsy surgery
outcome and changes in depressive symptoms. METHODS: Adults were enrolled between
1996 and 2001 in a multicenter prospective study to evaluate outcomes of
resective epilepsy surgery. The extent of depressive symptoms and depression case
status (none, mild, or moderate/severe) were assessed using the Beck Depression
Inventory (BDI) preoperatively and 3, 12, 24, 48, and 60 months postoperatively.
A mixed-model repeated-measures analysis was performed, adjusting for covariates
of seizure location, gender, age, race, education, and seizure control. RESULTS:
Of the total 373 subjects, 256 were evaluated at baseline and 5 years after
surgery. At baseline, 164 (64.1%) were not depressed, 34 (13.3%) were mildly
depressed, and 58 (22.7%) had moderate to severe depression. After 5 years, 198
(77.3%) were not depressed, 20 (7.8%) were mildly depressed, and 38 (14.8%) were
moderately to severely depressed. Five years after surgery, the reduction in mean
change from baseline in BDI score was greater in subjects with excellent seizure
control than in the fair and poor seizure control groups (p = 0.0006 and p = 0.02
respectively). Those with good seizure control had a greater reduction in BDI
score than the poor seizure control group (p = 0.02) and borderline significant
reduction compared with the fair seizure control group (p = 0.055). CONCLUSION:
Although study participants had initial improvement in depressive symptoms, on
average, after resective surgery, only patients with good or excellent seizure
control had sustained long-term improvement in mood.
PMID- 22094482
TI - An autopsy case of SOD1-related ALS with TDP-43 positive inclusions.
PMID- 22094481
TI - Voxel-level comparison of arterial spin-labeled perfusion MRI and FDG-PET in
Alzheimer disease.
AB - OBJECTIVE: We compared the ability of arterial spin labeling (ASL), an MRI method
that measures cerebral blood flow (CBF), to that of FDG-PET in distinguishing
patients with Alzheimer disease (AD) from healthy, age-matched controls. METHODS:
Fifteen patients with AD (mean age 72 +/- 6 years, Mini-Mental State Examination
score [MMSE] 20 +/- 6) and 19 age-matched controls (mean age 68 +/- 6 years, MMSE
29 +/- 1) underwent structural MRI. Participants were injected with 5 mCi of FDG
during pseudocontinuous ASL scan, which was followed by PET scanning. Statistical
parametric mapping and regions of interest (ROI) analysis were used to compare
the ability of the 2 modalities in distinguishing patients from controls.
Similarity between the 2 modalities was further assessed with linear correlation
maps of CBF and metabolism to neuropsychological test scores. RESULTS: Good
agreement between hypoperfusion and hypometabolism patterns was observed, with
overlap primarily in bilateral angular gyri and posterior cingulate. ROI results
showed similar scales of functional deficit between patients and controls in both
modalities. Both ASL and FDG-PET were able to distinguish neural networks
associated with different neuropsychological tests with good overlap between
modalities. CONCLUSIONS: Our voxel-wise results indicated that ASL-MRI provides
largely overlapping information with FDG-PET. ROI analysis demonstrated that both
modalities detected similar degrees of functional deficits in affected areas.
Given its ease of acquisition and noninvasiveness, ASL-MRI may be an appealing
alternative for AD studies.
PMID- 22094483
TI - Reducing bodies and myofibrillar myopathy features in FHL1 muscular dystrophy.
AB - OBJECTIVE: Some pathologic features of the FHL1 myopathies and the myofibrillar
myopathies (MFMs) overlap; we therefore searched for mutations in FHL1 in our
cohort of 50 patients with genetically undiagnosed MFM. METHODS: Mutations in
FHL1 were identified by direct sequencing. Polymorphisms were excluded by using
allele-specific PCR in 200 control subjects. Structural changes in muscle were
analyzed by histochemistry, immunocytochemistry, and electron microscopy.
RESULTS: We detected 2 novel and 1 previously identified missense mutation in 5
patients. Patients 1-4 presented before age 30, display menadione-nitro blue
tetrazolium-positive reducing bodies, and harbor mutations in the FHL1 LIM2
domain. Patient 5 presented at age 75 and has no reducing bodies, and his
mutation is not in a LIM domain. The clinical features include progressive muscle
weakness, hypertrophied muscles, rigid spine, and joint contractures, and 1
patient also has peripheral neuropathy. High-resolution electron microscopy
reveals the reducing bodies composed of 13-nm tubulofilaments initially emanating
from Z-disks. At a more advanced stage, abundant reducing bodies appear in the
cytoplasm and nuclei with concomitant myofibrillar disintegration, accumulation
of cytoplasmic degradation products, and aggregation of endoplasmic reticulum and
sarcotubular profiles. CONCLUSIONS: FHL1 dystrophies can be associated with MFM
pathology. Mutations in the LIM2 domain are associated with reducing bodies
composed of distinct tubulofilaments. A mutation extraneous to LIM domains
resulted in a mild late-onset phenotype with MFM pathology but no reducing
bodies.
PMID- 22094484
TI - Acetazolamide efficacy in hypokalemic periodic paralysis and the predictive role
of genotype.
AB - OBJECTIVES: Acetazolamide has been the most commonly used treatment for
hypokalemic periodic paralysis since 1968. However, its mechanism of efficacy is
not fully understood, and it is not known whether therapy response relates to
genotype. We undertook a clinical and genetic study to evaluate the response rate
of patients treated with acetazolamide and to investigate possible correlations
between response and genotype. METHODS: We identified a total of 74 genotyped
patients for this study. These included patients who were referred over a 15-year
period to the only U.K. referral center or to a Chinese center and who underwent
extensive clinical evaluation. For all genotyped patients, the response to
acetazolamide therapy in terms of attack frequency and severity was documented.
Direct DNA sequencing of CACNA1S and SCN4A was performed. RESULTS: Only 46% of
the total patient cohort (34 of 74) reported benefit from acetazolamide. There
was a greater chance of benefit in patients with mutations in CACNA1S (31
responded of 55 total) than in those with mutations in SCN4A (3 responded of 19
total). Patients with mutations that resulted in amino acids being substituted by
glycine in either gene were the least likely to report benefit. CONCLUSIONS: This
retrospective study indicates that only approximately 50% of genotyped patients
with hypokalemic periodic paralysis respond to acetazolamide. We found evidence
supporting a relationship between genotype and treatment response. Prospective
randomized controlled trials are required to further evaluate this relationship.
Development of alternative therapies is required.
PMID- 22094485
TI - Challenging the validity of imposing contraindications to thrombolysis for acute
ischemic stroke.
PMID- 22094486
TI - Caval flow reflects Fontan hemodynamics: quantification by magnetic resonance
imaging.
AB - INTRODUCTION: Failing Fontan circulation is a multifactorial problem without
clear predictors and with uncertain onset. We sought to investigate the
correlations between systemic venous flow return and the clinical condition of
Fontan patients. METHODS: Flow measurements using phase contrast magnetic
resonance imaging (MRI) were performed in the superior and inferior vena cava
(SVC, IVC) in 61 Fontan patients. Median postoperative follow-up time was 6.7
(0.6-14.1) years; median age at MRI was 11.6 (4.0-44.6) years. Eight patients
were identified clinically as a subgroup with suboptimal hemodynamics. The
effective forward flow of combined SVC and IVC flow volume was defined as the
venous cardiac index (vCI, l/min/m(2)). SVC flow ratio was defined as SVC flow in
relation to vCI. The vCI and flow distribution between the SVC and IVC were
investigated in relation to the hemodynamics and patients' age at MRI. RESULTS:
Venous flow return through the SVC was 1.1 (0.6-3.4) l/min/m(2) and through the
IVC 1.8 (0.6-3.2) l/min/m(2); total vCI was 3 l/min/m(2) (1.2-5.1). Patients with
suboptimal Fontan hemodynamics showed significantly lower IVC flow return (median
of 1.5 vs. 1.9 l/min/m(2), p = 0.027) and increased SVC flow ratio (0.56 vs.
0.35, p = 0.005) in comparison to those with good clinical condition. The total
vCI decrease was correlated with older patient age (r = 0.575, p < 0.001).
CONCLUSIONS: Altered systemic venous flow return is associated with suboptimal
Fontan hemodynamics and seems to progress with patients' age and long-term follow
up after Fontan operation. Thus, MRI flow volume measurements might help in
monitoring Fontan patients before the onset of clinical signs of suboptimal
hemodynamics.
PMID- 22094487
TI - Soy protein preserves basement membrane integrity through a synergistic effect on
nephrin, matrix metalloproteinase and vascular endothelial growth factor.
AB - BACKGROUND/AIMS: Soy protein improves renal function and prevents albuminuria in
diabetic rats. This study investigates whether the renoprotective effect of soy
protein is related to sustenance of basement membrane integrity. METHODS: Adult
male albino rats were randomized into four groups and fed one of the following
semi-synthetic diets consisting of corn starch (60%) and casein (20%; CCD),
fructose (60%) and casein (20%; FCD), fructose (60%) and soy protein (20%; FSD),
or corn starch (60%) and soy protein (20%; CSD). Plasma chemistry and renal
changes were analyzed after 60 days. RESULTS: FCD rats displayed metabolic
derangements and renal ultrastructural changes. FSD rats showed reduction in type
IV collagen, tissue inhibitor for matrix metallo-proteinase-2, vascular
endothelial growth factor and tumor necrosis factor-alpha expression and improved
matrix metallo-proteinase expression. Renal architecture was preserved in these
rats. CONCLUSION: Soy protein supplementation not only improved insulin
sensitivity but also markedly attenuated renal basement membrane changes in
fructose diet-fed rats. These findings provide evidence in support of the use of
dietary soy protein in patients with diabetic kidney disease.
PMID- 22094488
TI - A comparison of two-fingers technique and two-thumbs encircling hands technique
of chest compression in neonates.
AB - OBJECTIVE: To compare the proportion of correct placements (POCP) between 'two
fingers' and 'two-thumbs' techniques of chest compression among neonates of
various gestations. STUDY DESIGN: Two-fingers and two-thumbs spans of 32 adult
rescuers were individually compared with the inter-nipple line to sterno-xiphoid
junction distance of 39 neonates. 'Correct placement' was defined if two
fingers/two-thumbs span was equal to or less than the inter-nipple line to sterno
xiphoid junction distance. The POCPs was compared between two-fingers and two
thumbs methods of chest compression by the McNemar test among neonates and their
various subgroups. RESULT: There were a total of 1248 comparisons. The POCPs with
two-fingers and two-thumbs techniques were 6.7 and 77% in all neonates, 10.6 and
89.5% in full term and 1.2 and 59% in preterm neonates, respectively (P<0.001).
CONCLUSION: Two-thumbs technique achieved higher POCPs and should be preferred
over two-fingers technique among neonates.
PMID- 22094489
TI - Decreased survival in necrotizing enterocolitis is significantly associated with
neonatal and maternal blood group: the AB isoagglutinin hypothesis.
AB - OBJECTIVE: To determine the effect of neonatal and maternal blood group on the
mortality risk from necrotizing enterocolitis (NEC). STUDY DESIGN: Retrospective
chart review of all neonates admitted to the neonatal intensive care unit over 24
years. Data on birth date, gestational age, maternal/neonatal blood group, number
of transfusions, and survival time (defined as date of birth to date of
death/discharge) were collected on those with NEC. RESULT: 276 neonates with Bell
stage II-III NEC were analyzed. AB neonates had a significantly higher risk of
mortality from NEC compared with other blood groups (HR 2.87; 95% CI 1.40 to
5.89; P=0.003). Multivariate analysis showed AB blood group to be an independent
risk factor for mortality from NEC. CONCLUSION: Neonatal and maternal blood
groups are significantly associated with a neonate's survival from NEC. The
increased mortality of AB neonates may be related to factors such as neonatal
blood group antigens and/or transplacental transfer of isoagglutinins.
PMID- 22094490
TI - Cardiovascular instability after patent ductus arteriosus ligation in preterm
infants: the role of hydrocortisone.
AB - OBJECTIVE: Following patent ductus arteriosus (PDA) ligation, preterm infants may
develop profound hypotension and respiratory failure. Prophylactic stress
hydrocortisone (HC) has emerged as a therapy to prevent complications,
postulating these infants do not synthesize steroids because of an immature
hypothalamic-pituitary-adrenal axis. The purpose of this study was to compare
outcomes in infants who received stress HC before their PDA ligations to those
who did not. STUDY DESIGN: A retrospective chart review was performed of infants
who underwent PDA ligations at our institution's neonatal intensive care unit.
Data were collected on treatment with HC, and respiratory and cardiovascular
support. RESULT: Gestational age (GA) and birth weight were lower in the HC group
(24 vs 25 weeks, 632 vs 790 g), but age at time of surgery was similar (26 vs 21
days). Cardiorespiratory support was comparable between the groups pre- and post
operatively. In regression models that adjusted for GA, HC treatment was not
independently related to respiratory support postoperatively, but was associated
with a decrease in postoperative dopamine (2.2 mcg kg(-1) min(-1); P=0.03).
Respiratory support postoperatively was predicted by preoperative respiratory
support. GA and age at surgery were not independently associated with outcome.
CONCLUSION: Preoperative stress HC was not associated with improved
cardiorespiratory stability, regardless of GA. Further investigation is needed to
identify infants who may benefit from this therapy.
PMID- 22094491
TI - Four wishes for Aubrey.
AB - In the critical care environment, what begins as cure-oriented and life-extending
treatment may become unsuccessful in overcoming the patient's increasingly
complex pathophysiology. A case from the neonatal intensive care unit is
presented and used to elaborate upon care transitions toward palliative and
supportive care that can be rendered in the hospital, at home or in a hospice
facility. Successful transitions may rest upon anticipatory guidance by the
primary physician and team, or a consultant, to facilitate and enable parents and
team members alike in addressing the hard realities that cure, or even successful
ICU discharge, is unlikely. A simple mechanism of addressing and accommodating a
family's wishes is provided.
PMID- 22094492
TI - Randomized controlled trial of magnesium sulfate in women at risk of preterm
delivery-neonatal cardiovascular effects.
AB - OBJECTIVE: Use of antenatal magnesium sulfate (MgSO(4)) may reduce cerebral palsy
in infants born very preterm. Low systemic blood flow in the first day in very
preterm infants has been associated with cerebral injury and adverse motor
outcome. The aim was to determine the effect of MgSO(4) on systemic blood flow in
preterm infants. STUDY DESIGN: Randomized trial of MgSO(4) versus saline placebo
given to mothers at risk of delivery before 30 weeks gestation. Echocardiographic
monitoring performed at 3 to 5, 10 to 12 and 24 h. RESULT: A total of 48 infants
were exposed to MgSO(4) and 39 to placebo. Infants exposed to MgSO(4) were
significantly more likely to receive volume expansion (42% versus 21%). Inotrope
use did not differ significantly (40% versus 26%). There was no significant
difference in mean lowest superior vena cava (SVC) flow or right ventricular
output (RVO), or incidence of low SVC flow or RVO in the first 24 h. Infants
exposed to MgSO(4) had a significantly higher heart rate and were more likely to
have low SVC flow at 10 to 12 h but not other times. CONCLUSION: Antenatal
MgSO(4) produced no consistent cardiovascular effects in the infant in the first
24 h. There is no evidence from this study to suggest the mechanism by which
antenatal MgSO(4) prevents cerebral palsy is through a cardiovascular effect in
the newborn.
PMID- 22094493
TI - Predischarge non-invasive risk assessment for prediction of significant
hyperbilirubinemia in term and late preterm neonates.
AB - OBJECTIVE: To evaluate efficacy of predischarge transcutaneous bilirubin (TcB)
measurement and clinical risk assessment in predicting hyperbilirubinemia needing
treatment. STUDY DESIGN: A diagnostic test was performed in a prospective cohort
study conducted at a teaching hospital in North India. Subjects included healthy
neonates with a gestation period of >=35 weeks or birth weight >=2000 g.
Maternal, neonatal and delivery risk factors for hyperbilirubinemia were
prospectively collected. TcB was measured in all enrolled neonates at 24+/-6, 72
to 96 and 96 to 144 h of postnatal age and when indicated clinically. Neonates
were followed up during hospital stay and after discharge till completion of the
7th postnatal day. The key outcome was significant hyperbilirubinemia defined as
need of phototherapy on the basis of modified American Academy of Pediatrics
guidelines. In neonates born at >=38 weeks of gestation and in neonates born at
<=37 completed weeks of gestation, middle line and lower line of phototherapy
thresholds were used to initiate phototherapy, respectively. Variables observed
to be significantly associated with significant hyperbilirubinemia on
multivariate analysis were used for construction of a clinical risk assessment
tool. Predictive ability of the risk assessment tool was assessed by calculating
sensitivity, specificity, positive predictive value and negative predictive
value, by plotting receiver-operating characteristics curve and calculating c
statistic. RESULT: A total of 997 neonates (birth weight: 2627+/-536 g,
gestation: 37.8+/-1.5 weeks) were enrolled in the study, of which 931 completed
follow-up. Among enrolled neonates, 344 (34.5%) were low birth weight. Overall, a
total of 199 (20%) neonates developed significant hyperbilirubinemia. On stepwise
logistic regression analysis, predischarge TcB percentile and gestation were
significantly found to be associated with significant hyperbilirubinemia. A risk
assessment graph was constructed to predict subsequent development of significant
hyperbilirubinemia. Area under curve for this risk assessment strategy was 0.75.
CONCLUSION: A risk assessment graphical tool consisting of TcB and gestation
accurately predicted subsequent need of phototherapy. Further studies are needed
to validate performance of this risk assessment tool.
PMID- 22094494
TI - Umbilical cord milking in term infants delivered by cesarean section: a
randomized controlled trial.
AB - OBJECTIVE: The study's objective was to compare hematocrit (Hct) levels at 36 to
48 h of age in term infants delivered by cesarean section exposed to immediate
cord clamping or umbilical cord milking (UCM). STUDY DESIGN: In this randomized
controlled trial, 24 women scheduled for elective cesarean section were
randomized to either immediate clamping (<10 s) or UCM (milked * 5 by the
obstetrical provider) at birth. RESULT: All subjects received their allocated
intervention. The milking group had a smaller placental residual blood volume
(13.2+/-5.6 vs 19.2+/-5.4 ml kg(-1), P=0.01) and higher Hct levels at 36 to 48 h
(57.5+/-6.6 vs 50.0+/-6.4 %, P=0.01). Five infants (42%) in the immediate group
had a Hct of <=47%, indicative of anemia. CONCLUSION: UCM results in placental
transfusion in term infants at the time of elective cesarean section with higher
Hct levels at 36 to 48 h of age.
PMID- 22094495
TI - Circulating CD133: a promising biomarker, but what are we measuring?
PMID- 22094496
TI - Right paraesophageal lymph node dissection in papillary thyroid carcinoma.
AB - BACKGROUND: This study was designed to identify the patients with papillary
thyroid carcinoma (PTC) who would benefit from RPELN dissection. SUMMARY
BACKGROUND DATA: The value of the right paraesophageal lymph nodes (RPELNs),
which are located posterior to the right recurrent laryngeal nerve, may be
underestimated. Although the RPELNs are common sites of nodal recurrence, few
related studies have been reported. METHODS: We retrospectively reviewed the
medical records of 369 patients (286 female, 83 male) who underwent total
thyroidectomy (327 patients) or right lobectomy (42 patients) with therapeutic or
prophylactic central lymph node dissection for primary PTC between August 2008
and January 2010 at the Department of Surgery, Samsung Medical Center. RESULTS:
Central lymph node (CLN) metastases were present in 51.2% (189 of 369) of the
patients, and RPELN metastases were present in 12.2% (45 of 369) of the patients.
The rate of RPELN metastasis was 19.6% (37 of 189) in patients with CLN
metastases, but only 4.4% (8 of 180) in patients with no CLN metastases (P <
.001). A univariate analysis revealed that RPELN metastasis was significantly
correlated with the size of the tumor, the perithyroidal extent (capsular
invasion), CLN metastasis, and lateral lymph node metastases. There were no
significant differences in terms of gender, mean age, or number of tumors between
groups. A multivariate analysis revealed that tumor size (>1 cm) and number of
CLN metastases (>= 3) were significantly correlated with RPELN metastasis.
CONCLUSIONS: RPELN dissection should be considered in patients with right thyroid
cancer, tumors larger than 1 cm, or multiple CLN metastases.
PMID- 22094497
TI - The impact of comorbid [corrected] conditions on critical illness.
AB - OBJECTIVE: To review the current knowledge of common comorbidities in the
intensive care unit, including diabetes mellitus, chronic obstructive pulmonary
disease, cancer, end-stage renal disease, end-stage liver disease, HIV infection,
and obesity, with specific attention to epidemiology, contribution to diseases
and outcomes, and the impact on treatments in these patients. DATA SOURCE: Review
of the relevant medical literature for specific common comorbidities in the
critically ill. RESULTS: Critically ill patients are admitted to the intensive
care unit for various reasons, and often the admission diagnosis is accompanied
by a chronic comorbidity. Chronic comorbid conditions commonly seen in critically
ill patients may influence the decision to provide intensive care unit care,
decisions regarding types and intensity of intensive care unit treatment options,
and outcomes. The presence of comorbid conditions may predispose patients to
specific complications or forms of organ dysfunction. The impact of specific
comorbidities varies among critically ill medical, surgical, and other
populations, and outcomes associated with certain comorbidities have changed over
time. Specifically, outcomes for patients with cancer and HIV have improved,
likely related to advances in therapy. Overall, the negative impact of chronic
comorbidity on survival in critical illness may be primarily influenced by the
degree of organ dysfunction or the cumulative severity of multiple comorbidities.
CONCLUSION: Chronic comorbid conditions are common in critically ill patients.
Both the acute illness and the chronic conditions influence prognosis and optimal
care delivery for these patients, particularly for adverse outcomes and
complications influenced by comorbidities. Further work is needed to fully
determine the individual and combined impact of chronic comorbidities on
intensive care unit outcomes.
PMID- 22094498
TI - Sedation for critically ill adults with severe traumatic brain injury: a
systematic review of randomized controlled trials.
AB - OBJECTIVES: To summarize randomized controlled trials on the effects of sedative
agents on neurologic outcome, mortality, intracranial pressure, cerebral
perfusion pressure, and adverse drug events in critically ill adults with severe
traumatic brain injury. DATA SOURCES: PubMed, MEDLINE, EMBASE, the Cochrane
Database, Google Scholar, two clinical trials registries, personal files, and
reference lists of included articles. STUDY SELECTION: Randomized controlled
trials of propofol, ketamine, etomidate, and agents from the opioid,
benzodiazepine, alpha-2 agonist, and antipsychotic drug classes for management of
adult intensive care unit patients with severe traumatic brain injury. DATA
EXTRACTION: In duplicate and independently, two investigators extracted data and
evaluated methodologic quality and results. DATA SYNTHESIS: Among 1,892
citations, 13 randomized controlled trials enrolling 380 patients met inclusion
criteria. Long-term sedation (>=24 hrs) was addressed in six studies, whereas a
bolus dose, short infusion, or doubling of plasma drug concentration was
investigated in remaining trials. Most trials did not describe baseline traumatic
brain injury prognostic factors or important cointerventions. Eight trials
possibly or definitely concealed allocation and six were blinded. Insufficient
data exist regarding the effects of sedative agents on neurologic outcome or
mortality. Although their effects are likely transient, bolus doses of opioids
may increase intracranial pressure and decrease cerebral perfusion pressure. In
one study, a long-term infusion of propofol vs. morphine was associated with a
reduced requirement for intracranial pressure-lowering cointerventions and a
lower intracranial pressure on the third day. Trials of propofol vs. midazolam
and ketamine vs. sufentanil found no difference between agents in intracranial
pressure and cerebral perfusion pressure. CONCLUSIONS: This systematic review
found no convincing evidence that one sedative agent is more efficacious than
another for improvement of patient-centered outcomes, intracranial pressure, or
cerebral perfusion pressure in critically ill adults with severe traumatic brain
injury. High bolus doses of opioids, however, have potentially deleterious
effects on intracranial pressure and cerebral perfusion pressure. Adequately
powered, high-quality, randomized controlled trials are urgently warranted.
PMID- 22094499
TI - Walk this way.
PMID- 22094500
TI - Airway pressure release ventilation: a mode of ventilation to consider in
children with congenital heart disease.
PMID- 22094501
TI - Go team go: recognizing the importance of team training in critical care.
PMID- 22094502
TI - Away from the precipice.
PMID- 22094503
TI - Nutritional support in critically ill patients: can we have the cake and the
topping too?
PMID- 22094504
TI - Ultrasonographic evaluation of diaphragmatic function.
PMID- 22094505
TI - High-frequency oscillatory ventilation in adult patients with acute respiratory
distress syndrome: where do we stand and where should we go?
PMID- 22094506
TI - More randomized controlled trials in acute lung injury? Not so fast, my friend.
PMID- 22094507
TI - Defining acute kidney injury: further steps in the right direction but can
detente be maintained?
PMID- 22094508
TI - Timing of fluid administration in critically ill patients with acute kidney
injury: every good thing has an end.
PMID- 22094509
TI - Sepsis and hypotension: enter kynurenine, move over nitric oxide.
PMID- 22094510
TI - Can we reliably predict the development of hospital acquired infections after
septic shock?
PMID- 22094511
TI - Supplemental parenteral nutrition in the critically ill: "different strokes for
different folks".
PMID- 22094512
TI - Is there a role for soluble guanylate cyclase stimulators in acute pulmonary
embolism?
PMID- 22094514
TI - Central role of oxidative stress and its signaling pathways in causing and
preventing acute lung injury.
PMID- 22094513
TI - Sodium nitroprusside-enhanced cardiopulmonary resuscitation: are we out of the
box yet?
PMID- 22094515
TI - World Federation of Neurologic Surgeons grade V ... rationed care or rational
care?
PMID- 22094516
TI - To count for--mortality on intensive care unit and the allocation of ventilator
associated pneumonia.
PMID- 22094517
TI - Sedating the injured brain: searching for the "ideal" pharmacological recipe.
PMID- 22094518
TI - Mass critical care for children: implications for adult critical care.
PMID- 22094519
TI - Advocacy to extend the use of continuous noninvasive hemoglobin measurement.
PMID- 22094521
TI - Selective digestive decontamination reduces bacteremia following eradication of
gut overgrowth.
PMID- 22094523
TI - The importance of source identification in septic patients.
PMID- 22094525
TI - Respiratory dialysis is not extracorporeal membrane oxygenation.
PMID- 22094528
TI - Confusion between gamma-linolenic acid and gamma-linoleic acid.
PMID- 22094530
TI - Psychiatric long-term complications of intensive care unit survivors.
PMID- 22094532
TI - Comment on: "Dose-effect study of Gelsemium sempervirens in high dilutions on
anxiety-related responses in mice" (Magnani P, Conforti A, Zanolin E, Marzotto M
and Bellavite P, Psychopharmacology, 2010).
PMID- 22094531
TI - The effects of acute tryptophan depletion on costly information sampling:
impulsivity or aversive processing?
AB - RATIONALE: The neurotransmitter serotonin (5-HT) has been implicated in both
aversive processing and impulsivity. Reconciling these accounts, recent studies
have demonstrated that 5-HT is important for punishment-induced behavioural
inhibition. These studies focused on situations where actions lead directly to
punishments. However, decision-making often involves making tradeoffs between
small 'local' costs and larger 'global' losses. OBJECTIVE: We aimed to
distinguish whether 5-HT promotes avoidance of local losses, global losses, or
both, in contrast to an overall effect on reflection impulsivity. We further
examined the influence of individual differences in sub-clinical depression,
anxiety and impulsivity on global and local loss avoidance. METHODS: Healthy
volunteers (N = 21) underwent an acute tryptophan depletion procedure in a double
blind, placebo-controlled crossover design. We measured global and local loss
avoidance in a decision-making task where subjects could sample information at a
small cost to avoid making incorrect decisions, which resulted in large losses.
RESULTS: Tryptophan depletion removed the suppressive effects of small local
costs on information sampling behaviour. Sub-clinical depressive symptoms
produced effects on information sampling similar to (but independent from) those
of tryptophan depletion. Dispositional anxiety was related to global loss
avoidance. However, trait impulsivity was unrelated to information sampling.
CONCLUSIONS: The current findings are consistent with recent theoretical work
that characterises 5-HT as pruning a tree of potential decisions, eliminating
options expected to lead to aversive outcomes. Our results extend this account by
proposing that 5-HT promotes reflexive avoidance of relatively immediate aversive
outcomes, potentially at the expense of more globally construed future losses.
PMID- 22094533
TI - Marginal hazard regression for correlated failure time data with auxiliary
covariates.
AB - In many biomedical studies, it is common that due to budget constraints, the
primary covariate is only collected in a randomly selected subset from the full
study cohort. Often, there is an inexpensive auxiliary covariate for the primary
exposure variable that is readily available for all the cohort subjects. Valid
statistical methods that make use of the auxiliary information to improve study
efficiency need to be developed. To this end, we develop an estimated partial
likelihood approach for correlated failure time data with auxiliary information.
We assume a marginal hazard model with common baseline hazard function. The
asymptotic properties for the proposed estimators are developed. The proof of the
asymptotic results for the proposed estimators is nontrivial since the moments
used in estimating equation are not martingale-based and the classical martingale
theory is not sufficient. Instead, our proofs rely on modern empirical process
theory. The proposed estimator is evaluated through simulation studies and is
shown to have increased efficiency compared to existing methods. The proposed
method is illustrated with a data set from the Framingham study.
PMID- 22094535
TI - Connecting MU-fluidics to electron microscopy.
AB - A versatile methodology for electron microscopy (EM) grid preparation enabling
total content sample analysis is presented. A microfluidic-dialysis conditioning
module to desalt or mix samples with negative stain solution is used, combined
with a robotic writing table to micro-pattern the EM grids. The method allows
heterogeneous samples of minute volumes to be processed at physiological pH for
structure and mass analysis, and allows the preparation characteristics to be
finely tuned.
PMID- 22094534
TI - Isotonic estimation of survival under a misattribution of cause of death.
AB - Several authors have indicated that incorrectly classified cause of death for
prostate cancer survivors may have played a role in the observed recent peak and
decline of prostate cancer mortality. Motivated by the suggestion we studied a
competing risks model where other cause of death may be misattributed as a death
of interest. We first consider a naive approach using unconstrained nonparametric
maximum likelihood estimation (NPMLE), and then present the constrained NPMLE
where the survival function is forced to be monotonic. Surprising observations
were made as we studied their small-sample and asymptotic properties in
continuous and discrete situations. Contrary to the common belief that the non
monotonicity of a survival function NPMLE is a small-sample problem, the
constrained NPMLE is asymptotically biased in the continuous setting. Other
isotonic approaches, the supremum (SUP) method and the Pooled-Adjacent-Violators
(PAV) algorithm, and the EM algorithm are also considered. We found that the EM
algorithm is equivalent to the constrained NPMLE. Both SUP method and PAV
algorithm deliver consistent and asymptotically unbiased estimator. All methods
behave well asymptotically in the discrete time setting. Data from the
Surveillance, Epidemiology and End Results (SEER) database are used to illustrate
the proposed estimators.
PMID- 22094536
TI - "Asymmetric scalloping of the regenerate": a radiological sign of pseudoaneurysm
in distraction osteogenesis.
AB - Pseudoaneurysm formation is an uncommon but well-recognised and important
complication in limb reconstruction surgery. Postoperative diagnosis is usually
clinical or an incidental finding. We present an 11-year-old girl, who underwent
two-stage limb lengthening with a circular fixator, for a previously treated
pseudoarthrosis of the tibia. During the lengthening plan, a concave defect was
noted on one side of the regenerate, which was found to be due to extrinsic
compression by a pseudoaneurysm. Normal regenerate formation was seen after
selective embolisation of the pseudoaneurysm. This concave appearance on one side
of the regenerate has previously been described secondary to a difference in
stability on the two sides of the osteotomy, when a monolateral fixator is used,
but not due to extrinsic compression by a pseudoaneurysm. The authors propose
that this radiographic appearance of "asymmetrical scalloping" on one side of the
regenerate may represent a radiological sign of a pseudoaneurysm formation and
should provoke investigation for the same.
PMID- 22094538
TI - The nature and course of sensory changes following spinal cord injury: predictive
properties and implications on the mechanism of central pain.
AB - Central pain below the injury level after spinal cord injury is excruciating,
chronic and resistive to treatment. Animal studies suggest that pretreatment may
prevent central pain, but to date there are no measures to predict its
development. Our aim was to monitor changes in the sensory profile below the
lesion prior to the development of below-level central pain in order to search
for a parameter that could predict its risk and to further explore its
pathophysiology. Thirty patients with spinal cord injury and 27 healthy controls
underwent measurement of warm, cold, heat-pain and touch thresholds as well as
graphaesthesia, allodynia, hyperpathia and wind-up pain in intact region and in
the shin and feet (below level). Patients were tested at 2-4 weeks, 1-2.5 months
and 2.5-6 months after the injury or until central pain had developed. At the end
of the follow-up, 46% of patients developed below-level central pain. During the
testing periods, individuals who eventually developed central pain had higher
thermal thresholds than those who did not and displayed high rates of abnormal
sensations (allodynia and hyperpathia), which gradually increased with time until
central pain developed. Logistic regressions revealed that the best predictor for
the risk of below-level central pain was allodynia in the foot in the second
testing session with a 77% probability (90.9% confidence). The results suggest
that neuronal hyperexcitability, which may develop consequent to damage to
spinothalamic tracts, precedes central pain. Furthermore, it appears that below
level central pain develops after a substantial build-up of hyperexcitability. To
the best of our knowledge, this is the first systematic report establishing that
neuronal hyperexcitability precedes central pain. Predicting the risk for central
pain can be utilized to initiate early treatment in order to prevent its
development.
PMID- 22094537
TI - Colony-stimulating factor-1 mediates macrophage-related neural damage in a model
for Charcot-Marie-Tooth disease type 1X.
AB - Previous studies in our laboratory have shown that in models for three distinct
forms of the inherited and incurable nerve disorder, Charcot-Marie-Tooth
neuropathy, low-grade inflammation implicating phagocytosing macrophages mediates
demyelination and perturbation of axons. In the present study, we focus on colony
stimulating factor-1, a cytokine implicated in macrophage differentiation,
activation and proliferation and fostering neural damage in a model for Charcot
Marie-Tooth neuropathy 1B. By crossbreeding a model for the X-linked form of
Charcot-Marie-Tooth neuropathy with osteopetrotic mice, a spontaneous null mutant
for colony-stimulating factor-1, we demonstrate a robust and persistent
amelioration of demyelination and axon perturbation. Furthermore, functionally
important domains of the peripheral nervous system, such as juxtaparanodes and
presynaptic terminals, were preserved in the absence of colony-stimulating factor
1-dependent macrophage activation. As opposed to other Schwann cell-derived
cytokines, colony-stimulating factor-1 is expressed by endoneurial fibroblasts,
as revealed by in situ hybridization, immunocytochemistry and detection of beta
galactosidase expression driven by the colony-stimulating factor-1 promoter. By
both light and electron microscopic studies, we detected extended cell-cell
contacts between the colony-stimulating factor-1-expressing fibroblasts and
endoneurial macrophages as a putative prerequisite for the effective and constant
activation of macrophages by fibroblasts in the chronically diseased nerve.
Interestingly, in human biopsies from patients with Charcot-Marie-Tooth type 1,
we also found frequent cell-cell contacts between macrophages and endoneurial
fibroblasts and identified the latter as main source for colony-stimulating
factor-1. Therefore, our study provides strong evidence for a similarly
pathogenic role of colony-stimulating factor-1 in genetically mediated
demyelination in mice and Charcot-Marie-Tooth type 1 disease in humans. Thus,
colony-stimulating factor-1 or its cognate receptor are promising target
molecules for treating the detrimental, low-grade inflammation of several
inherited neuropathies in humans.
PMID- 22094539
TI - Clinical significance of the pallidoreticular pathway in patients with carbon
monoxide intoxication.
AB - Whereas globus pallidus lesions resulting from carbon monoxide intoxication have
been extensively described in the literature, the clinical significance of
pallidoreticular lesions has rarely been mentioned. This study incorporated
information from functional and structural imaging to explore the correlations of
pallidoreticular lesions with parkinsonian features and neurobehavioural
performance. Twenty-five patients (11 males) with globus pallidus lesions after
carbon monoxide intoxication and 25 age- and sex-matched controls were enrolled
for detailed neurological examinations, cognitive testing, susceptibility
weighted imaging, diffusion tensor imaging and 99mTc-TRODAT-1 single photon
emission computed tomography. The post-processing analysis of the neuroimaging
included voxel-based morphometry to assess the regional atrophy, tract-based
spatial statistics related to white matter involvement, tractography to
investigate the rostral and caudal projections from the midbrain level and
specific uptake ratios of 99mTc-TRODAT-1 for presynaptic dopaminergic transporter
activity. In susceptibility weighted imaging, low-intensity pallidoreticular
lesions were detected from the minimal-intensity projections, which were visible
in only 7.7% of the T(1)-weighted images and 15.4% of the T(2)-weighted images,
whereas inhomogeneous intensities were detected in the globus pallidus. The
patients were further divided into two subgroups based on the presence (n = 13)
or absence (n = 12) of pallidoreticular lesions. The patients with
pallidoreticular lesions showed increased parkinsonian features, poorer
performances on the neuropsychiatric tests, lower 99mTc-TRODAT-1 availability in
both the caudate and the putamen and greater atrophy of the thalamus, posterior
corpus callosum, cerebral peduncle and white matter surrounding the globus
pallidus compared to those without pallidoreticular lesions. The tractography
results obtained with seed regions of interest in the substantia nigra showed
rostral projections to the supplementary motor cortex and anterior cingulate
cortex via the globus pallidus; the two pathways were distinct but ran in
parallel, caudal to the level of the globus pallidus. In conclusion, the presence
of pallidoreticular lesions after carbon monoxide intoxication indicates a poorer
cognitive state, which is associated with extensive grey and white matter damage
in addition to the damage to the nigra-striatal neuronal networks. The presence
of parkinsonian features may be related to pallidal and presynaptic dopaminergic
dysfunction. The sensitivity for detecting pallidoreticular lesions can be
greatly improved by using susceptibility weighted imaging compared with
conventional imaging.
PMID- 22094540
TI - A multicenter phase II randomized trial of docetaxel/gemcitabine versus
docetaxel/capecitabine as first-line treatment for advanced breast cancer: a
Gruppo Oncologico Italia Meridionale study.
AB - OBJECTIVE: To evaluate two docetaxel-based regimens as first-line treatment in
advanced breast cancer patients. METHODS: Patients were randomly assigned to
docetaxel/gemcitabine (arm A: docetaxel 75 mg/m(2) on day 1, gemcitabine 1,000
mg/m(2) on days 1 and 8) or docetaxel/capecitabine (arm B: docetaxel 75 mg/m(2)
on day 1, capecitabine 1,250 mg/m(2) twice daily on days 1-14); both chemotherapy
regimens were repeated every 21 days. The primary objective of the study was to
evaluate the response rate. RESULTS: Seventy-two patients were enrolled (36 each
in arms A and B). Responses according to intention-to-treat analysis were as
follows: arm A, 41.7% [95% confidence interval (CI) 25.6-57.8]; arm B, 38.9% (95%
CI 23-54.8). Median progression-free survival was 10.9 months (95% CI 8.1-13.7)
in arm A and 10 months (95% CI 8.8-11.2) in arm B. Overall survival was 26 months
(95% CI 22.0-30.0) in arm A and 28 months (95% CI 23.4-32.6) in arm B. Both
treatments were well tolerated; myelosuppression was the dose-limiting toxicity,
with grade 3-4 neutropenia in 13.8 and 19.4% of the patients in arms A and B,
respectively. No relevant differences in other toxicities were observed in the
two arms, except for diarrhea (13.9%) and hand-foot syndrome (11.1%), which
occurred only in arm B. CONCLUSIONS: Both regimens were active and well tolerated
in advanced breast cancer.
PMID- 22094541
TI - Evaluation of CD4+/CD8+ T-cell expression and IFN-gamma, perforin secretion for B
T constructs of F1 and V antigens of Yersinia pestis.
AB - Yersinia pestis is a facultative bacterium that can survive and proliferate
inside host macrophages and cause bubonic, pneumonic and systemic infection.
Understanding the immune response generated by epitopes recognized by CD4+ and
CD8+ T cells is important for the development of safe and effective vaccines
designed to promote protective cellular immunity. Apart from humoral response,
CD4+ T cells have shown to have a major role in combating the pneumonic form of
the disease. In the present study, the secretion of IFN-gamma and IL-4 by
splenocytes, stimulated by different constructs of B and T cell epitopes of F1
and V antigens, was measured by ELISpot assay. We also measured perforin and IFN
gamma expression as a function of cell mediated immunity by flow cytometry. Three
B-T constructs of F1 and seven B-T constructs of V antigens produced a high
number of IFN-gamma secreting cells as compared to native antigen and a low
number of IL-4 secreting cells. B-T conjugates of F1 and V antigens showed
significantly high (p<0.001) percentage of CD4+ IFN-gamma(+) cells as compared to
CD8+ IFN-gamma(+) cells. Thus, the study highlights the importance of Th1
cytokine and existence of high proportion of CD4+ T cells probably contributing
protection in the host. This study proposes a new perspective for the development
of vaccination strategies for Y. pestis that trigger T cell immune response.
PMID- 22094542
TI - Molecular properties of lysozyme-microbubbles: towards the protein and nucleic
acid delivery.
AB - Microbubbles (MBs) have specific acoustic properties that make them useful as
contrast agents in ultrasound imaging. The use of the MBs in clinical practice
led to the development of more sensitive imaging techniques both in cardiology
and radiology. Protein-MBs are typically obtained by dispersing a gas phase in
the protein solution and the protein deposited/cross-linked on the gas-liquid
interface stabilizes the gas core. Innovative applications of protein-MBs prompt
the investigation on the properties of MBs obtained using different proteins that
are able to confer them specific properties and functionality. Recently, we have
synthesized stable air-filled lysozyme-MBs (LysMBs) using high-intensity
ultrasound-induced emulsification of a partly reduced lysozyme in aqueous
solutions. The stability of LysMBs suspension allows for post-synthetic
modification of MBs surface. In the present work, the protein folded state and
the biodegradability property of LysMBs were investigated by limited proteolysis.
Moreover, LysMBs were coated and functionalized with a number of
biomacromolecules (proteins, polysaccharides, nucleic acids). Remarkably, LysMBs
show a high DNA-binding ability and protective effects of the nucleic acids from
nucleases and, further, the ability to transform the bacteria cells. These
results highlight on the possibility of using LysMBs for delivery of proteins and
nucleic acids in prophylactic and therapeutic applications.
PMID- 22094543
TI - Non-photochemical laser-induced nucleation of supercooled glacial acetic acid.
AB - Non-photochemical laser-induced nucleation (NPLIN) of glacial acetic acid (GAA)
is demonstrated. The fraction of samples nucleated depends linearly on peak laser
power density at low powers (<100 MW cm(-2)) with a threshold of (9.0 +/- 4.2) MW
cm(-2); at higher laser powers the fraction reaches a plateau of 0.75 +/- 0.24
(2sigma uncertainties). A simple model based on polarizability of pre-nucleating
clusters gives a value of the solid-liquid interfacial tension gamma(SL) = 15.5
mJ m(-2). It is hoped that the results will stimulate new developments in
experimental and theoretical studies of cluster structure and nucleation in
liquids.
PMID- 22094544
TI - Imitation of drug metabolism in human liver and cytotoxicity assay using a
microfluidic device coupled to mass spectrometric detection.
AB - In this work, we developed a microfluidic device for the imitation of drug
metabolism in human liver and its cytotoxicity on cells. The integrated
microfluidic device consists of three sections: (1) bioreactors containing
poly(ethylene) glycol (PEG) hydrogel encapsulated human liver microsomes (HLMs);
(2) cell culture chambers for cytotoxicity assay; and (3) integrated micro solid
phase extraction (SPE) columns to desalt and concentrate the products of
enzymatic reaction. To verify the feasibility of the integrated microchip, we
studied uridine 5'-diphosphate-glucuronosyltransferase (UGT) metabolism of
acetaminophen (AP) and the cytotoxicity of products on HepG2 cells. The products
of the reaction in one region of the device were injected into the cell culture
chamber for cytotoxicity assay, while those in another region were directly
detected online with an electrospray ionization quadrupole time-of-flight mass
spectrometer (ESI-Q-TOF MS) after micro-SPE pre-treatment. Semiquantitative
analysis achieved in the experiments could be related to the drug-induced HepG2
cell cytotoxicity. Total analysis time for one product was about 30 min and only
less than 4 MUg HLM protein was required for one reaction region. The results
demonstrated that the established platform could be used to imitate drug
metabolism occurring in the human liver, thereby replacing animal experiments in
the near future. In addition, the integrated microchip will be a useful tool for
drug metabolism studies and cytotoxicity assays, which are pivotal in drug
development.
PMID- 22094545
TI - Evaluation of the Veterans Health Administration traumatic brain injury screening
program in the upper Midwest.
AB - OBJECTIVE: To evaluate the Veterans Health Administration's traumatic brain
injury (TBI) screening program in terms of predictors of screening and positive
screen follow-up. DESIGN: Retrospective administrative data study. Multiple
logistic regression analyses were used to estimate the odds of TBI screening at a
given appointment and among those screening positive, follow-up in a
TBI/polytrauma specialty clinic. PARTICIPANTS: A total of 15,973 Iraq and
Afghanistan war veterans treated at a Veterans Affairs medical center in the
upper Midwest during the first 18 months of the TBI screening program. RESULTS:
Almost 90% of Iraq and Afghanistan veteran patients were offered TBI screening
and 17% screened positive. Screening rates increased over time and varied by
facility. Appointment type predicted screening with increased likelihood of
screening during primary care and TBI/polytrauma clinic appointments. Younger,
male, and army veterans without psychiatric diagnoses were more likely to be
screened. Fifty-two percent of positive TBI screens had subsequent appointments
in a TBI/polytrauma specialty clinic during the study period. Rates of follow-up
in the clinic increased over time and varied by facility and patient
characteristics. CONCLUSIONS: Within the upper Midwest, Veterans Health
Administration has had greater success implementing TBI screening than ensuring
follow-up of positive screens in a specialty clinic. Research is needed on
barriers to follow-up of positive screens and the outcomes of TBI screening and
subsequent specialty care.
PMID- 22094546
TI - Can the Glittre ADL test differentiate the functional capacity of COPD patients
from that of healthy subjects?
AB - BACKGROUND: The Glittre ADL (TGlittre) test is a specifically designed to assess
functional limitation in chronic obstructive pulmonary disease (COPD) patients.
However, it is not known if it can differentiate the performance of these
patients from healthy subjects. OBJECTIVES: To investigate whether the Glittre
ADL test is able to differentiate the functional capacity of COPD patients from
that of healthy subjects and to compare the cardiorespiratory response between
Glittre ADL and the six-minute walk test (6MWT). METHODS: The study included 10
patients with COPD (GOLD 2 to 4) and 10 healthy subjects matched by age who
performed the following: spirometry pre- and post-bronchodilator, a Glittre ADL
test and two 6MWT on two consecutive days. RESULTS: The performance of COPD
(FEV1%pred= 38.1+/-11.8, age=64+/-10 years, BMI=23.7+/-5.2 kg/ m2) was worse than
the control group on TGlittre (5.26+/-2.9 min, 3.3+/-0.3 min, p<0.05) and 6MWT
(434.97+/-105.18 m vs. 593.25+/-87.36 m, p<0.05). TGlittre correlated with the
physical activity domain of the London Chest Activity of Daily Living (LCADL)
scale (r=0.67, p<0.05) and with 6MWT when the total sample was analyzed (r=-0.64,
p<0.05). The COPD group had a statistically higher (p<0.05) increase in dyspnea
(Borg scale) than the control group for both TGlittre and 6MWT, with a similar
heart rate and peripheral oxygen saturation variation in both groups (p>0.05).
CONCLUSIONS: The performance of COPD patients is worse than that of healthy
subjects on the Glittre ADL test, with a greater increase in dyspnea and similar
heart rates.
PMID- 22094547
TI - Objective structured clinical evaluation as an assessment method for
undergraduate chest physical therapy students: a cross-sectional study.
AB - BACKGROUND: The Objective Structured Clinical Evaluation (OSCE) has been
considered a reliable method for the evaluation of students' clinical skills in
health sciences, but it has been rarely applied in the teaching of physical
therapy. OBJECTIVE: To assess the use of the OSCE as a tool to evaluate the
abilities of undergraduate chest physical therapy students and to verify the
internal consistency of the OSCE exam. METHODS: Forty-seven students were
evaluated using two types of exams: the traditional exam and the OSCE.
Independent educators elaborated the exams. Each question (traditional) or
station (OSCE) was given a score ranging from 0.0 to 2.0; being 10.0 the highest
possible score of both exams. The relationship between the total score that were
obtained from both exams was analyzed using Bland-Altman analysis and Pearson's
correlation coefficient. The internal consistency of the OSCE stations was
evaluated by four experienced chest physical therapists and it was tested using
Cronbach's alpha. RESULTS: The students' average score on the OSCE ranged from
4.4 to 9.6. The internal consistency of the OSCE stations was considered good
(0.7). The agreement between exams was analyzed, and it was determined that the
exams are not comparable. Examiners also observed a low agreement between the two
exams (r=-0.1; p=0.9). CONCLUSION: Our results showed that OSCE and traditional
exams are not interchangeable. The OSCE exam had good internal consistency and is
able to evaluate aspects that the traditional exam fails to evaluate.
PMID- 22094549
TI - Neutrophil activation in severe, early-onset COPD patients versus healthy non
smoker subjects in vitro: effects of antioxidant therapy.
AB - BACKGROUND: Neutrophils and oxidative stress have been implicated in the
pathogenesis of COPD. Severe, early-onset COPD is characterized by a rapid
decline in the lung function at an early age; however, nothing is known about
neutrophil activation in COPD patients. OBJECTIVES: The aim of this study was to
evaluate peripheral blood neutrophil activation in severe, early-onset COPD
patients versus healthy non-smokers and the effect of N-acetyl-L-cysteine (NAC)
on neutrophil activation in vitro. METHODS: Neutrophils were isolated from 15
severe, early-onset COPD patients and 15 age-matched healthy subjects and
stimulated with N-formyl-Met-Leu-Phe (fMLP) in the presence or absence of NAC (10
MUM to 10 mM). Neutrophil chemotaxis, elastase release, reactive oxygen species
(ROS), intracellular thiols and apoptosis were measured by Boyden chamber,
spectrofluorometry, CMFDA and H2DCF-DA dyes and by annexin V-FITC, respectively.
RESULTS: Chemotaxis of peripheral blood neutrophils from COPD patients in
response to fMLP was 30% more increased than that observed in healthy subjects.
Elastase release in response to fMLP was 2-fold higher in neutrophils from COPD
patients versus healthy subjects. Intracellular thiol levels were 30% lower in
COPD and ROS was approximately 30% higher in COPD versus healthy neutrophils.
Spontaneous apoptosis showed no differences in both groups of patients and fMLP
induced apoptosis was higher in COPD. Pre-treatment with the antioxidant NAC
effectively attenuated neutrophil chemotaxis, elastase release and ROS as well as
effectively increased thiol levels in COPD. CONCLUSIONS: Neutrophils in severe,
early-onset COPD patients are highly activated and this is alleviated by NAC in
vitro.
PMID- 22094550
TI - An instrumented timed up and go: the added value of an accelerometer for
identifying fall risk in idiopathic fallers.
AB - The Timed Up and Go (TUG) test is a widely used measure of mobility and fall risk
among older adults that is typically scored using a stopwatch. We tested the
hypothesis that a body-fixed accelerometer can enhance the ability of the TUG to
identify community-living older adults with a relatively high fall risk of
unknown origin. Twenty-three community-living elderly fallers (76.0 +/- 3.9
years) and 18 healthy controls (68.3 +/- 9.1 years) performed the TUG while
wearing a 3D-accelerometer on the lower back. Acceleration-derived parameters
included Sit-to-Stand and Stand-to-Sit times, amplitude range (Range), and slopes
(Jerk). Average step duration, number of steps, average step length, gait speed,
acceleration-median, and standard-deviation were also calculated. While the
stopwatch-based TUG duration was not significantly different between the groups,
acceleration-derived TUG duration was significantly higher (p = 0.007) among the
fallers. Fallers generally exhibited lower Range and Jerk (p < 0.01). While TUG
stopwatch duration successfully identified 63% of the subjects, an accelerometer
derived three-measure-combination correctly classified 87% of the subjects.
Accelerometer-derived measures were generally not correlated with TUG duration.
These findings demonstrate that fallers have difficulty with specific TUG aspects
that can be quantified using an accelerometer. Without compromising simplicity of
testing, an accelerometer can apparently be combined with TUG duration to provide
complementary, objective measures that allow for a more complete, sensitive TUG
based fall risk assessment.
PMID- 22094551
TI - New trends in diagnosis and control strategies for implant infections.
AB - In implant infections, a quick and reliable identification of the etiological
agent is crucial to realizing efficacious therapies. Among molecular methods,
automated ribotyping has proven to be an accurate and rapid technique. More
recently, MALDI-TOF/MS and PCR-electrospray ionization (ESI)/MS have been applied
successfully to microbiological diagnosis. In implant infections, biofilm is
still the major problem for bacterial persistence and recalcitrance to antibiotic
therapy. Among biofilm-disrupting agents, enzymes promise the greatest
therapeutic possibilities. DNase I degrades biofilm extracellular DNA and has
been shown to sensitize biofilm to various biocides and anionic detergents, while
dispersin B acts on biofilm exopolysaccharide and, combined with antiseptic,
gives a broad-spectrum antibiofilm and antimicrobial activity. The novel
antimicrobial approach based on photodynamic treatment (PDT) applies, in
combination with antibiotics, to the implant or medical devices reachable by
optical fibers. Better progress could be gained by the development of infection
resistant biomaterials able to both inhibit bacterial adhesion and promote tissue
integration. New knowledge regarding the fibronectin-mediated internalization of
Staphylococcus aureus by osteoblasts, and on its role in the pathogenesis of
implant-related osteomyelitis, paves the way for the development of vaccines
against staphylococcal adhesins, to prevent both adhesion on biomaterials and
bacterial invasion of bone cells.
PMID- 22094552
TI - Antibiotic-induced biofilm formation.
AB - Surface-attached colonies of bacteria known as biofilms play a major role in the
pathogenesis of device-related infections. Biofilm colonies are notorious for
their resistance to suprainhibitory concentrations of antibiotics. Numerous
studies have shown that subminimal inhibitory concentrations of some antibiotics
can act as agonists of bacterial biofilm formation in vitro, a process that may
have clinical relevance. This article reviews studies demonstrating that low-dose
antibiotics induce bacterial biofilm formation. These studies have provided
important information about the regulation of biofilm formation and the signaling
pathways involved in global gene regulation in response to cell stressors. It is
still unclear whether antibiotic-induced biofilm formation contributes to the
inconsistent success of antimicrobial therapy for device infections.
PMID- 22094554
TI - Influence of Co-Cr particles and Co-Cr ions on the growth of staphylococcal
biofilms.
AB - PURPOSE: In the last decades, hip prostheses with a metal-on-metal (MOM) bearing
have been implanted by orthopedic surgeons worldwide. However, concerns are now
raised towards the metal particles and degradation products released by MOM
bearings into surrounding tissue, although effects of Co-Cr wear on infection are
also unknown. Therefore, we here determine the viable volumes of staphylococcal
biofilms formed on polystyrene in the absence and presence of Co-Cr particles and
Co-Cr ions. METHODS: Three clinically derived and two commercially available
staphylococcal strains were grown in the presence of 2 mg/mL Co-Cr particles or
1000/500 ug/L Co-Cr ions derived from Co-Cr salts or from particle supernatant,
under static and dynamic growth conditions. A dynamic model simulates the
conditions that apply for biofilm formation in the human body, as synovial fluid
in mobile patients with hip prostheses is in constant motion with accompanying
shear rates. Images of 24 h old biofilms were made with confocal laser scanning
microscopy and analyzed with the mathematical computer program COMSTAT, yielding
the biovolume of a biofilm. X-ray photoelectron spectroscopy was performed on the
particles to study their elemental surface composition. RESULTS: Most isolates
showed a tendency of reduced biofilm growth in the presence of Co-Cr particles
compared to growth during exposure to metal ions, but this was only significant
in one strain under the dynamic growth condition (Staphylococcus aureus 7388).
Characterization of the outer surface of the particles revealed a Co-Cr oxide
layer enriched by Mo relative to the bulk concentration. CONCLUSIONS: MOM
bearings produce metal particles which were found to possess antibacterial
characteristics under dynamic growth conditions. Further research is needed
towards the clinical relevance of this finding.
PMID- 22094553
TI - Role of antibiofilm-antimicrobial agents in controlling device-related
infections.
AB - OBJECTIVES: To assess the effects of N-acetylcysteine (NAC) on organism viability
in planktonic and biofilm phases, biofilm thickness, and extracellular
polysaccharide content. METHODS: We performed time-kill curves and broth
macrodilution assays of bacterial and fungal clinical isolates with varying
concentrations of NAC. We also created in vitro bacterial biofilms, incubated
them with NAC or control, and then stained with propidium iodide and FITC-labeled
concanavalin A. We measured biofilm thickness, number of non-viable cells, and
fluorescent intensity as a marker of extracellular matrix via a confocal laser
scanning microscope. All experiments were conducted in triplicate. Tested
organisms included methicillin-sensitive and -resistant Staphylococcus aureus
(MSSA, MRSA), S. epidermidis, vancomycin-resistant Enterococcus faecalis (VRE),
Pseudomonas aeruginosa, Enterobacter cloacae, Klebsiella pneumoniae, Candida
albicans and C. krusei. RESULTS: NAC 80 mg/ml was uniformly bactericidal (>99.9%
reduction) against all tested bacteria with no recoverable organisms after 30
minutes of incubation, but was fungistatic against candida species. Minimum
inhibitory and bactericidal concentrations of NAC ranged from 5-10 mg/ml. Biofilm
thickness was significantly decreased in NAC-treated biofilms for all organisms
except VRE. The number of non-viable cells in NAC-treated Gram-positive biofilms
was increased (p<0.05 for MRSA and VRE). NAC-treated Gram-negative biofilms had
scant cellularity and lacked complex 3-dimensional structures that were
characteristic of controls. Fluorescent intensity was similar in the experimental
and control arms. CONCLUSIONS: NAC is bactericidal against clinically relevant
and drug-resistant bacteria and also leads to biofilm disruption. NAC has the
potential for use as a novel agent for prevention or treatment of biofilm-related
infections.
PMID- 22094555
TI - Effect of amphotericin B alone or in combination with rifampicin or
clarithromycin against Candida species biofilms.
AB - Effectiveness of amphotericin B alone or in combination with rifampicin or
clarithromycin on the killing of Candida species biofilms was investigated in
vitro. Amphotericin B was assayed at 0.005 to 10 mg/ml. Rifampin and
clarithromycin were assayed at 10 mg/ml. We studied 7 Candida albicans, 3 Candida
parapsilosis, 3 Candida glabrata, 3 Candida krusei and 2 Candida tropicalis
strains. Biofilms were developed in 96-well, flat-bottomed microtiter plates for
48 hours. A synergistic effect between amphotericin B and clarithromycin was
demonstrated against 66.6% of C. parapsilosis, 66.6% of C. glabrata, and 42.8% of
C. albicans biofilms. A synergistic effect between amphotericin B and rifampin
was demonstrated against 66.6% of C. parapsilosis, 42.8% of C. albicans, and
33.3% of C. glabrata biofilms. No synergistic effect was observed against C.
krusei or C. tropicalis biofilms with any of the combinations. Rifampin or
clarithromycin alone did not exert any effect on Candida species biofilms.
Rifampin or clarithromycin combinations with amphotericin B might be of interest
in the treatment of Candida biofilm-related infections.
PMID- 22094556
TI - Concise survey of Staphylococcus aureus virulence factors that promote adhesion
and damage to peri-implant tissues.
AB - Staphylococcus aureus is the leading cause of infection in orthopedic implants
and of osteomyelitis consequent to it. Here we focus on the wide array of
virulence factors that endow S. aureus with its abilities to colonize peri
prosthesis tissues and to attack and damage them. Following an infective strategy
orchestrated by agr locus, Staphylococcus aureus first deploys virulence factors
for adhesion to the prosthesis and peri-prosthesis tissues and then launches its
attack by delivering destructive factors.
PMID- 22094557
TI - Emerging pathogenetic mechanisms of the implant-related osteomyelitis by
Staphylococcus aureus.
AB - Implant-related osteomyelitis is a severe and deep infection of bone that arises
and develops all around an implant. Staphylococcus aureus is the first cause of
osteomyelitis, whether implant-related or not. Bone is an optimal substratum for
S. aureus, since this bacterium expresses various adhesins by which can adhere to
bone proteins and to the biomaterial surfaces coated with the proteins of the
host extracellular matrix. S. aureus is able not only to colonize bone tissues,
but also to invade and disrupt them by entering bone cells and inducing cell
death and osteolysis. Here we illustrate the pathogenetic mechanisms that can
explain how the osteomyelitis sets in and develops around an implant.
PMID- 22094558
TI - Internalization by osteoblasts of two Staphylococcus aureus clinical isolates
differing in their adhesin gene pattern.
AB - Staphylococcus aureus is the leading etiologic agent of implant orthopedic
infections. Until recently S. aureus was considered a mere extracellular
pathogen; it then turned out to be able to invade eukaryotic cells. Adhesion of
S. aureus to peri-prosthesis tissues represents the starting of the infection
pathogenesis and the first step of the subsequent internalization of S. aureus by
host cells. In the present work the experimental observations on two epidemic
clinical strains differing in their adhesin pattern demonstrate the crucial role
of the fibronectin-binding protein A in the internalization process and suggest
that CNA and Bbp adhesins can play a synergistic role by acting in the initial
adhesion of S. aureus to osteoblasts, thus favoring the subsequent FnBPA-mediated
internalization.
PMID- 22094559
TI - Toll-like receptors (TLRs) in innate immune defense against Staphylococcus
aureus.
AB - Toll-like receptors (TLRs) are the most important class of innate pattern
recognition receptors (PRRs) by which host immune and non-immune cells are able
to recognize pathogen-associated molecular patterns (PAMPs). Most mammalian
species have 10 to 15 types of TLRs. TLRs are believed to function as homo- or
hetero-dimers. TLR2, which plays a crucial role in recognizing PAMPs from
Staphylococcus aureus, forms heterodimers with TLR1 or TLR6 and each dimer has a
different ligand specificity. Staphylococcal lipoproteins, Panton-Valentine toxin
and Phenol Soluble Modulins have been identified as potent TLR2 ligands.
Conversely, the ligand function attributed to peptidoglycan and LTA remains
controversial. TLR2 uses a MyD88-dependent signaling pathway that results in NF
kB translocation into the nucleus and activation of the expression of pro
inflammatory cytokine genes. Recognition rouses both an inflammatory response,
culminating in the phagocytosis of bacteria, and an adaptive immune response,
with the presentation of resulting bacterial compounds to T cells. Here, recent
advances on the recognition of S. aureus by TLRs are presented and discussed, as
well as the new therapeutic opportunities deriving from this new knowledge.
PMID- 22094560
TI - Biofilm growth on implants: bacteria prefer plasma coats.
AB - PURPOSE: Bacterial biofilm formation on prostheses or devices used for
osteosynthesis is increasingly recognized as cause of persistent infections, an
entity known as implant-associated posttraumatic osteomyelitis. Biofilm formation
is a very complex, multistep process with adhesion as the first and decisive
step. The most prevalent pathogens found are staphylococci species, especially S.
aureus, presumably due to a preference to non-biological materials, such as
metal. Adherence is influenced by several factors, including the
microenvironment, in which blood proteins from serum or plasma might influence
adhesion and maybe biofilm formation. The aim of the present study was to test
and to compare adherence of S. aureus and P. aeruginosa to different biological
and non-biological surfaces in vitro. The question was addressed if coating of
the surface by plasma or serum proteins influences bacterial adherence. METHODS:
Adherence of radiolabeled bacteria to different surfaces in the presence or
absence or serum/plasma proteins was measured over time. RESULTS: When testing
adherence of S. aureus to plastic, titanium or to monolayers of epithelial cells
(A549) or fibroblasts (Colo800) a clear-cut preference for non-biological
surfaces, especially for titanium was seen. Using P. aeruginosa species a similar
pattern without a significant difference was revealed. When mimicking the in vivo
situation by pre-coating of titanium with human serum or plasma adherence was
increased, especially when titanium was coated ("opsonized") by plasma.
CONCLUSIONS: Bacterial adherence to surfaces is determined by a variety of
factors such as temperature, the presence of nutrients, the absence of host
defense systems and the configuration of the covered surface. In vivo, adherence
to non-biological surfaces is also influenced by the microenvironment, especially
plasma proteins, promoting biofilm formation.
PMID- 22094561
TI - Biofilm formation of Candida spp. isolates from patients at a cardiothoracic
intensive care unit.
AB - Invasive Candida infections in seriously ill patients are rare but associated
with high mortality, while Candida colonization of the mucocuteneous surfaces is
common in patients admitted to intensive care units. To investigate biofilm
formation as a possible virulence factor, we analyzed the biofilm formation of
128 non-invasive isolates from long-term ICU patients and that of 106 invasive
bloodstream isolates. Candida biofilms were quantified by the percent
transmittance (%Tbloc) method. Crystal Violet (CV) staining was used as marker of
biofilm thickness, and XTT assay was used as a marker of the metabolic activity
of Candida cells. The ability of biofilm formation was 99.2% in the non-invasive
isolates versus 96.2% in the bloodstream isolates (%Tbloc vs.%Tbloc not
significant). However, high biofilm production (%Tbloc, >=35) was more frequent
among the non-invasive isolates compared to the bloodstream isolates (78.1 vs.
72.5, p<0.001).
PMID- 22094562
TI - Extracellular DNA in biofilms.
AB - Extracellular DNA (eDNA) is an important biofilm component that was recently
discovered. Its presence has been initially observed in biofilms of Pseudomonas
aeruginosa, Streptococcus intermedius, Streptococcus mutans, then Enterococcus
faecalis and staphylococci. Autolysis is the common mechanism by which eDNA is
released. In P. aeruginosa eDNA is generated by lysis of a bacterial
subpopulation, under control of quorum sensing system. In E. faecalis autolysis
proceeds in a fratricide mode, resulting from a process similar to necrosis of
eukaryotic cells. In Staphylococcus aureus autolysis originates by an altruistic
suicide, i.e., a programmed cell death similar to apoptosis of eukaryotic cells.
In S. aureus autolysis is mediated by murein hydrolase, while in S. epidermidis
by the autolysin protein AtlE. In P. aeruginosa eDNA is located primarily in the
stalks of mushroom-shaped multicellular structures. In S. aureus the crucial role
of eDNA in stabilizing biofilm is highlighted by the disgregating effect of DNase
I. eDNA represents an important mechanism for horizontal gene transfer in
bacteria. eDNA and other microbial structural motifs are recognized by the innate
immune system via the TLR family of pattern recognition receptors (PRRs).
PMID- 22094563
TI - Exopolysaccharide production by Staphylococcus epidermidis and its relationship
with biofilm extracellular DNA.
AB - Implant-related infections are difficult to treat because they are very often
associated with biofilm-forming micro-organisms capable of resisting host immune
defenses and surviving conventional antibiotic treatments. In Staphylococcus
epidermidis biofilm-forming strains, the polysaccharide intercellular adhesin
(PIA), whose expression is encoded by the icaADBC operon, is recognized as a main
staphylococcal accumulation mechanism. Nevertheless, various observations have
shown that PIA expression is dispensable and a variety of additional/alternative
accumulation mechanisms, including extracellular DNA (eDNA) and several other
factors of proteic nature, can compensate for icaADBC low expression or even for
its absence. A suggestive hypothesis points to the possibility that changes in
biofilm extracellular matrix composition can be induced in different
environmental niches. In this study we aimed at investigating the relationship
between the exopolysaccharide and eDNA biofilm components, screening 55 S.
epidermidis clinical isolates by means of a simple fluorescence-based microtiter
plate assay. Our findings indicate the existence of a certain degree of
correlation, although not a strict one, between eDNA and the exopolysaccharide
component. The presence of exopolysaccharide greatly varied even in strains
belonging to the same strain type determined by automated riboprinting.
PMID- 22094564
TI - Biofilm extracellular-DNA in 55 Staphylococcus epidermidis clinical isolates from
implant infections.
AB - Biofilm formation is broadly recognized as an important virulence factor in many
bacterial species implicated in implant-related opportunistic infections. In
spite of a long history of research and many investigative efforts aimed at
elucidating their chemical composition, structure, and function, the nature of
bacterial biofilms still remains only partly revealed. Over the years, different
extracellular polymeric substances (EPS) have been described that contribute
functionally and structurally to the organization of biofilms. Recently
extracellular DNA (eDNA) has emerged as a quantitatively conspicuous and
potentially relevant structural component of microbial biofilms of many microbial
species, Staphylococcus aureus and S. epidermidis among them. The present study
aims at comparatively investigating the amount of eDNA present in the biofilm of
55 clinical isolates of S. epidermidis from postsurgical and biomaterial-related
orthopedic infections. Quantification of eDNA was performed by a non-destructive
method directly on bacterial biofilms formed under static conditions on the
plastic surface of 96-well plates.
PMID- 22094565
TI - Molecular diagnostics in periprosthetic joint infection.
AB - Periprosthetic joint infection (PJI) is a significant and costly challenge to the
orthopedic community. The lack of a gold standard for diagnosis remains the
biggest obstacle in the detection and subsequent treatment of PJI. Molecular
markers in the serum and joint fluid aspirate hold immense promise to enhance the
development of a firm diagnostic criterion. The primary goal is one marker with
high sensitivity and specificity. Here, we review our current research efforts in
the field of molecular markers: C-reactive protein, erythrocyte sedimentation
rate, white blood cells, and leukocyte esterase. Each marker has been studied to
determine its sensitivity, specificity, and positive and negative predictive
values in diagnosing PJI.
PMID- 22094566
TI - Bacterial DNA from orthopedic implants after routine removal.
AB - Bacterial 16S rDNA was monitored and identified from orthopedic metallic implants
after routine or septic removal from patients in a German hospital. From March to
June 2009, 28 metallic implants, 10 human biopsies, and 6 foam dressings from 28
patients were investigated. After analysis of this first collective, the methods
were optimized to enhance sensitivity and to reduce interference with human DNA.
Then a second collective consisting of 21 metallic implants from 21 patients was
investigated from June 2009 to January 2010. In the first collective, 71% of the
metallic implants were negative for eubacterial DNA. Pathogens such as
Staphylococcus aureus and opportunists such as Lactobacillus rhamnosus were
identified in 11% of the samples, whereas the residual 18% positive results were
classified as from skin sources or could not be confirmed. Tissue, secretion, and
bone samples as well as foam dressings from the same collective also contained
pathogens and opportunists. After the optimization of the methods, a considerable
increase of positive samples was seen: in the second collective 19 of the 21
metallic implants proved to be positive for eubacterial 16S rDNA. Bacterial DNA
from environmental sources was detected in 13 samples, and in 20 specimens,
predominantly mostly the skin. Opportunistic pathogens were detected in 19
samples. Interestingly, septic complications did not occur despite the presence
of bacterial DNA. The results obtained up to now encourage us not only to
continue a directed monitoring of bacterial DNA on orthopedic implants in
practice but also to look intensely for possible sources of bacterial
contamination during and after insertion or during removal of such implants.
PMID- 22094567
TI - Efficacy of debridement in hematogenous and early post-surgical prosthetic joint
infections.
AB - PURPOSES: To review patients with a hematogenous and early post-surgical
prosthetic joint infection (PJI) due to S. aureus treated with debridement and
retention of the implant and to compare their clinical characteristics and
outcome. METHODS: From January 2000 all patients with a prosthetic joint
infection treated in a single-center were prospectively registered and followed
up. All potentially variables associated with outcome were recorded. For the
present study, cases with a hematogenous or early post-surgical PJI due to S.
aureus treated with debridement and at least 2 years of follow-up were reviewed.
Cox regression model to identify factors associated with outcome were applied.
RESULTS: 12 hematogenous and 53 early post-surgical PJI due to S. aureus were
included. Number of patients presenting with fever, leucocyte count, C-reactive
protein concentration, and the number of bacteremic patients were significantly
higher in hematogenous infections while the number of polymicrobial infections
was lower in hematogenous than in early post-surgical infections. The global
failure rate in hematogenous and early post-surgical PJI was 58.7% and 24.5%,
respectively (p=0.02). The Cox regression model identified hematogenous
infections (OR: 2.57, CI95%: 1.02-6.51, p=0.04) and the need of a second
debridement (OR: 4.61, CI95%: 1.86-11.4, p=0.001) as independent predictors of
failure. CONCLUSION: Hematogenous infections were monomicrobial and had more
severe symptoms and signs of infection than early post-surgical PJI. Hematogenous
PJI due to S. aureus, using debridement with implant retention, had a worse
outcome than early post-surgical infections.
PMID- 22094568
TI - Relationship between intraoperative cultures during hip arthroplasty, obesity,
and the risk of early prosthetic joint infection: a prospective study of 428
patients.
AB - PURPOSE: To evaluate the relationship between intraoperative cultures during hip
arthroplasty, the different patient characteristics, and the risk of developing a
prosthetic joint infection (PJI). METHODS: A prospective observational study was
performed. Patients who underwent elective THA from March 2007 to March of 2011
were included. Three samples were taken just after arthrotomy: synovial fluid
inoculated into blood culture flasks (SF), a tissue sample (TS), and a swab of
peri- prosthetic tissue (S). Patients received standard antibiotic prophylaxis.
The PJI rate within the first 3 months after arthroplasty was recorded. RESULTS:
402 prostheses were included in the study. Contamination rate of synovial fluid
was 10.2%. The most frequent isolated microorganism was coagulase-negative
staphylococci (CoNS) (32 out of 41). Body mass index (BMI) was the only host
characteristic associated with positive intraoperative culture (p=0.009). The PJI
rate was 3.7%. Variables associated with PJI in the univariate and multivariate
analysis were: age =67 years (p=0.012 OR: 5.35 (1.45-19.81); CI95%) and a BMI =35
(p=0.002, OR: 7.7 (2.12-27.85) CI95%). PJI rate among patients with BMI<35 with
negative and positive intraoperative cultures was 3% and 2.7%, respectively,
however, the rate among patients with BMI = 35 was 15% and 25%, respectively.
CONCLUSION: A BMI =35 was associated with a higher risk of positive
intraoperative culture during hip arthroplasty. In addition, a BMI >=35 was
independently associated with a high risk of PJI and the highest rate was
documented among obese patients with positive intraoperative cultures.
PMID- 22094569
TI - Preoperative nutritional status and post-operative infection in total knee
replacements: a prospective study of 213 patients.
AB - PURPOSE: To assess the potential relationship between preoperative nutritional
status, including anthropometric parameters, and infection following total knee
replacement surgery. METHODS: A total of 213 patients undergoing total knee
replacement between December 2007 and May 2008 were prospectively studied.
Patients with rheumatoid arthritis were excluded. For each patient we pre
operatively checked biochemical and anthropometric parameters of nutrition
information about co-morbidities such as diabetes and high blood pressure, ASA
score, age, and gender. Information about early infections, both superficial and
deep, was collected. A descriptive statistical analysis and
univariate/multivariate logistic regression model for risk factors were
performed. RESULTS: Eleven patients (5.16%) had an infection, 5 deep and 6
superficial. Neither co-morbidities nor preoperatively biochemical parameters
were associated with infection. However, in the univariate logistic regression
model there was an inversely proportional relationship between triceps skinfold
(TSF) and infection. After adjusting for age, ASA score and gender (multivariate
logistic regression), TSF remained significantly associated with infection.
CONCLUSION: There was a statistically significant association between TSF and
post-operative infection risk after TKR. LEVEL OF EVIDENCE: Level I (Prospective
prognostic study).
PMID- 22094570
TI - Copper and silver ion implantation of aluminium oxide-blasted titanium surfaces:
proliferative response of osteoblasts and antibacterial effects.
AB - Implant infection still represents a major clinical problem in orthopedic
surgery. We therefore tested the in vitro biocompatibility and antibacterial
effects of copper (Cu)- and silver (Ag)-ion implantation. Discs of a commonly
used titanium alloy (Ti6AlV4) with an aluminium oxide-blasted surface were
treated by Cu- or Ag-ion implantation with different dosage regimen (ranging from
1e15-17 ions cm(-2) at energies of 2-20 keV). The samples were seeded with
primary human osteoblasts and cell attachment and proliferation was analyzed by
an MTT-assay. In comparison to the reference titanium alloy there was no
difference in the number of attached viable cells after two days. After seven
days the number of viable cells was increased for Cu with 1e17 ions cm(-2) at 2
and 5 keV, and for Ag with 1e16 ions cm(-2) at 5 keV while it was reduced for the
highest amount of Ag deposition (1e17 ions cm(-2) at 20 keV). Antibacterial
effects on S.aureus and E.coli were marginal for the studied dosages of Cu but
clearly present for Ag with 1e16 ions cm(-2) at 2 and 5 keV and 1e17 ions cm(-2)
at 20 keV. These results indicate that Ag-ion implantation may be a promising
methodological approach for antibacterial functionalization of titanium implants.
PMID- 22094571
TI - Photoactivated disinfection (PAD) in endodontics: an in vitro microbiological
evaluation.
AB - PURPOSE: The objective of the present study was the in vitro evaluation by MTT
test of the antimicrobial effect of photoactivated disinfection (PAD) and,
comparatively, of a conventional 5.25% NaOCl irrigating solution. METHODS:
Enterococcus faecalis, Streptococcus mutans and Streptococcus sanguis strains
were selected for the test. Freshly extracted single-rooted human teeth were
endodontically treated, inoculated with bacterial strains and then divided into
different groups, each of them treated with PAD, with PAD plus 0.5% NaOCl
solution, with TBO, with PAD for longer time and with 5% NaOCl solution (positive
control). RESULTS: The results were significantly different among the various
groups, and for Enterococcus faecalis, Streptococcus mutans and Streptococcus
sanguis. PAD applied for a longer time (in respect to manufacturer's
instructions) or PAD associated to 5% NaOCl showed the significantly higher
antibacterial effects.
PMID- 22094572
TI - Poly(ethylene glycol) and hydroxy functionalized alkane phosphate self-assembled
monolayers reduce bacterial adhesion and support osteoblast proliferation.
AB - PURPOSE: Presently there is interest today in designing improved titanium
surfaces capable of high bioactivity in order to promote strong anchorage of the
bone surrounding implants while at the same time discouraging bioadhesion.
Poly(ethylene glycol)-modified (PEG) alkane phosphate and OH-terminated alkane
phosphates have been demonstrated to be spontaneously adsorbed onto titanium
oxide surfaces and produce surfaces with different protein resistance in relation
to the PEG surface density. This study aims to evaluate caries-associated
Streptococcus mutans (S. mutans) adhesion and osteoblast proliferation while
varying the PEG surface density of titanium surfaces. METHODS: Bacterial adhesion
was quantified by fluorescence microscopy and SAOS-2 human osteoblast
proliferation was evaluated up to 7 days of culture in vitro. Metabolic activity
of osteoblasts was measured by MTT test and the secretion of extracellular matrix
proteins (osteopontin, osteocalcin and type I collagen) in culture medium was
determined by immunoenzymatic assays. RESULTS: As the PEG surface density
increased, the bacterial adhesion considerably decreased when compared to
uncoated titanium surfaces. The monomolecular coatings proved to be capable of
supporting osteoblast proliferation with the greatest levels of metabolic
activity at the highest PEG surface concentrations. CONCLUSIONS: These results
are extremely promising for potential clinical application in implant uses where
both reduction of bacteria adhesion and stimulation of bone formation are highly
desirable.
PMID- 22094573
TI - Antibacterial effects of six endodontic sealers.
AB - PURPOSE: The objective of this study was to perform an in vitro evaluation of the
antibacterial properties of 6 endodontic sealers (Endomethasone C, Argoseal,
Bioseal Normal, Acroseal, AH Plus, Sicura Seal). METHODS: The agar diffusion test
(well and paper disc methods) with Enterococcus faecalis, Staphylococcus aureus
and Streptococcus mutans was used. For the well method, Petri dishes were
inoculated with bacterial suspensions. Each well was completely filled with
freshly mixed endodontic sealer. For the paper disc method, sterile paper discs
were immersed in freshly mixed sealers and put on agar plates. Diameters of halos
formed around the sealers were measured after 24 h and 48 h. STATISTICAL
ANALYSIS: The effects of well method and of paper disc method were analyzed by 1
way ANOVA. RESULTS: Endomethasone C, Argoseal and Bioseal showed the largest
inhibition halos for all the tested microorganisms, while Sicura Seal and AH Plus
showed low antibacterial effects. Moreover, the comparison of well method and
paper disc methods showed significant statistical differences (P<0,01) for all
sealers and indicated a dose-dependent antimicrobial effect.
PMID- 22094574
TI - In vitro evaluation of antimicrobial efficacy of endodontic irrigants.
AB - PURPOSE: The objective of this study was to compare in vitro, by MTT assay, the
antimicrobial efficacy of Niclor 5 (5% NaOCl solution), Cloreximid (0.2%
chlorhexidine and 0.2% cetrimide solution), 3% hydrogen peroxide and 17% EDTA
against two microorganisms associated with primary endodontic infections.
METHODS: Enterococcus faecalis and Streptococcus mutans strains were selected for
this test. Freshly extracted single-rooted human teeth were endodontically
treated, inoculated with bacterial strains and then divided into different
groups, each of them rinsed with Niclor 5 (5% NaOCl solution), Cloreximid (0.2%
chlorhexidine and 0.2% cetrimide solution), 3% hydrogen peroxide,17% EDTA and
with 5% NaOCl solution (positive control). RESULTS: Even though all the tested
irrigating solutions demonstrated antibacterial effects against E. faecalis and
S. mutans, the results were significantly different between the various groups.
The greatest antimicrobial effects were observed in groups treated with 5% NaOCl
and 17% EDTA. Interestingly, the effectiveness of EDTA could be ascribed to its
capability of detaching biofilm from canal walls.
PMID- 22094575
TI - Bone reconstruction: Au nanocomposite bioglasses with antibacterial properties.
AB - Bioglasses are of wide interest since they spontaneously bond and integrate with
living bone in the body. By varying the glass chemistry and/or by adding some
dopants, it is possible to improve their clinical applications. Gold
nanoparticles (Au NPs) are a well-known antibacterial agent, as well as a unique
probe for sensing and imaging applications. We report on the synthesis of a 58S
bioglass doped with Au NPs at two doping levels: 0.1% wt. and 1% wt.
Antibacterial properties were observed on the Gram-positive Staphylococcus
aureus, whereas no significant effects were found on the Gram-negative
Escherichia coli. A possible mechanism of action of Au NPs towards bacteria has
been described.
PMID- 22094576
TI - Titanium oxide antibacterial surfaces in biomedical devices.
AB - Titanium oxide is a heterogeneous catalyst whose efficient photoinduced activity,
related to some of its allotropic forms, paved the way for its widespread
technological use. Here, we offer a comparative analysis of the use of titanium
oxide as coating for materials in biomedical devices. First, we introduce the
photoinduced catalytic mechanisms of TiO2 and their action on biological
environment and bacteria. Second, we overview the main physical and chemical
technologies for structuring suitable TiO2 coatings on biomedical devices. We
then present the approaches for in vitro characterization of these surfaces.
Finally, we discuss the main aspects of TiO2 photoactivated antimicrobial
activity on medical devices and limitations for these types of applications.
PMID- 22094577
TI - Challenges in periprosthetic knee-joint infection.
AB - The number of knee arthroplasty procedures is growing and projected to further
increase. The risk for periprosthetic joint infection (PJI) is estimated to be
low (<1%). However, considering the increasing number of total knee arthroplasty,
the increasing number of patients with multiple comorbidities, and the lifelong
risk for acquiring hematogenous infection, the total number of PJI will further
increase. Despite existing treatment concepts for PJI of the knee, there are
still questions to solve, such as type of debridement surgery in case of implant
retention, the role of a spacer from a microbiological perspective, and the
optimal duration of antimicrobial therapy. In this REVIEW, these questions will
be analyzed according to the available literature and the experience of the
authors. Moreover, we REVIEW the most recent data on infection, risk factors, and
microbiology of PJI.
PMID- 22094578
TI - Sensitivity of ring growth and carbon allocation to climatic variation vary
within ponderosa pine trees.
AB - Most dendrochronological studies focus on cores sampled from standard positions
(main stem, breast height), yet vertical gradients in hydraulic constraints and
priorities for carbon allocation may contribute to different growth sensitivities
with position. Using cores taken from five positions (coarse roots, breast
height, base of live crown, mid-crown branch and treetop), we investigated how
radial growth sensitivity to climate over the period of 1895-2008 varies by
position within 36 large ponderosa pines (Pinus ponderosa Dougl.) in northern
Arizona. The climate parameters investigated were Palmer Drought Severity Index,
water year and monsoon precipitation, maximum annual temperature, minimum annual
temperature and average annual temperature. For each study tree, we generated
Pearson correlation coefficients between ring width indices from each position
and six climate parameters. We also investigated whether the number of missing
rings differed among positions and bole heights. We found that tree density did
not significantly influence climatic sensitivity to any of the climate parameters
investigated at any of the sample positions. Results from three types of analyses
suggest that climatic sensitivity of tree growth varied with position height: (i)
correlations of radial growth and climate variables consistently increased with
height; (ii) model strength based on Akaike's information criterion increased
with height, where treetop growth consistently had the highest sensitivity and
coarse roots the lowest sensitivity to each climatic parameter; and (iii) the
correlation between bole ring width indices decreased with distance between
positions. We speculate that increased sensitivity to climate at higher positions
is related to hydraulic limitation because higher positions experience greater
xylem tensions due to gravitational effects that render these positions more
sensitive to climatic stresses. The low sensitivity of root growth to all
climatic variables measured suggests that tree carbon allocation to coarse roots
is independent of annual climate variability. The greater number of missing rings
in branches highlights the fact that canopy development is a low priority for
carbon allocation during poor growing conditions.
PMID- 22094579
TI - Trends in skin cancer knowledge, sun protection practices and behaviours in the
Northern Ireland population.
AB - BACKGROUND: Sun exposure increases risk of skin cancer, especially melanoma,
incidence of which continues to rise. Reported skin cancer knowledge and trends
in sun care behaviours are documented in a UK region where there has been 20
years of sun-related health promotion campaigns. METHODS: In 2000, 2004 and 2008,
a 'care in the sun' module was included in the Northern Ireland (NI) Omnibus
survey. Randomly selected subjects were asked to complete a sun-related
questionnaire and proportions of respondents analysed by demographic and socio
economic factors, with differences tested using z-tests and the chi-squared test.
RESULTS: Around 3623 persons responded. Skin cancer knowledge was high (97%). Sun
avoidance decreased with time and was lowest among younger age groups and males.
Sunscreen use was high (70%), unchanged over 8 years, and more likely among
younger age groups, females, those in paid employment, and those with tertiary
level education. Use of sunscreen with minimum Sun Protection Factor (SPF) 15 (a
campaign message) increased from 45% to 70% (P < 0.01). Skin self-examination was
infrequent (8%), less common among those aged >=65 years, males and those with
only primary or secondary level education. CONCLUSIONS: Messages on sunscreen use
have penetrated the population well, but lower use among the unemployed suggests
cost as an issue. Lack of sun avoidance in young people, especially men, poses a
risk for further skin cancer increases. Low levels of reported skin self
examination in older people, men and those with lower educational attainment
identify areas for further action.
PMID- 22094580
TI - Alpha-lipoic acid preserves the structural and functional integrity of red blood
cells by adjusting the redox disturbance and decreasing O-GlcNAc modifications of
antioxidant enzymes and heat shock proteins in diabetic rats.
AB - PURPOSE: The aim of this study was to investigate whether the daily
administration of alpha-lipoic acid (LA) during 4 weeks prevents the redox
disturbance in red blood cells (RBC) described in diabetes METHODS: Multiple low
dose streptozotocin (STZ) diabetes was induced in rats by the administration of
40 mg/kg STZ intraperitoneally (i.p.) for 5 consecutive days. LA was applied at a
dose of 10 mg/kg i.p. for 4 weeks, starting from the last day of STZ
administration. RESULTS: The LA-treated diabetic rats exhibited a general
systemic improvement, revealed as the near restoration of body weight and of
essential biochemical parameters. The latter was displayed as decreased
hyperglycemia, lower triglyceride levels and lower serum activities of alanine
aminotransferases and aspartate aminotransferases that point to a general
improvement of diabetes-linked organ "lesions". The LA-treated diabetic rats also
exhibited significant alleviation of oxidative stress, manifested as decreased
lipid peroxidation and lower glycation levels of serum proteins and hemoglobin,
while the RBC exhibited increased activities of antioxidant enzymes and elevated
levels of reduced glutathione. In RBC, this was accompanied by decreased post
translational glycosylation by O-bound beta-N-acetylglucosamine (O-GlcNAc) of the
antioxidant enzymes superoxide dismutase and catalase and of heat shock proteins
HSP70 and HSP90. CONCLUSION: LA through its powerful antioxidant activity
preserves the structural and functional integrity of RBC in diabetes. The RBC can
then assume a more efficient role as the first line of systemic defense against
diabetic complications arising from oxidative stress-induced damage of other
tissues and organs.
PMID- 22094581
TI - Soy intake and risk of type 2 diabetes in Chinese Singaporeans [corrected].
AB - PURPOSE: To examine the association between soy products and their components,
isoflavones and protein, and incident type 2 diabetes in a population with varied
soy intake and high rates of diabetes. METHODS: We used data from the Singapore
Chinese Health Study, including 43,176 Chinese men and women aged 45-74 years,
free of chronic disease at baseline (1993-1998) and followed through 2004. Intake
of individual soy items, total unsweetened soy, and soy components was assessed
by food-frequency questionnaire and examined with type 2 diabetes risk using Cox
regression. RESULTS: During an average follow-up of 5.7 years, 2,252 of the
43,176 participants included in the current analyses developed diabetes. After
adjustment for potential confounders and BMI, consumption of unsweetened soy was
inversely associated with diabetes risk. Hazard ratios (HRs) and 95% CI for
diabetes across unsweetened soy intake categories (none, 1-4/month, 1-2/week, 3
4/week, >= 5/week) were: 1 (referent), 0.81 (0.67-0.97), 0.76 (0.63-0.91), 0.76
(0.63-0.92), and 0.72 (0.59-0.89), respectively (P (trend) = 0.015). Conversely,
in multivariate models, consuming sweetened soybean drink was positively
associated with diabetes risk. HRs for diabetes across soybean drink intake
categories (none, 1-3/month, 1/week, >= 2/week) were: 1 (referent), 1.07 (0.95
1.20), 1.12 (1.00-1.26), and 1.13 (1.00-1.28), respectively (P (trend) = 0.03).
Furthermore, after full adjustment, including adjustment for sweetened soy items,
we observed a marginally significant inverse association between isoflavone
intake and diabetes (HR for the fifth compared to the first quintile: 0.76; 95%
CI: 0.58-1.00; P (trend) = 0.08). CONCLUSIONS: The current findings support a
protective role for unsweetened soy foods and isoflavones on risk of type 2
diabetes.
PMID- 22094583
TI - Dll1/Notch activation accelerates multiple myeloma disease development by
promoting CD138+ MM-cell proliferation.
PMID- 22094584
TI - Whole-exome sequencing of pediatric acute lymphoblastic leukemia.
AB - Acute lymphoblastic leukemia (ALL), the most common malignant disorder in
childhood, is typically associated with numerical chromosomal aberrations, fusion
genes or small focal deletions, thought to represent important pathogenetic
events in the development of the leukemia. Mutations, such as single nucleotide
changes, have also been reported in childhood ALL, but these have only been
studied by sequencing a small number of candidate genes. Herein, we report the
first unbiased sequencing of the whole exome of two cases of pediatric ALL
carrying the ETV6/RUNX1 (TEL/AML1) fusion gene (the most common genetic subtype)
and corresponding normal samples. A total of 14 somatic mutations were
identified, including four and seven protein-altering nucleotide substitutions in
each ALL. Twelve mutations (86%) occurred in genes previously described to be
mutated in other types of cancer, but none was found to be recurrent in an
extended series of 29 ETV6/RUNX1-positive ALLs. The number of single nucleotide
mutations was similar to the number of copy number alterations as detected by
single nucleotide polymorphism arrays. Although the true pathogenetic
significance of the mutations must await future functional evaluations, this
study provides a first estimate of the mutational burden at the genetic level of
t(12;21)-positive childhood ALL.
PMID- 22094586
TI - NKL homeobox genes in leukemia.
AB - NK-like (NKL) homeobox genes code for transcription factors, which can act as key
regulators in fundamental cellular processes. NKL genes have been implicated in
divergent types of cancer. In this review, we summarize the involvement of NKL
genes in cancer and leukemia in particular. NKL genes can act as tumor-suppressor
genes and as oncogenes, depending on tissue type. Aberrant expression of NKL
genes is especially common in T-cell acute lymphoblastic leukemia (T-ALL). In T
ALL, 8 NKL genes have been reported to be highly expressed in specific T-ALL
subgroups, and in ~30% of cases, high expression is caused by chromosomal
rearrangement of 1 of 5 NKL genes. Most of these NKL genes are normally not
expressed in T-cell development. We hypothesize that the NKL genes might share a
similar downstream effect that promotes leukemogenesis, possibly due to mimicking
a NKL gene that has a physiological role in early hematopoietic development, such
as HHEX. All eight NKL genes posses a conserved Eh1 repressor motif, which has an
important role in regulating downstream targets in hematopoiesis and possibly in
leukemogenesis as well. Identification of a potential common leukemogenic NKL
downstream pathway will provide a promising subject for future studies.
PMID- 22094585
TI - Blockade of JAK2-mediated extrinsic survival signals restores sensitivity of CML
cells to ABL inhibitors.
PMID- 22094587
TI - Silencing of ETV6/RUNX1 abrogates PI3K/AKT/mTOR signaling and impairs
reconstitution of leukemia in xenografts.
AB - The ETV6/RUNX1 (E/R) gene fusion is generated by the t(12;21) and found in
approximately 25% of childhood B-cell precursor acute lymphoblastic leukemia. In
contrast to the overwhelming evidence that E/R is critical for the initiation of
leukemia, its relevance for the maintenance of overt disease is less clear. To
investigate this issue, we suppressed the endogenous E/R fusion protein with
lentivirally transduced short hairpin RNA in the leukemia cell lines REH and AT
2, and found a distinct reduction of proliferation and cell survival. In line
with the observed concurrent inactivation of the phosphoinositide 3-kinase
(PI3K)/AKT/mammalian target of rapamycin (mTOR) pathway, pharmacological
inhibition diminished the phosphorylation of AKT and ribosomal protein S6, and
significantly increased the apoptosis rate in E/R-positive leukemias. Moreover,
PI3K/mTOR inhibitors sensitized glucocorticoid-resistant REH cells to
prednisolone, an observation of potential relevance for improving treatment of
drug-resistant relapses. Of note, knockdown of the E/R fusion gene also severely
impaired the repopulation capacity of REH cells in non-obese deficient/severe
combined immunodeficient mice. Collectively, these data demonstrate that the E/R
fusion protein activates the PI3K/AKT/mTOR pathway and is indispensible for
disease maintenance. Importantly, these results provide a first rationale and
justification for targeting the fusion gene and the PI3K/AKT/mTOR pathway
therapeutically.
PMID- 22094588
TI - Toward relational empowerment.
AB - Psychological empowerment has been theorized as a construct with emotional,
behavioral and cognitive components. Yet, many studies have stressed that
empowerment processes are contingent on interpersonal relationships. Moreover,
theory suggests that power is developed and exercised through relationships. This
article makes the case that expanding our conceptions of psychological
empowerment through the addition of a relational component can enhance our
understanding of psychological empowerment and the effectiveness of empowerment
oriented community practice. Previous research on empowerment is reviewed for
relational content, and additional insights into the relational context of
empowerment processes are marshaled from other concepts in community research
including social capital, sense of community, social networks, social support,
and citizen participation. A new iteration of the nomological network for
psychological empowerment is presented, including the elements of a relational
component.
PMID- 22094589
TI - Two C-type lectins from shrimp Litopenaeus vannamei that might be involved in
immune response against bacteria and virus.
AB - C-type lectins play crucial roles in innate immunity to recognize and eliminate
pathogens efficiently. In the present study, two C-type lectins from shrimp
Litopenaeus vannamei (designated as LvLectin-1 and LvLectin-2) were identified,
and their expression patterns, both in tissues and toward pathogen stimulation,
were then characterized. The full-length cDNA of LvLectin-1 and LvLectin-2 was
567 and 625 bp, containing an open reading frame (ORF) of 471 and 489 bp,
respectively, and deduced amino acid sequences showed high similarity to other
members of C-type lectin superfamily. Both two C-type lectins encoded a single
carbohydrate-recognition domain (CRD). The motif of Ca(2+) binding site 2 in CRD,
which determined carbohydrate-binding specificity, was QPN (Gln(122)-Pro(123)
Asn(124)) in LvLectin-1, but QPD (Gln(128)-Pro(129)-Asp(130)) in LvLectin-2. Two
C-type lectins exhibited similar tissue expression pattern, for their mRNA were
both constitutively expressed in all tested tissues, including hepatopancreas,
muscle, gill, hemocytes, gonad and heart, furthermore they were both mostly
expressed in hepatopancreas, though the expression level of LvLectin-2 was much
higher than LvLectin-1. The expression level of two C-type lectins mRNA in
hemocytes varied greatly after the challenge of Listonella anguillarum or WSSV.
After L. anguillarum challenge, the expression of both C-type lectins were
significantly (P<0.01) up-regulated compared with blank group, and LvLectin-1
exhibited higher level than LvLectin-2; while after the stimulation of WSSV, the
expression of LvLectin-2 was significantly up-regulated at 6 h (P<0.01) and 12 h
(P<0.05), but the expression level of LvLectin-1 down-regulated significantly
(P<0.01) to 0.4-fold at 6 and 12 h post-stimulation. The results indicated that
the two C-type lectins might be involved in immune response toward pathogen
infection, and they might perform different recognition specificity toward
bacteria or virus.
PMID- 22094590
TI - Spectroscopic methods in gas hydrate research.
AB - Gas hydrates are crystalline structures comprising a guest molecule surrounded by
a water cage, and are particularly relevant due to their natural occurrence in
the deep sea and in permafrost areas. Low molecular weight molecules such as
methane and carbon dioxide can be sequestered into that cage at suitable
temperatures and pressures, facilitating the transition to the solid phase. While
the composition and structure of gas hydrates appear to be well understood, their
formation and dissociation mechanisms, along with the dynamics and kinetics
associated with those processes, remain ambiguous. In order to take advantage of
gas hydrates as an energy resource (e.g., methane hydrate), as a sequestration
matrix in (for example) CO(2) storage, or for chemical energy
conservation/storage, a more detailed molecular level understanding of their
formation and dissociation processes, as well as the chemical, physical, and
biological parameters that affect these processes, is required. Spectroscopic
techniques appear to be most suitable for analyzing the structures of gas
hydrates (sometimes in situ), thus providing access to such information across
the electromagnetic spectrum. A variety of spectroscopic methods are currently
used in gas hydrate research to determine the composition, structure, cage
occupancy, guest molecule position, and binding/formation/dissociation mechanisms
of the hydrate. To date, the most commonly applied techniques are Raman
spectroscopy and solid-state nuclear magnetic resonance (NMR) spectroscopy.
Diffraction methods such as neutron and X-ray diffraction are used to determine
gas hydrate structures, and to study lattice expansions. Furthermore, UV-vis
spectroscopic techniques and scanning electron microscopy (SEM) have assisted in
structural studies of gas hydrates. Most recently, waveguide-coupled mid-infrared
spectroscopy in the 3-20 MUm spectral range has demonstrated its value for in
situ studies on the formation and dissociation of gas hydrates. This
comprehensive review summarizes the importance of spectroscopic analytical
techniques to our understanding of the structure and dynamics of gas hydrate
systems, and highlights selected examples that illustrate the utility of these
individual methods.
PMID- 22094591
TI - Simultaneous quantification of methylated purines in DNA by isotope dilution LC
MS/MS coupled with automated solid-phase extraction.
AB - Since methylation at the N-7 and O(6) positions of guanine and the N-3 position
of adenine in DNA are the predominant reaction sites, N(7)-methylguanine (N(7)
MeG), O(6)-methylguanine (O(6)-MeG), and N(3)-methyladenine (N(3)-MeA) have been
suggested as good biomarkers for assessing exposure to methylating agents. Here,
we report the development of a sensitive and selective assay based on liquid
chromatography-tandem mass spectrometry (LC-MS/MS) to simultaneously measure N(7)
MeG, O(6)-MeG, and N(3)-MeA in DNA hydrolysates. With the use of isotope internal
standards ((15)N(5)-N(7)-MeG, d(3)-O(6)-MeG, and d(3)-N(3)-MeA) and online solid
phase extraction, DNA hydrolysates can be directly analyzed within 12 min without
prior sample purification. The limits of detection were 0.02, 0.002, and 0.01
ng/mL on-column (6.1, 0.6, and 3.4 fmol) for N(7)-MeG, O(6)-MeG, and N(3)-MeA,
respectively. Inter- and intraday imprecision (CV) were 3.6-9.6% and 2.7-13.6%,
respectively. Mean recoveries were 96-109%. This method was then applied to
quantitate the amounts of methylated purines in calf thymus DNA treated with
methyl methanesulfonate (MMS). The levels of N(7)-MeG, O(6)-MeG, and N(3)-MeA in
calf thymus DNA increase with MMS concentration and incubation time. The ratio of
relative yields of N(7)-MeG, O(6)-MeG, and N(3)-MeA in MMS-treated DNA was found
to be 1.00:0.0032:0.119, respectively. This LC-MS/MS assay provides the
sensitivity and high throughput required to evaluate the extent of methylated
lesions in DNA induced by methylating agents.
PMID- 22094592
TI - New mothers and media use: associations between blogging, social networking, and
maternal well-being.
AB - Drawing on Bronfenbrenner's ecological theory and prior empirical research, the
current study examines the way that blogging and social networking may impact
feelings of connection and social support, which in turn could impact maternal
well-being (e.g., marital functioning, parenting stress, and depression). One
hundred and fifty-seven new mothers reported on their media use and various well
being variables. On average, mothers were 27 years old (SD = 5.15) and infants
were 7.90 months old (SD = 5.21). All mothers had access to the Internet in their
home. New mothers spent approximately 3 hours on the computer each day, with most
of this time spent on the Internet. Findings suggested that frequency of blogging
predicted feelings of connection to extended family and friends which then
predicted perceptions of social support. This in turn predicted maternal well
being, as measured by marital satisfaction, couple conflict, parenting stress,
and depression. In sum, blogging may improve new mothers' well-being, as they
feel more connected to the world outside their home through the Internet.
PMID- 22094593
TI - Early work patterns for gynaecological cancer survivors in the USA.
AB - BACKGROUND: Little is known about the balance between work demands and treatment
plans for >4.3 million working-age cancer survivors in the USA. AIMS: To describe
changes in work status for gynaecological cancer survivors during the first 6
months following diagnosis and their experience with their employers' programmes
and policies. METHODS: One hundred and ten gynaecological cancer survivors who
were working at the time of their cancer diagnosis completed a survey. Case
record reviews documented their clinical characteristics and treatment details.
RESULTS: Ninety-five women (86%) had surgery; 81 (74%) received chemotherapy,
radiotherapy or both in addition to surgery. Nine per cent of women said that
they changed their treatment plan because of their jobs; in contrast, 62% of
women said that they changed their work situation to accommodate their treatment
plan. Overall, the most common month for women to stop working was Month 1 (41%),
to decrease hours was Month 2 (32%) and to increase hours was Month 6 (8%).
Twenty-eight per cent of women were aware of employer policies that assisted the
return to work process; 70% of women were familiar with the Family and Medical
Leave Act (FMLA) and 56% with the Americans with Disabilities Act (ADA). Only 26%
completed a formal request for work accommodations. After 6 months, 56 of 83
women (67%) remained working or had returned to work. CONCLUSIONS: Work patterns
varied for these gynaecological cancer survivors over the first 6 months
following diagnosis. Opportunities exist to improve communication about work and
treatment expectations between cancer survivors, occupational health
professionals, employers and treating clinicians.
PMID- 22094595
TI - Clinical and cellular consequences of the mutation m.12300G>A in the
mitochondrial tRNA(Leu(CUN)) gene.
AB - We report, for the first time, a patient with an overlap MERRF-NARP syndrome who
carries the mutation m.12300G>A in the mitochondrial tRNA(Leu(CUN)) gene. The
mutation was heteroplamic and more abundant in her muscle and fibroblast than in
blood from her oligosymptomatic mother. Single muscle fiber analysis revealed
that the proportion of mutant mtDNA in ragged red fibers was higher than that in
normal fibers. Combined defects of mitochondrial respiratory chain complexes were
detected in muscle, fibroblasts and transmitochondrial hybrid cells. Significant
reduction of total ATP and mitochondrial membrane potential and an increased
production of reactive oxygen species were observed.
PMID- 22094594
TI - A retrospective comparison of docetaxel and paclitaxel for patients with advanced
or recurrent esophageal cancer who previously received platinum-based
chemotherapy.
AB - OBJECTIVE: To retrospectively compare docetaxel (DTX) with paclitaxel (PTX) with
regard to efficacy and safety in advanced or recurrent esophageal cancer patients
who previously received platinum-based chemotherapy. METHODS: We retrospectively
analyzed 124 advanced or recurrent esophageal cancer patients who had received
platinum-based chemotherapy and then received DTX or PTX from April 2006 to
November 2010. RESULTS: Eighty-six patients (69.4%) received DTX and 38 patients
(30.6%) received PTX monotherapy. Due to toxicity, dose reduction was needed in
36.0 and 27.8% of patients and treatment was discontinued in 10.5 and 2.6% of
patients receiving DTX and PTX, respectively. The objective response (25.7 vs.
10.3%, p = 0.03) and disease control rates (60.0 vs. 34.6%, p = 0.01) were higher
in the PTX group than in the DTX group, respectively. There were no significant
differences in median progression-free survival (2.1 vs. 3.5 months) and overall
survival (6.1 vs. 7.2 months) between the DTX and PTX groups, respectively. Grade
3-4 neutropenia (48.8 vs. 21.1%, p = 0.003) and febrile neutropenia (20.9 vs.
5.3%, p = 0.029) were more frequent in the DTX patients than in the PTX patients,
respectively. CONCLUSION: Although the efficacy of DTX and PTX for advanced or
recurrent esophageal cancer patients after platinum-based chemotherapy was not
significantly different in terms of survival, PTX was a more feasible treatment.
PTX provided similar efficacy to DTX with less febrile neutropenia.
PMID- 22094596
TI - Validation of the portuguese version of the antisocial process screening device
self-report with a focus on delinquent behavior and behavior problems.
AB - The main objectives of the present study were to validate a Portuguese version of
the Antisocial Process Screening Device-Self-Report and to evaluate the
predictive importance of some constructs in discriminating between inmate
delinquent youth and community youth. With a total of 760 participants, male (n =
543) and female (n = 217), divided in an inmate forensic sample (n = 250) and a
community sample (n = 510), the authors were able to demonstrate psychometric
properties that justify its use with the Portuguese juvenile population, in terms
of factor structure, internal consistency, temporal stability, convergent
validity, divergent validity, concurrent validity, and cutoff score. The
predictive importance of psychopathic traits, self-reported delinquent behavior,
and behavior problems on the prediction of sample membership (forensic vs.
community) was established by binary logistic regression.
PMID- 22094597
TI - Informal social support among returning young offenders: a metasynthesis of the
literature.
AB - Informal social support has long been touted as a key to success for young
offenders, but little empirical work has concretized these benefits. This article
explores the dynamics of informal social support for returning young offenders
(ages 14-24), particularly in the context of peers and family members. The
authors use a metasynthesis methodology to examine 13 qualitative articles and
dissertations published in the United States from 1998 through 2010. Analysis of
these texts found two major themes related to informal support from peers and
family members. Young offenders "walked a fine line" with their peers, who
provided not only a sense of belonging and possibly a route to material
assistance but also temptations and opportunities to reengage with criminal
activity. Family members provided the supports and comforts of "the ties that
bind" but with potentially unrealistic expectations and reenactment of old roles
and negative dynamics. Through this metasynthesis, the authors forge an
understanding of informal social support that complicates its presumed benefits
for the reentry of young offenders.
PMID- 22094598
TI - The practice of positive criminology: a Vipassana course in prison.
AB - Positive criminology is a new term for a perspective associated with theories and
models that relate to socially inclusive, positively experienced influences that
assist individuals in desisting or refraining from criminal and deviant behavior.
A qualitative phenomenological study of prisoners who were in recovery from
substance dependency and who participated in a Vipassana course in a
rehabilitative prison introduces features of positive criminology. A total of 22
male prisoners participated in a 10-day Vipassana course run by volunteers in
prison. Deep interviews were conducted with participants before, immediately
after, and 3 to 4 months after the course. The findings describe components of
positive criminology that had meaningful impact on the prisoners in
rehabilitation: perceived goodness, positive relationship with the prison staff,
positive social atmosphere, and overcoming an ordeal. Implications for practice
and further research are outlined.
PMID- 22094599
TI - Evaluating the relation between psychopathy and affective empathy: two
preliminary studies.
AB - It is widely believed that impairment in an ability to experience affective
empathy for others is a central feature of psychopathy. The authors tested this
assumption by covertly manipulating and measuring state experiences of emotional
contagion and empathic concern in college undergraduates and male forensic
inpatients. Surprisingly, they found little evidence of a negative association
between psychopathy and affective empathy in either sample. In those instances in
which associations were found, they tended to indicate that higher psychopathy
was associated with increased affective empathy. Follow-up analyses also revealed
that psychopathy was positively associated with pervasive experiences of sadness,
anger, and fear, and negatively associated with pervasive experiences of
happiness among nonforensic individuals. This research raises questions about
existing conceptualizations of interpersonal affect in psychopathy and offers
suggestions for advancing future understanding of the role-played by emotional
processes in psychopathy.
PMID- 22094600
TI - Metabolic development in the liver and the implications of the n-3 fatty acid
supply.
AB - The n-3 fatty acids contribute to regulation of hepatic fatty acid oxidation and
synthesis in adults and accumulate in fetal and infant liver in variable amounts
depending on the maternal diet fat composition. Using 2D gel proteomics and
matrix-assisted laser desorption/ionization time of flight mass spectrometry, we
recently identified altered abundance of proteins associated with glucose and
amino acid metabolism in neonatal rat liver with increased n-3 fatty acids. Here,
we extend studies on n-3 fatty acids in hepatic metabolic development to targeted
gene and metabolite analyses and map the results into metabolic pathways to
consider the role of n-3 fatty acids in glucose, fatty acid, and amino
metabolism. Feeding rats 1.5% compared with <0.1% energy 18:3n-3 during gestation
led to higher 20:5n-3 and 22:6n-3 in 3-day-old offspring liver, higher serine
hydroxymethyltransferase, carnitine palmitoyl transferase, and acyl CoA oxidase
and lower pyruvate kinase and stearoyl CoA desaturase gene expression, with
higher cholesterol, NADPH and glutathione, and lower glycine (P < 0.05).
Integration of the results suggests that the n-3 fatty acids may be important in
facilitating hepatic metabolic adaptation from in utero nutrition to the
postnatal high-fat milk diet, by increasing fatty acid oxidation and directing
glucose and amino acids to anabolic pathways.
PMID- 22094602
TI - Current and emerging approaches to define intestinal epithelium-specific
transcriptional networks.
AB - Upon developmental or environmental cues, the composition of transcription
factors in a transcriptional regulatory network is deeply implicated in
controlling the signature of the gene expression and thereby specifies the cell
or tissue type. Novel methods including ChIP-chip and ChIP-Seq have been applied
to analyze known transcription factors and their interacting regulatory DNA
elements in the intestine. The intestine is an example of a dynamic tissue where
stem cells in the crypt proliferate and undergo a differentiation process toward
the villus. During this differentiation process, specific regulatory networks of
transcription factors are activated to target specific genes, which determine the
intestinal cell fate. The expanding genomewide mapping of transcription factor
binding sites and construction of transcriptional regulatory networks provide new
insight into how intestinal differentiation occurs. This review summarizes the
current overview of the transcriptional regulatory networks driving epithelial
differentiation in adult intestine. The novel technologies that have been implied
to study these networks are presented and their prospects for implications in
future research are also addressed.
PMID- 22094601
TI - Mitochondrial uncoupling protein-2 deficiency protects steatotic mouse
hepatocytes from hypoxia/reoxygenation.
AB - Steatotic livers are sensitive to ischemic events and associated ATP depletion.
Hepatocellular necrosis following these events may result from mitochondrial
uncoupling protein-2 (UCP2) expression. To test this hypothesis, we developed a
model of in vitro steatosis using primary hepatocytes from wild-type (WT) and
UCP2 knockout (KO) mice and subjected them to hypoxia/reoxygenation (H/R). Using
cultured hepatocytes treated with emulsified fatty acids for 24 h, generating a
steatotic phenotype (i.e., microvesicular and broad-spectrum fatty acid
accumulation), we found that the phenotype of the WT and UCP2 KO were the same;
however, cellular viability was increased in the steatotic KO hepatocytes
following 4 h of hypoxia and 24 h of reoxygenation; Hepatocellular ATP levels
decreased during hypoxia and recovered after reoxygenation in the control and
UCP2 KO steatotic hepatocytes but not in the WT steatotic hepatocytes;
mitochondrial membrane potential in WT and UCP2 KO steatotic groups was less than
control groups but higher than UCP2 KO hepatocytes. Following reoxygenation,
lipid peroxidation, as measured by thiobarbituric acid reactive substances,
increased in all groups but to a greater extent in the steatotic hepatocytes,
regardless of UCP2 expression. These results demonstrate that UCP2 sensitizes
steatotic hepatocytes to H/R through mitochondrial depolarization and ATP
depletion but not lipid peroxidation.
PMID- 22094603
TI - Enteral bile acid treatment improves parenteral nutrition-related liver disease
and intestinal mucosal atrophy in neonatal pigs.
AB - Total parenteral nutrition (TPN) is essential for patients with impaired gut
function but leads to parenteral nutrition-associated liver disease (PNALD). TPN
disrupts the normal enterohepatic circulation of bile acids, and we hypothesized
that it would decrease intestinal expression of the newly described metabolic
hormone fibroblast growth factor-19 (FGF19) and also glucagon-like peptides-1 and
-2 (GLP-1 and GLP-2). We tested the effects of restoring bile acids by treating a
neonatal piglet PNALD model with chenodeoxycholic acid (CDCA). Neonatal pigs
received enteral feeding (EN), TPN, or TPN + CDCA for 14 days, and responses were
assessed by serum markers, histology, and levels of key regulatory peptides.
Cholestasis and steatosis were demonstrated in the TPN group relative to EN
controls by elevated levels of serum total and direct bilirubin and also bile
acids and liver triglyceride (TG) content. CDCA treatment improved direct
bilirubin levels by almost fourfold compared with the TPN group and also
normalized serum bile acids and liver TG. FGF19, GLP-1, and GLP-2 were decreased
in plasma of the TPN group compared with the EN group but were all induced by
CDCA treatment. Intestinal mucosal growth marked by weight and villus/crypt ratio
was significantly reduced in the TPN group compared with the EN group, and CDCA
treatment increased both parameters. These results suggest that decreased
circulating FGF19 during TPN may contribute to PNALD. Moreover, we show that
enteral CDCA not only resolves PNALD but acts as a potent intestinal trophic
agent and secretagogue for GLP-2.
PMID- 22094604
TI - Pelvic support osteotomy for unstable hips using hybrid external fixator: case
series and review of literature.
AB - BACKGROUND: Instability of the hip joint is a source of great discomfort to the
patient due to pain, limp and leg-length discrepancy. Pelvic support osteotomy
with Ilizarov hip reconstruction, along with its various modifications, has
emerged as a standard treatment modality for this difficult problem. We present a
series of patients with unstable hips treated with a modification of the
monolateral fixator-the hybrid external fixator. MATERIALS AND METHODS: A
retrospective review of a series of 23 patients (38 hips) with unstable hips
treated at our institute with the hybrid external fixator was performed. The mean
age of the patients was 19.1 years (range 7-49 years). The outcomes were
evaluated radiologically and clinically using the Harris hip score. RESULTS:
After a mean follow-up period of 30.5 months (range 10-91 months), the range of
motion of the hip had improved, pain had decreased, and the Harris hip score had
improved from 63.43 to 75.17, which was statistically significant. The pre
operative knee range of motion was achieved in all patients by the last follow
up. Trendelenburg gait disappeared in all patients except for 3, limb length
discrepancy improved from a pre-operative mean of 43 mm to a post-operative mean
of 5 mm at final follow-up, and the mechanical axis was regained in all patients.
Pin-tract infections were the commonest complications, occurring in 12 patients
(52%). CONCLUSIONS: This study shows that pelvic support osteotomy using the new
hybrid external fixator, which combines the versatility and modularity of the
Ilizarov frame with the compactness of the monolateral fixator, is a useful
treatment modality in individuals with unstable hips.
PMID- 22094605
TI - Use of cementless acetabular component with a hook and iliac flanges in revision
arthroplasty for massive acetabular defect.
AB - BACKGROUND: Revision hip arthroplasty of massive acetabular defect, severe
combined defect, or pelvic discontinuity is challenging. The purpose of this
study was to determine the midterm outcome and survivorship of a new revision
technique using cementless acetabular cup supplemented with a hook and three
iliac flanges in massive acetabular defects. MATERIALS: From January 2000 to June
2004, we revised 17 severe acetabular defects, 14 combined defects and three
pelvic discontinuities according to the American Academy of Orthopaedic Surgeons
(AAOS) classifications, in which bone stock at the dome was not available to
provide support for the cup. These revisions were performed using a cementless
porous-coated hemispherical cup with a hook and flanges. RESULTS: One patient
(one hip) underwent resection arthroplasty due to infection 1 year after the
revision. The remaining 16 hips were evaluated at a mean of 6.8 (range 5-9) years
postoperatively. Thirteen acetabular components (81%) showed no migration and
were stable with bone ingrowth. Three hips showed progressive medial and upward
migration during the 18-24 months after the index revision, after which migration
was not progressive. The Merle d'Aubigne hip score was 14.5 (range 12-18) points
at the latest follow-up evaluation. Survival rate was 94.4% when revision for any
reason was considered as the end point [95% confidence interval (CI) 83.9-100%]
and 82.0% (95% CI 62.8-100%) when loosening of the cup was considered as the end
point. CONCLUSION: Results of this type of revision were superior to previously
reported results of acetabular revisions with the use of various techniques and
devices.
PMID- 22094606
TI - Changes in pennation angle in rotator cuff muscles with torn tendons.
AB - BACKGROUND: Although several authors have reported on the pennation angles of
intact rotator cuff muscles, the relationship between their alteration and
rotator cuff tears has not been fully clarified. The purpose of this study was to
measure the pennation angles of human cadaveric rotator cuff muscles with torn
tendons. METHODS: Twenty embalmed cadaveric shoulders were studied. Ten shoulders
with various types of rotator cuff tears (tear group) were compared with ten
shoulders that had intact rotator cuff tendons (control group). In seven
shoulders with full-thickness tears, the area of the tear was determined by
multiplying its length and width. After removing the muscles from the scapula,
the superficial muscle fibers of each muscle were removed layer by layer until
the entire intramuscular tendon was exposed. Photographs were taken and the
pennation angles were then measured on digital images. The correlation between
the size of the tear and the pennation angles of the supraspinatus and the
infraspinatus muscles were determined statistically. RESULTS: The pennation
angles of the supraspinatus and infraspinatus muscles in the tear group were
significantly greater than those in the control group (P = 0.027 and 0.007,
respectively). In seven shoulders with full-thickness rotator cuff tears, a
positive correlation was found between the pennation angle of the supraspinatus
muscle and the tear length (r = 0.854, P = 0.014). Moreover, a positive
correlation was found between the pennation angle of the infraspinatus muscle and
the tear area (r = 0.759, P = 0.048). On the other hand, the pennation angle was
not affected by the presence of the partial-thickness tears in the remaining
three shoulders. DISCUSSION AND CONCLUSION: In rotator cuff tears, the pennation
angles of the involved rotator cuff muscles increased with increasing size of the
tear.
PMID- 22094607
TI - Characteristics of neck and shoulder pain (called katakori in Japanese) among
members of the nursing staff.
AB - BACKGROUND: The characteristics of neck and shoulder pain (NSP), called katakori
in Japanese, have not been well documented to date. The aim of this study was to
clarify the characteristics of NSP through a questionnaire survey of members of
the nursing staff. METHODS: The study population consisted of 484 nursing staff
members of Gunma University Hospital in Japan. The questionnaire involved
information on age, body mass index (BMI), gender, psychological stress at work,
musculoskeletal pain at other anatomic sites (elbow/wrist, lumbar and knee),
smoking history, and hypertension. If subjects had NSP, they were asked about any
coexisting symptoms, the utilization of health services, and the precise location
of NSP. RESULTS: The total study population included 393 persons after 91 persons
were excluded for various reasons. The point prevalence of NSP was 68.1% (268 of
393). Age, BMI, smoking history, and hypertension showed no significant trend for
the prevalence of NSP in the univariate analyses. The occurrence of NSP was
significantly higher in subjects with psychological stress, elbow/wrist pain,
lumbar pain, and knee pain, respectively. A multivariate logistic regression
analysis showed that gender, psychological stress, elbow/wrist pain, and lumbar
pain were significantly associated with the occurrence of NSP. One hundred fifty
eight of those with NSP (58.9%) reported coexisting symptoms, and the most common
was headache. Fifty-seven (21.2%) of the subjects with NSP had consulted medical
or health practitioners, and bone setting was the most common service provider.
The most common area of NSP was the superior part of the trapezius. CONCLUSIONS:
This study confirmed that NSP, katakori in Japanese, is a prevalent problem in a
nursing staff, and several factors associated with NSP were identified.
PMID- 22094608
TI - Formation of Pt-TiO2-rGO 3-phase junctions with significantly enhanced electro
activity for methanol oxidation.
AB - TiO(2) nanoparticles-decorated graphene nanosheets have been prepared by a facile
hydrothermal method. After depositing Pt nanoparticles exclusively around the
interface between TiO(2) and rGO, the obtained Pt/TiO(2)@rGO electrocatalyst
exhibits remarkably enhanced electrocatalytic performance, which could be
attributed to the unique structure and some possible synergetic effect from the 3
phase Pt-TiO(2)-rGO junctions.
PMID- 22094609
TI - Integrated separation of blood plasma from whole blood for microfluidic paper
based analytical devices.
AB - Many diagnostic tests in a conventional clinical laboratory are performed on
blood plasma because changes in its composition often reflect the current status
of pathological processes throughout the body. Recently, a significant research
effort has been invested into the development of microfluidic paper-based
analytical devices (MUPADs) implementing these conventional laboratory tests for
point-of-care diagnostics in resource-limited settings. This paper describes the
use of red blood cell (RBC) agglutination for separating plasma from finger-prick
volumes of whole blood directly in paper, and demonstrates the utility of this
approach by integrating plasma separation and a colorimetric assay in a single
MUPAD. The MUPAD was fabricated by printing its pattern onto chromatography paper
with a solid ink (wax) printer and melting the ink to create hydrophobic barriers
spanning through the entire thickness of the paper substrate. The MUPAD was
functionalized by spotting agglutinating antibodies onto the plasma separation
zone in the center and the reagents of the colorimetric assay onto the test
readout zones on the periphery of the device. To operate the MUPAD, a drop of
whole blood was placed directly onto the plasma separation zone of the device.
RBCs in the whole blood sample agglutinated and remained in the central zone,
while separated plasma wicked through the paper substrate into the test readout
zones where analyte in plasma reacted with the reagents of the colorimetric assay
to produce a visible color change. The color change was digitized with a portable
scanner and converted to concentration values using a calibration curve. The
purity and yield of separated plasma was sufficient for successful operation of
the MUPAD. This approach to plasma separation based on RBC agglutination will be
particularly useful for designing fully integrated MUPADs operating directly on
small samples of whole blood.
PMID- 22094610
TI - Brainstorming for breakthrough thinking.
AB - This department highlights change management strategies that may be used in
strategically planning and executing organizational change initiatives. With the
goal of presenting practical approaches helpful to nurse leaders advancing
organizational change, content includes evidence-based projects, tools, and
resources that mobilize and sustain organizational change initiatives. In this
article, the author discusses brainstorming as a viable innovation technique and
goal-based change intervention.
PMID- 22094611
TI - Transformational leadership.
AB - This month, the director of the Magnet Recognition Program(r) takes an in-depth
look at the Magnet(r) model component transformational leadership. The author
examines the expectations for Magnet organizations around this component. What
are the qualities that make a nursing leader truly transformational, and what is
the best approach to successfully lead a healthcare organization through today's
volatile healthcare environment?
PMID- 22094612
TI - Patient-centered healthcare design.
AB - Patient-centered care focuses on the patient's and family's experience in the
hospital, and the design of the healthcare environment should support the patient
centered care concept. The purpose of this facility design department is to
expand nurse leaders' knowledge and competencies in health facility design and
enable them to take leadership roles in design efforts. This article focuses on
healthcare design guiding principles and features to support organizational
cultural initiatives such as patient- and family-centered care and Planetree.
PMID- 22094613
TI - Clinical intelligence.
AB - Clinical intelligence is an emerging field in healthcare that will change nursing
practice, driving clinical outcomes and operational efficiencies. Clinical
intelligence is essential to healthcare organizations in fully realizing the
value of the growing amount of data being generated through electronic health
records and other clinical information systems. This article discusses the asset
of clinical data, the multiple roles of nurses in maximizing the value of this
asset, and a vision for nursing's future in regards to clinical intelligence.
PMID- 22094614
TI - Unraveling care omissions.
AB - Evidence points to the omission of required nursing care as a pervasive problem
in acute care hospitals. Labor and material resource constraints, increasing
patient complexity, ineffective delegation, and poor communication have been
identified as contributing factors. Nurse executives should examine the degree
and causes of missed care in their organizations. Action plans should be
developed based on identification of issues contributing to this serious concern,
thus promoting safe patient care.
PMID- 22094615
TI - Guiding implementation: frameworks and resources for evidence translation.
AB - In this department, Dr Newhouse highlights hot topics in nursing outcomes,
research, and evidence-based practice relevant to the nurse administrator. The
goal is to discuss practical implications for nurse leaders in diverse healthcare
settings. Content includes evidence-based projects and decision making,
identifying measurement tools for quality improvement and safety projects, using
outcome measures to evaluate quality, practice implications of administrative
research, and exemplars of projects that demonstrate innovative approaches to
organizational problems. In this article, the authors discuss the need for
knowledge translation to leverage improvements in healthcare quality and describe
3 frameworks that can be used to plan and implement translation of evidence to
practice.
PMID- 22094616
TI - Comparison of patient outcomes in Magnet(r) and non-Magnet hospitals.
AB - OBJECTIVE: : This study compared patient outcomes and staffing in Magnet(r) and
non-Magnet hospitals. BACKGROUND: : The pursuit of Magnet designation is a highly
regarded program for improving staff and patient outcomes. Research has confirmed
that Magnet hospitals provide positive work environments for nurses. Research
related to patient outcomes in Magnet hospitals is scarce, and results vary.
METHODS: : The University Health Systems Consortium provided the clinical and
operational databases for the study. Using bivariate and multivariate analyses, a
comparison of patient outcomes and nurse staffing in general units and ICUs of
Magnet and non-Magnet hospitals was studied. OUTCOMES: : Non-Magnet hospitals had
better patient outcomes than Magnet hospitals. Magnet hospitals had slightly
better outcomes for pressure ulcers, but infections, postoperative sepsis, and
postoperative metabolic derangement outcomes were worse in Magnet hospitals.
Magnet hospitals also had lower staffing numbers. CONCLUSIONS: : Magnet hospitals
in this study had less total staff and a lower RN skill mix compared with non
Magnet hospitals, which contributed to the outcomes.
PMID- 22094617
TI - The case for training Veterans Administration frontline nurses in crew resource
management.
AB - Using cultural analysis, the authors present a rationale for a nursing-focused
crew resource management (CRM) program in the Veterans Health Administration.
Although the value of CRM in aviation is well documented and CRM has been
successfully applied in healthcare settings to improve communication and
teamwork, there is little evidence outlining the implementation of CRM on nursing
units with nursing as the primary focus. This article describes the preproject
data supporting a nursing-focused CRM program called nursing CRM. This is the
first in a series of 2 articles highlighting this program.
PMID- 22094618
TI - Strategies for retaining midcareer nurses.
AB - One method of reducing predicted shortages because of the aging nursing workforce
is to increase retention. Few studies have examined the unique needs of midcareer
nurses. A mixed-method approach including surveys and focus groups was used to
identify key retention strategies and desires for midcareer nurses. Salary,
benefits, positive working relationships, flexible scheduling, and the
opportunity for continued education were identified as key retention strategies
from this study. Registered nurses in this study reported higher perceptions of
their work and work environment than licensed practical nurses did. Differences
in work outcomes were evident across sectors, with community nurses reporting
higher levels of job satisfaction and perceptions of work quality than nurses in
acute and long-term care. Findings suggest that recruitment opportunities may
exist with midcareer nurses seeking employment to return to work after time off
to have a family. Proactive retention policies that focus on the needs of
midcareer nurses would demonstrate a commitment and interest in keeping them in
their work positions and in the profession.
PMID- 22094619
TI - Breaking the fall.
AB - OBJECTIVE: : The Helping Hands program is a nurse-directed falls prevention
program designed to support a hospital-wide culture of safety and reduce harm
from falls. BACKGROUND: : Patient falls and the associated morbidity and
mortality represent a significant risk for patients and healthcare facilities.
Age-adjusted fatalities from falls increased significantly from 1993 to 2003. By
2020, the annual cost of injuries from falls is expected to exceed $40 billion.
METHODS: : Components of the Helping Hands falls prevention program worked
synergistically to support the development of a culture of safety at Robert Wood
Johnson University Hospital. The program consisted of nursing assessment of fall
risk with the Johns Hopkins Fall Risk Assessment Tool; reviews of fall risk and
intervention efficacy; creation of communication mechanisms, reporting processes,
and change champion roles; engagement of patients and families in falls
prevention; increased public awareness of fall risk through signage; nursing
interventions; and utilization of nursing performance improvement analysts.
RESULTS: : Over 3 years, 65% (N = 7,900) of more than 12,000 patients assessed
were at risk of falling. Most falls caused no or little harm, and at 3 years of
follow-up, total falls decreased by 16.6 %, and severe falls accounted for 0.009
% (n = 2) of all falls. CONCLUSIONS: : The data offer a hopeful perspective on
the role of nursing engagement in developing a hospital-wide culture of safety
and protecting patients from permanent harm caused by fall events.
PMID- 22094620
TI - Nursing works: the application of lean thinking to nursing processes.
AB - This article describes the Nursing Works program at Flinders Medical Centre,
South Australia. Program goals were to use lean principles to increase the time
direct care nurses spent at the bedside, improve patient outcomes, and make
nursing work more efficient and satisfying for staff. Steps incorporating lean
methodology are described. Outcomes indicate that lean thinking is an effective
improvement methodology and a framework for change management of nursing work.
PMID- 22094621
TI - Teaching quality improvement to the next generation of nurses: what nurse
managers can do to help.
AB - Engaging staff in performance improvement and patient safety initiatives is no
easy task. It is not just time that is involved but there is also a definite
repertoire of knowledge, skills, and attitudes required. This article describes a
win-win venture that assists healthcare agencies in improving current quality
improvement activities while actively teaching and vetting students in the
process. Nursing students enter the workforce with an appreciation and working
knowledge of quality improvement, and agencies gain assistance with their
programs and outcomes.
PMID- 22094622
TI - Advancing nursing administration through research.
PMID- 22094623
TI - Clinical, physiological and anti-inflammatory effect of montelukast in patients
with cough variant asthma.
AB - BACKGROUND: Cough variant asthma (CVA) is a phenotype of asthma presenting solely
with coughing, characterized by airway hyperresponsiveness, eosinophilic
inflammation and a cough response to bronchodilators. Leukotriene receptor
antagonists (LTRAs) are antiasthma medications with anti-inflammatory and
bronchodilatory properties. Although LTRAs exert antitussive effects in CVA, the
mechanisms involved are unknown. OBJECTIVES: This study aimed to clarify the
antitussive mechanisms of LTRAs in CVA patients. METHODS: We prospectively
observed the effect of montelukast (10 mg) daily for 4 weeks in 23 consecutive
nonsmoking adults with anti-inflammatory treatment-naive CVA. We evaluated,
before and after treatment, the cough visual analogue scale (VAS), pulmonary
function (spirometry and impulse oscillation), methacholine airway
responsiveness, cough receptor sensitivity, expressed by the concentration of
capsaicin inducing 2 or more (C2) and 5 or more (C5) coughs, sputum eosinophil
counts and levels of inflammatory mediators, including cysteinyl leukotrienes,
leukotriene B(4), prostaglandin (PG) D(2), PGE(2), PGF(2)(alpha) and thromboxane
B(2). We compared the baseline characteristics of the patients based on the
symptomatic response to montelukast, defined as a decrease in the cough VAS of
>25% (n = 15) or <=25% (n = 8). RESULTS: Montelukast significantly decreased the
cough VAS (p = 0.0008), sputum eosinophil count (p = 0.013) and cough sensitivity
(C2: p = 0.007; C5: p = 0.039), whereas pulmonary function, airway responsiveness
and sputum mediator levels remained unchanged. Multivariate analysis showed that
a better response to montelukast was associated solely with younger age (p =
0.032). CONCLUSION: The antitussive effect of montelukast in CVA may be
attributed to the attenuation of eosinophilic inflammation rather than its
bronchodilatory properties.
PMID- 22094624
TI - Extension of non-invasive EEG into the kHz range for evoked thalamocortical
activity by means of very low noise amplifiers.
AB - Ultrafast electroencephalographic signals, having frequencies above 500 Hz, can
be observed in somatosensory evoked potential measurements. Usually, these
recordings have a poor signal-to-noise ratio (SNR) because weak signals are
overlaid by intrinsic noise of much higher amplitude like that generated by
biological sources and the amplifier. As an example, recordings at the scalp
taken during electrical stimulation of the median nerve show a 600 Hz burst with
submicro-volt amplitudes which can be extracted from noise by the use of massive
averaging and digital signal processing only. We have investigated this signal by
means of a very low noise amplifier made in-house (minimal voltage noise 2.7 nV
Hz(-1/2), FET inputs). We examined how the SNR of the data is altered by the
bandwidth and the use of amplifiers with different intrinsic amplifier noise
levels of 12 and 4.8 nV Hz(-1/2), respectively. By analyzing different frequency
contributions of the signal, we found an extremely weak 1 kHz component
superimposed onto the well-known 600 Hz burst. Previously such high-frequency
electroencephalogram responses around 1 kHz have only been observed by deep brain
electrodes implanted for tremor therapy of Parkinson patients. For the non
invasive measurement of such signals, we recommend that amplifier noise should
not exceed 4 nV Hz(-1/2).
PMID- 22094625
TI - Idiopathic short stature: decision making in growth hormone use.
AB - Short stature is a common concern in pediatrics. Several ambiguities and
controversies persist, especially with regard to criteria, cost, medical
necessity and outcomes of growth hormone (GH) therapy for idiopathic short
stature (ISS). Due to these ambiguities and controversies, a series of decisions
by primary care physicians (whether to refer the short child to a pediatric
endocrinologist), pediatric endocrinologist (whether to recommend GH treatment),
families (whether to raise concern about short stature and whether to agree to
undertake treatment), and third party payers (whether to cover the costs of GH
therapy) influence which individual short children will receive GH in the US.
Together, these decisions determine overall GH use. Apart from child's growth
characteristics, several non-physiological factors drive the critical decisions
of these stakeholders. This article focuses on current ambiguities and
controversies regarding GH therapy in ISS, discusses the decision-makers involved
in GH therapy, and explores the factors influencing their decisions.
PMID- 22094626
TI - Management of a Cryptosporidium hominis outbreak in a day-care center.
AB - BACKGROUND: Cryptosporidium outbreaks in day-care centers (DCCs) occur commonly.
However, controlling spread of infection in these settings is difficult, and data
about effectiveness of different control strategies are sparse. In this study, a
Cryptosporidium outbreak in a large DCC located in Brussels is described with
evaluation of hygienic and therapeutic interventions. METHODS: During a 3-week
period, 43 of 130 children attending the DCC developed enteric symptoms. Stools
from 122 children were examined for microbial pathogens. Of them, 38 (31%) were
diagnosed with Cryptosporidium, 29 of them being symptomatic (76%) and 9 (24%)
asymptomatic. Diagnosis was performed by microscopy, antigen tests, and real-time
polymerase chain reaction. Strict infection control measures were implemented
during the first week after the start of outbreak. After 4 weeks, 27/38 children
(71%) were still symptomatic and Cryptosporidium positive. Because of persisting
symptoms and fear of further spread of infection, all 27 children were treated
with paromomycin. Two weeks later, 18 of 27 children were asymptomatic and were
parasitologically negative. The remaining 9 children, still symptomatic and
Cryptosporidium positive, were treated with nitazoxanide. Three weeks later, week
9 after the start of outbreak, all 38 children involved in the outbreak were
asymptomatic and Cryptosporidium negative. CONCLUSIONS: Our study underscores the
need to rule out Cryptosporidium etiology in a diarrheal outbreak in a DCC. Rapid
implementation of infection control measures can most likely halt the spread of
infection. The role of nitazoxanide to limit duration of shedding of oocysts
deserves more attention for its use in outbreaks.
PMID- 22094627
TI - Risk factors for systemic Candida infections in pediatric small bowel transplant
recipients.
AB - BACKGROUND: Fungal infections are an important cause of morbidity and mortality
after small bowel transplantation (SBT). Little information about risk factors
for Candida infections in pediatric SBT is available. METHODS: We performed a 1:1
matched retrospective case-control study including 23 Candida culture-positive
patients (cases) and 23 culture-negative patients (controls), matched based on
age and time of transplantation. Patients' characteristics were compared using
Wilcoxon rank-sum, chi, or Fisher exact tests. McNemar test was used to assess
discordance between pretransplant and posttransplant fungemia. Univariate and
multivariable conditional logistic regression analyses were performed to identify
risk factors. RESULTS: The median age of the group was 1.87 years (range, 0.87
17.60); 59% patients were male. Within 1 month before transplant, 8.7% cases had
fungemia and within 1-6 months before transplant, 30.4% cases had fungemia,
compared with 69.6% within the 12 months after transplantation (P = 0.0001 and P
= 0.02). By univariate analysis, total parenteral nutrition (TPN) (odds ratio
[OR], 17.0 [95% confidence interval: 2.12, 2198]; P = 0.003) and antibiotic
administration (OR, 18.99 [2.42, 2449]; P = 0.002) were risk factors for fungal
infections. By multivariable analysis, both remained independent risk factors
(TPN: OR, 10.86 [1.23, 1425], P = 0.03; antibiotic administration: OR, 12.83
[1.52, 1672], P = 0.01). CONCLUSIONS: Fungemia was significantly more frequent
after SBT than before transplantation. Patients receiving TPN and antibiotic
treatment had, respectively, 11 and 13 times higher risk of developing Candida
infections after SBT.
PMID- 22094628
TI - Comparative coverage of supplementary and universally recommended immunizations
in children at 24 months of age.
AB - BACKGROUND: The introduction of pneumococcal and meningococcal group C conjugate
vaccinations as supplementary (a new category in Swiss immunization
recommendations) to universally recommended vaccinations in 2006 prompted this
study to investigate their acceptance. METHODS: The study was performed in 24
month-old healthy children born in the Geneva or Basel areas in Switzerland
between January and April 2007. After informed consent had been obtained from
caregivers (for this particular study in Basel and in general for providing
immunization data in Geneva on an ongoing basis), all universally recommended and
supplementary vaccinations administered by <=24 months of age were analyzed for
completeness and timeliness according to set definitions. Sample size
calculations and standard statistical tests were applied for comparative data
analyses. RESULTS: Of 592 children at the age of 12 months, 94% and 73% had
received complete diphtheria-tetanus-pertussis component combination and
pneumococcal conjugate vaccinations, respectively. At the age of 24 months,
coverage rates for complete booster doses were 77% and 70%, respectively. Rates
for MMR doses 1 and 2 at 24 months were 92% and 72%, respectively, and the rate
for meningococcal conjugate vaccine (single dose) was 62%. On an average,
coverage rates were similar in the 2 study regions except those for pneumococcal
conjugate and second dose of MMR, which were approximately 10% higher in Geneva.
CONCLUSIONS: Compliance with supplementary vaccinations was lower than that with
universally recommended vaccinations. This can be explained by the recent
introduction of supplementary vaccinations or by the public perception that they
are less important than universal vaccinations.
PMID- 22094629
TI - Diagnostic accuracy of cerebrospinal fluid gram stain in children with suspected
bacterial meningitis.
AB - This cross-sectional study included 1938 children undergoing lumbar puncture; 21
(1.1%) cases were classified as definite (n = 17) or probable (n = 4) bacterial
meningitis. Gram stain sensitivity was 94.1% (95% confidence interval, 71.3%
99.9%) for those with definite meningitis; the positive predictive value was
47.1% (95% confidence interval, 29.8%-64.9%). The sensitivity was 95.2% for those
with definite or probable meningitis. Antibiotic pretreatment did not affect
results.
PMID- 22094630
TI - Anti-N-methyl D-aspartate receptor encephalitis mimics viral encephalitis.
AB - We describe the clinical courses of 3 children with a psychochoreiform
encephalitis associated with anti-N-methyl D-aspartate receptor autoantibodies.
These cases, including the most severely medically complicated survivor to date,
illustrate the challenges of diagnosis, supportive care, and immune-modulating
therapy. Clinical and laboratory features are similar to those of viral
encephalitis, and the condition is often reversible with appropriate diagnosis
and treatment.
PMID- 22094631
TI - Pediatric tuberculosis in Stockholm: a mirror to the world.
AB - BACKGROUND: Increasing international migration has changed the epidemiology of
tuberculosis (TB) in Europe. Little is published on clinical manifestations and
epidemiology in children in this new era. METHODS: Clinical and laboratory data
on all children with TB in Stockholm between 2000 and 2009 were entered into a
database and retrospectively completed with information from case records.
Population data, including parents' country of birth, were obtained from
Statistics Sweden. RESULTS: TB was diagnosed in 147 children <18 years of age (78
confirmed, 12 probable, 57 possible). Fifty-six children (38%) presented
clinically, and 91 were identified by screening procedures. Ninety children (61%)
were born in high-endemic countries and 38 in Sweden to parents from such
countries. The incidence was 451/100,000 person years among children born in
Somalia, 44 among those born in other high-endemic countries, and 13 among
Swedish-born children with parents from high-endemic countries. All but 1 of the
19 Swedish-born children with Swedish parents belonged to a single outbreak.
Median age was 12 years. Severe, adult-type TB was predominantly observed in
adolescents, whereas young children presented mild, primary disease that was
diagnosed at the time of screening. The 78 positive cultures were traced back to
67 strains. Resistance to any first-line drug was present in 25% of the strains,
of which 4 were multidrug resistant. CONCLUSIONS: Active TB in Stockholm is
common in children born in high-endemic countries, especially Somalia. The most
severe cases are seen in adolescents. The high prevalence of antimicrobial
resistance is a cause for concern.
PMID- 22094632
TI - Influenza vaccine safety in children less than 5 years old: the 2010 and 2011
experience in Australia.
AB - In August 2010, the United States Advisory Committee on Immunization Practices
recommended that the 2010-2011 CSL seasonal vaccine (Afluria) not be administered
to children 6 months to 8 years of age because of the risk of febrile convulsion
after immunization. This study reports a low rate (6%-7%) of fever after
immunization with 2 non-CSL brands of 2011 seasonal influenza vaccine in
Australian children <5 years of age. These data are reassuring for parents and
healthcare workers regarding 2011 influenza vaccination in the northern
hemisphere.
PMID- 22094633
TI - Monitoring of antibiotic resistance rates of Helicobacter pylori in Austrian
children, 2002-2009.
AB - We retrospectively studied antibiotic resistance rates of H. pylori and their
temporal changes in children. Resistance rates were 21.6% for both clarithromycin
and metronidazole. There was no overall difference between children with or
without migrational background. Resistance rates increased over time, and
patients without migrational background showed a significant increase in
metronidazole resistance. Our study emphasizes antibiotic resistance monitoring
of H. pylori in children.
PMID- 22094635
TI - Safety and immunogenicity of a novel quadrivalent meningococcal CRM-conjugate
vaccine given concomitantly with routine vaccinations in infants.
AB - BACKGROUND: In phase II studies, MenACWY-CRM elicited robust immunologic
responses in young infants. We now present results from our pivotal phase III
infant immunogenicity/safety study. METHODS: In this open-label phase III study,
we randomized full-term 2-month-old infants to 4 doses of MenACWY-CRM
coadministered with routine vaccines at 2, 4, 6, and 12 months of age or with
routine vaccines alone. We monitored for local and systemic reactions and serious
adverse events among all study participants and evaluated for sufficiency of the
immune responses to MenACWY-CRM through serum bactericidal activity assay with
human complement. RESULTS: Bactericidal antibodies were present in 94% to 100% of
subjects against each of the serogroups in MenACWY-CRM after the 4-dose series
and were 67% to 97% after the first 3 doses. Geometric mean titers were higher
after the fourth dose of MenACWY-CRM compared with a single dose of MenACWY-CRM
at 12 months of age for all serogroups (range of ratios, 4.5-38). Responses to 3
doses of routine vaccines coadministered with MenACWY-CRM were noninferior to
routine vaccinations alone, except for small differences in pneumococcal serotype
6B responses after dose 3 but not dose 4 and pertactin after dose 3. Inclusion of
MenACWY-CRM did not affect the safety or reactogenicity profiles of the routine
infant vaccine series. CONCLUSIONS: A 4-dose series of MenACWY-CRM was highly
immunogenic and well tolerated in young infants, and it can be coadministered
with routine infant vaccines. Substantial immunity was conferred after the first
3 doses administered at 2, 4, and 6 months of age.
PMID- 22094636
TI - A randomized trial to assess safety and immunogenicity of alternative
formulations of a quadrivalent meningococcal (A, C, Y, and W-135) tetanus protein
conjugate vaccine in toddlers.
AB - BACKGROUND: Neisseria meningitidis is a leading cause of meningitis and
septicemia globally. Recent shifts in serogroup dominance in some settings
highlight the desirability of polysaccharide-conjugate vaccines with broader
meningococcal coverage than serogroup C vaccines in widespread use. METHODS: We
assessed the safety and immunogenicity of a single dose of meningococcal
quadrivalent (A, C, W-135, Y) tetanus conjugate vaccine (TetraMen-T),
administered at 1 year of age. A total of 378 children were randomized to 1 of 6
groups--5 received alternative formulations of TetraMen-T, the sixth licensed
adjuvanted serogroup C conjugate vaccine (Neisvac-C). Solicited adverse event
reports were collected from day 0 to 7 after vaccination and unsolicited and
serious adverse event reports throughout study participation. Immunogenicity was
assessed by serum bactericidal assays containing either a human (hSBA) or baby
rabbit (rSBA) complement source before and 1 month after immunization. RESULTS:
All vaccine formulations were safe and well tolerated. Using the various measures
of immunogenicity, no consistent relationships were observed between the dose of
either polysaccharide or carrier and serogroup-specific response for any one
antigen. The highest-dose vaccine provided optimal coverage for all 4 serogroups,
with the percentage of recipients achieving hSBA titers >= 8 against each as
follows: A, 92%; C, 96%; W-135, 71%; Y, 82% (corresponding proportions with rSBAs
titers >8 all exceeded 90%). The investigational vaccines were less immunogenic
against the serogroup C capsular polysaccharide than the licensed comparator.
CONCLUSIONS: Studies are ongoing that will help to identify optimal scheduling of
quadrivalent meningococcal conjugate vaccines, to facilitate their inclusion into
national immunization programs seeking extended serogroup coverage against
meningococci.
PMID- 22094638
TI - Proving etiologic relationships to disease: the particular problem of human
coronaviruses.
PMID- 22094637
TI - Human coronavirus in young children hospitalized for acute respiratory illness
and asymptomatic controls.
AB - BACKGROUND: Human coronaviruses (HCoVs) have been detected in children with upper
and lower respiratory symptoms, but little is known about their relationship with
severe respiratory illness. OBJECTIVE: To compare the prevalence of HCoV species
among children hospitalized for acute respiratory illness and/or fever
(ARI/fever) with that among asymptomatic controls and to assess the severity of
outcomes among hospitalized children with HCoV infection compared with other
respiratory viruses. METHODS: From December 2003 to April 2004 and October 2004
to April 2005, we conducted prospective, population-based surveillance of
children <5 years of age hospitalized for ARI/fever in 3 US counties.
Asymptomatic outpatient controls were enrolled concurrently. Nasal/throat swabs
were tested for HCoV species HKU1, NL63, 229E, and OC43 by real-time reverse
transcription polymerase chain reaction. Specimens from hospitalized children
were also tested for other common respiratory viruses. Demographic and medical
data were collected by parent/guardian interview and medical chart review.
RESULTS: Overall, HCoV was detected in 113 (7.6%) of 1481 hospitalized children
(83 [5.7%] after excluding 30 cases coinfected with other viruses) and 53 (7.1%)
of 742 controls. The prevalence of HCoV or individual species was not
significantly higher among hospitalized children than controls. Hospitalized
children testing positive for HCoV alone tended to be less ill than those
infected with other viruses, whereas those coinfected with HCoV and other viruses
were clinically similar to those infected with other viruses alone. CONCLUSIONS:
In this study of children hospitalized for ARI/fever, HCoV infection was not
associated with hospitalization or with increased severity of illness.
PMID- 22094639
TI - Diagnostic features associated with culture of Mycobacterium tuberculosis among
young children in a vaccine trial setting.
AB - OBJECTIVES: To identify diagnostic features associated with culture of
Mycobacterium tuberculosis (MTB), the standard for tuberculosis (TB) diagnosis,
to inform clinical end point definitions for new TB vaccine trials. METHODS:
Children <2 years of age (n = 1445) were screened and investigated for TB during
a Bacille Calmette Guerin vaccine trial in South Africa. Standardized clinical,
radiologic, and microbiologic data were collected, including paired gastric
lavage and induced sputum for MTB liquid culture. Adjusted odds ratios (AORs)
were calculated using a multivariate logistic regression model. RESULTS: Adjusted
odds of positive MTB culture increased by 90% with history of wheezing (AOR, 1.9)
and by 4% with each 1-mm increase in Mantoux diameter (AOR, 1.04). Odds of
positive MTB culture doubled if the chest radiograph was suggestive of pulmonary
TB (AOR, 2.16) and more than tripled if lower chest retraction was observed
clinically (AOR, 3.37). Fever, night sweats, and presence of lymphadenopathy were
negatively associated with MTB culture (AOR: 0.5, 0.62, and 0.2, respectively).
Persistent cough, weight loss, and failure to thrive were not significantly
associated with MTB culture in this study population. CONCLUSIONS: Wheezing and
lower chest retraction, consistent with intrathoracic airway obstruction; chest
radiography suggestive of pulmonary tuberculosis; and Mantoux diameter were
predictive of positive MTB culture. These variables should be considered for
inclusion in composite clinical end point definitions for infant TB vaccine
trials. Several clinical features, commonly used for TB diagnosis in older
children, were not associated with positive MTB culture among children younger
than 2 years.
PMID- 22094640
TI - High prevalence of acute respiratory tract infections among Warao Amerindian
children in Venezuela in relation to low immunization coverage and chronic
malnutrition.
AB - BACKGROUND: Higher prevalence rates of acute respiratory tract infections (ARTIs)
have been described in Australian and Canadian indigenous populations than in
nonindigenous age-matched counterparts. Few studies on ARTIs in South American
indigenous populations have been published. We performed a cross-sectional survey
to describe the prevalence of upper respiratory tract infections and acute lower
respiratory tract infections (ALRTIs) and associations with malnutrition and
immunization status. METHODS: From December 1, 2009 to May 31, 2010, 487 Warao
Amerindian children 0 to 59 months of age living in the Delta Amacuro in
Venezuela were included in a cross-sectional survey. Data were obtained through
parent questionnaires, vaccination cards, and physical examinations including
anthropometric measurements. RESULTS: Of the 487 children, 47% presented with an
ARTI. Of these, 60% had upper respiratory tract infections and 40% were ALRTI.
Immunization coverage was low, with only 27% of all children presenting a
vaccination card being fully immunized. The prevalence of malnutrition was high
(52%), with stunting (height-for-age <-2 standard deviations) being the most
frequent presentation affecting 45% of children. ARTI and ALRTI prevalence
diminished with increasing age (odds ratio for ALRTI in children 25-59 months of
age vs. children younger than 12 months, 0.49; 95% confidence interval, 0.26
0.93). Furthermore, significant differences in ARTI prevalence were seen between
villages. No significant associations between immunization status or malnutrition
and ARTI or ALRTI prevalence were identified. CONCLUSIONS: A high prevalence of
ARTIs and chronic malnutrition in combination with a low immunization status
highlights the need for an integrated approach to improve the health status of
indigenous Venezuelan children.
PMID- 22094641
TI - Levels of kinesin light chain and dynein intermediate chain are reduced in the
frontal cortex in Alzheimer's disease: implications for axoplasmic transport.
AB - Fast anterograde and retrograde axoplasmic transports in neurons rely on the
activity of molecular motors and are critical for maintenance of neuronal and
synaptic functions. Disturbances of axoplasmic transport have been identified in
Alzheimer's disease and in animal models of this disease, but their mechanisms
are not well understood. In this study we have investigated the distribution and
the level of expression of kinesin light chains (KLCs) (responsible for binding
of cargos during anterograde transport) and of dynein intermediate chain (DIC) (a
component of the dynein complex during retrograde transport) in frontal cortex
and cerebellar cortex of control subjects and Alzheimer's disease patients. By
immunoblotting, we found a significant decrease in the levels of expression of
KLC1 and 2 and DIC in the frontal cortex, but not in the cerebellar cortex, of
Alzheimer's disease patients. A significant decrease in the levels of
synaptophysin and of tubulin-beta3 proteins, two neuronal markers, was also
observed. KLC1 and DIC immunoreactivities did not co-localize with
neurofibrillary tangles. The mean mRNA levels of KLC1, 2 and DIC were not
significantly different between controls and AD patients. In SH-SY5Y neural
cells, GSK-3beta phosphorylated KLC1, a change associated to decreased
association of KLC1 with its cargoes. Increased levels of active GSK-3beta and of
phosphorylated KLC1 were also observed in AD frontal cortex. We suggest that
reduction of KLCs and DIC proteins in AD cortex results from both reduced
expression and neuronal loss, and that these reductions and GSK-3beta-mediated
phosphorylation of KLC1 contribute to disturbances of axoplasmic flows and
synaptic integrity in Alzheimer's disease.
PMID- 22094643
TI - Effects of network resolution on topological properties of human neocortex.
AB - Graph theoretical analyses applied to neuroimaging datasets have provided
valuable insights into the large-scale anatomical organization of the human
neocortex. Most of these studies were performed with different cortical scales
leading to cortical networks with different levels of small-world organization.
The present study investigates how resolution of thickness-based cortical scales
impacts on topological properties of human anatomical cortical networks. To this
end, we designed a novel approach aimed at determining the best trade-off between
small-world attributes of anatomical cortical networks and the number of cortical
regions included in the scale. Results revealed that schemes comprising 540-599
regions (surface areas spanning between 250 and 275 mm(2)) at sparsities below
10% showed a superior balance between small-world organization and the size of
the cortical scale employed. Furthermore, we found that the cortical scale
representing the best trade-off (599 regions) was more resilient to targeted
attacks than atlas-based schemes (Desikan-Killiany atlas, 66 regions) and, most
importantly, it did not differ that much from the finest cortical scale tested in
the present study (1494 regions). In summary, our study confirms that topological
organization of anatomical cortical networks varies with both sparsity and
resolution of cortical scale, and it further provides a novel methodological
framework aimed at identifying cortical schemes that maximize small-worldness
with the lowest scale resolution possible.
PMID- 22094642
TI - Diagnostic neuroimaging across diseases.
AB - Fully automated classification algorithms have been successfully applied to
diagnose a wide range of neurological and psychiatric diseases. They are
sufficiently robust to handle data from different scanners for many applications
and in specific cases outperform radiologists. This article provides an overview
of current applications taking structural imaging in Alzheimer's disease and
schizophrenia as well as functional imaging to diagnose depression as examples.
In this context, we also report studies aiming to predict the future course of
the disease and the response to treatment for the individual. This has obvious
clinical relevance but is also important for the design of treatment studies that
may aim to include a cohort with a predicted fast disease progression to be more
sensitive to detect treatment effects. In the second part, we present our own
opinions on i) the role these classification methods can play in the clinical
setting; ii) where their limitations are at the moment and iii) how those can be
overcome. Specifically, we discuss strategies to deal with disease heterogeneity,
diagnostic uncertainties, a probabilistic framework for classification and multi
class classification approaches.
PMID- 22094645
TI - Simultaneous segmentation and grading of anatomical structures for patient's
classification: application to Alzheimer's disease.
AB - In this paper, we propose an innovative approach to robustly and accurately
detect Alzheimer's disease (AD) based on the distinction of specific atrophic
patterns of anatomical structures such as hippocampus (HC) and entorhinal cortex
(EC). The proposed method simultaneously performs segmentation and grading of
structures to efficiently capture the anatomical alterations caused by AD. Known
as SNIPE (Scoring by Non-local Image Patch Estimator), the novel proposed grading
measure is based on a nonlocal patch-based frame-work and estimates the
similarity of the patch surrounding the voxel under study with all the patches
present in different training populations. In this study, the training library
was composed of two populations: 50 cognitively normal subjects (CN) and 50
patients with AD, randomly selected from the ADNI database. During our
experiments, the classification accuracy of patients (CN vs. AD) using several
biomarkers was compared: HC and EC volumes, the grade of these structures and
finally the combination of their volume and their grade. Tests were completed in
a leave-one-out framework using discriminant analysis. First, we showed that
biomarkers based on HC provide better classification accuracy than biomarkers
based on EC. Second, we demonstrated that structure grading is a more powerful
measure than structure volume to distinguish both populations with a
classification accuracy of 90%. Finally, by adding the ages of subjects in order
to better separate age-related structural changes from disease-related anatomical
alterations, SNIPE obtained a classification accuracy of 93%.
PMID- 22094646
TI - Conditions for highly efficient and reproducible round-window stimulation in
humans.
AB - Round-window stimulation is a new clinical approach for the application of active
middle-ear implants. To investigate factors influencing the efficiency of round
window stimulation, experiments in 6 human temporal bones were performed with
different actuator geometries and coupling conditions. The experiments show that
the amplitude ratio between stapes and round-window actuator vibration is most
efficient when using a 1.0-mm diameter rod with a 30 degrees inclined tip
geometry and an attached silicone pad. In this case, the amplitude ratio is 0.34
for frequencies up to 1.5 kHz and 0.27 for frequencies up to 20 kHz, with a
standard deviation of only 4-6 dB at most frequencies. The analysis of data
presented here and in a companion paper suggests that control of proper round
window membrane pretension as well as the inclined tip geometry are the major
requirements for maximal performance.
PMID- 22094644
TI - Along-tract statistics allow for enhanced tractography analysis.
AB - Diffusion imaging tractography is a valuable tool for neuroscience researchers
because it allows the generation of individualized virtual dissections of major
white matter tracts in the human brain. It facilitates between-subject
statistical analyses tailored to the specific anatomy of each participant. There
is prominent variation in diffusion imaging metrics (e.g., fractional anisotropy,
FA) within tracts, but most tractography studies use a "tract-averaged" approach
to analysis by averaging the scalar values from the many streamline vertices in a
tract dissection into a single point-spread estimate for each tract. Here we
describe a complete workflow needed to conduct an along-tract analysis of white
matter streamline tract groups. This consists of 1) A flexible MATLAB toolkit for
generating along-tract data based on B-spline resampling and compilation of
scalar data at different collections of vertices along the curving tract spines,
and 2) Statistical analysis and rich data visualization by leveraging tools
available through the R platform for statistical computing. We demonstrate the
effectiveness of such an along-tract approach over the tract-averaged approach in
an example analysis of 10 major white matter tracts in a single subject. We also
show that these techniques easily extend to between-group analyses typically used
in neuroscience applications, by conducting an along-tract analysis of
differences in FA between 9 individuals with fetal alcohol spectrum disorders
(FASDs) and 11 typically-developing controls. This analysis reveals localized
differences between FASD and control groups that were not apparent using a tract
averaged method. Finally, to validate our approach and highlight the strength of
this extensible software framework, we implement 2 other methods from the
literature and leverage the existing workflow tools to conduct a comparison
study.
PMID- 22094647
TI - Pharmacotherapeutic implications of the association between genomic instability
at chromosome 15q13.3 and autism spectrum disorders.
AB - Recurrent microdeletions of chromosome 15q13.3 are causally associated with
autism spectrum disorders (ASDs), suggesting that haploinsufficiency of CHRNA7,
the gene that codes for the alpha7 nicotinic acetylcholine receptor (alpha7
nAChR) subunit, is an etiological mechanism. Independent of these genetic data,
given the location of alpha7 nAChRs on gamma-aminobutyric acid-inhibitory neurons
and their role in maintaining central inhibitory tone, a compelling
pharmacological rationale exists for therapeutically targeting the alpha7 nAChR
in persons with ASDs. Given the availability of positive allosteric modulators of
nicotinic acetylcholine receptors and selective agonists for the alpha7 nAChR
(eg, choline derived from dietary administration of cytidine 5'diphosphocholine
and anabasine derivatives), it is possible to conduct "proof of concept" clinical
trials, exploring the effects of alpha7 nAChR agonist interventional strategies
on domains of psychopathology, such as attention, cognition, and memory, in
persons with ASDs.
PMID- 22094648
TI - Complexity of motor response to different doses of duodenal levodopa infusion in
Parkinson disease.
AB - OBJECTIVE: The aim was to elaborately describe individual pharmacokinetic
pharmacodynamic profiles in patients with difficult-to-treat dyskinesias treated
with levodopa/carbidopa intestinal gel infusion. METHODS: A nonrandomized, partly
blinded, investigator-initiated trial was conducted in 5 patients with idiopathic
Parkinson disease who were difficult to keep in "on" state without dyskinesia.
Levodopa/carbidopa intestinal gel (Duodopa) doses of 80% to 120% of individually
and clinically optimized dosage were infused during five 4-hour periods.
Pharmacokinetic profiling, blinded assessment of video recordings, and objective
movement analysis were applied every 20 to 30 minutes. RESULTS: Individual
correlations between plasma levodopa concentrations and corresponding motor
scores 20 to 30 minutes after the sampling time were significant in all patients
(P < 0.05 and P < 0.001). Motor scores were generally stable during the 4-hour
periods. The objective test revealed that motor performance was faster the more
dyskinetic the patients were. Mean individual Treatment Response Scale scores
were positive in 24 of the 25 steady-state periods. Dystonia was always combined
with choreic dyskinesias. CONCLUSIONS: Motor response from different doses of
levodopa/carbidopa intestinal gel is in a broad sense predictable even in
dyskinetic patients although major interindividual differences in dose
requirement, plasma levels, and motor response are found. That motor performance
was faster the more dyskinetic the patients were implies that motor performance
may be better with moderate dyskinesia than with mild dyskinesia. This may
explain why patients with persistent dyskinesias choose to keep their doses above
the dyskinesia threshold. There is no ideal therapeutic window in such patients,
but levodopa infusion offers stable motor response.
PMID- 22094649
TI - Desirable partners.
PMID- 22094650
TI - Climate change.
PMID- 22094651
TI - Ex factor.
PMID- 22094652
TI - Time to stop celebrating the polluters.
PMID- 22094663
TI - Russia gets the red planet blues.
PMID- 22094664
TI - China forges ahead in space.
PMID- 22094665
TI - Gulf ecology hit by coastal development.
PMID- 22094666
TI - Depression drug disappoints.
PMID- 22094667
TI - Summit urged to clean up farming.
PMID- 22094669
TI - Iran's nuclear plan revealed.
PMID- 22094668
TI - Targeted treatment tested as potential cancer cure.
PMID- 22094670
TI - Research at Janelia: life on the farm.
PMID- 22094671
TI - Dark days of the Triassic: lost world.
PMID- 22094672
TI - Climate policy: letting go of Kyoto.
PMID- 22094673
TI - Environmental science: good governance for geoengineering.
PMID- 22094679
TI - Antarctica: fishery threatens protected ocean.
PMID- 22094681
TI - Agriculture: risk assessment for Brazil's GM bean.
PMID- 22094683
TI - Herbert Hauptman (1917-2011).
PMID- 22094684
TI - Ageing: generations of longevity.
PMID- 22094685
TI - Quantum physics: shaking photons out of the vacuum.
PMID- 22094686
TI - Geophysics: earth's longest fossil rift-valley system.
PMID- 22094687
TI - Neuroscience: chemical ecology of pain.
PMID- 22094688
TI - Quantum information: the conundrum of secure positioning.
PMID- 22094689
TI - Silicon electronics and beyond.
PMID- 22094690
TI - Multigate transistors as the future of classical metal-oxide-semiconductor field
effect transistors.
AB - For more than four decades, transistors have been shrinking exponentially in
size, and therefore the number of transistors in a single microelectronic chip
has been increasing exponentially. Such an increase in packing density was made
possible by continually shrinking the metal-oxide-semiconductor field-effect
transistor (MOSFET). In the current generation of transistors, the transistor
dimensions have shrunk to such an extent that the electrical characteristics of
the device can be markedly degraded, making it unlikely that the exponential
decrease in transistor size can continue. Recently, however, a new generation of
MOSFETs, called multigate transistors, has emerged, and this multigate geometry
will allow the continuing enhancement of computer performance into the next
decade.
PMID- 22094691
TI - Nanometre-scale electronics with III-V compound semiconductors.
AB - For 50 years the exponential rise in the power of electronics has been fuelled by
an increase in the density of silicon complementary metal-oxide-semiconductor
(CMOS) transistors and improvements to their logic performance. But silicon
transistor scaling is now reaching its limits, threatening to end the
microelectronics revolution. Attention is turning to a family of materials that
is well placed to address this problem: group III-V compound semiconductors. The
outstanding electron transport properties of these materials might be central to
the development of the first nanometre-scale logic transistors.
PMID- 22094692
TI - Academic and industry research progress in germanium nanodevices.
AB - Silicon has enabled the rise of the semiconductor electronics industry, but it
was not the first material used in such devices. During the 1950s, just after the
birth of the transistor, solid-state devices were almost exclusively manufactured
from germanium. Today, one of the key ways to improve transistor performance is
to increase charge-carrier mobility within the device channel. Motivated by this,
the solid-state device research community is returning to investigating the high
mobility material germanium. Germanium-based transistors have the potential to
operate at high speeds with low power requirements and might therefore be used in
non-silicon-based semiconductor technology in the future.
PMID- 22094693
TI - Tunnel field-effect transistors as energy-efficient electronic switches.
AB - Power dissipation is a fundamental problem for nanoelectronic circuits. Scaling
the supply voltage reduces the energy needed for switching, but the field-effect
transistors (FETs) in today's integrated circuits require at least 60 mV of gate
voltage to increase the current by one order of magnitude at room temperature.
Tunnel FETs avoid this limit by using quantum-mechanical band-to-band tunnelling,
rather than thermal injection, to inject charge carriers into the device channel.
Tunnel FETs based on ultrathin semiconducting films or nanowires could achieve a
100-fold power reduction over complementary metal-oxide-semiconductor (CMOS)
transistors, so integrating tunnel FETs with CMOS technology could improve low
power integrated circuits.
PMID- 22094694
TI - A role for graphene in silicon-based semiconductor devices.
AB - As silicon-based electronics approach the limit of improvements to performance
and capacity through dimensional scaling, attention in the semiconductor field
has turned to graphene, a single layer of carbon atoms arranged in a honeycomb
lattice. Its high mobility of charge carriers (electrons and holes) could lead to
its use in the next generation of high-performance devices. Graphene is unlikely
to replace silicon completely, however, because of the poor on/off current ratio
resulting from its zero bandgap. But it could be used to improve silicon-based
devices, in particular in high-speed electronics and optical modulators.
PMID- 22094695
TI - Embracing the quantum limit in silicon computing.
AB - Quantum computers hold the promise of massive performance enhancements across a
range of applications, from cryptography and databases to revolutionary
scientific simulation tools. Such computers would make use of the same quantum
mechanical phenomena that pose limitations on the continued shrinking of
conventional information processing devices. Many of the key requirements for
quantum computing differ markedly from those of conventional computers. However,
silicon, which plays a central part in conventional information processing, has
many properties that make it a superb platform around which to build a quantum
computer.
PMID- 22094696
TI - Environmental effects of information and communications technologies.
AB - The digital revolution affects the environment on several levels. Most directly,
information and communications technology (ICT) has environmental impacts through
the manufacturing, operation and disposal of devices and network equipment, but
it also provides ways to mitigate energy use, for example through smart buildings
and teleworking. At a broader system level, ICTs influence economic growth and
bring about technological and societal change. Managing the direct impacts of
ICTs is more complex than just producing efficient devices, owing to the
energetically expensive manufacturing process, and the increasing proliferation
of devices needs to be taken into account.
PMID- 22094697
TI - Observation of the dynamical Casimir effect in a superconducting circuit.
AB - One of the most surprising predictions of modern quantum theory is that the
vacuum of space is not empty. In fact, quantum theory predicts that it teems with
virtual particles flitting in and out of existence. Although initially a
curiosity, it was quickly realized that these vacuum fluctuations had measurable
consequences--for instance, producing the Lamb shift of atomic spectra and
modifying the magnetic moment of the electron. This type of renormalization due
to vacuum fluctuations is now central to our understanding of nature. However,
these effects provide indirect evidence for the existence of vacuum fluctuations.
From early on, it was discussed whether it might be possible to more directly
observe the virtual particles that compose the quantum vacuum. Forty years ago,
it was suggested that a mirror undergoing relativistic motion could convert
virtual photons into directly observable real photons. The phenomenon, later
termed the dynamical Casimir effect, has not been demonstrated previously. Here
we observe the dynamical Casimir effect in a superconducting circuit consisting
of a coplanar transmission line with a tunable electrical length. The rate of
change of the electrical length can be made very fast (a substantial fraction of
the speed of light) by modulating the inductance of a superconducting quantum
interference device at high frequencies (>10 gigahertz). In addition to observing
the creation of real photons, we detect two-mode squeezing in the emitted
radiation, which is a signature of the quantum character of the generation
process.
PMID- 22094698
TI - Atom-resolved imaging of ordered defect superstructures at individual grain
boundaries.
AB - The ability to resolve spatially and identify chemically atoms in defects would
greatly advance our understanding of the correlation between structure and
property in materials. This is particularly important in polycrystalline
materials, in which the grain boundaries have profound implications for the
properties and applications of the final material. However, such atomic
resolution is still extremely difficult to achieve, partly because grain
boundaries are effective sinks for atomic defects and impurities, which may drive
structural transformation of grain boundaries and consequently modify material
properties. Regardless of the origin of these sinks, the interplay between
defects and grain boundaries complicates our efforts to pinpoint the exact sites
and chemistries of the entities present in the defective regions, thereby
limiting our understanding of how specific defects mediate property changes. Here
we show that the combination of advanced electron microscopy, spectroscopy and
first-principles calculations can provide three-dimensional images of complex,
multicomponent grain boundaries with both atomic resolution and chemical
sensitivity. The high resolution of these techniques allows us to demonstrate
that even for magnesium oxide, which has a simple rock-salt structure, grain
boundaries can accommodate complex ordered defect superstructures that induce
significant electron trapping in the bandgap of the oxide. These results offer
insights into interactions between defects and grain boundaries in ceramics and
demonstrate that atomic-scale analysis of complex multicomponent structures in
materials is now becoming possible.
PMID- 22094700
TI - East Antarctic rifting triggers uplift of the Gamburtsev Mountains.
AB - The Gamburtsev Subglacial Mountains are the least understood tectonic feature on
Earth, because they are completely hidden beneath the East Antarctic Ice Sheet.
Their high elevation and youthful Alpine topography, combined with their location
on the East Antarctic craton, creates a paradox that has puzzled researchers
since the mountains were discovered in 1958. The preservation of Alpine
topography in the Gamburtsevs may reflect extremely low long-term erosion rates
beneath the ice sheet, but the mountains' origin remains problematic. Here we
present the first comprehensive view of the crustal architecture and uplift
mechanisms for the Gamburtsevs, derived from radar, gravity and magnetic data.
The geophysical data define a 2,500-km-long rift system in East Antarctica
surrounding the Gamburtsevs, and a thick crustal root beneath the range. We
propose that the root formed during the Proterozoic assembly of interior East
Antarctica (possibly about 1 Gyr ago), was preserved as in some old orogens and
was rejuvenated during much later Permian (roughly 250 Myr ago) and Cretaceous
(roughly 100 Myr ago) rifting. Much like East Africa, the interior of East
Antarctica is a mosaic of Precambrian provinces affected by rifting processes.
Our models show that the combination of rift-flank uplift, root buoyancy and the
isostatic response to fluvial and glacial erosion explains the high elevation and
relief of the Gamburtsevs. The evolution of the Gamburtsevs demonstrates that
rifting and preserved orogenic roots can produce broad regions of high topography
in continental interiors without significantly modifying the underlying
Precambrian lithosphere.
PMID- 22094699
TI - Observed increase in local cooling effect of deforestation at higher latitudes.
AB - Deforestation in mid- to high latitudes is hypothesized to have the potential to
cool the Earth's surface by altering biophysical processes. In climate models of
continental-scale land clearing, the cooling is triggered by increases in surface
albedo and is reinforced by a land albedo-sea ice feedback. This feedback is
crucial in the model predictions; without it other biophysical processes may
overwhelm the albedo effect to generate warming instead. Ongoing land-use
activities, such as land management for climate mitigation, are occurring at
local scales (hectares) presumably too small to generate the feedback, and it is
not known whether the intrinsic biophysical mechanism on its own can change the
surface temperature in a consistent manner. Nor has the effect of deforestation
on climate been demonstrated over large areas from direct observations. Here we
show that surface air temperature is lower in open land than in nearby forested
land. The effect is 0.85 +/- 0.44 K (mean +/- one standard deviation) northwards
of 45 degrees N and 0.21 +/- 0.53 K southwards. Below 35 degrees N there is
weak evidence that deforestation leads to warming. Results are based on
comparisons of temperature at forested eddy covariance towers in the USA and
Canada and, as a proxy for small areas of cleared land, nearby surface weather
stations. Night-time temperature changes unrelated to changes in surface albedo
are an important contributor to the overall cooling effect. The observed
latitudinal dependence is consistent with theoretical expectation of changes in
energy loss from convection and radiation across latitudes in both the daytime
and night-time phase of the diurnal cycle, the latter of which remains uncertain
in climate models.
PMID- 22094701
TI - Spalt mediates an evolutionarily conserved switch to fibrillar muscle fate in
insects.
AB - Flying insects oscillate their wings at high frequencies of up to 1,000 Hz and
produce large mechanical forces of 80 W per kilogram of muscle. They utilize a
pair of perpendicularly oriented indirect flight muscles that contain fibrillar,
stretch-activated myofibres. In contrast, all other, more slowly contracting,
insect body muscles have a tubular muscle morphology. Here we identify the
transcription factor Spalt major (Salm) as a master regulator of fibrillar flight
muscle fate in Drosophila. salm is necessary and sufficient to induce fibrillar
muscle fate. salm switches the entire transcriptional program from tubular to
fibrillar fate by regulating the expression and splicing of key sarcomeric
components specific to each muscle type. Spalt function is conserved in insects
evolutionarily separated by 280 million years. We propose that Spalt proteins
switch myofibres from tubular to fibrillar fate during development, a function
potentially conserved in the vertebrate heart--a stretch-activated muscle sharing
features with insect flight muscle.
PMID- 22094704
TI - Mapping of Chagas disease research: analysis of publications in the period
between 1940 and 2009.
AB - INTRODUCTION: Publications are often used as a measure of success in research
work. Chagas disease occurs in Central and Southern America. However, during the
past years, the disease has been occurring outside Latin America due to migration
from endemic zones. This article describes a bibliometric review of the
literature on Chagas disease research indexed in PubMed during a 70-year period.
METHODS: Medline was used via the PubMed online service of the U.S. National
Library of Medicine from 1940 to 2009. The search strategy was: Chagas disease
[MeSH] OR Trypanosoma cruzi [MeSH]. RESULTS: A total of 13,989 references were
retrieved. The number of publications increased steadily over time from 1,361
(1940-1969) to 5,430 (2000-2009) (coefficient of determination for linear fit,
R2=0.910). Eight journals contained 25% of the Chagas disease literature. Of the
publications, 64.2% came from endemic countries. Brazil was the predominant
country (37%), followed by the United States (17.6%) and Argentina (14%). The
ranking in production changed when the number of publications was normalized by
estimated cases of Chagas disease (Panama and Uruguay), population (Argentina and
Uruguay), and gross domestic product (Bolivia and Brazil). CONCLUSIONS: Several
Latin American countries, where the prevalence of T. cruzi infection was not very
high, were the main producers of the Chagas disease literature, after adjusting
for economic and population indexes. The countries with more estimated cases of
Chagas disease produced less research on Chagas disease than some developed
countries.
PMID- 22094705
TI - Risk factors for Leishmania chagasi infection in an endemic area in Raposa, State
of Maranhao, Brazil.
AB - INTRODUCTION: Infection with Leishmania chagasi is the most common clinical
presentation for visceral leishmaniaisis in endemic areas. The municipality of
Raposa is an endemic area in State of Maranhao, Brazil, and have had registration
cases of visceral leishmaniasis disease. For this reason, a cross-sectional study
was conducted to evaluate the risk factors for infection with L. chagasi detected
by Montenegro skin test. METHODS: The sample comprised 96% of the inhabitants of
the villages of Maresia, Pantoja, and Marisol located in the municipality of
Raposa, corresponding to 1,359 subjects. Data were collected using a
questionnaire. Univariate and multivariate logistic regression models were
applied to evaluate the association between the variables studied and infection
of L. chagasi. RESULTS: The variables associated with infection upon nonadjusted
analysis were a straw roof, mud walls, floors of beaten earth, presence of sand
flies inside or outside of the dwelling, and bathing outdoors. Adjusted analysis
showed that the presence of sand flies inside/outside the dwelling was a risk
factor, and age younger than 10 years was a protective factor against
asymptomatic infection. CONCLUSIONS: The results highlight the extent to which
precarious living conditions of the population strengthen the epidemiological
chain of visceral leishmaniasis.
PMID- 22094706
TI - Molecular characterization of Trypanosoma cruzi Mexican strains and their
behavior in the mouse experimental model.
AB - INTRODUCTION: For a long time, the importance of Chagas disease in Mexico, where
many regarded it as an exotic malady, was questioned. Considering the great
genetic diversity among isolates of Trypanosoma cruzi, the importance of this
biological characterization, and the paucity of information on the clinical and
biological aspects of Chagas disease in Mexico, this study aimed to identify the
molecular and biological characterization of Trypanosoma cruzi isolates from
different endemic areas of this country, especially of the State of Jalisco.
METHODS: Eight Mexican Trypanosoma cruzi strains were biologically and
genetically characterized (PCR specific for Trypanosoma cruzi, multiplex-PCR,
amplification of space no transcript of the genes of the mini-exon, amplification
of polymorphic regions of the mini-exon, classification by amplification of
intergenic regions of the spliced leader genes, RAPD (random amplified
polymorphic DNA). RESULTS: Two profiles of parasitaemia were observed, patent
(peak parasitaemia of 4.6*10(6) to 10(7) parasites/mL) and subpatent. In
addition, all isolates were able to infect 100% of the animals. The isolates
mainly displayed tropism for striated (cardiac and skeletal) muscle. PCR
amplification of the mini-exon gene classified the eight strains as TcI. The RAPD
technique revealed intraspecies variation among isolates, distinguishing strains
isolated from humans and triatomines and according to geographic origin.
CONCLUSIONS: The Mexican T. cruzi strains are myotrophic and belong to group TcI.
PMID- 22094702
TI - A heteromeric Texas coral snake toxin targets acid-sensing ion channels to
produce pain.
AB - Natural products that elicit discomfort or pain represent invaluable tools for
probing molecular mechanisms underlying pain sensation. Plant-derived irritants
have predominated in this regard, but animal venoms have also evolved to avert
predators by targeting neurons and receptors whose activation produces noxious
sensations. As such, venoms provide a rich and varied source of small molecule
and protein pharmacophores that can be exploited to characterize and manipulate
key components of the pain-signalling pathway. With this in mind, here we perform
an unbiased in vitro screen to identify snake venoms capable of activating
somatosensory neurons. Venom from the Texas coral snake (Micrurus tener tener),
whose bite produces intense and unremitting pain, excites a large cohort of
sensory neurons. The purified active species (MitTx) consists of a heteromeric
complex between Kunitz- and phospholipase-A2-like proteins that together function
as a potent, persistent and selective agonist for acid-sensing ion channels
(ASICs), showing equal or greater efficacy compared with acidic pH. MitTx is
highly selective for the ASIC1 subtype at neutral pH; under more acidic
conditions (pH < 6.5), MitTx massively potentiates (>100-fold) proton-evoked
activation of ASIC2a channels. These observations raise the possibility that ASIC
channels function as coincidence detectors for extracellular protons and other,
as yet unidentified, endogenous factors. Purified MitTx elicits robust pain
related behaviour in mice by activation of ASIC1 channels on capsaicin-sensitive
nerve fibres. These findings reveal a mechanism whereby snake venoms produce
pain, and highlight an unexpected contribution of ASIC1 channels to nociception.
PMID- 22094707
TI - Enteric parasites in HIV-1/AIDS-infected patients from a Northwestern Sao Paulo
reference unit in the highly active antiretroviral therapy era.
AB - INTRODUCTION: We describe the epidemiology of intestinal parasites in patients
from an AIDS reference service in Northeastern Sao Paulo, Brazil. METHODS:
Retrospective evaluation was done for all HIV-1/AIDS-positive patients whose
Hospital de Base/Sao Jose do Rio Preto laboratorial analysis was positive for
enteroparasites after diagnosis of HIV-1 infection, from January 1998 to December
2008. Statistical analysis was performed using the R statistical software version
2.4.1. The level of significance adopted was 5%. RESULTS: The most frequent
protozoan was Isospora belli (4.2%), followed by Giardia lamblia (3.5%),
Entamoeba coli (2.8%), and Cryptosporidium parvum (0.3%). Ancylostoma duodenale
(1.4%) was the most frequently detected helminth, while Taenia saginata and
Strongiloides stercoralis were found in 0.7% of the samples. The results showed
that diarrhea was significantly associated with giardiasis and isosporiasis.
However, no association was observed between CD4+ cell counts, viral load, and
the characteristics of any particular parasite. CONCLUSIONS: Our data may be
useful for further comparisons with other Brazilian regions and other developing
countries. The data may also provide important clues toward improving the
understanding, prevention, and control of enteric parasites around the world.
PMID- 22094708
TI - Molecular analysis and dimorphism of azole-susceptible and resistant Candida
albicans isolates.
AB - INTRODUCTION: Candida albicans is responsible for superficial or systemic
infections known as candidiasis, which may be found in infected tissue as
unicellular budding yeasts, hyphae, or pseudohyphae. In this study, the effects
of both fluconazole and itraconazole antifungal agents on the hyphal formation
and genotypic characterization of C. albicans isolates classified as either
susceptible or resistant were investigated. METHODS: The hyphal production of
five C. albicans isolates under the action of antifungal agents was investigated
by culturing yeast on growth medium and on hyphal induction medium. The genotypic
characterization was carried out for 13 isolates of C. albicans using the random
amplified polymorphic DNA-polymerase chain reaction (RAPD-PCR) method. RESULTS:
The dimorphism analysis showed that the hyphal formation was higher in resistant
than in the susceptible isolates to both azoles. The RAPD-PCR method identified
the formation of two different groups. In group A, four resistant and two
susceptible isolates were clustered, and in group B, one resistant and six
susceptible isolates were clustered. CONCLUSIONS: Considering that hyphal
formation was higher in resistant isolates in the presence of azole drugs, we
confirmed that the hyphal production is closely related to susceptibility to
azoles. These drugs may affect the morphogenesis of C. albicans depending on
their susceptibility to these drugs. In relation to RAPD-PCR, most resistant
isolates classified in group A and susceptible isolates in group B demonstrated
that this method presented a similar standard between the two groups, suggesting
that by this technique, a strong correlation between genotypes and fluconazole
resistant samples may be found.
PMID- 22094709
TI - Diversity and distribution of the bioactive actinobacterial genus Salinispora
from sponges along the Great Barrier Reef.
AB - Isolates from the marine actinobacterial genus Salinispora were cultured from
marine sponges collected from along the length of the Great Barrier Reef (GBR),
Queensland, Australia. Strains of two species of Salinispora, Salinispora
arenicola and "Salinispora pacifica", were isolated from GBR sponges Dercitus
xanthus, Cinachyrella australiensis and Hyattella intestinalis. Phylogenetic
analysis of the 16S rRNA gene sequences of representative strains, selected via
BOX-PCR screening, identified previously unreported phylotypes of the species "S.
pacifica". The classification of these microdiverse 16S rRNA groups was further
confirmed by analysis of the ribonuclease P RNA (RNase P RNA) gene through both
phylogenetic and secondary structure analysis. The use of RNase P RNA sequences
combined with 16S rRNA sequences allowed distinction of six new intraspecies
phylotypes of "S. pacifica" within the geographical area of the GBR alone. One of
these new phylotypes possessed a localised regional distribution within the GBR.
PMID- 22094710
TI - Macrophage folate receptor-beta (FR-beta) expression in auto-immune inflammatory
rheumatic diseases: a forthcoming marker for cardiovascular risk?
AB - In patients with systemic auto-immune inflammatory rheumatic diseases (AIIRD)
like rheumatoid arthritis the prevalence of cardiovascular disease (CVD) is
increased. In the pathogenesis of AIIRD and atherosclerosis many similarities can
be found in the process underlying CVD. Accumulation of inflammatory cells, in
particular macrophages at the site of inflammation producing inflammatory
mediators serve as a prominent feature in both systemic inflammation and
atherosclerosis. Two different subtypes of macrophages have been described in
recent literature namely classically activated macrophages (M1) and alternatively
activated macrophages (M2). Alternatively activated macrophages are characterized
by low CD14 and high CD163 expression. Macrophages expressing CD14 (M1) have been
identified within atherosclerotic plaques, whereas CD14 low macrophages are
abundant in vessels without atherosclerosis. Depending on the environment and
responses to different stimuli, macrophages in plaques can express diverse pro
and anti-atherogenic functions. The balance of these different activation
profiles influences atheroma evolution and outcome. Nowadays, influx of
macrophages is recognized as a very important feature of the pathogenesis of
plaque formation. Activated macrophages accumulate at the sites of inflammation
and can therefore be exploited to better visualize inflammatory responses in
atherosclerosis. Furthermore, activated (but not resting) macrophages possess a
functionally active receptor for folate (FR-beta), but it is not completely clear
which subtype of this activated macrophages expresses this receptor and whether
the expression of FR-beta is restricted to only one of the macrophage subsets.
Although future research needs to be done to investigate FR-beta expression and
function within inflamed tissues, the expression of functional FR-beta on tissue
macrophages likely occurs during activation. Therefore, expression of FR-beta on
activated macrophages holds a promising potential for early diagnosis and better
analysis of optimal treatment regiments of vascular diseases in association with
systemic diseases.
PMID- 22094711
TI - Impact of FDA guidance for developing diabetes drugs on trial design: from policy
to practice.
AB - In 2008, the US Food and Drug Administration (FDA) issued guidance requiring
robust assessment of cardiovascular safety for all antidiabetic drugs to be
licensed in the future. Here, we review the circumstances giving rise to the FDA
guidance and describe the characteristics of clinical trials registered on
ClinicalTrials.gov in the 36 months before and after the guidance was issued. We
noted a doubling in the number of cardiovascular outcome trials registered and a
sixfold increase in the median number of patients included in cardiovascular
outcome trials in the latter 36 months. As the size and duration of follow-up for
these trials increases, the clinical trials industry will need to adapt. The
present review summarizes current attempts to streamline trial design and
management to meet the requirements efficiently while adhering to the highest
scientific standards.
PMID- 22094712
TI - Histological presence of Helicobacter pylori bacteria in the trabeculum and iris
of patients with primary open-angle glaucoma.
AB - PURPOSE: To investigate the role of Helicobacter pylori in primary open-angle
glaucoma (POAG) pathophysiology by detecting its presence in eye biopsies of POAG
patients during trabeculectomy. PATIENTS AND METHODS: Fifty-one consecutive
patients who underwent trabeculectomy for POAG not responsive to antiglaucoma
therapy, and 35 consecutive anemic controls were examined for H. pylori presence
mainly by gastric mucosa histology. In POAG patients, eye biopsies were also
obtained and stained for H. pylori presence in situ. RESULTS: Forty-three of 51
(84.3%) POAG patients and 17 of 35 (48.6%) controls were tested H. pylori
positive (p = 0.0004). In 5 H. pylori-positive POAG patients, H. pylori bacteria
were identified in the trabeculum and iris specimens. CONCLUSION: For the first
time, H. pylori bacteria have been detected histologically in eye biopsies of
POAG patients.
PMID- 22094713
TI - Upregulated miR-29b promotes neuronal cell death by inhibiting Bcl2L2 after
ischemic brain injury.
AB - It is increasingly clear that microRNAs (miRNAs) play an important role in
controlling cell survival. However, the functional significance of miRNAs in
ischemic brain injury remains poorly understood. In the present study, we assayed
the expression levels of miR-29b after ischemic brain injury, and defined the
target genes and biological functions of miR-29b. We found that the miR-29b
levels were significantly increased in rat brain after transient middle cerebral
artery occlusion and neurons after oxygen-glucose deprivation. Moreover, ectopic
expression of miR-29b promoted neuronal cell death, whereas its repression
decreased cell death. Furthermore, we verified that miR-29b directly targeted and
inhibited Bcl2L2 gene expression, and then increased neuronal cell death.
Importantly, Bcl2L2 overexpression rescued neuronal cell death induced by miR
29b. These results suggest an important role of miR-29b in regulating neuronal
cell death, thus offering a new target for the development of therapeutic agents
against ischemic brain injury.
PMID- 22094714
TI - Role of APP for dendritic spine formation and stability.
AB - The amyloid precursor protein (APP) is transported in high amounts to the
presynaptic endings where its function is still unknown. Several studies indicate
that lack of APP or its overexpression affects the number of dendritic spines,
the postsynaptic compartment of excitatory synapses. Since synapse loss has been
identified as one of the most important structural correlates of cognitive
decline in Alzheimer's diseases (AD), the physiological function of APP at
synapses, specifically at dendritic spines, has come into focus in AD research.
This review intends to give an overview of the very controversial results on APP
expression on dendritic spine number in the mouse brain.
PMID- 22094715
TI - Eccentric exercise and delayed onset muscle soreness of the quadriceps induce
adjustments in agonist-antagonist activity, which are dependent on the motor
task.
AB - This study investigates the effects of eccentric exercise and delayed onset
muscle soreness (DOMS) of the quadriceps on agonist-antagonist activity during a
range of motor tasks. Ten healthy volunteers (age, mean +/- SD, 24.9 +/- 3.2
years) performed maximum voluntary contractions (MVC) and explosive isometric
contractions of the knee extensors followed by isometric contractions at 2.5, 5,
10, 15, 20, and 30% MVC at baseline, immediately after and 24 h after eccentric
exercise of the quadriceps. During each task, force of the knee extensors and
surface EMG of the vasti and hamstrings muscles were recorded concurrently. Rate
of force development (RFD) was computed from the explosive isometric contraction,
and the coefficient of variation of the force (CoV) signal was estimated from the
submaximal contractions. Twenty-four hours after exercise, the subjects rated
their perceived pain intensity as 4.1 +/- 1.2 (score out of 10). The maximum RFD
and MVC of the knee extensors was reduced immediately post- and 24 h after
eccentric exercise compared to baseline (average across both time points: 19.1 +/
17.1% and 11.9 +/- 9.8% lower, respectively, P < 0.05). The CoV for force during
the submaximal contractions was greater immediately after eccentric exercise (up
to 66% higher than baseline, P < 0.001) and remained higher 24 h post-exercise
during the presence of DOMS (P < 0.01). For the explosive and MVC tasks, the EMG
amplitude of the vasti muscles decreased immediately after exercise and was
accompanied by increased antagonist EMG for the explosive contraction only. On
the contrary, reduced force steadiness was accompanied by a general increase in
EMG amplitude of the vasti muscles and was accompanied by increased antagonist
activity, but only at higher force levels (>15% MVC). This study shows that
eccentric exercise and subsequent DOMS of the quadriceps reduce the maximal
force, rate of force development and force steadiness of the knee extensors, and
is accompanied by different adjustments of agonist and antagonist muscle
activities.
PMID- 22094716
TI - Emerging techniques in the minimally invasive treatment and management of
thoracic spine tumors.
AB - Over the past decade, the development and refinement of minimally invasive spine
surgery techniques has lead to procedures with the potential to minimize
iatrogenic and post-operative sequelae that may occur during the surgical
treatment of various pathologies. In a similar manner, parallel advances in other
current treatment technologies have led to the development of other minimally
invasive treatments of spinal malignancies. These advances include percutaneous
techniques for vertebral reconstruction, including vertebroplasty and
kyphoplasty, the development of safe and effective spinal radiosurgery, and
minimal-access spinal surgical procedures that allow surgeons to safely
decompress and reconstruct the anterior spinal column. The advent of these new
techniques has given modern practitioners treatment options in situations where
they previously were limited by the potentially significant morbidities of the
available techniques. Here, the authors discuss the application of current
minimally invasive technologies in the treatment of malignancies of the thoracic
spine, focusing on vertebral kyphoplasty, spinal radiosurgery, and minimally
invasive spinal decompression techniques. The author's describe how these
emerging treatment options are significantly expanding the options open to
clinicians in the treatment of thoracic spinal column malignancies. Specific
illustrative case examples are provided. The development of these techniques has
the potential to improve clinical outcomes, limit surgical morbidity, and also
improve the safety and efficiency of treatment pathways.
PMID- 22094717
TI - A method to estimate the chronic health impact of air pollutants in U.S.
residences.
AB - BACKGROUND: Indoor air pollutants (IAPs) cause multiple health impacts.
Prioritizing mitigation options that differentially affect individual pollutants
and comparing IAPs with other environmental health hazards require a common
metric of harm. OBJECTIVES: Our objective was to demonstrate a methodology to
quantify and compare health impacts from IAPs. The methodology is needed to
assess population health impacts of large-scale initiatives-including energy
efficiency upgrades and ventilation standards-that affect indoor air quality
(IAQ). METHODS: Available disease incidence and disease impact models for
specific pollutant-disease combinations were synthesized with data on measured
concentrations to estimate the chronic heath impact, in disability-adjusted life
years (DALYs) lost, due to inhalation of a subset of IAPs in U.S. residences.
Model results were compared with independent estimates of DALYs lost due to
disease. RESULTS: Particulate matter <= 2.5 MUm in aerodynamic diameter (PM2.5),
acrolein, and formaldehyde accounted for the vast majority of DALY losses caused
by IAPs considered in this analysis, with impacts on par or greater than
estimates for secondhand tobacco smoke and radon. Confidence intervals of DALYs
lost derived from epidemiology-based response functions are tighter than those
derived from toxicology-based, interspecies extrapolations. Statistics on disease
incidence in the United States indicate that the upper-bound confidence interval
for aggregate IAP harm is implausibly high. CONCLUSIONS: The approach
demonstrated in this study may be used to assess regional and national
initiatives that affect IAQ at the population level. Cumulative health impacts
from inhalation in U.S. residences of the IAPs assessed in this study are
estimated at 400-1,100 DALYs lost annually per 100,000 persons.
PMID- 22094718
TI - Rescue of bilirubin-induced neonatal lethality in a mouse model of Crigler-Najjar
syndrome type I by AAV9-mediated gene transfer.
AB - Crigler-Najjar type I (CNI) syndrome is a recessively inherited disorder
characterized by severe unconjugated hyperbilirubinemia caused by uridine
diphosphoglucuronosyltransferase 1A1 (UGT1A1) deficiency. The disease is lethal
due to bilirubin-induced neurological damage unless phototherapy is applied from
birth. However, treatment becomes less effective during growth, and liver
transplantation is required. To investigate the pathophysiology of the disease
and therapeutic approaches in mice, we generated a mouse model by introducing a
premature stop codon in the UGT1a1 gene, which results in an inactive enzyme.
Homozygous mutant mice developed severe jaundice soon after birth and died within
11 d, showing significant cerebellar alterations. To rescue neonatal lethality,
newborns were injected with a single dose of adeno-associated viral vector 9
(AAV9) expressing the human UGT1A1. Gene therapy treatment completely rescued all
AAV-treated mutant mice, accompanied by lower plasma bilirubin levels and normal
brain histology and motor coordination. Our mouse model of CNI reproduces genetic
and phenotypic features of the human disease. We have shown, for the first time,
the full recovery of the lethal effects of neonatal hyperbilirubinemia. We
believe that, besides gene-addition-based therapies, our mice could represent a
very useful model to develop and test novel technologies based on gene correction
by homologous recombination.
PMID- 22094719
TI - Single- and two-phase flow in microfluidic porous media analogs based on Voronoi
tessellation.
AB - The objective of this study was to create a microfluidic model of complex porous
media for studying single and multiphase flows. Most experimental porous media
models consist of periodic geometries that lend themselves to comparison with
well-developed theoretical predictions. However, many real porous media such as
geological formations and biological tissues contain a degree of randomness and
complexity at certain length scales that is not adequately represented in
periodic geometries. To design an experimental tool to study these complex
geometries, we created microfluidic models of random homogeneous and
heterogeneous networks based on Voronoi tessellations. These networks consisted
of approximately 600 grains separated by a highly connected network of channels
with an overall porosity of 0.11-0.20. We found that introducing heterogeneities
in the form of large cavities within the network changed the permeability in a
way that cannot be predicted by the classical porosity-permeability relationship
known as the Kozeny equation. The values of permeability found in experiments
were in excellent agreement with those calculated from three-dimensional lattice
Boltzmann simulations. In two-phase flow experiments of oil displacement with
water we found that the wettability of channel walls determined the pattern of
water invasion, while the network topology determined the residual oil
saturation. The presence of cavities increased the microscopic sweeping
efficiency in water-oil displacement. These results suggest that complex network
topologies lead to fluid flow behavior that is difficult to predict based solely
on porosity. The novelty of this approach is a unique geometry generation
algorithm coupled with microfabrication techniques to produce pore scale models
of stochastic homogeneous and heterogeneous porous media. The ability to perform
and visualize multiphase flow experiments within these geometries will be useful
in measuring the mechanism(s) of displacement within micro- and nanoscale pores.
PMID- 22094721
TI - Successful demonstration of an efficient I(-)/(SeCN)2 redox mediator for dye
sensitized solar cells.
AB - A new I(-)/(SeCN)(2) redox mediator has favorable properties for dye-sensitized
solar cells (DSCs) such as less visible light absorption, higher ionic
conductivity, and downward shift of redox potential than I(-)/I(3)(-). It was
then applied for DSCs towards increasing energy conversion efficiency, giving a
new potential for improving performance.
PMID- 22094720
TI - Comorbidity of conduct disorder symptoms and internalising problems in children:
investigating a community and a clinical sample.
AB - Previous studies have demonstrated that many children with conduct problems (CP)
also show internalising psychopathology (IP). However, it remains unclear whether
the presence of IP serves as a protective or risk factor for the severity and
development of CP. The aim of the present study was to assess the prevalence and
associations of comorbid IP in children with CP in a community and a clinical
sample. Data from boys as well as girls with CP in the clinical range were
obtained from a community sample (n = 1,160) and a clinical sample diagnosed with
disruptive behaviour disorder (n = 193) from two European countries. In the
community sample, information was obtained using the strengths and difficulties
questionnaire, whereas in the clinical sample, the child behaviour checklist was
used. Internalising disorders, according to ICD-10, were also assessed in the
clinical sample. For both samples, age, gender, and impact of comorbid IP in the
clinical range (above 90th percentile) for CP were explored. Results revealed
that in both samples, participants with CP showed a high rate of comorbid IP
(community sample: 35%; clinical sample: 78%). Participants with comorbid IP were
more likely to experience social problems with peers. In the clinical sample,
comorbid IP rated by the parents was more prevalent than internalising disorders
according to ICD-10. Boys with CP and comorbid IP demonstrated a higher severity
of externalising behaviour than boys without comorbid IP in the clinical sample.
We concluded that in both samples, we found a high co-occurrence of CP and IP.
Based on the idea that the co-occurrence of IP and CP in children and adolescents
may potentially lead to increased antisocial behaviour, internalising
psychopathology should be carefully investigated. Effective strategies and
specific risk factors must be evaluated to treat comorbidity as early as possible
in children with CP and IP.
PMID- 22094722
TI - General condition of hikikomori (prolonged social withdrawal) in Japan:
psychiatric diagnosis and outcome in mental health welfare centres.
AB - BACKGROUND: The issue of hikikomori (prolonged social withdrawal) among Japanese
youth has attracted attention from international experts. In previous research,
the unique cultural and social factors of Japanese society have been the focus;
however, in order to resolve the problem of hikikomori, individual mental health
problems must be included. AIM: We examined the psychiatric background of
individuals with hikikomori. METHODS: We recruited 337 individuals with
hikikomori; 183 subjects who utilized the centres were designated as the help
seeking group. We examined the multi-axial psychiatric diagnosis based on the DSM
IV-TR, treatment policies and treatment outcomes. We also examined 154 subjects
who did not utilize the centers (non-help-seeking group). RESULTS: Most of the
subjects in the utilization group were classified into one of the diagnostic
categories. Forty-nine (33.3%) subjects were diagnosed with schizophrenia, mood
disorders or anxiety disorders, and this group needed pharmacotherapy. Other
subjects were diagnosed with personality disorders or pervasive developmental
disorders, and they mainly needed psycho-social support. The Global Assessment of
Functioning (GAF) scores of the non-help-seeking group were significantly lower
than the GAF scores of those who used treatments. CONCLUSION: Most hikikomori
cases can be diagnosed using current diagnostic criteria. Individuals with
hikikomori are much worse if they do not seek help.
PMID- 22094723
TI - The best places for miniscrews.
PMID- 22094724
TI - Anatomical guidelines for miniscrew insertion: palatal sites.
PMID- 22094725
TI - The insignia system of customized orthodontics.
PMID- 22094726
TI - A modified dental probe for orthodontic use.
PMID- 22094727
TI - Adjusting force vectors during maxillary retraction with miniscrew anchorage.
PMID- 22094728
TI - Bonding a lingual retainer to denture crowns.
PMID- 22094729
TI - The Forsus Fatigue Resistant Device as a fixed functional appliance.
PMID- 22094730
TI - Vertebrate neural stem cell segmentation, tracking and lineaging with validation
and editing.
AB - This protocol and the accompanying software program called LEVER (lineage editing
and validation) enable quantitative automated analysis of phase-contrast time
lapse images of cultured neural stem cells. Images are captured at 5-min
intervals over a period of 5-15 d as the cells proliferate and differentiate.
LEVER automatically segments, tracks and generates lineage trees of the stem
cells from the image sequence. In addition to generating lineage trees capturing
the population dynamics of clonal development, LEVER extracts quantitative
phenotypic measurements of cell location, shape, movement and size. When
available, the system can include biomolecular markers imaged using fluorescence.
It then displays the results to the user for highly efficient inspection and
editing to correct any errors in the segmentation, tracking or lineaging. To
enable high-throughput inspection, LEVER incorporates features for rapid
identification of errors and for learning from user-supplied corrections to
automatically identify and correct related errors.
PMID- 22094731
TI - Determining the number of specific proteins in cellular compartments by
quantitative microscopy.
AB - This protocol describes a method for determining both the average number and
variance of proteins, in the few to tens of copies, in isolated cellular
compartments such as organelles and protein complexes. Other currently available
protein quantification techniques either provide an average number, but lack
information on the variance, or they are not suitable for reliably counting
proteins present in the few to tens of copies. This protocol entails labeling of
the cellular compartment with fluorescent primary-secondary antibody complexes,
total internal reflection fluorescence microscopic imaging of the cellular
compartment, digital image analysis and deconvolution of the fluorescence
intensity data. A minimum of 2.5 d is required to complete the labeling, imaging
and analysis of a set of samples. As an illustrative example, we describe in
detail the procedure used to determine the copy number of proteins in synaptic
vesicles. The same procedure can be applied to other organelles or signaling
complexes.
PMID- 22094732
TI - Identifying microbial fitness determinants by insertion sequencing using genome
wide transposon mutant libraries.
AB - Insertion sequencing (INSeq) is a method for determining the insertion site and
relative abundance of large numbers of transposon mutants in a mixed population
of isogenic mutants of a sequenced microbial species. INSeq is based on a
modified mariner transposon containing MmeI sites at its ends, allowing cleavage
at chromosomal sites 16-17 bp from the inserted transposon. Genomic regions
adjacent to the transposons are amplified by linear PCR with a biotinylated
primer. Products are bound to magnetic beads, digested with MmeI and barcoded
with sample-specific linkers appended to each restriction fragment. After limited
PCR amplification, fragments are sequenced using a high-throughput instrument.
The sequence of each read can be used to map the location of a transposon in the
genome. Read count measures the relative abundance of that mutant in the
population. Solid-phase library preparation makes this protocol rapid (18 h),
easy to scale up, amenable to automation and useful for a variety of samples. A
protocol for characterizing libraries of transposon mutant strains clonally
arrayed in a multiwell format is provided.
PMID- 22094733
TI - Prospective isolation of adult neural stem cells from the mouse subependymal
zone.
AB - Neural stem cells (NSCs) have the remarkable capacity to self-renew and the
lifelong ability to generate neurons in the adult mammalian brain. However, the
molecular and cellular mechanisms contributing to these behaviors are still not
understood. Now that prospective isolation of the NSCs has become feasible, these
mechanisms can be studied. Here we describe a protocol for the efficient
isolation of adult NSCs, by the application of a dual-labeling strategy on the
basis of their glial identity and ciliated nature. The cells are isolated from
the lateral ventricular subependymal zone (SEZ) of adult hGFAP-eGFP (human glial
fibrillary acidic protein-enhanced green fluorescent protein) transgenic mice by
fluorescence-activated cell sorting. Staining against prominin1 (CD133) allows
the isolation of the NSCs (hGFAP-eGFP(+)/prominin1(+)), which can be further
subdivided by labeling with the fluorescent epidermal growth factor. This
protocol, which can be completed in 7 h, allows the assessment of quantitative
changes in SEZ NSCs and the examination of their molecular and functional
characteristics.
PMID- 22094734
TI - Backbone chemical shifts assignments, secondary structure, and ligand binding of
a family GH-19 chitinase from moss, Bryum coronatum.
AB - Family GH19 chitinases have been recognized as important in the plant defense
against fungal pathogens. However, their substrate-recognition mechanism is still
unknown. We report here the first resonance assignment of NMR spectrum of a GH19
chitinase from moss, Bryum coronatum (BcChi-A). The backbone signals were nearly
completely assigned, and the secondary structure was estimated based on the
chemical shift values. The addition of the chitin dimer to the enzyme solution
perturbed the chemical shifts of HSQC resonances of the amino acid residues
forming the putative substrate-binding cleft. Further NMR analysis of the ligand
binding to BcChi-A will improve understanding of the substrate-recognition
mechanism of GH-19 enzymes.
PMID- 22094735
TI - Acellular dermis-assisted prosthetic breast reconstruction: a systematic and
critical review of efficacy and associated morbidity.
AB - BACKGROUND: The use of acellular dermal matrix to assist in two-stage
expander/implant breast reconstruction has increased over recent years. However,
there are questions regarding the potential for increased morbidity when using
these techniques relative to standard submuscular coverage techniques. This
systematic review combines published data comparing the techniques, to compare
morbidity and advantages of acellular dermal matrix relative to standard
submuscular coverage techniques. METHODS: An English language literature search
was performed to find articles reporting outcomes of two-stage expander/implant
reconstruction using acellular dermal matrix. The outcome categories analyzed
were patient/treatment demographics, tissue expander characteristics, and
complications. RESULTS: Nine articles met inclusion criteria for this analysis.
Six of these were matched cohort studies comparing outcomes of acellular dermal
matrix techniques to standard submuscular techniques. The remaining three were
case series of acellular dermal matrix techniques. The only difference found in
complications was a higher rate of seroma for the acellular dermal matrix group
(4.3 percent versus 8.4 percent, p = 0.03). Despite this, both groups illustrated
similar rates of infection leading to explantation (3.2 percent for submuscular
and 3.4 percent for acellular dermal matrix, p = 0.18). In addition, acellular
dermal matrix techniques illustrated greater intraoperative fill volumes and
consistently fewer fills required to reach expander capacity. CONCLUSIONS: The
use of acellular dermal matrix in two-stage expander/implant reconstruction
offers a safety profile similar to that of standard submuscular techniques. Both
techniques have shown similar rates of infection ultimately requiring
explantation. In addition, acellular dermal matrix offers the advantage of a more
rapid reconstruction with less need for manipulation of the prosthetic through
filling. CLINICAL QUESTION/LEVEL OF EVIDENCE: Therapeutic, III.
PMID- 22094736
TI - Retrospective review of 331 consecutive immediate single-stage implant
reconstructions with acellular dermal matrix: indications, complications,
trends, and costs.
AB - BACKGROUND: Immediate single-stage direct-to-implant breast reconstruction with
acellular dermal matrix optimizes aesthetics by preserving the mastectomy skin
envelope. The authors report trends, early complications, and costs. METHODS: A
retrospective review of three surgeons' experience was performed for immediate
single-stage implant reconstruction with acellular dermal matrix and tissue
expander reconstruction without it at Massachusetts General Hospital. RESULTS:
Two hundred eleven patients had 331 direct-to-implant reconstructions using
AlloDerm following nipple-sparing (n = 66) or skin-sparing (n = 265) mastectomy
for cancer (n = 216) or prophylaxis (n = 115). The number of single-stage implant
reconstructions increased from seven in 2006 to 116 in 2009. The percentage
performed for prophylaxis increased from 29 percent to 41 percent. Fifty-one
patients underwent preoperative (n = 33) or postoperative (n = 18) irradiation.
Total complications included 10 infections (3.0 percent), five seromas (1.5
percent), four hematomas (1.2 percent), and 30 reconstructions (9.1 percent),
with skin necrosis leading to five implant losses (1.5 percent). Tissue expander
reconstruction without AlloDerm had a similar total complication rate (158
reconstructions) (p = 0.18), including nine infections (5.7 percent), three
seromas (1.9 percent), three hematomas (1.9 percent), and 16 reconstructions
(10.1 percent), with skin necrosis leading to 11 implant losses (7.0 percent). A
higher complication rate occurred in the surgeons' combined first year performing
single-stage implant reconstruction (21.4 percent) compared with subsequent years
(10.9 percent) (p < 0.02) and in one- or two-stage reconstruction patients
undergoing irradiation (p = 0.005). There was no significant difference in total
overall costs (p = 0.8). CONCLUSIONS: Immediate single-stage implant
reconstruction using acellular dermal matrix offers a cost-effective
reconstruction with a low complication rate. This may be the procedure of choice
in select patients. CLINICAL QUESTION/LEVEL OF EVIDENCE: Therapeutic, III.
PMID- 22094737
TI - Increasing age impairs outcomes in breast reduction surgery.
AB - BACKGROUND: Although multiple breast reduction outcomes studies have been
performed, none has specifically identified the impact of advanced age. The
authors aimed to study the impact of age on breast reduction outcome. METHODS:
Medical records for all patients billed for Current Procedural Terminology code
19318 over the past 10 years (1999 to 2009) at a large academic institution were
analyzed under an institutional review board-approved protocol. A total of 1192
consecutive patients underwent 2156 reduction mammaplasties performed by 17
plastic surgeons over a 10-year period. Breast reduction techniques included
inferior pedicle/Wise pattern in 1250 patients (58.9 percent), medial
pedicle/Wise pattern in 360 (16.9 percent), superior pedicle/nipple graft in 305
(14.4 percent), superior pedicle/vertical pattern in 206 (9.7 percent), and
liposuction in three (0.14 percent). The average patient age was 36 years. Age
groups were divided into younger than 40 years, 40 to 50 years, and older than 50
years. Multiple logistic regression analysis was performed to identify
significant relationships. RESULTS: Women older than 50 years more likely
experienced infection (odds ratio, 2.7; p = 0.003), with trends toward wound
healing problems (odds ratio, 1.6; p = 0.09) and reoperative wound debridement
(odds ratio, 5.1; p = 0.07). There was a trend toward infection in women aged 40
to 50 years (odds ratio, 1.7; p = 0.08). Advanced age did not exacerbate fat
necrosis or seroma development. CONCLUSIONS: Age older than 50 years impairs
breast reduction outcomes, particularly infection, and may negatively impact
wound healing. Hormonal deficiency may partially account for this finding.
CLINICAL QUESTION/LEVEL OF EVIDENCE: Risk, IV.
PMID- 22094738
TI - Discussion: increasing age impairs outcomes in breast reduction surgery.
PMID- 22094739
TI - Tissue-engineered breast reconstruction: bridging the gap toward large-volume
tissue engineering in humans.
AB - BACKGROUND: Use of autologous tissue is ideal in breast reconstruction; however,
insufficient donor tissue and surgical and donor-site morbidity all limit its
use. Tissue engineering could provide replacement tissue, but only if
vascularization of large tissue volumes is achievable. The authors sought to
upscale their small-animal adipose tissue-engineering models to produce large
volumes of tissue in a large animal (i.e., pig). METHODS: Bilateral large-volume
(78.5 ml) chambers were inserted subcutaneously in the groin enclosing a fat flap
(5 ml) based on the superficial circumflex iliac pedicle for 6 (n = 4), 12 (n =
1), and 22 weeks (n = 2). Right chambers included a poly(L-lactide-co-glycolide)
sponge. Other pedicle configurations, including a vascular pedicle alone (n = 2)
or in combination with muscle (n = 2) or a free fat graft (n = 2), were
investigated in preliminary studies. Serial assessment of tissue growth and
vascularization by magnetic resonance imaging was undertaken during growth and
correlated with quantitative histomorphometry at chamber removal. RESULTS: All
chambers filled with new tissue by 6 weeks, vascularized by the arteriovenous
pedicle. In the fat flap chambers, the initial 5 ml of fat expanded to 25.9 +/-
2.4, 39.4 +/- 3.9, and 56.5 ml (by magnetic resonance imaging) at 6, 12, and 22
weeks, respectively. Adipose tissue volume was maintained up to 22 weeks after
chamber removal (n = 2), including one where the specimen was transferred on its
pedicle to an adjacent submammary pocket. CONCLUSION: The first clinically
relevant volumes of tissue for in situ and remote breast reconstruction have been
formed with implications for scaling of existing tissue-engineering models into
human trials.
PMID- 22094740
TI - Dental implant outcome after primary implantation into double-barreled fibula
osteoseptocutaneous free flap-reconstructed mandible.
AB - BACKGROUND: Functional and aesthetic mandibular reconstruction can be achieved in
a single operation by means of a double-barreled fibula osteoseptocutaneous free
flap with dental implants loaded simultaneously into the upper barrel. The aim of
this study was to evaluate the quality and result of such reconstruction.
METHODS: From 2005 to 2007, 10 patients underwent segmental mandibular defect
reconstructions with double-barreled fibula osteoseptocutaneous flaps and
simultaneous dental implantations. Implant marginal bone loss, clinical mucosal
changes, marginal plaque indices, bleeding on probing, and pocket probe depth
were evaluated at an average of 22.2 months after implant functional loading.
RESULTS: Ten patients with a total of 25 osseointegrated implants were evaluated.
The mean implant marginal bone loss was 0.18 +/- 0.18 mm (range, 0 to 0.6 mm) at
the mesial surfaces and 0.25 +/- 0.2 mm (range, 0 to 0.6 mm) at the distal
surfaces. Probing pocket depth was shallower for implants protected by palatal
mucosal grafts (2.56 +/- 0.54 mm) than by skin flaps (3.50 +/- 0.90 mm) (p <
0.05). There was a significant difference in marginal bone loss between palatal
mucosal grafts (0.11 +/- 0.09 mm) and skin flaps (0.29 +/- 0.23 mm) (p < 0.05).
Bleeding on probing was more prominent when pocket depth exceeded 5 mm and
occurred more frequently in skin flap-protected than in keratinized mucosa graft
protected implants. CONCLUSIONS: The one-stage combined surgical method is safe
and reliable. Both oral function and mandible contour were good. Firmly attached
gingiva-like palatal mucosal grafts prevent periimplant soft-tissue inflammation
and facilitate maintenance of oral hygiene. CLINICAL QUESTION/LEVEL OF EVIDENCE:
Therapeutic, V.
PMID- 22094741
TI - The incidence of venous thromboembolism in postoperative plastic and
reconstructive surgery patients with chronic spinal cord injury.
AB - BACKGROUND: The purpose of this study was to investigate the incidence of
postoperative venous thromboembolism in chronic spinal cord injury patients
undergoing plastic and reconstructive surgery. Previous studies show a venous
thromboembolism incidence of 9.3 percent; however, based on anecdotal evidence,
the authors hypothesize that the incidence is actually much lower. As
postoperative venous thromboembolism prophylaxis is becoming mandated by the
Surgical Care Improvement Project, more data are necessary so that
recommendations for chronic spinal cord injury patients can be given. METHODS: A
retrospective chart review was undertaken using electronic medical records from a
Veterans Affairs hospital from 2004 through 2009 in which the perioperative
course of the chronic spinal cord injury cohort was evaluated for the primary
endpoint of venous thromboembolism evolution. The Pearson correlation was used
for statistical analysis. RESULTS: Of the 415 operative cases evaluated, 155
cases were excluded secondary to operative time under 1 hour, use of mechanical
or chemical venous thromboembolism prophylaxis, unknown operative time, or
unknown prophylaxis use. Of the 260 cases evaluated without venous
thromboembolism prophylaxis, there were no cases where venous thromboembolism
developed within a 2-month postoperative time period. CONCLUSIONS: Postoperative
venous thromboembolism is a common surgical complication with significant
morbidity and mortality. This study demonstrates that in the chronic spinal cord
injury patient cohort, the incidence of postoperative venous thromboembolism
evolution is extremely low and that a benefit from perioperative mechanical or
chemical prophylaxis is not evidence based. Further prospective studies are
required to fully elucidate the true venous thromboembolism incidence in these
patients and give recommendations on this issue. CLINICAL QUESTION/LEVEL OF
EVIDENCE: Therapeutic, IV.
PMID- 22094742
TI - Panniculectomy in preparation for renal transplantation: a new indication for an
old procedure to reduce renal transplantation-associated wound complications.
AB - End-stage renal disease patients who have lost a significant amount of weight are
increasingly being evaluated for kidney transplantation. An abdominal panniculus,
almost uniformly observed, creates an area predisposed to wound complications.
Consequently, a panniculus may limit a patient's candidacy for transplantation.
The authors describe their preliminary experience utilizing panniculectomy as a
prophylactic procedure to reduce wound complications following kidney
transplantation in patients whose panniculus would exclude them from renal
transplantion. A single-institution chart review was conducted of nine patients
with end-stage renal disease who underwent a panniculectomy in preparation for
transplantation. Clinical outcomes and complications were reviewed. The nine
patients included three men and six women with a mean age of 54.5 years and a
mean body mass index of 28.3 kg/m. Four patients had diabetes. All patients
underwent an uncomplicated panniculectomy, with a mean resected weight of 3.0 kg,
and a mean length of hospital stay of 1.75 days. No one required blood
transfusions. All patients were followed postoperatively for 3 months.
Complications included an abscess and a skin dehiscence treated with local wound
care. After recovery, patients were referred to the transplant center for re
evaluation for kidney transplantation. Thus far, four of these nine patients have
undergone transplantation. This case series suggests that panniculectomy can be
performed safely in patients with end-stage renal disease. Furthermore,
panniculectomy gives these otherwise unsuitable kidney transplant candidates
access to a life-saving operation.
PMID- 22094743
TI - Efficient design of split anterolateral thigh flap in extremity reconstruction.
AB - BACKGROUND: Irregularly shaped and three-dimensional soft-tissue defects in the
extremities are difficult to cover precisely and efficiently. One strategy is to
use the anterolateral thigh flap with two perforators and split the flap into two
subunits based on separate perforators. The subunits can be rearranged into
various geometric configurations to cover defects with nonelliptical shapes. Such
a strategy emphasizes harvesting an elliptical anterolateral thigh flap with a
narrower width to allow for primary closure in most cases. This avoids the need
for skin grafting and reduces donor-site morbidity. METHODS: From 2002 to 2010,
15 patients with upper and lower limb defects were treated with split
anterolateral thigh flaps. There were nine male patients and six female patients.
The mean age of the patients was 40.6 years (range, 18 to 64 years). The shape of
each defect was classified as arrow-shape, hourglass-shape, triangular, or three
dimensional. RESULTS: All split flaps (n = 31) survived without vascular
problems. Two split flaps demonstrated margin necrosis without critical structure
exposure. Only one donor site needed skin grafting. All other donor sites were
closed primarily. CONCLUSIONS: The split anterolateral thigh flap is an effective
strategy for covering irregular and three-dimensional defects in the extremities.
It allows efficient use of the harvested flap and minimizes trimming and
discarding unused flap parts. This decreases the area of skin graft needed for
donor-site coverage and may even be able to achieve primary closure. CLINICAL
QUESTION/LEVEL OF EVIDENCE: Therapeutic, V.
PMID- 22094744
TI - Dupuytren's disease in the Hispanic population: a 10-year retrospective review.
AB - BACKGROUND: Dupuytren's disease is a common benign fibroproliferative disorder of
the hand. Epidemiologic studies have reported significant variation in disease
prevalence among races, focusing primarily on those of northern European descent.
In contrast, Dupuytren's disease in the Hispanic population has received little
attention. Thus, in this study, the authors aimed to determine the prevalence and
operative rate of Dupuytren's disease in the Hispanic, black, white, Asian,
Native American, and other races and to characterize the disease presentation in
Hispanics who required surgical treatment. METHODS: A retrospective review was
conducted to identify the racial distribution of Dupuytren's disease patients
seen at Bellevue Hospital between July of 2000 and August of 2010. In Hispanic
patients requiring surgical treatment for their disease, data were collected on
the following parameters: age, sex, ethnicity, hand dominance, hand affected, and
digits operated on. Epidemiologic factors including smoking, alcoholism, diabetes
mellitus, hypercholesterolemia, epilepsy, and hypertension were also evaluated.
RESULTS: Dupuytren's disease prevalence was found to be 533 per 100,000 in
Hispanics. Of these patients, 1.8 percent required surgical treatment, and this
group was characterized by the following comorbidities: smoking (57.1 percent),
hypertension (57.1 percent), alcoholism (52.4 percent), diabetes mellitus (47.6
percent), and hypercholesterolemia (19.0 percent). CONCLUSIONS: The authors'
results indicate that Dupuytren's disease is more prevalent in the Hispanic
population than previously reported. Although the epidemiologic factors
identified in Hispanics with Dupuytren's disease are similar to those in other
races investigated, there are important differences with respect to clinical
presentation and surgical treatment.
PMID- 22094745
TI - Anatomical relationship of Roos' type 3 band and the T1 nerve root.
AB - BACKGROUND: The most common clinical presentation of thoracic outlet syndrome
stems from compression of the lower trunk of the brachial plexus or ulnar nerve.
A plethora of anatomical variations have been implicated in the cause of thoracic
outlet syndrome. Roos' identification and classification of scalene muscle and
fibrous bands have added an additional dimension to understanding its cause and
subsequent treatment. Understanding the anatomy and relationship of this band
with the lower trunk of the brachial plexus is of paramount importance. METHODS:
As part of the authors' long-term study of the type 3 band in relation to the
brachial plexus, 70 embalmed cadavers were dissected to yield 100 first thoracic
ribs. Fibrous bands, when present, were studied in relation to the brachial
plexus. RESULTS: A fibrous band consistent with Roos' type 3 was identified and
found to occur in 35 percent of first ribs and 41 percent of cadavers. The mean
size was 3.45 * 0.27 cm. Origins and insertions are described. Contrary to Roos'
own description, however, the authors observed that Roos' type 3 band was
anterior to the T1 nerve root, creating a tunnel through which the T1 nerve root
must pass before joining the C8 nerve root. CONCLUSIONS: The authors believe that
the type 3 band, when present, can create a tunnel that compresses the T1 nerve
root against the first rib, potentially predisposing susceptible individuals to
thoracic outlet syndrome. Clinical studies are needed to determine whether
correlations between type 3 bands and thoracic outlet syndrome exist.
PMID- 22094746
TI - Limited reduction cranioplasty for the treatment of hydrocephalic macrocephaly.
AB - BACKGROUND: Hydrocephalic macrocephaly, occurring despite adequate cerebrospinal
fluid shunting, is rare, and most publications advocate near-total cranial vault
reduction procedures. The authors reviewed our series of limited reductions
(designed to minimize complications while still providing functional benefits) to
evaluate outcomes. METHODS: All patients undergoing posterior reduction
cranioplasties were retrospectively reviewed for outcomes, including operative
data, length of stay, preoperative and postoperative anthropometrics, and
complications. In addition, preoperative and postoperative motor function was
assessed using a novel scale. These data were then compared with published
series. RESULTS: Ten patients (five male, five female) underwent reduction
cranioplasties for macrocephaly at an average age of 17.9 months (range, 6 to 53
months) and were followed for an average of 41.5 months. The mean operative time
was 4.9 hours (range, 4.3 to 6.5 hours), estimated blood loss was 530 ml (range,
200 to 1500 ml), and 78 percent received blood transfusions. The average length
of hospitalization was 2.6 days. Three patients experienced complications,
including one shunt revision. The mean functional assessment scores increased
from 2.3 to 3.9 (p = 0.022), with all patients able to support their heads
postoperatively. CONCLUSIONS: Use of a limited cranioplasty technique was
associated with a hospitalization that was over 7 days shorter than has been
reported in the literature for total cranial vault reductions and with a much
lower shunt revision rate. Measurable improvements in motor function and
subjective benefits in appearance were noted, despite a more limited reduction.
Surgeons faced with this unusual condition may wish to consider performing this
smaller procedure.
PMID- 22094747
TI - A retrospective photometric study of 82 published reports of mastopexy and breast
reduction.
AB - BACKGROUND: Numerous publications claim to improve breast projection and upper
pole fullness after mastopexy or breast reduction. Fascial sutures and
"autoaugmentation" with local flaps are advocated. However, there is no objective
evidence that these efforts are effective. The author has proposed a measuring
system to quantitate results. Not only is this system useful for assessing one's
own results, but it may also be used to assess and compare results in published
studies. METHODS: Eighty-two international publications on mastopexies and breast
reductions were analyzed. The studies were grouped by technique: inverted-T
(superior/medial, central, and inferior pedicles), vertical, periareolar,
inframammary, lateral, and "other." Measurements were made using the definitions
and terminology reported separately and included breast projection, upper pole
projection, lower pole level, nipple level, breast convexity, breast parenchymal
ratio, and lower pole ratio. Areola shape was assessed. RESULTS: Breast
projection and upper pole projection were not increased significantly by any of
the mastopexy/reduction procedures or by the use of fascial sutures or
autoaugmentation techniques. Nipple overelevation was common (41.9 percent). The
incidence of the teardrop areola deformity (53.8 percent) was significantly
higher (p < 0.001) in patients treated with the open technique of nipple
placement. There was no significant difference in results when compared by follow
up times, resection weights, year of publication, or geographic region.
CONCLUSIONS: Existing mastopexy/reduction techniques do not significantly
increase breast projection or upper pole projection. Fascial sutures and
autoaugmentation techniques are ineffective. Nipple overelevation and the
teardrop areola deformity are common problems and should be avoided.
PMID- 22094748
TI - So you want to be like Leonardo da Vinci or Michelangelo? Which one are you?
PMID- 22094749
TI - How the evidence has changed my practice.
PMID- 22094755
TI - Perfusion-related complications are similar for DIEP and muscle-sparing free TRAM
flaps harvested on medial or lateral deep inferior epigastric Artery branch
perforators for breast reconstruction.
AB - BACKGROUND: Anatomical studies suggest that the deep inferior epigastric artery
(DIEA) medial branch perfuses more tissue across the midline than the lateral
branch. The authors hypothesized that unilateral deep inferior epigastric
perforator (DIEP) and muscle-sparing free transverse rectus abdominis
musculocutaneous (TRAM) flaps based on medial branch perforators would have fewer
perfusion-related complications. METHODS: The authors evaluated consecutive DIEP
or muscle-sparing TRAM free flaps definitively harvested from a single DIEA
branch. Flaps were grouped by tissue volume (hemiflaps, cross-midline flaps, or
total flaps). Primary outcome measures were fat necrosis and partial flap
necrosis. Logistic regression was used to evaluate the association between
patient and reconstruction characteristics and outcomes. RESULTS: There were 228
patients, with 120 medial (52.6 percent) and 108 lateral (47.4 percent) branch
flaps. Mean follow-up was 33.2 months. Cross-midline flaps (79.8 percent) were
the most common design. Medial and lateral branch flaps had similar rates of fat
necrosis (8.3 percent and 13.0 percent, respectively; p = 0.26) and partial flap
necrosis (3.3 percent and 2.8 percent, respectively; p = 1.0). There was no
difference in the incidence of fat necrosis between DIEP and muscle-sparing free
TRAM flaps (10.2 percent and 11.3 percent, respectively; p = 0.81) or in partial
necrosis (3.2 percent and 2.8 percent, respectively; p = 1.0). Medial and lateral
branch flap perfusion-related complications were also similar among the flap
volume classifications. CONCLUSIONS: The authors suggest that surgeons base their
decisions regarding DIEA branch harvest on the clinical assessment of perforator
perfusion quality rather than relying on the theoretical benefit of medial branch
perforator harvest. CLINICAL QUESTION/LEVEL OF EVIDENCE: Therapeutic, III.
PMID- 22094756
TI - Discussion: perfusion-related complications are similar for DIEP and muscle
sparing free TRAM flaps harvested on medial or lateral deep inferior epigastric
artery branch perforators for breast reconstruction.
PMID- 22094757
TI - Simultaneous scarless contralateral breast augmentation during unilateral breast
reconstruction using bilateral differentially split DIEP flaps.
AB - BACKGROUND: : Simultaneous contralateral augmentation is performed with
unilateral breast reconstruction to achieve pleasing and symmetric breast mounds.
This prospective study investigated the outcome of simultaneous scarless
contralateral augmentation with unilateral breast reconstruction using bilateral
differentially split deep inferior epigastric perforator (DIEP) flaps. METHODS: :
Between August of 2009 and May of 2010, six patients with a mean age of 46.2 +/-
7 years underwent unilateral breast reconstruction and simultaneous contralateral
augmentation using bilateral differentially split DIEP flaps. The ipsilateral
internal mammary vessels served as the recipient vessels for the reconstruction
split flap. The pedicle of the augmentation split flap was anastomosed to that of
the reconstruction split flap in a flow-through manner. The augmentation split
flap was inset through the midline with endoscopic assistance. The Modified
BREAST-Q questionnaire was administered preoperatively and at the 1- and 3-month
follow-up visits. RESULTS: : All flaps survived, giving a success rate of 100
percent. One reconstruction split flap required reexploration and was salvaged
successfully. Mean flap weights used for reconstruction and augmentation were 410
+/- 145 and 192 +/- 58 g, respectively. At a mean follow-up of 12.7 +/- 3.6
months, all patients were satisfied with the outcome of both reconstructed and
augmented breast mounds. There were statistical improvements in breast
satisfaction (p = 0.004), psychosocial function (p = 0.000), and sexual well
being (p = 0.004) postoperatively, as assessed by the Modified BREAST-Q.
CONCLUSION: : Simultaneous scarless contralateral breast augmentation can be
performed safely during unilateral breast reconstruction using bilateral
differentially split DIEP flaps with satisfactory outcome. CLINICAL
QUESTION/LEVEL OF EVIDENCE: : Therapeutic, IV.
PMID- 22094758
TI - Discussion: simultaneous scarless contralateral breast augmentation during
unilateral breast reconstruction using bilateral differentially split DIEP flaps.
PMID- 22094759
TI - Transverse upper gracilis flap as an alternative to abdominal tissue breast
reconstruction: technique and modifications.
AB - BACKGROUND: The inner thigh skin and fat based on the transverse upper gracilis
musculocutaneous flap blood supply provide an autologous donor area with
qualities favorable to microvascular breast reconstruction. The flap can be
shaped to mimic a mastectomy specimen, providing excellent contour and
projection, and has a consistent blood supply. The characteristics and skin color
of the flap allow for immediate nipple-areola complex reconstruction in skin
sparing mastectomy. METHODS: From 2004 to 2007, the authors performed 32 free
inner thigh flap microvascular breast reconstructions after mastectomy for breast
cancer in 20 patients, in both delayed and immediate settings. RESULTS: All flaps
survived without any soft-tissue loss or fat necrosis. Complications were a
single take-back for venous thrombosis with salvage, donor-site skin breakdown in
eight flaps, and five seromas. There was no functional loss at the donor site,
and all patients resumed normal activity. CONCLUSIONS: Transverse upper gracilis
musculocutaneous flap microvascular breast reconstruction is an excellent option
for patients who desire autologous reconstruction and who do not have adequate
abdominal donor tissue or who do not desire abdominal scarring. CLINICAL
QUESTION/LEVEL OF EVIDENCE: Therapeutic, IV.
PMID- 22094760
TI - Trials and tribulations with the inferior gluteal artery perforator flap in
autologous breast reconstruction.
AB - BACKGROUND: Perforator free flaps from the buttock serve as an alternative to
abdominally based flaps in autologous breast reconstruction. Microsurgeons often
opt to harvest tissue from the gluteal donor site because of a lack of abdominal
volume and/or quality. The authors examined the experience of a single surgeon
with the inferior gluteal artery perforator (IGAP) flap and provide a
quantitative outcomes comparison with the deep inferior epigastric perforator
(DIEP) flap. METHODS: A retrospective review was performed of patients who
underwent IGAP flap surgery for autologous breast reconstruction from August of
2005 to October of 2010 performed by a single surgeon (J.M.S.). RESULTS: Thirty
one inferior gluteal artery perforator flaps were performed on 24 patients. Mean
follow-up time was 24.4 months (range, 6 to 65 months). The total flap loss rate
was 6.5 percent, and the take-back rate was 13 percent (salvage rate, 75
percent). Vascular complication rates were as follows: intraoperative arterial
thrombosis, 13 percent; intraoperative venous thrombosis, 3 percent; delayed
arterial thrombosis, 3 percent; and delayed venous thrombosis, 13 percent.
Nineteen percent of patients had sensory complaints at the donor site that
persisted beyond 3 months postoperatively. In comparison to the DIEP flap, IGAP
flaps had a higher rate of intraoperative arterial thrombosis (13 percent versus
2.6 percent, p = 0.024) and delayed venous thrombosis (13 percent versus 1.5
percent, p = 0.008). CONCLUSIONS: Review of the IGAP flap reveals some
shortcomings of this flap even in the hands of an experienced microsurgeon.
Surgeons should be aware of the difficulties and limitations when choosing this
flap for reconstruction.
PMID- 22094761
TI - Discussion: trials and tribulations with the inferior gluteal artery perforator
flap in autologous breast reconstruction.
PMID- 22094762
TI - The lateral chest wall: a separate aesthetic unit in breast surgery.
AB - BACKGROUND: The lateral chest wall is an aesthetic unit often overlooked in
breast surgery. Abnormalities are often seen in candidates for aesthetic and
reconstructive breast surgery and in the massive weight loss population.
Preoperative evaluation of the lateral chest wall is necessary to address this
area properly. These deformities are intimately associated with the final outcome
of any breast operation. METHODS: To better define deformities of the lateral
chest wall, a series of 522 patients who had aesthetic or reconstructive breast
surgery was reviewed retrospectively. The preoperative and postoperative
photographs were evaluated by two surgeons independently. Any surgical approaches
used to correct lateral chest wall deformities were documented. RESULTS: In
evaluating the lateral chest wall, the authors identified three subunit areas
that need to be addressed to maximize aesthetic result: the axilla, the lateral
breast, and the chest wall. Deficiency and excess of skin and fat contribute to
any deformities in this region; deficiency or excess was found in 39 percent of
patients. These deformities, when identified, were surgically addressed in 40
percent of patients, as management strategies included transfer of autologous
tissue, fat injection, liposuction, or direct excision. CONCLUSIONS: Lateral
chest wall deformities are often found among breast surgery candidates and can
affect the final outcome. This area should be treated as a separate aesthetic
unit from the breast. Patients with deficiency or excess should be counseled
appropriately, as proper treatment may require procedures in addition to the
primary breast procedure. The classification system presented can serve as a
guideline for management of deformities in this region.
PMID- 22094763
TI - Discussion: the lateral chest wall: a separate aesthetic unit in breast
surgery.
PMID- 22094764
TI - The significance of latissimus dorsi flap innervation in delayed breast
reconstruction: a prospective randomized study-magnetic resonance imaging and
histologic findings.
AB - BACKGROUND: It is controversial whether surgical denervation of the thoracodorsal
nerve should be performed in breast reconstruction with a myocutaneous latissimus
dorsi flap. Denervation may prevent discomforting symptoms caused by muscle
contraction, but the flap may also lose significant volume. The authors
prospectively evaluated the influence of latissimus dorsi flap innervation on the
latissimus dorsi muscle structure in delayed breast reconstruction. METHODS:
Between 2007 and 2008, 28 breast reconstructions were performed and divided
randomly into the denervation group (surgical denervation by excision of 1 cm of
thoracodorsal nerve, n = 14) and the intact group (thoracodorsal nerve saved
intact, n = 14). Muscle biopsy specimens were taken during the operation and 6
months after reconstruction. Histologic (hematoxylin and eosin),
immunohistochemical (human developmental, neonatal, slow, and fast myosin heavy
chains), and morphometric analyses were performed. Magnetic resonance imaging of
the breasts was performed 1 and 12 months after surgery. RESULTS: There was a
significant decrease in type I and type II myofiber diameters from 0 to 6 months
in both groups. Denervation caused more significant atrophy than disuse alone.
However, there was no significant difference in flap thickness between groups
that can be explained by more pronounced fatty tissue infiltration in the
denervation group. CONCLUSIONS: The authors' data suggest that the volume and
consistency of the flap remain more or less the same, regardless of whether the
thoracodorsal nerve is cut or not. Thus, in their practice, the authors do not
cut the nerve to save surgical time. CLINICAL QUESTION/LEVEL OF EVIDENCE:
Therapeutic, II.
PMID- 22094765
TI - Discussion: the significance of latissimus dorsi flap innervation in delayed
breast reconstruction: a prospective randomized study-magnetic resonance imaging
and histologic findings.
PMID- 22094766
TI - Mast cells are required in the proliferation and remodeling phases of
microdeformational wound therapy.
AB - BACKGROUND: Mast cells are important in numerous inflammatory processes. They are
also mechanosensitive and likely play an important role in wound healing. The
authors hypothesized that mechanical alteration of the wound environment with a
distributed suction device could link mast cells to the healing cascade. METHODS:
Controlled uniform full-thickness wound surface microdeformations were induced by
suction combined with an open-pore polyurethane foam (microdeformational wound
therapy) in mast cell-deficient WWv mice and their mast cell-sufficient
littermates. Wound healing parameters were assessed in the inflammatory,
proliferative, and remodeling phases of healing. RESULTS: Wound tissue
granulation, cell proliferation, blood vessel sprouting, and collagen maturation
were found to be mast cell-dependent throughout the proliferating and remodeling
stages of healing. CONCLUSION: Mast cells are critical in the robust granulation
tissue response seen in microdeformational wound therapy and in the modulation of
the remodeling phase of wound healing.
PMID- 22094767
TI - Discussion: mast cells are required in the proliferation and remodeling phases
of microdeformational wound therapy.
PMID- 22094768
TI - Modulation of immune response and T-cell regulation by donor adipose-derived stem
cells in a rodent hind-limb allotransplant model.
AB - BACKGROUND: In this study, the authors investigated whether donor adipose-derived
stem cells have immunomodulatory effects, such as regulation of T cells,
modulation of related cytokines, and prolongation of composite tissue
allotransplantation survival, in a rodent hind-limb model. METHODS: Adipose
derived stem cells were obtained from donor adipose tissue and co-cultured with
CD3 T cells from allogenic splenocytes for in vitro studies. Orthotopic hind-limb
allotransplants were performed from Brown Norway to Lewis rats (day 0). Group 1
(control group) did not receive any treatment. Group 2 received cyclosporin A on
days 0 to 20. Group 3 received antilymphocyte serum (day -4 and day 1) and
cyclosporin A (days 0 to 20). Group 4 received cyclosporin A (days 0 to 20),
antilymphocyte serum (day -4 and day 1), and adipose-derived stem cells (2 * 10
cells/time administered intravenously on days 7, 14, and 21). RESULTS: Adipose
derived stem cells exert immunomodulatory effects including suppressing T-cell
proliferation and increasing CD4/CD25/Foxp3 regulatory T cells in vitro. The in
vivo study revealed that, compared with untreated control, rats administered
adipose-derived stem cells along with transient antilymphocyte serum and
cyclosporin A treatment had significantly prolonged allotransplant survival (p <
0.001), decreased allotissue rejection, significantly elevated donor cell
chimerism, and increased CD4/CD25/Foxp3 regulatory T cells in peripheral blood
and alloskin tissue with up-regulation of transforming growth factor-beta and
interleukin-10 levels. CONCLUSIONS: In combination with transient
immunosuppression, adipose-derived stem cells modulate the immune system and
significantly prolong allotransplant survival. The underlying mechanisms include
changes in antiinflammatory cytokine expression and T-cell functions.
PMID- 22094769
TI - Lack of FGF-7 further delays cutaneous wound healing in diabetic mice.
AB - BACKGROUND: The authors have previously demonstrated that normal mice lacking
fibroblast growth factor (FGF)-7 can heal cutaneous wounds normally, likely as a
result of various compensatory mechanisms. In this study, the authors explored
the role of FGF-7 on wound healing in diabetic mice. METHODS: Full-thickness
excisional dorsal wounds were created in FGF-7-null diabetic (FGF-7 Lepr,
experimental group, n = 8), FGF-7-null (FGF-7 Lepr, FGF-7-null group, n = 8),
diabetic (FGF-7 Lepr, diabetic group, n = 11), and wild-type (FGF-7 Lepr, wild
type group, n = 11) mice. Wound closure was followed by digital planimetry. Wound
tissues were harvested on day 7 for immunohistochemical staining of cell
proliferation (Ki67) and real-time polymerase chain reaction. RESULTS: As
expected, the experimental and diabetic groups had significantly slower wound
healing than the FGF-7-null or wild-type group. The absence of FGF-7, however,
further delayed wound healing in diabetic mice. Curiously, the contraction rate
in the experimental group was significantly lower than that in the diabetic
group, whereas the epithelialization rate in experimental mice was comparable to
that in the diabetic group. Real-time polymerase chain reaction expression of
growth factors, including transforming growth factor-beta1, basic fibroblast
growth factor, and epidermal growth factor in experimental mice, was also
generally lower than that in diabetic mice. CONCLUSIONS: Although the lack of FGF
7 did not appear to affect reepithelialization of cutaneous wounds even in
diabetic mice, it significantly reduced the wound contraction rate of healing by
further altering the dermal components in diabetic mice. Given the specifically
targeted effects of FGF-7 on epithelial cells, the authors' findings suggest that
further FGF-7-dependent epithelial-mesenchymal interaction exists that may be
important in diabetic wound healing.
PMID- 22094770
TI - Update on ischemia-reperfusion injury for the plastic surgeon: 2011.
AB - Ischemia-reperfusion injury occurs when tissue is reperfused following a
prolonged period of ischemia. It is a subject of interest to plastic surgeons
involved in replantation, free tissue transfer, and composite tissue
allotransplantation, as it can have a significant impact on the overall success
of these procedures. The purpose of this article is to review the recent progress
in the investigation of ischemia-reperfusion injury in skeletal muscle and skin
and to highlight the potential clinical implications of therapeutic interventions
aimed at reducing ischemia-reperfusion injury.
PMID- 22094771
TI - Discussion: treatment of facial paralysis: dynamic reanimation of spontaneous
facial expression-apropos of 655 patients.
PMID- 22094772
TI - An update on facial transplantation cases performed between 2005 and 2010.
AB - BACKGROUND: Since 2005, 13 facial allotransplantation cases have been performed
worldwide. The major indications for these facial allotransplantations were
neurofibromatosis and trauma injuries, including animal bites, burns, falls, and
shotgun blasts. METHODS: An analysis of 13 facial transplantation cases was
performed by reviewing the anatomical details, microsurgical techniques, and
functional outcomes according to the follow-up information based on the
literature, meeting presentations, and media reports. RESULTS: The male-to-female
ratio was 11:2. Two male patients died at 2 months and 2 years, respectively,
after transplantation because of transplant- and infection-related problems.
Eleven face transplant recipients are alive. The composite tissue allotransplants
included cutaneous, myocutaneous, and osteomyocutaneous components. Most of these
facial allotransplants were partial, one was nearly total, and two were announced
as total face transplantations. CONCLUSIONS: This report provides a useful
overview of the technical aspects of face transplantation; however, the reports
on long-term functional and aesthetic outcomes will help to define the future of
face transplantation.
PMID- 22094773
TI - Use of desmopressin for unremitting epistaxis following septorhinoplasty and
turbinectomy.
AB - BACKGROUND: Cauterization, nasal packing, and topical and/or injection of
intranasal vasoconstrictors have been the mainstay of treatment for epistaxis
following outpatient nasal surgery. In this study, the authors report the
clinical outcomes in a cohort of patients with postoperative epistaxis managed
with a single dose of intravenous desmopressin. METHODS: A retrospective chart
review of 268 consecutive nasal operations (rhinoplasty, septoplasty, and/or
turbinectomy for cosmetic and/or functional purposes) was conducted. Information
on demographics, perioperative blood pressure, postoperative management, and
effectiveness of the measures used was assessed. The primary outcome variable was
cessation of bleeding. RESULTS: Nine patients were identified who experienced
excessive postoperative bleeding following discharge from the surgical facility.
Each patient received 0.3 MUg/kg of intravenous desmopressin over 30 minutes
under the supervision of the local emergency room physician with verbal
instructions from the treating plastic surgeon. After administration of
desmopressin, bleeding either stopped completely (eight patients) or slowed down
significantly to allow discharge (one patient). No significant adverse side
effects of desmopressin were observed. No patient was known to be taking
medication negatively affecting coagulation perioperatively. Preoperatively, two
patients were documented to have von Willebrand disease and thus received
desmopressin preoperatively. Average blood pressure was 116/71 mmHg
intraoperatively (range, 109 to 126/66 to 83 mmHg) and 118/74 mmHg
postoperatively (range, 105 to 129/65 to 85 mmHg). CONCLUSION: Unremitting
postoperative epistaxis following outpatient nasal surgery can be successfully
controlled by a protocol using intravenous desmopressin without the need for
alternative maneuvers.
PMID- 22094774
TI - Abdominal musculoaponeuretic system: magnetic resonance imaging evaluation before
and after vertical plication of rectus muscle diastasis in conjunction with
lipoabdominoplasty.
AB - BACKGROUND: The purposes of this study were to compare preoperative magnetic
resonance imaging and intraoperative measurements of rectus diastasis and to
evaluate the long-term durability of the plication of the anterior rectus fascia.
METHODS: Twenty consecutive cases of middle-aged female subjects undergoing
lipoabdominoplasty and rectus plication were studied by magnetic resonance
imaging preoperatively and between 6 months and 25 months postoperatively. Images
were obtained in the T1 axial, T2 axial, sagittal, and coronal planes. Rectus
diastasis was measured at the maximum. Rectus muscle thickness and width were
measured, and abdominal circumferences were measured in the anteroposterior and
transverse planes at the midpoint from the xiphisternum to the umbilicus and the
midpoint from the umbilicus to the symphysis pubis corresponding approximately to
the lumbar-2 and sacral-3 vertebral bodies. RESULTS: The absence of diastasis can
be precisely measured by magnetic resonance imaging. Postoperative diastasis was
not seen in any of the cases followed up to 25 months. The preoperative magnetic
resonance imaging diastasis values were consistently less than the intraoperatve
measurements; however, this was attributed to muscle relaxation at surgery due to
muscle relaxants during general anesthesia. There was a significant reduction in
waistlines in both the anteroposterior and transverse dimensions measured by
magnetic resonance imaging. CONCLUSIONS: Surgical repair of rectus muscle
diastasis is a durable procedure, and magnetic resonance imaging follow-up is an
excellent way to see the durability of the procedure. Magnetic resonance imaging
is not operator-dependent and has no interobserver variations. It has the
advantage of being a safe, radiation-free procedure with repeatability and
dependability.
PMID- 22094775
TI - Establishing a multidisciplinary academic cosmetic center.
AB - The demand for cosmetic services has risen rapidly in recent years, but has
slowed down with the current economic downturn. Managed care organizations and
Medicare have been steadily reducing their reimbursements for physician services.
The payment for reconstructive surgical procedures has been decreasing and is
likely to worsen with healthcare reform, and many plastic surgery residency
programs are facing fiscal challenges. An adequate volume of patients needing
cosmetic services is necessary to recruit and train the best candidates to the
residency programs. Self-pay patients will help ensure the fiscal viability of
plastic surgery residency programs. Attracting patients to an academic healthcare
center will become more difficult in a recession without the appropriate
facilities, programs, and pricing strategies. Setting up a modern cosmetic
services program at an academic center has some unique challenges, including
funding, academic politics, and turf. The authors opened a free-standing academic
multidisciplinary center at their medical school 3 years ago. The center is an
off-site, 13,000-sq ft facility that includes faculty from plastic surgery, ear,
nose, and throat, dermatology, and vascular surgery. In this article, the authors
discuss the process of developing and executing a plan for starting an aesthetic
services center in an academic setting. The financing of the center and factors
in pricing services are discussed. The authors show the impact of the center on
their cosmetic surgery patient volumes, resident education, and finances. They
expect that their experience will be helpful to other plastic surgery programs at
academic medical centers.
PMID- 22094776
TI - Face lift.
AB - LEARNING OBJECTIVES: After reading this article, the participant should be able
to: 1. Identify and describe the anatomy of and changes to the aging face,
including changes in bone mass and structure and changes to the skin, tissue, and
muscles. 2. Assess each individual's unique anatomy before embarking on face-lift
surgery and incorporate various surgical techniques, including fat grafting and
other corrective procedures in addition to shifting existing fat to a higher
position on the face, into discussions with patients. 3. Identify risk factors
and potential complications in prospective patients. 4. Describe the benefits and
risks of various techniques. SUMMARY: The ability to surgically rejuvenate the
aging face has progressed in parallel with plastic surgeons' understanding of
facial anatomy. In turn, a more clear explanation now exists for the visible
changes seen in the aging face. This article and its associated video content
review the current understanding of facial anatomy as it relates to facial aging.
The standard face-lift techniques are explained and their various features, both
good and bad, are reviewed. The objective is for surgeons to make a better
aesthetic diagnosis before embarking on face-lift surgery, and to have the
ability to use the appropriate technique depending on the clinical situation.
PMID- 22094777
TI - Wendell L. Hughes' life and contributions to plastic surgery.
AB - Wendell L. Hughes was a pioneer in ophthalmic plastic surgery and best known for
the "Hughes flap," a tarsoconjunctival flap used for lower eyelid reconstruction.
In 1937, Wendell L. Hughes sought to achieve the criterion standard of replacing
"like with like" in his development of the tarsoconjunctival flap for lower lid
reconstruction. This work was published in his ground-breaking thesis,
Reconstructive Surgery of the Eyelids, the most comprehensive book on ophthalmic
plastic surgery of its time. Although this flap has undergone many modifications,
it has stood the test of time and is still used today. In addition, Dr. Hughes
was heavily involved in surgical education, a founding member of the American
Board of Plastic Surgery, and a leader in the development of sutures and
microneedles. More importantly, he was a gracious humanitarian and inspiring
mentor loved by peers and patients alike. Other authors have reviewed the
intricacies of the Hughes flap; however, little attention has been given to the
contributions of its creator.
PMID- 22094778
TI - Septal cartilage graft for posttraumatic ear reconstruction.
PMID- 22094780
TI - What about the informed consent for breast implantation?
PMID- 22094781
TI - Alternative method for volume improvement in autologous breast reconstruction.
PMID- 22094783
TI - Clinical analyses of clustered microcalcifications after autologous fat injection
for breast augmentation.
PMID- 22094785
TI - Discussion: macrolane for breast enhancement: 12-month follow-up.
PMID- 22094786
TI - Malrotation of the McGhan style 510 prosthesis.
PMID- 22094788
TI - Complications in postbariatric body contouring based on different weight loss
methods.
PMID- 22094790
TI - Alternative materials in vacuum-assisted closure.
PMID- 22094792
TI - Major pedicles of the sartorius muscle.
PMID- 22094794
TI - The skin irritation of common suture materials.
PMID- 22094795
TI - Plastic surgeons' satisfaction with work-life balance: results from a national
survey.
PMID- 22094797
TI - Ribosome display: a perspective.
AB - Ribosome display is an in vitro evolution technology for proteins. It is based on
in vitro translation, but prevents the newly synthesized protein and the mRNA
encoding it from leaving the ribosome. It thereby couples phenotype and genotype.
Since no cells need to be transformed, very large libraries can be used directly
in selections, and the in vitro amplification provides a very convenient
integration of random mutagenesis that can be incorporated into the procedure.
This review highlights concepts, mechanisms, and different variations of ribosome
display and compares it to related methods. Applications of ribosome display are
summarized, e.g., the directed evolution of proteins for higher binding affinity,
for higher stability or other improved biophysical parameters and enzymatic
properties. Ribosome display has developed into a robust technology used in
academia and industry alike, and it has made the cell-free Darwinian evolution of
proteins over multiple generations a reality.
PMID- 22094796
TI - Survivorship of second-generation metal-on-metal primary total hip replacement.
AB - BACKGROUND: Second generation metal-on-metal total hip replacements (THR) were
introduced in the late 1980s and various studies reported conflicting data on
their outcome. METHODS: Implant survival of 1,270 second-generation 28 mm metal
on-metal primary THR in 1,121 patients followed prospectively at a mean of 6.8
years postoperatively was evaluated retrospectively. The probability of survival
at 10 years was estimated using the method of Kaplan and Meier, and relative risk
factors including age, gender, BMI, type of implant fixation and component size
were calculated using the Cox proportional-hazards model. RESULTS: Sixty-three
(5%) THRs were revised, these being 28 hips for aseptic loosening and 35 for
reasons other than aseptic loosening. The probability of survival at 10 years,
with revision for any reason as the endpoint, was 0.90 (95% confidence interval
(CI) 0.86-0.94) for the THR as a whole, 0.91 (95% CI 0.87-0.95) for the cup, and
0.96 (95% CI 0.94-0.98) for the stem. No demographic factors or covariates were
found to significantly affect the implant survivorship. DISCUSSION: As there was
no superior probability of survival, and there have been concerns on putative
local and systemic toxicity of metal debris, the use of second-generation metal
on-metal articulations for primary THR remains moot.
PMID- 22094798
TI - Preparation and testing of E. coli S30 in vitro transcription translation
extracts.
AB - Crude cell-free extracts are useful tools for investigating biochemical phenomena
and exploiting complex enzymatic processes such as protein synthesis. Extracts
derived from E. coli have been used for over 50 years to study the mechanism of
protein synthesis. In addition, these S30 extracts are commonly used as a
laboratory tool for protein production. The preparation of S30 extract has been
streamlined over the years and now it is a relatively simple process. The
procedure described here includes some suggestions for extracts to be used for
ribosome display.
PMID- 22094799
TI - Eukaryotic ribosome display selection using rabbit reticulocyte lysate.
AB - Ribosome display is a powerful in vitro technology for the selection and directed
evolution of proteins. Cell-free translation is central to the ribosome display
process and is performed in such a way that the ribosome provides the link
between genotype and phenotype that allows genes encoding proteins with desired
properties to be identified by selection. Prokaryotic cell-free translation
reagents, based initially on E. coli cell extracts and more recently containing
purified and recombinant factors, have dominated the ribosome display literature.
Eukaryotic cell extracts are also suitable for ribosome display; however,
protocols for prokaryotic ribosome display are not directly transferable to the
use of eukaryotic cell extracts. This chapter describes an optimised methodology
for the use of rabbit reticulocyte lysate for ribosome display selections.
PMID- 22094800
TI - Stabilized ribosome display for in vitro selection.
AB - Ribosome display is a very effective and powerful technology for screening
functional peptides or polypeptides in vitro. In ribosome display, each peptide
or polypeptide (phenotype) links with its corresponding mRNA (genotype) through a
ribosome. This link can be achieved by the absence of a stop codon in the mRNA,
therefore stalling the ribosome at the end of translation with the nascent random
sequence peptide extended by a spacer outside of the ribosome tunnel. In this
chapter, we describe a method for the use of a further stabilized peptide
ribosome-mRNA complex for ribosome display.
PMID- 22094801
TI - Eukaryotic ribosome display with in situ DNA recovery.
AB - Ribosome display is a cell-free display technology for in vitro selection and
optimisation of proteins from large diversified libraries. It operates through
the formation of stable protein-ribosome-mRNA (PRM) complexes and selection of
ligand-binding proteins, followed by DNA recovery from the selected genetic
information. Both prokaryotic and eukaryotic ribosome display systems have been
developed. In this chapter, we describe the eukaryotic rabbit reticulocyte method
in which a distinct in situ single-primer RT-PCR procedure is used to recover DNA
from the selected PRM complexes without the need for prior disruption of the
ribosome.
PMID- 22094802
TI - mRNA display using covalent coupling of mRNA to translated proteins.
AB - mRNA display is a powerful technique that allows for covalent coupling of a
translated protein with its coding mRNA. The resulting conjugation between
genotype and phenotype can be used for the efficient selection and identification
of peptides or proteins with desired properties from an mRNA-displayed peptide or
protein library with high diversity. This protocol outlines the principle of mRNA
display and the detailed procedures for the synthesis of mRNA-protein fusions.
Some special considerations for library construction, generation, and
purification are discussed.
PMID- 22094803
TI - SNAP display: in vitro protein evolution in microdroplets.
AB - SNAP display is based on the covalent reaction of the DNA repair protein AGT
(O(6)-alkylguanine DNA alkyltransferase, the "SNAP-tag") with its substrate
benzylguanine (BG). Linear, BG-labelled template DNA is encapsulated in water-in
oil emulsion droplets with a diameter of a few micrometres (i.e. 1 mL of emulsion
contains ~10(10) compartments). Each droplet contains only a single DNA copy,
which is transcribed and translated in vitro. The expressed AGT fusion proteins
attach to their coding DNA via the BG label inside the droplet, which ensures
that a specific genotype-phenotype linkage is established. Subsequently, the
emulsion is broken and protein-DNA conjugates, which constitute a DNA-tagged
protein library, selected via affinity panning. This method will prove a useful
addition to the array of in vitro display systems, distinguished by the stability
of DNA as the coding nucleic acid and the covalent link between gene and protein.
PMID- 22094805
TI - Optimisation of antibody affinity by ribosome display using error-prone or site
directed mutagenesis.
AB - Affinity optimisation of antibodies can be achieved with great success by using
directed evolution approaches, that is, the creation of and selection from
diverse libraries. Here, we describe in detail methods to optimise antibody
affinity for an antigen through directed evolution using ribosome display.
Diversification of antibody single chain variable (scFv) domains is carried out
by error-prone PCR and oligonucleotide-directed mutagenesis to generate random
and targeted libraries respectively. Subsequent libraries are converted to
ribosome display format and taken through cycles of transcription, translation,
and selection. Since the starting point and the recovered product are linear DNA,
this can easily be manipulated further to allow accumulation of beneficial
mutations through iterative cycles of selection.
PMID- 22094804
TI - cDNA display: rapid stabilization of mRNA display.
AB - The cDNA display method is a robust in vitro display technology that converts an
unstable mRNA-protein fusion (mRNA display) to a stable mRNA/cDNA-protein fusion
(cDNA display) whose cDNA is covalently linked to its encoded protein using a
well-designed puromycin linker. We provide technical details for preparing cDNA
display molecules and for the synthesis of the puromycin linker for the purpose
of screening the functional proteins and peptides.
PMID- 22094806
TI - Affinity maturation of phage display antibody populations using ribosome display.
AB - Ribsosome display is a PCR-based in vitro display technology that it well suited
for the selection and evolution of high-affinity antibodies. In particular,
ribosome display lends itself to the evolution of functional characteristics,
such as potency, and thereby facilitates the production of therapeutic antibodies
from lead candidates. In this chapter, we describe how to mature large phage
display antibody populations (>10(7)) by performing increasingly stringent
selections with decreasing antigen concentration. This process takes advantage of
ribosome display's intrinsic ability to evolve sequence during selection.
Ribosome display can also be used as a complementary tool to phage display for
isolating high-affinity antibodies from naive libraries. Ultimately, maturation
of large antibody populations by ribosome display will help to speed up the
process of generating antibody therapeutics.
PMID- 22094807
TI - Evolution of protein stability using ribosome display.
AB - The opportunity to enhance protein stability has a number of potential benefits
for biological therapeutics - for example extending in vivo half-life, enabling a
longer shelf life, reducing the propensity to aggregate, or enabling soluble
expression. Engineering protein stability has been attempted empirically,
rationally, and using directed evolution based on phage display. Ribosome display
is a powerful in vitro technology for the selection and directed evolution of
proteins. Ribosome display is typically used for the generation of high-affinity
proteins and peptides. This method extends the utility of ribosome display to
selecting for stability, defined as the propensity of a molecule to exist in its
folded and active state.
PMID- 22094808
TI - Selection of lead antibodies from naive ribosome display antibody libraries.
AB - A large antibody fragment library (>10(12)) has been generated in ribosome
display format. The library was constructed in a two-step process. First,
variable (V) genes were isolated from human B cells from a panel of 14 donors and
cloned into designated ribosome display vectors to create a gene bank. Second, RD
VH and RD-VL genes from individual immunoglobulin families were combined in vitro
resulting in 112 scFv ribosome display sub-libraries. These were subsequently
pooled to form a master library.This library was used to isolate a panel of
antibodies to the IL4 receptor by three rounds of selections on a soluble target.
PMID- 22094809
TI - Evolution of disulfide-rich peptide aptamers using cDNA display.
AB - Protein scaffolds containing some disulfide bonds (e.g., Knottin, Kunitz domain,
etc.) are promising candidates for molecular recognition. cDNA display has been
developed to screen functional disulfide-rich peptide aptamers from a vast
library by promoting disulfide bond shuffling after the synthesis of peptides in
a cell-free translation system. Here we present a detailed protocol for the
selection of disulfide-rich peptide aptamers against interleukin 6 receptor (IL
6R) from a 35-amino acid peptide library containing 32 amino acids in the random
region, which is linked to its genotype by cDNA display.
PMID- 22094810
TI - Peptide screening using PURE ribosome display.
AB - To demonstrate directed protein evolution or selection of functional
polypeptides, ribosome display is one of the most ideal technologies of
evolutionary engineering. Intrinsic components, such as nucleases in the cell
extract-based cell-free protein synthesis systems, reduce the stability of the
messenger RNA-ribosome-polypeptide ternary complex, thereby preventing the
attainment of reliable results. To overcome this problem, we have developed an
effective and highly controllable ribosome display system using the protein
synthesizing using recombinant elements (PURE) system. Since the activities of
nucleases and other inhibitory factors are very low in the PURE system, the
ternary complex is highly stable and the selected mRNA can be reliably recovered.
Using this system, we were able to select peptides that specifically bind to
monoclonal antibodies from random peptide libraries. The advantages of the
modified PURE system for ribosome display strongly substantiate its usability.
PMID- 22094811
TI - Rapid selection of high-affinity binders using ribosome display.
AB - Ribosome display has proven to be a powerful in vitro selection and evolution
method for generating high-affinity binders from libraries of folded proteins. It
has been successfully applied to single-chain Fv fragments of antibodies and
alternative scaffolds, such as Designed Ankyrin Repeat Proteins (DARPins). High
affinity binders with new target specificity can be obtained from highly diverse
DARPin libraries in only a few selection rounds. In this protocol, the selection
from the library and the process of affinity maturation and off-rate selection
are explained in detail.
PMID- 22094812
TI - mRNA display-based selections using synthetic peptide and natural protein
libraries.
AB - mRNA display is a powerful in vitro selection technique that can be applied
toward the identification of peptides or proteins with desired properties. The
physical conjugation between a protein and its own RNA presents unique challenges
in manipulating the displayed proteins in an RNase-free environment. This
protocol outlines the generation of synthetic peptide and natural proteome
libraries as well as the steps required for generation of mRNA-protein fusion
libraries, in vitro selection, and regeneration of the selected sequences. The
selection procedures for the identification of Ca(2+)-dependent, calmodulin
binding proteins from synthetic peptide and natural proteome libraries are
presented.
PMID- 22094813
TI - Identification of candidate vaccine genes using ribosome display.
AB - In vitro protein selection methods that are not biased by the context of living
organisms allow the screening of genomic expression libraries against a large
number of different ligands. As such, ribosome display is a powerful technology
for the in vitro selection of proteins or peptides from large PCR-derived
libraries. Libraries can be generated from the genomic fragments of pathogens,
thus allowing potential vaccine genes and the immunologically relevant proteins
of pathogens to be identified and mapped using ribosome display. This chapter
describes a methodology for the use of ribosome display for the identification of
potential vaccine genes for the bacterial pathogen APP-5.
PMID- 22094814
TI - Ribosome display for the selection of Sac7d scaffolds.
AB - Combinatorial libraries of Sac7d have proved to be a valuable source of proteins
with favorable biophysical properties and novel ligand specificities, so-called
Nanofitins. Thus, Sac7d represents a promising scaffold alternative to antibodies
for biotechnological and potentially clinical applications. We describe here the
methodology for the construction of a library of Sac7d and its use for selection
by ribosome display.
PMID- 22094815
TI - Charging of tRNAs using ribozymes and selection of cyclic peptides containing
thioethers.
AB - In vitro selection methods represent a powerful approach toward identifying high
affinity peptide ligands from highly diverse peptide libraries against a desired
target. We herein describe a method for the display and selection of cyclic
thioether peptide libraries. Reprogramming the initiation event from fMet to an N
chloroacetyl-amino acid by utilizing flexizyme to rapidly and efficiently prepare
the aa-tRNA can be effectively used to initiate translation, upon which the thiol
group of an inserted cysteine at the C terminus of the designed library
spontaneously reacts to yield a nonreducible cyclic thioether peptide readily
compatible with any in vitro display methods. Thus, cyclic peptides already in a
nonreducible stable form can be selected directly against the target of interest.
PMID- 22094816
TI - Update on pure translation display with unnatural amino acid incorporation.
AB - The identification of peptide and protein ligands by directed evolution in vitro
has been of enormous utility in molecular biology and biotechnology. However, the
translation step in almost all polypeptide selection methods is performed in vivo
or in crude extracts, restricting applications. These restrictions include a
limited library size due to transformation efficiency, unwanted competing
reactions in translation, and an inability to incorporate multiple unnatural
amino acids (AAs) with high fidelity and efficiency. These restrictions can be
addressed by "pure translation display" where the translation step is performed
in a purified system. To date, all pure translation display selections have
coupled genotype to phenotype in a ribosome display format, though other formats
also should be practical. Here, we detail the original, proof-of-principle, pure
translation-display method because this version should be the most suitable for
encoding multiple unnatural AAs per peptide product toward the goal of
"peptidomimetic evolution." Challenges and progress toward this ultimate goal are
discussed and are mainly associated with improving the efficiency of ribosomal
polymerization of multiple unnatural AAs.
PMID- 22094817
TI - In vitro selection of unnatural cyclic peptide libraries via mRNA display.
AB - The ribosomal synthesis of drug-like peptides containing unnatural amino acids is
possible due to the broad substrate specificity of the ribosome. In this
protocol, a reconstituted Escherichia coli ribosomal translation system (PURE) is
adapted to incorporate unnatural amino acids into mRNA-displayed peptide
libraries, which are used in in vitro selection.
PMID- 22094818
TI - Optimization of CAT-354, a therapeutic antibody directed against interleukin-13,
using ribosome display.
AB - In this case study, we describe the use of in vitro protein evolution with
ribosome display to improve the potency of a human interleukin-13-neutralising
antibody by a factor of over 200-fold and derive a therapeutic candidate, CAT
354, for the treatment of asthma. A combination of directed and random
mutagenesis enabled the identification of highly potent neutralising antibodies
and highlighted the advantage of the ribosome display protein evolution approach
in identifying beneficial mutations across the entire sequence space. This
chapter describes in detail the process followed to achieve a successful in vitro
affinity maturation outcome using ribosome display technology.
PMID- 22094819
TI - Affinity maturation and functional dissection of a humanised anti-RAGE monoclonal
antibody by ribosome display.
AB - The pursuit of more potent, safe, and cost-effective drugs has placed a greater
emphasis on antibody optimisation within the drug discovery process. Technologies
to rapidly improve antibody drug performance, such as phage display, ribosome
display, and yeast display, are playing a key role in this effort. Among these
ribosome display is a particularly powerful technology and has recently been
applied to the affinity optimisation of a humanised anti-receptor for advanced
glycation end products (anti-RAGE) antibody (Finlay et al., J Mol Biol 388:541
558, 2009). By using a combination of error-prone PCR with ribosome display each
amino acid position within this humanised antibody was scanned for both its
functional importance and its capacity to increase affinity resulting in both
affinity-matured antibody variants and a functional map of the antibody paratope.
PMID- 22094820
TI - Crystallographic relationships in the crossed lamellar microstructure of the
shell of the gastropod Conus marmoreus.
AB - The crossed lamellar microstructure of mollusk shells shows a very complex
hierarchical architecture constituted of long rod-shaped aragonite crystals
stacked parallel to each other inside each first order lamella, which are almost
perpendicular to the ones contained in parallel neighboring lamellae. To better
understand the construction and properties of the crossed lamellar microstructure
we have performed a detailed study to determine the crystallographic
characteristics and their evolution during shell growth using scanning electron
microscopy, transmission electron microscopy and X-ray diffraction texture
analysis. The arrangement of crystals is rationalized by a set of twin law
relationships between aragonite crystals. Specifically, the aragonite rods, or
third order lamellae within each first order lamella, internally consist of
polysynthetic twins bounded by {110} mirror planes. In turn, the
polysynthetically twinned aragonite crystals also show a constant
crystallographic orientation with respect to aragonite crystals in adjacent first
order lamellae. It can be seen as another twin law in which crystals from
adjacent lamellae are bounded by (110) planes but with their c-axes rotated
within this plane by 30 degrees . Thus there are two sets of twin laws that
relate crystal units at lower (third order lamellae) and higher (first order
lamellae) length scales. These hierarchical relationships play a crucial role in
the construction, organization and properties of this complex microstructure. The
later orientational relationships have never been described in geological
aragonite and are only found in biogenic materials with a crossed lamellar
microstructure. Their occurrence is probably determined by the presence of shell
organic components which regulate crystal growth and may favor unusual
crystallographic relationships.
PMID- 22094822
TI - A digital microfluidic platform for primary cell culture and analysis.
AB - Digital microfluidics (DMF) is a technology that facilitates electrostatic
manipulation of discrete nano- and micro-litre droplets across an array of
electrodes, which provides the advantages of single sample addressability,
automation, and parallelization. There has been considerable interest in recent
years in using DMF for cell culture and analysis, but previous studies have used
immortalized cell lines. We report here the first digital microfluidic method for
primary cell culture and analysis. A new mode of "upside-down" cell culture was
implemented by patterning the top plate of a device using a fluorocarbon liftoff
technique. This method was useful for culturing three different primary cell
types for up to one week, as well as implementing a fixation, permeabilization,
and staining procedure for F-actin and nuclei. A multistep assay for monocyte
adhesion to endothelial cells (ECs) was performed to evaluate functionality in
DMF-cultured primary cells and to demonstrate co-culture using a DMF platform.
Monocytes were observed to adhere in significantly greater numbers to ECs exposed
to tumor necrosis factor (TNF)-alpha than those that were not, confirming that
ECs cultured in this format maintain in vivo-like properties. The ability to
manipulate, maintain, and assay primary cells demonstrates a useful application
for DMF in studies involving precious samples of cells from small animals or
human patients.
PMID- 22094823
TI - Selectively bonded polymeric glaucoma drainage device for reliable regulation of
intraocular pressure.
AB - A novel glaucoma drainage device (GDD) using a polymeric micro check valve with
no reverse flow is presented for the effective regulation of intraocular pressure
(IOP). A significant functional improvement was achieved by reducing the possible
incidence of hypotony, as the proposed GDD only drains aqueous humor at a certain
cracking pressure or higher. The device consists of three biocompatible polymer
layers: a top layer (cover), an intermediate layer (membrane), and a bottom layer
(base plate with a cannula). All three layers, made of soft polydimethylsiloxane
(PDMS), were bonded together to realize the thin GDDs. The bottom layer was
selectively coated with chromium (Cr)/gold (Au) to prevent stiction between the
valve seat and the valve orifice so that the device could show enhanced
reliability in operation and high yield in production. Two types of polymeric
devices were fabricated; one was a glaucoma drainage device for humans (GDDH) and
the other was a glaucoma drainage device for animals (GDDA). From subsequent in
vitro tests, the cracking pressures were 18.33 +/- 0.66 mmHg (mean +/- standard
deviation) for GDDH and 12.42 mmHg for GDDA, both of which were very close to the
corresponding normal IOPs. From in vivo tests of GDDA, the IOP of all implanted
devices was properly regulated within the target pressure (10-15 mmHg). The
experimental results showed that the proposed polymeric GDD has high potential
for use in the treatment of glaucoma disease in terms of its repeatability of the
cracking pressure and patients' relief from post-operative discomfort.
PMID- 22094821
TI - Combinatorial insulin secretion dynamics of recombinant hepatic and
enteroendocrine cells.
AB - One of the most promising cell-based therapies for combating insulin-dependent
diabetes entails the use of genetically engineered non-beta cells that secrete
insulin in response to physiologic stimuli. A normal pancreatic beta cell
secretes insulin in a biphasic manner in response to glucose. The first phase is
characterized by a transient stimulation of insulin to rapidly lower the blood
glucose levels, which is followed by a second phase of insulin secretion to
sustain the lowered blood glucose levels over a longer period of time. Previous
studies have demonstrated hepatic and enteroendocrine cells to be appropriate
hosts for recombinant insulin expression. Due to different insulin secretion
kinetics from these cells, we hypothesized that a combination of the two cell
types would mimic the biphasic insulin secretion of normal beta cells with higher
fidelity than either cell type alone. In this study, insulin secretion
experiments were conducted with two hepatic cell lines (HepG2 and H4IIE)
transduced with 1 of 3 adenoviruses expressing the insulin transgene and with a
stably transfected recombinant intestinal cell line (GLUTag-INS). Insulin
secretion was stimulated by exposing the cells to glucose only (hepatic cells),
meat hydrolysate only (GLUTag-INS), or to a cocktail of the two secretagogues. It
was found experimentally that the recombinant hepatic cells secreted insulin in a
more sustained manner, whereas the recombinant intestinal cell line exhibited
rapid insulin secretion kinetics upon stimulation. The insulin secretion profiles
were computationally combined at different cell ratios to arrive at the
combinatorial kinetics. Results indicate that combinations of these two cell
types allow for tuning the first and second phase of insulin secretion better
than either cell type alone. This work provides the basic framework in
understanding the secretion kinetics of the combined system and advances it
towards preclinical studies.
PMID- 22094824
TI - Integration of nanoparticle cell lysis and microchip PCR for one-step rapid
detection of bacteria.
AB - This paper describes an integrated microchip system as an efficient and cost
effective solution involving Nanotechnology and Lab-on-a-Chip technology for the
rapid detection of bacteria. The system is based on using surface-modified gold
nanoparticles for efficient cell lysis followed by microchip PCR without having
to remove the nanoparticles from the PCR solution. Poly(quaternary ammonium)
modified gold nanoparticles are used to provide a novel and efficient cell lysis
method without the need to go through time-consuming, expensive and complicated
microfabrication processes as most of current cell lysis methods for Lab-on-a
Chip applications do. It also facilitates the integration of cell lysis and PCR
by sharing the same reaction chamber as PCR uses. It is integrated with a
prototype microchip PCR system consisting of a physical microchip PCR device and
an automated temperature control mechanism. The research work explores solutions
for the problem of PCR inhibition caused by gold nanoparticles as well as for the
problem of non-specific PCR amplification in the integrated microchip system. It
also explores the possibility of greatly reducing PCR cycling time to achieve the
same result compared to the protocol for a regular PCR machine. The simplicity of
the setup makes it easy to be integrated with other Lab-on-a-Chip functional
modules to create customized solutions for target applications.
PMID- 22094825
TI - Improvements in speech perception after the upgrade from the TEMPO+ to the OPUS 2
audio processor.
AB - OBJECTIVE: To assess if speech perception improves after the upgrade from the
TEMPO+ to the OPUS 2 processor. METHOD: In this retrospective study, 45 subjects
(54 ears) implanted with a cochlear implant were upgraded from the TEMPO+
(continuous interleaved sampling + coding strategy) to the OPUS 2 processor (fine
structure processing). Before the upgrade, patients were tested with the Freiburg
monosyllable (FM) and the Hochmair-Schulz-Moser (HSM) sentence test in quiet and
in noise. Four weeks after the upgrade, subjects were again assessed with the
same tests. RESULTS: In quiet, mean FM results improved from 62.0% (+/-21.3%) to
77.8% (+/-15.2%) and HSM sentences from 69.9% (+/-26.1%) to 77.9% (+/-22.1%). In
noise, mean FM results improved from 27.3% (+/-17.1%) to 52.1% (+/-18.6%) and HSM
sentences from 40.4% (+/-29.1%) to 58.0% (+/-26.1%). The upgrade to the OPUS 2
was statistically very significant for all speech perception tests (p < 0.001).
Additionally, subjects stated that the OPUS 2 initially sounded 'awkward' but
that quality and speech perception improved after a certain adaptation time.
CONCLUSION: Speech understanding in quiet and noise significantly improved with
the OPUS 2 in all speech perception tests.
PMID- 22094827
TI - Differential diagnosis in a primary care population with presumed airway
obstruction: a real-life study.
AB - BACKGROUND: Asthma and chronic obstructive pulmonary disease (COPD) have major
symptoms in common. However, the mode of the underlying chronic airway
inflammation is different. There is still no single diagnostic test that can be
considered a gold standard to distinguish asthma from COPD. OBJECTIVES: To
determine the diagnostic accuracy for asthma and COPD of a series of diagnostic
steps in a population older than 40 years with probable obstructive airway
disease (OAD) in primary care. METHODS: In this prospective cohort study,
patients without a certain diagnosis underwent a work-up, including office
spirometry by their general practitioner (GP). They were then referred to a
pulmonologist, and they had control visits with their GP. The diagnostic gain of
subsequent steps was calculated for 2 endpoints, namely the specialist's opinion
and the GP's final opinion. RESULTS: Up to 60% of the patients failed to consult
with the pulmonologist. For this subgroup, the office spirometry induced
significantly more diagnostic congruency than any other diagnostic step. The
specialists rejected 44.5% of the diagnoses made by the GPs, including
spirometry. High values of diagnostic gain were found after the office spirometry
and after the specialist's advice. Up to 25% of the population taking
bronchodilators were judged not to suffer from OAD. CONCLUSIONS: Office
spirometry added significantly more to the diagnostic certainty of the GPs than
questionnaires, history and clinical examination. A pulmonologist's advice
contributed more to diagnostic certainty than any other diagnostic step.
Nevertheless, 26% of the diagnoses made by the chest physicians were reconsidered
by the GPs.
PMID- 22094828
TI - Lung function changes in non-asthmatic allergic rhinitis patients: a case series.
PMID- 22094826
TI - Care of the infant of the diabetic mother.
AB - Gestational diabetes mellitus (GDM) from all causes of diabetes is the most
common medical complication of pregnancy and is increasing in incidence,
particularly as type 2 diabetes continues to increase worldwide. Despite advances
in perinatal care, infants of diabetic mothers (IDMs) remain at risk for a
multitude of physiologic, metabolic, and congenital complications such as preterm
birth, macrosomia, asphyxia, respiratory distress, hypoglycemia, hypocalcemia,
hyperbilirubinemia, polycythemia and hyperviscosity, hypertrophic cardiomyopathy,
and congenital anomalies, particularly of the central nervous system. Overt type
1 diabetes around conception produces marked risk of embryopathy (neural tube
defects, cardiac defects, caudal regression syndrome), whereas later in
gestation, severe and unstable type 1 maternal diabetes carries a higher risk of
intrauterine growth restriction, asphyxia, and fetal death. IDMs born to mothers
with type 2 diabetes are more commonly obese (macrosomic) with milder conditions
of the common problems found in IDMs. IDMs from all causes of GDM also are
predisposed to later-life risk of obesity, diabetes, and cardiovascular disease.
Care of the IDM neonate needs to focus on ensuring adequate cardiorespiratory
adaptation at birth, possible birth injuries, maintenance of normal glucose
metabolism, and close observation for polycythemia, hyperbilirubinemia, and
feeding intolerance.
PMID- 22094829
TI - Complementation of Sulfolobus solfataricus PBL2025 with an alpha-mannosidase:
effects on surface attachment and biofilm formation.
AB - Compared to Sulfolobus solfataricus P2, the S. solfataricus mutant PBL2025 misses
50 genes (SSO3004-3050), including genes coding for a multitude of enzymes
possibly involved in sugar degradation or metabolism. We complemented PBL2025
with two of the missing proteins, the alpha-mannosidase (SSO3006, Ssalpha-man)
and the beta-galactosidase LacS (SSO3019), and performed comparative fluorescence
microscopy and confocal laser scanning microscopy to analyze the recombinant
strains. We demonstrated that the Ssalpha-man complemented strain resembled the
S. solfataricus P2 behavior with respect to attachment of cells to glass and
growth of cells in static biofilms. During expression of the Ssalpha-man, but not
LacS, glucose and mannose-containing extracellular polymeric substance (EPS)
levels changed in the recombinant strain during surface attachment and biofilm
formation. These results suggest that the Ssalpha-man might be involved in the
modulation of the EPS composition and/or in the de-mannosylation of the glycan
tree, which is attached to extracellular glycosylated proteins in S.
solfataricus. On the other hand, LacS expression in PBL2025 reduced the
carbohydrate content of the isolated total EPS implying a role in the modulation
of the produced EPS during static biofilm formation. These are the first enzymes
identified as playing a role in archaeal EPS formation.
PMID- 22094833
TI - Iodine excess or not: analysis on the necessity of reducing the iodine content in
edible salt based on the national monitoring results.
AB - Using national monitoring data collected between 1995 and 2009, this paper
describes the change in trend with regard to the coverage of qualified iodized
household salt and iodine status of the population in China since the
implementation of universal salt iodization. The review indicates that the iodine
content in edible salt increased from 16.2 mg/kg in 1995 to 42.3 mg/kg in 1999,
then declined to 30.8 mg/kg in 2005 and has retained this level through the most
recent data collection cycle, which is considered sufficient to achieve optimal
iodine status. However, the median urinary iodine excretion level for children
aged 8-10 at the national level has been consistently classified as "excessive
iodine intake" since 1997, suggesting that although three adjustments on the
standard of iodine content in edible salt have been made, the current content of
salt iodization is still on the high side. The iodine content in edible salt
could be lowered, and possibly adapted to local specific conditions such as water
iodine content and the average daily intake of salt among the population in order
to achieve a balance between preventing deficiency and reducing the risk of
excessive intake.
PMID- 22094831
TI - Tungsten-induced denaturation and aggregation of epoetin alfa during primary
packaging as a cause of immunogenicity.
AB - PURPOSE: Following two cases of neutralizing antibodies to epoetin alfa in an
investigational clinical study, a small number of individual syringes of two drug
product batches were found to contain unusually high levels of aggregation at the
end of the clinical trial. METHODS: We undertook an extensive analytical approach
to determine the root-cause of the increased aggregation in the affected batches.
RESULTS: Soluble tungsten was found in the syringes, most likely derived from the
pins used to manufacture the syringes. Spiking of epoetin alfa with sodium
polytungstate or an extract of tungsten pins used to manufacture the syringes
induced the formation of aggregates, both dimers that appeared to be covalently
linked by disulphide bonds as well as higher-order aggregates. Sodium
polytungstate had also a strong denaturing effect on the protein. CONCLUSIONS: We
propose tungsten-mediated unfolding and aggregation of epoetin alfa in pre-filled
syringes as a potential root cause for increased immunogenicity. This finding may
be more broadly applicable to this and other classes of therapeutic proteins.
PMID- 22094834
TI - Association between 24 hour urinary alpha-tocopherol catabolite, 2,5,7,8
tetramethyl-2(2'-carboxyethyl)-6-hydroxychroman (alpha-CEHC) and alpha-tocopherol
intake in intervention and cross-sectional studies.
AB - The objective is to determine the association between the 24 hour urinary alpha
tocopherol catabolite, 2,5,7,8-tetramethyl-2(2'-carboxyethyl)-6-hydroxychroman
(alpha-CEHC) and alpha-tocopherol intake in an intervention and a cross-sectional
studies. In the 4-weeks intervention study, Japanese men (n = 10) consumed the
test diet in week 1, and the test diet plus varying amounts of alpha-tocopherol
in the three subsequent weeks: 21 MUmol/d alpha-tocopherol in week 2, 63 MUmol/d
in week 3, and 125 MUmol/d in week 4. A significant association between alpha
tocopherol intake and urinary alpha-CEHC was observed in this strictly controlled
experiment (r = 0.99, p<0.001). In the cross-sectional study, all foods consumed
over 4 consecutive days were recorded in 76 free-living young subjects (18-33
years). The association was weak, but a significant relationship was observed (r
= 0.29, p<0.05) even in the cross-sectional study. In the cross-sectional study
adults, mean estimated alpha-tocopherol intake calculated by urinary alpha-CEHC
and the excretory ratio was 91% of their mean intake over the 4 days. The results
show that urinary alpha-CEHC level reflected recent alpha-tocopherol intake in
free-living young Japanese adults, and could be used as a measure of intake
during the previous few days, both for group means and for individual rankings
within a group.
PMID- 22094835
TI - Moderate NaFeEDTA and ferrous sulfate supplementation can improve both
hematologic status and oxidative stress in anemic pregnant women.
AB - Iron is important general well being, to prevent or treat anemia, and is a
cofactor of many enzymes in the anti-oxidant process. Effect of sodium iron
ethylenediaminetetraacetate (NaFeEDTA) and ferrous sulfate on iron
bioavailability and oxidative stress in anemic pregnant women was evaluated. A 2
month randomized controlled trial was conducted on 153 anemic pregnant women,
with 80 <= Hb <110 g/L. They were randomly allocated to three groups: group C
(n=51) was the placebo control group, group I (n=51) was supplemented daily with
60 mg iron as ferrous sulfate, and group IE (n=51) with 60 mg iron as NaFeEDTA.
Blood samples were collected before and at the end of the intervention for
measurements of hematological indices and oxidative stress parameters.
Considerable increases of hematologic indicators were observed: 20.5 and 21.8 g/L
for Hb (both p values <0.001); 4.81 and 7.19 MUmol/L for plasma iron (both p
values <0.001), 2.63 and 8.99 MUg /L for ferritin (both p values <0.05) in I and
IE groups, respectively, compared with the control group. Glutathione peroxidase
(GSH-Px) activities increased by 32.6 and 75.3 IU/ml, and malondialdehyde (MDA)
levels decreased by 0.70 and 1.12 MUmol/L in I and IE groups, compared with the C
group (p values <0.05). Moreover, differences of plasma iron, ferritin and GSH-Px
activity were 2.38 MUmol/L, 6.36 MUg /L and 42.7 IU/ml were also significantly
greater in the IE group than in the I group. Moderate iron supplementation may be
beneficial to improving iron deficiency and oxidative stress, and NaFeEDTA is
better than ferrous sulfate.
PMID- 22094836
TI - Impact of treatment with oral calcitriol on glucose indices in type 2 diabetes
mellitus patients.
AB - INTRODUCTION: Type 2 diabetes is a major public health problem. Recent
epidemiological evidence also points to a potential association of vitamin D
insufficiency with adverse metabolic risks, including that for type 2 diabetes.
SUBJECTS AND METHOD: A double-blind randomized placebo-controlled trial was
carried out. Seventy subjects with type 2 diabetes, age 30-75 years old, were
randomly assigned in a double-blind fashion to two groups. One group received two
capsules of calcitriol (0.25 MUg 1,25-dihydroxy cholecalciferol per each capsule)
per day. The second group received placebo tablets. At the beginning, middle and
the end of the 12 week supplementation trial, serum glucose, insulin, calcium and
phosphorous, HbA1c and 25(OH) vitamin D were measured. RESULTS: There was no
significant difference between two groups at baseline. At the end of the study,
fasting plasma glucose increased in the control group (p=0.038), while it
remained unchanged in calcitriol group. Level of insulin and HbA1c increased
significantly in both groups (p=0.013 and 0.0004 in treatment and control group).
Regarding insulin resistance indices, there was a significant change in HOMA-IR
and QUICKI in both groups (p=0.023 and 0.002 in treatment and 0.001 and <0.001 in
control group respectively). Insulin secretion as assessed by HOMA-%beta,
remained relatively unchanged in the control group, while it increased
significantly in the treatment group at the end of study (p=0.009). CONCLUSION:
Vitamin D supplementation attenuated the increase in glycemia, and increased
insulin secretion, but had no effect on insulin resistance.
PMID- 22094837
TI - Acute effect of a soy protein-rich meal-replacement application on renal
parameters in patients with the metabolic syndrome.
AB - BACKGROUND: Soy protein is used for meal replacement therapy in obesity, however
the influence on renal function parameters is not adequately investigated. This
study evaluates glomerular filtration rate (GFR) and renal plasma flow (RPF) in
patients with the metabolic syndrome and healthy controls after ingestion of
different amounts of soy protein. METHODS: 10 patients with the metabolic
syndrome but no signs of kidney disease and 10 healthy controls ingested 1 g
protein/kg body weight of a commercial soy-yoghurt-honeypreparation. The patient
group was also given a protein challenge of 0.3 g/kg body weight. RESULTS:
Baseline GFR and RPF both were significantly higher in the patient group (147 +/-
34.8 vs. 116 +/- 21.1 ml/min, p=0.01 and 848 +/- 217 vs. 637 +/- 121 ml/min,
p=0.02) and were strongly correlated with body weight. Use of different
algorithms to estimate GFR resulted in underestimation of GFR, particularly in
the patients with the metabolic syndrome. The challenge with an acute protein
load of 1g protein per kilogram body weight induced a significant increase in GFR
and RPF in healthy controls (GFR: +12.6 +/- 11.0 % (p=0.01), RPF: +13.6 +/- 15.6
% (p=0.04)) and even more in patients with the metabolic syndrome (GFR: +31.5 +/-
32.2 % (p=0.01); RPF: +19.4 +/- 22.7 % (p=0.02)). The ingestion of 0.3 g protein/
kg body weight did not induce significant changes. CONCLUSIONS: Basic renal
function is changed in patients with the metabolic syndrome, even without
microalbuminuria. In addition, there is an elevated susceptibility for protein
load. However, the protein amount recommended for use in soy-protein based meal
replacement therapy induced no significant changes.
PMID- 22094838
TI - Fortified juice drink improved iron and zinc status of schoolchildren.
AB - Energy and micronutrient deficiency remain prevalent among Filipino children.
Juice drinks are commonly consumed and could be a viable vehicle for
fortification to supplement the nutrient gap. This study determined the effects
of a newly developed non-carbonated fortified juice drink on the iron, zinc and
nutritional status of schoolchildren. One hundred randomly selected anemic
children were randomly allocated into two groups in a doubly-masked placebo
controlled manner: Group 1 received the fortified juice, Group 2 received the non
fortified juice for 100 days, five days a week under strict supervision. The
juice drink was fortified with vitamin A, zinc, iron, vitamin C and lysine. The
non-fortified juice was fortified only with vitamin C. All children were dewormed
prior to the intervention. Hemoglobin, plasma ferritin and plasma zinc, weight
and height were assessed using standard methods before and after intervention. A
two-day 24-hour food recall was also collected. The basal prevalence of anemia
was significantly reduced in both the fortified group (100% to 13%) and the non
fortified group (100% to 40%) at endline. The mean plasma ferritin levels were
similar in both groups at baseline and endline. At endline, mean plasma zinc in
the fortified group has significantly increased by 20 MUg/dL from a baseline
value of 83.9 MUg/dL to 103.9 MUg/dL, while the non-fortified group remained at
similar levels with baseline. Basal weight and height significantly increased
among all children at endline. The fortified juice drink was effective in
reducing the prevalence of anemia and improved the zinc status of children.
PMID- 22094839
TI - Malnutrition in hospitalized people living with HIV/AIDS: evidence from a cross
sectional study from Chengdu, China.
AB - OBJECTIVES: Nutrition support has long been ignored in China's HIV/AIDS treatment
and care. The objectives of this project were to evaluate the prevalence of
malnutrition among Chengdu urban HIV positive patients, and to provide evidence
for further nutritional intervention. MATERIALS AND METHODS: HIV-infected adults
admitted to an infectious diseases inpatient unit were eligible for this study.
Nutritional status was evaluated using Subject Global Assessment (SGA),
Malnutrition Universal Screening Tool (MUST), body mass index (BMI), food
frequency questionnaire and dietary records. RESULTS: 94 hospitalized HIV
positive patients were enrolled from April 2009 to May 2010. The median CD4 T
cell count was 44.0/mm3. The prevalence of malnutrition is measured by three
tools and ranged from 37.2% (by BMI) to 77.2% (by SGA class B/C or MUST scores >=
2). Chi-square test showed significant relationship between opportunistic
infections and MUST score (OR=5.67, p<0.005, 95% CI=1.96-16.4). Of patients,
59.6% had insufficient total energy intake; while 54.3% had insufficient protein
intake. CONCLUSIONS: Malnutrition is highly prevalent among Chengdu urban
HIV/AIDS patients who underwent inpatient treatment. Calorie and protein
deficiency should be given more attention in HIV/AIDS care programs. Nutrition
evaluation and support should be considered an integral parts of national and
community HIV/AIDS treatment and care guidelines.
PMID- 22094840
TI - Anthropometric equation for estimation of appendicular skeletal muscle mass in
Chinese adults.
AB - The purpose of this study was to develop and cross-validate anthropometric
equations for the estimation of appendicular skeletal muscle mass (ASM) in
Chinese adults. A total of 763 adults aged 18-69 years (345 men and 418 women)
were recruited from residents living in four regions (Jinan, Guangzhou, Xi'an and
Chengdu) in China. ASM were measured by fan-beam dual energy x-ray
absorptiometry. Participants' body weight, height, limb circumferences (upper
arm, thigh, and calf), waist circumference, and skinfold thicknesses (triceps,
thigh, and calf) were measured by trained testers. The participants were randomly
assigned to two groups: a model-development group (MD group) and a cross
validation group (CV group). Prediction models were established using the data
from the MD group, and cross-validated with the data of the CV group. The results
suggested that the developed equations had satisfactory prediction qualities, and
could be applied as a practical method of quantifying ASM in Chinese adults.
PMID- 22094841
TI - Waist circumference as an indicator of high blood pressure in preschool obese
children.
AB - OBJECTIVE: To investigate the relationship between waist circumference and blood
pressure (BP) to determine if waist circumference was an indicator of BP in
preschool children. METHODS: Body weight, height, waist circumference (WC), hip
circumference, and blood pressure of 939 3-6-year-old preschool children were
collected. RESULTS: Systolic blood pressure (SBP) and diastolic blood pressure
(DBP) in obese children were significantly higher than that in normal weight
children in both sexes (p<0.001). Overweight children had significantly higher
SBP and DBP than normal weight boys (p<0.01). Age- and sex-adjusted Body mass
index (BMI) correlated significantly with SBP and DBP. In children aged 3-6
years, age-, sex-and BMI-adjusted waist circumference correlated significantly
with SBP, but not with DBP. Receiver operating characteristic (ROC) curves showed
a significant ability of BMI, WC and waist-to-height ratio (WtHr) to discriminate
high blood pressure in children of both sexes. Multiple linear stepwise
regression analysis using SBP as the dependent variable showed that BMI and WC
were significant independent factors that influence high blood pressure adjusted
for age, WtHr and waist-to-hip circumference ratio (WHr) in boys. When using DBP
as the dependent variable, BMI was the only significant independent factor that
influenced high blood pressure adjusted for age, WtHr and WHr, in both sex-es.
CONCLUSION: Waist circumference was independently associated with high blood
pressure in boys aged 3-6 years. In addition to BMI, increased waist
circumference was found to be an indicator of high blood pressure in the
preschool children, especially in boys.
PMID- 22094842
TI - Interactive effects of main genotype, caloric intakes, and smoking status on risk
of obesity.
AB - The aim of this study was to determine the strong candidate genes increasing
susceptibility to obesity among previously reported obesity-related genes in
Korean subjects and evaluate gene-environmental interactions in susceptibility to
obesity. The study population comprised of 163 adolescents (95 boys and 68 girls)
and their parents (97 men and 96 women).We used multivariable-adjusted logistic
regression analysis, and classification and regression tree (CART) analysis
incorporating both the genetic (ADRB2 R16G genotype) and environmental
(overeating, smoking status, and parent's obese status) variables. The
polymorphisms were genotyped with SNP-ITTM assays using the SNPstream 25KTM
System (Orchid Biosciences, New Jersey, USA). Arg16 allele of ADRB2 R16G, smoking
and overeating were linked to an increased risk of obesity in adults. CART
analysis showed that smoking parents who overate and carried the Arg allele,
ADRB2 R16G, had an odds ratio (OR) of 11.7 (95% confidence interval (CI), 2.13
64.04) for obesity compared to non-smoking parents who had none of these factors.
Among children, the highest risk group for obesity was the overeater with obese
parents (OR, 5.20; 95% CI, 1.86-14.53). The results of the study indicate that
beta2-adrenoceptor polymorphism may contribute to the development of obesity
through gene-environmental interactions. Further replication studies with larger
sample size would be needed to confirm our study results.
PMID- 22094843
TI - Contribution of complementary food nutrients to estimated total nutrient intakes
for urban Guatemalan infants in the second semester of life.
AB - Complementary foods (CF) are introduced earlier or later than appropriate in
developing societies. They often contribute poorly to overall adequate
micronutrient intake during the critical period for growth and development, which
constitutes the period from 6 to 12 months of life. The objective of this study
was to determine the contribution of the CF nutrients to the total estimated
nutrient intake in infants in the second semester of life. Three non-consecutive
24-hour recalls interviews were conducted with mothers of 64 infants, aged 6-12
months on enrolment, from a convenience sample in a marginal urban settlement in
Guatemala City. Retrospective recording of early introduction of pre- and post
lacteal feeding and introduction of first foods and beverages was included. Human
milk intakes were estimated by a model based on assumptions that human milk plus
CF exactly satisfied the infant's daily energy needs. The WHO/FAO Recommended
Nutrient Intakes (RNI) were the standards for adequate nutrient consumption.
Instances of exclusive breast feeding to 6 months were rare, with the
introduction of CF earlier than recommended. Baby food in jars was mentioned most
frequently as the first food offered. The contribution of CF increased with age
through the second semester of life. CF contributed more of a nutrient than human
milk in all instances. However,CF nutrient density for Ca, Fe, and Zn fell below
international standard. Fortified sugar contributed excessive amounts of Vitamin
A to the diets. We conclude that for most nutrients, intakes reached or exceeded
recommendation levels, unusual within the CF experience in scientific literature.
PMID- 22094844
TI - Undernutrition status of children under 5 years in Chinese rural areas - data
from the National Rural Children Growth Standard Survey, 2006.
AB - PURPOSE: To assess the nutritional status among rural children under five years
in China relative to WHO Child Growth Standards 2006, and to explore risk factors
for undernutrition. DESIGN: Cross-sectional study of rural areas in 10 provinces
of China. A total of 84,009 children under five recruited through multi-level
sampling. MAIN FINDINGS: A total of 17.92% of children have at least one form of
undernutrition. The prevalence of stunting, underweight and wasting, were 14.59%,
7.19% and 3.07%, respectively. The corresponding mean z-score in height-for-age,
weight-for-age, and weight-for-height were -0.732, -0.410 and -0.001. An upward
trend with age in stunting and underweight was observed, and higher risks noticed
among older children in contrast with children under 6 months in terms of
stunning and underweight. The inter-provincial variation of undernutrition
remains very large. Low birth weight, multi parity, preterm birth, multiple
birth, maternal illiteracy, low provincial GDP, and low household income are
identified as significant factors associated with stunting. Parity shows no
corresponding significant relationship with underweight, and wasting was not
found to be associated with either preterm or multiple births. CONCLUSION: The
nutritional status among children under five in rural areas of China, although
greatly improved in the past decades, still lags behind the WHO Child Growth
Standards. Stunting and underweight occur mostly before two years of age.
Intervention strategies and programs should be developed to target the
preventable risk factors.
PMID- 22094845
TI - Systematic review and meta-analysis of soy products consumption in patients with
type 2 diabetes mellitus.
AB - Clinical trials have reported the lipid-lowering effect of consuming soy
products, and epidemiological studies have shown that soy intake is associated
with decreased risk of type 2 diabetes mellitus (T2DM). The aim of this meta
analysis was to systematically review the effects of soy products consumption on
serum lipid profiles and glycaemic control in T2DM patients. Potential papers
were initially searched from PubMed (1966 to 2010) and Cochrane Library (1984 to
2010) without language limitations. All randomized controlled trials were
included in which soy products supplementation was the only intervention in
subjects with type 2 diabetes. Weighted mean effect size was calculated for net
changes in serum lipids and fasting glucose concentrations using fixed-effect or
random-effect models. Previously defined subgroup analyses were performed to
identify the source of heterogeneity. Eight studies were included according to
the criteria. The intake of soy products was associated with a significant
reduction in serum total cholesterol (by 0.42 mmol/L; 95% confidence interval
(CI): -0.70, -0.14; p<0.001), triacylglycerol (by 0.22 mmol/L; 95% CI: -0.38,
0.07; p<0.001) and low-density lipoprotein-cholesterol (by 0.30 mmol/L; 95% CI:
0.60, -0.00; p<0.001), and a significant increase in high-density lipoprotein
cholesterol (0.05 mmol/L; 95% CI: 0.04, 0.06; p=0.89). There were no
significant effects on fasting glucose, insulin and glycated hemoglobin. It can
be concluded that intake of soy and soy products has beneficial effects in T2DM
patients in relation to serum lipids.
PMID- 22094846
TI - Red meat intake may increase the risk of colon cancer in Japanese, a population
with relatively low red meat consumption.
AB - Asian populations have changed from traditional to Westernized diets, with
increased red meat intake. They are suggested to be particularly susceptible to
the adverse effects of red meat on the development of colorectal cancers,
however, few prospective studies of this putative link have been conducted. We
examined associations between the consumption of red and processed meat and the
risk of subsite-specific colorectal cancer by gender in a large Japanese cohort.
During 1995-1998, a validated food frequency questionnaire was administered to
80,658 men and women aged 45-74 years. During 758,116 person-years of follow-up
until the end of 2006, 1,145 cases of colorectal cancer were identified. Higher
consumption of red meat was significantly associated with a higher risk of colon
cancer among women [multivariate hazard ratios (95%CIs) for the highest versus
lowest quintiles (HR): 1.48 (1.01, 2.17; trend p=0.03)], as was higher
consumption of total meat among men [HR=1.44 (1.06, 1.98; trend p=0.07)]. By
site, these positive associations were found for the risk of proximal colon
cancer among women and for distal colon cancer among men. No association was
found between the consumption of processed meat and risk of either colon or
rectal cancer. In conclusion, red meat intake may modestly increase the risk of
colon cancer in middle-aged Japanese, although the highest quintile of red meat
consumption could be considered moderate by Western standards.
PMID- 22094847
TI - Prevalence, influencing factors and control of food insecurity: a model in the
northwest of Iran.
AB - Food insecurity is frequent in both developed and developing countries, affecting
from 5% to 25% of the general population. The aim of this study was to assess
food insecurity, its influencing factors and control measures in the northwest of
Iran. A total population of 15,070 (2,911 households) were studied. A short
questionnaire was used for the screening of food insecurity and energy intake in
this study. After the screening programme, those families identified as having
food insecurity were recruited for the second part of the study which was a
community trial. We organized small training campaigns (through peer education)
for target households. Six months later, the same techniques were applied again
to assess the impact of educational intervention in reducing the rate of food
insecurity. Total prevalence of food insecurity in the study population was 59.3
percent (95% confidence interval: 57.4-61.3). Logistic regression showed that
apart from the mother's age and smoking status of the head of the family, there
was a significant association between household food insecurity and other
variables in the model (mainly economic factors). The prevalence of food
insecurity reduced by 7.3 percent after the intervention (p>0.10). The high
prevalence of food insecurity in this study indicates that there is an urgent
need for a close collaboration between governmental, international and local
leadership to identify and implement the key interventional programmes to
overcome this ever increasing health problem. According to our findings, a
special attention should be paid on the economic improvement in the region.
PMID- 22094848
TI - Paternal smoking is associated with greater food insecurity among poor families
in rural Indonesia.
AB - Food insecurity is common in developing countries and related to the physical
well being of families. Household food insecurity is intended to reflect a
household's access, availability, and utilization of food. The relationship
between paternal smoking and household food insecurity has not been well
characterized. The objective of this study was to examine the relationship of
paternal smoking with household food insecurity among poor families in rural
Indonesia. In a cross-sectional study of 26,380 rural households in the
Indonesian Nutrition Surveillance System in 2003, we examined the relationship
between paternal smoking and household food insecurity score, as measured using a
9-item food security questionnaire. The prevalence of paternal smoking was 72.9%.
In families with and without a father who smoked, the mean (standard error)
household food insecurity score was 1.83 (0.01) and 1.48 (0.02), respectively
(p<0.0001). Paternal smoking was associated with greater household food
insecurity score (p<0.0001) in a multivariable linear regression model, adjusting
for maternal age, maternal education, weekly household expenditure per capita,
and province. Among poor families in rural Indonesia, households with a father
who smokes are at greater risk of household food insecurity.
PMID- 22094849
TI - Comparison of dietary habits between migrant and local adolescents in Shenzhen,
China.
AB - BACKGROUND: It is estimated that the number of migrant adolescents in Chinese
cities may have reached 25 million. However, little research has been conducted
on their dietary habits. The objective of this study was to compare dietary
habits between migrant and local adolescents in Shenzhen, China. METHODS: A
school based cross-sectional study was conducted in 3368 adolescents (aged 11-18
years; 52.5% boys). A self-administered questionnaire completed by adolescents
was designed to gather information on socio-demographic characteristics, meal
location, food pattern and intake. RESULTS: Of the 3368 adolescents, 58.2% were
migrants. Compared with locals, migrant adolescents showed significantly higher
percentage of having three meals away-from home. Nearly half of the subjects
(45.6 %) skipped breakfast, with a higher proportion among migrant students (48.5
vs 41.5%). Migrant students consumed street food more frequently (12.2 vs 8.5%),
while the difference was opposite in Western fast food intake (27.3 vs 32.5%). No
significant difference was found in snacks intake between these two groups.
Migrant students exhibited lower percentage of vegetables (57.3 vs 63.7%), fruits
(27.7 vs 38.3%), meats (37.0 vs 44.3%), soybean (11.6 vs 17.5%) and dairy
products (28.4 vs 42.5%) intake daily. After adjusted for socio-demographic
confounders, the difference mentioned above still remained except Western fast
food. CONCLUSION: Dietary habits among adolescents showed pronounced household
variation. Migrant adolescents are more likely to exhibit unhealthy dietary
behavior. Schools and families should collaborate to improve the dietary
environment for adolescents, especially those from migrant families.
PMID- 22094850
TI - Nutrition risk: cultural aspects of assessment.
AB - AIM: To assess a nutrition risk screening tool amongst Maori and non-Maori of
advanced age. METHOD: A cross sectional feasibility study was conducted in three
North Island locations. One hundred and eight community-living residents aged 75-
85 years were assessed for nutrition risk using 'the validated questionnaire
'Seniors in the Community: Risk Evaluation for Eating and Nutrition', Version II
(SCREENII) and level of physical activity using the 'Physical Activity Scale for
the Elderly' (PASE). Physical assessments included height and weight. RESULTS:
Fifty-two percent of participants were assessed to be at high nutrition risk
(SCREENII score <50; range 29-58; out of maximum score 64). Nutrition risk
factors amongst Maori and non-Maori respectively differed for weight change in
the previous six months (45.2% and 18.7%, p=0.005), skipping meals (54.8% and
13.3%, p<0.001), fruit and vegetable intake (77.4% and 18.7%, p<0.001) and the
use of meal replacements (28.1% and 9.3%, p=0.013). Process evaluation showed
that Maori took different meaning from the individual question items in SCREENII.
Level of physical activity (PASE score) was higher for Maori, median (IQR): 125
(74) than non-Maori, 72 (74) (p<0.001) especially for leisure-time and household
related activity. BMI was higher for Maori median (IQR): 31.5 kg/m2 (6.8)
compared to non-Maori 24.7 kg/m2 (5.4) (p<0.001). CONCLUSIONS: The nutrition risk
tool suggested that Maori were at high risk for malnutrition despite higher BMI
and higher levels of activity. Several items of the screening tool were
interpreted differently among Maori compared to non-Maori. Further development is
needed to ensure accurate assessment.
PMID- 22094851
TI - Validation of a food frequency questionnaire to assess dietary cholesterol, total
fat and different types of fat intakes among Malay adults.
AB - BACKGROUND: Cardiovascular diseases are the major cause of death in Malaysia.
Evidence shows that dietary fat intake is one of the important risk factors for
cardiovascular disease. However, studies assessing the association of fatty acids
and risk of cardiovascular diseases in Malaysia are scarce. Therefore, this study
aimed to develop and validate a food frequency questionnaire (FFQ) assessing
total fat and different types of fat among Malay adults in Malaysia. METHODS: A
100 food item FFQ focused on dietary cholesterol, total fat, saturated fat,
monounsaturated fat and polyunsaturated fat intake for the past one month was
developed and validated against 3-day dietary record (DR) among 151 Malay
participants. Validity was assessed through the reliability and agreement of two
methods. Intra-class correlation (ICC) coefficients were used to assess
reliability; while regression analysis and classification into categories were
used to assess agreement of the two methods. RESULTS: The mean nutrient intakes
tended to be higher in FFQ compared to DR. The developed FFQ showed excellent
reliability with ICC coefficients ranging from 0.92-0.98. Regression analysis
demonstrated an acceptable agreement between FFQ and DR for total fat and
different types of fat intakes. There were a relatively high proportion of
subjects being correctly classified (into same or adjacent category) and only a
small number of grossly misclassification for total energy, fat, dietary
cholesterol, saturated fat and unsaturated fat occurred. CONCLUSION: This FFQ is
valid in assessing absolute total energy, total fat and types of fat intakes
among Malay adults.
PMID- 22094852
TI - Validity of predictive equations for resting energy expenditure among Iranian
women.
AB - OBJECTIVES: To determine the resting metabolic rate (RMR) in a sample of Iranian
women, and to evaluate the validity of predictive equations for estimating RMR in
normal and obese subjects. METHODS: This cross-sectional study was conducted on a
total of 187 healthy women aged 18-45 years. Anthropometric data were collected
and subjects' RMR was measured by indirect calorimetry for 15 minutes following
an overnight fast. RMR was also predicted using various types of formulas based
on subjects' height, age, weight or fat-free mass. Body composition was estimated
by bioelectric impedance analysis (BIA). RESULTS: Measured RMR (mean +/- SD) was
found to be 1473 +/- 296 kcal/day. The abbreviation formula overestimated RMR,
while other formulas underestimated it. Harris-Benedict formula was valid among
all BMI categories (normal weight, overweight, obese and morbidly obese). Two
Schofield formulas were valid in normal weight, overweight and morbidly obese
subjects; and Cunningham formula was valid only among overweight and obese women.
Overweight and obese Iranian women had higher RMR in comparison with normal
weight subjects (p<0.01); although after age and weight adjustment, the
differences were not significant in any of the BMI categories. CONCLUSIONS: The
Harris-Benedict for mula provides a valid estimation of RMR at the group level in
a range of normal-weight to morbidly obese Iranians. However, at the individual
level, errors might be so high that using a measured value has to be preferred
over an estimated value.
PMID- 22094853
TI - Development of a borondipyrromethene-based Zn2+ fluorescent probe: solvent
effects on modulation sensing ability.
AB - A borondipyrromethene-based Zn(2+) fluorescent probe BODPAQ was designed and
synthesized. The chelators in BODPAQ, 2,2'-dipicolylamine (DPA) and 8
aminoquinoline (AQ), coordinate to Zn(2+) in a synergic manner. As a result,
BODPAQ displays high Zn(2+) selectivity with a dramatic enhanced emission
accompanied by a notable hypsochromic shift due to the binary inhibition effect
of PET and ICT mechanisms, enabling the detection of Zn(2+) by both ratiometric
and normal turn-on fluorescence methods in acetonitrile. Interestingly, the
sensitivity of BODPAQ towards Zn(2+) changes upon varying the compositions of
buffer solutions. In 3-morpholinopropanesulfonic acid (MOPS) buffer aqueous
solution (50% CH(3)CN), BODPAQ displays the highest sensitivity for Zn(2+), while
in citrate-phosphate buffer, BODPAQ shows no response to Zn(2+).
PMID- 22094854
TI - Hearing profile of gold miners with and without tuberculosis.
AB - OBJECTIVES: To compare the hearing of gold miners with and without tuberculosis
(TB) to determine the effect of TB and its associated risk profile on hearing.
METHODS: Audiological and medical surveillance data of 2698 South African gold
miners for 2001-2009 were analysed in a retrospective cohort design. Hearing
thresholds for the air conduction frequencies (0.5, 1, 2, 3, 4, 6, 8 kHz) in both
ears were analysed together with biographical and occupational data. Subjects
were divided into two experimental (single TB treatment, n=911 and multiple TB
treatment, n=376) and one control group (n=1411). Comparisons between groups
included (1) change from baseline to most recent audiogram, (2) most recent
hearing thresholds and (3) most recent thresholds in a subset of noise exposed
and unexposed groups. RESULTS: Hearing thresholds for the TB groups were
significantly (p<0.01) elevated compared to the control group, after correcting
for time between baseline and most recent audiogram, threshold at baseline and
age at test. Pair-wise comparisons demonstrated the largest threshold differences
between the control and multiple TB group. Changes in mean thresholds across TB
treatment groups were independent of noise exposure. Hearing thresholds over time
also deteriorated significantly more (p<0.01) in workers with TB (single and
multiple treatment) than in workers without TB. CONCLUSION: Gold miners with TB,
especially with more than one episode of TB, demonstrate significantly poorer
hearing thresholds and more pronounced decline in hearing over time independent
of noise exposure. The exact cause is likely a complex interaction between TB,
including treatment, and its associated risk profile.
PMID- 22094855
TI - Immediate ozone effects on heart rate and repolarisation parameters in
potentially susceptible individuals.
AB - OBJECTIVES: Elevated ozone levels have been associated with cardiovascular
morbidity and mortality. We investigated the effects of ozone on heart rate (HR)
and repolarisation parameters in potentially susceptible populations. METHODS:
Between March 2007 and December 2008, 363 ECG recordings including >2000 1 h
intervals were measured in 64 individuals with type 2 diabetes or impaired
glucose tolerance and in 46 healthy individuals with a potential genetic
predisposition on the detoxification pathways from Augsburg, Germany.
Associations between 1 h averages of ozone and HR, Bazett-corrected QT-interval
(QTc), T-wave amplitude and T-wave complexity were analysed using additive mixed
models. A variable indicating season and participants' location during the 1 h
ECG recordings (summer and outdoors vs winter or indoors) was used as a potential
ozone effect modifier. RESULTS: We observed concurrent and 1-4 h lagged increases
in HR of 0.5-0.7% for each 20 MUg/m(3) increase in ozone. These effects were
stronger (1.0-1.2%) when participants were outdoors during the summer. We
detected in all participants a concurrent (-1.31%; 95% CI -2.19% to -0.42%) and 1
h lagged (-1.32%; -2.19% to -0.45%) T-wave flattening. Elevated ozone levels were
associated with 1 h (2.12%; 0.81 to 3.52) and 2 h lagged (1.89%; 0.55% to 3.26%)
increases in T-wave complexity. However, no effects were seen for QTc. Ozone
effects were generally more pronounced in individuals with metabolic disorders
than a potential genetic predisposition. CONCLUSIONS: Changes in repolarisation
might contribute to underlying pathophysiological changes associated with the
link between elevated ozone levels and reported adverse cardiovascular outcomes.
PMID- 22094856
TI - Association of the HLA-DRB1 epitope LA(67, 74) with rheumatoid arthritis and
citrullinated vimentin binding.
AB - OBJECTIVE: Although rheumatoid arthritis (RA) has long been associated with an
HLA-DRB1 shared epitope, a systematic search for other epitopes has never been
conducted. In addition, the relationship between these epitopes and the binding
of citrullinated autoantigens has not been investigated. We developed a program
that can analyze HLA data for all possible epitopes of up to 5 amino acids and
used this program to assess the shared epitope hypothesis in RA. METHODS: We
analyzed high-resolution data from the International Histocompatibility Working
Group, which included a group of 488 patients with RA and a group of 448 racially
and ethnically balanced control subjects, for all combinations of up to 5 amino
acids among polymorphic HLA-DRB1 positions 8-93. Statistical significance was
determined by chi-square and Fisher's exact tests, with a false discovery rate
correction. RESULTS: Three residues (V(11), H(13), and L(67)) were found to have
the highest degree of association with RA susceptibility (P < 10(-11)), and D(70)
was found to correlate best with RA resistance (P = 2 * 10(-11)). Of >2 million
epitopes examined, LA(67, 74) exhibited the highest correlation with RA
susceptibility (P = 2 * 10(-20); odds ratio 4.07 [95% confidence interval 3.07
5.39]). HLA alleles containing the LA(67, 74) epitope exhibited significantly
greater binding to citrullinated vimentin(65-77) than did alleles containing
D(70). Only 1 allele (DRB1*16:02) contained both LA(67, 74) and D(70); it bound
citrullinated vimentin weakly and was not associated with RA. CONCLUSION: The
findings of these studies confirm the importance of HLA-DRB1 amino acids in
pocket 4 for the binding of citrullinated autoantigens and susceptibility to RA.
PMID- 22094857
TI - Isolating metamemory deficits in the self-regulated learning of adults with ADHD.
AB - ADHD in adulthood is associated with chronic academic impairments and problems
with strategic memory encoding on standardized memory assessments, but little is
known about self-regulated learning that might guide intervention. OBJECTIVE:
Examine the contribution of metamemory judgment accuracy and use of learning
strategies to self-regulated learning in adults with ADHD, focusing on the use of
self-testing. METHOD: A total of 34 adults with ADHD and 34 matched controls
predicted their memory performance and regulated their learning of paired
associates. RESULTS: Adults with ADHD were as accurate as controls at predicting
memory performance, despite remembering fewer words. By observation and self
report, they were less likely to use self-testing to learn the pairs. CONCLUSION:
Across groups, self-testing was associated with significantly better recall and
largely accounted for differences between diagnostic groups. Adults with ADHD
often failed to employ a strategy that was associated with improved memory,
identifying an intervention target that may improve self-regulated learning.
PMID- 22094858
TI - Factor analysis of five adult ADHD self-report measures: are they all the same?
AB - OBJECTIVE: To test the assumption in the research and assessment of ADHD symptoms
that self-report scales measure the same underlying cognitive construct and that
there is convergent validity among the scales. The present study specifically
tested this assumption by analyzing the scores of 616 individuals on five ADHD
self-rating scales using principal components analysis. METHOD: Participants
completed five self-report scales widely used in the clinical and research
communities: the CSS, the BADDS, the CAARS, the AADDES, and the WURS. RESULTS:
Results show that while all scales were highly correlated and loaded onto a
single factor solution, the WURS was differentiated from the other four scales
best seen through a two factor solution. Therefore, the WURS may also measure
other mental and emotional constructs independent from ADHD. Furthermore,
participants that reported a previous diagnosis of ADHD scored significantly
higher on all measures than those who did not. CONCLUSION: Since these scales are
in strong agreement with one another in diagnosing ADHD, assessment becomes an
issue of which scale is the least time-consuming and most pragmatic for the
evaluator to use.
PMID- 22094859
TI - Genome economization in the endosymbiont of the wood roach Cryptocercus
punctulatus due to drastic loss of amino acid synthesis capabilities.
AB - Cockroaches (Blattaria: Dictyoptera) harbor the endosymbiont Blattabacterium sp.
in their abdominal fat body. This endosymbiont is involved in nitrogen recycling
and amino acid provision to its host. In this study, the genome of
Blattabacterium sp. of Cryptocercus punctulatus (BCpu) was sequenced and compared
with those of the symbionts of Blattella germanica and Periplaneta americana,
BBge and BPam, respectively. The BCpu genome consists of a chromosome of 605.7 kb
and a plasmid of 3.8 kb and is therefore approximately 31 kb smaller than the
other two aforementioned genomes. The size reduction is due to the loss of 55
genes, 23 of which belong to biosynthetic pathways for amino acids. The pathways
for the production of tryptophan, leucine, isoleucine/threonine/valine,
methionine, and cysteine have been completely lost. Additionally, the genes for
the enzymes catalyzing the last steps of arginine and lysine biosynthesis, argH
and lysA, were found to be missing and pseudogenized, respectively. These gene
losses render BCpu auxotrophic for nine amino acids more than those corresponding
to BBge and BPam. BCpu has also lost capacities for sulfate reduction, production
of heme groups, as well as genes for several other unlinked metabolic processes,
and genes present in BBge and BPam in duplicates. Amino acids and cofactors that
are not synthesized by BCpu are either produced in abundance by hindgut
microbiota or are provisioned via a copious diet of dampwood colonized by
putrefying microbiota, supplying host and Blattabacterium symbiont with the
necessary nutrients and thus permitting genome economization of BCpu.
PMID- 22094860
TI - Random Addition Concatenation Analysis: a novel approach to the exploration of
phylogenomic signal reveals strong agreement between core and shell genomic
partitions in the cyanobacteria.
AB - Recent whole-genome approaches to microbial phylogeny have emphasized
partitioning genes into functional classes, often focusing on differences between
a stable core of genes and a variable shell. To rigorously address the effects of
partitioning and combining genes in genome-level analyses, we developed a novel
technique called Random Addition Concatenation Analysis (RADICAL). RADICAL
operates by sequentially concatenating randomly chosen gene partitions starting
with a single-gene partition and ending with the entire genomic data set. A
phylogenetic tree is built for every successive addition, and the entire process
is repeated creating multiple random concatenation paths. The result is a library
of trees representing a large variety of differently sized random gene
partitions. This library can then be mined to identify unique topologies, assess
overall agreement, and measure support for different trees. To evaluate RADICAL,
we used 682 orthologous genes across 13 cyanobacterial genomes. Despite previous
assertions of substantial differences between a core and a shell set of genes for
this data set, RADICAL reveals the two partitions contain congruent phylogenetic
signal. Substantial disagreement within the data set is limited to a few nodes
and genes involved in metabolism, a functional group that is distributed evenly
between the core and the shell partitions. We highlight numerous examples where
RADICAL reveals aspects of phylogenetic behavior not evident by examining
individual gene trees or a "'total evidence" tree. Our method also demonstrates
that most emergent phylogenetic signal appears early in the concatenation
process. The software is freely available at http://desalle.amnh.org.
PMID- 22094862
TI - The orthodontist and sleep apnea.
PMID- 22094863
TI - Lingual straightwire treatment with the Orapix system.
PMID- 22094861
TI - Genome-wide detection of gene extinction in early mammalian evolution.
AB - Detecting gene losses is a novel aspect of evolutionary genomics that has been
made feasible by whole-genome sequencing. However, research to date has
concentrated on elucidating evolutionary patterns of genomic components shared
between species, rather than identifying disparities between genomes. In this
study, we searched for gene losses in the lineage leading to eutherian mammals.
First, as a pilot analysis, we selected five gene families (Wnt, Fgf, Tbx,
TGFbeta, and Frizzled) for molecular phylogenetic analyses, and identified
mammalian lineage-specific losses of Wnt11b, Tbx6L/VegT/tbx16, Nodal-related,
ADMP1, ADMP2, Sizzled, and Crescent. Second, automated genome-wide phylogenetic
screening was implemented based on this pilot analysis. As a result, we detected
147 chicken genes without eutherian orthologs, which resulted from 141 gene loss
events. Our inventory contained a group of regulatory genes governing early
embryonic axis formation, such as Noggins, and multiple members of the opsin and
prolactin-releasing hormone receptor ("PRLHR") gene families. Our findings
highlight the potential of genome-wide gene phylogeny ("phylome") analysis in
detecting possible rearrangement of gene networks and the importance of
identifying losses of ancestral genomic components in analyzing the molecular
basis underlying phenotypic evolution.
PMID- 22094864
TI - Early treatment of scissor bite.
PMID- 22094865
TI - Surgical-orthodontic management of severe sleep apnea.
PMID- 22094866
TI - Twisting ligature wires for lingual retainers.
PMID- 22094867
TI - A microsensor for monitoring removable-appliance wear.
PMID- 22094869
TI - Cardiovascular disorders: microRNA modulation elevates HDL.
PMID- 22094868
TI - Exploring HCN channels as novel drug targets.
AB - Hyperpolarization-activated cyclic nucleotide-gated (HCN) channels have a key
role in the control of heart rate and neuronal excitability. Ivabradine is the
first compound acting on HCN channels to be clinically approved for the treatment
of angina pectoris. HCN channels may offer excellent opportunities for the
development of novel anticonvulsant, anaesthetic and analgesic drugs. In support
of this idea, some well-established drugs that act on the central nervous system
including lamotrigine, gabapentin and propofol - have been found to modulate HCN
channel function. This Review gives an up-to-date summary of compounds acting on
HCN channels, and discusses strategies to further explore the potential of these
channels for therapeutic intervention.
PMID- 22094870
TI - Neurodegenerative diseases: An alternative path to reduce neuroinflammation.
PMID- 22094871
TI - Analgesia: Unravelling epigenetic mechanisms of chronic pain.
PMID- 22094872
TI - P27/SKP-2 histochemical profile is relevant to malignant salivary gland tumors
(MST) histogenesis and tumor grade.
AB - Malignant salivary gland tumors (MST) represent over more than 24 distinct
morphological subtypes. Most high grade tumors arise from the excretory duct
portion of the salivary gland apparatus; the remainder from the intercalated duct
portion. Altered p27/skp-2 expression has been associated with tumor
aggressiveness and histologic differentiation. In our study, we analyzed p27/skp
2 expression proteins on series of malignant salivary gland tumors in order to
assess their value as a histogenetic marker, which is relevant to tumor grade. 61
MST cases were segregated by proposed histogenesis and immunohistochemistry was
performed using antibodies directed against p27 and skp-2. MST of proposed
intercalated duct origin (n=27) showed strong p27 expression (n=25/27; 93%) in
the vast majority of cases and all cases weakly expressed skp-2. MST of proposed
excretory duct origin (n=32) showed strong p27 expression (n=18/32; 56%) and
moderately strong/strong skp-2 expression (n=18/32; 56%), respectively, in over
half the cases. MST of intercalated duct origin showed evident p27/skp-2 inverse
correlation. Differences in p27/skp-2 expression among the MST subtypes
correlated with histogenesis and tumor grade, which reinforces the notion that
tumor behavior is relevant to the portion of the salivary gland unit from which
they arise. MST of proposed intercalated duct origin strongly expressed p27, and
not skp-2, unlike MST of proposed excretory duct origin. The immunohistochemical
profile of high grade mucoepidermoid carcinoma was distinct from its
low/intermediate grade counterparts, suggesting a separate identity. These
results may influence future decision making when formulating workable MST
categorization schemes. Further studies on a larger series of MST are warranted
in order to support the value of our findings.
PMID- 22094873
TI - Bizarre parosteal osteochondromatous proliferation (Nora's Lesion) of the
mandible. a rare bony lesion.
AB - Bizarre parosteal osteochondromatous proliferation (BPOP) also eponymically
called "Nora's lesion", is a rare benign reactive bone lesion first reported in
1983. BPOP occurs classically on the bones of the hands and feet and long bones.
This lesion can easily be confused, both clinically and microscopically, with
other benign and malignant lesions of bone, including osteochondroma, parosteal
osteosarcoma, myositis ossificans and reactive periostitis. BPOP has been
reported to have a high rate of recurrence. Only 3 cases of BPOP of the head and
neck have been reported in the literature, of which one involved the maxilla. We
present a rare case of BPOP involving the mandible in a 10 year old African
American male. Microscopically, a fibro-cartilaginous cap giving rise to a
proliferation of variably mineralized osteophytic finger-like projections of bone
was seen. Multiple trabeculae of "blue bone" were noted as well as numerous
atypical appearing chondrocytes. The lesion recurred within 4 months following
the initial excision but has not recurred to date after the second local
excision. To the best of our knowledge, this is the first report of BPOP arising
in the mandible. In addition, we discuss the clinical and microscopic features,
differential diagnosis, and prognosis of this rare entity. We present a case of
BPOP of the mandible and believe this is the first report of such a case in the
mandible.
PMID- 22094874
TI - Mesenchymal stromal cell mutations and wound healing contribute to the etiology
of desmoid tumors.
AB - Desmoid tumors are nonmalignant neoplasms of mesenchymal origin that mainly
contain fibroblast lineage cells. These tumors often occur in patients with
familial adenomatous polyposis (FAP) coli who have germ line mutations in the APC
gene. Given emerging data that has implicated multipotent mesencyhmal stromal
cells (MSC) in the origin of mesenchymal tumors, we hypothesized that desmoid
tumors may arise in patients with FAP after MSCs acquire somatic mutations during
the proliferative phase of wound healing. To test this idea, we examined 16
desmoid tumors from FAP-associated and sporadic cases, finding that all 16 of 16
tumors expressed stem cell markers, whereas matching normal stromal tissues were
uniformly negative. Desmoid tumors also contained a subclass of fibrocytes linked
to wound healing, angiogenesis, and fibrosis. Using an MSC cell line derived from
an FAP-associated desmoid tumor, we confirmed an expected loss in the expression
of adenomatous polyposis coli (APC) and the transcriptional repressor BMI-1 while
documenting the coexpression of markers for chondrocytes, adipocytes, and
osteocytes. Together, our findings argue that desmoid tumors result from the
growth of MSCs in a wound healing setting that is associated with deregulated Wnt
signaling due to APC loss. The differentiation potential of these MSCs combined
with expression of BMI-1, a transcriptional repressor downstream of Hedgehog and
Notch signaling, suggests that desmoid tumors may respond to therapies targeting
these pathways.
PMID- 22094875
TI - ATM-mediated DNA damage signals mediate immune escape through integrin
alphavbeta3-dependent mechanisms.
AB - Although the tumor microenvironment plays a critical role in tumor progression
and metastasis, the relationship between chemotherapy resistance and modulation
of the tumor microenvironment remains unclear. Here, we report a novel mechanism
showing how constitutive DNA damage signals in therapy-resistant tumor cells
suppress antitumor immunity in an integrin-alphavbeta3-dependent manner. Integrin
alphavbeta3 was upregulated on various therapy-resistant tumor cells through
chronic activation of ATM/Chk2-and NFkappaB-mediated pathways. Inhibiting tumor
specific expression of integrin-alphavbeta3 improved therapeutic responses to
anticancer drugs by stimulating endogenous host immune systems. Mechanistic
investigations revealed that tumor-specific integrin-alphavbeta3 expression
targeted dendritic cells, facilitating their ability to phagocytose viable
therapy-resistant tumor cells and thereby impaired their ability to cross-prime
antigen-specific T lymphocytes. Together, our results clarify the detrimental
effects of constitutive DNA damage signals to chemosensitivity and antitumor
immunity. Furthermore, these findings suggest that integrin-alphavbeta3 targeting
may benefit patients' refractory to current anticancer regimens by defeating DNA
damage signaling-induced immune escape.
PMID- 22094878
TI - Angiographic uterine artery chemoembolization followed by vacuum aspiration: an
efficient and safe treatment for managing complicated cesarean scar pregnancy.
AB - PURPOSE: To evaluate uterine artery chemoembolization (UAEC) followed by vacuum
aspiration as a conservative treatment for complicated cesarean scar pregnancy.
METHODS: A retrospective review was performed of women presenting with cesarean
scar pregnancy between January 2002 and December 2008. The medical record was
evaluated to determine the method of treatment. RESULTS: During the time period
studied, 13 women were identified who underwent UAEC followed by vacuum
aspiration. 12 women successfully had bilateral UAEC followed by vacuum
aspiration alone, one woman had unilateral UAEC followed by vacuum aspiration but
subsequently required laparotomy. All 13 women were successfully cured and
retained uterus, there was no case with severe complicating disease. With the
follow-up period, two women who were planning future pregnancy conceived, and
spontaneous abortion occurred in one of them during the first trimester, another
had an elective cesarean delivery at term. CONCLUSIONS: UAEC combined with vacuum
aspiration is technically feasible and may help avoid laparotomy in women with
cesarean scar pregnancy.
PMID- 22094876
TI - Integrative genomic analyses of sporadic clear cell renal cell carcinoma define
disease subtypes and potential new therapeutic targets.
AB - Sporadic clear cell renal cell carcinoma (ccRCC), the most common type of adult
kidney cancer, is often associated with genomic copy number aberrations on
chromosomes 3p and 5q. Aberrations on chromosome 3p are associated with
inactivation of the tumor suppressor gene von-Hippel Lindau (VHL), which
activates the hypoxia-inducible factors HIF1alpha and HIF2alpha. In contrast,
ccRCC genes on chromosome 5q remain to be defined. In this study, we conducted an
integrated analysis of high-density copy number and gene expression data for 54
sporadic ccRCC tumors that identified the secreted glycoprotein STC2
(stanniocalcin 2) and the proteoglycan VCAN (versican) as potential 5q oncogenes
in ccRCCs. In functional assays, STC2 and VCAN each promoted tumorigenesis by
inhibiting cell death. Using the same approach, we also investigated the two VHL
deficient subtypes of ccRCC, which express both HIF1alpha and HIF2alpha (H1H2) or
only HIF2alpha (H2). This analysis revealed a distinct pattern of genomic
aberrations in each group, with the H1H2 group displaying, on average, a more
aberrant genome than the H2 group. Together our findings provide a significant
advance in understanding ccRCCs by offering a molecular definition of two
subtypes with distinct characteristics as well as two potential chromosome 5q
oncogenes, the overexpression of which is sufficient to promote tumorigenesis by
limiting cell death.
PMID- 22094880
TI - Neurocircuitry involved in the development of alcohol addiction: the dopamine
system and its access points.
AB - The brain reward system, and especially the mesolimbic dopamine pathway, plays a
major role in drug reinforcement and is most likely involved in the development
of drug addiction. All major drugs of abuse, including ethanol, acutely activate
the mesolimbic dopamine system. Both this acute drug-induced dopamine elevation,
the dopamine elevations observed after presentations of drug-associated stimuli
and alterations of dopamine function induced by chronic drug administration are
of importance. Whereas the mechanisms of actions for central stimulants, opioids
and nicotine in their dopamine activating effects are fairly well established,
the corresponding mechanisms with respect to ethanol have been elusive. Here we
review the actions of ethanol in the mesolimbic dopamine system, focusing on
ethanol's interaction with ligand-gated ion-channel receptors, opiate receptors,
the ghrelin system and the possible involvement of acetaldehyde. Preclinical
studies have provided the opportunity to dissect these interactions in some
detail and although we do not fully comprehend the actions of ethanol there have
been some great advances resulting in increased knowledge of the complexity of
ethanol's mechanism of action in this system.
PMID- 22094879
TI - Investigating the deep supercooling ability of an Alaskan beetle, Cucujus
clavipes puniceus, via high throughput proteomics.
AB - Cucujus clavipes puniceus is a freeze avoiding beetle capable of surviving the
long, extremely cold winters of the Interior of Alaska. Previous studies showed
that some individuals typically supercool to mean values of approximately -40
degrees C, with some individuals supercooling to as low as -58 degrees C, but
these non-deep supercooling (NDSC) individuals eventually freeze if temperatures
drop below this. However, other larvae, especially if exposed to very cold
temperatures, supercool even further. These deep supercooling (DSC) individuals
do not freeze even if cooled to -100 degrees C. In addition, the body water of
the DSC larvae vitrifies (turns to a glass) at glass transition temperatures of
58 to -70 degrees C. This study examines the proteomes of DSC and NDSC larvae to
assess proteins that may contribute to or inhibit the DSC trait. Using high
throughput proteomics, we identified 138 proteins and 513 Gene Ontology
categories in the DSC group and 104 proteins and 573 GO categories in the NDSC
group. GO categories enriched in DSC include alcohol metabolic process, cellular
component morphogenesis, monosaccharide metabolic process, regulation of
biological quality, extracellular region, structural molecule activity, and
antioxidant activity. Proteins unique to DSC include alpha casein precursor,
alpha-actinin, vimentin, tropomyosin, beta-lactoglobulin, immunoglobulins,
tubulin, cuticle proteins and endothelins.
PMID- 22094881
TI - Structural, functional and spectroscopic MRI studies of methamphetamine
addiction.
AB - This chapter reviews selected neuroimaging findings related to long-term
amphetamine and methamphetamine (MA) use. An overview of structural and
functional (fMRI) MR studies, Diffusion Tensor Imaging (DTI), Magnetic Resonance
Spectroscopy (MRS) and Positron Emission Tomography (PET) studies conducted in
long-term MA abusers is presented. The focus of this chapter is to present the
relevant studies as tools to understand brain changes following drug abstinence
and recovery from addiction. The behavioral relevance of these neuroimaging
studies is discussed as they relate to clinical symptoms and treatment. Within
each imaging section this chapter includes a discussion of the relevant imaging
studies as they relate to patterns of drug use (i.e., duration of MA use,
cumulative lifetime dose and time MA abstinent) as well as an overview of studies
that link the imaging findings to cognitive measures. In our conclusion we
discuss some of the future directions of neuroimaging as it relates to the
pathophysiology of addiction.
PMID- 22094883
TI - Using FTIR spectroscopy to model alkaline pretreatment and enzymatic
saccharification of six lignocellulosic biomasses.
AB - Fourier transform infrared, attenuated total reflectance (FTIR-ATR) spectroscopy,
combined with partial least squares (PLS) regression, accurately predicted
solubilization of plant cell wall constituents and NaOH consumption through
pretreatment, and overall sugar productions from combined pretreatment and
enzymatic hydrolysis. PLS regression models were constructed by correlating FTIR
spectra of six raw biomasses (two switchgrass cultivars, big bluestem grass, a
low-impact, high-diversity mixture of prairie biomasses, mixed hardwood, and corn
stover), plus alkali loading in pretreatment, to nine dependent variables:
glucose, xylose, lignin, and total solids solubilized in pretreatment; NaOH
consumed in pretreatment; and overall glucose and xylose conversions and yields
from combined pretreatment and enzymatic hydrolysis. PLS models predicted the
dependent variables with the following values of coefficient of determination for
cross-validation (Q2): 0.86 for glucose, 0.90 for xylose, 0.79 for lignin, and
0.85 for total solids solubilized in pretreatment; 0.83 for alkali consumption;
0.93 for glucose conversion, 0.94 for xylose conversion, and 0.88 for glucose and
xylose yields. The sugar yield models are noteworthy for their ability to predict
overall saccharification through combined pretreatment and enzymatic hydrolysis
per mass dry untreated solids without a priori knowledge of the composition of
solids. All wavenumbers with significant variable-important-for-projection (VIP)
scores have been attributed to chemical features of lignocellulose, demonstrating
the models were based on real chemical information. These models suggest that PLS
regression can be applied to FTIR-ATR spectra of raw biomasses to rapidly predict
effects of pretreatment on solids and on subsequent enzymatic hydrolysis.
PMID- 22094884
TI - Giant tumorous lesions (correction of legions) surrounding the right coronary
artery associated with immunoglobulin-G4-related systemic disease.
AB - Immunoglobulin G4 (IgG4)-related systemic disease was first recognized as a
clinicopathological entity about 10 years ago, and since then, it has attracted
growing attention. It is an autoimmune disease which affects multiple organs
including the pancreas, bile duct, salivary glands and retroperitoneum. Further,
it was recently reported that it can be manifested as periarteritis, often as
inflammatory abdominal aortic aneurysm. We describe the case of a 75-year-old man
with autoimmune pancreatitis and parotitis who presented with angina. The serum
concentration of IgG4 was significantly increased at 2,510 mg/dl. Coronary
angiography showed multiple stenotic lesions and pronounced dilatation of the
right coronary artery. Cardiac computed tomography disclosed increased wall
thickness of the coronary arteries and focal tumorous lesions surrounding the
right coronary artery. Treatment with steroids proved only marginally effective
and he underwent surgical resection of the aneurysm and coronary artery bypass
grafting. The diagnosis of IgG4-related systemic disease was confirmed by
histological examination of the resected mass, which showed a massive
infiltration of IgG4-positive plasma cells. This case emphasizes the importance
of considering the diagnosis in any patient with abnormally increased wall
thickness or ectatic lesions in the coronary arteries.
PMID- 22094885
TI - Fetal and neonatal diagnosis of interrupted aortic arch: associations and
outcomes.
AB - OBJECTIVE: Interrupted aortic arch (IAA) is a rare but serious anomaly. Prenatal
diagnosis is challenging and published data are limited. The aim of the study was
to review the data of fetuses and neonates diagnosed with IAA during a 16-year
period at Children's Hospital Giessen. METHODS: Retrospective ascertainment of 8
fetuses and 20 neonates with a confirmed diagnosis of IAA from 1994 to 2010 by
reviewing the hospital database of the cardiovascular program of the prenatal and
pediatric cardiology clinics at the University Hospital Giessen. RESULTS:
Eighteen cases with IAA type B and 10 cases with IAA type A were found. After
2005, prenatal diagnosis was achieved in 8 cases and postnatal imaging confirmed
IAA in all 8 neonates. Twenty-nine percent of individuals had a chromosomal
anomaly, with microdeletion 22q11.2 being the most common abnormality (n = 6,
21%). In 46% (13/28) other complex cardiac anomalies were present. Mortality
after surgery was 18%. Long-term morbidity and mortality was due to neurological
impairment in the presence of microdeletion 22q11.2 and the need of surgical or
catheter re-intervention. CONCLUSION: Despite the difficulties and challenges in
diagnosis, the prenatal detection rate of IAA is increasing. Associated complex
cardiac and chromosomal abnormalities influence the outcome of patients with IAA
and are important issues of parental counseling.
PMID- 22094886
TI - Reliability of acute pancreatitis diagnosis coding in a National Patient
Register: a validation study in Sweden.
AB - AIM: National patient registers are powerful tools in epidemiological research
and healthcare administration. As the level of reliability of diagnoses that are
partly based on clinical signs, such as acute pancreatitis, may be low, the
reliability of discharge diagnoses in these registers needs to be validated. The
main aim of this study was to validate the diagnosis coding for acute
pancreatitis in the Swedish National Patient Register. METHOD: We randomly
sampled 650 admissions of all patients registered in the Swedish National Patient
Register with acute pancreatitis or other nonmalignant pancreatic disorders as
the main diagnosis in 2007 and 1998, and as the secondary diagnosis in 2007. The
medical records for these admissions were reviewed. We analyzed the concordance
between the coding of acute pancreatitis in the Swedish National Patient Register
and criteria based on internationally accepted diagnostic standards. RESULTS: We
received 603 medical records for manual review. Among the 530 patients with a
diagnosis of acute pancreatitis in the Swedish National Patient Register, 442
(83%) were, after review, defined as definitive acute pancreatitis, 80 (15%) as
probable acute pancreatitis, and 8 (2%) as no acute pancreatitis. There were no
significant differences in the reliability of the diagnosis with regard to sex,
age, time period or whether the patient had been treated at a county or
university hospital. Among the 73 patients registered with a non-malignant
pancreatic disorder other than acute pancreatitis, the number of false-negative
cases of acute pancreatitis was 23 (32%). They were mainly found among patients
registered with a diagnosis of chronic pancreatitis. CONCLUSION: The Swedish
National Patient Register is highly reliable as regards correct coding of acute
pancreatitis. However, there seems to be a non-negligible share of false-negative
cases of acute pancreatitis among patients registered with a diagnosis of chronic
pancreatitis.
PMID- 22094888
TI - OEP80, an essential protein paralogous to the chloroplast protein translocation
channel Toc75, exists as a 70-kD protein in the Arabidopsis thaliana chloroplast
outer envelope.
AB - Toc75 and OEP80 are paralogous proteins found in the Viridiplantae lineages, and
appear to have evolved from a protein in the outer membrane of an ancient
cyanobacterium. Toc75 is known to act as a protein translocation channel at the
outer membrane of the chloroplast envelope, whereas the exact function of OEP80
is not understood. In Arabidopsis thaliana, each protein is encoded by a single
gene, and both are essential for plant viability from embryonic stages onward.
Sequence annotation and immunoblotting data with an antibody against its internal
sequence (alphaOEP80(325-337)) indicated that the molecular weight of OEP80 is
ca. 80 kD. Here we present multiple data to show that the size of A. thaliana
OEP80 is smaller than previously estimated. First, we prepared the antibody
against a recombinant protein consisting of annotated full-length A. thaliana
OEP80 with an N-terminal hexahistidine tag (alphaOEP80(1-732)). This antibody
recognized a 70-kD protein in the A. thaliana chloroplast membrane fraction which
migrated faster than the His-tagged antigen and the protein recognized by the
alphaOEP80(325-337) antibody on SDS-PAGE. Immunoprecipitation followed by LC
MS/MS analysis confirmed that the 70-kD protein was encoded by the OEP80 cDNA.
Next, we performed a genetic complementation assay using embryo-lethal oep80-null
plants and constructs encoding OEP80 and its variants. The results revealed that
the nucleotide sequence encoding the 52 N-terminal amino acids was not required
for functional expression of OEP80 and accumulation of the 70-kD protein. The
data also indicated that an additional C-terminal T7 tag remained intact without
disrupting the functionality of OEP80, and was not exposed to the cytoplasmic
surface of the chloroplast envelope. Finally, OEP80-T7 and Toc75 showed distinct
migration patterns on blue native-PAGE. This study provides molecular tools to
investigate the function of OEP80, and also calls for caution in using an anti
peptide antibody.
PMID- 22094887
TI - The lipopolysaccharide-induced pro-inflammatory response in RAW264.7 cells is
attenuated by an unsaturated fatty acid-bovine serum albumin complex and enhanced
by a saturated fatty acid-bovine serum albumin complex.
AB - OBJECTIVE: A 1:1 ratio of fatty acid (FA)-albumin complex was chosen to mimic
physiological conditions, and the effects of FA-bovine serum albumin (BSA)
complexes were tested in lipopolysaccharide (LPS)-stimulated RAW264.7 macrophage
cells. METHODS: Nitric oxide (NO) and various proteins/factors in RAW264.7 cells
were quantified as follows: NO by the Griess assay; prostaglandin (PG) E(2),
interleukin (IL)-6 and tumor necrosis factor (TNF)-alpha by ELISA; inducible
nitric oxide synthase (iNOS) and cyclooxygenase (COX)-2 by Western blotting; and
NF-kappaB and CD14/TLR4 by Western blotting or flow cytometry. RESULTS: BSA- or
FA-BSA-treated RAW264.7 cells without LPS stimulation did not show any
significant changes in NO or the tested proteins/factors and thus did not have
any pro-inflammatory responses. Pre-treatment with unsaturated FA-BSA complexes
significantly decreased the production of LPS-induced NO, PGE(2), IL-6 and TNF
alpha, the expression of iNOS, COX-2 and CD14, IkappaB degradation and NF-kappaB
translocation. On the contrary, pre-treatment with saturated FA-BSA complexes
enhanced these LPS-induced pro-inflammatory factors and the subsequent responses.
CONCLUSIONS: We concluded that unsaturated FA-BSA complexes, but not saturated FA
BSA complexes, exert an inhibitory effect on the LPS-induced pro-inflammatory
response and that this effect may be partially mediated through suppression of
the NF-kappaB signaling pathway. We suggest that an increase of unsaturated FA
BSA complexes may enhance the host's defense against bacterial infection.
PMID- 22094889
TI - Farnesoid x receptor agonists: what they are and how they might be used in
treating liver disease.
AB - The farnesoid X receptor (FXR) is a nuclear receptor expressed in the liver,
small intestine, kidneys, and adrenals. In mouse liver, FXR is bound to thousands
of genomic DNA binding sites. Conformational changes induced by bile acid binding
to pre-bound FXR leads to increased expression of a variety of genes. These
changes lead to decreased intracellular bile acid concentrations through multiple
mechanisms including decreased bile acid synthesis from cholesterol, decreased
hepatocellular uptake and increased secretion into bile. Activated FXR also
modulates the expression of genes responsible for lipid and glucose metabolism.
One of the other genes induced by activated FXR is a small heterodimeric partner
(SHP), a protein that represses expression of specific genes. The effects of
pharmacologically modulating FXR activation in humans is only beginning to be
explored with the hopes of favorably altering lipid and glucose metabolism to
address the vascular and metabolic complications of obesity and diabetes.
PMID- 22094891
TI - A framework for characterizing eHealth literacy demands and barriers.
AB - BACKGROUND: Consumer eHealth interventions are of a growing importance in the
individual management of health and health behaviors. However, a range of access,
resources, and skills barriers prevent health care consumers from fully engaging
in and benefiting from the spectrum of eHealth interventions. Consumers may
engage in a range of eHealth tasks, such as participating in health discussion
forums and entering information into a personal health record. eHealth literacy
names a set of skills and knowledge that are essential for productive
interactions with technology-based health tools, such as proficiency in
information retrieval strategies, and communicating health concepts effectively.
OBJECTIVE: We propose a theoretical and methodological framework for
characterizing complexity of eHealth tasks, which can be used to diagnose and
describe literacy barriers and inform the development of solution strategies.
METHODS: We adapted and integrated two existing theoretical models relevant to
the analysis of eHealth literacy into a single framework to systematically
categorize and describe task demands and user performance on tasks needed by
health care consumers in the information age. The method derived from the
framework is applied to (1) code task demands using a cognitive task analysis,
and (2) code user performance on tasks. The framework and method are applied to
the analysis of a Web-based consumer eHealth task with information-seeking and
decision-making demands. We present the results from the in-depth analysis of the
task performance of a single user as well as of 20 users on the same task to
illustrate both the detailed analysis and the aggregate measures obtained and
potential analyses that can be performed using this method. RESULTS: The analysis
shows that the framework can be used to classify task demands as well as the
barriers encountered in user performance of the tasks. Our approach can be used
to (1) characterize the challenges confronted by participants in performing the
tasks, (2) determine the extent to which application of the framework to the
cognitive task analysis can predict and explain the problems encountered by
participants, and (3) inform revisions to the framework to increase accuracy of
predictions. CONCLUSIONS: The results of this illustrative application suggest
that the framework is useful for characterizing task complexity and for
diagnosing and explaining barriers encountered in task completion. The framework
and analytic approach can be a potentially powerful generative research platform
to inform development of rigorous eHealth examination and design instruments,
such as to assess eHealth competence, to design and evaluate consumer eHealth
tools, and to develop an eHealth curriculum.
PMID- 22094892
TI - Synthesis, characterization and structural transformation of a discrete
tetragonal metalloprism.
AB - A novel M(2)L(4) tetragonal metalloprism, [(NO(3)(-))?{Cu(2)(MU
Hdpma)(4)}(NO(3))(2)](NO(3))(5) (1), was prepared from the self-assembly reaction
of Cu(NO(3))(2).3H(2)O and flexible clip-like organic ligand di(3
pyridylmethyl)amine (dpma) under acidic conditions. The cationic prismatic hollow
structure of 1 hosts one nitrate anion via both metal-ligand dative bonds and
electrostatic interactions. Metalloprism 1 can dissolve in water and its
prismatic structure remains intact as supported by ESI-MS data. When metalloprism
1 was treated with sodium thiocyanate and sodium azide in aqueous solutions, two
polymeric coordination architectures, [Cu(MU-Hdpma)(2)(NCS)(2)](NO(3))(2) (2) and
[Cu(MU-dpma)(2)(MU-1,1-N(3))(MU-1,3-N(3))] (3), formed at room temperature,
respectively. Polymer 2 has a two-dimensional sheet structure showing a simple
rhombic 4(4)-sql topology in network connectivity, whereas polymer 3 gives a
three-dimensional uninodal pcu net. The conformation of the flexible ditopic
ligand is varied from a trans-trans-syn conformer in 1 to a trans-trans-anti
conformer in 2 and to a trans-gauche-anti conformer in 3. The observations imply
the occurrence of structural transformation from a discrete metalloprism into
polymeric coordination architectures via a decoordination/rearrangement process.
Magnetic studies of metalloprism 1 suggest that the two Cu(II) centers are weakly
antiferromagnetically coupled. The spins communicate via the nitrate template
while the Cu...O(nitrate) interactions are weak. For polymer 3, a
ferromagnetically coupled system (J(2) = +17.6 cm(-1)) is operative between two
Cu(II) centers bridged by end-on azidos and an antiferromagnetic coupling (J(1) =
-7.7 cm(-1)) between two Cu(II) centers with end-to-end azidos. In contrast to
relatively large coupling values of the reported examples, the weak ferromagnetic
interaction results from insufficient spin delocalization between two Cu(II)
centers.
PMID- 22094890
TI - Genome-wide comparative analysis of pogo-like transposable elements in different
Fusarium species.
AB - The recent availability of genome sequences of four different Fusarium species
offers the opportunity to perform extensive comparative analyses, in particular
of repeated sequences. In a recent work, the overall content of such sequences in
the genomes of three phylogenetically related Fusarium species, F. graminearum,
F. verticillioides, and F. oxysporum f. sp. lycopersici has been estimated. In
this study, we present an exhaustive characterization of pogo-like elements,
named Fots, in four Fusarium genomes. Overall 10 Fot and two Fot-related
miniature inverted-repeat transposable element families were identified,
revealing a diversification of multiple lineages of pogo-like elements, some of
which accompanied by a gain of introns. This analysis also showed that such
elements are present in an unusual high proportion in the genomes of F. oxysporum
f. sp. lycopersici and Nectria haematococca (anamorph F. solani f. sp. pisi) in
contrast with most other fungal genomes in which retroelements are the most
represented. Interestingly, our analysis showed that the most numerous Fot
families all contain potentially active or mobilisable copies, thus conferring a
mutagenic potential of these transposable elements and consequently a role in
strain adaptation and genome evolution. This role is strongly reinforced when
examining their genomic distribution which is clearly biased with a high
proportion (more than 80%) located on strain- or species-specific regions
enriched in genes involved in pathogenicity and/or adaptation. Finally, the
different reproductive characteristics of the four Fusarium species allowed us to
investigate the impact of the process of repeat-induced point mutations on the
expansion and diversification of Fot elements.
PMID- 22094893
TI - Contribution of the intestinal microbiota to human health: from birth to 100
years of age.
AB - Our intestinal tract is colonized since birth by multiple microbial species that
show a characteristic succession in time. Notably the establishment of the
microbiota in early life is important as it appears to impact later health. While
apparently stable in healthy adults, the intestinal microbiota is changing
significantly during aging. After 100 years of symbiosis marked changes have been
observed that may relate to an increased level of intestinal inflammation. There
is considerable interest in the microbiota in health and disease as it may
provide functional biomarkers, the possibility to differentiate subjects, and
avenues for interventions. This chapter reviews the present state of the art on
the research to investigate the contribution of the intestinal microbiota to
human health. Specific attention will be given to the healthy microbiota and
aberrations due to disturbances such as celiac disease, irritable bowel syndrome,
inflammatory bowel disease, obesity and diabetes, and non-alcoholic fatty liver
disease.
PMID- 22094894
TI - Genetic prevalence and characteristics in children with recurrent pancreatitis.
AB - AIMS: The causes of chronic (CP) and recurrent acute pancreatitis (RAP) in
children include anatomic abnormalities and hereditary, metabolic, and autoimmune
disorders, with a significant proportion of cases being labeled as idiopathic.
Genetic pancreatitis (GP) is associated with mutations of cystic fibrosis
transmembrane conductor regulator gene (CFTR), cationic trypsinogen (PRSS1) gene,
and serine protease inhibitor Kazal type 1 (SPINK1). There literature is sparse
regarding the clinical profile of GP in children. The aim of the present study
was to estimate the prevalence and describe the clinical characteristics and
outcome of genetic pancreatitis. METHODS: We reviewed the charts of children ages
18 years or younger with RAP or CP diagnosed from 2000 to 2009 at the Children's
Hospital of Wisconsin, Milwaukee. Twenty-nine patients with RAP or CP were
identified, of whom 23 (79%) were positive for mutations in >=1 of the above
mentioned genes, and were included for review. RESULTS: The median age of symptom
onset was 5 years (range 9 months-15 years) with diagnosis at 6.5 years (range 1
16 years). Twenty-one were white; 14 were girls. The most common presenting
symptoms were abdominal pain and vomiting. Patients with RAP had 2 to 8 episodes
of pancreatitis during 3.6-year average follow-up. Family history was positive in
5 of 29 of gene-tested patients. CFTR, SPINK1, or PRSS1 mutations were seen in 14
(48%), 8 (27%), and 7 (24%) patients, respectively. Two patients were homozygous
for CFTR mutations, 6 heterozygote and 4 patients had 5 T variants. Two other
patients had double heterozygous mutations in F508 del/2789 + 5G > A and F508
del/5T variant. Six patients with CP had a combination of CFTR and SPINK1 or
PRSS1 mutations. Eleven of 29 (38%) patients met radiological criteria for CP.
All of the heterozygote patients with a combination of CFTR and SPINK1 or PRSS1
mutations had CP. Eight patients developed a chronic pain syndrome and 2
developed exocrine pancreatic insufficiency during follow-up. CONCLUSIONS: We
found a high prevalence of genetic mutations in patients without anatomic or
metabolic abnormalities known to be associated with pancreatitis. Studies are
needed to ascertain the genetic causes of RAP and CP and examine the relation
between single CFTR mutations and single mutations in the PRSS1 and SPINK1 genes.
PMID- 22094895
TI - Bone health in children with celiac disease assessed by dual x-ray
absorptiometry: effect of gluten-free diet and predictive value of serum
biochemical indices.
AB - OBJECTIVES: In the present study, we aimed to assess bone status and the effect
of gluten-free diet (GFD) in children with celiac disease (CD), and to evaluate
the predictive value of standard serum biochemical indices in the diagnosis of
bone mineral density (BMD) disturbances. METHODS: Forty-five children at the time
of diagnosis of CD (group A, 77.8% girls) and 36 children receiving GFD for >2
years (group B, 75% girls) were included. Sixteen children in group A were
reexamined 12 months after initiation of GFD. Serum measurements of biochemical
bone health indices and BMD, assessed by dual x-ray absorptiometry, were
obtained. RESULTS: Patients after 1 year of receiving GFD had higher BMD z scores
compared with baseline (-1.45 +/- 0.28 vs -0.61 +/- 0.25, respectively, P =
0.004). BMD z scores were significantly lower than expected for the normal
population, after 1 (P = 0.03) or at least 2 (P < 0.001) years of receiving GFD.
In group B, BMD z score was positively correlated with 25-hydroxy vitamin D
levels (P = 0.009). In the repeated measurements group, 25-hydroxy vitamin D
differed between pre- and post-GFD (P = 0.018). No biochemical index was capable
of predicting an abnormal BMD z score (receiver operating characteristic curve
analysis, all of the areas under the curve <0.66). CONCLUSIONS: GFD has a
beneficial effect on bone health. Two years receiving diet do not ensure
normalization. Biochemical markers are not indicative of BMD disturbances. Dual x
ray absorptiometry should be included in the standard management of children with
CD.
PMID- 22094896
TI - Nonbiopsy diagnosis of celiac disease: are we nearly there yet?
PMID- 22094898
TI - Zinc and copper deficiency in the microvillus inclusion disease.
PMID- 22094899
TI - Factors contributing to adherence to dietary treatment of eosinophilic
gastrointestinal diseases.
AB - The purpose of the present study was to identify barriers to dietary adherence
found in the treatment of children with eosinophilic gastrointestinal diseases
(EGIDs) and food allergy. A prospective study using a self-administered survey to
parents of children with EGIDs at a national advocacy meeting was completed.
Responses from 45 participants describing children ages 1 to 18 years (69% boys)
identified that 63% were adherent to food restrictions. Physicians provided
dietary instructions more often than dietitians. Nonadherence was associated with
lack of school support (P < 0.027). Access to a dietitian may improve the care of
children with EGIDs.
PMID- 22094897
TI - Human milk adiponectin affects infant weight trajectory during the second year of
life.
AB - OBJECTIVE: Serum adiponectin (APN) is associated with lower childhood obesity,
and APN concentration in human milk is associated with slower growth during
active breast-feeding. We examined infant weight gain in the second year of life
after exposure to high or low levels of mother's milk APN. METHODS: Breast
feeding mother-infant pairs were recruited in Mexico City and studied for 2
years; 192 infants with at least 12 months' follow-up were analyzed. Monthly milk
samples were assayed for APN; mothers were classified as producing high or low
levels of milk APN. Infant and maternal serum APN were assessed during year 1.
Infant anthropometry was measured monthly (year 1) or bimonthly (year 2), and
World Health Organization z scores were calculated. Longitudinal adjusted models
assessed weight-for-age and weight-for-length z score trajectories from 1 to 2
years. RESULTS: Maternal serum APN modestly correlated with milk APN (r=0.37,
P<0.0001) and infant serum APN (r=0.29, P=0.01). Infants exposed to high milk APN
experienced increasing weight-for-age and weight-for-length z scores between age
1 and 2 years in contrast to low milk APN exposure (P for group * time=0.02 and
0.054, respectively), adjusting for growth in the first 6 months and other
covariates. In contrast, infant serum APN in year 1 was not associated with the
rate of weight gain in year 2. CONCLUSIONS: High human milk APN exposure was
associated with accelerated weight trajectory during the second year of life,
suggesting its role in catch-up growth after slower weight gain during the first
year of life.
PMID- 22094900
TI - Health-related quality of life in pediatric patients with long-standing
pancreatitis.
AB - OBJECTIVES: There are limited data on health-related quality of life (HRQOL) in
pediatric patients with long-standing pancreatitis (including acute relapsing and
chronic pancreatitis) using age-appropriate measurement instruments. METHODS: We
evaluated HRQOL in children with long-standing pancreatitis using the PedsQL 4.0
Generic Core Scales by patient self-report as well as parent proxy report.
Additionally, patient self-reports and parent proxy reports were completed for
the PedsQL Multidimensional Fatigue Scale. RESULTS: Across all of the dimensions,
significantly impaired HRQOL and higher fatigue were noted for both pediatric
patient self-report and parent proxy report in comparison with the matched
healthy children samples. Higher fatigue was associated with lower HRQOL. There
was moderate to good agreement between patient self-reports and parent proxy
reports. CONCLUSIONS: Given the impaired HRQOL and fatigue documented in the
present study, future studies are needed to determine whether specific factors
can modify HRQOL and fatigue in this patient population.
PMID- 22094901
TI - Utility of the new ESPGHAN criteria for the diagnosis of celiac disease in at
risk groups.
AB - OBJECTIVE: Demonstration of small-bowel mucosal damage has been the basis of
celiac disease diagnosis, but the diagnostic approach is undergoing changes. The
European Society for Pediatric Gastroenterology, Hepatology, and Nutrition
recently stated that in a subgroup of children, high positive transglutaminase 2
antibody (TG2ab) values may be sufficient for the diagnosis. The utility of these
new criteria was evaluated by applying the human red blood cell TG2 antibody test
(RBC-TG2ab) to a large cohort of children and adults belonging to at-risk groups.
METHODS: RBC-TG2ab and endomysial antibodies (EmA) were measured in 3031 family
members or other relatives of patients with celiac disease. The RBC-TG2ab values
were classified as weak (20-29 U), moderate (30-99 U), and strong (>=100 U)
positive. Seropositive subjects were further tested by human recombinant TG2ab
(Hr-TG2ab) and for the presence of celiac disease-associated human leukocyte
antigen-DQ alleles. Gastroscopy was recommended for all with positive RBC-TG2ab,
EmA, or Hr-TG2ab, or weak positive RBC-TG2ab and symptoms. RESULTS: Strong
positive RBC-TG2ab has good correlation with EmA and Hr-TG2ab and positivity of
DQ2/8, and the diagnosis was established in 94% of both children and adults. In
contrast, moderately positive (>=30 U) RBC-TG2ab showed poor correlation with the
other tests, and celiac disease was diagnosed in 69% of children and 86% of
adults. Most participants with weak positive RBC-TG2ab were negative for EmA and
Hr-TG2ab. CONCLUSIONS: In accordance with the new European Society for Pediatric
Gastroenterology, Hepatology, and Nutrition criteria, strong positive RBC-TG2ab
showed good accuracy and excellent correlation with the other antibodies and
celiac-type human leukocyte antigen. In contrast, low or moderately positive RBC
TG2ab values were of unsatisfactory prognostic value for a subsequent diagnosis.
PMID- 22094902
TI - Solitary rectal ulcer syndrome in children and adolescents.
AB - OBJECTIVES: The aim of this study was to describe the presenting symptoms,
endoscopic and histologic findings, and clinical courses of pediatric patients
diagnosed with solitary rectal ulcer syndrome (SRUS). METHODS: We describe 15
cases of SRUS diagnosed at our institution during a 13-year period. Cases were
identified by review of a pathology database and chart review and confirmed by
review of biopsies. Data were collected by retrospective chart review. RESULTS:
Presenting symptoms were consistent but nonspecific, most commonly including
blood in stools, diarrhea alternating with constipation, and abdominal/perianal
pain. Fourteen of 15 patients had normal hemoglobin/hematocrit, erythrocyte
sedimentation rate, and albumin at diagnosis. Endoscopic findings, all limited to
the distal rectum, ranged from erythema to ulceration and polypoid lesions.
Histology revealed characteristic findings. Stool softeners and mesalamine
suppositories improved symptoms, but relapse was common. CONCLUSIONS: SRUS in
children presents with nonspecific symptoms and endoscopic findings. Clinical
suspicion is required, and diagnosis requires histologic confirmation. Response
to present treatments is variable.
PMID- 22094903
TI - An overlooked entity in children with rectal bleeding: solitary rectal ulcer.
PMID- 22094904
TI - Treatment of early stage osteonecrosis of the femoral head with autologous
implantation of bone marrow-derived and cultured mesenchymal stem cells.
AB - BACKGROUND: Treatment of early-stage osteonecrosis of the femoral head (ONFH)
with autologous implantation of iliac crest bone marrow-derived mononuclear
cells, which contain tens of thousands of bone marrow mesenchymal stem cells
(BMMSCs), recently achieved a promising outcome. METHODS: One hundred patients
with early-stage ONFH were recruited and randomly assigned to BMMSC treatment or
core decompression (CD) treatment. Each BMMSC-treated hip received femoral head
(FH) implantation of 2*10(6) autologous subtrochanteric bone marrow-derived and
ex vivo expanded BMMSCs. The radiographic stage of ONFH according to the
Association Research Circulation Osseous classification, Harris hip score (HHS),
and the volume of the necrotic lesion or the low signal intensity zone (LowSIZ)
in the FH were assessed before and 6, 12, 24, and 60 months after the initial
operation. RESULTS: Sixty months after the operation, only 2 of the 53 BMMSC
treated hips progressed and underwent vascularized bone grafting. In CD group, 7
hips lost follow-up, and 10 of the rest 44 hips progressed and underwent
vascularized bone grafting (5 hips) or total hip replacement (5 hips). Compared
with the CD group, BMMSC treatment significantly improved the HHS as well as
decreased the volume of femoral head LowSIZ of the hips preoperatively classified
at stage IC, IIB, and IIC (P<0.05, respectively; stage IIA, P=0.06,
respectively). No complication was observed in both treatment groups.
CONCLUSIONS: Ex vivo expansion of autologous BMMSCs can reliably provide a
greater number of BMMSCs for FH implantation. This intervention is safe and
effective in delaying or avoiding FH collapse, which may necessitate total hip
replacement.
PMID- 22094905
TI - Dysregulated expression of both the costimulatory CD28 and inhibitory CTLA-4
molecules in PB T cells of advanced cervical cancer patients suggests systemic
immunosuppression related to disease progression.
AB - Cervical cancer (CC) occurs more frequently in women who are immunosuppressed,
suggesting that both local and systemic immune abnormalities may be involved in
the evolution of the disease. Costimulatory CD28 and inhibitory CTLA-4 molecules
expressed in T cells play a key role in the balanced immune responses. There has
been demonstrated a relation between CD28, CTLA-4, and IFN genes in
susceptibility to CC, suggesting their importance in CC development. Therefore,
we assessed the pattern of CD28 and CTLA-4 expression in T cells from PB of CC
patients with advanced CC (stages III and IV according to FIGO) compared to
controls. We also examined the ability of PBMCs to secrete IFN-gamma. We found
lower frequencies of freshly isolated and ex vivo stimulated CD4 + CD28+ and CD8
+ CD28+ T cells in CC patients than in controls. Loss of CD28 expression was more
pronounced in the CD8+ T subset. Markedly increased proportions of CTLA-4+ T
cells in CC patients before and after culture compared to controls were also
observed. In addition, patients' T cells exhibited abnormal kinetics of surface
CTLA-4 expression, with the peak at 24 h of stimulation, which was in contrast to
corresponding normal T cells, revealing maximum CTLA-4 expression at 72 h of
stimulation. Of note, markedly higher IFN-gamma concentrations were shown in
supernatants of stimulated PBMCs from CC patients. CONCLUSIONS: Our report shows
the dysregulated CD28 and CTLA-4 expression in PB T cells of CC patients, which
may lead to impaired function of these lymphocytes and systemic immunosuppression
related to disease progression.
PMID- 22094906
TI - Oncological outcome after free jejunal flap reconstruction for carcinoma of the
hypopharynx.
AB - It has been a common practice among the oncologist to reduce the dosage of
adjuvant radiotherapy for patients after free jejunal flap reconstruction. The
current aims to study potential risk of radiation to the visceral flap and the
subsequent oncological outcome. Between 1996 and 2010, consecutive patients with
carcinoma of the hypopharynx requiring laryngectomy, circumferential
pharyngectomy and post-operative irradiation were recruited. Ninety-six patients
were recruited. TNM tumor staging at presentation was: stage II (40.6%), stage
III (34.4%) and stage IV (25.0%). Median follow-up period after surgery was 68
months. After tumor ablation, reconstruction was performed using free jejunal
flap (60.4%), pectoralis major myocutaneous (PM) flap (31.3%) and free
anterolateral thigh (ALT) flap (8.3%). All patients underwent adjuvant
radiotherapy within 6.4 weeks after surgery. The mean total dose of radiation
given to those receiving cutaneous and jejunal flap reconstruction was 62.2 Gy
and 54.8 Gy, respectively. There was no secondary ischaemia or necrosis of the
flaps after radiotherapy. The 5-year actuarial loco-regional tumor control for
the cutaneous flap and jejunal flap group was: stage II (61 vs. 69%, p = 0.9),
stage III (36 vs. 46%, p = 0.2) and stage IV (32 vs. 14%, p = 0.04),
respectively. Reduction of radiation dosage in free jejunal group adversely
affects the oncological control in stage IV hypopharyngeal carcinoma. In such
circumstances, tubed cutaneous flaps are the preferred reconstructive option, so
that full-dose radiotherapy can be given.
PMID- 22094907
TI - Usefulness of urinary biomarkers in early detection of acute kidney injury after
cardiac surgery in adults.
AB - BACKGROUND: Acute kidney injury (AKI) is a common complication after cardiac
surgery. Urinary liver-type fatty acid-binding protein (L-FABP) reflects the
presence of renal tubular injury. The aim of the present study was to evaluate
the utility of urinary L-FABP compared with other urinary biomarkers for the
early detection of postoperative AKI among adult patients undergoing cardiac
surgery. METHODS AND RESULTS: Patients were divided into the AKI (n=48) and non
AKI groups (n=37) according to whether they developed postoperative AKI within
48h after surgery. Changes in various biomarkers were evaluated. Urine and serum
samples were obtained from each patient at the following time points: before the
operation, immediately after the operation, and 3, 6, 18, 24, and 48h
postoperatively. The urinary L-FABP level was significantly higher in the AKI
group than in the non-AKI group at every time point, while other biomarkers did
not show such a tendency. The biomarker with the largest area under the curve at
every time point for predicting the onset of AKI was urinary L-FABP. On multiple
logistic regression analysis, the urinary L-FABP level before operation and
within the first 6h after cardiac surgery was significantly associated with the
onset of AKI. CONCLUSIONS: Urinary L-FABP is a useful biomarker for early
detection of AKI and is a good early predictor of the onset of AKI.
PMID- 22094908
TI - Abnormal end-tidal PO(2) and PCO(2) at the anaerobic threshold correlate well
with impaired exercise gas exchange in patients with left ventricular
dysfunction.
AB - BACKGROUND: The aim of the present study was to compare the end-tidal O(2)
pressure (PETO(2)) to end-tidal CO(2) pressure (PETCO(2)) in cardiac patients
during rest and during 2 states of exercise: at anaerobic threshold (AT) and at
peak. The purpose was to see which metabolic state, PETO(2) or PETCO(2), best
correlated with exercise limitation. METHODS AND RESULTS: Thirty-eight patients
with left ventricular (LV) ejection fraction <40% underwent cardiopulmonary
exercise testing (CPX). PETO(2) and PETCO(2) were measured during CPX, along with
peak O(2) uptake (VO(2)), AT, slope of the increase in ventilation (VE) relative
to the increase in CO(2) output (VCO(2)) (VE vs. VCO(2) slope), and the ratio of
the increase in VO(2) to the increase in work rate (DeltaVO(2)/DeltaWR). Both
PETO(2) and PETCO(2) measured at AT were best correlated with peakVO(2), AT,
DeltaVO(2)/DeltaWR and VE vs. VCO(2) slope. PETO(2) at AT correlated with reduced
peak VO(2) (r=-0.60), reduced AT (r=-0.52), reduced DeltaVO(2)/DeltaWR (r=-0.55)
and increased VE vs. VCO(2) slope (r=0.74). PETCO(2) at AT correlated with
reduced peak VO(2) (r=0.67), reduced AT (r=0.61), reduced DeltaVO(2)/DeltaWR
(r=0.58) and increased VE vs. VCO(2) slope (r=-0.80). CONCLUSIONS: PETCO(2) and
PETO(2) at AT correlated with peak VO(2), AT and DeltaVO(2)/DeltaWR, but best
correlated with increased VE vs. VCO(2) slope. PETO(2) and PETCO(2) at AT can be
used as a prime index of impaired cardiopulmonary function during exercise in
patients with LV failure.
PMID- 22094909
TI - Impact of body mass index on clinical outcome in patients hospitalized with
congestive heart failure.
AB - BACKGROUND: Obesity has recently been shown to have a favorable effect on the
prognosis of patients with congestive heart failure (CHF), but only a few such
studies are available in Japan. The purpose of the present study was to
investigate whether the obesity paradox is still present after adjusting for CHF
characteristics. METHODS AND RESULTS: A total of 219 patients hospitalized with
CHF were reviewed, and the impact of body mass index (BMI) on prognosis was
examined. Patients were divided into 4 groups according to BMI quartiles. The
endpoint was defined as all-cause death or unplanned CHF hospitalization.
According to univariate analysis, a higher BMI was associated with better
outcomes. High-BMI patients were younger, likely to be male, and had a higher
prevalence of hypertension and diabetes. The plasma B-type natriuretic peptide
(BNP) levels and blood urea nitrogen (BUN) levels were lower, while the serum
hemoglobin and sodium levels were higher in high-BMI patients. The prevalence of
atrial fibrillation was lower in high-BMI patients. Predictors for all-cause
death or CHF hospitalization based on univariate analysis were age, prior CHF
hospitalization, estimated glomerular filtration rate, plasma BNP levels, BUN
levels, and serum hemoglobin and sodium levels. According to multivariate
analysis, a high BMI was still associated with better outcomes. CONCLUSIONS: High
BMI was associated with better clinical outcomes in Japanese CHF patients.
PMID- 22094910
TI - Clinical outcomes and hemodynamics of the 19-mm Perimount Magna bioprosthesis in
an aortic position: comparison with the 19-mm Medtronic Mosaic Ultra Valve.
AB - BACKGROUND: When aortic valve replacement is performed in patients with a small
aortic annulus, prosthesis-patient mismatch (PPM) is of concern because it can
affect postoperative clinical outcomes. Although larger bioprosthetic valves have
been well studied, the hemodynamics of 19-mm bioprostheses have been reported in
only a small number of patients. The effectiveness as well as the impact of PPM
on outcomes are thus still unclear. METHODS AND RESULTS: Postoperative clinical
and hemodynamic variables were compared in 67 patients with a 19-mm Carpentier
Edwards Perimount Magna bioprosthesis and in 10 patients with a 19-mm Medtronic
Mosaic Ultra valve. Mean follow-up time was 13 months. There was no in-hospital
mortality. Echocardiography 6.5+/-4.0 months after surgery showed significant
decreases in the mean left ventricular (LV)-aortic pressure gradient, and
decreases in the mean LV mass index. Reduction in LV mass index did not differ
between the valve groups, despite a higher pressure gradient in the Mosaic group.
Although PPM was detected in 21 patients in the Magna group, it did not affect
regression of the LV mass index during the follow-up period. CONCLUSIONS: Use of
the 19-mm Magna bioprosthesis appears to provide satisfactory clinical results.
LV-aortic pressure gradient was lower in the Magna group. The present data
suggest that PPM is not related to reduction in the LV mass index.
PMID- 22094912
TI - Predicting long-term mortality after first coronary revascularization: - the
Kyoto model -.
AB - BACKGROUND: We explored the determinants of mortality in order to develop and
validate the Kyoto model, which predicts outcomes after percutaneous coronary
intervention (PCI) and coronary artery bypass grafting (CABG). METHODS AND
RESULTS: A total of 9,393 patients who underwent their first coronary
revascularization without concomitant valvular, left ventricular, or major
vascular surgery were followed over a median follow-up of 3.5 years in the CREDO
Kyoto Registry. We fitted separate Cox regression to mortality after PCI and
CABG. The best-fitting model was internally validated by 10-fold cross
validation. The Cox regression identified the following predictors: age, sex,
body mass index, ejection fraction, atrial fibrillation, diabetes mellitus,
hyperlipidemia, current smoker, stroke, peripheral vascular disease, chronic
obstructive pulmonary disease, malignancy, kidney disease, anemia, liver
cirrhosis, diseased vessel, left main disease, proximal left anterior descending
artery disease, and total occlusion. This model simulated that the 3-year
mortality for a hypothetical 70-year-old man with 2-vessel disease is 2.0% after
PCI and 2.6% after CABG, or 4.2% and 5.1% if he has diabetes and chronic kidney
disease. The Hosmer-Lemeshow test showed no significant deviations between the
observed and predicted events. The C statistics were greater than 0.78.
CONCLUSIONS: The Kyoto model can assist clinicians and patients in adherence to
medication and lifestyle changes after revascularization and in individualized
decision making. A web application is available at
http://www.biostatistics.jp/prediction/kyoto-model.
PMID- 22094911
TI - Effect of intensive lipid-lowering therapy with rosuvastatin on progression of
carotid intima-media thickness in Japanese patients: Justification for
Atherosclerosis Regression Treatment (JART) study.
AB - BACKGROUND: A recent trial in Western countries has shown that rosuvastatin slows
progression of carotid intima-media thickness (IMT) in patients with modest
carotid IMT thickening and elevated levels of low-density lipoprotein cholesterol
(LDL-C). We conducted a prospective, randomized, open-label, blinded-endpoint
trial to determine whether rosuvastatin is more effective than pravastatin in
slowing progression of carotid IMT in Japanese patients. METHODS AND RESULTS:
Adult patients with hypercholesterolemia who had a maximum IMT >=1.1mm were
randomly assigned to receive rosuvastatin or pravastatin. The primary endpoint
was the percent change in the mean-IMT, which was measured by a single observer
who was blinded to the treatment assignments. The trial was stopped on April 2011
according to the recommendation by the data and safety monitoring committee. A
total of 348 patients (173 rosuvastatin; 175 pravastatin) were enrolled and 314
(159 rosuvastatin; 155 pravastatin) were included in the primary analysis. Mean
(SD) percentage changes in the mean-IMT at 12 months were 1.91% (10.9) in the
rosuvastatin group and 5.8% (12.0) in the pravastatin group, with a difference of
3.89% (11.5) between the groups (P=0.004). At 12 months, 85 patients (59.4%) in
the rosuvastatin group achieved a LDL-C/high-density lipoprotein cholesterol
ratio <=1.5 compared with 24 patients (16.4%) in the pravastatin group
(P<0.0001). CONCLUSIONS: Rosuvastatin significantly slowed progression of carotid
IMT at 12 months compared with pravastatin.
PMID- 22094913
TI - Selective sinoatrial node optical mapping and the mechanism of sinus rate
acceleration.
AB - BACKGROUND: Studies using isolated sinoatrial node (SAN) cells indicate that
rhythmic spontaneous sarcoplasmic reticulum calcium release (Ca clock) plays an
important role in SAN automaticity. In the intact SAN, cross-contamination of
optical signals from the SAN and the right atrium (RA) prevent the definitive
testing of Ca clock hypothesis. The aim of this study was to use a novel approach
to selectively mapping the intact SAN to examine the Ca clock mechanism. METHODS
AND RESULTS: We simultaneously mapped intracellular Ca (Ca(i)) and membrane
potential (V(m)) in 10 isolated, Langendorff-perfused normal canine RAs. The
excitability of the RA was suppressed with high-potassium Tyrode's solution,
allowing selective optical mapping of V(m) and Ca(i) of the SAN. Isoproterenol
(ISO, 0.03 umol/L) decreased the cycle length of the sinus beats, and shifted the
leading pacemaker site from the middle or inferior SAN to the superior SAN in all
RAs. The Ca(i) upstroke preceded the V(m) in the leading pacemaker site by up to
18 +/- 2 ms. ISO-induced changes to SAN were inhibited by ryanodine (3 umol/L),
but not ZD7288 (3 umol/L), a selective I(f) blocker. CONCLUSIONS: We conclude
that, in the isolated canine RA, a high extracellular potassium concentration can
suppress atrial excitability thus leading to SAN-RA conduction block, allowing
selective optical mapping of the intact SAN. Acceleration of Ca cycling in the
superior SAN underlies the mechanism of sinus tachycardia during sympathetic
stimulation.
PMID- 22094914
TI - Multifocal intraparenchymal Langerhans' cell histiocytosis concomitant with an
arachnoid cyst in a child: case report and review of the literature.
AB - Langerhans' cell histiocytosis is a disease usually found in children and
characterized by idiopathic proliferation of histiocytes in the
reticuloendothelial system. Intracranial Langerhans' cell histiocytosis
presenting as multifocal intraparenchymal lesions is very rare. In this article,
the authors report on a 4-year-old boy diagnosed with multifocal intraparenchymal
Langerhans' cell histiocytosis concomitant with an arachnoid cyst. After a series
of laboratory examinations, the right frontal mass was surgically excised.
Histological examinations confirmed the diagnosis of intracranial Langerhans'
cell histiocytosis. The patient's intracranial hypertension symptoms were
alleviated, and the remaining foci were treated by Langerhans' cell histiocytosis
directed standard chemotherapy. At the 8-month follow-up visit, no recurrence of
the excised lesion was found, and no change in the size of other lesions was
seen. Supratentorial intracerebral lesions with mass effect and enhancement have
rarely been described; in this report, the histological features of and
therapeutic options for such a case are discussed.
PMID- 22094915
TI - Oligonephropathy of prematurity.
AB - With improved health care, the number of premature babies who survive to
adulthood is expected to increase. The objective of this review is to determine
whether premature infants have an increased risk of chronic kidney disease (CKD).
A literature review was performed by searching PubMed (U.S. National Library of
Medicine) and the Cochrane Library, using the keywords "prematurity," "kidney,"
"nephrogenesis," "oligonephropathy," and "kidney impairment." Articles published
in English since 1990 were reviewed. Increasing evidence suggests that
prematurity causes oligonephropathy independently of, and coexisting with,
intrauterine growth restriction. Animal studies show that nephrogenesis continues
for up to 3 weeks in extrauterine life, but with up to 18% abnormal glomeruli.
Nephrogenesis is further impaired in preterm infants who develop renal impairment
in the early postnatal period, which is estimated to be 8 to 24%. Premature
infants are at risk for CKD. A larger longitudinal study is needed that follows
up premature infants to determine the exact incidence of CKD. Until then, renal
assessment in premature infants should be incorporated into follow-up guidelines,
in addition to the current assessment of growth and neurodevelopmental outcomes.
The cost implications to a comprehensive program, impact of early identification,
and strategies to improve outcomes in this population are needed.
PMID- 22094916
TI - Midtrimester intra-amniotic sludge and the risk of spontaneous preterm birth.
AB - We examined the association between midtrimester intra-amniotic sludge and
spontaneous preterm birth (PTB) in asymptomatic women undergoing amniocentesis.
We performed a prospective cohort study of women having an amniocentesis for
fetal karyotyping between 14 and 24 weeks' gestation. Cervical length and the
presence of amniotic sludge were assessed by transvaginal ultrasound. Amniotic
fluid concentrations of matrix metalloproteinase-8, glucose and lactate were
measured. Early (<32 weeks) and late (32 to 36 weeks) preterm premature rupture
of membranes (PPROM) and spontaneous PTB constituted primary outcomes.
Nonparametric analyses were conducted. Three hundred ten women, including 94
(30%) with free-floating echogenic particles and 16 (5%) with dense amniotic
sludge, were recruited. Dense amniotic sludge was linked with early (13%) but not
with late (0%) primary outcome ( P < 0.01). Two women with combined dense
amniotic sludge and short cervix delivered 4 and 10 weeks later (at 20 and 25
weeks, respectively) and had a higher median amniotic lactate concentration than
controls ( P < 0.05). A third woman with dense amniotic sludge at 15 weeks was
diagnosed with a short cervix and an intra-amniotic infection at 22 weeks that
was eradicated with intravenous antibiotics. Midtrimester dense amniotic sludge
is associated with early PPROM and spontaneous PTB.
PMID- 22094917
TI - Human milk versus formula feeding among preterm infants: short-term outcomes.
AB - We evaluated short-term neonatal outcomes among preterm infants according to type
of feeding administered (human milk or formula). Retrospective data were
collected on 400 preterm infants at gestational age <=32 weeks. Groups were
chosen and compared according to feeding type. The premature infants who were fed
human milk had lower gestational age and birth weight than those who were formula
fed. Lower rates of necrotizing enterocolitis (NEC) were detected in the group of
infants fed human milk (p = 0.044). Lower rates of retinopathy of prematurity
(ROP) were detected in a subgroup of breast-fed infants born at 24 to 28 weeks'
gestational age, but the results did not reach statistical significance using
univariate analysis (p = 0.06). Using multivariate analysis, however, ROP stage
III among this subgroup was significantly lower (p = 0.022). No differences were
recorded for other neonatal complications such as infections or for growth
parameters. The advantage of human milk feeding, found mainly among preterm
infants with respect to rates of NEC and ROP, supports efforts to encourage
mothers to feed their infants human milk.
PMID- 22094918
TI - Continuous glucose monitoring in diabetic women following antenatal
corticosteroid therapy: a pilot study.
AB - To compare the timing, duration, and severity of corticosteroid-associated
hyperglycemia in pregnant women with and without diabetes mellitus (DM). An
observational study was conducted of pregnant women with DM and controls who
received corticosteroids. Median glucose levels were calculated over 4-hour
intervals after the first dose of corticosteroid with a continuous glucose
monitor. A glucose level increase of at least 15% above baseline was considered
significant. Nine pregnant women participated in this study (six with DM and
three without DM). Elevations of glucose levels occurred at hour 20, 44, and 68
in both groups and lasted for up to 4 hours. In those with DM, glucose levels
increased 33 to 48%, whereas in those without DM, glucose levels rose 16 to 33%.
Several, relatively short episodes of glucose elevation occur in response to
corticosteroids, and are more pronounced in diabetic women.
PMID- 22094919
TI - Preclosure fluid resuscitation influences outcome in gastroschisis.
AB - Optimal preclosure fluid resuscitation in gastroschisis (GS) is unknown. The
purpose of our study was to evaluate effects of preclosure intravenous fluid
resuscitation on GS outcome. Cases were accrued from a national GS database. Risk
variables analyzed included gestational age (GA), birth weight (BW), neonatal
illness severity score, and bolus fluid administration within 6 hours of neonatal
intensive care unit admission. Outcomes analyzed included closure success, days
of ventilation/total parenteral nutrition (TPN), and bacteremic episodes. Linear
and logistic regression analyses were performed. Four hundred seven live-born GS
cases were identified (362 with complete resuscitative fluids data). Mean BW, GA,
and Score for Neonatal Acute Physiology-II score were 2562 +/- 539 g, 36.17 +/-
1.95 weeks, and 9.97 +/- 12.65, respectively. One hundred sixty-two patients
received no supplemental fluid, and 200 patients received a mean of 21.49 (0.81
to 134.81) mL/kg of intravenous fluid. Multivariate outcomes analyses
demonstrated a significant, direct relationship between resuscitative volume and
days of postclosure ventilation, TPN, length of hospital stay, and bacteremic
episodes; specifically, every 17 mL/kg of fluid predicted one additional
ventilation day (p = 0.002), TPN day (p = 0.01), and hospital day (p = 0.01) and
0.02 odds increase of an episode of bacteremia (p = 0.03). Judicious, preclosure
fluid resuscitation is essential in early GS management. Excessive fluid is
associated with several adverse survival outcomes.
PMID- 22094920
TI - Single pass tangential flow filtration to debottleneck downstream processing for
therapeutic antibody production.
AB - As the therapeutic monoclonal antibody (mAb) market continues to grow, optimizing
production processes is becoming more critical in improving efficiencies and
reducing cost-of-goods in large-scale production. With the recent trends of
increasing cell culture titers from upstream process improvements, downstream
capacity has become the bottleneck in many existing manufacturing facilities.
Single Pass Tangential Flow Filtration (SPTFF) is an emerging technology, which
is potentially useful in debottlenecking downstream capacity, especially when the
pool tank size is a limiting factor. It can be integrated as part of an existing
purification process, after a column chromatography step or a filtration step,
without introducing a new unit operation. In this study, SPTFF technology was
systematically evaluated for reducing process intermediate volumes from 2* to 10*
with multiple mAbs and the impact of SPTFF on product quality, and process yield
was analyzed. Finally, the potential fit into the typical 3-column industry
platform antibody purification process and its implementation in a commercial
scale manufacturing facility were also evaluated. Our data indicate that using
SPTFF to concentrate protein pools is a simple, flexible, and robust operation,
which can be implemented at various scales to improve antibody purification
process capacity.
PMID- 22094922
TI - Effects of exercise training in heart transplant recipients: a meta-analysis.
AB - OBJECTIVES: Muscle wasting and exercise intolerance are common in heart
transplant recipients. Most studies on the effects of exercise training have used
relatively small sample sizes and are heterogeneous in nature. The purpose of
this meta-analysis was to systematically review the relevant studies and
investigate the effects of exercise training on exercise capacity and muscle
strength in heart transplant recipients. METHODS: A systematic search was adopted
from electronic databases and relevant references, using medical subject heading
key words related to heart transplantation and exercise. Only randomized
controlled trials with exercise intervention versus usual care were included. The
data were expressed as the weighted mean differences with 95% confidence
intervals (CIs). RESULTS: Altogether 6 studies were included. Peak oxygen
consumption (VO(2)) was reported in 4 trials (117 patients), and muscle strength
was reported in 3 trials (67 patients). Peak VO(2) was significantly increased by
2.34 ml/kg/min (95% CI 0.63-4.05). One-repetition maxima of the chest press
(23.28 kg, 95% CI 0.64-45.91) and leg press (28.84 kg, 95% CI 5.70-51.98) were
significantly improved by exercise training. CONCLUSION: Exercise training is
recommended for heart transplant recipients to improve peak VO(2) and muscle
strength despite the small number of trials included in this meta-analysis.
PMID- 22094921
TI - Prevalence of magnetic resonance imaging-defined atrophic and hypertrophic
phenotypes of knee osteoarthritis in a population-based cohort.
AB - OBJECTIVE: To describe the association of osteophytes with concomitant cartilage
damage, assessed using semiquantitative magnetic resonance imaging (MRI), and to
describe the prevalence of atrophic and hypertrophic phenotypes of tibiofemoral
knee osteoarthritis (OA) in a population-based cohort. METHODS: Participants of
the Framingham Knee Osteoarthritis Study were examined with a 1.5T MRI system
using triplanar intermediate-weighted fat-suppressed sequences. Cartilage and
osteophytes were assessed using the Whole-Organ Magnetic Resonance Imaging Score
(WORMS). Overall prevalence of knees with severe cartilage damage and concomitant
osteophyte status were described. Odds ratios for the likelihood of having severe
cartilage damage according to osteophyte size were estimated using a logistic
regression model. An additional analysis assessed knees according to phenotype in
relation to radiographic OA status, with the atrophic phenotype being defined as
knees with absent or only tiny osteophytes (WORMS grade <=2 on a 0-7 scale) in
all 10 tibiofemoral subregions but exhibiting severe cartilage damage, and the
hypertrophic phenotype being defined as knees with large osteophytes (WORMS grade
>=5 on a 0-7 scale) but lacking substantial cartilage damage. RESULTS: In this
study, 1,597 knees of 1,248 subjects were included. Of the 67 knees with large
osteophytes, 54 (80.6%) exhibited severe cartilage damage. The risk of severe
cartilage damage increased markedly with increasing osteophyte size. Twenty-one
knees (1.3%) showed an atrophic phenotype. Only 3 knees (0.2%) exhibited a
hypertrophic phenotype. CONCLUSION: The majority of knees with severe
tibiofemoral cartilage damage exhibited moderate to large osteophytes. The larger
the osteophyte, the more likely was the presence of severe cartilage damage. A
minority of knees exhibited the atrophic phenotype, which also included knees
without radiographic OA. The hypertrophic phenotype was extremely rare.
PMID- 22094923
TI - Diagnostic accuracy of noninvasive detection of fetal trisomy 21 in maternal
blood: a systematic review.
AB - BACKGROUND: Both pregnant women and providers of obstetric care are aware of the
rapid advances in noninvasive prenatal diagnosis (NIPD) of fetal trisomies, and
appear to look forward to its clinical introduction. OBJECTIVES: To review and
critically assess the published literature on diagnostic accuracy of NIPD using
cell-free fetal DNA or RNA in maternal blood to detect fetal trisomy 21. METHOD:
An electronic search was performed in MEDLINE, EMBASE and the Cochrane library
(1997 to April 2011). Of a total of 201 citations, 9 studies were eligible for
full-text analysis by 2 independent reviewers, using the QUADAS tool. RESULTS:
Two of the 9 analyzed studies complied with the criteria of the QUADAS tool.
Combining the selected 2 studies, with a total of 681 pregnancies included,
overall sensitivity was 125/125 (100%, 95% CI 97.5-100%) and specificity 552/556
(99.3%, 95% CI 98.7-99.3%). CONCLUSIONS: NIPD of fetal trisomy 21, using fetal
nucleic acids in maternal plasma, appears to have a high diagnostic accuracy.
Large-scale prospective studies are awaited before implementation in clinical
practice.
PMID- 22094925
TI - Exploring the microbial metalloproteome using MIRAGE.
AB - The microbial metalloproteome has been largely unexplored. Using the
metalloproteomics approach MIRAGE (Metal Isotope native RadioAutography in Gel
Electrophoresis) we have been able to explore the soluble Fe and Zn
metalloproteome of Escherichia coli. The protein identification by MS/MS
typically resulted in several overlapping proteins for each metal containing
spot. Using the E. coli genome annotation the proteins relevant to the iron and
zinc proteome were selected. Superoxide dismutase (SodB) was found to be the
major iron protein after cultivation with a normal iron concentration of 6 MUM.
Upon an elevated iron concentration of 40 MUM, ferritin (FtnA) became dominant.
Under both conditions 90% of the iron was associated with just three different
proteins: superoxide dismutase (SodB), ferritin (FtnA) and bacterioferritin
(Bfr). The uncharacterized proteins YgfK and XdhD were found to be significant
iron containing proteins under elevated iron conditions. The zinc proteome of E.
coli experiencing zinc stress was dominated by ZraP, a putative zinc storage
protein.
PMID- 22094924
TI - Research advances in gene therapy approaches for the treatment of amyotrophic
lateral sclerosis.
AB - Amyotrophic lateral sclerosis (ALS) is a devastating neurodegenerative disease of
motor neurons that causes progressive muscle weakness, paralysis, and premature
death. No effective therapy is available. Research in the motor neuron field
continues to grow, and recent breakthroughs have demonstrated the possibility of
completely achieving rescue in animal models of spinal muscular atrophy, a
genetic motor neuron disease. With adeno-associated virus (AAV) vectors, gene
transfer can be achieved with systemic non-invasive injection and minimal
toxicity. In the context of this success, we review gene therapy approaches for
ALS, considering what has been done and the possible future directions for
effective application of the latest generation of vectors for clinical
translation. We focus on recent developments in the areas of RNA/antisense
mediated silencing of specific ALS causative genes like superoxide dismutase-1
and other molecular pathogenetic targets, as well as the administration of
neuroprotective factors with viral vectors. We argue that gene therapy offers new
opportunities to open the path for clinical progress in treating ALS.
PMID- 22094926
TI - Understanding UV-driven metabolism in the hypersaline ciliate Fabrea salina.
AB - By using NMR spectroscopy, a non-invasive investigation technique, we performed
in vivo experiments aimed at uncovering the metabolic pathways involved in the
early response of Fabrea salina cells to ultraviolet (UV) radiation. This
hypersaline ciliate was chosen as a model organism because of its well-known high
resistance to UV radiation. Identical cell samples were exposed to visible
radiation only (control samples, CS) and to UV-B + UV-A + visible radiation
(treated samples, TS), and NMR spectra of in vivo cells were collected at
different exposure times. Resonances were identified through one- and two
dimensional experiments. To compare experiments performed at variable irradiation
times on different culture batches, metabolite signals affected by the UV
exposure were normalized to corresponding intensity at tau = 0, the zero exposure
time. The most affected metabolites are all osmoprotectants, namely, choline,
glycine-betaine, betaines, ectoine, proline, alpha-trehalose and sucrose. The
time course of these signals presents qualitative differences between CS and TS,
and most of these osmoprotectants tend to accumulate significantly in TS in a UV
dose-dependent manner. A picture of the immediate stress response of F. salina
against UV radiation in terms of osmoprotection, water retention and salting-out
prevention is described.
PMID- 22094927
TI - Population-level response shift: novel implications for research.
AB - OBJECTIVES: Response shift is a change in perceived HRQL that occurs as a result
of recalibration, reprioritization, or reconceptualization of an individual
respondent's internal standards, values, or conceptualization of HRQL. In this
commentary, we suggest that response shift may also occur at the population
level, triggered by causes that affect the distribution of individual-level risk.
METHODS: We illustrated the nature and consequences of potential population-level
response shift with two examples: the September 11 terror attacks, and the recent
denormalization of smoking. RESULTS: Response shift may occur at the population
level, when a large proportion of the population experiences the shift
simultaneously, as a unit, and when the cause of the response shift is a socially
significant event or trend. Such catalysts are of a qualitatively different
nature than the causes leading to health status changes among individuals, and
speak to the determinants affecting the underlying distribution of risk in the
population. CONCLUSIONS: We do not know if population-level causes have actually
resulted in response shifts. Nonetheless, response shifts at the population-level
may be worthwhile to investigate further, both to assess the validity of research
evidence based on the measurement of HRQL in large populations, and as a
desirable intermediate outcome in evaluations of population health programs.
PMID- 22094928
TI - Association of the 463G-A myeloperoxidase gene polymorphism with gastric cancer
risk.
AB - BACKGROUND/AIMS: To explore the association between polymorphism of
myeloperoxidase (MPO) gene and the susceptibility to gastric cancer. METHODOLOGY:
A case control study of 117 gastric cancer patients and 105 controls was
conducted to investigate the polymorphism of MPO gene 463G-A using the polymerase
chain reaction and restriction fragment length polymorphism (PCR-RFLP). The
association between polymorphism and the risk of gastric cancer was examined by a
multivariate analysis. Stratification analysis by age, gender, smoking status, H.
pylori (Hp) infection and family history of gastric cancer was performed.
RESULTS: In gastric cancer group the frequencies of the cases caring genotype
G/G, G/A, A/A were 70.94%, 25.64% and 3.42%, respectively. In healthy control
group, the frequency of genotype G/G, G/A, A/A was 51.43%, 37.14% and 11.43%,
respectively. The frequency of genotype G/A and A/A in cancer group was found
significantly higher than that in healthy control group (p<0.05). Compared with
the MPO-463 G/G genotype, individuals with GA/AA genotype had a significantly
decreased risk of gastric cancer (OR=0.50, 95%CI=0.28-0.90). In the
stratification analysis, patients younger than 60 years old, male, Hp-IgG
negative and with no family history of gastric cancer with genotype GA/AA had
lower risk than those with genotype G/G. CONCLUSIONS: MPO gene polymorphism is
associated with susceptibility of gastric cancer. It is conceivable that carriers
of the A allele may be at reduced risk of gastric cancer.
PMID- 22094929
TI - Urinary complications in rectal cancer patients are related to the dissection
tool.
AB - BACKGROUND/AIMS: To assess the incidence and risk of urinary complications after
anterior rectal cancer resection with regard to the surgical device used for
total mesorectal excision (TME). METHODOLOGY: During the years 2004-2009 we
operated 374 rectal cancer patients with TME and the intent of autonomic nerves
sparing intent. Seventeen patients underwent mesorectal dissection with
ultrasound scalpel (US). They were compared to the control series of 35 cases
selected from the patients for whom electrocautery was used. Selection was done
in the manner to eliminate any other significant differences between groups.
RESULTS: Intraoperative complications, postoperative mortality, anastomotic
leakage and infectious complications did not occur. Urinary bladder disturbances
developed in US group in 1 patient (6%) while in 12 patients (34%) in EC group
(p<0.05). In US group the character of complication was transient stress
incontinence with symptoms being significantly reduced during six postoperative
months. In EC group two patients had dysuria, two nycturia, one had both. Stress
incontinence occurred in six patients, complete incontinence requiring
catheterization in one. CONCLUSIONS: When compared to EC, TME with US is related
to lower risk of urinary complications and facilitates autonomic nerve
preservation due to minimized thermal lateral tissue damage.
PMID- 22094930
TI - Partial pancreatic resection for pancreatic malignancy is associated with
sustained pancreatic exocrine failure and reduced quality of life: a prospective
study.
AB - OBJECTIVES: Pancreatic resection for cancer may produce pancreatic exocrine
insufficiency (PEI), which is poorly understood. This study examined the
coefficient of fat absorption (CFA), symptoms, quality of life (QoL) and the
accuracy of faecal elastase-1 (FE-1) measurement to predict PEI. METHODS: Forty
patients were analysed following resection for pancreatic malignancy. The primary
endpoint was PEI diagnosis defined by CFA <93%; secondary endpoints were PEI
diagnosis using FE-1 <200 MUg/g, body mass index (BMI), and symptom and QoL
analysis. Interventions were 3-day stool collection, EORTC QLQ-C30 (version 1)
questionnaire and patient's diary, at 6 weeks and 3, 6 and 12 months after
surgery. RESULTS: CFA <93% was present in 67% of patients at 6 weeks and in 55%
at 12 months. PEI using FE-1 was present in 77 and 83% of patients, respectively.
No significant changes between time-points were observed. Sensitivity,
specificity, PPV, NPV and accuracy for FE-1 in detecting CFA <93% were 91, 35,
70, 71 and 70%, respectively. CFA and FE-1 levels were uncorrelated. Overall, QoL
increased at 6 (p = 0.0212) and 12 (p < 0.0001) months after surgery, mainly
driven by physical, role and social functioning, and by appetite. Importantly,
however, BMI and symptoms were unaffected by PEI, which suggests a subclinical
presentation; such patients had attributes indicating poorer QoL (notably
insomnia, p = 0.0012). CONCLUSIONS: PEI was common and sustained following
resection and not associated with significant symptoms. These patients had a
tendency toward poorer QoL. FE-1 is a poor surrogate for diagnosing impaired fat
absorption. Postoperative pancreatic enzyme replacement should be considered more
routinely. and IAP.
PMID- 22094931
TI - Prediction of persistent gestational trophobalstic neoplasia: the role of hCG
level and ratio in 2 weeks after evacuation of complete mole.
AB - OBJECTIVE: The aim of this study was to determine whether or not the serum human
chorionic gonadotropin (hCG) level and ratio during 2 weeks after evacuation is
predictive of persistent gestational trophoblastic neoplasia (GTN) in patients
with complete molar pregnancies. METHODS: Between January 2000 and June 2010, a
total of 467 patients with complete molar pregnancies were diagnosed. Seventeen
patients, who had prophylactic chemotherapy and in whom insufficient data were
available, were excluded. A receiver operating characteristic curve was used to
determine the most useful predictive factor for persistent GTN and multivariate
logistic regression was used for analyses. RESULTS: Persistent GTN was diagnosed
in 109 of the 450 patients (24.2%) on the basis of the 2000 FIGO criteria. The
optimal cut-off point for hCG 1 and 2 weeks after evacuation was 6400 mIU/mL
(sensitivity, 54.1%; specificity, 65.1%) and 2400 mIU/mL (sensitivity, 64.2%;
specificity, 78.3%), respectively. The optimal cut-off point for the ratio of pre
evacuation hCG to hCG 2 weeks after evacuation was 30 (sensitivity, 63.3%;
specificity, 86.5%). Based on multivariate analysis, this ratio<30 was an
independent predictive factor for persistent GTN (odds ratio=6.885; 95%
confidence interval, 4.006-11.832; P<0.001). CONCLUSIONS: The decline ratio in
hCG level 2 weeks after evacuation in patients with complete molar pregnancies is
the most reliable predictor of persistent GTN. Our analysis may allow clinicians
to stratify risk in patients with complete molar pregnancies and to provide more
accurate counseling based on the hCG levels obtained 2 weeks after evacuation.
PMID- 22094932
TI - Cognitive function and quality of life in ovarian cancer.
AB - OBJECTIVES: As advances in treatment have prolonged survival for many patients
with ovarian cancer, there has been growing interest in assessing the adverse
effects of disease and treatment. The aim of this study was to review the
literature on cognitive function and quality of life (QOL) in this population.
METHODS: A review of published studies including formal assessment of
neurocognitive functions and self-reported domains of quality of life, with an
emphasis on cognitive function, was performed. RESULTS: The small number of
studies including formal evaluations of neurocognitive function suggests that
many ovarian cancer patients experience cognitive difficulties associated with
their disease and treatment. Several studies described declines in self-reported
cognitive function that may impact QOL, but the results were not consistent
across studies. CONCLUSIONS: Adequately powered longitudinal studies including
formal neurocognitive and QOL assessments are needed to advance our understanding
of the incidence of cognitive dysfunction and its impact on functional ability
and QOL in ovarian cancer patients. These research efforts may ultimately
contribute to treatment decision-making through the identification of vulnerable
patients, and to the development of appropriate intervention strategies to
improve cognitive function and QOL.
PMID- 22094933
TI - Whither the PET scan? The role of PET imaging in the staging and treatment of
breast cancer.
AB - Metabolic imaging may contribute to a better knowledge of the biology of breast
cancer and to new drugs development. Positron emission tomography (PET) with the
radiolabeled glucose analogue 2- [18F]-fluorodeoxyglucose (18F-FDG) allows
quantitative assessment of glucose utilization in tumor tissue. This technique
utilizes a class of radioisotopes that decay by emitting a positron. The positron
travels a short distance (1 mm) before interacting with an electron in what is
called an annihilation reaction. This results in the creation of two high-energy
photons that are emitted in opposite directions. The PET scanner detects such
annihilation radiations and produces a three-dimensional picture of the
distribution of the radiolabeled tracer. 18F-FDG PET has currently a limited role
in breast cancer, due to its low sensitivity that makes it not recommended in
most of the cases, especially in early disease. Potentially, the most useful
application of PET/CT is monitoring the changes in 18F-FDG uptake during
chemotherapy in order to detect an early response to treatment. In fact, while
morphological changes due to effective chemotherapy are not detectable until late
in the course of treatment, metabolic changes generally occur earlier. In this
paper, we summarize the current and future applications of PET in the management
of breast cancer.
PMID- 22094934
TI - Phase II trial of preoperative paclitaxel, gemcitabine, and trastuzumab
combination therapy in HER2 positive stage II/III breast cancer: the Korean
Cancer Study Group BR 07-01.
AB - An addition of trastuzumab preoperatively to chemotherapy for human epidermal
growth factor receptor 2 (HER2) positive breast cancer improved relapse-free
survival (RFS). This study was designed to evaluate the efficacy and safety of
preoperative paclitaxel, gemcitabine, and trastuzumab (PGH) combination for HER2
positive breast caner. Pathologically, proven node positive stage II/III breast
cancer patients with adequate organ function and no history of anti-cancer
therapy were eligible. Patients received weekly trastuzumab with paclitaxel 80
mg/m(2) and gemcitabine 1,200 mg/m(2) on days 1 and 8, every 3 weeks for 6
cycles. Postoperatively, patients completed trastuzumab for 1 year and hormone
therapy for 5 years if indicated. All patients received postoperative radiation
therapy. Of 53 enrolled patients with a median tumor of 5.3 (range, 2.0 to >12)
cm; 43.4%, T3/T4; 75.4%, N2/N3; and 45.3%, positive hormone receptors. The
pathologic complete response (pCR) rate was 58.5% in both tumor and lymph nodes.
Grade 3/4 adverse events were neutropenia (32%), febrile neutropenia (0.6%), and
transient elevation of AST/ALT (1.6%) during a total of 318 cycles. All patients
maintained normal cardiac function. With a median follow-up of 40 months, 3-year
RFS rate was 84% with 91.7% distant metastasis-free survival rates. Remarkable
pCR rate was obtained with non-anthracycline-based PGH therapy for HER2-positive
stage II/III breast cancer. Adverse events were mild with few incidences of
febrile neutropenia.
PMID- 22094935
TI - A comparative biomarker study of 514 matched cases of male and female breast
cancer reveals gender-specific biological differences.
AB - Male breast cancer remains understudied despite evidence of rising incidence.
Using a co-ordinated multi-centre approach, we present the first large scale
biomarker study to define and compare hormone receptor profiles and survival
between male and female invasive breast cancer. We defined and compared hormone
receptor profiles and survival between 251 male and 263 female breast cancers
matched for grade, age, and lymph node status. Tissue microarrays were
immunostained for ERalpha, ERbeta1, -2, -5, PR, PRA, PRB and AR, augmented by
HER2, CK5/6, 14, 18 and 19 to assist typing. Hierarchical clustering determined
differential nature of influences between genders. Luminal A was the most common
phenotype in both sexes. Luminal B and HER2 were not seen in males. Basal
phenotype was infrequent in both. No differences in overall survival at 5 or 10
years were observed between genders. Notably, AR-positive luminal A male breast
cancer had improved overall survival over female breast cancer at 5 (P = 0.01, HR
= 0.39, 95% CI = 0.26-0.87) but not 10 years (P = 0.29, HR = 0.75, 95% CI = 0.46
1.26) and both 5 (P = 0.04, HR = 0.37, 95% CI = 0.07-0.97) and 10 years (P =
0.04, HR = 0.43, 95% CI = 0.12-0.97) in the unselected group. Hierarchical
clustering revealed common clusters between genders including total PR-PRA-PRB
and ERbeta1/2 clusters. A striking feature was the occurrence of ERalpha on
distinct clusters between genders. In female breast cancer, ERalpha clustered
with PR and its isoforms; in male breast cancer, ERalpha clustered with ERbeta
isoforms and AR. Our data supports the hypothesis that breast cancer is
biologically different in males and females suggesting implications for clinical
management. With the incidence of male breast cancer increasing this provides
impetus for further study.
PMID- 22094936
TI - High miR-26a and low CDC2 levels associate with decreased EZH2 expression and
with favorable outcome on tamoxifen in metastatic breast cancer.
AB - For patients with metastatic breast cancer, we previously described that
increased EZH2 expression levels were associated with an adverse outcome to
tamoxifen therapy. Main objective of the present study is to investigate miR-26a
and miR-101 levels, which both target EZH2, for their association with molecular
pathways and with efficacy of tamoxifen as first-line monotherapy for metastatic
breast cancer. Expression levels were measured using quantitative Real-Time
Polymerase Chain Reaction (qRT-PCR) in primary breast cancer specimens of 235
estrogen receptor-alpha (ER)-positive patients. Pathway analysis was performed on
microarray data available for 65 of these tumors. Logistic regression and Cox uni
and multivariate analysis were performed to relate expression levels with
clinical benefit and time to progression (TTP). Increasing levels of miR-26a were
significantly (P < 0.005) associated with both clinical benefit and prolonged
TTP, whereas miR-101 was not. Cell cycle regulation and CCNE1 and CDC2 were the
only significant overlapping pathway and genes differentially expressed between
tumors with high and low levels of miR-26a and EZH2, respectively. In addition,
increasing mRNA levels of CCNE1 (P < 0.05) and CDC2 (P < 0.001) were related to
poor outcome. Multivariate analysis revealed miR-26a and CDC2 as an optimal set
of markers associated with outcome on tamoxifen therapy, independently of
traditional predictive factors. To summarize, only miR-26a levels are related
with treatment outcome. Cell cycle regulation is the only overlapping pathway
linked to miR-26a and EZH2 levels. Low mRNA levels of EZH2, CCNE1, and CDC2, and
high levels of miR-26a are associated with favorable outcome on tamoxifen.
PMID- 22094937
TI - Individually tailored treatment with epirubicin and paclitaxel with or without
capecitabine as first-line chemotherapy in metastatic breast cancer: a randomized
multicenter trial.
AB - Anthracyclines and taxanes are active cytotoxic drugs in the treatment of early
metastatic breast cancer. It is yet unclear whether addition of capecitabine to
the combination of these drugs improves the treatment outcome. Patients with
advanced breast cancer were randomized to first-line chemotherapy with a
combination of epirubicin (Farmorubicin((r))) and paclitaxel (Taxol((r))) alone
(ET) or in combination with capecitabine (Xeloda((r)), TEX). Starting doses for
ET were epirubicin 75 mg/m(2) plus paclitaxel 175 mg/m(2), and for TEX epirubicin
75 mg/m(2), paclitaxel 155 mg/m(2), and capecitabine 825 mg/m(2) BID for 14 days.
Subsequently, doses were tailored related to side effects. Primary endpoint was
progression-free survival (PFS); secondary endpoints were overall survival (OS),
time to treatment failure (TTF), objective response (OR), safety and quality of
life (QoL). 287 patients were randomized, 143 to ET and 144 to TEX. Median PFS
was 10.8 months for patients treated with ET, and 12.4 months for those treated
with TEX (HR 0.84, 95% CI 0.65-1.07, P = 0.16); median OS was 26.0 months for
women in the ET versus 29.7 months in the TEX arm (HR 0.84, 95% CI 0.63-1.11, P =
0.22). OR was achieved in 44.8% (ET) and 54.2% (TEX), respectively (chi(2) 3.66,
P = 0.16). TTF was significantly longer for patients treated with TEX, 6.0
months, versus 5.2 months following ET (HR 0.73, 95% CI 0.58-0.93, P = 0.009).
Severe hematological side effects related to epirubicin and paclitaxel were
evenly distributed between the treatment arms, mucositis, diarrhea, and Hand-Foot
syndrome were significantly more frequent in the TEX arm. Toxicity-adjusted
treatment with ET and TEX showed similar efficacy in terms of PFS, OS, and OR. In
this trial with limited power, the addition of capecitabine to epirubicin and
paclitaxel as first-line treatment did not translate into clinically relevant
improvement of the outcome.
PMID- 22094938
TI - Serum enterolactone levels and mortality outcome in women with early breast
cancer: a retrospective cohort study.
AB - We previously demonstrated that high serum enterolactone levels are associated
with a reduced incidence of breast cancer in healthy women. The present study was
aimed at investigating whether a similar association might be found between serum
enterolactone levels and the mortality of women with early breast cancer. The
levels of enterolactone in cryopreserved serum aliquots obtained from 300
patients, operated on for breast cancer, were measured using a time-resolved
fluoro-immunoassay. Levels were analyzed in respect to the risk of mortality
following surgery. Cox proportional hazard regression models were used to check
for prognostic features, to estimate hazard ratios for group comparisons and to
test for the interaction on mortality hazards between the variables and
enterolactone concentrations. The Fine and Gray competing risk proportional
hazard regression model was used to predict the probabilities of breast cancer
related and breast cancer-unrelated mortalities. At a median follow-up time of 23
years (range 0.6-26.1), 180 patients died, 112 of whom died due to breast cancer
related events. An association between a decreased mortality risk and
enterolactone levels >= 10 nmol/l was found in respect to both all-cause and
breast cancer-specific mortality. The difference in mortality hazards was
statistically significant, but it appeared to decrease and to lose significance
after the first 10 years, though competing risk analysis showed that breast
cancer-related mortality risk remained constantly lower in those patients with
higher enterolactone levels. Our findings are consistent with those of most
recent literature and provide further evidence that mammalian lignans might play
an important role in reducing all-cause and cancer-specific mortality of the
patients operated on for breast cancer.
PMID- 22094941
TI - Molecular evolution: dealing with nonsense.
PMID- 22094943
TI - Microbiomes: getting down to mechanism.
PMID- 22094948
TI - Evolution of microRNA diversity and regulation in animals.
AB - In the past decade, microRNAs (miRNAs) have been uncovered as key regulators of
gene expression at the post-transcriptional level. The ancient origin of miRNAs,
their dramatic expansion in bilaterian animals and their function in providing
robustness to transcriptional programmes suggest that miRNAs are instrumental in
the evolution of organismal complexity. Advances in understanding miRNA biology,
combined with the increasing availability of diverse sequenced genomes, have
begun to reveal the molecular mechanisms that underlie the evolution of miRNAs
and their targets. Insights are also emerging into how the evolution of miRNA
containing regulatory networks has contributed to organismal complexity.
PMID- 22094950
TI - Error prevention and mitigation as forces in the evolution of genes and genomes.
AB - Why are short introns rarely a multiple of three nucleotides long? Why do
essential genes cluster? Why are genes in operons often lined up in the order in
which they are needed in the encoded pathway? In this Opinion article, we argue
that these and many other - ostensibly disparate - observations are all pieces of
an emerging picture in which multiple aspects of gene anatomy and genome
architecture have evolved in response to error-prone gene expression.
PMID- 22094952
TI - Gene-by-environment experiments: a new approach to finding the missing
heritability.
PMID- 22094949
TI - Non-coding RNAs in human disease.
AB - The relevance of the non-coding genome to human disease has mainly been studied
in the context of the widespread disruption of microRNA (miRNA) expression and
function that is seen in human cancer. However, we are only beginning to
understand the nature and extent of the involvement of non-coding RNAs (ncRNAs)
in disease. Other ncRNAs, such as PIWI-interacting RNAs (piRNAs), small nucleolar
RNAs (snoRNAs), transcribed ultraconserved regions (T-UCRs) and large intergenic
non-coding RNAs (lincRNAs) are emerging as key elements of cellular homeostasis.
Along with microRNAs, dysregulation of these ncRNAs is being found to have
relevance not only to tumorigenesis, but also to neurological, cardiovascular,
developmental and other diseases. There is great interest in therapeutic
strategies to counteract these perturbations of ncRNAs.
PMID- 22094954
TI - Valganciclovir prophylaxis versus preemptive therapy in cytomegalovirus-positive
renal allograft recipients: 1-year results of a randomized clinical trial.
AB - BACKGROUND: Cytomegalovirus (CMV) prevention can be achieved by prophylaxis or
preemptive therapy. We performed a prospective randomized trial to determine
whether renal transplant recipients with a positive CMV serostatus (R+) had a
higher rate of CMV infection and disease after transplantation when treated
preemptively for CMV infection, compared with primary valganciclovir prophylaxis.
METHODS: Prophylaxis was 2 * 450 mg oral valganciclovir/day for 100 days;
preemptive patients were monitored by CMV-polymerase chain reaction (PCR), and
after a positive PCR test received 2 * 900 mg valganciclovir/day for at least 14
days followed by secondary prophylaxis. Valganciclovir dosage was adjusted
according to renal function. Patients are followed up for 5 years and initial 12
month data are presented. Two hundred and ninety-six recipients were analyzed
(168 donor/recipient seropositive [D+/R+], 128 donor seronegative/recipient
seropositive [D-/R+]; 146 receiving prophylaxis and 150 preemptive therapy).
RESULTS: Overall, CMV infection (asymptomatic CMV viral load >= 400 CMV DNA
copies/mL proven by CMV-PCR) was significantly higher in recipients under
preemptive therapy (38.7% vs. 11.0%, P<0.0001), with the highest incidence in
D+/R+ preemptive patients (53.8% vs. 15.6%, P<0.0001). D+/R+ recipients with
preemptive therapy also had the highest rate of CMV disease (CMV syndrome and
tissue-invasive disease that was clinically diagnosed and biopsy proven) (19.2%
vs. 4.4%, P=0.003). Renal function assessed by creatinine clearance was similar
for both groups. Graft loss occurred in 7 vs. 4 patients on preemptive versus
prophylactic therapy (P>0.05). Tolerability was similar for both treatment
groups. CONCLUSIONS: Oral valganciclovir prophylaxis significantly reduces CMV
infection and disease, particularly for D+/R+ patients. Hence, our study supports
routine prophylaxis for all D+/R+ recipients.
PMID- 22094955
TI - Splenectomy does not offer immunological benefits in ABO-incompatible liver
transplantation with a preoperative rituximab.
AB - BACKGROUND: Preformed anti-ABO antibodies are primarily responsible for antibody
mediated rejection (AMR) after ABO-incompatible (ABO-I) liver transplantation
(LT) resulting in lethal hepatic necrosis and biliary complications. Splenectomy,
an integral part of protocol for ABO-I LT, decreases anti-ABO antibodies. With
the preoperative rituximab prophylaxis, role of the splenectomy for ABO-I LT is
now under debate. We investigated the necessity of splenectomy by retrospective
analyses of the short-term anti-ABO antibody response and long-term outcomes of
ABO-I LT. METHODS: Thirty-seven ABO-I LTs performed from May 2006 through July
2009, at Kyoto University Hospital, Kyoto, Japan, were retrospectively analyzed.
Twenty-seven patients who underwent splenectomy (splenectomy group) received
329.6 +/- 35.8 mg rituximab 17.7 +/- 11.9 days before living donor LT. Ten
patients without splenectomy (nonsplenectomy group) received 320.0 +/- 10.3 mg
rituximab 26.6 +/- 21.3 days before transplantation. All patients received a
posttransplant hepatic artery infusion with prostaglandin E1 and
methylprednisolone. Perioperative anti-ABO immunoglobulin M and immunoglobulin G
antibody titers, rejections, biliary complications, infections, and survival
results were compared. RESULTS: Preoperative rituximab with plasma exchange
effectively reduced anti-ABO antibodies in both patient groups at the time of LT.
There was no statistically significant difference observed in anti-ABO
immunoglobulin M and immunoglobulin G antibody titers between the "splenectomy"
and "nonsplenectomy" groups during the initial 8 weeks. The clinical outcomes,
including AMR, biliary complications, infections, and survival, were similar in
both the groups. CONCLUSIONS: Preoperative rituximab effectively decreased the
anti-ABO antibodies sufficiently to prevent the AMR irrespective of splenectomy.
Splenectomy does not offer any immunological benefit in ABO-I LT with
preoperative rituximab.
PMID- 22094953
TI - Associations of ABCB1 3435C>T and IL-10-1082G>A polymorphisms with long-term
sirolimus dose requirements in renal transplant patients.
AB - BACKGROUNDS: Sirolimus (SRL) absorption and metabolism are affected by p
glycoprotein-mediated transport and CYP3A enzyme activity, which are further
under the influences of cytokine concentrations. This retrospective study
determined the associations of adenosine triphosphate-binding cassette, subfamily
B, member 1 (ABCB1) 1236C>T, 2677 G>T/A, and 3435C>T, cytochrome P450, family 3,
subfamily A, polypeptide 4 (CYP3A4) -392A>G, cytochrome P450, family 3, subfamily
A, polypeptide 5 (CYP3A5) 6986A>G and 14690G>A, interleukin (IL)-10 -1082G>A, and
tumor necrosis factor (TNF) -308G>A polymorphisms with SRL dose-adjusted, weight
normalized trough concentrations (C/D) at 7 days, and at 1, 3, 6, and 12 months
after initiation of SRL. METHODS: Genotypes for 86 renal transplant patients who
received SRL-based maintenance immunosuppressive therapy were determined using
polymerase chain reaction followed by chip-based mass spectrometry. The changes
of log-transformed C/D over the days posttransplantation were analyzed using a
linear mixed-effects model, with adjustments for body mass index and weight
normalized doses of tacrolimus, prednisone, clotrimazole, and statins. RESULTS:
ABCB1 3435C>T and IL-10 -1082G>A were significantly associated with log C/D
(P=0.0016 and 0.0394, respectively). Mean SRL C/D was 48% higher in patients with
ABCB1 3435CT/TT genotype than those with 3435CC genotype, and was 24% higher in
IL-10 -1082GG compared with -1082AG/AA. CONCLUSIONS: ABCB1 3435C>T and IL-10
1082G>A were significantly associated with long-term SRL dose requirements.
Genetics can play a significant role in SRL dosing and may be useful in
therapeutic monitoring of SRL in renal transplantation. Future replication
studies are needed to confirm these associations.
PMID- 22094956
TI - How to increase organ donation: does opting out have a role?
AB - Every country needs to increase the number of deceased organ donors and the
potential impact of a change to opting-out legislation remains unproven, despite
the apparent association between opting out and higher donor rates. However, the
Spanish model--so successful in Spain and many other countries--is not based on a
requirement for opting out, and, in the UK, deceased organ donation has increased
by 25% in 3 years through implementation of a series of recommendations that have
transformed the infrastructure of donation. A major review of opting out
concluded that it is not appropriate for the UK at this time.
PMID- 22094957
TI - Early subclinical rejection as a risk factor for late chronic humoral rejection.
AB - BACKGROUND: Subclinical rejection and interstitial fibrosis and tubular atrophy
(IF/TA) in protocol biopsies are associated with outcome. We study the
relationship between histologic lesions in early protocol biopsies and histologic
diagnoses in late biopsies for cause. MATERIALS AND METHODS: Renal transplants
with a protocol biopsy performed within the first 6 months posttransplant between
1988 and 2006 were reviewed. Biopsies were evaluated according to Banff criteria,
and C4d staining was available in biopsies for cause. RESULTS: Of the 517 renal
transplants with a protocol biopsy, 109 had a subsequent biopsy for cause which
showed the following histological diagnoses: chronic humoral rejection (CHR)
(n=44), IF/TA (n=42), recurrence of the primary disease (n=11), de novo
glomerulonephritis (n=7), T-cell-mediated rejection (n=4), and polyoma virus
nephropathy (n=1). The proportion of retransplants (15.9% vs. 2.3%, P=0.058) and
the prevalence of subclinical rejection were higher in patients with CHR than in
patients with IF/TA (52.3% vs. 28.6%, P=0.0253). Demographic donor and recipient
characteristics and clinical data at the time of protocol biopsy were not
different between groups. Logistic regression analysis showed that subclinical
rejection (relative risk, 2.52; 95% confidence interval, 1.1-6.3; P=0.047) but
not retransplantation (relative risk, 6.7; 95% confidence interval, 0.8-58.8;
P=0.085) was associated with CHR. CONCLUSION: Subclinical rejection in early
protocol biopsies is associated with late appearance of CHR.
PMID- 22094958
TI - What is the significance of subclinical inflammation in human renal allografts?
It depends!
PMID- 22094959
TI - A polyoxometalate-based single-molecule magnet with an S = 21/2 ground state.
AB - Ligand modification transforms a polyoxometalate-anchored cubane-type
[Mn(III)(3)Mn(IV)O(4)] core into a centrosymmetric [Mn(III)(6)Mn(IV)O(8)] di
cubane cluster, and restores the slow magnetization relaxation characteristics
typical for [Mn(4)O(4)] cubane-based single-molecule magnets.
PMID- 22094961
TI - Advanced topography-guided (OcuLink) treatment of irregular astigmatism after
epikeratophakia in keratoconus with the WaveLight excimer laser.
AB - PURPOSE: To evaluate the feasibility, safety, and predictability of treatment for
high irregular astigmatism with advanced topography-guided laser epithelial
keratomileusis in symptomatic eyes after epikeratophakia (EP) for keratoconus.
METHODS: In a prospective case series, 10 consecutive eyes (10 patients) with
high irregular astigmatism after epikeratophakia for keratoconus received OcuLink
laser-assisted subepithelial keratectomy (LASEK) using the Allegretto Wave
excimer laser. Uncorrected visual acuity (UCVA), best spectacle-corrected visual
acuity (BSCVA), manifestation and refraction, corneal topographic examination
with asphericity, and regularity were evaluated. All patients could not tolerate
contact lens wear and had subjective symptoms. RESULTS: The UCVA improved from
0.61 +/- 0.27 logarithm of the minimum angle of resolution (logMAR) (range, 0.3
1.0 logMAR) to 0.27 +/- 0.07 logMAR (range, 0.2-0.4 logMAR), and the BSCVA
improved from 0.25 +/- 0.14 to 0.15 +/- 0.09 logMAR (range, 0-0.3 logMAR) at 6
months after surgery. One patient had reduced UCVA (1 line), but no patients had
reduced BSCVA. The refractive cylinder improved from -3.82 +/- 2.43 diopters (D)
(range, -0.75 to -7.75 D) to -1.43 +/- 0.95 D (range, -0.25 to -2.50 D). The
index of surface variance (an indicator of corneal surface irregularity) reduced
from 115.1 +/- 21.1 (range, 90-153) to 68.4 +/- 18.2 (range, 39-95). Subjective
symptoms, such as glare, halos, ghost images, starbursts, and monocular diplopia,
were either not present or obviously alleviated. CONCLUSIONS: Advanced topography
guided (OcuLink) LASEK can significantly reduce irregular astigmatism and
increase the UCVA and BSCVA.
PMID- 22094960
TI - Initial risk assessment for pulmonary hypertension in patients with COPD.
AB - BACKGROUND: Pulmonary hypertension (PH) is a comorbidity associated with
increased mortality in chronic obstructive pulmonary disease (COPD) patients. It
is not known which clinical markers are predictive of PH in COPD. The goal of
this study was to develop a clinical tool to identify patients who should be sent
for initial screening with echocardiography. METHODS: Of 127 patients screened,
94 primary-care patients with COPD were enrolled. All underwent full pulmonary
function testing, 6-minute walk distance (6MWD), exercise oximetry, Saint
George's Respiratory Questionnaire, and transthoracic echocardiography. Eighty
six patients had measurable pulmonary artery pressures (PAP) on echocardiography.
Elevated PAP was defined as a systolic PAP > 35 mmHg. RESULTS: Pre- and post
bronchodilator FEV(1) (P = 0.04 and P = 0.03, respectively), exercise
oxyhemoglobin desaturation (P = 0.003), and 6MWD (P = 0.004) were associated with
elevated PAP on univariate analysis. Diffusion capacity was lower but did not
reach statistical significance (P = 0.07). In multivariate analysis,
statistically significant independent variables were >3% decrease in exercise
oxyhemoglobin saturation and decline in prebronchodilator FEV(1) (P = 0.01 and P
= 0.04, respectively). A composite prediction model was developed that assigned
one point for each of the following: age > 55 years, oxyhemoglobin desaturation >
3%, prebronchodilator FEV(1) < 50% predicted, and 6MWD < 1175 ft. Prevalence
rates of elevated PAP were 32% for a score of 0-1 (low risk), 68% for a score of
2 (moderate risk), and 78% for a score of 3-4 (high risk). The composite score
exhibited a strong trend with elevated PAP prevalence (Cochrane-Armitage trend
statistic P = 0.001). CONCLUSION: A simple prediction tool using routine office
based parameters can be used to identify COPD patients at high risk for elevated
PAP and initiate the first step in screening for PH with echocardiography. It is
important that right heart catheterization be performed to confirm the diagnosis
and guide treatment decisions.
PMID- 22094962
TI - Multigene expression in vivo: supremacy of large versus small terminators for T7
RNA polymerase.
AB - Designing and building multigene constructs is commonplace in synthetic biology.
Yet functional successes at first attempts are rare because the genetic parts are
not fully modular. In order to improve the modularity of transcription, we
previously showed that transcription termination in vitro by bacteriophage T7 RNA
polymerase could be made more efficient by substituting the standard, single,
TPhi large (class I) terminator with adjacent copies of the vesicular stomatitis
virus (VSV) small (class II) terminator. However, in vitro termination at the
downstream VSV terminator was less efficient than at the upstream VSV terminator,
and multigene overexpression in vivo was complicated by unexpectedly inefficient
VSV termination within Escherichia coli cells. Here, we address hypotheses raised
in that study by showing that VSV or preproparathyroid hormone (PTH) small
terminators spaced further apart can work independently (i.e., more efficiently)
in vitro, and that VSV and PTH terminations are severely inhibited in vivo.
Surprisingly, the difference between class II terminator function in vivo versus
in vitro is not due to differences in plasmid supercoiling, as supercoiling had a
minimal effect on termination in vitro. We therefore turned to TPhi terminators
for "BioBrick" synthesis of a pentameric gene construct suitable for
overexpression in vivo. This indeed enabled coordinated overexpression and
copurification of five His-tagged proteins using the first construct attempted,
indicating that this strategy is more modular than other strategies. An
application of this multigene overexpression and protein copurification method is
demonstrated by supplying five of the six E. coli translation factors required
for reconstitution of translation from a single cell line via copurification,
greatly simplifying the reconstitution.
PMID- 22094963
TI - Immature muscle precursors are a source of interferon-beta in myositis: role of
Toll-like receptor 3 activation and contribution to HLA class I up-regulation.
AB - OBJECTIVE: To investigate the production of type I interferon (IFN) by myoblasts
and to identify its cell source and the link to Toll-like receptor (TLR) and C
type lectin receptor (CLR) expression and function in myositis biopsy sections.
METHODS: Production of IFNbeta was assessed in cultured myoblasts after
stimulation with the TLR-3 agonist poly(I-C) or with cytokines involved in Th1
and Th17 differentiation. Expression of HLA class I molecules by myoblasts was
analyzed by fluorescence-activated cell sorting after activation of TLR-3 and
IFNbeta neutralization. In muscle biopsy samples from patients with polymyositis
or dermatomyositis, expression of IFNbeta, CD56 (a marker of immature muscle
precursors), and HLA class I was analyzed using immunohistochemistry.
Inflammatory infiltrates were characterized for the expression of myeloid
dendritic cells (DCs), their associated CLRs, and the products of activated DCs,
interleukin-12 (IL-12), and IL-23. RESULTS: In cultured myoblasts, stimulation of
TLR-3 induced the production of IFNbeta when combined with IFNgamma and up
regulated the expression of HLA class I molecules, which was decreased after
IFNbeta blockade. In myositis biopsy tissues, immature muscle precursors
overexpressing HLA class I were identified as a source of IFNbeta. CLRs
associated with myeloid DCs were broadly expressed in inflammatory infiltrates,
in association with IL-12 and IL-23, and with immature muscle precursors.
CONCLUSION: Immature muscle precursors may represent a local source of IFNbeta
and the target of an immune response involving activated DCs associated with the
expression of CLRs and of IL-12 and IL-23, which are implicated in T cell
polarization. In turn, such local production of IFNbeta after TLR-3 activation in
the presence of the Th1 cytokine IFNgamma may explain HLA class I overexpression
in myositis.
PMID- 22094964
TI - The influence of reversible trianionic pincer OCO(3-)MU-oxo Cr(IV) dimer
formation ([Cr(IV)]2(MU-O)) and donor ligands in oxygen-atom-transfer (OAT).
AB - The oxygen-atom-transfer (OAT) from [(t)BuOCO]Cr(V)(O)(THF) (2) (where (t)BuOCO =
[2,6-C(6)H(3)(6-(t)BuC(6)H(3)O)(2)](3-), THF = tetrahydrofuran) to
triphenylphosphine (PPh(3)) in THF produces [(t)BuOCO]Cr(III)(THF)(3) (1) and
triphenylphosphine oxide (OPPh(3)) at a rate of 69.5(+/-1.9) M(-1) s(-1) (22
degrees C). Identical rate constants were attained when acetonitrile (MeCN) and
dichloromethane/THF (CH(2)Cl(2)/THF) were used as solvents. Electron paramagnetic
resonance (EPR) data shows that the six-coordinate complex,
[(t)BuOCO]Cr(V)(O)(THF)(2) (2a) forms upon addition of THF to 2, suggesting 2a as
the active OAT species in THF. Similarly, addition of OPPh(3) has no influence on
the rate of OAT, but the addition of triphenylphosphorus ylide (CH(2)PPh(3)) to
form [(t)BuOCO]Cr(V)(O)(CH(2)PPh(3)) (4) prevents OAT to PPh(3). In CH(2)Cl(2), a
[Cr(IV)](2)(MU-O) intermediate forms during the OAT from 2 to PPh(3). The OAT
from {[(t)BuOCO]Cr(IV)(THF)}(2)(MU-O) (3) to PPh(3) reveals a zero-order
dependence in PPh(3) indicating the dimer must first dissociate prior to OAT. The
decay of 3versus time does not follow first-order kinetics due to the formation
of a [(t)BuOCO]Cr(III)(THF) species (5) that inhibits the dissociation of 3. The
change in concentration of 3versus time during OAT was simulated to obtain
approximate rate constants.
PMID- 22094965
TI - Patient-reported outcomes in adult survivors with single-ventricle physiology.
AB - OBJECTIVES: Data on patient-reported outcomes (PROs) in patients with single
ventricle physiology (SVP) are scarce. We sought (1) to describe the perceived
health status, quality of life, symptoms of anxiety and depression, and sense of
coherence in adult survivors with SVP, (2) to compare PROs across functional
classes, and (3) to compare PROs between patients and controls. METHODS: A case
control study in two adult congenital heart programmes with 62 adult survivors
with SVP were matched to 172 healthy controls. A wide range of PROs were measured
using validated questionnaires. The treating physician classified patients
according to the Ability Index. RESULTS: Patients with SVP have a good functional
status. Patients in Ability Index class I consistently reported the best scores,
similar to those of healthy controls. Negative associations were found between
functional class and outcomes of perceived health and quality of life. For
patients in Ability Index class II and III, PROs were poorer. CONCLUSIONS: PROs
in patients with SVP are generally good.
PMID- 22094966
TI - Calcium effects on superoxide dismutase and catalase of the rabbit urinary
bladder muscle and mucosa.
AB - PURPOSE: Superoxide dismutase (SOD) and catalase are two important antioxidant
mechanisms that work together to reduce free radical damage. Intracellular free
calcium in smooth muscle can change rapidly and many enzymes can be affected. The
sensitivity of SOD and catalase activity to calcium was determined in both rabbit
bladder smooth muscle and mucosa. MATERIALS AND METHODS: Calcium sensitivity was
analyzed by determining SOD and catalase activity in muscle and mucosa at the
following calcium concentrations: 0 (in the presence of 1 mM EGTA), 1 and 5 mM
CaCl(2). RESULTS: SOD: EGTA resulted in increased SOD activity of bladder smooth
muscle, whereas both 1 and 5 mM calcium significantly decreased SOD activity.
EGTA had no effect on SOD activity of the mucosa whereas 1 and 5 mM calcium
decreased SOD activity of the muscle. Catalase: 1 mM calcium resulted in
decreased catalase activity of the muscle and no change in the activity of the
mucosa, whereas 5 mM calcium resulted in increased catalase activity of the
mucosa but no change in the activity of the muscle. DISCUSSION: Mucosa showed
more SOD and catalase activity than the muscle. Both SOD and catalase showed
differing sensitivities to EGTA and calcium.
PMID- 22094967
TI - [Older emergency patients benefit from geriatric assessment].
PMID- 22094968
TI - [Cancer, thromboembolia and antithrombotic therapy: is there an anticoagulant of
choice?].
PMID- 22094969
TI - [Validation of patients' knowledge after informed consent prior to coronary
angiography].
AB - BACKGROUND AND OBJECTIVE: The informed consent of the patient is required before
any medical intervention can be done. The impact of the provided information on
the subsequent knowledge of the patient is regularly questioned. In the present
investigation we aimed to determine the knowledge of the patients about invasive
coronary angiography (CA) after they had been optimally vs. standard vs. not at
all informed. PATIENTS AND METHODS: 300 consecutive patients who were admitted
for planned CA were included. Of these, 150 in-patients were informed by
especially trained physicians one day before CA and 50 out-patients were informed
by their general practitioner or cardiologist several days before admission. 100
in-patients were included before they were informed. In a standardized interview
the predefined knowledge of the patients was assessed by an independent physician
before CA in previously informed patients and after hospital admission in non
informed patients. RESULTS: The differences in knowledge between informed in- and
out-patients were low. Especially their knowledge about potential complications
was not different. Generally, patients could remember less serious complications
better than life-threatening ones. Two previously informed patients (1 %)
affirmed that they were not informed. The knowledge of non-informed patients was
much lower than the knowledge of patients who had been informed. CONCLUSION: The
knowledge and remembrance of patients after having detailed information about
medical interventions is limited. Optimization of the informative interview did
not really improve this knowledge. In contrast to non-informed patients the
provided information did, however, increase the knowledge.
PMID- 22094971
TI - [74 year-old man with hypertension and angina pectoris. Single coronary artery].
PMID- 22094970
TI - [The Dunbar's syndrome: renaissance of a controversial disease pattern].
AB - HISTORY AND ADMISSION FINDINGS: A 79-year-old woman (BMI 23) presented with
postprandial, occasionally epigastric, occasionally diffuse abdominal pain.
INVESTIGATIONS: No pathologic findings in the physical examination and in the
chemical analysis.Continuative examinations: Gastroscopy revealed a mild,
nonreactive gastritis which was alleged not to be the cause of the symptoms. In
ultrasonography arteriosclerotic lesions were seen, which led to the differential
diagnosis of compensated angina abdominalis. On that assumption a CT-angiography
was performed, which revealed a typical focal narrowing of the celiac axis about
1,5 cm distal of its origin in combination with hypertrophic collaterals between
the celiac axis and the upper mesenteric artery. DIAGNOSIS, TREATMENT AND COURSE:
In view of all findings, the diagnosis of Dunbar's syndrome was established.
Since the symptoms were moderate, no further therapy was carried out. CONCLUSION:
Dunbar's syndrome is caused by deep crossing of the median arcuate ligament
resulting in compression of the proximal celiac axis resulting in a
characteristic hooked appearance. Since a good collateralisation between the
celiac axis and the superior mesenteric artery can be found, only about one
percent of patients display symptoms (postprandial pain, sometimes weight loss).
Typical patients are 20-40 year-old women. Although the diagnosis can be
difficult and symptoms are rare, the Dunbar's syndrome, if typical morphologic
findings an epigastric postprandial pain are present, is a relevant differential
diagnosis.
PMID- 22094972
TI - [Augmented reality: merge of reality and virtuality in medicine].
PMID- 22094973
TI - [Catheter ablation of paroxysmal atrial fibrillation: extended recommendations
considering safety improvements of pulmonary vein isolation with a cryoballoon-
Case 11/2011].
AB - HISTORY AND ADMISSION FINDINGS: A 71-year-old, male patient was referred to our
clinic for paroxysmal palpitations with dyspnoe and fatigue since four years
despite pharmacological treatment with flecainide and bisoprolol. INVESTIGATIONS:
A paroxysmal atrial fibrillation was documented in a 24-hour Holter recording. A
bicycle ergometry showed a hypertensive reaction during exercise without any sign
of coronary insufficiency. Intracardiac thrombi could by excluded by
transesophageal echocardiography. DIAGNOSIS, TREATMENT AND COURSE: The diagnosos
of a drug-refractory paroxysmal atrial fibrillation was made and cryoballoon
pulmonary vein isolation was performed. A follow-up 3 months after the ablation
disclosed a freedom from atrial fibrillation documented in 7-day Holter
recording. CONCLUSIONS: Compared to pharmacological rhythm control,
interventional treatment has been established as more effective therapy for
paroxysmal atrial fibrillation. However, patients should be referred to the
ablation early enough to avoid structural atrial remodeling and thus transition
into persistent or permanent atrial fibrillation. New technical developments e.g.
cryoballoon catheter-system simplifies the procedure and has been reported to be
effective and safe to use for circumferential pulmonary vein isolation. Should
the very promising preclinical data on efficacy and safety of cryothermal energy
ablation be confirmed by results of ongoing, controlled trials, the catheter
ablation may become the fist-line treatment for all patients with paroxysmal
atrial fibrillation.
PMID- 22094974
TI - Pharmacoinvasive therapy for ST elevation myocardial infarction in China: a pilot
study.
AB - Most patients with acute ST-elevation myocardial infarction (STEMI) cannot
receive timely primary percutaneous coronary intervention (PCI) because of lack
of facilities or delays in patient transfer or catheterization team mobilization.
In these patients, early routine post-thrombolysis PCI might be a reasonable,
useful strategy. This study investigated feasibility and safety of early PCI
after successful half-dose alteplase reperfusion in a Chinese population.
Patients with STEMI received half-dose alteplase if expected time delay to PCI
was >=90 min. Patients who reached clinical criteria of successful thrombolysis
reperfusion were recommended to undergo diagnostic angiography within 3-24 h
after thrombolysis. Patients with residual stenosis >=70% in the infarct-related
artery underwent PCI, regardless of flow or patency status. Epicardial arterial
flow was assessed using thrombolysis in myocardial infarction (TIMI) flow grade
and TIMI frame count (CTFC). Myocardial perfusion was assessed using myocardial
blush grade (MBG) and TIMI myocardial perfusion frame count (TMPFC). Forty-nine
patients were enrolled and underwent diagnostic angiography 3-11.3 h (median 6.5
h) after thrombolysis. Forty-six patients underwent PCI. No procedure-related
complications occurred, except two patients who had no reflow after PCI. Twenty
two (47.8%) patients had TIMI grade 3 flow before PCI and 33 (71.7%) after PCI.
CTFC was significantly improved after PCI (48.5 +/- 32.1 vs. 37.9 +/- 25.6, P =
0.01). MBG and TMPFC exhibited a similar improving trend after PCI, and the best
myocardial perfusion tended to be achieved 3-12 h after lysis. During the 30-day
follow-up, there were two deaths. The composite end point of death, cardiogenic
shock, heart failure, reinfarction, and recurrent ischemia occurred in four
patients. TIMI minor bleeding occurred in four patients. No TIMI major bleeding
and stroke occurred. Early routine PCI after half-dose alteplase thrombolysis in
Chinese population appears feasible. A larger clinical trial should be designed
to further elucidate its efficacy and safety. Early PCI after thrombolysis in
STEMI: The EARLY-PCI pilot feasibility study, ChiCTR-TNC-11001363.
PMID- 22094976
TI - Corynebacterium glutamicum as a potent biocatalyst for the bioconversion of
pentose sugars to value-added products.
AB - Corynebacterium glutamicum, the industrial microbe traditionally used for the
production of amino acids, proved its value for the fermentative production of
diverse products through genetic/metabolic engineering. A successful
demonstration of the heterologous expression of arabinose and xylose utilization
genes made them interesting biocatalysts for pentose fermentation, which are the
main components in lignocellulosic hydrolysates. Its ability to withstand
substantial amount of general growth inhibitors like furfurals, hydroxyl methyl
furfurals and organic acids generated from the acid/alkali hydrolysis of
lignocellulosics in growth arrested conditions and its ability to produce amino
acids like glutamate and lysine in acid hydrolysates of rice straw and wheat
bran, indicate the future prospective of this bacterium as a potent biocatalyst
in fermentation biotechnology. However, the efforts so far on these lines have
not yet been reviewed, and hence an attempt is made to look into the efficacy and
prospects of C. glutamicum to utilize the normally non-fermentable pentose sugars
from lignocellulosic biomass for the production of commodity chemicals.
PMID- 22094977
TI - Biosynthesis and function of gliotoxin in Aspergillus fumigatus.
AB - Gliotoxin (GT) is the prototype of the epidithiodioxopiperazine (ETP)-type fungal
toxins. GT plays a critical role in the pathobiology of Aspergillus fumigatus. It
modulates the immune response and induces apoptosis in different cell types. The
toxicity has been attributed to the unusual intramolecular disulfide bridge,
which is the functional motif of all ETPs. Because of the extraordinary structure
and activity of GT, this fungal metabolite has been the subject of many
investigations. The biosynthesis of GT involves unprecedented reactions catalysed
by recently discovered enzymes. Here, we summarize the recent progress in
elucidating the GT biosynthetic pathway and its role in virulence.
PMID- 22094978
TI - Achieving nitrite accumulation in a continuous system treating low-strength
domestic wastewater: switchover from batch start-up to continuous operation with
process control.
AB - Although biological nitrogen removal via nitrite is recognized as one of the cost
effective and sustainable biological nitrogen removal processes, nitrite
accumulation has proven difficult to achieve in continuous processes treating low
strength nitrogenous wastewater. Partial nitrification to nitrite was achieved
and maintained in a lab-scale completely stirred tank reactor (CSTR) treating
real domestic wastewater. During the start-up period, sludge with ammonia
oxidizing bacteria (AOB) but no nitrite-oxidizing bacteria (NOB) was obtained by
batch operation with aeration time control. The nitrifying sludge with the
dominance of AOB was then directly switched into continuous operation. It was
demonstrated that partial nitrification to nitrite in the continuous system could
be repeatedly and reliably achieved using this start-up strategy. The ratio of
dissolved oxygen to ammonium loading rate (DO/ALR) was critical to maintain high
ammonium removal efficiency and nitrite accumulation ratio. Over 85% of nitrite
accumulation ratio and more than 95% of ammonium removal efficiency were achieved
at DO/ALR ratios in an optimal range of 4.0-6.0 mg O(2)/g N d, even under the
disturbances of ammonium loading rate. Microbial population shift was
investigated, and fluorescence in situ hybridization analysis indicated that AOB
were the dominant nitrifying bacteria over NOB when stable partial nitrification
was established.
PMID- 22094979
TI - Applications of microbial fermentations for production of gluten-free products
and perspectives.
AB - A gluten-free (GF) diet is recognised as being the only accepted treatment for
celiac disease-a permanent autoimmune enteropathy triggered by the ingestion of
gluten-containing cereals. The bakery products available in today's gluten-free
market are characterised by lower palatability than their conventional
counterparts and may lead to nutritional deficiencies of vitamins, minerals and
fibre. Thus, the production of high-quality gluten-free products has become a
very important socioeconomical issue. Microbial fermentation by means of lactic
acid bacteria and yeast is one of the most ecological/economical methods of
producing and preserving food. In this review, the role of a fermentation process
for improving the quality of GF products and for developing a new concept of GF
products with nutraceutical and health-promoting characteristics will be
examined.
PMID- 22094980
TI - Biotransformation of BMOV in the presence of blood serum proteins.
AB - The interaction of the potent anti-diabetic agent bis(maltolato)oxidovanadium(IV)
(BMOV) with some proteins of blood serum was studied by EPR spectroscopy, pH
potentiometry and DFT calculations. The formation of cis-VO(ma)(2)(hTf), cis
VO(ma)(2)(HSA) and cis-VO(ma)(2)(IgG), their role in the biotransformation in
vivo and the mechanism of transport of BMOV in blood are discussed.
PMID- 22094981
TI - Asthma and suicide: current knowledge and future directions.
AB - The prevalence of asthma has risen dramatically, especially among youth, in
recent years, and asthma is now among the most common chronic conditions. Recent
studies suggest a relationship between asthma and suicidal ideation, suicide
attempt, and death by suicide. This paper reviews the literature, summarizes the
weight of evidence, and discusses the clinical implications and future directions
for research.
PMID- 22094982
TI - A review of the association between depression and insulin resistance: pitfalls
of secondary analyses or a promising new approach to prevention of type 2
diabetes?
AB - We review the validity of the evidence for an association between depression and
the risk of insulin resistance (IR). We describe the potentially plausible
biological and behavioral mechanisms that explain how depression increases the
risk of IR and consequent overt diabetes. We have identified gaps in the
literature to guide future research. Evidence for bidirectional associations
between depression and IR is inconsistent. Results showing positive associations
between depression and IR are derived from cross-sectional studies, whereas
negative findings are typically reported in cohort studies. On the other hand,
tentative trial evidence suggests that the effective treatment of depression can
improve IR, and that lifestyle programs improve IR and reduce depressive
symptoms. These emerging themes could lead to potential new multidisciplinary
approaches to preventing diabetes.
PMID- 22094983
TI - Effects of continuous haemofiltration on serum enzyme concentrations,
endotoxemia, homeostasis and survival in dogs with severe heat stroke.
AB - AIM: To examine the effectiveness of continuous haemofiltration as a treatment
for severe heat stroke in dogs. METHODS: Dogs were randomly allocated to a
control or continuous haemofiltration group (both n=8). Heat stroke was induced
by placing anaesthetised dogs in a high temperature cabin simulator. Upon
confirmation of heat stroke (rectal temperature>42 degrees C, mean arterial
pressure (MAP) decrease>25 mmHg), dogs were removed from the chamber and
continuous haemofiltration was initiated and continued for 3h for dogs in the
continuous haemofiltration group. Dogs in the control group were observed at room
temperature. RESULTS: Rectal temperature, haemodynamics, pH, blood gases and
electrolyte concentrations rapidly returned to baseline in the continuous
haemofiltration group, but not the control group. After 3h, rectal temperature
was 36.68+/-0.51 degrees C in the continuous haemofiltration group and 39.83+/
1.10 degrees C in the control group (P<0.05). Continuous haemofiltration
prevented endotoxin and all serum enzyme concentrations from increasing and
caused malondialdehyde concentrations to decrease. After 3h, endotoxin
concentrations were 0.14+/-0.02 EU ml(-1) in the continuous haemofiltration group
and 0.23+/-0.05 EU ml(-1) in the control group (P=0.003), while malondialdehyde
concentrations were 4.86+/-0.61 mmol l(-1) in the continuous haemofiltration
group and 8.63+/-0.66 mmol l(-1) in the control group (P<0.001). Five dogs died
in the control group within 3h, whereas no dogs died in the continuous
haemofiltration group. CONCLUSIONS: Continuous haemofiltration rapidly reduced
body temperature, normalised haemodynamics and electrolytes, improved serum
enzyme concentrations and increased survival in dogs with heat stroke. Continuous
haemofiltration may be an effective treatment for heat stroke.
PMID- 22094984
TI - Hands-on defibrillation: theoretical and practical aspects of patient and rescuer
safety.
AB - Defibrillators are used to treat many thousands of people each year using very
high voltages, but, despite this, reported injuries to rescuers are rare.
Although even a small number of reported injuries is not ideal, the safety record
of the defibrillator using the current protocol is widely regarded as being
acceptable. There is increasing evidence that clinical outcome is significantly
improved with continuous chest compressions, but defibrillation is a common cause
of interruptions; even short interruptions, such as those associated with
defibrillation, may detrimentally affect the outcome. This has led to discussions
regarding the possibility of continuing chest compressions during defibrillation;
a process involving a rescuer working in close proximity to voltages of up to
5000 V. Not only do voltages of this magnitude have significant implications for
the rescuer performing chest compressions, but there are also risks to other
rescuers in the proximity, the patient and other bystanders. Clearly any
deviation from accepted practice should only be undertaken following careful
consideration of the risks and benefits to the patient, rescuers and others. This
review summarises the physical principles of electrical risk and identifies ways
in which these could be managed. In doing so, it is hoped that in future it may
be possible to deliver continuous and safe manual chest compressions during
defibrillator discharge in order to improve patient outcome.
PMID- 22094986
TI - Cytokines: IL-17C joins the family firm.
PMID- 22094987
TI - Dendritic cells: Choosing the right presentation.
PMID- 22094985
TI - TLR-dependent T cell activation in autoimmunity.
AB - Autoimmune disease can develop as a result of a breakdown in immunological
tolerance, leading to the activation of self-reactive T cells. There is an
established link between infection and human autoimmune diseases. Furthermore,
experimental autoimmune diseases can be induced by autoantigens that are
administered together with complete Freund's adjuvant, which contains killed
Mycobacterium tuberculosis; in some cases, these bacteria can be replaced by
individual pathogen-associated molecular patterns (PAMPs). Exogenous PAMPs and
endogenous danger signals from necrotic cells bind to pattern recognition
receptors (including Toll-like receptors) and activate signalling pathways in
innate immune cells and in T cells. This leads to pro-inflammatory cytokine
production and T cell activation, which are now considered to be major factors in
the development of autoimmunity.
PMID- 22094990
TI - Deformity of talus and calcaneous in congenital clubfoot: an anatomical study.
AB - Clubfoot is a complex congenital deformity. Midtarsal joint is a key point to
understand the deformity in clubfoot as a consequence of the malalignment of the
osseous columns. Talar and calcaneal deformities affecting the normal alignment
of the medial and lateral osseous columns in the foot can be the factors that
determine the difficulty in the management of clubfoot. We report observations of
two clubfeet of one stillborn. Our observations in the head of the talus report
two different articular areas: the histological section of medial area facing the
navicular shows active articular cartilage and the lateral area shows an atrophic
articular cartilage suggesting a difference between the anatomical declination
angles of the talus from a functional declination angle. Observing the
calcaneous, we report a twisting rotational deformity along the longitudinal axis
of the calcaneous. These observations may contribute to a better understanding of
the clubfoot relapse, and also the treatment of complicated cases of clubfeet.
PMID- 22094988
TI - Strategies to discover regulatory circuits of the mammalian immune system.
AB - Recent advances in technologies for genome- and proteome-scale measurements and
perturbations promise to accelerate discovery in every aspect of biology and
medicine. Although such rapid technological progress provides a tremendous
opportunity, it also demands that we learn how to use these tools effectively.
One application with great potential to enhance our understanding of biological
systems is the unbiased reconstruction of genetic and molecular networks. Cells
of the immune system provide a particularly useful model for developing and
applying such approaches. Here, we review approaches for the reconstruction of
signalling and transcriptional networks, with a focus on applications in the
mammalian innate immune system.
PMID- 22094989
TI - Throwing transcription for a loop: expression of the genome in the 3D nucleus.
AB - The functional output of the genome is closely dependent on its organization
within the nucleus, which ranges from the 10-nm chromatin fiber to the three
dimensional arrangement of this fiber in the nuclear space. Recent observations
suggest that intra- and inter-chromosomal interactions between distant sequences
underlie several aspects of transcription regulatory processes. These contacts
can bring enhancers close to their target genes or prevent inappropriate
interactions between regulatory sequences via insulators. In addition, intra- and
inter-chromosomal interactions can bring co-activated or co-repressed genes to
the same nuclear location. Recent technological advances have made it possible to
map long-range cis and trans interactions at relatively high resolution. This
information is being used to develop three-dimensional maps of the arrangement of
the genome in the nucleus and to understand causal relationships between nuclear
structure and function.
PMID- 22094991
TI - Ewing sarcoma of the tibia mimicking fibrous dysplasia.
AB - Ewing sarcoma (ES) family of tumors is the second most common primary bone
malignancy in children. It usually presents as an aggressive looking lesion often
located in the meta-diaphyseal region of long bones, with bone destruction,
permeation, cortical thinning and/or destruction, periosteal reaction, and large
soft-tissue mass. The purpose of this study is to illustrate the occurrence of a
fibrous dysplasia (FD)-appearing ES of the tibia. These cases underscore the
potential difficulties encountered in the diagnosis of ES. In this study, we
performed a retrospective review of children who presented with an otherwise
benign-appearing lesion of the tibia, suggestive of FD, which proved to be ES
after biopsy. As a result, all patients presented with a history of indolent
lower extremity pain of several months of duration, without significant swelling
or constitutional symptoms. Plain films revealed an otherwise benign-appearing/FD
like lesion without bone destruction and mild cortical thinning, little or no
periosteal reaction. Magnetic resonance imaging revealed the intramedullary
extension of the lesion without significant cortical or periosteal involvement
and no soft tissue mass. Lesions were hypointense on T1-weighted imaging and
hyperintense on T2-weighted imaging. All patients underwent open incisional
biopsy and after cytogenetic and molecular studies, the diagnosis of ES was
confirmed. We conclude that ES is a deadly malignant tumor if left untreated or
if mismanaged. Although it usually presents as an aggressive-looking lesion, at
times it may mimic FD and has a benign-looking appearance, making the diagnosis
unlikely and challenging. Summation of these cases demonstrates that the
potential reasons for misdiagnoses include a low level of suspicion, an atypical
site occurrence, a benign radiographic appearance, and a lack of or insufficient
histologic specimens.
PMID- 22094992
TI - Risk of esophageal cancer in diabetes mellitus: a meta-analysis of observational
studies.
AB - OBJECTIVE: Inconsistent findings from observational studies have prolonged the
controversy over the effects of history of diabetes mellitus (DM) on the risk of
esophageal cancer (EC). We conducted a meta-analysis of epidemiologic studies to
evaluate the association of a history of DM with the risk of EC. METHODS: We
identified studies by a literature search of MEDLINE (from 1 January 1966) and
EMBASE (from 1 January 1974), through 28 Feburary 2011, and by searching the
reference lists of pertinent articles. Summary relative risks (SRRs) with 95%
confidence intervals (CIs) were calculated with a random-effects model. All
statistical tests were two-sided. RESULTS: A total of 17 studies (6 case-control
studies and 11 cohort studies) fulfilled the inclusion and exclusion criteria.
Compared with non-diabetic individuals, diabetic individuals had a modestly
increased risk of EC (SRRs 1.30, 95% CI: 1.12-1.50), with significant
heterogeneity among studies (p = 0.042). In stratified analysis, the SRRs of EC
were 1.28 (1.10-1.49) for diabetic men and 1.07 (95% CI, 0.71-1.62) for diabetic
women, respectively. In addition, DM was associated with an increased risk of
esophageal adenocarcinoma (SRR 2.12, 95% CI 1.01-4.46). There was no significant
publication bias (p = 0.127 for Begg's adjusted rank correlation test and p =
0.629 for Egger's regression test). CONCLUSION: These findings support the
hypothesis that men with diabetes may have a modestly increased risk of EC, while
diabetic women were not the case.
PMID- 22094993
TI - Clinical use of PET/CT in peritoneal carcinomatosis from colorectal cancer.
AB - BACKGROUND/AIMS: Peritoneal carcinomatosis (PC) from colorectal cancer has been
associated with poor survival but new approaches and postoperative chemotherapy
have improved survival. The purpose of this study was to evaluate the clinical
utility of imaging in cases of PC from colorectal cancer. METHODOLOGY: This is a
retrospective single-institution study which examined 23 patients with colorectal
cancer who underwent positron emission tomography (PET), computed tomography (CT)
and received a final diagnosis PC in our institute between 2005 and 2010.
RESULTS: PET/CT detected PC in 19 of 23 cases (82.6%), whereas CT detected PC in
6 of 20 cases (30%). Four cases of PC were FDG-negative, all with maximal size
<10mm, representing smaller tumors. FDG-negative PC was diffuse in 3 cases and
nodular in 1. The distributed spotty uptake of FDG-negative PC was harder to
detect. Diagnostic detection of PC was only achieved in 3 of 23 cases (13%). In
the remaining cases, clinical diagnosis was metastasis to lymph nodes,
recurrence, or normal FDG uptake by intestine. CONCLUSIONS: PET/CT offers
efficient detection of PC and has the potential to improve clinical diagnosis
when coupled with an understanding of the pathways of flow for ascitic fluid and
peritoneal seeding.
PMID- 22094994
TI - Risk factors of SFSS in adult-to-adult living donor liver transplantation using
the right liver: a single-center analysis of 217 cases.
AB - BACKGROUND/AIMS: Small-for-size Syndrome (SFSS) in adult-adult living right lobe
liver transplantation (A-ALRLT) remains the greatest limiting factor for the
expansion of using segmental liver transplantation and the major cause of worse
short-term prognoses after LDLT. The causes of SFSS are not clear, so in this
study we approached the risk factors of the SFSS. METHODOLOGY: The study included
217 consecutive adult recipients that underwent living right lobe liver
transplantation at our center. Cases were divided into two groups: 45 cases were
determined as SFSS and 172 cases without SFSS within one month after
transplantation. Preoperative factors like donor and recipient characteristics,
operational data and postoperative factors were compared between the two groups.
Factors possibly related to postoperative SFSS were also analyzed using logistic
regression. RESULTS: After comparing the two groups, there was no significant
difference in donor and recipient background characteristics and no differences
were found between the two groups, except for portal inflow volume and MELD score
which were much higher and GRWR and outflow volume were much lower in G1.
Logistic regression analysis revealed four independent factors associated with
SFSS development in right lobe graft: GRWR, MELD score, portal inflow volume and
outflow capacity. CONCLUSIONS: Small GRWR, high MELD score, high portal inflow
volume and low outflow capacity are four risk factors in A-ALRLT.
PMID- 22094995
TI - Correlation between p16 expression and malignant risk of gastrointestinal stromal
tumor: evidence from nine studies.
AB - BACKGROUND/AIMS: The published data about p16 expression and its potential value
in malignant risk of GIST patients seems inconclusive. To derive a more precise
estimation of this relationship a meta-analysis was performed. METHODOLOGY:
Studies were identified using PubMed and Embase. Inclusive criteria were GIST
patients, evaluation of p16 expression and malignant risk. The odds ratios for
positive rate of p16 in NIH very low risk group vs. NIH low risk group, for
positive rate of p16 in NIH low risk group vs. NIH intermediate risk group, for
positive rate of p16 in NIH intermediate risk group vs. NIH high risk group, and
for positive rate of p16 in NIH very low risk/low risk group vs. NIH intermediate
risk/high risk group, were calculated with 95% CI for each study as an estimation
of potential value of p16 in malignant risk of GIST. RESULTS: A total of 9
studies including 817 patients were involved in this meta-analysis. The meta
analyses of positive rate of p16 among NIH VL group vs. NIH L group vs. NIH I
group vs. NIH H group did not attain significant differences, but the overall OR
for positive rate of p16 in NIH VL+L group vs. NIH I+H group revealed that
significantly elevated risks of positive p16 in NIH VL+L group were achieved.
CONCLUSIONS: The results indicate p16 loss correlates with the increase of
malignant risk for GIST and has a close relationship within the NIH I risk group
and NIH H risk group.
PMID- 22094996
TI - Novel biopsy forceps for diagnosis of biliary tract diseases during endoscopic
retrograde cholangiopancreatography: a prospective comparative study with 90
degrees adjustable and conventional biopsy forceps.
AB - BACKGROUND/AIMS: Recently, controllable biopsy forceps (MTW, D degrees sseldorf,
Germany) have been developed. This biopsy forceps were 90 degrees adjustable. In
the present study, the feasibility and efficacy of the controllable biopsy
forceps were compared with those of conventional biopsy forceps in patients with
biliary tract disease. METHODOLOGY: A total of 27 patients with biliary tract
lesions were enrolled. We evaluated the procedure time, the sample tissue size
and the diagnostic accuracy. In addition, the physicians performing the procedure
rated their impressions about operability into 3 classes: excellent, fair and
poor. RESULTS: The sensitivity in distinguishing benign from malignant lesions
was 71.4% (15/21) for the 90 degrees adjustable type and 66.7% (14/21) for the
conventional type. The accuracy rate was 77.8% (21/27) for the 90 degrees
adjustable type and 74.0% (20/27) for the conventional type. In terms of
operability as rated by each physician, the 'excellent' rate was given more
frequently to the 90 degrees adjustable type 25.9% than for the conventional
type 11.1% (p=0.047). CONCLUSIONS: This preliminary study showed that
controllable biopsy forceps compared to conventional type biopsy forceps, despite
a larger diameter, enables biopsy in a similar procedure time and its ease of use
was rated better.
PMID- 22094997
TI - Serum matrix metalloproteinase-9 is an early marker of pancreatic necrosis in
patients with severe acute pancreatitis.
AB - BACKGROUND/AIMS: To study the ability of matrix metalloproteinase-9 (MMP-9) to
predict pancreatic necrosis (PN) in patients with severe acute pancreatitis
(SAP). METHODOLOGY: From July 1, 2010 to December 31, 2010 patients diagnosed
with SAP were included (n=35). Serum MMP-9, CRP and IL-6 were analyzed on days 1,
3, 5 and 7 of hospitalization to determine if they could predict the development
of pancreatic necrosis. RESULTS: Of the 35 patients included, 12 (34.3%) had
evidence of PN. Admission MMP-9 concentrations were significantly higher in
patients with PN compared to subjects without PN (13.1+/-4.0 vs. 7.5+/-3.8,
p<0.05). Receiver operating characteristic curves for PN revealed an area under
the curve of 0.832 for admission MMP-9 (95% confidence interval 0.696-0.967,
p=0.001). Elevated concentrations of MMP-9 on admission for pancreatic necrosis
=9.35mg/L yielded a positive predictive value of 90.9% with a sensitivity of
91.7% and a specificity of 69.6%. Binary logistic regression indicated that MMP-9
was significantly associated with pancreatic necrosis (Odds ratios 25.1, 95%
confidence interval 2.7-234.2; p=0.005). CONCLUSIONS: An elevation in serum MMP-9
within the first 24 hours of disease is strongly associated with the development
of pancreatic necrosis. This finding may have important clinical implications and
requires further investigation.
PMID- 22094998
TI - What's good for the goose is good for the gander. Guiding principles for the use
of financial incentives in health behaviour change.
AB - BACKGROUND: The use of financial incentives or pay-for-performance programs for
health care providers has triggered emerging interest in the use of financial
incentives for encouraging health behaviour change. PURPOSE: This paper aims to
identify key conditions under which the use of financial incentives for
improvements in public health outcomes is most likely to be effective and
appropriate. METHODS: We review recent systematic reviews on their effectiveness
in changing health behaviour and identify existing moral concerns concerning
personal financial incentives. RESULTS: Current evidence indicates that
incentives can be effective in driving health behaviour change under certain
provisos, while a number of misgivings continue to be deliberated on. We outline
a number of key principles for consideration in decisions about the potential use
of incentives in leading to public health improvements. CONCLUSION: These key
principles can assist policy makers in making decisions on the use of financial
incentives directed at achieving improvements in public health.
PMID- 22094999
TI - Hyperbolic correlation between insulin sensitivity and insulin secretion fades
away in lean subjects with superb glucose regulation.
AB - The relationship between insulin sensitivity (Si) and insulin secretion (beta)
was analyzed in 533 health examinees. The subjects underwent a 75 g oral glucose
tolerance test, with plasma glucose (PG) and immunoreactive insulin (IRI)
determined at fasting, 30 min and 120 min, and were classified according to the
current criteria as normal glucose tolerance (NGT, n=328), non-diabetic
hyperglycemia (NDH, n=113) including impaired fasting glucose and impaired
glucose tolerance, and diabetes mellitus (DM, n=72). NGT was subdivided by
fasting PG (FPG) tertile, <=4.9, 5.0-5.4 and 5.5-6.0 mM, into NGT(FPG1),
NGT(FPG2) and NGT(FPG3), or by body mass index (BMI) tertile, <=21.8, 21.9-24.4
and >=24.5 kg/m2, into NGT(BMI1), NGT(BMI2) and NGT(BMI3). As an index of Si and
beta, Matsuda index=10,000/sqrt[FPG.FIRI.2hPG.2hIRI] and deltaIRI0-30/deltaPG0
30, were employed respectively: FIRI, 2hPG and 2hIRI denote fasting IRI, 2h-post
glucose PG and IRI, respectively. Correlation between Si and beta was evaluated
by Spearman's rank correlation and the parameters for [beta]=a.[Si](b) were
obtained by standardized major axis (SMA) regression. Si-beta correlation was
strongest in NDH (Spearman's rho=-0.546, SMA regression r2=0.277), intermediate
in DM (rho=-0.432, r2=0.193) and weakest in NGT (rho=-0.201, r2=0.039).
Spearman's rho for the Si-beta correlation was significantly lower in NGT than in
NDH (p=0.003). Si-beta correlation was significant in NGT(FPG3), NGT(FPG2) and
NGT(BMI3), but not in NGT(FPG1), NGT(BMI2) and NGT(BMI1). The slope, b, was
1.184~-1.530 without significant differences between any groups. In conclusion,
the hyperbolic Si-beta correlation was weaker in NGT than in NDH and absent in
NGT subjects belonging to the lowest FPG or BMI tertile.
PMID- 22095000
TI - One in five subjects with normal thyroid ultrasonography has altered thyroid
tests.
AB - The relation between thyroid ultrasonography and laboratory, and the relationship
of thyroid volume with clinical and anthropometric parameters, are not well
clarified. Aim of the study was to investigate normal and hypoechoic
inhomogeneous not nodular thyroid gland in predicting thyroid tests, and to
assess the correlation of thyroid volume with several clinical parameters. The
series included 434 subjects (244 with normal thyroid ultrasonography, and 190
with hypoechoic-inhomogeneous thyroid) at their first evaluation. Subjects with
normal ultrasonography and skewed tests were re-evaluated after one year. All
subjects with normal ultrasound showed normal free-T4, while TSH was elevated in
9.8% of cases and thyroid antibodies were positive in another 9.8%. In patients
with hypoechoic-inhomogeneous thyroid, free-T4 was low in 33.2%, TSH was elevated
in 78.4% and thyroid antibodies were positive in 76.3%. Normal ultrasonography
matched with normal tests in 81.1% of cases while hypoechoic-inhomogeneous
thyroid in 9.5% (p<0.001). The re-evaluation of tests showed no significant
difference. In subjects with both normal ultrasonography and tests, thyroid
volume was correlated with age (p=0.001), weight (p=0.003), BMI (p=0.04), body
surface area (p=0.002). Thyroid laboratory assessment was different between
subjects with ultrasonographically normal or hypoechoic-inhomogeneous thyroid.
Thyroid volume of thyroid diseases-free subjects was correlated with age, weight,
BMI and body surface area, and this should be of interest to investigate the
references of normality of thyroid size.
PMID- 22095001
TI - Prediction of late (24-hour) radioactive iodine uptake using early (3-hour)
uptake values in Japanese patients with Graves' disease.
AB - Measurement of 24-hour radioactive iodine uptake (RAIU), which is commonly used
to calculate the dose of radioiodine (RI) therapy, cannot be accomplished in a
single day. The purpose of this study was to predict 24-hour RAIU from 3-hour
RAIU in Japanese patients with Graves' disease, and to investigate other factors
that could be used to predict 24-hour RAIU. A total of 66 Japanese patients (14
men and 52 women; age, 17-83 years) with Graves' disease who had undergone both 3
hour and 24-hour 123I RAIU measurements between January 2006 and September 2011
were included in this study. Stepwise multiple regression analyses were performed
in order to identify factors that could be used to predict 24-hour RAIU. The
investigated factors were gender, age, thyroid volume, TSH, free thyroxine (FT4),
free triiodothyronine (FT3), serum creatinine, second generation assay TSH
receptor antibody (TRAb2), antithyroid drugs discontinuation period (ADP), iodine
restriction period and 3-hour RAIU. The ADP was converted to an ordinal scale ADP
score (ADPS) for multiple regression analyses. Multiple regression analyses
showed that 3-hour RAIU (P < 0.001), FT3 (P < 0.001) and ADPS (P < 0.001) were
statistically significant predictive factors of 24-hour RAIU. The relationship
between 24-hour RAIU (LU) and 3-hour RAIU (EU), FT3 and ADPS was: LU = 11.5 +
29.1 * log10 EU + 23.0 * log10 FT3 - 2.7 * ADPS (r = 0.82, P < 0.001). The
present results indicate that prediction of LU from EU, FT3 and ADPS is feasible
in Japanese patients with Graves' disease.
PMID- 22095002
TI - Prolonged conversion of n-butyrate to n-butanol with Clostridium
saccharoperbutylacetonicum in a two-stage continuous culture with in-situ product
removal.
AB - n-Butanol was produced continuously in a two-stage fermentor system with
integrated product removal from a co-feed of n-butyric acid and glucose. Glucose
was always required as a source of ATP and electrons for the conversion of n
butyrate to n-butanol and for biomass growth; for the latter it also served as a
carbon source. The first stage generated metabolically active planktonic cells of
Clostridium saccharoperbutylacetonicum strain N1-4 that were continuously fed
into the second (production) stage; the volumetric ratio of the two fermentors
was 1:10. n-Butanol was removed continuously from the second stage via gas
stripping. Implementing a two-stage process was observed to dramatically dampen
metabolic oscillations (i.e., periodical changes of solventogenic activity).
Culture degeneration (i.e., an irreversible loss of solventogenic activity) was
avoided by periodical heat shocking and re-inoculating stage 1 and by maintaining
the concentration of undissociated n-butyric acid in stage 2 at 3.4 mM with a pH
auxostat. The system was successfully operated for 42 days during which 93% of
the fed n-butyrate was converted to n-butanol at a production rate of 0.39 g/(L *
h). The molar yields Y(n-butanol/n-butyrate) and Y(n-butanol/glucose) were 2.0,
and 0.718, respectively. For the same run, the molar ratio of n-butyrate to
glucose consumed was 0.358. The molar yield of carbon in n-butanol produced from
carbon in n-butyrate and glucose consumed (Y(n-butanol/carbon) ) was 0.386. These
data illustrate that conversion of n-butyrate into n-butanol by solventogenic
Clostridium species is feasible and that this can be performed in a continuous
system operating for longer than a month. However, our data also demonstrate that
a relatively large amount of glucose is required to supply electrons and ATP for
this conversion and for cell growth in a continuous culture.
PMID- 22095003
TI - Exploring the provision of hospital trauma care for road traffic injury victims
in Iran: a qualitative approach.
AB - BACKGROUND: Identifying factors affecting the provision of trauma care is
essential for improving the quality of care for road traffic injury (RTI)
victims. The study aimed to explore the perceptions and experiences of trauma
care among injured patients and health professionals to identify factors
influencing an effective trauma care delivery at emergency departments (EDs) in
Iran. METHODS: The study was conducted with a grounded theory approach. The study
participants consisted of 15 health professionals and 20 injured patients. The
data were collected via semi-structured interviews and were analyzed using
constant comparative analysis method. RESULTS: Lack of a systematic approach to
providing trauma care at EDs emerged as the core category. The leading factors in
the development of the core category were unclear national policies and poor
organization of care at the ED. Other major factors were contextual factors in
the environment of the hospitals such as inappropriate structure and unsupportive
environment and also factors specific to the context of Iran such as a rapid
increase in the number of traumas. Professionals reacted to the prevailing
conditions in ways that contributed to an ineffective trauma care, even though
strategies employed by Emergency Medicine Physicians (EMPs) improved the quality
of trauma care locally. CONCLUSIONS: Building a national trauma system, using
available professional resources especially EMPs, and implementing low cost and
evidence-based improvements such as establishing trauma teams and trauma training
for staff working at the EDs on a regular basis is necessary in order to improve
delivery of trauma care at the hospitals.
PMID- 22095004
TI - Migration challenges among Zimbabwean refugees before, during and post arrival in
South Africa.
AB - BACKGROUND: Zimbabweans are immigrating to South Africa with a commonly cited
reason being economic opportunities. Prospects of finding employment may be a
significant reason to leave behind family, friends, and community, sources that
buffer and offer social support against life's challenges. Currently, there is a
dearth of research examining the motivators for Zimbabweans immigrating and the
experiences encountered along the way and after arrival in South Africa. Such
research is essential as large numbers of Zimbabweans may be at risk for
emotional and physical trauma during this process. METHODS: Two gender specific
focus group discussions, each lasting 90-minutes and consisting of homeless
Zimbabwean refugees, were conducted in the Limpopo Province of South Africa. A
semi-structured interview assessed for experiences in and reasons for leaving
Zimbabwe, as well as experiences en-route and within South Africa. Discussions
were audio-recorded, transcribed, and analyzed using consensual qualitative
research and a constant comparison qualitative method. RESULTS: Three temporal
themes were identified and included challenges and trauma experienced in Zimbabwe
(pre-migration), during the immigration journey (mid-migration), and upon arrival
in South Africa (post-migration). While there were some experiential differences,
Zimbabwean men and women shared numerous traumatic commonalities. In addition to
the themes, three subthemes contributing to reasons for leaving Zimbabwe, two
subthemes of negative and traumatic experiences incurred mid-migration, and two
post-migration subthemes of challenges were identified. CONCLUSIONS: Despite the
difficulties encountered in their homeland, newly arrived Zimbabweans in South
Africa may be exchanging old struggles for a new array of foreign and traumatic
challenges. Reasons to immigrate and the psychological and physical toll of
migration exacted at the individual and community levels are discussed.
Recommendations advocating for culturally congruent mental health research, the
training of culturally competent researchers and clinicians, and the development
of policies that could influence the quality of life of Zimbabwean refugees are
provided.
PMID- 22095005
TI - The remote assessment of melanocytic skin lesions: a viable alternative to face
to-face consultation.
AB - BACKGROUND: The incidence of melanoma continues to rise in the Western world,
prompting health care professionals to search for novel tools that may increase
rates of early detection. Here we focus on one such tool: remote specialist
diagnosis of melanocytic lesions utilising mobile-phone camera patient-generated
clinical images. OBJECTIVE: We aim to test the hypothesis that patient-generated
clinical images utilising mobile phones are of acceptable quality, and that
digital image diagnostic outcomes are comparable with face-to-face (FTF)
diagnostic outcomes. METHODS: Study participants were asked to photograph, using
their mobile-phone camera any number of their own melanocytic naevi, and then
upload these clinical images to a central server. Diagnostic accuracy of the
management decision based on assessing these digital images was tested by
comparing results from digital image assessment with results from FTF
assessments. RESULTS: We provide evidence that suggests potential patients are
capable of uploading good quality clinical images of melanocytic lesions for
diagnostic purposes, and we show that good concordance rates can be achieved with
respect to digital image and FTF diagnostic outcomes. With respect to the latter,
exact agreement was found in 116 of 167 assessable lesions (69%). CONCLUSIONS:
This work suggests that specialist remote diagnosis of patient-generated clinical
images of melanocytic lesions utilising mobile-phone cameras may be a viable
alternative to traditional FTF assessments.
PMID- 22095006
TI - Recidivism rates among mentally ill inmates: impact of the Connecticut Offender
Reentry Program.
AB - This study compares data from the Connecticut Offender Reentry Program (CORP) and
retrospective data for inmates who received standard treatment planning services
from the Connecticut Department of Mental Health and Addictions Services (DMHAS).
Analysis of DMHAS data investigated characteristics (demographic, psychiatric,
and prison classification scores) and recidivism rates of 883 individuals. A
program evaluation was later completed on a separate cohort of 88 individuals who
participated in CORP. Comparison of the study results found that 14.1% of the
CORP participants were rearrested within 6 months of discharge compared to 28.3%
of the DMHAS group. This study concluded that younger age and having a co
occurring substance use disorder appear to be predictors of recidivism. A
distinctly smaller percentage of CORP participants were recidivistic, indicating
support for specialized reentry programs.
PMID- 22095007
TI - Nonviolent communication training and empathy in male parolees.
AB - The purpose of this study was to determine the impact of a behavioral
intervention, nonviolent communication (NVC), on the development of empathic
coping and communication skills in a sample of male parolees enrolled in
substance abuse treatment (SAT; N = 30). At the end of the 8-week intervention,
results revealed a significant increase (p = .01) in participants' empathy
levels. Findings also revealed the acceptability and utility of NVC training to
men on parole. Results suggest that NVC training may (a) be a useful addition to
substance abuse treatment programs for parolees, (b) be effective in addressing
problematic coping and communication styles resulting from incarceration and
criminal behavior, and (c) assist paroled individuals in building and sustaining
positive social support networks.
PMID- 22095008
TI - Historical impact to drive research in peptic ulcer disease.
AB - The story of gastric acid secretion began with early ideas on gastric secretion
(Spallanzani and de Reaumur, 17th century) and with first descriptions of food
digestion (Dupuytren and Bichat, Beaumont, early 18th century), followed by proof
that gastric juice contained acid (Prout, early 18th century). The research
continued with first descriptions of gastric glands as the source of gastric acid
and its changes upon digestive stimulus (Purkinje and Golgi, mid and late 19th
century). The theory of 'nervism' - the neuro-reflex stimulation of gastric
secretion by vagal nerve (Pavlov, early 20th century) was contrasted by a
histamine-mediated concept of gastric secretion (Popielski and Code, mid 20th
century). Thus, gastric acid and pepsin (Schwann, early 19th century) were found
to be essential for food digestion and studies also pointed to histamine, being
the most potent final common chemostimulator of oxyntic cells. The discoveries in
etiopathogenesis of mucosal injury were marked by the famous dictum: 'No acid, no
ulcer' ('Ohne saueren Magensaft kein peptisches Geschwur', Schwarz, 1910) that
later induced the term of 'mucosal defense' and the notion that the breaking of
'gastric mucosal barrier' represents the initial step in the process of mucosal
injury (Davenport, Code and Scholer, mid 20th century). The prostaglandins were
shown to influence all major components of gastric mucosal barrier, described
with the term 'cytoprotection' (Vane, Robert and Jacobson, 1970s). Beginning in
the latter half of 19th century, the studies on gastric bacteriology that
followed enabled the discovery of association between Campylobacter
(Helicobacter) pylori and peptic ulcers (Warren and Marshall, 1980s) that led to
worldwide major interventions in treating peptic ulcer disease. The surgical
approach to peptic ulcer had been outlined by resection procedures (Billroth,
Pean, Moynihan, late 19 century) and vagotomy, with or without drainage
procedures (Jaboulay, Latarjet, Dragstedt, mid 20th century). Antacids,
protective agents, anticholinergics, and later gastrin antagonists and
prostaglandins were used for decades in the treatment of peptic ulcer, with
differing effects. The advent of the concept of H(2)-receptor antagonists (Black,
1970s) and the discovery of acid (proton) pumps in parietal cells (Ganser, Forte
and Sachs, late 1970s) paved the way for potent (H(2) antagonists) and profound
acid inhibition (proton pump inhibitors) that revolutionized the treatment of
acid-related disorders, including peptic ulcer disease. Worldwide, peptic ulcer
and its complications remain the cause of significant morbidity, especially in
older age groups, representing a major burden for ambulatory and hospital
healthcare resources.
PMID- 22095009
TI - Etiopathogenetic principles and peptic ulcer disease classification.
AB - Ulceration corresponds to tissue loss, breaching the muscularis mucosae. When
ulcers develop in the acid-peptic environment of the gastroduodenum, they are
traditionally called peptic ulcer (PUD). Ulcers never develop spontaneously in a
healthy gastroduodenal mucosa. Ulceration is the ultimate consequence of a
disequilibrium between aggressive injurious factors and defensive mucosa
protective factors. The dominant aggressors are strong acid and high proteolytic
(pepsin) activity in gastric secretions. The dominant defensors are the
phospholipid surfactant layer, covering the mucus bicarbonate gel, the mucus
bicarbonate layer covering the epithelium, the tight junctional structures
between the epithelial cells, restricting proton permeability, and the epithelial
trefoil peptides, contributing to healing after injury. Initially, acid-peptic
aggression was considered the overwhelming cause of PUD, supported by the
pioneering work of Schwartz, launching the dictum 'no acid, no ulcer'. This led
to the universal therapy directed against intragastric acidity, also interfering
with peptic activity when the pH was >4. The therapeutic sequence went from large
doses of antacids to H(2)-receptor antagonists and finally to proton pump
inhibitors (PPIs). The longer the intragastric pH was >3, the quicker ulcer
healing was seen. Unfortunately, ulcers often recurred after stopping therapy,
demanding maintenance therapy to keep the ulcers healed and to prevent the need
for surgery (vagotomy, partial gastric resection). Later on, the emphasis
gradually shifted to weakening/failing of the defensive factors, raising the
vulnerability of the gastroduodenal mucosa to luminal secretions. Leading
injurious mechanisms jeopardizing the mucosal integrity are numerous: infections,
especially Helicobacter pylori, drug-induced injury, particularly acetylsalicylic
acid (ASA) and non-steroidal anti-inflammatory drugs (NSAIDs), physicochemical
and caustic injury, vascular disorders, interfering with perfusion, etc.
Currently the leading cause of PUD is H. pylori infection. Standard triple
eradication therapy is losing interest in favor of quadruple therapy (PPI,
bismuth, tetracycline, metronidazole). H. pylori-induced PPI is rapidly
disappearing in the Western world, in contrast to drug-induced ulcer disease and
what is called idiopathic PUD. Partial prophylaxis of ASA/NSAID-induced
ulceration is possible with PPI maintenance therapy, but novel ways to strengthen
the mucosal defense are urgently awaited.
PMID- 22095010
TI - The intriguing relationship of Helicobacter pylori infection and acid secretion
in peptic ulcer disease and gastric cancer.
AB - Helicobacter pylori infection induces chronic inflammation of the gastric mucosa
and thus profoundly affects gastric physiology. In the acute phase of infection,
gastric acid secretion is transiently impaired. The morphological damage of the
gastric mucosa, changes in gastric hormone release, and disruption of neural
pathways all contribute to influence gastric acid secretion in a distinct manner.
Changes in gastric acid secretion, whether impaired or increased, are intimately
related with the topographic phenotypes of gastritis and the presence of atrophy
or absence of corpus atrophy. The interplay of gastritis phenotype and acid
secretion are key determinants in disease outcomes. Corpus-predominant gastritis
and corpus atrophy are accompanied by hypochlorhydria and carry the highest risk
for gastric cancer, whereas antrum-predominant gastritis with little involvement
of the corpus-fundic mucosa is associated with hyperchlorhydria and predisposes
to duodenal ulcer disease.
PMID- 22095011
TI - Acid-NSAID/aspirin interaction in peptic ulcer disease.
AB - The presence of gastric acid plays a critical role in the mechanisms of
NSAIDs/aspirin-associated gastric and duodenal mucosal injury and ulceration. The
role of gastric acid and its relationship to NSAIDs/aspirin in mucosal damage,
ulcer and ulcer complications continues to be an important concern because of the
increasing worldwide use of NSAIDs and aspirin. Acid suppression continues to be
an important prevention strategy for NSAID-associated gastric and duodenal ulcer
and ulcer complications. While a coxib or an NSAID and PPI in combination are
considered to have comparable safety profiles, the evidence from direct
comparisons in high-risk patients is limited, and the cardiovascular safety of
coxibs and NSAIDs remains a concern especially in patients with a high risk of
cardiovascular disease. An evaluation of individual gastrointestinal and
cardiovascular risks and benefits, selection of the most appropriate NSAID and
dose for each particular patient should always be emphasized. Twice daily PPI is
more appropriate to protect a patient who is taking NSAIDs twice daily. PPI co
therapy is still recommended in patients receiving dual antiplatelet treatment,
although conflicting results have been reported about adverse drug interactions
between PPIs and clopidogrel.
PMID- 22095012
TI - Pharmaceutical principles of acid inhibitors: unmet needs.
AB - Despite the well-established benefits of currently approved delayed-release
proton pump inhibitors (PPIs) in the treatment of acid-related diseases, the
unmet needs are still present and although often frustrating, they challenge
clinicians. The unmet needs relate to the lack of complete control of acid
secretion with oral PPI administration in the management of patients with
gastroesophageal symptoms. These substantial groups of patients, who do not
respond completely to standard doses of PPIs, are nonresponders, and their lack
of response should be considered as PPI failure. Several mechanisms could explain
PPI failure: differences in pharmacokinetics, PPI formulation, dosing time and
diet, noncompliance, transient lower esophageal sphincter relaxations, esophageal
hypersensitivity, and nocturnal acid breakthrough. To increase the quality of
life of these patients and avoid multiple medical consultations and unnecessary
investigations, we have to go one step forward and use combined therapy or look
towards new treatments beyond acid suppression.
PMID- 22095013
TI - Long-term acid inhibition: benefits and harms.
AB - Proton pump inhibitors (PPIs) are the drugs of choice in the therapy of acid
related disorders. PPIs are as a class remarkably safe. Serious adverse events
such as acute interstitial nephritis are extremely rare. Some reports in recent
years have placed some concern on the long-term use of PPIs. Long-term therapy
with PPIs can cause hypochlorhydria, hypergastrinemia and has interactions on
hepatic cytochrome P450 enzymes which might increase the risk of infectious
complications, nutritional deficiencies and drug-drug interactions. The vast
majority of data came from retrospective case-control or cohort studies which are
prone to confounders. At the moment we cannot conclude that long-term PPI use
causes anemia, osteoporosis and bone fractures, increases the risk for pulmonary
or enteric infections, has an effect on GUT mucosa, polyp or tumor formation, or
that PPI use increases the risk of cardiovascular events in patients on PPIs and
clopidogrel. We need prospective randomized trials to be able to definitely
answer the questions raised in epidemiologic studies. Maintenance therapy with
PPIs should be started only if strict indications for that therapy are fulfilled.
PMID- 22095014
TI - Acid inhibition and the acid rebound effect.
AB - Acid secretion from gastric parietal cells is a result of a complex interaction
between different stimulatory and inhibitory mediators. One of the most important
mediators is gastrin, which stimulates gastric acid secretion from parietal cells
mostly indirectly, by the release of histamine from enterochromaffin-like (ECL)
cells. Therapy with antisecretory agents leads to hypergastrinemia, mucosal
hyperplasia and increased ECL cell mass, which results in increase of gastric
acid secretion capacity. This increased secretion capacity has been shown to
manifest itself after antisecretory therapy withdrawal as rebound acid
hypersecretion (RAH). Various studies have quantified acid hypersecretion after
the cessation of therapy with H(2) antagonists and proton-pump inhibitors (PPIs).
While most of those studies had small patient numbers, the findings generally
demonstrate that RAH after H(2) antagonist therapy is of low magnitude, short
duration, and has questionable clinical significance. On the contrary, acid
hypersecretion after PPI therapy is more pronounced, lasts longer, and could
possibly be the cause of acid-related symptoms. Potential for causing symptoms
has recently been confirmed in two randomized placebo-controlled studies, and
while we witness the increasing use of PPIs, RAH could become a proven cause of
failure to withdraw therapy in a proportion of patients with reflux or dyspeptic
symptoms.
PMID- 22095015
TI - Acid secretion and gastric surgery.
AB - It was long believed that there were major differences in the pathophysiology
between the three major categories of peptic ulcers. The unifying feature was
that all peptic ulcers occurred in a mucosal compartment exposed to acid-pepsin
secretions. All ulcers tended to heal more rapidly when acid secretion was more
readily neutralized or inhibited. Decreased local resistance was considered to be
present in primarily acute and chronic gastric ulcer. Surgery for peptic ulcer
intended to reduce acid secretion, which also resulted in a diminished pepsin
enzyme activity. The corresponding reduction could be accomplished either by
gastric resection, different vagotomies or a combination of resections and
vagotomies. Most of the procedures were basically abandoned at the time of
introduction of modern medical therapeutic strategies. For duodenal ulcer and
prepyloric ulcer diseases, various vagotomies were generally recommended or
combined with antrectomy. Partial gastrectomy or antrectomy with
gastroduodenostomy was the standard procedure for treatment of type 1 gastric
ulcer. The great caveat associated with surgical procedures for elective
treatment of uncomplicated peptic ulcer disease is confined to operative
mortality, postoperative morbidity, and late postoperative metabolic sequelae.
The only remaining indication today of remedial gastric surgery for peptic ulcer
disease is when there is a defined risk for gastric cancer in an unhealed gastric
ulcer and very seldom in a case with recurrent or therapy-resistant peripyloric
ulcer.
PMID- 22095016
TI - Complications of peptic ulcer disease.
AB - There are four major complications of peptic ulcer disease (PUD): bleeding,
perforation, penetration, and obstruction. Complications can occur in patients
with peptic ulcer of any etiology. Despite improvements in the medical management
and the lower overall incidence of PUD, there are conflicting data about the
incidence of potentially life-threatening ulcer complications. There are
important time trends embedded within this stable overall rate of complications:
the dramatic decline in the prevalence of Helicobacter pylori (comparing the
cohort born from 1900 to 1920 to cohorts born after 1940); an increased use of
nonsteroidal anti-inflammatory drugs, and an increased rate of ulcer
complications related to such drug use, especially in the elderly. As a result of
these trends, ulcer complications are on the rise in older patients but on the
decline in younger individuals. Hemorrhage is the most frequent PUD complication
and its incidence is increasing in comparison to perforation and stenosis.
Therapeutic endoscopy is considered the treatment of choice for bleeding ulcers,
reducing the need for emergent surgical procedures to 10-20% of the cases. In
recent years, besides the success of angiographic embolization, the containment
of massive hemorrhage must also be taken into account. Transcatheter arterial
embolization is also an effective and safe treatment in patients with duodenal
ulcers re-bleeding after therapeutic endoscopy or surgery.
PMID- 22095017
TI - Acid inhibition and peptic ulcer bleeding.
AB - Peptic ulcer bleeding is one of the most common emergency situations in medicine.
Combined pharmacological and endoscopic therapy together with emerging
interventional radiological procedures are successfully treating peptic ulcer
disease, reserving surgical procedures for only a small portion of patients
unresponsive to 'conventional' therapy. Technological advancement has seen a
great improvement in the field of endoscopic treatment in the form of various
methods of hemostasis. However, pharmacological therapy with proton pump
inhibitors still plays the central role in the peptic ulcer bleeding treatment
algorithm.
PMID- 22095018
TI - Role of acid suppression in the development and progression of dysplasia in
patients with Barrett's esophagus.
AB - Barrett's esophagus (BE) usually develops in patients with gastroesophageal
reflux disease and therefore it has been suggested that esophageal acid exposure
plays an import role in the initiation of BE and its progression towards
esophageal adenocarcinoma (EAC). The mechanisms whereby acid exposure causes BE
are not completely revealed and the potential role of esophageal acid exposure in
carcinogenesis is unclear as well. Since acid exposure is thought to play an
important role in the progression of BE, therapies aimed at preventing the
development of EAC have primarily focused on pharmacological and surgical acid
suppression. In clinical practice, acid suppression is effective in relieving
reflux symptoms and decreases esophageal acid exposure in most patients. However,
in some individuals, pathological acid exposure persists and these patients
continue to be at risk for developing dysplasia or EAC. To date, published trials
suggest that acid suppression is able to prevent the development and progression
of dysplasia in patients with BE, but definite and compelling proof is still
lacking. This article reviews the mechanisms of acid-induced carcinogenesis in BE
and the role of acid suppression in the prevention of neoplastic progression.
PMID- 22095019
TI - No acid, no ulcer: Dragutin (Carl) Schwarz (1868-1917), the man ahead of his
time.
AB - Dragutin (Carl) Schwarz (1868-1917) was born in VaraZdin (part of the Austro
Hungarian Monarchy then, northwestern part of Croatia today). As many Croats of
the period he enrolled in the Vienna School of Medicine and graduated in 1891.
After spending some time in a few clinics of the Monarchy, he returned to his
homeland in 1895. Named the primary physician of the surgical department of
Charity Brothers' Hospital in Zagreb, he motivated lively activities there and
became the prominent member of the medical community. Apart from his impressive
surgical work, Carl Schwarz is primarily remembered for his dictum 'No acid, no
ulcer' (1910) which was proven to be true in the decades that followed. This
short editorial aims at recalling those visionary observations ever inviting and
challenging further investigations.
PMID- 22095020
TI - Hypertrophic osteoarthropathy in a child due to thoracic Hodgkin's disease.
PMID- 22095021
TI - [The effects of multimodal intervention for the primary prevention of
cardiovascular diseases on depression, anxiety, and Type-D pattern: initial
results of the randomized controlled PreFord trial].
AB - Depression, anxiety, and Type-D pattern are associated with the earlier
development and faster progression of cardiovascular disease (CVD). The aim of
the randomized controlled PreFord trial was to improve multiple biological and
psychosocial risk factors in the primary prevention of CVD. A total of 447 women
and men with an ESC risk score >5% were randomly assigned to either multimodal or
routine care groups. Somatic and psychosocial variables (HADS, DS-14) were
assessed before and after the intervention, and annually for 2 years thereafter.
The intervention showed no significant effects on the symptoms of depression,
anxiety, and type D personality, either in the whole sample or in those with
elevated scores at baseline. Thus, our study did not provide evidence that
symptoms of depression, anxiety, or Type D personality can be effectively treated
by multimodal behavioral interventions for the primary prevention of CVD.
PMID- 22095022
TI - [Long-term echocardiographic and clinical follow-up after mitral valve surgery in
patients with extensive calcified mitral annulus].
AB - OBJECTIVE: The aim of this study is the analysis of long-term results in patients
with hemodynamically significant mitral valve disease due to extensive calcified
mitral annulus who underwent decalcification and patch reconstruction. PATIENTS
AND METHODS: Between 1996 and 2008 a total of 109 patients underwent surgery in
the presence of extensive calcification, severe mitral insufficiency and mitral
stenosis. The mean age of patients (65 women, 44 men) was 66.4+/-13.8 years.
Mitral valve repair was performed in 53 patients (49%), while the remaining 56
patients (51%) received a mitral valve replacement. In all, 64 patients (59%)
required concomitant surgery. The mean follow-up time was 96+/-48 months.
RESULTS: Inpatient and late mortality rates were 8.3% (nine patients) and 25.6%
(28 patients), respectively. The actuarial survival rates at 5, 8 and 12 years
were 88.1%, 76.2% and 66.1%. Echocardiographic follow-up demonstrated mitral
insufficiency III in four patients (6%). No patients had mitral insufficiency IV.
We observed a significant reduction in left atrium diameter, LVEDD as well as
mean transvalvular gradient. Freedom from reoperation at 5 and 8 years was 96.4%
and 91.8%, respectively. We found systemic hypertension, diabetes mellitus, age
above 65 years, concomitant aortic valve replacement, concomitant procedures,
chronic renal insufficiency and cardiac decompensation in the medical history as
predictors for significantly increased early or late mortality. CONCLUSION: The
long-term results strongly suggest that en bloc decalcification and patch
reconstruction of the mitral annulus can be safely undertaken in high-risk
patients.
PMID- 22095023
TI - A dedicated cardioversion unit for the treatment of atrial fibrillation. Reducing
costs by optimizing processes.
AB - BACKGROUND: Atrial fibrillation (AF) is the most frequent arrhythmia seen in man.
Many patients are admitted to the hospital to undergo transesophageal
echocardiography (TEE) for thrombus exclusion and subsequent electrical
cardioversion (ECV) under deep sedation to restore sinus rhythm. The present
study investigated prospectively how workflow optimization can contribute to
reducing time and costs in AF patients scheduled for ECV in an outpatient
setting. METHODS: A cardioversion unit (CU) was established and equipped to
perform all ECV-associated procedures. Between November 2007 and January 2009,
ECV was performed in 115 patients in an outpatient setting. Three different
settings were tested for ECV: (1) usual care (n = 19): preparation/follow-up in
the outpatient clinic, blood testing in the central hospital laboratory (CHL),
TEE in the echocardiography laboratory, and ECV in the intensive care unit; (2)
optimized process 1 (n = 41): preparation/follow-up, TEE + ECV during one
sedation in the CU, blood testing in the CHL; (3) optimized process 2 (n = 55):
preparation/follow-up, TEE + ECV and point of care (POC) blood testing in the CU.
All procedure-related costs were listed and classified according to material,
human resources, and infrastructure. RESULTS: From setting 1 to 3, there was a
significant decrease in procedural time from 480 +/- 105 min to 205 +/- 85 min (p
< 0.001). Likewise, ECV-associated costs could be reduced from 683 +/- 104
to 299 +/- 63 (p < 0.001). CONCLUSION: Establishing a CU for AF enables a
more than 50% reduction in procedural time and costs. A combination of TEE and
ECV in one sedation and POC testing in the CU were the major contributors to this
time and cost reduction.
PMID- 22095024
TI - Interaction of the main components from the traditional Chinese drug pair Chaihu
Shaoyao based on rat intestinal absorption.
AB - The Chaihu-Shaoyao drug pair (Bupleuri Radix and Paeoniae Radix Alba) which is a
traditional Chinese drug pair, has been widely used for anti-inflammatory
purposes. Saikosaponin a (SSA), saikosaponin d (SSD) and paeoniflorin are
identified as the main components in the pair. The present study focused on the
interaction of the main components based on investigating their intestinal
absorption using a four-site perfused rat intestinal model in order to clarify
the mechanism of the compatibility of Chaihu-Shaoyao. The concentrations of SSA,
SSD and paeoniflorin in the intestinal perfusate were determined by LC/MS or UPLC
(Ultra Performance Liquid Chromatography) methods, followed by P*(eff) (effective
permeability) and 10% ABS (the percent absorption of 10 cm of intestine)
calculations. The results showed that all of the three main components displayed
very low permeabilities (P*(eff) < 0.4), which implied their poor absorption in
the rat intestine. The absorption levels of SSA and SSD were similar in intestine
and higher in ileum than those in other intestinal regions in the decreasing
order: colon, jejunum and duodenum. However, there is no significant difference
in the absorption of paeoniflorin in the four segments (P < 0.05). The P*(eff)
values of paeoniflorin exhibited an almost 2.11-fold or 1.90-fold increase in
ileum when it was co-administrated with SSA and SSD, as well as 2.42-, 2.18-fold
increase in colon, respectively, whereas the absorptions of SSA and SSD were not
influenced by paeoniflorin. In conclusion, SSA and SSD could promote the
absorption of paeoniflorin. To some extent this might explain the nature of the
compatibility mechanisms of composite formulae in TCMs.
PMID- 22095025
TI - Structure and properties of nano-confined poly(3-hexylthiophene) in nano
array/polymer hybrid ordered-bulk heterojunction solar cells.
AB - The ordered-bulk heterojunction (BHJ) photovoltaic device comprising a
semiconducting donor polymer incorporated into pristine/unmodified vertically
aligned arrays of metal oxide acceptor nanotubes/nanorods is widely perceived as
being structurally ideal for energy conversion but the power conversion
efficiencies of such devices remain relatively low (in the order of eta = 0.6%)
when compared with bilayer or non-ordered bulk heterojunction systems. We explain
the incongruity by investigating the morphology and microstructure of regio
regular poly(3-hexyl thiophene) (P3HT) infiltrated and confined within the
cavities of TiO(2) nanotube arrays. A series of TiO(2) nanotube arrays with
different nanotube diameters and inter-nanotube spacings are fabricated by the
liquid-phase atomic layer deposition (LALD) technique, and P3HT is infiltrated
into the array cavities via a vacuum-annealing technique. X-Ray diffraction
studies reveal that the P3HT chains in both nano-confined and non-confined (i.e.
planar film) environments are well-aligned and oriented edge-on with respect to
the underlying substrate. Up to 2.5-fold improvement in the incident-photon-to
converted-electron efficiency (IPCE) is observed in ordered-BHJ structures over
benchmark planar devices which we attribute to the increase in interfacial area
resulting from the use of the nanostructures. However, the large effective
surface area conferred by the nano-arrays (up to 9.5 times that of the planar
system) suggests that much higher efficiencies could be harnessed. Our study
shows that the morphology and orientation of the infiltrated polymer play a
critical role in the charge transport of the device, and suggests that better
understanding and control of polymer morphology under nano-confinement in the
nano-array will be the key to fully reaping the promised benefit of ordered-BHJ
devices.
PMID- 22095026
TI - Thiacalix[4]arene based fluorescent probe for sensing and imaging of Fe3+ ions.
AB - A thiacalix[4]arene based fluorescent chemosensor 3 in the cone conformation has
been synthesized and its recognition behaviour is evaluated toward various metal
ions in mixed aqueous media. The chemosensor 3 showed high selectivity towards
Fe(3+) ions by fluorescence quenching of excimer emission. Further, evaluation of
the 3.Fe(3+) complex prepared in situ demonstrated great promise for the
detection of the Fe(3+) ion in the presence of amino acids, blood serum and
bovine serum albumin (BSA) solution. The compound 3 has suitable permeability
into the PC3 cells and can be utilized as a Fe(3+) selective sensor in living
cells (PC3 cells).
PMID- 22095028
TI - [Aspects of drug safety in the German code of social security].
PMID- 22095027
TI - [New approaches to the monitoring of hospital hygiene: assessment of hygiene
skills of staff members by structured interviews and observation].
AB - INTRODUCTION: Increasing demands on hygiene in hospitals require improved methods
of systematic monitoring by the Public Health Service. METHODS: The hygiene
skills of at least 20% of the hospital physicians and nurses were evaluated by
structured interviews and observation. The results were presented to the
hospitals. RESULTS: In total, 184 persons were reviewed in 5 hospitals. On
average, 7 out of 10 questions on hygiene knowledge were answered correctly, 74%
of the persons asked demonstrated proper hand hygiene and 66% of them were not
wearing any jewellery on their hands. There were no significant differences
between physicians and nurses. The slight differences between the 5 hospitals
were transferred into a ranking. CONCLUSIONS: The application of the method
provides additional information on hygiene in hospitals and allows a comparison
of the hygiene skills of their staff members. The results form the basis for a
dialogue between hospital and Public Health Service.
PMID- 22095030
TI - Regulation of p53 by ING family members in suppression of tumor initiation and
progression.
AB - The INhibitor of Growth (ING) family is an evolutionarily conserved set of
proteins, implicated in suppression of initiation and progression of cancers in
various tissues. They promote cell cycle arrest, cellular senescence and
apoptosis, participate in stress responses, regulate DNA replication and DNA
damage responses, and inhibit cancer cell migration, invasion, and angiogenesis
of the tumors. At the molecular level, ING proteins are believed to participate
in chromatin remodeling and transcriptional regulation of their target genes.
However, the best known function of ING proteins is their cooperation with p53
tumor suppressor protein in tumor suppression. All major isoforms of ING family
members can promote the transactivition of p53 and the majority of them are shown
to directly interact with p53. In addition, ING proteins are thought to interact
with and modulate the function of auxiliary members of p53 pathway, such as MDM2,
ARF , p300, and p21, indicating their widespread involvement in the regulation
and function of this prominent tumor suppressor pathway. It seems that p53
pathway is the main mechanism by which ING proteins exert their functions.
Nevertheless, regulation of other pathways which are not relevant to p53, yet
important for tumorigenesis such as TGF-beta and NF-kappaB, by ING proteins is
also observed. This review summarizes the current understanding of the mutual
interactions and cooperation between different members of ING family with p53
pathway and implications of this cooperation in the suppression of cancer
initiation and progression.
PMID- 22095029
TI - Newcastle disease virus induces apoptosis in cisplatin-resistant human lung
adenocarcinoma A549 cells in vitro and in vivo.
AB - Cisplatin (DDP) is widely used in lung cancer chemotherapy. However, cisplatin
resistance represents a major obstacle in effective clinical treatment. This
study aims to investigate whether Newcastle disease virus (NDV) exhibits an
oncolytic effect on cisplatin-resistant A549 lung cancer cells. We found that NDV
induced A549/DDP cell apoptosis via the caspase pathway, particularly involving
caspase-9, while the mitogen-activated protein kinase (MAPK) and Akt pathways
also contributed to apoptotic induction. Furthermore, NDV displayed oncolytic
effects in a mouse A549/DDP lung cancer model. Collectively, our data indicate
that NDV could overcome the cisplatin resistance in lung cancer cells in vitro
and in vivo.
PMID- 22095031
TI - Molecular characterization of intercellular adhesion gene in Staphylococcus
aureus isolated from bovine mastitic milk.
AB - Biofilm formation in Staphylococcus aureus is considered an important virulence
factor in bovine mastitis. Intercellular adhesion gene A (icaA) is a significant
genetic determinant that contributes in biofilm formation. The aim of the present
study was to determine the presence of the icaA gene in S. aureus isolated from
bovine mastitis from seven states of India. A total of 88 out of 150
Staphylococcus aureus strains were found to be positive for biofilm marker icaA
gene by PCR. The icaA gene was confirmed by dot blot hybridization in 41 of 150
S. aureus strains tested. Results obtained with dot blot hybridization were
comparable to those obtained with PCR. Partial sequences of the icaA gene of the
two S. aureus isolates showed deletion of some bases in different positions that
might reduce/stop transcription leading to no biofilm formation. PCR was found to
be a rapid test but dot blot hybridization was more accurate than PCR for
detection of icaA genes. This study showed that detection of biofilm marker the
icaA gene in S. aureus would allow the detection of virulence factors present in
mastitis and early application of corrective measures.
PMID- 22095032
TI - Potential use of coronary artery calcium progression to guide the management of
patients at risk for coronary artery disease events.
AB - OPINION STATEMENT: Subclinical coronary artery disease (CAD) is widespread and
under-diagnosed. Preventive efforts are required to reduce the burden of this
disease and its complications. Imaging of coronary artery calcium (CAC) with
cardiac computed tomography is highly specific for the diagnosis of subclinical
CAD and can also facilitate treatment decisions in preventive cardiology. Indeed,
CAC testing has been recommended by the American Heart Association for
asymptomatic patients at intermediate risk for future cardiac events (as defined
by clinical risk factors) to refine existing risk estimates. However, the optimal
follow-up of those patients who have already undergone CAC testing remains
unclear, particularly with regards to repeat CAC testing. The existing literature
points to two major considerations for the use of CAC progression in the
management of subclinical CAD. On one hand, CAC progression has been used as a
surrogate marker to test the efficacy of cardiac preventive medications in
halting or regressing CAD. To date, study results have been mostly disappointing
and CAC progression appears resistant to medications such as statins. On the
other hand, however, CAC progression has potential as a clinical indicator of
underlying CAD activity. This may facilitate optimization or up-titration of
preventive medications by using CAC progression as a marker of subclinical
disease activity. We believe that the data, thus far, argues against the use of a
CAC progression as a clinical surrogate marker of preventive therapy efficacy.
Further studies with non-statin medications and with concomitant outcome data are
needed. However, CAC progression has potential for monitoring subclinical CAD in
some patients and may facilitate treatment decisions. In this review we will
provide recommendations for repeat CAC testing and discuss when repeat CAC
testing may be helpful to assess coronary artery disease progression.
PMID- 22095033
TI - Emerging perspectives on transforming the healthcare system: developing a
research agenda.
PMID- 22095034
TI - Emerging perspectives on transforming the healthcare system: redesign strategies
and a call for needed research.
AB - BACKGROUND: U.S. healthcare requires major redesign of its delivery systems,
finances, and incentives. Healthcare operations, leadership, and payors are
increasingly recognizing the need for community-business-research partnerships to
transform healthcare. New models of continuous learning, research, and
development should help focus and sustain redesign efforts. PURPOSE: This study
summarizes suggested strategies for transformational change in healthcare and
identifies needed areas for research to inform, spread, and sustain
transformational change. METHODS: We developed these recommendations based on a
series of review papers, invited expert discussion, and a subsequent review in
the context of a health system transformation research conference (The
Regenstrief Biennial Research Conference). The multidisciplinary audience
included health systems researchers, clinicians, informaticians, social and
engineering scientists, and operational and business leaders. FINDINGS:
Conference participants and literature reviews identified key strategies for
system redesign with the following themes: using the framework of complex
adaptive systems; fostering organizational redesign; developing appropriate
performance measures and incentives; creating continuous learning organizations;
and integrating health information, technology, and communication into practice.
Sustained investment in research and development in these areas is crucial.
CONCLUSIONS: Multiple issues influence the likelihood that healthcare leaders
will make transformational changes in their healthcare systems. Healthcare
leaders, clinicians, researchers, journals, and academic institutions, in
partnership with payors, government and multiple other stakeholders, should apply
the recommendations relevant to their own setting to redesign healthcare
delivery, improve cognitive support, and sustain transformation. Fostering
further research investments in these areas will increase the impact of
transformation on the health and healthcare of the public.
PMID- 22095035
TI - Quality improvement implementation and disparities: the case of the health
disparities collaboratives.
AB - BACKGROUND: The Health Disparities Collaboratives (HDCs), a quality improvement
(QI) collaborative incorporating rapid QI, a chronic care model, and learning
sessions, have been implemented in over 900 community health centers across the
country. OBJECTIVES: To determine the HDC's effect on clinical processes and
outcomes, their financial impact, and factors important for successful
implementation. RESEARCH DESIGN: Systematic review of the literature. RESULTS:
The HDCs improve clinical processes of care over short-term period of 1 to 2
years, and clinical processes and outcomes over longer period of 2 to 4 years.
Most participants perceive that the HDCs are successful and worth the effort.
Analysis of the Diabetes Collaborative reveals that it is societally cost
effective, with an incremental cost-effectiveness ratio of $33,386 per quality
adjusted life year, but that consistent revenue streams for the initiative do not
exist. Common barriers to improvement include lack of resources, time, and staff
burnout. Highest ranked priorities for more funding are money for direct patient
services, data entry, and staff time for QI. Other common requests for more
assistance are help with patient self-management, information systems, and
getting providers to follow guidelines. Relatively low-cost ways to increase
staff morale and prevent burnout include personal recognition, skills development
opportunities, and fair distribution of work. CONCLUSIONS: The HDCs have
successfully improved quality of care, and the Diabetes Collaborative is
societally cost-effective, but policy reforms are necessary to create a
sustainable business case for these health centers that serve many uninsured and
underinsured populations.
PMID- 22095036
TI - Independent replication of STAT3 association with multiple sclerosis risk in a
large German case-control sample.
AB - Recent genome-wide association studies have implicated the "signal transducer and
activator of transcription 3" gene (STAT3) as a putative new multiple sclerosis
(MS) susceptibility locus. However, independent validation studies are sparse.
Therefore, we performed a genetic association study of two STAT3 polymorphisms
(rs744166 and rs2293152) in a large and independent German case-control sample of
5,904 subjects. We observed a nominally significant, albeit weak association
between rs744166 and MS susceptibility (odds ratio = 1.09, P = 0.012) in our
sample. This study supports the association between STAT3 and an increase in MS
risk. Taking into account the functional role of STAT3, our results favour an
involvement of T(h)17 lymphocytes in MS.
PMID- 22095037
TI - Identification and role of muscarinic receptor subtypes expressed in rat adrenal
medullary cells.
AB - The muscarinic receptor is known to be involved in the acetylcholine (ACh)
induced secretion of catecholamines in the adrenal medullary (AM) cells of
various mammals. The muscarinic receptor subtype involved and its physiological
role, however, have not been elucidated yet. Thus, we investigated these issues
in acutely isolated rat AM cells and perfused rat adrenal medulla. The RT-PCR
analysis revealed the presence of M(2), M(3), M(4), and M(5) mRNAs.
Immunocytochemistry with specific antibodies showed that M(5)-like
immunoreactivities (IRs) were detected at half the cell membrane area, which was
much larger than that with M(3)- or M(4)-like IRs. Muscarine produced inward
currents in a dose-dependent manner. Pilocarpine, McN-A-343, and oxotremorine
were less efficient than muscarine; and RS-86, which has no action on the M(5)
receptor, produced no current. Electrical stimulation of nerve fibers produced a
frequency-dependent increase in the Ca(2+) signal in perfused adrenal medullae.
Muscarinic receptors were found to be involved in neuronal transmission in AM
cells in the presence of a cholinesterase inhibitor, which suppresses ACh
degradation. We concluded that the M(5) receptor is the major muscarinic receptor
subtype in rat AM cells and may be involved in neuronal transmission under
conditions where ACh spills over the synapse.
PMID- 22095038
TI - Determinants of blood uric acid levels in a dyslipidemic Arab population.
AB - OBJECTIVES: The objective of this study was to explore the relationships between
circulating uric acid and lipid levels and components of the metabolic syndrome
(MetS) in Arab dyslipidemic patients, a group already at high coronary artery
disease risk. SUBJECTS AND METHODS: The medical records of 1,229 subjects (632
men, 597 women) referred for treatment of dyslipidemia and followed up for at
least 12 months were reviewed. Serum levels of uric acid and lipids (total
cholesterol, triglycerides, low-density lipoprotein, high-density lipoprotein)
and other variables in the National Cholesterol Education Program ATP III
criteria definition of MetS were assessed at initial presentation and every 4- 6
months, under specific lipid-lowering treatment (statins and/or fibrates), in
each of the subjects. Their respective associations were explored by appropriate
logistic regression techniques with control for confounding risk factors,
including age, gender and body mass index. RESULTS: 306 subjects (24.9%) of the
study population were hyperuricemic; they were more likely to be men, obese and
diabetic. Also the serum uric acid level (mean +/- SD) was greater in men with
MetS compared with men without (377.0 +/- 98.0 vs. 361.6 +/- 83.1 MUmol/l, p <
0.05), an observation not reproduced in women. Uric acid levels had significant
associations with the presence of fasting hyperglycemia, hypertension and large
waist circumference (WC) in men, but only with large WC in women. With statin
treatment, uric acid levels decreased by 10% within 1 year of treatment; with
fibrates, uric acid levels remained unchanged or slightly increased. CONCLUSION:
The data showed that hyperuricemia is common in dyslipidemic patients in Kuwait,
where its important determinants are male sex, obesity, diabetes and statin
treatment.
PMID- 22095039
TI - The effect of biofilm permeability on bio-clogging of porous media.
AB - A 3D Biofilm model, appropriate for complex porous media support structures, is
successfully modified such that non-zero permeability of biofilms structures is
enabled. A systematic study is then conducted into the influence of biofilm
permeability on overall biomass growth rate. This reveals a significant influence
at large biofilm concentrations; even when the permeability of the biomass is
1.25% of that of the free pore space, biomass accumulation increased by a factor
of ~3 over 40 h. The effect is shown to be retained when allowing for biomass
detachment or erosion as a consequence of adjacent velocity shear. We conclude
that biofilm permeability should be included in biofilm models and that further
experimental work is required to better describe the link between biofilm
permeability and local microstructure.
PMID- 22095040
TI - Early and presenting symptoms of dementia with lewy bodies.
AB - BACKGROUND/AIMS: To explore the presenting and early symptoms of dementia with
Lewy bodies (DLB). METHOD: Patients with mild dementia fulfilling diagnostic
criteria for DLB (n = 61) and Alzheimer's disease (AD) (n = 109) were recruited
from outpatient dementia clinics in western Norway. At diagnosis, caregivers were
asked which symptom had been the presenting symptom of dementia. RESULTS:
Caregivers reported that memory impairment was the most common presenting symptom
in DLB (57%), followed by visual hallucinations (44%), depression (34%), problem
solving difficulties (33%), gait problems (28%), and tremor/stiffness (25%). In
contrast, 99% of AD carers reported impaired memory as a presenting symptom,
whereas visual hallucinations were a presenting symptom in 3% of the AD cases.
CONCLUSION: DLB should be suspected in predementia cases with visual
hallucinations.
PMID- 22095041
TI - The pulvinar sign in Fabry patients: the first report in female patients.
PMID- 22095042
TI - Low depressive symptoms in acute spinal cord injury compared to other
neurological disorders.
AB - The aim of the study was to reveal the incidence and time course of depressive
symptoms following acute spinal cord injury (SCI) in relation to clinical
outcomes for comparison to other neurological disorders with severe impairment.
In patients with acute traumatic SCI (n = 130), combined follow up assessments of
neurological and functional outcomes, pain and patient-rated affective factors
(e.g. mood, anxiety) were prospectively (1, 3, 6, 12 months after injury)
collected during rehabilitation and follow up in out-patient clinics. We related
these to the severity of depressive symptoms (no, mild, moderate and severe)
based on the Beck Depression Inventory (BDI) scores. The mean 65% of patients
showed no depressive symptoms and 30% mild depressive symptoms, while less than
5% presented moderate to severe depressive symptoms. The group findings and
symptoms in individual patients remained stable over 1 year though patients
revealed significant clinical recovery. Although two-thirds of the patients
experienced pain, BDI scores were not related to pain intensity. BDI mean scores
were only slightly higher than in control populations, but rather low compared to
patients with other neurological disorders (e.g. stroke and multiple sclerosis)
that are also associated with severe functional impairment. The prevalence of
depressive symptoms following acute SCI is rather low and remains stable within
the first year after injury despite the severe neurological impairment and loss
of independency. In comparison to other neurological disorders that also involve
brain function SCI patients seem to be less challenged by depressive symptoms
that constitute additional burdens to respond to the severe functional
impairments.
PMID- 22095043
TI - The SigB sigma factor regulates multiple salt acclimation responses of the
cyanobacterium Synechocystis sp. PCC 6803.
AB - Changing of principal sigma factor in RNA polymerase holoenzyme to a group 2
sigma factor redirects transcription when cyanobacteria acclimate to suboptimal
environmental conditions. The group 2 sigma factor SigB was found to be important
for the growth of the cyanobacterium Synechocystis sp. PCC 6803 in high-salt (0.7
m NaCl) stress but not in mild heat stress at 43 degrees C although the
expression of the sigB gene was similarly highly, but only transiently up
regulated at both conditions. The SigB factor was found to regulate many salt
acclimation processes. The amount of glucosylglycerol-phosphate synthase, a key
enzyme in the production of the compatible solute glucosylglycerol, was lower in
the inactivation strain DeltasigB than in the control strain. Addition of the
compatible solute trehalose almost completely restored the growth of the
DeltasigB strain at 0.7 m NaCl. High-salt conditions lowered the chlorophyll and
phycobilin contents of the cells while protective carotenoid pigments, especially
zeaxanthin and myxoxanthophyll, were up-regulated in the control strain. These
carotenoids were up-regulated in the DeltasigCDE strain (SigB is the only
functional group 2 sigma factor) and down-regulated in the DeltasigB strain under
standard conditions. In addition, the HspA heat shock protein was less abundant
and more abundant in the DeltasigB and DeltasigCDE strains, respectively, than in
the control strain in high-salt conditions. Some cellular responses are common to
heat and salt stresses, but pretreatment with mild heat did not protect cells
against salt shock although protection against heat shock was evident.
PMID- 22095045
TI - Chromosome organization and dynamics during interphase, mitosis, and meiosis in
plants.
PMID- 22095044
TI - CO(2)-cAMP-responsive cis-elements targeted by a transcription factor with
CREB/ATF-like basic zipper domain in the marine diatom Phaeodactylum tricornutum.
AB - Expression controls of the carbon acquisition system in marine diatoms in
response to environmental factors are an essential issue to understand the
changes in marine primary productivity. A pyrenoidal beta-carbonic anhydrase,
PtCA1, is one of the most important candidates to investigate the control
mechanisms of the CO(2) acquisition system in the marine diatom Phaeodactylum
tricornutum. A detailed functional assay was carried out on the putative core
regulatory region of the ptca1 promoter using a beta-glucuronidase reporter in P.
tricornutum cells under changing CO(2) conditions. A set of loss-of-function
assays led to the identification of three CO(2)-responsive elements, TGACGT,
ACGTCA, and TGACGC, at a region -86 to -42 relative to the transcription start
site. Treatment with a cyclic (c)AMP analog, dibutyryl cAMP, revealed these three
elements to be under the control of cAMP; thus, we designated them, from 5' to
3', as CO(2)-cAMP-Responsive Element1 (CCRE1), CCRE2, and CCRE3. Because the
sequence TGACGT is known to be a typical target of human Activating Transcription
Factor6 (ATF6), we searched for genes containing a basic zipper (bZIP) region
homologous to that of ATF6 in the genome of P. tricornutum. Gel-shift assays
using CCRE pentamers as labeled probes showed that at least one candidate of bZIP
proteins, PtbZIP11, bound specifically to CCREs. A series of gain-of-function
assays with CCREs fused to a minimal promoter strongly suggested that the
alternative combination of CCRE1/2 or CCRE2/3 at proper distances from the
minimal promoter is required as a potential target of PtbZIP11 for an effective
CO(2) response of the ptca1 gene.
PMID- 22095046
TI - Drought and salt stress tolerance of an Arabidopsis glutathione S-transferase U17
knockout mutant are attributed to the combined effect of glutathione and abscisic
acid.
AB - Although glutathione S-transferases (GSTs) are thought to play major roles in
oxidative stress metabolism, little is known about the regulatory functions of
GSTs. We have reported that Arabidopsis (Arabidopsis thaliana) GLUTATHIONE S
TRANSFERASE U17 (AtGSTU17; At1g10370) participates in light signaling and might
modulate various aspects of development by affecting glutathione (GSH) pools via
a coordinated regulation with phytochrome A. Here, we provide further evidence to
support a negative role of AtGSTU17 in drought and salt stress tolerance. When
AtGSTU17 was mutated, plants were more tolerant to drought and salt stresses
compared with wild-type plants. In addition, atgstu17 accumulated higher levels
of GSH and abscisic acid (ABA) and exhibited hyposensitivity to ABA during seed
germination, smaller stomatal apertures, a lower transpiration rate, better
development of primary and lateral root systems, and longer vegetative growth. To
explore how atgstu17 accumulated higher ABA content, we grew wild-type plants in
the solution containing GSH and found that they accumulated ABA to a higher
extent than plants grown in the absence of GSH, and they also exhibited the
atgstu17 phenotypes. Wild-type plants treated with GSH also demonstrated more
tolerance to drought and salt stresses. Furthermore, the effect of GSH on root
patterning and drought tolerance was confirmed by growing the atgstu17 in
solution containing l-buthionine-(S,R)-sulfoximine, a specific inhibitor of GSH
biosynthesis. In conclusion, the atgstu17 phenotype can be explained by the
combined effect of GSH and ABA. We propose a role of AtGSTU17 in adaptive
responses to drought and salt stresses by functioning as a negative component of
stress-mediated signal transduction pathways.
PMID- 22095047
TI - Arabidopsis RGLG2, functioning as a RING E3 ligase, interacts with AtERF53 and
negatively regulates the plant drought stress response.
AB - Transcriptional activities of plants play important roles in responses to
environmental stresses. ETHYLENE RESPONSE FACTOR53 (AtERF53) is a drought-induced
transcription factor that belongs to the AP2/ERF superfamily and has a highly
conserved AP2 domain. It can regulate drought-responsive gene expression by
binding to the GCC box and/or the dehydration-responsive element in the promoter
of downstream genes. Overexpression of AtERF53 driven by the cauliflower mosaic
virus 35S promoter resulted in an unstable drought-tolerant phenotype in T2
transgenic Arabidopsis (Arabidopsis thaliana) plants. Using a yeast two-hybrid
screen, we identified a RING domain ubiquitin E3 ligase, RGLG2, which interacts
with AtERF53 in the nucleus. The copine domain of RGLG2 exhibited the strongest
interacting activity. We also demonstrated that RGLG2 could move from the plasma
membrane to the nucleus under stress treatment. Using an in vitro ubiquitination
assay, RGLG2 and its closest sequelog, RGLG1, were shown to have E3 ligase
activity and mediated AtERF53 ubiquitination for proteasome degradation. The
rglg1rglg2 double mutant but not the rglg2 or rglg1 single mutant exhibited a
drought-tolerant phenotype when compared with wild-type plants. AtERF53-green
fluorescent proteins expressed in the rglg1rglg2 double mutants were stable. The
35S:AtERF53-green fluorescent protein/rglg1rglg2 showed enhanced AtERF53
regulated gene expression and had greater tolerance to drought stress than the
rglg1rglg2 double mutant. In conclusion, RGLG2 negatively regulates the drought
stress response by mediating AtERF53 transcriptional activity in Arabidopsis.
PMID- 22095048
TI - [Treatment of non-small cell lung cancer].
AB - Within recent years, the treatment of non-small cell lung cancer has become
increasingly heterogeneous and complex. New cytotoxic agents and drugs against
molecular targets have been developed. Moreover, new indications for therapy such
as maintenance therapy have been explored. In addition, by assessing defined
molecular markers, it is possible to identify patients who may likely respond to
a given treatment. This approach will continue in the future; thus, it will be
possible to characterize patient into subgroups based on molecular, histological,
or clinical markers. This review will summarize the current state of the art in
the treatment of non-small cell lung cancer.
PMID- 22095049
TI - [Treatment of pulmonary fibrosis. New substances and new interventions].
AB - Idiopathic pulmonary fibrosis is a chronic progressive lung disease with poor
prognosis. The IFIGENIA trial showed that antioxidative therapy with N
acetylcysteine versus placebo for patients under treatment with prednisone plus
azathioprine significantly slowed the deterioration of pulmonary function after
12 months. A number of other drugs have recently been evaluated in large
multicenter placebo-controlled trials. Etanercept, interferon-gamma, bosentan,
ambrisentan, imatinib, and sildenafil did not show efficacy. The antifibrotic
active ingredient pirfenidone is the first drug approved for the treatment of
adult patients with mild to moderate idiopathic pulmonary fibrosis in the
European Union. Approval was based on the results of 4 randomized, placebo
controlled clinical trials including more than 1,100 patients. Pirfenidone slowed
the decline in lung function and reduced the risk of disease progression. Side
effects include gastrointestinal discomfort, skin reactions, including
photosensitivity, and rarely increased liver enzymes.
PMID- 22095050
TI - Predictive value of dopamine transporter SPECT imaging with [123I]PE2I in
patients with subtle parkinsonian symptoms.
AB - PURPOSE: To examine the diagnostic sensitivity and specificity of dopamine
transporter SPECT imaging with a highly dopamine transporter selective
radioligand. The study included consecutively enrolled, drug-naive patients with
an average short history of parkinsonian motor symptoms, referred for diagnostic
scanning. METHODS: The study group comprised 288 patients naive to antiparkinson
treatment who were enrolled as they were admitted for a diagnostic SPECT scan
with the radioligand [(123)I]-N-(3-iodoprop-2E-enyl)-2-beta-carbomethoxy-3beta-(4
methylphenyl)nortropane ((123)I-PE2I). After the diagnostic scanning, patients
were followed clinically with an average follow-up of 19.7 +/- 12.5 months.
RESULTS: A diagnosis could be clinically settled in 189 patients and among these
patients, a dopamine transporter scan had a sensitivity of 88% and a specificity
of 91% for discrimination between patients with and without striatal
neurodegeneration. In cognitively impaired patients (Mini Mental State
Examination <27) the specificity was 75% and the sensitivity 95%. A striatal
anterior-posterior ratio (APR) of >2 differentiated between idiopathic
Parkinson's disease and atypical parkinsonian syndromes with a specificity of 84%
and a sensitivity of 63%. CONCLUSION: In drug-naive patients with subtle clinical
parkinsonian motor symptoms, dopamine transporter scan using (123)I-PE21 has a
high sensitivity and specificity in distinguishing between patients with and
without striatal neurodegeneration. The specificity is lower in patients who are
also cognitively impaired. Calculation of the striatal APR can assist in
differentiating between idiopathic Parkinson's disease and atypical parkinsonian
syndromes.
PMID- 22095051
TI - Adsorption and properties of aromatic amino acids on single-walled carbon
nanotubes.
AB - We investigated the adsorption of three aromatic amino acids-phenylalanine,
tyrosine, and tryptophan-on the sidewalls of a number of representative single
walled carbon nanotubes (SWNTs) using density-functional tight-binding
calculations, complemented by an empirical dispersion correction. The armchair
(n, n) SWNTs (n = 3-12) and zigzag (n, 0) SWNTs (n = 4-12) were thoroughly
examined. We found that the most stable amino acid/SWNT complexes for different
SWNTs have similar local structures, and that the distance between the amino acid
and SWNT is about 3 A. Owing to the pi-pi and H-pi stacking interactions, the
benzene and indole rings are not exactly parallel to the SWNTs but instead lie at
a small angle. We also investigated the diameter and chirality dependences of
binding energies and found that SWNT (5, 0) has an especially large binding
energy that can be used for SWNT identification or selection.
PMID- 22095052
TI - Bacterial delivery of large intact genomic-DNA-containing BACs into mammalian
cells.
AB - Efficient delivery of large intact vectors into mammalian cells remains
problematical. Here we evaluate delivery by bacterial invasion of two large BACs
of more than 150 kb in size into various cells. First, we determined the effect
of several drugs on bacterial delivery of a small plasmid into different cell
lines. Most drugs tested resulted in a marginal increase of the overall
efficiency of delivery in only some cell lines, except the lysosomotropic drug
chloroquine, which was found to increase the efficiency of delivery by 6-fold in
B16F10 cells. Bacterial invasion was found to be significantly advantageous
compared with lipofection in delivering large intact BACs into mouse cells,
resulting in 100% of clones containing intact DNA. Furthermore, evaluation of
expression of the human hypoxanthine phosphoribosyltransferase (HPRT) gene from
its genomic locus, which was present in one of the BACs, showed that single copy
integrations of the HPRT-containing BAC had occurred in mouse B16F10 cells and
that expression of HPRT from each human copy was 0.33 times as much as from each
endogenous mouse copy. These data provide new evidence that bacterial delivery is
a convenient and efficient method to transfer large intact therapeutic genes into
mammalian cells.
PMID- 22095053
TI - Probiogenomics as a tool to obtain genetic insights into adaptation of probiotic
bacteria to the human gut.
AB - Bifidobacteria and lactobacilli are widely exploited as health-promoting bacteria
in many functional foods. However, the molecular mechanisms as to how these
bacteria positively impact on host health are far from completely understood. For
this reason these microorganisms represent a growing area of interest with
respect to their genomics, molecular biology and genetics. Recent genome
sequencing of a large number of strains of bifidobacteria and lactobacilli has
allowed access to the complete genetic makeup of representative members of these
bacteria. Here, we will discuss how the analysis of genomic data has helped us to
understand the mechanisms by which these bacteria adapt to the specific
environment of the gastrointestinal tract, while also revealing genetic functions
that mediate specific host-microbe interactions.
PMID- 22095054
TI - Artificially designed promoters: understanding the role of spatial features and
canonical binding sites in transcription.
AB - The promoter is a key element in gene transcription and regulation. We previously
reported that artificial sequences rich in the dinucleotide CpG are sufficient to
drive expression in vitro in mammalian cell lines, without requiring canonical
binding sites for transcription factor proteins. Here, we report that introducing
a promoter organization that alternates in CpGs and regions rich in A and T
further increases expression strength, as well as how insertion of specific
binding sites makes such sequences respond to induced levels of the transcription
factor NFkappaB. Our findings further contribute to the mechanistic understanding
of promoters, as well as how these sequences might be shaped by evolutionary
pressure in living organisms.
PMID- 22095055
TI - Proteins: form and function.
AB - An overwhelming array of structural variants has evolved from a comparatively
small number of protein structural domains; which has in turn facilitated an
expanse of functional derivatives. Herein, I review the primary mechanisms which
have contributed to the vastness of our existing, and expanding, protein
repertoires. Protein function prediction strategies, both sequence and structure
based, are also discussed and their associated strengths and weaknesses assessed.
PMID- 22095056
TI - [Perioperative coagulation management in microsurgery: report of the consensus
workshops in the course of the 31st and 32nd Annual Meeting of the German
language Working Group for microsurgery of the peripheral nerves and vessels
(DAM) November 2009 in Erlangen and November 2010 in Basel].
AB - Microsurgery is a very relevant component of reconstructive surgery. In this
context anticoagulation plays an increasing role. At the moment there are no
unanimously accepted prospective studies or generally accepted regimes available
that could serve as evidence-based guidelines for the prevention of thrombosis in
microsurgery. With regard to this problem the aim of a series of workshops during
the annual meetings of the German-speaking group for microsurgery in 2009 and
2010 was to establish a first possible consensus. This article reflects the main
aspects of the ongoing development of a generally acceptable guideline for
anticoagulation in microsurgery as interim report of these consensus workshops.
Basically there are 3 main agents in thromboprophylaxis available: antiplatelet
drugs, dextran and heparin. In the course of the workshops no general use of
aspirin or dextran for anticoagulation in microsurgery was recommended. The use
of heparin as anticoagulation agent is advisable for different indications. Low
molecular heparins (LMH) have certain advantages in comparison to unfractionated
heparins (UFH) and are therefore preferred by most participants. Indications for
UFH are still complex microsurgical revisions, renal failure and some specific
constellations in patients undergoing reconstruction of the lower extremity,
where the continuous administration of heparin is recommended. At the moment of
clamp release a single-shot of UFH is still given by many microsurgeons, despite
a lack of scientific evidence. Future prospective clinical trials and the
establishment of a generally accepted evidence-based guideline regarding
anticoagulation treatment in microsurgery are deemed necessary.
PMID- 22095057
TI - Psychological features and outcomes of the Back School treatment in patients with
chronic non-specific low back pain. A randomized controlled study.
AB - BACKGROUND: Low back pain is a worldwide health problem, affecting up to 80% of
adult population. Psychological factors are involved in its development and
maintenance. Many clinical trials have evaluated the efficacy of different
interventions for chronic non-specific low back pain. In this field, Back School
program has been demonstrated effective for people with chronic non-specific low
back. AIM: To evaluate the relationship between the effects of the Back School
treatment and psychological features measured by MMPI-II of patients with chronic
non-specific low back pain. DESIGN: A randomised controlled trial with three and
six-month follow-up. SETTING: Ambulatory rehabilitative university centre.
POPULATION: Fifty patients with chronic non-specific low back pain out of 77
screened patients. METHODS: Patients were randomly placed in a 3:2 form and were
allocated into two groups (Treatment versus Control). The Treatment Group
participated to an intensive multidisciplinary Back School program (BSG, N.=29),
while the Control Group received medical assistance (CG, N.=21). Medication was
the same in both groups. Then, patients were subgrouped in those with at least an
elevation in one scale of MMPI-II, and those without it. The Short Form 36 Health
Status Survey for the assessment of quality of life (primary outcome measure),
pain Visual Analogue Scale, Waddel Index and Oswestry Disability Index were
collected at baseline, at the end of treatment, and at the three and six-month
follow-up. RESULTS: Only the two treated subgroups showed a significant
improvements in terms of quality of life, disability and pain. Among treated
subjects, only those with at least one scale elevation in MMPI-II showed also a
significant improvement in terms of Short Form 36 mental composite score and
relevant subscores. CONCLUSION: These results suggest that Back School program
has positive effects, even in terms of mental components of quality of life in
patients with scale elevations of MMPI-II. Probably these findings are due to its
educational and cognitive-behavioural characteristics. CLINICAL REHABILITATION
IMPACT: Because of its educational purposes, the Back School treatment can have
positive effects also on the mental status of patients with low back pain when it
affects their psychological features.
PMID- 22095058
TI - Experimenting with engagement : commentary on: Taking our own medicine: on an
experiment in science communication.
AB - Social scientists can explore questions about what counts as knowledge and how
researchers-including social science researchers-can produce that knowledge. An
art/space installation examining issues of public participation in science
demonstrates the process of co-creation of knowledge about public participation,
not simply the co-creation of the meaning of the installation itself.
PMID- 22095059
TI - A national collaboration process: Finnish engineering education for the benefit
of people and environment.
AB - The key stakeholders of the Finnish engineering education collaborated during
2006-09 to reform the system of education, to face the challenges of the changing
business environment and to create a national strategy for the Finnish
engineering education. The work process was carried out using participatory work
methods. Impacts of sustainable development (SD) on engineering education were
analysed in one of the subprojects. In addition to participatory workshops, the
core part of the work on SD consisted of a research with more than 60 interviews
and an extensive literature survey. This paper discusses the results of the
research and the work process of the Collaboration Group in the subproject of SD.
It is suggested that enhancing systematic dialogue among key stakeholders using
participatory work methods is crucial in increasing motivation and commitment in
incorporating SD in engineering education. Development of the context of learning
is essential for improving skills of engineering graduates in some of the key
abilities related to SD: systemic- and life-cycle thinking, ethical
understanding, collaborative learning and critical reflection skills. This
requires changing of the educational paradigm from teacher-centred to learner
centred applying problem- and project-oriented active learning methods.
PMID- 22095060
TI - Ru(x)Pt(y)Sn(z) cluster-derived nanoparticle catalysts: spectroscopic
investigation into the nature of active multinuclear single sites.
AB - Cluster-derived Ru(x)Pt(y)Sn(z) nanoparticles are active catalysts in the
hydrogenation of nitrobenzene. The nature of the active sites has been elucidated
by FTIR spectroscopy using CO and NO as probe molecules. A new metal carbonyl
cluster precursor, Pt(2)Ru(2)(SnBu(t)(3))(2)(CO)(9)(MU-H)(2), has been
synthesized to obtain a Ru(2)Pt(2)Sn(2)/SiO(2) catalyst, that displayed
remarkably high levels of conversion and selectivities compared to other bi-and
monometallic analogues. Spectroscopic comparisons with Ru(5)PtSn/SiO(2) indicate
that both the nature and the stoichiometry of the metals play a key role in
modulating the catalytic activities and selectivities. A multinuclear single-site
containing Pt centers, which facilitate the hydrogen activation, coupled with a
highly reactive Ru site, possibly involved in the nitrobenzene activation, can be
hypothesized. The oxophilicity of tin helps with the anchoring of the
nanoparticles, aids the dispersion of the other metals, and can play an important
role in influencing the selectivity to aniline.
PMID- 22095062
TI - Hot flashes and cardiac vagal control during women's daily lives.
AB - OBJECTIVE: The physiology of menopausal hot flashes is not well understood. The
autonomic nervous system may play a role in hot flashes, but the current
understanding is limited. We previously demonstrated in the laboratory that
decreases in high-frequency heart rate variability, an index of cardiac vagal
control, occur during hot flashes relative to preceding and following periods. In
the present study, we tested whether we would observe a similar phenomenon in the
ambulatory setting. We additionally considered respiratory rate in these
associations. METHODS: Twenty-one perimenopausal and postmenopausal women aged 40
to 60 years reporting daily hot flashes were monitored both for physiologic and
reported hot flashes and heart rate variability during a 24-hour period as they
went about their daily lives. Heart rate variability estimates were derived using
the band-limited variance method. The interval during the hot flash was compared
with two nonflash periods before and after the hot flash via mixed-effects
models. RESULTS: Heart rate variability significantly decreased during hot
flashes relative to periods preceding (B = 0.31, SE = 0.03; P < 0.0001) and
following (B = 0.30, SE = 0.03; P < 0.0001) physiologic hot flashes (covariates:
age, race, education, menopause status, physical activity, body mass index, and
anxiety). The findings were comparable considering self-reported hot flashes.
They additionally persisted when controlling for respiratory rate. CONCLUSIONS:
Significant decreases in cardiac vagal control occurred during hot flashes
assessed during women's daily lives. These findings extend our work in the
laboratory to the ambulatory setting, further shedding light on the physiology of
hot flashes and underscoring a potential role of parasympathetic function in hot
flashes.
PMID- 22095063
TI - Gene expression: the dynamics of the brain transcriptome revealed.
PMID- 22095065
TI - Brains on video games.
AB - The popular press is replete with stories about the effects of video and computer
games on the brain. Sensationalist headlines claiming that video games 'damage
the brain' or 'boost brain power' do not do justice to the complexities and
limitations of the studies involved, and create a confusing overall picture about
the effects of gaming on the brain. Here, six experts in the field shed light on
our current understanding of the positive and negative ways in which playing
video games can affect cognition and behaviour, and explain how this knowledge
can be harnessed for educational and rehabilitation purposes. As research in this
area is still in its early days, the contributors of this Viewpoint also discuss
several issues and challenges that should be addressed to move the field forward.
PMID- 22095067
TI - Engaging, not excluding: a response to Walsh et al.
PMID- 22095064
TI - Linking neurodevelopmental and synaptic theories of mental illness through DISC1.
AB - Recent advances in our understanding of the underlying genetic architecture of
psychiatric disorders has blown away the diagnostic boundaries that are defined
by currently used diagnostic manuals. The disrupted in schizophrenia 1 (DISC1)
gene was originally discovered at the breakpoint of an inherited chromosomal
translocation, which segregates with major mental illnesses. In addition, many
biological studies have indicated a role for DISC1 in early neurodevelopment and
synaptic regulation. Given that DISC1 is thought to drive a range of
endophenotypes that underlie major mental conditions, elucidating the biology of
DISC1 may enable the construction of new diagnostic categories for mental
illnesses with a more meaningful biological foundation.
PMID- 22095069
TI - An X-ray microtomographic study of natural white-spot enamel lesions.
AB - White-spot enamel lesions are an early presentation of dental caries and are
ideally managed by non-invasive procedures. The aim of this study was to
characterize white-spot enamel lesions by x-ray microtomography. In particular,
mineral content across the lesion from the surface to the base of the lesion was
measured and surface layers defined. Molars with long buccal white-spot enamel
lesions were collected, photographed, and each sectioned to produce 3 500-um
thick sections. The sections were mounted and imaged by quantitative x-ray
microtomography at a 15-um voxel size. We analyzed line profiles through the
middle of each 3D image to determine mineral content and depth. The surface layer
thickness of the lesions ranged from 35 to 130 um, with the maximum mineral
content in this layer being 74% to 100% of that of sound enamel. The average
mineral content across the lesions ranged from 1.73 to 2.48 g/cm(3). No
significant differences could be found between lesions clinically categorized as
active and those categorized as inactive. However, for depth-matched active and
inactive lesions, the active lesions exhibited a more porous surface layer than
the inactive lesions. White-spot enamel lesions are highly variable, with surface
layers of considerable thickness.
PMID- 22095068
TI - Synergistic effects of bone mesenchymal stem cells and chondroitinase ABC on
nerve regeneration after acellular nerve allograft in rats.
AB - This study aimed to evaluate whether combination therapy of bone marrow stromal
cells (BMSCs) transplantation and chondroitinase ABC (ChABC) treatment further
enhances axonal regeneration and functional recovery after acellular nerve
allograft repair of the sciatic nerve gap in rats. Eight Sprague-Dawley rats were
used as nerve donors, and 32 Wistar rats were randomly divided into four groups:
Group I: acellular rat sciatic nerve (ARSN) group; Group II: ChABC treatment;
Group III: BMSCs transplantation; and Group IV: ChABC treatment and BMSCs
transplantation. The results showed that compared with ARSN control group, BMSC
transplantation promoted axonal regeneration, the secretion of neural trophic
factors NGF, BDNF and axon angiogenesis in nerve graft. ChABC treatment degraded
chondroitin sulfate proteoglycans in ARSN in vitro and in vivo and improved BMSCs
survival in ARSN. The combination therapy caused much better beneficial effects
evidenced by increasing sciatic function index, nerve conduction velocity,
restoration rate of tibialis anterior wet muscle weight, and myelinated nerve
number, but did not further boost the therapeutic effects on neurotrophic factor
production, axon angiogenesis, and sensory functional recovery by BMSC
transplantation. Taken together, for the first time, we demonstrate the
synergistic effects of BMSC transplantation and BMSCs treatment on peripheral
nerve regeneration, and our findings may help establish novel strategies for cell
transplantation therapy for peripheral nerve injury.
PMID- 22095072
TI - Tocotrienol as a potential anticancer agent.
AB - Vitamin E is composed of two structurally similar compounds: tocopherols (TPs)
and tocotrienols (T3). Despite being overshadowed by TP over the past few
decades, T3 is now considered to be a promising anticancer agent due to its
potent effects against a wide range of cancers. A growing body of evidence
suggests that in addition to its antioxidative and pro-apoptotic functions, T3
possesses a number of anticancer properties that make it superior to TP. These
include the inhibition of epithelial-to-mesenchymal transitions, the suppression
of vascular endothelial growth factor tumor angiogenic pathway and the induction
of antitumor immunity. More recently, T3, but not TP, has been shown to have
chemosensitization and anti-cancer stem cell effects, further demonstrating the
potential of T3 as an effective anticancer therapeutic agent. With most of the
previous clinical studies on TP producing disappointing results, research has now
focused on testing T3 as the next generation vitamin E for chemoprevention and
cancer treatment. This review will summarize recent developments in the
understanding of the anticancer effects of T3. We will also discuss current
progress in clinical trials involving T3 as an adjuvant to conventional cancer
therapy.
PMID- 22095071
TI - Upregulation of CD9 in ovarian cancer is related to the induction of TNF-alpha
gene expression and constitutive NF-kappaB activation.
AB - Ovarian cancer is a gynecological cancer with a high death rate. We utilized
global gene expression profiles of ovarian carcinomas obtained by complementary
DNA (cDNA) microarray to identify ovarian cancer-specific proteins. CD9 was
upregulated in ovarian carcinomas, and overexpression of the CD9 protein was
detected in ovarian carcinomas by immunohistochemistry. CD9 was also
overexpressed in several cancer cell lines, including ovarian cancer cells. In
order to elucidate the biological significance of highly expressed CD9 in cancer
cells, functional studies of CD9 were performed by ectopic expression, knockdown
of CD9 using small interfering RNA (siRNA) and blockage of CD9 activity using the
CD9-specific monoclonal antibody ALB6. Ectopic CD9 induced cell survival. In
order to identify signaling pathways related to CD9, the gene expressions of
CD9/SKOV3 cells were analyzed by cDNA microarray. Among the many upregulated
genes, tumor necrosis factor (TNF)-alpha was induced in CD9/SKOV3 cells. The
effect of overexpressed CD9 on the downstream signaling events of TNF-alpha was
further investigated. In CD9/SKOV3 cells, the nuclear factor-kappaB (NF-kappaB)
signaling pathway was constitutively activated. Knockdown of CD9 by siRNA and
blockage of CD9 activity by ALB6 in ovarian cancer cells demonstrated that
constitutive activation of NF-kappaB is CD9 dependent and that CD9 is involved in
anti-apoptosis. A CD9 functional study was performed in an ovarian cancer
xenograft mouse by injecting ALB6 into the peritoneum. ALB6 resulted in reduced
tumor weight compared with that of control IgG(1). Collectively, these results
demonstrate that CD9 functions as an oncogene and represents a target for the
development of cancer-specific therapeutics.
PMID- 22095070
TI - Viral gene transfer to developing mouse salivary glands.
AB - Branching morphogenesis is essential for the formation of salivary glands,
kidneys, lungs, and many other organs during development, but the mechanisms
underlying this process are not adequately understood. Microarray and other gene
expression methods have been powerful approaches for identifying candidate genes
that potentially regulate branching morphogenesis. However, functional validation
of the proposed roles for these genes has been severely hampered by the absence
of efficient techniques to genetically manipulate cells within embryonic organs.
Using ex vivo cultured embryonic mouse submandibular glands (SMGs) as models to
study branching morphogenesis, we have identified new vectors for viral gene
transfer with high efficiency and cell-type specificity to developing SMGs. We
screened adenovirus, lentivirus, and 11 types of adeno-associated viruses (AAV)
for their ability to transduce embryonic day 12 or 13 SMGs. We identified two AAV
types, AAV2 and bovine AAV (BAAV), that are selective in targeting expression
differentially to SMG epithelial and mesenchymal cell populations, respectively.
Transduction of SMG epithelia with self-complementary (sc) AAV2 expressing
fibroblast growth factor 7 (Fgf7) supported gland survival and enhanced SMG
branching morphogenesis. Our findings represent, to our knowledge, the first
successful selective gene targeting to epithelial vs. mesenchymal cells in an
organ undergoing branching morphogenesis.
PMID- 22095073
TI - Myofibroblast-induced tumorigenicity of pancreatic ductal epithelial cells is
L1CAM dependent.
AB - Pancreatic ductal adenocarcinoma (PDAC) and chronic pancreatitis, representing
one risk factor for PDAC, are characterized by a marked desmoplasia enriched of
pancreatic myofibroblasts (PMFs). Thus, PMFs are thought to essentially promote
pancreatic tumorigenesis. We recently demonstrated that the adhesion molecule
L1CAM is involved in epithelial-mesenchymal transition of PMF-cocultured H6c7
human ductal epithelial cells and that L1CAM is expressed already in ductal
structures of chronic pancreatitis with even higher elevation in primary tumors
and metastases of PDAC patients. This study aimed at investigating whether PMFs
and L1CAM drive malignant transformation of pancreatic ductal epithelial cells by
enhancing their tumorigenic potential. Cell culture experiments demonstrated that
in the presence of PMFs, H6c7 cells exhibit a profound resistance against death
ligand-induced apoptosis. This apoptosis protection was similarly observed in
H6c7 cells stably overexpressing L1CAM. Intrapancreatic inoculation of H6c7 cells
together with PMFs (H6c7co) resulted in tumor formation in 7/8 and liver
metastases in 6/8 severe combined immunodeficiency (SCID) mice, whereas no tumors
and metastases were detectable after inoculation of H6c7 cells alone. Likewise,
tumor outgrowth and metastases resulted from inoculation of L1CAM-overexpressing
H6c7 cells in 5/7 and 3/7 SCID mice, respectively, but not from inoculation of
mock-transfected H6c7 cells. Treatment of H6c7co tumor-bearing mice with the
L1CAM antibody L1-9.3/2a inhibited tumor formation and liver metastasis in 100
and 50%, respectively, of the treated animals. Overall, these data provide new
insights into the mechanisms of how PMFs and L1CAM contribute to malignant
transformation of pancreatic ductal epithelial cells in early stages of
pancreatic tumorigenesis.
PMID- 22095074
TI - Guggulsterone induces heme oxygenase-1 expression through activation of Nrf2 in
human mammary epithelial cells: PTEN as a putative target.
AB - Guggulsterone (GS) [4,17(20)-pregnadiene-3,16-dione] is a phytosterol found in
the gum resin of the Commiphora mukul. GS exists naturally in two stereoisomers:
E-GS (cis-GS) and Z-GS (trans-GS). In this study, the effects of both isomers on
expression of the cytoprotective enzyme heme oxygenase-1 (HO-1) were evaluated in
human mammary epithelial (MCF10A) cells. NF-E2-related factor 2 (Nrf2) is
considered a master regulator in activating antioxidant response element (ARE)
driven expression of HO-1 and many other antioxidant/cytoprotective proteins. cis
GS upregulated the transcription and protein expression of HO-1 to a greater
extent than did trans-GS. cis-GS treatment enhanced nuclear translocation and ARE
binding activity of Nrf2. MCF10A cells transfected with an ARE luciferase
construct exhibited significantly elevated Nrf2 transcriptional activity upon cis
GS treatment compared with cells transfected with the control vector. In
addition, silencing of the Nrf2 gene abrogated cis-GS-induced expression of HO-1.
Incubation of MCF10A cells with cis-GS increased phosphorylation of Akt. The
pharmacological inhibition of phosphoinositide 3-kinase (PI3K), an upstream
kinase responsible for Akt phosphorylation, abrogated cis-GS-induced Nrf2 nuclear
translocation. Pretreatment with the thiol-reducing agents attenuated Akt
phosphorylation, Nrf2 activation and HO-1 expression, suggesting that cis-GS may
cause thiol modification of an upstream signaling modulator. Phosphatase and
Tensin Homologue Deleted on Chromosome 10 (PTEN) is a negative regulator of the
PI3K-Akt axis. The mutation in cysteine 124 present in the catalytic domain of
PTEN abolished cis-GS-induced HO-1 expression as well as Akt phosphorylation.
Whether this cysteine is a 'bona fide' target of cis-GS in its activation of Nrf2
needs additional investigation.
PMID- 22095075
TI - [PSI+] Prion transmission barriers protect Saccharomyces cerevisiae from
infection: intraspecies 'species barriers'.
AB - [PSI+] is a prion of Sup35p, an essential translation termination and mRNA
turnover factor. The existence of lethal [PSI+] variants, the absence of [PSI+]
in wild strains, the mRNA turnover function of the Sup35p prion domain, and the
stress reaction to prion infection suggest that [PSI+] is a disease. Nonetheless,
others have proposed that [PSI+] and other yeast prions benefit their hosts. We
find that wild Saccharomyces cerevisiae strains are polymorphic for the sequence
of the prion domain and particularly in the adjacent M domain. Here we establish
that these variations within the species produce barriers to prion transmission.
The barriers are partially asymmetric in some cases, and evidence for variant
specificity in barriers is presented. We propose that, as the PrP 129M/V
polymorphism protects people from Creutzfeldt-Jakob disease, the Sup35p
polymorphisms were selected to protect yeast cells from prion infection. In one
prion incompatibility group, the barrier is due to N109S in the Sup35 prion
domain and several changes in the middle (M) domain, with either the single N109S
mutation or the group of M changes (without the N109S) producing a barrier. In
another, the barrier is due to a large deletion in the repeat domain. All are
outside the region previously believed to determine transmission compatibility.
[SWI+], a prion of the chromatin remodeling factor Swi1p, was also proposed to
benefit its host. We find that none of 70 wild strains carry this prion,
suggesting that it is not beneficial.
PMID- 22095076
TI - Inferring the history of interchromosomal gene transposition in Drosophila using
n-dimensional parsimony.
AB - Gene transposition puts a new gene copy in a novel genomic environment. Moreover,
genes moving between the autosomes and the X chromosome experience change in
several evolutionary parameters. Previous studies of gene transposition have not
utilized the phylogenetic framework that becomes possible with the availability
of whole genomes from multiple species. Here we used parsimonious reconstruction
on the genomic distribution of gene families to analyze interchromosomal gene
transposition in Drosophila. We identified 782 genes that have moved chromosomes
within the phylogeny of 10 Drosophila species, including 87 gene families with
multiple independent movements on different branches of the phylogeny. Using this
large catalog of transposed genes, we detected accelerated sequence evolution in
duplicated genes that transposed when compared to the parental copy at the
original locus. We also observed a more refined picture of the biased movement of
genes from the X chromosome to the autosomes. The bias of X-to-autosome movement
was significantly stronger for RNA-based movements than for DNA-based movements,
and among DNA-based movements there was an excess of genes moving onto the X
chromosome as well. Genes involved in female-specific functions moved onto the X
chromosome while genes with male-specific functions moved off the X. There was a
significant overrepresentation of proteins involving chromosomal function among
transposed genes, suggesting that genetic conflict between sexes and among
chromosomes may be a driving force behind gene transposition in Drosophila.
PMID- 22095077
TI - Multiple roles of the Cox20 chaperone in assembly of Saccharomyces cerevisiae
cytochrome c oxidase.
AB - The Cox2 subunit of Saccharomyces cerevisiae cytochrome c oxidase is synthesized
in the mitochondrial matrix as a precursor whose leader peptide is rapidly
processed by the inner membrane protease following translocation to the
intermembrane space. Processing is chaperoned by Cox20, an integral inner
membrane protein whose hydrophilic domains are located in the intermembrane
space, and Cox20 remains associated with mature, unassembled Cox2. The Cox2 C
tail domain is exported post-translationally by the highly conserved translocase
Cox18 and associated proteins. We have found that Cox20 is required for efficient
export of the Cox2 C-tail. Furthermore, Cox20 interacts by co-immune
precipitation with Cox18, and this interaction requires the presence of Cox2. We
therefore propose that Cox20 binding to Cox2 on the trans side of the inner
membrane accelerates dissociation of newly exported Cox2 from the Cox18
translocase, promoting efficient cycling of the translocase. The requirement for
Cox20 in cytochrome c oxidase assembly and respiratory growth is partially
bypassed by yme1, mgr1 or mgr3 mutations, each of which reduce i-AAA protease
activity in the intermembrane space. Thus, Cox20 also appears to stabilize
unassembled Cox2 against degradation by the i-AAA protease. Pre-Cox2 leader
peptide processing by Imp1 occurs in the absence of Cox20 and i-AAA protease
activity, but is greatly reduced in efficiency. Under these conditions some
mature Cox2 is assembled into cytochrome c oxidase allowing weak respiratory
growth. Thus, the Cox20 chaperone has important roles in leader peptide
processing, C-tail export, and stabilization of Cox2.
PMID- 22095078
TI - Fine-scale estimation of location of birth from genome-wide single-nucleotide
polymorphism data.
AB - Systematic nonrandom mating in populations results in genetic stratification and
is predominantly caused by geographic separation, providing the opportunity to
infer individuals' birthplace from genetic data. Such inference has been
demonstrated for individuals' country of birth, but here we use data from the
Northern Finland Birth Cohort 1966 (NFBC1966) to investigate the characteristics
of genetic structure within a population and subsequently develop a method for
inferring location to a finer scale. Principal component analysis (PCA) shows
that while the first PCs are particularly informative for location, there is also
location information in the higher-order PCs, but it cannot be captured by a
linear model. We introduce a new method, pcLOCATE, which is able to exploit this
information to improve the accuracy of location inference. pcLOCATE uses
individuals' PC values to estimate the probability of birth in each town and then
averages over all towns to give an estimated longitude and latitude of birth
using a fully Bayesian model. We apply pcLOCATE to the NFBC1966 data to estimate
parental birthplace, testing with successively more PCs and finding the model
with the top 23 PCs most accurate, with a median distance of 23 km between the
estimated and the true location. pcLOCATE predicts the most recent residence of
NFBC1966 individuals to a median distance of 47 km. We also apply pcLOCATE to
Indian individuals from the London Life Sciences Prospective Population Study
(LOLIPOP) data, and find that birthplace is predicated to a median distance of 54
km from the true location. A method with such accuracy is potentially valuable in
population genetics and forensics.
PMID- 22095080
TI - Fixation probability in a two-locus model by the ancestral recombination
selection graph.
AB - We use the ancestral influence graph (AIG) for a two-locus, two-allele selection
model in the limit of a large population size to obtain an analytic approximation
for the probability of ultimate fixation of a single mutant allele A. We assume
that this new mutant is introduced at a given locus into a finite population in
which a previous mutant allele B is already segregating with a wild type at
another linked locus. We deduce that the fixation probability increases as the
recombination rate increases if allele A is either in positive epistatic
interaction with B and allele B is beneficial or in no epistatic interaction with
B and then allele A itself is beneficial. This holds at least as long as the
recombination fraction and the selection intensity are small enough and the
population size is large enough. In particular this confirms the Hill-Robertson
effect, which predicts that recombination renders more likely the ultimate
fixation of beneficial mutants at different loci in a population in the presence
of random genetic drift even in the absence of epistasis. More importantly, we
show that this is true from weak negative epistasis to positive epistasis, at
least under weak selection. In the case of deleterious mutants, the fixation
probability decreases as the recombination rate increases. This supports Muller's
ratchet mechanism to explain the accumulation of deleterious mutants in a
population lacking recombination.
PMID- 22095081
TI - Frameshift mutagenesis: the roles of primer-template misalignment and the
nonhomologous end-joining pathway in Saccharomyces cerevisiae.
AB - Small insertions or deletions that alter the reading frame of a gene typically
occur in simple repeats such as mononucleotide runs and are thought to reflect
spontaneous primer-template misalignment during DNA replication. The resulting
extrahelical repeat is efficiently recognized by the mismatch repair machinery,
which specifically replaces the newly replicated strand to restore the original
sequence. Frameshift mutagenesis is most easily studied using reversion assays,
and previous studies in Saccharomyces cerevisiae suggested that the length
threshold for polymerase slippage in mononucleotide runs is 4N. Because the
probability of slippage is strongly correlated with run length, however, it was
not clear whether shorter runs were unable to support slippage or whether the
resulting frameshifts were obscured by the presence of longer runs. To address
this issue, we removed all mononucleotide runs >3N from the yeast lys2DeltaBgl
and lys2DeltaA746 frameshift reversion assays, which detect net 1-bp deletions
and insertions, respectively. Analyses demonstrate that 2N and 3N runs can
support primer-template misalignment, but there is striking run-specific
variation in the frequency of slippage, in the accumulation of +1 vs. -1
frameshifts and in the apparent efficiency of mismatch repair. We suggest that
some of this variation reflects the role of flanking sequence in initiating
primer-template misalignment and that some reflects replication-independent
frameshifts generated by the nonhomologous end-joining pathway. Finally, we
demonstrate that nonhomologous end joining is uniquely required for the de novo
creation of tandem duplications from noniterated sequence.
PMID- 22095079
TI - The double-bromodomain proteins Bdf1 and Bdf2 modulate chromatin structure to
regulate S-phase stress response in Schizosaccharomyces pombe.
AB - Bromodomain proteins bind acetylated histones to regulate transcription. Emerging
evidence suggests that histone acetylation plays an important role in DNA
replication and repair, although its precise mechanisms are not well understood.
Here we report studies of two double bromodomain-containing proteins, Bdf1 and
Bdf2, in fission yeast. Loss of Bdf1 or Bdf2 led to a reduction in the level of
histone H4 acetylation. Both bdf1Delta and bdf2Delta cells showed sensitivity to
DNA damaging agents, including camptothecin, that cause replication fork
breakage. Consistently, Bdf1 and Bdf2 were important for recovery of broken
replication forks and suppression of DNA damage. Surprisingly, deletion of bdf1
or bdf2 partially suppressed sensitivity of various checkpoint mutants including
swi1Delta, mrc1Delta, cds1Delta, crb2Delta, chk1Delta, and rad3Delta, to
hydroxyurea, a compound that stalls replication forks and activates the Cds1
dependent S-phase checkpoint. This suppression was not due to reactivation of
Cds1. Instead, we found that bdf2 deletion alleviates DNA damage accumulation
caused by defects in the DNA replication checkpoint. We also show that
hydroxyurea sensitivity of mrc1Delta and swi1Delta was suppressed by mutations in
histone H4 acetyltransferase subunits or histone H4. These results suggest that
the double bromodomain-containing proteins modulate chromatin structure to
coordinate DNA replication and S-phase stress response.
PMID- 22095082
TI - A conserved transcriptional regulator governs fungal morphology in widely
diverged species.
AB - Fungi exhibit a large variety of morphological forms. Here, we examine the
functions of a deeply conserved regulator of morphology in three fungal species:
Saccharomyces cerevisiae, Candida albicans, and Histoplasma capsulatum. We show
that, despite an estimated 600 million years since those species diverged from a
common ancestor, Wor1 in C. albicans, Ryp1 in H. capsulatum, and Mit1 in S.
cerevisiae are transcriptional regulators that recognize the same DNA sequence.
Previous work established that Wor1 regulates white-opaque switching in C.
albicans and that its ortholog Ryp1 regulates the yeast to mycelial transition in
H. capsulatum. Here we show that the ortholog Mit1 in S. cerevisiae is also a
master regulator of a morphological transition, in this case pseudohyphal growth.
Full-genome chromatin immunoprecipitation experiments show that Mit1 binds to the
control regions of the previously known regulators of pseudohyphal growth as well
as those of many additional genes. Through a comparison of binding sites for Mit1
in S. cerevisiae, Wor1 in C. albicans, and Wor1 ectopically expressed in S.
cerevisiae, we conclude that the genes controlled by the orthologous regulators
overlap only slightly between these two species despite the fact that the DNA
binding specificity of the regulators has remained largely unchanged. We suggest
that the ancestral Wor1/Mit1/Ryp1 protein controlled aspects of cell morphology
and that movement of genes in and out of the Wor1/Mit1/Ryp1 regulon is
responsible, in part, for the differences of morphological forms among these
species.
PMID- 22095084
TI - Stickbreaking: a novel fitness landscape model that harbors epistasis and is
consistent with commonly observed patterns of adaptive evolution.
AB - In relating genotypes to fitness, models of adaptation need to both be
computationally tractable and qualitatively match observed data. One reason that
tractability is not a trivial problem comes from a combinatoric problem whereby
no matter in what order a set of mutations occurs, it must yield the same
fitness. We refer to this as the bookkeeping problem. Because of their
commutative property, the simple additive and multiplicative models naturally
solve the bookkeeping problem. However, the fitness trajectories and epistatic
patterns they predict are inconsistent with the patterns commonly observed in
experimental evolution. This motivates us to propose a new and equally simple
model that we call stickbreaking. Under the stickbreaking model, the intrinsic
fitness effects of mutations scale by the distance of the current background to a
hypothesized boundary. We use simulations and theoretical analyses to explore the
basic properties of the stickbreaking model such as fitness trajectories, the
distribution of fitness achieved, and epistasis. Stickbreaking is compared to the
additive and multiplicative models. We conclude that the stickbreaking model is
qualitatively consistent with several commonly observed patterns of adaptive
evolution.
PMID- 22095083
TI - A screen for X-linked mutations affecting Drosophila photoreceptor
differentiation identifies Casein kinase 1alpha as an essential negative
regulator of wingless signaling.
AB - The Wnt and Hedgehog signaling pathways are essential for normal development and
are misregulated in cancer. The casein kinase family of serine/threonine kinases
regulates both pathways at multiple levels. However, it has been difficult to
determine whether individual members of this family have distinct functions in
vivo, due to their overlapping substrate specificities. In Drosophila
melanogaster, photoreceptor differentiation is induced by Hedgehog and inhibited
by Wingless, providing a sensitive system in which to identify regulators of each
pathway. We used a mosaic genetic screen in the Drosophila eye to identify
mutations in genes on the X chromosome required for signal transduction. We
recovered mutations affecting the transcriptional regulator CREB binding protein,
the small GTPase dynamin, the cytoskeletal regulator Actin-related protein 2, and
the protein kinase Casein kinase 1alpha. Consistent with its reported function in
the beta-Catenin degradation complex, Casein Kinase 1alpha mutant cells
accumulate beta-Catenin and ectopically induce Wingless target genes. In contrast
to previous studies based on RNA interference, we could not detect any effect of
the same Casein Kinase 1alpha mutation on Hedgehog signaling. We thus propose
that Casein kinase 1alpha is essential to allow beta-Catenin degradation and
prevent inappropriate Wingless signaling, but its effects on the Hedgehog pathway
are redundant with other Casein kinase 1 family members.
PMID- 22095085
TI - MicroRNA transgene overexpression complements deficiency-based modifier screens
in Drosophila.
AB - Dosage-sensitive modifier screening is a powerful tool for linking genes to
biological processes. Use of chromosomal deletions permits sampling the effects
of removing groups of genes related by position on the chromosome. Here, we
explore the use of inducible microRNA transgenes as a complement to deficiency
based modifier screens. miRNAs are predicted to have hundreds of targets. miRNA
overexpression provides an efficient means to reduces expression of large gene
sets. A collection of transgenes was prepared to allow overexpression of 89
miRNAs or miRNA clusters. These transgenes and a set of genomic deficiencies were
screened for their ability to modify the bristle phenotype of the cell-cycle
regulator minus. Sixteen miRNAs were identified as dominant suppressors, while
the deficiency screen uncovered four genomic regions that contain a dominant
suppressor. Comparing the genes uncovered by the deletions with predicted miRNA
targets uncovered a small set of candidate suppressors. Two candidates were
identified as suppressors of the minus phenotype, Cullin-4 and CG5199/Cut8.
Additionally, we show that Cullin-4 acts through its substrate receptor Cdt2 to
suppress the minus phenotype. We suggest that inducible microRNA transgenes are a
useful complement to deficiency-based modifier screens.
PMID- 22095088
TI - Effects of proteasome inhibitors on the nucleolar size of porcine oocytes.
AB - During the final stage of oocyte growth, the morphology of the oocyte nucleoli
changes into a compact structure. The objective of this study was to determine
the involvement of the proteasome, which is a large protein complex responsible
for degrading intracellular proteins, in the nucleolar compaction. The mean
nucleolar diameter of growing porcine oocytes (about 100 um in diameter) was
larger than that of fully grown (120 um) oocytes (15.5 +/- 0.3 vs. 13.2 +/- 0.1
um, P<0.05). When fully grown oocytes were treated with proteasome inhibitors,
MG132 (10 and 20 uM) and lactacystin (100 and 200 uM), the nucleolar diameter
significantly increased from 12.9 um to 14.9-16.1 um. In contrast, transcription
inhibitors, actinomycin D (0.8-8 uM) and alpha-amanitin (10-100 uM) reduced the
nucleolar diameter of growing oocytes to 9.4-12.4 um. MG132 partially prevented
this reduction in nucleolar diameter. These results suggest that the proteasome
regulates the nucleolar size in porcine oocytes perhaps through the degradation
of nucleolar proteins.
PMID- 22095087
TI - High-resolution structure of shikimate dehydrogenase from Thermotoga maritima
reveals a tightly closed conformation.
AB - Shikimate dehydrogenase (SDH), which catalyses the NADPH-dependent reduction of 3
dehydroshikimate to shikimate in the shikimate pathway, is an attractive target
for the development of herbicides and antimicrobial agents. Structural analysis
of a SDH from Thermotoga maritima encoded by the Tm0346 gene was performed to
facilitate further structural comparisons between the various shikimate
dehydrogenases. The crystal structure of SDH from T. maritima was determined at
1.45 SDH from T. maritima showed a monomeric architecture. The overall structure
of SDH from T. maritima comprises the N-terminal alpha/beta sandwich domain for
substrate binding and the C-terminal domain for NADP binding. When the T.
maritima SDH structure was compared with those of the SDHs from other species,
the SDH from T. maritima was in a tightly closed conformation, which should be
open for catalysis. Notably, alpha7 moves toward the active site (~5 A), which
forces the SDH of T. maritima in a more closed form. Four ammonium sulfate (AMS)
ions were identified in the structure. They were located in the active site and
appeared to mimic the role of the substrate in terms of the enzyme activity and
stability. The new high resolution structural information reported in this study,
including the AMS binding sites as a potent inhibitor binding site of SDHs, is
expected to supplement the existing structural data and will be useful for
structure-based antibacterial discovery against SDHs.
PMID- 22095086
TI - Huntingtin aggregation kinetics and their pathological role in a Drosophila
Huntington's disease model.
AB - Huntington's disease is a neurodegenerative disorder resulting from expansion of
a polyglutamine tract in the Huntingtin protein. Mutant Huntingtin forms
intracellular aggregates within neurons, although it is unclear whether
aggregates or more soluble forms of the protein represent the pathogenic species.
To examine the link between aggregation and neurodegeneration, we generated
Drosophila melanogaster transgenic strains expressing fluorescently tagged human
huntingtin encoding pathogenic (Q138) or nonpathogenic (Q15) proteins, allowing
in vivo imaging of Huntingtin expression and aggregation in live animals.
Neuronal expression of pathogenic Huntingtin leads to pharate adult lethality,
accompanied by formation of large aggregates within the cytoplasm of neuronal
cell bodies and neurites. Live imaging and Fluorescence Recovery After
Photobleaching (FRAP) analysis of pathogenic Huntingtin demonstrated that new
aggregates can form in neurons within 12 hr, while preexisting aggregates rapidly
accumulate new Huntingtin protein within minutes. To examine the role of
aggregates in pathology, we conducted haplo-insufficiency suppressor screens for
Huntingtin-Q138 aggregation or Huntingtin-Q138-induced lethality, using
deficiencies covering ~80% of the Drosophila genome. We identified two classes of
interacting suppressors in our screen: those that rescue viability while
decreasing Huntingtin expression and aggregation and those that rescue viability
without disrupting Huntingtin aggregation. The most robust suppressors reduced
both soluble and aggregated Huntingtin levels, suggesting toxicity is likely to
be associated with both forms of the mutant protein in Huntington's disease.
PMID- 22095089
TI - Antinociceptive and anti-inflammatory activities of Satureja hortensis seed
essential oil, hydroalcoholic and polyphenolic extracts in animal models.
AB - OBJECTIVE: The aim of this study was to evaluate the anti-inflammatory and
analgesic effects of Satureja hortensis seed extracts and to analyze their
essential oil constituents. MATERIALS AND METHODS: Hydroalcoholic and
polyphenolic extracts and essential oil of S. hortensis seeds were prepared using
standard methods. Analgesic activity was assessed in male mice (25-35 g) using
standard methods (acetic acid and formalin tests). For the evaluation of anti
inflammatory activity, the carrageenan-induced rat paw edema test was used. The
mice were pretreated with 50, 100 or 200 mg/kg, i.p., hydroalcoholic or
polyphenolic extracts or 100 or 200 MUl/kg, p.o. RESULTS: Hydrodistillation of
the seeds of S. hortensis afforded a pale yellowish oil in a yield of 0.05%
(v/w). Pretreatment of mice with hydroalcoholic or polyphenolic extracts or
essential oil significantly (p < 0.001) reduced acetic acid-induced abdominal
twitches. Hydroalcoholic extracts also significantly reduced pain responses in
early and late phases of the formalin test whereas the polyphenolic extract and
essential oil were only effective in the late phase of the formalin test. All
three fractions were found to reduce paw edema in the carrageenan test.
CONCLUSION: These results clearly demonstrate the analgesic and anti-inflammatory
activity of S. hortensis seeds and since the hydroalcoholic extract relieved pain
in the first phase of the formalin test, it seems that at least part of its
analgesic activity may be mediated centrally. The results of this study
substantiated the traditional use of S. hortensis plant seeds in painful and
inflammatory ailments.
PMID- 22095090
TI - Neuroprotective effects of Salidroside and its analogue tyrosol galactoside
against focal cerebral ischemia in vivo and H2O2-induced neurotoxicity in vitro.
AB - Salidroside (Sal) is a natural antioxidant extracted from the root of Rhodiola
rosea L. that elicits neuroprotective effects in vivo and in vitro. Tyrosol
galactoside (Tyr), an analog of Sal, was recently synthesized in our laboratory.
The purpose of the current study was to investigate and compare the
neuroprotective effects of Sal and Tyr against focal cerebral ischemia in vivo
and H(2)O(2)-induced neurotoxicity in vitro. Sal and Tyr significantly prevented
a cerebral ischemic injury induced by a 2 h middle cerebral artery occlusion and
a 24 h reperfusion in rats in vivo. Furthermore, the oxidative insult was
markedly attenuated by treatments of Sal and Tyr in the cultured rat cortical
neurons after a 30 min exposure to 50 MUM of H(2)O(2). Western blot analysis
revealed that Sal and Tyr decreased the expression of Bax and restored the
balance of pro- and anti-apoptotic proteins. The neuroprotective effects of these
two analogues show that Tyr has a better antioxidative action compared with Sal
both in vivo and in vitro, and suggest that the antioxidant activity of Sal and
Tyr may be partly due to their different substituents in their glycosyl groups.
This gives a new insight into the development of therapeutic natural antioxidants
against oxidative stress.
PMID- 22095092
TI - CAF05: cationic liposomes that incorporate synthetic cord factor and poly(I:C)
induce CTL immunity and reduce tumor burden in mice.
AB - Considerable effort has been put into targeting tumors through therapeutic
vaccination using dendritic cell-, DNA-, protein-, or peptide-based vaccines.
Purified peptides and proteins are generally not immunogenic and need to be
administered with an adjuvant that will trigger an appropriate immune response.
Safe adjuvants that favor induction of tumor reactive CD8(+) T cells with the
capacity to directly kill tumor cells are therefore a high priority. We have
previously reported on the effect and mechanism of a cationic adjuvant
formulation, CAF01, which incorporates synthetic mycobacterial cord factor and
primes protective Th1, Th17, and antibody responses in animal models of
bacterial, viral, and parasitic infections. The CAF01 adjuvant is currently in
clinical trial. Using CAF01 as a backbone, we recently demonstrated that
incorporating the TLR3 ligand polyinosinic/polycytidylic acid [poly(I:C)] primes
CD8(+) T cells specific to the SIINFEKL epitope of the model antigen ovalbumin.
In the present study, we demonstrate that CAF01/poly(I:C), termed cationic
adjuvant formulation 05 or CAF05, can induce CD8(+) T cells that efficiently lyse
target cells and significantly reduce tumor growth in two different mouse tumor
models: lung B16-OVA melanoma expressing ovalbumin and the self-antigen TRP2, and
subcutaneous TC-1 tumors expressing the human papillomavirus-16 protein E7.
PMID- 22095094
TI - Tick-borne encephalitis virus: reference strain Sofjin and problem of its
authenticity.
AB - The Sofjin strain is one of the first isolates of tick-borne encephalitis virus
and, due to its wide distribution in virus collections, it has become the
reference strain. Until now, GenBank has recorded several sequences associated
with the Sofjin strain that have significant differences between each other. We
have sequenced the complete genome of the Sofjin strain from a virus collection
and a genome fragment of the two vaccine Sofjin strains. According to
phylogenetic analysis, we concluded that the GenBank sequences belong to three
independent groups of Sofjin strains of the Far Eastern subtype. Their genetic
differences are not a result of microevolution associated with numerous passages.
Retrospective analysis of the peculiarities of origin and distribution for each
of these groups showed the authenticity of one of them. For the first time, we
have determined a complete genome sequence of the authentic reference TBEV strain
Sofjin. Two other groups of strains named Sofjin were probably the result of
cross-contamination or laboratory error. The high probability of contamination
requires the introduction of a new standard for virological laboratories, the key
point of which is the obligatory genetic identification of all collection
strains.
PMID- 22095093
TI - Retinal sensitivity after resolution of the macular edema associated with retinal
vein occlusion.
AB - PURPOSE: To study the correlation of retinal sensitivity with both morphologic
changes in the macula and status of retinal capillary perfusion, after resolution
of the macular edema associated with retinal vein occlusion (RVO). METHODS:
Retinal sensitivity in the macular area was examined with the Micro Perimeter 1
in 24 eyes after resolution of the macular edema associated with RVO. Using
spectral-domain optical coherence tomography, 6 mm * 6 mm areas of macula were
examined with 256 sequential horizontal scans. Condition of the photoreceptor
layer was evaluated depending upon detection of the junctions between inner and
outer segments of the photoreceptors (IS/OS). Fluorescein angiography was
performed in 19 eyes. RESULTS: Mean retinal sensitivity on the affected side of
the retina was significantly decreased (p < 0.001). On the affected side, the
mean retinal sensitivity within the area of deteriorated IS/OS was significantly
less (3.8 +/- 4.8 dB) than that within areas with complete IS/OS (10.1 +/- 6.4
dB, p < 0.001). Mean retinal sensitivity within nonperfused areas was extremely
low (0.3 +/- 1.3 dB), compared with that in perfused retina (10.9 +/- 5.9 dB, p <
0.001). In eyes with a broken foveal capillary ring (FCR), the marked decline in
retinal sensitivity was seen within the area where the FCR was broken; this was
not seen in eyes with an intact FCR. CONCLUSION: Retinal function was decreased
markedly in areas with a damaged photoreceptor layer due to RVO, and was lethally
decreased within nonperfused areas. Due to the various limitations of the current
study, including implementation of fluorescein angiography in limited number of
eyes, wide range of follow-up, and heterogeneity of pretreatments, further
prospective studies are necessary to confirm the current findings.
PMID- 22095091
TI - Zinc and the ERK kinases in the developing brain.
AB - This article reviews evidence in support of the hypothesis that impaired
activation of the extracellular signal-regulated kinases (ERK1/2) contributes to
the disruptions in neurodevelopment associated with zinc deficiency. These
kinases are implicated in major events of brain development, including
proliferation of progenitor cells, neuronal migration, differentiation, and
apoptotic cell death. In humans, mutations in ERK1/2 genes have been associated
with neuro-cardio-facial-cutaneous syndromes. ERK1/2 deficits in mice have
revealed impaired neurogenesis, altered cellularity, and behavioral
abnormalities. Zinc is an important modulator of ERK1/2 signaling. Conditions of
both zinc deficiency and excess affect ERK1/2 phosphorylation in fetal and adult
brains. Hypophosphorylation of ERK1/2, associated with decreased zinc
availability in cell cultures, is accompanied by decreased proliferation and an
arrest of the cell cycle at the G0/G1 phase. Zinc and ERK1/2 have both been shown
to modulate neural progenitor cell proliferation and cell death in the brain.
Furthermore, behavioral deficits resulting from developmental zinc deficiency are
similar to those observed in mice with decreased ERK1/2 signaling. For example,
impaired performance on behavioral tests of learning and memory; such as the
Morris water maze, fear conditioning, and the radial arm maze; has been reported
in both animals exposed to developmental zinc deficiency and transgenic mice with
decreased ERK signaling. Future study should clarify the mechanisms through which
a dysregulation of ERK1/2 may contribute to altered brain development associated
with dietary zinc deficiency and with conditions that limit zinc availability.
PMID- 22095097
TI - [100] Directed Cu-doped h-CoO nanorods: elucidation of the growth mechanism and
application to lithium-ion batteries.
AB - Thermal decomposition of Co(acac)(3) and Cu(acac)(2) in benzylamine leads to the
formation of [100] directed Cu-doped h-CoO nanorods, which are very stable in an
aqueous solution. The formation mechanism of the [100] directed Cu-doped h-CoO
nanorods is fully elucidated by using first-principles calculations,
demonstrating that Cu-doping not only changes the growth direction but also
enhances the stability of the nanorods significantly. Evaluation of the
electrochemical performance of Cu-doped h-CoO nanorods shows high initial
Coulombic efficiency and ultrahigh capacity with excellent cycling performance,
indicating their suitability as an anode material for next generation lithium-ion
batteries.
PMID- 22095096
TI - Controlled release of IGF-I from a biodegradable matrix improves functional
recovery of skeletal muscle from ischemia/reperfusion.
AB - Ischemia/reperfusion (I/R) injury is a considerable insult to skeletal muscle,
often resulting in prolonged functional deficits. The purpose of the current
study was to evaluate the controlled release of the pro-regenerative growth
factor, insulin-like growth factor-I (IGF-I), from a biodegradable polyethylene
glycol (PEG)ylated fibrin gel matrix and the subsequent recovery of skeletal
muscle from I/R. To accomplish this, the hind limbs of male Sprague-Dawley rats
were subjected to 2-h tourniquet-induced I/R then treated with saline, bolus IGF
I (bIGF), PEGylated fibrin gel (PEG-Fib), or IGF-I conjugated PEGylated fibrin
gel (PEG-Fib-IGF). Functional and histological evaluations were performed
following 14 days of reperfusion, and muscles from 4-day reperfusion animals were
analyzed by Western blotting and histological assessments. There was no
difference in functional recovery between saline, bIGF, or PEG-Fib groups.
However, PEG-Fib-IGF treatment resulted in significant improvement of muscle
function and structure, as observed histologically. Activation of the PI3K/Akt
pathway was significantly elevated in PEG-Fib-IGF muscles, compared to PEG-Fib
treatment, at 4 days of reperfusion, suggesting involvement of the pathway
PI3K/Akt as a mediator of the improved function. Surprisingly, myoblast activity
was not evident as a result of PEG-Fib-IGF treatment. Taken together, these data
give evidence for a protective role for the delivered IGF. These results indicate
that PEG-Fib-IGF is a viable therapeutic technique in the treatment of skeletal
muscle I/R injury.
PMID- 22095098
TI - Association of cognitive function and risk for elder abuse in a community
dwelling population.
AB - AIM: This study aimed to examine the cross-sectional association between
cognitive function and elder abuse. METHODS: The Chicago Health and Aging Project
(CHAP) is a population-based study conducted in a geographically defined
community (n = 8,932). We identified 238 CHAP participants who had elder abuse
reported to a social services agency. Cognitive function was assessed using the
Mini-Mental State Examination (MMSE), the Symbol Digit Modalities Test
(perceptual speed), and both immediate and delayed recall of the East Boston
Memory Test (episodic memory). An index of global cognitive function scores was
derived by averaging the z-scores of all tests. Logistic regression models were
used to assess the association of cognitive function domains and risk of elder
abuse. RESULTS: After adjusting for confounders, lowest tertiles of global
cognition (odd's ratio, OR 4.18, 95% confidence interval, 95% CI 2.44-7.15), MMSE
(OR 2.97, 95% CI 1.93-4.57), episodic memory (OR 2.27, 95% CI 1.49-3.43) and
perceptual speed (OR 2.37, 95% CI 1.51-3.73) were associated with increased risk
of elder abuse. The lowest levels of global cognitive function were associated
with an increased risk of physical abuse (OR 3.56, 95% CI 1.08-11.67), emotional
abuse (OR 3.02, 95% CI 1.41-6.44), caregiver neglect (OR 6.24, 95% CI 2.68
14.54), and financial exploitation (OR 3.71, 95% CI 1.88-7.32). CONCLUSION: Lower
levels of global cognitive function, MMSE, episodic memory and perceptual speed
are associated with an increased risk of elder abuse.
PMID- 22095099
TI - Aldosterone and the heart: from basic research to clinical evidence.
AB - Recent views suggest that long-term exposure to elevated aldosterone
concentrations might result in cardiac, vascular, renal, and metabolic sequelae
that occur independent of the blood pressure level. Indirect evidence of the
untoward effects of aldosterone on the heart has been clearly established in
clinical studies that have tested the effects of mineralocorticoid receptor
antagonists in the treatment of systolic heart failure. As it has become clear in
recent years, the interaction between aldosterone and the heart has to deal with
additional actions of the hormone on specific cell types, cellular mechanisms,
and molecules that are involved in regulation of tissue responses, leading to
hypertrophy, remodeling, and fibrosis. The majority of these effects are mediated
by activation of the mineralocorticoid receptors that are expressed in
cardiomyocytes and cardiac fibroblasts, and mediate the genomic effects of the
hormone. Evidence of interactions between aldosterone and the heart that occur
independent of the renal effects of aldosterone, however, is not limited to the
context of systolic heart failure and observations obtained in other disease
states have led, together with findings of animal studies, to a better
understanding of the potential benefits of aldosterone antagonists. In this
narrative overview, we highlight the most recent findings that have been obtained
in experimental animal models and in clinical conditions that include, in
addition to systolic heart failure, primary aldosteronism, essential
hypertension, diastolic heart failure, and arrhythmia.
PMID- 22095100
TI - Salivary transcriptomic biomarkers for detection of ovarian cancer: for serous
papillary adenocarcinoma.
AB - Ovarian cancer is the most lethal gynecological cancer due to lack of clear
symptom and reliable screening biomarker in the early stage. The capability to
detect the initiation of malignancy with a sensitive and effective approach is
one of the most desirable goals for ovarian cancer therapy. In this study, we
spearheaded noninvasive detection of ovarian cancer by salivary transcriptomic
biomarkers, and evaluated the clinical utilities of discovered biomarkers using a
clinical case-control study. To find salivary mRNA biomarkers, salivary
transcriptomes in 11 ovarian cancer patients and 11 matched controls were
profiled by Affymetrix HG-U133-Plus-2.0 array. The biomarker candidates selected
from the microarray results were then subjected to clinical validation by RT-qPCR
using an independent sample cohort including 21 ovarian cancer patients and 35
healthy controls. Seven downregulated mRNA biomarkers were validated. The
logistic regression model revealed the combination of five validated biomarkers
(AGPAT1, B2M, BASP2, IER3, and IL1B) can significantly discriminate ovarian
cancer patients (n = 21) from the healthy controls (n = 35), yielding a receiver
operating characteristic plot, area under the curve value of 0.909 with 85.7%
sensitivity and 91.4% specificity. In summary, we have demonstrated that the RNA
signatures in saliva could serve as biomarkers for detection of ovarian cancer
with high sensitivity and specificity. This emerging approach with high
throughput, noninvasive, and effective advantages provides a feasible means for
detection of systemic cancer, and opens a new avenue for early disease detection.
PMID- 22095101
TI - Comparative kinome analysis to identify putative colon tumor biomarkers.
AB - Kinase domains are the type of protein domain most commonly found in genes
associated with tumorigenesis. Because of this, the human kinome (the protein
kinase component of the genome) represents a promising source of cancer
biomarkers and potential targets for novel anti-cancer therapies. Alterations in
the human colon kinome during the progression from normal colon (NC) through
adenoma (AD) to adenocarcinoma (AC) were investigated using integrated
transcriptomic and proteomic datasets. Two hundred thirty kinase genes and 42
kinase proteins showed differential expression patterns (fold change >= 1.5) in
at least one tissue pair-wise comparison (AD vs. NC, AC vs. NC, and/or AC vs.
AD). Kinases that exhibited similar trends in expression at both the mRNA and
protein levels were further analyzed in individual samples of NC (n = 20), AD (n
= 39), and AC (n = 24) by quantitative reverse transcriptase PCR. Individual
samples of NC and tumor tissue were distinguishable based on the mRNA levels of a
set of 20 kinases. Altered expression of several of these kinases, including
chaperone activity of bc1 complex-like (CABC1) kinase, bromodomain adjacent to
zinc finger domain protein 1B (BAZ1B) kinase, calcium/calmodulin-dependent
protein kinase type II subunit delta (CAMK2D), serine/threonine-protein kinase 24
(STK24), vaccinia-related kinase 3 (VRK3), and TAO kinase 3 (TAOK3), has not been
previously reported in tumor tissue. These findings may have diagnostic potential
and may lead to the development of novel targeted therapeutic interventions for
colorectal cancer.
PMID- 22095103
TI - Preventive non-invasive ventilation is indicated for neuromuscular disease
patients after extubation.
PMID- 22095102
TI - Comparison of newer scoring systems with the conventional scoring systems in
general intensive care population.
AB - BACKGROUND: The aim of this study was to assess the performance of Acute
Physiology and Chronic Health Evaluation (APACHE) IV, Simplified Acute Physiology
Score (SAPS) III, and Mortality Probability Model (MPM) III0 and compare these
systems to more widely validated prognosis prediction tools like APACHE II, III,
SAPS II, MPM II0 and Sequential Organ Failure Assessment (SOFA) score. METHODS:
The study provided a retrospective analysis of data for all consecutive patients
admitted to a medical ICU over a 15-month period. Data related to patient
demographics, and that necessary to compute various scores were recorded.
Calibration was assessed by calculating Lemeshow-Hosmer goodness-of-fit test.
Discrimination was evaluated by calculating the area under curves (AUC). Primary
outcome measure was Intensive Care Unit mortality. RESULTS: Mortality predicted
by APACHE IV score was closest to that of actual mortality with a SMR of 0.868
followed by that of MPM III0 (0.794) and SAPS III (0.763) scores. APACHE III
(chi2=3.674), with P=0.885 had the best calibration followed by APACHE II
(chi2=7.959; P=0.438) and SOFA scores (chi2=8.369; P=0.301). All scores had good
efficacy and even though there was no significant difference between AUCs of
various scores, MPM III0 (0.947) performed the best followed by APACHE IV (0.928)
and MPM II0 (0.928). CONCLUSION: Overall, the newer scoring systems performed
better than their older counterparts and were more accurate. Nevertheless, the
difference in efficacy was not statistically significant and the choice of
scoring system may depend on the ease of use and local preferences.
PMID- 22095104
TI - Gravity may be the law, but it does not impact critical illness related carriage
in overgrowth.
PMID- 22095105
TI - Lactate: a friendly fuel for the injured brain?
PMID- 22095106
TI - Acute and chronic pain: where we are and where we have to go.
AB - In recent years, increasing attention has been focused on the treatment of acute
and chronic pain with a considerable number of publications about it.
Nevertheless all the attention focused on it, the evidence of pain treatments is
still unfolding, and occasionally conflicting. Hence it is still necessary that
we point out our research efforts in trying to obtain a better understand of
pathophysiology of pain and of real efficacy and safety of acute and chronic pain
treatments. Our goal with this review is to summarize the latest research trends
and the most advanced therapeutic standards for pain syndromes described in the
literature, the discussion will be divided in four main topics, as these topics
were treated during the SIMPAR (Study In Multidisciplinary PAin Research)
meeting, held on December 2010 in Pavia: pathophysiology of pain, acute
postoperative pain, opioids and pain, and chronic pain (Failed Back Surgery
Syndrome). In the chapter of pathophysiology of pain we analyzed how to obtain a
more personalized treatment through the study of the genetic and
neurophysiological characteristics of patients and how to select the right local
anesthetic according to anatomic and metabolizing patterns of patients. In acute
postoperative pain we focalized our attention on the evidence supporting the use
of continuous peripheral nerve blocks in the treatment of postoperative pain and
in the prevention of chronic persistent post-operative pain, with a special
attention in preventing side effects of regional anesthesia. We also reviewed the
current evidence about the use of new very interesting modality to control
postoperative pain after laparoscopy: pre-emptive nebulization of local
anesthetic in abdominal cavity. As opioids are currently widely used to control
chronic oncologic and non-oncologic pain, in this review we analyzed the level of
evidence for their use, how to manage them better and psychological factors that
can affect their success and/or determine addiction. Finally, we summarized the
current evidence about Failed Back Surgery Syndrome focalizing our attention both
in diagnosing it correctly and treating this syndrome with specific knowledge of
the anatomic space that we have to approach and applying the possible treatments
depending on pain pathophysiology and patient characteristics. In conclusion, it
is important to try to personalize even better the therapy of patients with acute
and chronic pain through a more accurate knowledge of anatomy, pathophysiology of
pain, pharmacokinetic of pain drugs and of new device/therapies available.
PMID- 22095107
TI - Ventilatory assist: if it gets going, how to know when to stop it?
PMID- 22095109
TI - The Bonfils fiberscope: a clinical evaluation of its learning curve and efficacy
in difficult airway management.
AB - BACKGROUND: This study evaluated the use of the Bonfils fiberscope by analyzing
its learning curve, efficacy and safety during airway management. METHODS: This
was a prospective observational study where five anesthetists, with differing
levels of experience, were asked to use the Bonfils rigid fiberscope (Karl Storz)
for a six-month period. They used the scope when performing endotracheal
intubations in patients undergoing general anesthesia. The patients were excluded
if various clinical indicators predicted that they might be difficult to
intubate. The patient's head was kept in the neutral position to simulate the
intubation of a trauma patient. Direct laryngoscopy with a Macintosh blade was
performed to assign a Cormack and Lehane grade prior to attempting laryngoscopy
with the Bonfils fiberscope. After intubating the patient with the Bonfils
fiberscope, intubation time and any complications or failures noted after the
procedure were recorded. RESULTS: The study included 216 patients, three of which
were failed intubations. No complications occurred during the study period. The
median intubation time was 21.4 s. The learning curve improved significantly
after 20 intubations (P<0.05) and was affected by the operator's experience and
aptitude with endoscopic viewing. Seventeen patients were deemed to have
"unpredicted" difficult airways: 15 subjects with a Cormack grade 3 (6.9%) and
two subjects with a Cormack 4 (0.9%). Median time to intubation in subjects with
a Cormack <3 was 16 s (95% CI=10-29 s), and in subjects with a Cormack >=3, it
was 15 s (CI 95%=15-18 s) with P=0.703. CONCLUSION: The Bonfils fiberscope is an
efficient, easy to use and safe device for endotracheal intubation.
PMID- 22095108
TI - Inhibition of somatosensory evoked potentials during spinal cord stimulation and
its possible role in the comprehension of antalgic mechanisms of neurostimulation
for neuropathic pain.
AB - BACKGROUND: Spinal cord stimulation (SCS) has been widely used for pain relief of
patients with neuropathic chronic pain, frequently with only partial efficacy.
Further advancements probably need a better understanding of SCS mechanisms, yet
largely unknown. Aims of this paper were to answer the question if the lumbar SCS
inhibits the tibial nerve somatosensory evoked potentials (SEPs) and to discuss
the role of lemniscal afferents modulation in the antalgic mechanism of SCS.
METHODS: Ten consecutive patients successfully treated with implanted SCS devices
for chronic pain in the lower limbs (four males, six females, age range 42-72
years) were enrolled. All the patients had an implanted system with an epidural
lead connected to a pulse generator. The vertebral level ranged from T9 to T12.
The cortical SEPs complex P39-N50-P60 was recorded at the basal (T0) evaluation,
during the stimulation (T1) and immediately after the stimulation (T2). RESULTS:
In two of ten patients (20%) the complex P39-N50-P60 became unrelievable at the
T1 control (stimulator on). In the remaining eight patients statistical analysis
showed a significant reduction of the P39/N50 amplitude at T1 recording. In all
patients considered, T0 and T2 recordings were not significantly different,
suggesting a fast recovery of the SCS effect on SEPs. CONCLUSION: The results
obtained in the present study show an inhibitory effect of SCS on SEPs and
support the hypothesis that in some forms of neuropathic pain the antalgic effect
of SCS could be attributed to the collision of action potentials travelling in
opposite direction on peripheral large diameter fibres.
PMID- 22095110
TI - Review of postpartum contraceptive practices at Chiang Mai University Hospital:
implications for improving quality of service.
AB - OBJECTIVE: To evaluate the acceptance rate and patterns of contraceptive use
among postpartum women. SUBJECTS AND METHODS: The records of 1,009 postpartum
women attending the Family Planning Clinic at Chiang Mai University Hospital,
Thailand, during January to December 2009 were reviewed. RESULTS: Mean age was
28.2 +/- 5.7 years (range 15-48). Almost all 920 women (91.2%) practiced
breastfeeding. The acceptance rate of contraception was 97.6%. The types of
contraceptive used were: depot medroxyprogesterone acetate, 387 (38.4%);
progestin-only pills, 262 (26.0%); tubal resection, 201 (19.9%); male condom, 78
(7.7%); oral combined pills, 49 (4.9%); intrauterine device, 5 (0.5%); implant, 3
(0.3%). Among women undergoing tubal resection, 29 (14.4%) were <=24 years of
age. Significant independent predictors for using long-acting reversible
contraception were young age and little or no formal education. CONCLUSION: The
acceptance rate of contraception in this study was high. However, the following
issues need to be evaluated: compliance of women using progestin-only pills,
awareness of long-acting reversible contraception as an alternative option in
women considering sterilization, and interventions to promote the use of
intrauterine devices and implants.
PMID- 22095111
TI - 1,2-Distanna-closo-dodecaborate--a rare example of a 1,2-distannylene ligand in
transition metal chemistry.
AB - The coordination chemistry of the novel bidentate tin ligand 1,2-distanna-closo
dodecaborate is illustrated for the first time by reactions with molybdenum,
platinum and gold metal complexes. Up to three clusters coordinate two metal
centers in close proximity. For all these metal complexes the typical MU-bridging
coordination mode was observed exclusively. Furthermore, two cluster anions react
with dichloromethane via substitution of the chloride ions. The carbon
functionalized tin cluster [Et(4)N](2)[CH(2)(Sn(2)B(10)H(10))(2)] and the
coordination complexes [Et(3)NMe](6)[Mo(2)(CO)(6)(Sn(2)B(10)H(10))(3)],
[Et(3)NMe](2)[{HPt(PEt(3))(2)(Sn(2)B(10)H(10))}(2)],
[Et(4)N](2)[{HPt(PPh(3))(2)(Sn(2)B(10)H(10))}(2)] and
[{(TP)Au}(2)(Sn(2)B(10)H(10))] (TP = PhP(o-Ph(2)PC(6)H(4))(2)) are fully
characterized by multinuclear NMR spectroscopy, elemental analyses and crystal
structure analyses.
PMID- 22095112
TI - Concordance of the recently published body adiposity index with measured body fat
percent in European-American adults.
AB - The body adiposity index (BAI; hip circumference (cm)/height (m)(1.5) - 18) has
recently been shown to demonstrate a stronger correlation with percentage body
fat (%fat) than that between the BMI and %fat in Mexican-American adults. Here,
we compare the concordance between %fat from dual-energy X-ray absorptiometry
(DXA) and BAI, and between %fat and BMI, in European-American adults (n = 623).
Agreement between BAI, BMI, and %fat was assessed using Lin's concordance
coefficients (rho(c)), where values <0.90 are considered poor. In the sample as a
whole, the agreement between BAI and %fat (rho(c) = 0.752) was far better than
that between BMI and %fat (rho(c) = 0.445) but was nonetheless relatively poor.
There were large mean differences in %fat between the BAI and DXA %fat,
particularly at lower levels of adiposity (<20%), and further the BAI
overestimated %fat in males and underestimated %fat in females. Optimizing the
BAI formula for our sample only marginally improved performance. Results of the
present study show that BAI provides a better indicator of adiposity in European
American adults than does BMI, but does not provide valid estimates of %fat,
particularly at lower levels of body fatness. Further research is warranted to
investigate the predictive ability of BAI for various health outcomes.
PMID- 22095113
TI - A new method for body fat evaluation, body adiposity index, is useful in women
with familial partial lipodystrophy.
AB - BMI is a widely used method to evaluate adiposity. However, it has several
limitations, particularly an inability to differentiate lean from fat mass. A new
method, body adiposity index (BAI), has been recently proposed as a new
measurement capable to determine fat excess better than BMI. The aim of this
study was to investigate BAI as a mean to evaluate adiposity in a group of women
with familial partial lipodystrophy (FPLD) and compare it with BMI. Thirteen
women with FLPD Dunnigan type (FPLD2) and 13 healthy volunteers matched by age
and BMI were studied. Body fat content and distribution were analyzed by dual X
ray absorptiometry (DXA). Plasma leptin was also measured. BAI was significantly
lower in FPLD2 in comparison to control group (24.6 +/- 1.5 vs. 30.4 +/- 4.3; P <
0.001) and presented a more significant correlation with total fat (%) (r = 0.71;
P < 0.001) and fat Mass (g) (r = 0.80; P < 0.001) than BMI (r = 0.27; P = 0.17
for total fat and r = 0.52; P = 0.006 for fat mass). There was a correlation
between leptin and BAI (r = 0.57; P = 0.01), [corrected] but not between leptin
and BMI. In conclusion, BAI was able to catch differences in adiposity in a
sample of FPLD2 patients. It also correlated better with leptin levels than BMI.
Therefore, we provide further evidence that BAI may become a more reliable
indicator of fat mass content than the currently available measurements.
PMID- 22095114
TI - SDCCAG8 obesity alleles and reduced weight loss after a lifestyle intervention in
overweight children and adolescents.
AB - Genome-wide association analyses (GWAS) contributed to the detection of a number
of single-nucleotide polymorphisms (SNPs) associated with obesity. However,
little is known about the impact of the obesity-risk alleles on weight loss
related phenotypes after lifestyle interventions. A recent meta-analysis of GWAS
reported five genomic loci near or in the genes FTO, MC4R, TMEM18, SDCCAG8,
TNKS/MSRA that were associated with obesity in children and adolescents. Here, we
analyzed the effect of the 10 SNPs representative of the five loci on measures of
weight loss and cardiometabolic risk after a 1-year lifestyle intervention in 401
children and adolescents (mean age 10.74 years; 55.4% female; mean BMI 27.42
kg/m(2), mean BMI-standard deviation score (SDS) 2.37). For confirmation of one
locus genotyping of three intronic SNPs in SDCCAG8 was performed in 626 obese
adults who completed the 10-week hypoenergetic diet program. Intronic variants of
SDCCAG8, which are associated with early onset obesity, are associated with
reduced weight loss after a 1-year lifestyle intervention in overweight children
and adolescents even after adjusting for age, sex, baseline measurement, or
multiple testing (all P < 10(-6)). However, our results could not be confirmed in
626 obese adults undertaking a hypoenergetic diet intervention.
PMID- 22095115
TI - Viscous dietary fiber reduces adiposity and plasma leptin and increases muscle
expression of fat oxidation genes in rats.
AB - Dietary interventions that reduce accumulation of body fat are of great interest.
Consumption of viscous dietary fibers cause well-known positive metabolic
effects, such as reductions in the postprandial glucose and insulin
concentrations. However, their effect on body composition and fuel utilization
has not been previously studied. To examine this, rats were fed a viscous
nonfermentable dietary fiber, hydroxypropyl methylcellulose (HPMC), for 6 weeks.
Body composition was measured by dual-energy X-ray absorptiometry (DXA) and fat
pad weight. Plasma adipokines, AMP kinase activation, and enzyme and mRNA
analysis of key regulators of energetics in liver and soleus muscle were
measured. The HPMC diet significantly lowered percent body fat mass and increased
percent lean body mass, compared to a cellulose-containing diet (no viscosity).
Fasting leptin was reduced 42% and resistin 28% in the HPMC group compared to the
cellulose group. Rats fed HPMC had greater activation of AMP kinase in liver and
muscle and lower phosphoenolpyruvate carboxykinase (PEPCK) expression in liver.
mRNA expression in skeletal muscle was significantly increased for carnitine
palmitoyltransferase 1B (CPT-1B), PPARgamma coactivator 1alpha, PPARdelta and
uncoupling protein 3 (UCP3), as was citrate synthase (CS) activity, in the HPMC
group relative to the cellulose group. These results indicate that viscous
dietary fiber preserves lean body mass and reduces adiposity, possibly by
increasing mitochondrial biogenesis and fatty acid oxidation in skeletal muscle,
and thus represents a metabolic effect of viscous fiber not previously described.
Thus, viscous dietary fiber may be a useful dietary component to assist in
reduction of body fat.
PMID- 22095116
TI - Mode of consumption plays a role in alleviating hunger and thirst.
AB - While studying the effect of structure on satiety, effects of mode of
consumption, additional water to drink, and thirst have been neglected. The
objective was to assess effects of structure, mode of consumption of food, and
additional drinking of water on fullness and thirst. In study 1, 20 subjects (BMI
22.5 +/- 0.5 kg/m(2); age 21.4 +/- 3 years) underwent consumption conditions;
SEW: solids to eat + 750 ml water to drink; LEW: liquefied soup to eat including
500 ml water + 250 ml water separately to drink; LDW: the same as LEW but served
as drinks; SE, LE, and LD: the same as previous but without water to drink. In
study 2, a subset of subjects underwent consumption conditions: solid
carbohydrate, solid protein, solid fat: the same as SEW, but for each
macronutrient separately; liquefied carbohydrate, liquefied protein, liquefied
fat: the same as LEW, but for each macronutrient separately. Appetite, insulin
concentration, glucose concentration, and ghrelin concentration were measured.
Eating, independent of structure, suppressed desire to eat more than drinking (P
< 0.01). Drinking water separately vs. water consumption in the food suppressed
thirst more (P < 0.001). Regarding protein, satiety was higher in the solid vs.
liquefied condition, while blood parameters were not significantly different.
Only after drinking a meal most subjects (80%) wanted to consume more of the same
meal, in order to alleviate hunger (63%) or quench thirst (37%). We conclude that
mode of consumption plays a role in alleviating hunger and thirst. Subjects
required further consumption after drinking the meal, motivated by hunger or
thirst, showing that drinking a meal causes confusion that may imply a risk of
overconsumption.
PMID- 22095118
TI - The structure of soluble fibrin oligomers.
PMID- 22095117
TI - Serum iron and iron stores in non-anemic patients with fibromyalgia.
AB - The aim of the study was to assess iron serum levels and markers of iron stores
in non-anemic fibromyalgia (FM) patients and to evaluate their impact on the
prevalence and clinical manifestations of FM patients. Eighty-four patients with
primary FM and 87 controls were investigated. Demographic and clinical data were
collected from all participants. All patients completed the fibromyalgia impact
questionnaire (FIQ). Patients evaluated the effect of the disease on their daily
activity (DA) and judged the severity (DS) of the disease on a 0-10 scale. Venous
blood was tested for serum iron, transferrin, ferritin, and soluble transferrin
receptors (sTfR). Iron deficiency was defined if any of the following were
present: serum iron <40 MUg/dL, serum ferritin levels <10 ng/mL, or sTfR levels
>28.1 nmol/L. Analysis at a cutoff level of serum ferritin levels <=30 ng/mL and
sTfR/ferritin ratio was also performed. Hemoglobin, iron, transferrin, sTfR,
ferritin levels, and sTfR/ferritin ratios did not differ between the groups. The
mean FIQ score was 57.13 +/- 20.21 and the DA and DS scores were 6.79 +/- 2.97
and 6.74 +/- 3.09, respectively. No correlations were found between the
parameters studied and the FIQ or its ten individual items. Thirty-eight controls
(43.7%) and 23 FM patients (27.4%) had ferritin levels of <=30 (p < 0.04). Within
the FM group, lower levels were associated with lower total FIQ score and FIQ
subscale scores. Patients with FM do not have reduced serum levels of iron or
surrogate markers of iron stores. At present, there is no evidence to support
iron supplementation in the treatment of FM.
PMID- 22095119
TI - Salicylic acid differently regulates the transcription intensity of the
mitochondrial genes of Lupinus luteus L.
PMID- 22095120
TI - Tris-2(hydroxyethyl) ammonium 2-methylphenoxyacetate as an activator of aorta
intima acid lipase.
PMID- 22095122
TI - Intranasal administration of insulin eliminates the deficit of long-term spatial
memory in rats with neonatal diabetes mellitus.
PMID- 22095121
TI - Mechanisms of interaction of electron transport proteins in photosynthetic
membranes of cyanobacteria.
PMID- 22095123
TI - Tris-2(hydroxyethyl) ammonium 2-methylphenoxyacetate as an inhibitor of synthesis
of liver phospholipase A1.
PMID- 22095124
TI - Identification and primary characterization of novel cytochrome CYP74B1 of flax
(Linum usitatissimum).
PMID- 22095125
TI - Novel protein haponin regulates cellular response to oxidative stress.
PMID- 22095126
TI - Biocomposite scaffolds containing regenerated silk fibroin and nanohydroxyapatite
for bone tissue regeneration.
PMID- 22095128
TI - Temperature independence of the primary electron transfer reaction rate constants
in photosynthetic bacterial reaction centers.
PMID- 22095127
TI - Fluorescent nanodiamond bioconjugates on the base of barnase:barstar module.
PMID- 22095129
TI - Pre-steady-state kinetics of interaction of wild-type and multiple drug-resistant
HIV protease with first and second generation inhibitory drugs.
PMID- 22095130
TI - Allogenic serum improves cold preservation of osteochondral allografts.
AB - BACKGROUND: Although several types of culture medium have been used for
preservation of osteochondral allografts, the viability of chondrocytes decreases
with increasing storage duration. We previously showed the University of
Wisconsin solution is more suitable for graft preservation than culture medium.
QUESTIONS/PURPOSES: We determined whether the addition of allogenic serum to
University of Wisconsin solution increases chondrocyte survival during prolonged
storage of osteochondral allografts. METHODS: Osteochondral tissue samples
harvested from the distal femora of rats were preserved in University of
Wisconsin solution supplemented with 0%, 1%, 10%, and 50% allogenic serum at 4
degrees C for 14 days. Cell viability and chondrocyte degenerative changes of the
samples then were assessed using a tetrazolium assay and histologic methods. We
also evaluated time-dependent changes in cell viability and histologic findings
of samples preserved for 7, 14, and 21 days in University of Wisconsin solution
supplemented with or without 10% allogenic serum. RESULTS: After 14 days of
preservation, osteochondral tissue samples maintained in University of Wisconsin
solution containing 10% or greater allogenic serum exhibited the highest cell
viability and lowest degenerative changes in chondrocytes. In the evaluation of
time-dependent changes, we found the chondrocyte degenerative changes were
greater in cartilage preserved in University of Wisconsin solution alone than in
University of Wisconsin solution containing 10% allogenic serum after day 7 or
later. CONCLUSIONS: Our results suggest the addition of 10% allogenic serum to
University of Wisconsin solution enhances viability of osteochondral tissue
samples. CLINICAL RELEVANCE: The use of allogenic serum-supplemented University
of Wisconsin solution is expected to prolong the duration of osteochondral
allograft storage and result in higher-quality grafts.
PMID- 22095131
TI - Intraarticular abnormalities in overhead athletes are variable.
AB - BACKGROUND: The cause of shoulder pain and dysfunction in the overhead athlete
can be variable. Several studies illustrate the wide variety of lesions seen at
the time of arthroscopy in overhead athletes who require surgery but it is
unclear whether these differ by sport. QUESTIONS/PURPOSES: We examined overhead
athletes with shoulder dysfunction to determine (1) the range of arthroscopically
visualized shoulder abnormalities with specific attention to the posterosuperior
glenohumeral joint and the rotator cuff; and (2) the relationship of sport type
to these abnormalities. METHODS: We reviewed our institution's database for
professional and collegiate athletes in overhead sports who, from 1996 through
2010, had diagnostic shoulder arthroscopy for insidious, nontraumatic, persistent
pain and inability to participate in their sport. A descriptive analysis of the
arthroscopic findings from 51 consecutive patients (33 males, 18 females; mean
age, 25 years; range, 15-59 years) was done. We analyzed the arthroscopic
findings with respect to sport using analysis of variance and Fisher's exact
test. RESULTS: There was a wide range of superior labrum, posterosuperior
glenoid, and rotator cuff abnormalities. Overall, the most frequent abnormalities
were posterosuperior glenohumeral joint changes. Swimmers had fewer
intraarticular abnormalities than baseball players. CONCLUSIONS: We found a wide
spectrum of intraarticular abnormalities in the shoulder of overhead athletes
with shoulder pain requiring surgery. Additional study is needed to determine
whether these abnormalities or combinations relate to specific athletic
movements. LEVEL OF EVIDENCE: Level IV, retrospective case series. See Guidelines
for Authors for a complete description of levels of evidence.
PMID- 22095133
TI - Sorafenib increases endoplasmic reticulum (ER) stress in concert with vorinostat.
PMID- 22095132
TI - ATP binding cassette transporter G1 (ABCG1) is an intracellular sterol
transporter.
AB - Four members of the mammalian ATP binding cassette (ABC) transporter G subfamily
are thought to be involved in transmembrane (TM) transport of sterols. The
residues responsible for this transport are unknown. The mechanism of action of
ABCG1 is controversial and it has been proposed to act at the plasma membrane to
facilitate the efflux of cellular sterols to exogenous high-density lipoprotein
(HDL). Here we show that ABCG1 function is dependent on localization to
intracellular endosomes. Importantly, localization to the endosome pathway
distinguishes ABCG1 and/or ABCG4 from all other mammalian members of this
superfamily, including other sterol transporters. We have identified critical
residues within the TM domains of ABCG1 that are both essential for sterol
transport and conserved in some other members of the ABCG subfamily and/or the
insulin-induced gene 2 (INSIG-2). Our conclusions are based on studies in which
(i) biotinylation of peritoneal macrophages showed that endogenous ABCG1 is
intracellular and undetectable at the cell surface, (ii) a chimeric protein
containing the TM of ABCG1 and the cytoplasmic domains of the nonsterol
transporter ABCG2 is both targeted to endosomes and functional, and (iii) ABCG1
colocalizes with multiple proteins that mark late endosomes and recycling
endosomes. Mutagenesis studies identify critical residues in the TM domains that
are important for ABCG1 to alter sterol efflux, induce sterol regulatory element
binding protein-2 (SREBP-2) processing, and selectively attenuate the oxysterol
mediated repression of SREBP-2 processing. Our data demonstrate that ABCG1 is an
intracellular sterol transporter that localizes to endocytic vesicles to
facilitate the redistribution of specific intracellular sterols away from the
endoplasmic reticulum (ER).
PMID- 22095134
TI - Antibiotic resistance and extended-spectrum beta-lactamases in isolated bacteria
from seawater of Algiers beaches (Algeria).
AB - The aim of the study was to evaluate bacterial antibiotic resistance in seawater
from four beaches in Algiers. The most significant resistance rates were observed
for amoxicillin and ticarcillin, whereas they were relatively low for
ceftazidime, cefotaxime and imipenem. According to sampling sites, the highest
resistance rates were recorded for 2 sites subjected to chemical and
microbiological inputs (amoxicillin, 43% and 52%; ticarcillin, 19.6% and 47.7%),
and for 2 sites relatively preserved from anthropogenic influence, resistance
rates were lowest (amoxicillin, 1.5% and 16%; ticarcillin, 0.8% and 2.6%). Thirty
four bacteria resistant to imipenem (n=14) or cefotaxime (n=20) were identified
as Pseudomonas aeruginosa (n=15), Pseudomonas fluorescens (7), Stenotrophomonas
maltophilia (4), Burkholderia cepacia (2), Bordetella sp. (1), Pantoea sp. (1),
Acinetobacter baumannii (1), Chryseomonas luteola (1), Ochrobactrum anthropi (1)
and Escherichia coli (1). Screening for extended spectrum beta-lactamase showed
the presence of CTX-M-15 beta-lactamase in the E. coli isolate, and the encoding
gene was transferable in association with the IncI1 plasmid of about 50 kbp.
Insertion sequence ISEcp1B was located upstream of the CTX-M-15 gene. This work
showed a significant level of resistance to antibiotics, mainly among
environmental saprophytic bacteria. Transmissible CTX-M-15 was detected in E.
coli; this may mean that contamination of the environment by resistant bacteria
may cause the spread of resistance genes.
PMID- 22095135
TI - AKT signaling pathway activated by HIN-1 methylation in non-small cell lung
cancer.
AB - The purpose of this study is to determine the epigenetic changes and function of
High in Normal-1 (HIN-1) in non-small cell lung cancer (NSCLC). HIN-1 expression
was examined by semiquantitative RT-PCR before and after 5-aza-2'-deoxycytidine
(5-aza) treatment in NSCLC cell lines. Promoter methylation status of HIN-1 was
tested by methylation-specific PCR (MSP). Effect of forced expression of HIN-1 on
different key molecules of AKT signaling pathway was tested by Western Blot
analysis in H157 and H23 cell lines. Promoter methylations are inversely
correlated with expression of HIN-1 in eight (H23, H157, 95D, H1299, H358, H1752,
H460, A549) of ten NSCLC cell lines and re-expression was observed by 5-aza
treatment. We then tested promoter methylation of HIN-1 in primary NSCLC tissues.
Methylation was detected in 73 out of 152 (48%) NSCLC cases. Forced expression of
HIN-1 in NSCLC cell lines inhibited colony formation and induce apoptosis.
Furthermore, overexpression of HIN-1 reduces the expression of phosphorated-AKT
(p-AKT), c-myc, Bcl-2 and cyclinD1 while Bax was increased. Our data suggest that
HIN-1 is a potential tumor suppressor gene in NSCLC, silenced by promoter
hypermethylation and negatively regulate AKT signaling pathway.
PMID- 22095136
TI - Thromboprophylaxis in patients older than 75 years or with moderate renal
impairment undergoing knee or hip replacement surgery [corrected].
AB - PURPOSE: Prospective, double-blind studies in orthopaedic patients have been
conducted using the direct thrombin inhibitor dabigatran etexilate (hereafter
referred to as dabigatran), with two doses investigated and approved for adults
(220 mg and 150 mg once daily) to prevent venous thromboembolism (VTE). The
European Medicines Agency decided that in major joint orthopaedic surgery, the
lower dose should be used in elderly patients (aged over 75 years) and those with
reduced renal function (creatinine clearance between 30 and 50 ml/min). Our
objective was to understand the efficacy and bleeding data for the lower dose in
this subpopulation. METHODS: We extracted and analysed data from the elderly or
from moderately renally impaired patients (n 632 of = 5,539) from the orthopaedic
clinical development programme of dabigatran. RESULTS: Dabigatran 150 mg once
daily was as effective as the standard European enoxaparin regimen, with
numerically fewer major bleeding events. Rates of major VTE were 4.3% vs 6.4% of
patients, respectively. Major bleeding events occurred in four (1.3%) vs 11
(3.3%), which shows a trend towards lower bleeding with dabigatran 150 mg [odds
ratio (OR) 0.40; 95% confidence interval (CI) 0.13-1.25; p = 0.110]. Mean volume
of blood loss was 395 vs 417 ml, and transfused units were 2.4 vs 2.5,
respectively. Other safety parameters, including the incidence of wound
infections and complications, were similar for 150 mg once daily dabigatran and
enoxaparin. CONCLUSION: For patients at higher risk of bleeding, dabigatran 150
mg once daily is as effective as enoxaparin following major orthopaedic surgery
and is associated with a favourable bleeding rate.
PMID- 22095137
TI - Geometrical values of the normal and arthritic hip and knee detected with the EOS
imaging system.
AB - PURPOSE: EOS 2D/3D is an integrated, low-dose orthopedic digital radioimaging
solution, which, due to its groundbreaking properties, has recently shown an
increasing application in scoliosis surgery. Its integrated sterEOS 3D software
allows creation of patient-specific three-dimensional (3D) lower limb models, and
can produce geometrical parameters in 3D. Currently there are a limited number of
reports on EOS for lower limb applications. METHODS: Three-dimensional
reconstructions of 256 hip and knee joints of 128 healthy subjects, as well as 53
hips and 46 knees of 69 patients with hip or knee arthritis, were evaluated based
on orthogonal EOS two-dimensional (2D) images. Measurements for hips included
femur and tibia length, total length of the extremity, femoral antetorsion and
offset, femoral neck length, neck-shaft and hip-knee-shaft (HKS) angles. Lower
limb alignment in both frontal and sagittal planes were determined in normal and
arthritic knees. Values were compared with those obtained by standard methods
published by others. RESULTS: Normal hip and knee geometrical parameters were
found in our healthy subjects. In osteoarthritic cases, values for neck-shaft
angle, femoral antetorsion, femur length and total length of the extremity were
shown to decrease non-significantly. Evaluation of lower limb alignment in
healthy and arthritic knees showed normal values in healthy subjects apart from
three cases with an average six degrees varus. Arthritic knees were most
frequently found to have a varus angulation, with the exception of 11 cases with
normal or valgus alignment. CONCLUSION: EOS 2D/3D with its sterEOS 3D
reconstruction is useful for a comprehensive 3D examination of the lower limb. In
the near future it may be suitable for daily routine diagnostics of orthopedic
lower limb deformities as a primary examination method.
PMID- 22095138
TI - Hyaluronan receptors in the human ocular surface: a descriptive and comparative
study of RHAMM and CD44 in tissues, cell lines and freshly collected samples.
AB - The purpose of this study was to demonstrate the presence of the receptor for
hyaluronan-mediated motility (RHAMM) in human conjunctival epithelium and in two
widely used cell lines from human corneal (HCE) and conjunctival (IOBA-NHC)
epithelia. We compared the distribution of RHAMM proteins and mRNAs in human
ocular surface tissues (corneal, limbal and conjunctival), HCE and IOBA-NHC cell
lines, and corneal and conjunctival epithelia primary samples from healthy donors
with the previously identified hyaluronan receptor CD44. We also aimed to
determine if soluble CD44 (sCD44) was present in human tears, as it could have a
role in the interaction of the tear fluid with hyaluronan. Protein expression was
evaluated by Western blots and immunofluorescence microscopy. mRNA expression was
evaluated by RT-PCR and Q-PCR. sCD44 was analyzed by ELISA in culture
supernatants and in human tears. We describe the expression of RHAMM in human
healthy conjunctiva and in HCE and IOBA-NHC cells at both protein and mRNA
levels, and the presence of sCD44 in human tears. Furthermore, we detected CD44
and sCD44 expression variations in in vitro inflammatory conditions. This study
also focused on the necessary caution with which the conclusions extracted from
cell lines should be made, and in the great value of using primary samples as
often as possible.
PMID- 22095139
TI - Adhesions after laparoscopic and open ileal pouch-anal anastomosis surgery for
ulcerative colitis.
AB - BACKGROUND: Emerging evidence suggests that a laparoscopic approach to colorectal
procedures generates fewer adhesions. Even though laparoscopic ileal pouch-anal
anastomosis (IPAA) is a lengthy procedure, the prospect of fewer adhesions may
justify this approach. The aim of this study was to assess abdominal and adnexal
adhesion formation following laparoscopic versus open IPAA in patients with
ulcerative colitis. METHODS: A diagnostic laparoscopy was performed at time of
ileostomy closure. All abdominal quadrants and the pelvis were video recorded
systematically and graded offline. The incisional adhesion score (IAS; range 0-6)
and total abdominal adhesion score (TAS; range 0-10) were calculated, based on
the grade and extent of adhesions. Adnexal adhesions were classified by the
American Fertility Society (AFS) adhesion score. RESULTS: A total of 43 patients
consented to participate, of whom 40 could be included in the study (laparoscopic
28, open 12). Median age was 38 (range 20-61) years. There was no difference in
age, sex, body mass index, American Society of Anesthesiologists grade and time
to ileostomy closure between groups. The IAS was significantly lower after
laparoscopic IPAA than following an open procedure: median (range) 0 (0-5) versus
4 (2-6) respectively (P = 0.004). The TAS was also significantly lower in the
laparoscopic group: 2 (0-6) versus 8 (2-10) (P = 0.002). Applying the AFS score,
women undergoing laparoscopic IPAA had a significantly lower mean(s.d.)
prognostic classification score than those in the open group: 5.2(3.7) versus
20.0(5.6) (P = 0.023). CONCLUSION: Laparoscopic IPAA was associated with
significantly fewer incisional, abdominal and adnexal adhesions in comparison
with open IPAA.
PMID- 22095140
TI - In situ synthesis of porous silica nanoparticles for covalent immobilization of
enzymes.
AB - A simple method is used to covalently encapsulate enzymes in silica
nanoparticles. The encapsulation is highlighted by the high enzyme loading and
porous channels that provide efficient diffusion for small substrate and product
molecules while preventing protease degradation.
PMID- 22095143
TI - Alcohol consumption and prostate cancer risk: a meta-analysis of the dose-risk
relation.
AB - Inconsistent results on the relationship between alcohol drinking and prostate
cancer have been found. In order to provide a definite quantification of the dose
risk relation, we investigated the risk of prostate cancer at different levels of
alcohol consumption, by conducting a meta-analysis of epidemiological studies. We
performed a literature search using PubMed of all case-control and cohort studies
published as original articles in English up to December 2010. We identified 50
case-control and 22 cohort studies, including a total of 52 899 prostate cancer
cases. We derived pooled meta-analytic estimates using random-effects models,
taking into account the correlation between estimates. We performed a dose-risk
analysis using nonlinear random-effects meta-regression models. The overall
relative risk for any alcohol drinking compared with non/occasional drinking was
1.06 [95% confidence interval (CI), 1.01-1.10]. The relative risks were 1.05 (95%
CI, 1.02-1.08), 1.06 (95% CI, 1.01-1.11), and 1.08 (95% CI, 0.97-1.20) for light
(<=1 drink/day), moderate (>1 to <4 drinks/day), and heavy alcohol drinking (>=4
drinks/day), respectively. This comprehensive meta-analysis provided no evidence
of a material association between alcohol drinking and prostate cancer, even at
high doses.
PMID- 22095142
TI - Impact of cannabis treatment on the quality of life, weight and clinical disease
activity in inflammatory bowel disease patients: a pilot prospective study.
AB - BACKGROUND AND AIMS: Inflammatory bowel disease (IBD) patients suffer from
significant morbidity and diminished life quality. The plant cannabis is
beneficial in various gastrointestinal diseases, stimulating appetite and causing
weight gain. Our aims were to assess whether treatment with inhaled cannabis
improves quality of life, disease activity and promotes weight gain in these
patients. METHODS: Patients with long-standing IBD who were prescribed cannabis
treatment were included. Two quality of life questionnaires and disease activity
indexes were performed, and patient's body weight was measured before cannabis
initiation and after 3 months' treatment. RESULTS: Thirteen patients were
included. After 3 months' treatment, patients reported improvement in general
health perception (p = 0.001), social functioning (p = 0.0002), ability to work
(p = 0.0005), physical pain (p = 0.004) and depression (p = 0.007). A schematic
scale of health perception showed an improved score from 4.1 +/- 1.43 to 7 +/-
1.42 (p = 0.0002). Patients had a weight gain of 4.3 +/- 2 kg during treatment
(range 2-8; p = 0.0002) and an average rise in BMI of 1.4 +/- 0.61 (range 0.8
2.7; p = 0.002). The average Harvey-Bradshaw index was reduced from 11.36 +/-
3.17 to 5.72 +/- 2.68 (p = 0.001). CONCLUSIONS: Three months' treatment with
inhaled cannabis improves quality of life measurements, disease activity index,
and causes weight gain and rise in BMI in long-standing IBD patients.
PMID- 22095146
TI - Space-time decoupling in the branching process in the mutant etoile of the
filamentous brown alga Ectocarpus siliculosus.
AB - Ectocarpus siliculosus is being developed as a model organism for brown algal
genetics and genomics. Brown algae are phylogenetically distant from the other
multicellular phyla (green lineage, red algae, fungi and metazoan) and therefore
might offer the opportunity to study novel and alternative developmental
processes that lead to the establishment of multicellularity. E. siliculosus
develops as uniseriate filaments, thereby displaying one of the simplest
architectures among multicellular organisms. The young sporophyte grows as a
primary filament and then branching occurs, preferentially at the center of the
filament. We recently described the first morphogenetic mutant etoile (etl) in a
brown alga, produced by UVB mutagenesis in E. siliculosus. We showed that a
single recessive mutation was responsible for a defect in both cell
differentiation and the very early branching pattern (first and second branch
emergences). Here, we supplement this study by reporting the branching defects
observed subsequently, i.e. for the later stages corresponding to the emergence
of up to the first six secondary filaments, and we show that the branching
process is composed of at least two distinct components: time and position.
PMID- 22095147
TI - Trichomes as dangerous lollipops: do lizards also use caterpillar body and frass
odor to optimize their foraging?
AB - When attacked by herbivores, plants produce toxic secondary metabolites that
function as direct defenses, as well as indirect defenses that attract and reward
predators of the offending herbivores. These indirect defenses include both
nutritive rewards such as extra floral nectar, as well as informational rewards,
such as the production and release of volatile compounds that betray the location
of feeding herbivores to predators. Herbivory of Nicotiana attenuata by the
tobacco hornworm (Manduca larvae) alters the volatile profiles of both the plant
and larval headspace. Herbivory-elicited specific changes in the volatile
profiles are detected by arthropod predators of Manduca larvae. The known
predators that perceive volatile cues induced by Manduca herbivory of N.
attenuata are insects that target Manduca at early developmental stages, when the
larvae are still small; large, late-instar larvae may have outgrown these
predation risks. However, here we offer evidence that branched chain aliphatic
acids derived from the digestion of plant O-acyl sugars from trichomes may betray
Manduca larvae to lizard predators during late developmental stages as well.
PMID- 22095148
TI - Abscisic acid perception and signaling transduction in strawberry: a model for
non-climacteric fruit ripening.
AB - On basis of fruit differential respiration and ethylene effects, climacteric and
non-climacteric fruits have been classically defined. Over the past decades, the
molecular mechanisms of climacteric fruit ripening were abundantly described and
found to focus on ethylene perception and signaling transduction. In contrast,
until our most recent breakthroughs, much progress has been made toward
understanding the signaling perception and transduction mechanisms for abscisic
acid (ABA) in strawberry, a model for non-climacteric fruit ripening. Our reports
not only have provided several lines of strong evidences for ABA-regulated
ripening of strawberry fruit, but also have demonstrated that homology proteins
of Arabidopsis ABA receptors, including PYR/PYL/RCAR and ABAR/CHLH, act as
positive regulators of ripening in response to ABA. These receptors also trigger
a set of ABA downstream signaling components, and determine significant changes
in the expression levels of both sugar and pigment metabolism-related genes that
are closely associated with ripening. Soluble sugars, especially sucrose, may act
as a signal molecular to trigger ABA accumulation through an enzymatic action of
9-cis-epoxycarotenoid dioxygenase 1 (FaNCED1). This mini-review offers an
overview of these processes and also outlines the possible, molecular mechanisms
for ABA in the regulation of strawberry fruit ripening through the ABA receptors.
PMID- 22095149
TI - Optimal web investment in sub-optimal foraging conditions.
AB - Orb web spiders sit at the centre of their approximately circular webs when
waiting for prey and so face many of the same challenges as central-place
foragers. Prey value decreases with distance from the hub as a function of prey
escape time. The further from the hub that prey are intercepted, the longer it
takes a spider to reach them and the greater chance they have of escaping.
Several species of orb web spiders build vertically elongated ladder-like orb
webs against tree trunks, rather than circular orb webs in the open. As ladder
web spiders invest disproportionately more web area further from the hub, it is
expected they will experience reduced prey gain per unit area of web investment
compared to spiders that build circular webs. We developed a model to investigate
how building webs in the space-limited microhabitat on tree trunks influences the
optimal size, shape and net prey gain of arboricolous ladder webs. The model
suggests that as horizontal space becomes more limited, optimal web shape becomes
more elongated, and optimal web area decreases. This change in web geometry
results in decreased net prey gain compared to webs built without space
constraints. However, when space is limited, spiders can achieve higher net prey
gain compared to building typical circular webs in the same limited space. Our
model shows how spiders optimise web investment in sub-optimal conditions and can
be used to understand foraging investment trade-offs in other central-place
foragers faced with constrained foraging arenas.
PMID- 22095150
TI - [MR microscopy of the human eye].
AB - Ultra-high-field MR microscopy is a novel, non-invasive imaging technique to
explore the strcutures of the human eye without optical distorsions. This review
aims to provide an insight into the technique of the method. The normal MR
microscopic anatomy of the human eye with correlations to histology is
demonstrated. The use of MR microscopy in ther experimental ophthalmological
setting is discussed.
PMID- 22095151
TI - [Long-term outcome after penetrating keratoplasty for bullous keratopathy -
influence of preoperative visual acuity on final outcome].
AB - PURPOSE: The aim of this study was to analyse the long-term functional results of
penetrating keratoplasty for pseudophacic bullous keratopathy and Fuchs
endothelial dystrophy and to analyse the potential influence of the preoperative
visual acuity on the final outcome. PATIENTS AND METHODS: This retrospective
study describes the postoperative results of 413 patients. 154 of them with
bullous keratopathy and 259 patients with Fuchs dystrophy. All received
penetrating excimer laser keratoplasty (PK).The results include the examination
of the patients 1 / 3 / 5 / 8 / > 9 years postoperatively. For analysis the
patients were divided in two groups: group I includes patients with a visual
acuity <= 0.1 and group II with a visual acuity > 0.1. The trephination was
performed using a 193 nm excimer laser. The transplanted cornea was fixed by
using a double running cross-stitch suture. The outcome measures included best
corrected visual acuity (CCV), keratometric equivalent (KEQ), keratometric
astigmatism (AST), spherical equivalent (SEQ), refractive cylinder (CYL), best
corrected visual acuity (BCVA), surface regularity index (SRI), surface asymmetry
index (SAI), potential visual acuity (PVA), pachymetry (PAC), endothelial cell
count (ECC), intraocular tension (TEN), topographic equivalent (T-EQ) and
topographic astigmatism (T-AST). RESULTS: 5 / 8 years postoperatively CCV was
0.55 / 0.58; KEQ was 43.75 / 45.64 D; SEQ - 0.88 /- 1.88 D; AST was 3.91 / 4.41
D; CYL was 3.09 / 3.40 D; ECC was 1230 / 1080 cells/mm (2); TEN was 13.61 / 14.36
mmHg; PAC was 593.21 / 579.50 um; SRI was 1.08 / 0.89; SAI was 1.31 / 1.10; PVA
was 0.77 / 0.83; T-EQ was 44.78 / 44.92 D and T-AST was 4.85 / 3.92 D. The
outcome of patients with a preoperative visual acuity of <= 0.1 was significantly
worse than the group with the visual acuity of > 0.1. CONCLUSION: This study
shows that the long-term results after penetrating keratoplasty for pseudophacic
bullous keratopathy and Fuchs endothelial dystrophy are good. Patients with
preoperative visual acuity of <= 0.1 had worse final results.
PMID- 22095152
TI - Clinical management of ESBL-producing Enterobacteriaceae: the insidious role of
fluoroquinolones.
AB - During a review of extended-spectrum beta-lactamase (ESBL)-producing
Enterobacteriaceae in our Hospital, a huge number of inadequate antimicrobial
therapies emerged. The aim of this study is to assess the factors related to such
inadequacy. This retrospective analysis was performed on isolates reported by the
microbiology laboratory. Medical records were analyzed to assess adequate
treatment; inadequacy was evaluated as overall therapy, antibiotic choice, dosage
and length of treatment. Linear regression and multivariate analysis were
performed to assess any association. One hundred and fifty isolates were
analyzed. They were more commonly isolated from urinary samples and from patients
admitted to the Internal Medicine Department; E. coli was the most commonly
isolated pathogen. The rate of inadequacy was 60.67%. Fluoroquinolones seem to be
the main class responsible. Ceftriaxone, teicoplanin, tigecycline and amoxicillin
clavulanate are other antibiotics inadequately prescribed. Clinical management of
these infections should be better tailored: the knowledge of pathogens should be
implemented and the use of diagnostic tools, such as microbiology results, must
be optimized. In particular, the prescription of each antibiotic course (and
above all of regimens containing fluoroquinolones) should be performed not on a
routine basis, but after careful assessment of each case.
PMID- 22095153
TI - Sputum induction for the diagnosis of pulmonary tuberculosis: a systematic review
and meta-analysis.
AB - Sputum induction (SI) has been proposed as the optimal sample collection method
for patients with paucibacillary tuberculosis (TB). Studies reporting the culture
of Mycobacterium tuberculosis from SI were reviewed. A random-effects meta
analysis of diagnostic yield (numerator M. tuberculosis SI culture-positive
cases; denominator all culture-positive cases) was conducted. Diagnostic yields
(95% confidence intervals, CIs) were displayed as Forest plots. Heterogeneity was
evaluated using Chi-squared and I-squared tests and meta-regression analysis.
Ninety publications were screened, 28 full-text papers reviewed, and 17 analyzed.
Collectively, n=627 SI culture-positive cases among n=975 culture-confirmed TB
cases were reported. The diagnostic yield of SI ranged from 35 to 95%. The pooled
diagnostic yield was 74% (CI 65-81%), with significant heterogeneity (p<0.0001,
I2=86%). There were no statistically significant differences in the yield between
sub-groups defined by human immunodeficiency virus (HIV) prevalence or age.
Univariate analysis demonstrated that the use of fiberoptic bronchoscopy (FOB) as
the comparator method was associated with a 22% reduction (CI 2-42%) in the
diagnostic yield of SI. However, after adjustment for confounding, the meta
regression analysis showed that FOB usage (p=0.21) and saline concentration
(p=0.31) were not independently associated with the diagnostic yield. SI will
detect approximately three-quarters of M. tuberculosis culture-positive cases
under study conditions. Significant heterogeneity in the diagnostic yield was not
explained by HIV prevalence, age, or the use of FOB as the comparator method. The
use of a particular nebulized saline concentration for SI cannot be recommended
on the basis of this meta-regression analysis.
PMID- 22095154
TI - Antiproliferative effect of SOCS-1 through the suppression of STAT3 and p38 MAPK
activation in gastric cancer cells.
AB - Inflammation is a crucial driving force in the development of gastric cancers
(GCs). Accordingly, persistent activation of STAT3, a transcription factor
pivotal in regulating both inflammation and oncogenesis, is often detected in GC,
although its mechanism remains elusive. Suppressor of cytokine signaling-1 (SOCS
1) is a negative regulator of proinflammatory cytokine signaling and SOCS-1 gene
methylation is frequently detected in various cancers including GC. However, the
significance of SOCS-1 methylation in GC cells remains unexplored. Our study is
undertaken to evaluate the role of SOCS-1 in GC cell proliferation and its effect
on signaling pathways in GC cells. Among five GC cell lines, SOCS-1 gene was
methylated in all cell lines and constitutive STAT3 phosphorylation with elevated
endogenous IL-6 production was detected in two cell lines (NUGC-3 and AGS).
Unexpectedly, anti-IL-6R antibody inhibited neither cell proliferation nor STAT3
phosphorylation in NUGC-3 and AGS. In contrast, enforced SOCS-1 expression by
adenoviral vector (AdSOCS-1) markedly suppressed STAT3 phosphorylation and
proliferation of NUGC-3 and AGS cells in vitro. Interestingly, the
antiproliferative effect of SOCS-1 was attributable not only to the inhibition of
STAT3 but also to that of p38 MAPK activity, and chemical inhibitors of JAK/STAT
and p38 MAPK signaling effectively suppressed proliferation of these GC cells.
Furthermore, treatment with AdSOCS-1 in vivo significantly suppressed GC
proliferation in a xenograft model. These results suggest that SOCS-1 gene
methylation is a critical step in the development of GC, and enforced expression
of SOCS-1 may represent a novel therapeutic approach for the treatment of GC.
PMID- 22095155
TI - Illness perception and knowledge with regard to prediabetes and type 2 diabetes:
a pilot study of emergency department patients and staff.
AB - BACKGROUND: We aimed to explore emergency department (ED) patients', doctors',
and nurses' knowledge and illness perception with regard to prediabetes and type
2 diabetes mellitus (T2DM), and to determine whether patients' diabetes risk was
associated with illness perception. METHODS: A cross-sectional survey of adult ED
patients and clinicians was undertaken. Patients and clinicians were administered
the 72-item Illness Perception Questionnaire-Revised, five researcher-generated
questions with regard to the awareness of T2DM, and seven items with regard to
the efficacy of lifestyle interventions. Patients were also administered the
eight-item Type 2 Diabetes Risk Test. RESULTS: Of 127 patients and 29 ED
clinicians recruited, only 30 (38/127) and 72% (21/29), respectively, reported
having heard of prediabetes. Thirty-six percent of patients had an intermediate
high risk of developing T2DM according to the Diabetes Risk Test. The risk of
developing T2DM was weakly correlated (r<0.19) with all Illness Perception
Questionnaire subscales. CONCLUSION: Knowledge of prediabetes is poor among ED
patients and many are unaware that T2DM may be asymptomatic. Patients and
clinicians perceived lifestyle factors to be causative for T2DM, but both
perceived patients as having little control over the condition. The capacity of
lifestyle modifications to alter the course of T2DM should be emphasized in
future education programs.
PMID- 22095156
TI - Amiodarone-induced thyrotoxicosis with thyroid papillary cancer in multinodular
goiter: case report.
AB - OBJECTIVE: To report a case of amiodarone-induced thyrotoxicosis (AIT)
concomitant with thyroid cancer in multinodular goiter (MNG). CLINICAL
PRESENTATION AND INTERVENTION: A 61-year-old man treated with amiodarone for 5
years presented with mild sweating. He was found to have AIT simultaneously with
thyroid papillary cancer and MNG. Administration of amiodarone was stopped, and
he was treated with methimazole for AIT. Five weeks after the initial treatment,
thyroid function normalized. Total thyroidectomy was considered to enable use of
amiodarone again. CONCLUSION: This case showed that thyrotoxicosis, MNG and
amiodarone may contribute to thyroid carcinogenesis. Amiodarone should be
carefully commenced in cases with MNG.
PMID- 22095159
TI - A novel MOF with mesoporous cages for kinetic trapping of hydrogen.
AB - A stable MOF, assigned PCN-105, with two types of mesoporous cages, has been
prepared by using a new multidentate flexible ligand with amine functional
groups, and PCN-105 exhibits a marked N(2), O(2), Ar and H(2) hysteretic
behaviour.
PMID- 22095157
TI - In pursuit of P2X3 antagonists: novel therapeutics for chronic pain and afferent
sensitization.
AB - Treating pain by inhibiting ATP activation of P2X3-containing receptors heralds
an exciting new approach to pain management, and Afferent's program marks the
vanguard in a new class of drugs poised to explore this approach to meet the
significant unmet needs in pain management. P2X3 receptor subunits are expressed
predominately and selectively in so-called C- and Adelta-fiber primary afferent
neurons in most tissues and organ systems, including skin, joints, and hollow
organs, suggesting a high degree of specificity to the pain sensing system in the
human body. P2X3 antagonists block the activation of these fibers by ATP and
stand to offer an alternative approach to the management of pain and discomfort.
In addition, P2X3 is expressed pre-synaptically at central terminals of C-fiber
afferent neurons, where ATP further sensitizes transmission of painful signals.
As a result of the selectivity of the expression of P2X3, there is a lower
likelihood of adverse effects in the brain, gastrointestinal, or cardiovascular
tissues, effects which remain limiting factors for many existing pain
therapeutics. In the periphery, ATP (the factor that triggers P2X3 receptor
activation) can be released from various cells as a result of tissue
inflammation, injury or stress, as well as visceral organ distension, and
stimulate these local nociceptors. The P2X3 receptor rationale has aroused a
formidable level of investigation producing many reports that clarify the
potential role of ATP as a pain mediator, in chronic sensitized states in
particular, and has piqued the interest of pharmaceutical companies. P2X receptor
mediated afferent activation has been implicated in inflammatory, visceral, and
neuropathic pain states, as well as in airways hyperreactivity, migraine, itch,
and cancer pain. It is well appreciated that oftentimes new mechanisms translate
poorly from models into clinical efficacy and effectiveness; however, the breadth
of activity seen from P2X3 inhibition in models offers a realistic chance that
this novel mechanism to inhibit afferent nerve sensitization may find its place
in the sun and bring some merciful relief to the torment of persistent discomfort
and pain. The development philosophy at Afferent is to conduct proof of concept
patient studies and best identify target patient groups that may benefit from
this new intervention.
PMID- 22095158
TI - Measurement of purine release with microelectrode biosensors.
AB - Purinergic signalling departs from traditional paradigms of neurotransmission in
the variety of release mechanisms and routes of production of extracellular ATP
and adenosine. Direct real-time measurements of these purinergic agents have been
of great value in understanding the functional roles of this signalling system in
a number of diverse contexts. Here, we review the methods for measuring purine
release, introduce the concept of microelectrode biosensors for ATP and adenosine
and explain how these have been used to provide new mechanistic insight in
respiratory chemoreception, synaptic physiology, eye development and purine
salvage. We finish by considering the association of purine release with
pathological conditions and examine the possibilities that biosensors for purines
may one day be a standard part of the clinical diagnostic tool chest.
PMID- 22095160
TI - Inter-population comparisons of copper resistance and accumulation in the red
seaweed, Gracilariopsis longissima.
AB - Copper (Cu) resistance and accumulation of five populations of the red seaweed
Gracilariopsis longissima collected from sites in south west England (Fal
Estuary, Helford Estuary and Chesil Fleet) that differ in their degree of Cu
contamination was assessed under controlled laboratory conditions, on two
separate occasions (April and October). The effects of a range of Cu
concentrations (0-250 MUg l(-1)) on relative growth rates was the same for all
populations with reductions observable at concentrations as low as 12 MUg l(-1)
and cessation of growth at 250 MUg l(-1). There was no significant difference in
the calculated EC(50) values for the April and October samples, with means of
31.1 and 25.8 MUg l(-1), respectively. Over the range of concentrations used in
this study, copper content increased linearly and the pattern of accumulation was
the same for all populations at both time periods. From the linear regressions of
the pooled data a concentration factor of 2.25 * 10(3) was calculated. These
results imply that G. longissima has an innate tolerance to Cu and that
populations have not evolved copper-tolerant ecotypes. In laboratory studies,
accumulated Cu was released when transferred to 'clean' seawater with
approximately 80% being lost after 8 days, with no significant difference between
populations in their response. The results from a 30 days in situ transplantation
experiment using two populations from the Fal Estuary provided further evidence
for dynamic changes in Cu content in response to changes in Cu bioavailability.
The findings in this study are discussed in the context of implications for
seaweed biomonitoring.
PMID- 22095161
TI - Response to "Traits and stress: keys to identify community effects of low levels
of toxicants in test systems" by Liess and Beketov (2011).
PMID- 22095163
TI - Protonolysis of [((i)PrO)TiMo5O18]3-: access to a family of TiMo5 Lindqvist type
polyoxometalates.
AB - The tetra-n-butylammonium (TBA) salts of [((i)PrO)TiMo(5)O(18)](3-) 1 and
[((i)BuO)TiMo(5)O(18)](3-) 2 were prepared by hydrolysis of mixtures of
(TBA)(2)[Mo(2)O(7)], (TBA)(4)alpha-[Mo(8)O(26)] and Ti(OR)(4) (R = (i)Pr or
(i)Bu) in acetonitrile. Treatment of (TBA)(3)1 with alcohols ROH afforded primary
and tertiary alkoxide derivatives [(RO)TiMo(5)O(18)](3-) (R = Me 3, (t)Bu 4),
whilst aryloxides [(ArO)TiMo(5)O(18)](3-) were prepared by reacting 1 with
phenols ArOH (Ar = C(6)H(4)Me-4 5, and C(6)H(4)CHO-2 6). Oxo-bridged [(MU
O)(TiMo(5)O(18))(2)](6-)7 rather than the hydroxo derivative [(HO)TiMo(5)O(18)](3
) was obtained upon hydrolysis of 1. X-Ray crystal structures of TBA salts of
anions 3-7 show that titanium is six-coordinate in all cases, although titanium
sites are disordered over two trans positions in 3. Mo-O bond length alternation
is observed in the Mo(4)O(4) planes of 4 and 7 and in one of the two independent
anions in the structure of 3. In solution, (17)O NMR spectra are consistent with
the higher anionic charge compared to [Mo(6)O(19)](2-) and reveal an order of
basicity for the anions [LM'Mo(5)O(18)](3-) associated with the ability of
{LM'}(3+) to donate/withdraw electron density from {Mo(5)O(18)}(6-). Protonolysis
reactions of 1 and 3 were slower than for tungstate analogues and the possibility
of initial protonation at TiOM (M = Mo) rather than TiOR (M = W) in a proton
assisted S(N)1 mechanism for ligand exchange in [(RO)TiM(5)O(18)](3-) is
discussed.
PMID- 22095162
TI - Physiological responses of Microcystis aeruginosa NIES-843 (cyanobacterium) under
the stress of chitosan modified kaolinite (CMK) loading.
AB - Flocculation with clays is a promising and environmentally friendly way to remove
algal blooms. Physiological responses of Microcystis aeruginosa NIES-843 under
the stress of chitosan modified kaolinite (CMK) loading were first reported in
this paper. Compared with the control, the contents of chlorophyll a (Chl a) and
carotenoids showed no significant difference at a CMK loading of 40 mg/l, but the
phycocyanin content was significant lower than the control at this loading level.
The contents of Chl a, carotenoids, phycocyanin and allophycocyanin were all
significantly lower than the control at 80 and 160 mg/l CMK, and the leakage of
phycobilins occurred at these two loading levels suggesting that flocculation
with CMK could cause the damage of cellular membranes. The activities of
extracellular alkaline phosphatase activity (E-APA), superoxide dismutase (SOD)
and catalase (CAT) were all dramatically boosted under the stress of CMK loading.
The changes of cellular dehydrogenase activity exhibited the same trends as of
Chl a and carotenoids, and it decreased to the levels of lower than detectable
limits on 12 and 8th day at a CMK loading of 80 and 160 mg/l, respectively. These
results indicated that flocculation with CMK could cause cell mortality of M.
aeruginosa.
PMID- 22095164
TI - Laterality of spinocerebellar neurons in the chicken spinal cord.
AB - The aim in this study is to elucidate the laterality of chicken spinocerebellar
(SC) neurons that originate from the caudal cervical to caudal lumbosacral spinal
cord. SC neurons in the spinal segment (SS) 17-20 consisted of a mixture of
crossed and uncrossed axons. SC neurons in the more cranial and caudal SS than SS
17-20 (transitional zone) were generally uncrossed and crossed, respectively. In
the transitional zone, SC neurons in spinal border cells and ventral border cells
of the ventral horn changed dramatically from an uncrossed to a crossed type
between SS 17 and SS 18. Chicken SC neurons are markedly different in laterality
from mammalian SC neurons.
PMID- 22095165
TI - Occurrence of C-terminal residue exclusion in peptide fragmentation by ESI and
MALDI tandem mass spectrometry.
AB - By screening a data set of 392 synthetic peptides MS/MS spectra, we found that a
known C-terminal rearrangement was unexpectedly frequently occurring from
monoprotonated molecular ions in both ESI and MALDI tandem mass spectrometry upon
low and high energy collision activated dissociations with QqTOF and TOF/TOF mass
analyzer configuration, respectively. Any residue localized at the C-terminal
carboxylic acid end, even a basic one, was lost, provided that a basic amino acid
such arginine and to a lesser extent histidine and lysine was present in the
sequence leading to a fragment ion, usually depicted as (b(n-1) + H(2)O) ion,
corresponding to a shortened non-scrambled peptide chain. Far from being an
epiphenomenon, such a residue exclusion from the peptide chain C-terminal
extremity gave a fragment ion that was the base peak of the MS/MS spectrum in
certain cases. Within the frame of the mobile proton model, the ionizing proton
being sequestered onto the basic amino acid side chain, it is known that the
charge directed fragmentation mechanism involved the C-terminal carboxylic acid
function forming an anhydride intermediate structure. The same mechanism was also
demonstrated from cationized peptides. To confirm such assessment, we have
prepared some of the peptides that displayed such C-terminal residue exclusion as
a C-terminal backbone amide. As expected in this peptide amide series, the
production of truncated chains was completely suppressed. Besides, multiply
charged molecular ions of all peptides recorded in ESI mass spectrometry did not
undergo such fragmentation validating that any mobile ionizing proton will
prevent such a competitive C-terminal backbone rearrangement. Among all well
known nondirect sequence fragment ions issued from non specific loss of neutral
molecules (mainly H(2)O and NH(3)) and multiple backbone amide ruptures (b-type
internal ions), the described C-terminal residue exclusion is highly identifiable
giving raise to a single fragment ion in the high mass range of the MS/MS
spectra. The mass difference between this signal and the protonated molecular ion
corresponds to the mass of the C-terminal residue. It allowed a straightforward
identification of the amino acid positioned at this extremity. It must be
emphasized that a neutral residue loss can be misattributed to the formation of a
y(m-1) ion, i.e., to the loss of the N-terminal residue following the a(1)-y(m-1)
fragmentation channel. Extreme caution must be adopted when reading the direct
sequence ion on the positive ion MS/MS spectra of singly charged peptides not to
mix up the attribution of the N- and C-terminal amino acids. Although such
peculiar fragmentation behavior is of obvious interest for de novo peptide
sequencing, it can also be exploited in proteomics, especially for studies
involving digestion protocols carried out with proteolytic enzymes other than
trypsin (Lys-N, Glu-C, and Asp-N) that produce arginine-containing peptides.
PMID- 22095166
TI - Differentiation of the stereochemistry and anomeric configuration for 1-3 linked
disaccharides via tandem mass spectrometry and 18O-labeling.
AB - Collision-induced dissociation (CID) of deprotonated hexose-containing
disaccharides (m/z 341) with 1-2, 1-4, and 1-6 linkages yields product ions at
m/z 221, which have been identified as glycosyl-glycolaldehyde anions. From
disaccharides with these linkages, CID of m/z 221 ions produces distinct
fragmentation patterns that enable the stereochemistries and anomeric
configurations of the non-reducing sugar units to be determined. However, only
trace quantities of m/z 221 ions can be generated for 1-3 linkages in Paul or
linear ion traps, preventing further CID analysis. Here we demonstrate that high
intensities of m/z 221 ions can be built up in the linear ion trap (Q3) from beam
type CID of a series of 1-3 linked disaccharides conducted on a triple
quadrupole/linear ion trap mass spectrometer. (18)O-labeling at the carbonyl
position of the reducing sugar allowed mass-discrimination of the "sidedness" of
dissociation events to either side of the glycosidic linkage. Under relatively
low energy beam-type CID and ion trap CID, an m/z 223 product ion containing
(18)O predominated. It was a structural isomer that fragmented quite differently
than the glycosyl-glycolaldehydes and did not provide structural information
about the non-reducing sugar. Under higher collision energy beam-type CID
conditions, the formation of m/z 221 ions, which have the glycosyl-glycolaldehyde
structures, were favored. Characteristic fragmentation patterns were observed for
each m/z 221 ion from higher energy beam-type CID of 1-3 linked disaccharides and
the stereochemistry of the non-reducing sugar, together with the anomeric
configuration, were successfully identified both with and without (18)O-labeling
of the reducing sugar carbonyl group.
PMID- 22095167
TI - New evidence of the role of the hypoxia-regulated pathway in nucleus pulposus
cell survival: comment on the article by Hiyama et al.
PMID- 22095168
TI - Lessons from an incidental diagnosis of paroxysmal nocturnal haemoglobinuria.
PMID- 22095169
TI - Detection of SET-NUP214 rearrangement using multiplex reverse transcriptase
polymerase chain reaction (RT-PCR) in acute leukemias: a case report and
literature review on a Korean case series.
PMID- 22095170
TI - Complete disappearance of hemichorea-hemiballism due to hyperglycemia following
acute ischemic stroke.
PMID- 22095171
TI - SiO2 nanoparticles biocompatibility and their potential for gene delivery and
silencing.
AB - Despite the extensive use of silica nanoparticles (SiO(2)NPs) in many fields, the
results about their potential toxicity are still controversial. In this work, we
have performed a systematic in vitro study to assess the biological impact of
SiO(2)NPs, by investigating 3 different sizes (25, 60 and 115 nm) and 2 surface
charges (positive and negative) of the nanoparticles in 5 cell lines (3 in
adherence and 2 in suspension). We analyzed the cellular uptake and distribution
of the NPs along with their possible effects on cell viability, membrane
integrity and generation of reactive oxygen species (ROS). Experimental results
show that all the investigated SiO(2)NPs do not induce detectable cytotoxic
effects (up to 2.5 nM concentration) in all cell lines, and that cellular uptake
is mediated by an endocytic process strongly dependent on the particle size and
independent of its original surface charge, due to protein corona effects. Once
having assessed the biocompatibility of SiO(2)NPs, we have evaluated their
potential in gene delivery, showing their ability to silence specific protein
expression. The results of this work indicate that monodisperse and stable
SiO(2)NPs are not toxic, revealing their promising potential in various
biomedical applications.
PMID- 22095172
TI - Stationarity stopping criterion for matching pursuit-framework and
encephalographic illustration.
AB - We present a new stopping criterion for the matching pursuit (MP) algorithm,
based on evaluating stationarity of the residua of the consecutive MP iterations.
The new stopping criterion is based on a model of a nonstationary signal, which
assumes that the part of the signal that is of interest is nonstationary and
contaminated by a weakly stationary noise. Mean- and variance-stationarity of the
residua obtained from each step of MP is evaluated by means of dedicated
statistical tests-the Kwiatkowski-Phillips-Schmidt-Shin (KPSS) test and the White
test, respectively. We illustrate the proposed concept by an example in which we
analyse magnetoencephalographic (MEG) data.
PMID- 22095173
TI - Application of modern tests for stationarity to single-trial MEG data:
transferring powerful statistical tools from econometrics to neuroscience.
AB - Stationarity is a crucial yet rarely questioned assumption in the analysis of
time series of magneto- (MEG) or electroencephalography (EEG). One key drawback
of the commonly used tests for stationarity of encephalographic time series is
the fact that conclusions on stationarity are only indirectly inferred either
from the Gaussianity (e.g. the Shapiro-Wilk test or Kolmogorov-Smirnov test) or
the randomness of the time series and the absence of trend using very simple time
series models (e.g. the sign and trend tests by Bendat and Piersol). We present a
novel approach to the analysis of the stationarity of MEG and EEG time series by
applying modern statistical methods which were specifically developed in
econometrics to verify the hypothesis that a time series is stationary. We report
our findings of the application of three different tests of stationarity--the
Kwiatkowski-Phillips-Schmidt-Schin (KPSS) test for trend or mean stationarity,
the Phillips-Perron (PP) test for the presence of a unit root and the White test
for homoscedasticity--on an illustrative set of MEG data. For five stimulation
sessions, we found already for short epochs of duration of 250 and 500 ms that,
although the majority of the studied epochs of single MEG trials were usually
mean-stationary (KPSS test and PP test), they were classified as nonstationary
due to their heteroscedasticity (White test). We also observed that the presence
of external auditory stimulation did not significantly affect the findings
regarding the stationarity of the data. We conclude that the combination of these
tests allows a refined analysis of the stationarity of MEG and EEG time series.
PMID- 22095175
TI - PDT in squamous cell carcinoma of the skin.
AB - Topical photodynamic therapy (PDT) has been demonstrated to be an effective and
safe treatment option for pre-malignancies such as actinic keratoses (AK) and
Bowen's disease (BD), with an increasing amount of evidence indicating good long
term outcomes. Studies comparing PDT to other options such as cryotherapy and 5
fluorouracil generally demonstrate that PDT is equal to or better than these
therapies with respect to patient satisfaction, cosmesis, and efficacy for AK and
BD. While there are studies using squamous cell carcinoma (SCC) cells to
elucidate the cellular and molecular mechanisms of PDT, this therapy is currently
not indicated for treating SCC and surgery is still the first line of therapy.
There has been special interest in using PDT to prevent warts, basal cell
carcinoma, AK, and BD in solid organ transplant recipients, as these skin lesions
are more common in immunosuppressed patients, and trials have been somewhat
successful and very promising. Pain remains an obstacle for some patients and
techniques such as nerve blocks, cooling packs, and hydration have been attempted
to mitigate pain with an overall reduction in pain scores. Optimizing PDT is
still a priority and the delivery of pro-drug as well as induction of cellular
differentiation are being explored as ways to improve the efficacy of PDT.
Perhaps the most interesting use of PDT in treating SCC is the potential for a
tumor-specific vaccine, which is currently being developed.
PMID- 22095174
TI - Simultaneous care and melanoma: preliminary report about the psychoncological
approach.
AB - AIM: The main aim of the study was to investigate the efficacy of a "take-in-
charge" model of advanced stage melanoma patients by a multidisciplinary team and
highlight the psychological patterns of the disease. METHODS: The study sample
involved 44 patients, 27 females and 17 males, who were given a "Questionnaire on
Health Status SF-12" which provides two synthetic indexes, one related to
physical health PCS-12, and the other to mental health MCS-12. The statistical
data was collected through a preliminary analysis of principal components P.C.
A., carried out with SPSS software. RESULTS: Comparing the scores obtained by the
PCS and MCS indexes, the mean score is low: 6.52 out of 10 for PCS and 3.23 out
of 10 for MCS. At first consultation, there is evidence which supports patients'
need for psycho-oncological support. By dividing the sample patients into two
subgroups, cutaneous melanoma and visceral melanoma, it should be noted that the
first group obtained a mean of 4.75 for PCS and 3.77 for MCS and the second group
7.53 for PCS and 2.92 for MCS respectively. Therefore, the results show, at first
consultation, a more complex situation for patients with cutaneous melanoma.
CONCLUSION: The results of the study highlight the need to supply some form of
psycho-oncological support to help patients while they adapt to the disease.
Furthermore, different problems and different coping styles also emerged
depending on whether the patient has cutaneous or visceral melanoma. The study
therefore demonstrates the need to take into account such variables when devising
a personal care system centered on the patient.
PMID- 22095177
TI - Photodynamic therapy and plaque phase mycosis fungoides.
AB - Photodynamic therapy (PDT) has been applied in the last years for the treatment
of plaque mycosis fungoides (MF) with promising results. PDT is very useful in
the management of patients with few plaques of MF unresponsive to other topical
treatments or in patients with plaques in difficult to treat areas in which
cosmetic outcome is important. PDT achieves similar results to other treatments
and it is safe and well tolerated. Despite the simplicity of the technique
optimal treatment parameters have not yet been defined. This article is a review
of the literature of PDT and MF.
PMID- 22095176
TI - Treatment of acne with photodynamic therapy.
AB - Photodynamic therapy (PDT) with aminolevuninic acid (ALA) and
methylaminolevulinate (MAL) can improve acne vulgaris. Topical application of
these molecules results in significant build-up of porphyrins in sebaceous glands
and the efficacy of PDT in acne is believed to be related in part to a decrease
in sebaceous gland activity following light activation of the photosensitizer.
Clinical development of photodynamic therapy with ALA or MAL for the treatment of
acne has been limited by complexity of the PDT procedure, the pain caused during
light exposure and by the intense phototoxic reaction observed in the days
following treatment. These side effects can be significantly decreased by using a
shorter incubation time, avoiding occlusion or using a lower light fluence but
several studies have suggested that when milder PDT conditions are used the
efficacy is not as good and is mostly driven by the effect of light alone. Using
current knowledge many physicians are offering PDT as an alternative to their
patients with acne. However more research is needed to determine the optimal
treatment parameters and to design strategies to improve treatment tolerability.
PMID- 22095178
TI - Is photodynamic therapy a solution for keloid?
AB - Keloid is a common skin condition, especially in people of Asian and African
decent. The treatment of keloid is still unsatisfactory. Photodynamic therapy
(PDT) is a novel treatment for this condition, but is widely used in treating
certain skin pre-malignant and malignant lesions due to its high efficiency and
safety. Another aspect of PDT is its scarless (or minimal scarring) wound healing
after treatment despite the fact that it causes skin inflammation. There are a
few independent reports that indicate 5-aminolevulinic acid (ALA) or
methylaminolevulinate (MAL)-PDT may be effective in keloid and hypertrophic
scars. The mechanism is largely unknown. PDT may exert these effects by acting on
keratinocytes and fibroblasts or directly on collagen/extracellular matrix (ECM)
in keloid tissues, by inducing keloid fibroblast apoptosis/necrosis, modulating
growth factor and cytokine expression, reducing collagen/ECM synthesis and
causing degeneration of formed collagen/ECM. These potential mechanisms and the
scope for topical PDT of keloids are considered in this article.
PMID- 22095179
TI - Photodynamic therapy for photorejuvenation and non-oncologic indications:
overview and update.
AB - Topical photodynamic therapy (PDT) has shown to be effective in the treatment and
prevention of non-melanoma skin cancer. PDT is also established as treatment
modality for several non-oncologic indications. The aesthetic effects of PDT for
photoaged skin are well documented. An improvement of lentigines, skin roughness,
sallow complexion and fine wrinkles was demonstrated. A reduction of histological
signs of photoaging as a decrease of elastotic material and expression of p53
together with induction of neocollagenesis was shown. The possible
contemporaneous treatment of non-melanoma skin cancer renders PDT a novel and
effective technique for skin rejuvenation. Controlled studies also demonstrated
the efficacy of PDT in the treatment of acne vulgaris and HPV-induced skin
diseases as verrucae vulgares and condylomata acuminata. In addition, there is
evidence that certain sclerosing, inflammatory, granulomatous and infectious skin
diseases may profit from PDT. In contrast to PDT of tumours, where cellular
destruction is the main goal of the therapy, in PDT of inflammatory skin
conditions it is probably the modulation of cellular functions that plays the
main role.
PMID- 22095180
TI - Recurrent skin eruption in patient with chronic lymphocytic leukemia and
lymphocytic infiltrates of the dermis resembling Sweet's syndrome.
AB - Sweet's syndrome (acute febrile dermatosis) is characterized by fever, peripheral
neutrophil leukocytosis, acute onset of tender erythematous skin lesions
(papules, nodules or plaques), and histological findings of a dense infiltrate
consisting predominantly of mature neutrophils. Malignancy-associated Sweet's
syndrome constitutes approximately 21% of patients, the majority of whom suffer
from hematologic disorder. We report the case of patient with chronic lymphocytic
leukemia with recurrent eruptions of tender, pseudovesiculated nodules and
plaques with good response to corticosteroid therapy, resembling Sweet's
syndrome. However, histological examination revealed lymphocytic infiltrate in
the dermis, which made impossible to establish diagnosis of acute febrile
dermatosis according to diagnostic criteria. Association of the skin eruptions
with leukemia was implied by improvement of skin lesions after chemotherapy. We
present review of the literature reporting cases with atypical histopathological
presentations which preceded classical histological appearances, that were mainly
associated with hematological malignancies and discuss them in the context of our
patient.
PMID- 22095181
TI - A case of bullous pemphigoid in infancy treated with local corticosteroids.
AB - Bullous pemphigoid (BP) is an immune-mediated subepidermal vesiculobullous
eruption, whose true incidence is unknown, but it is considered extremely rare in
children, with a usually indolent course and rare relapses. Diagnosis is often
belated, because of the invasive assessment with biopsy for typical immune
pathologic findings to differentiate it from Dermatitis Herpetiformis and Linear
IgA bullous dermatosis (LABD), more common in children. A 4-year-old girl
presented with one year history of erythematous-edematous and erythematous
bullous eruptions on the genitalia, periocular regions, dorsal and palmo-plantar
surfaces. At onset erosions of the month and lips where considered a primary
herpes simplex infection from the pediatrician, but repeated courses of systemic
antivirals were completely ineffective, while application of a antibiotic
steroidal cream was of partial benefit. Histopathology showed a dermo-epidermal
blistering, with a marked eosinophilic infiltrate. Direct immunofluorescence
showed a characteristic positive linear IgG and C3 band at the basement membrane
zone (BMZ). Complete hematic-chemical and instrumental examinations gave normal
results, excluding associated pathologies. Due to paucity of lesions and good
response to local treatment with moderate potency cortisones, in agreement with
the parents, no systemic therapy was started. No recurrence occurred in the 2
year follow-up after complete remission. The case is reported for the rarity of
the childhood form, and the importance of the differential diagnosis for
management and treatment. Good response to topical treatment is stressed,
avoiding the risks of long-term systemic drug administration.
PMID- 22095182
TI - Lyell syndrome due to ticlopidine.
AB - The antiplatelets drug ticlopidine is widely used in patients suffering from
cardiomyopathies, coronary cardiopathies and thromboembolic pathologies. The
potential and frequently seen side effects are diarrhoea, hematological
dyscrasias and rashes. We describe a case in which the use of ticlopidine in the
treatment and prophylaxis of transit ischemic attacks triggered the unusual and
rare complication of toxic epidermal necrolysis (TEN). We will then go on to
analyse the clinical-pathological aspects and therapies through the use of i.v.
immunoglobulins at high dosages that led to a full recovery of the patient.
PMID- 22095183
TI - Multiple eccrine hidrocystomas: report of two cases.
PMID- 22095184
TI - Etanercept induced hidradenitis suppurativa.
PMID- 22095185
TI - Papular mycosis fungoides: a new case expanding the spectrum of phenotypic and
clinical findings.
PMID- 22095186
TI - New successful treatment of genital AIDS-related Kaposi's sarcoma resistant to
systemic therapy with 595-nm pulsed dye laser.
PMID- 22095187
TI - Hepatoblastoma in the Nordic countries.
AB - Little is known about the etiology of hepatoblastoma. Because of the young age at
diagnosis, several studies have looked at various birth characteristics. The
purpose of our study was to investigate the incidence of hepatoblastoma in the
Nordic countries and the association between selected birth characteristics and
hepatoblastoma. Data from national cancer registries and birth registries in
Denmark, Sweden, Norway and Finland 1985-2006 was used. Overall, 155 children
with hepatoblastoma aged 0-14 years were included and individually matched to
five controls drawn randomly from national population registries. The incidence
rate of hepatoblastoma was 1.7 per million person-years with a predominance of
boys (1.5:1). Incidence rate was highest before the age of 1 year (8.3 per
million person-years). A higher risk of hepatoblastoma was found in children with
birth weight <1,500 g [odds ratio (OR) = 9.5; 95% confidence interval (CI): 2.3
38.2], born preterm in week 22-32 (OR = 4.5; CI: 1.8-11.5) and Apgar scores <7
after 1 min (OR = 3.1; CI: 1.3-7.1) and 5 min (OR = 7.5; CI: 1.8-32.4). A
doubling in risk was found in children who were large for gestational age (OR =
2.3; CI: 1.0-5.3). No associations were found with birth order, maternal age or
maternal smoking. Our study indicates that intrauterine and/or neonatal factors
are associated with increased risk of hepatoblastoma. These may include low birth
weight and asphyxia leading to neonatal intensive care. Alternatively, the
factors may be a consequence of hepatoblastoma developing in utero.
PMID- 22095188
TI - Detection of neuroblastoma cells during clinical follow up: advanced flow
cytometry and rt-PCR for tyrosine hydroxylase using both conventional and real
time PCR.
AB - PURPOSE: Real-time reverse-transcriptase PCR (RT-qPCR) or conventional RT-PCR (RT
cPCR) detection of tyrosine hydroxylase (TH) is increasingly used to detect
neuroblastoma (NB) cells in clinical samples. However, TH expression in normal
tissues can limit its usefulness and make additional diagnostic strategies
necessary. METHODS: We analysed TH in 857 tumour, bone marrow aspirate and
peripheral blood stem cell samples from 65 NB patients using RT-cPCR, and
compared results from 666 samples analysed by RT-qPCR. TH was investigated in 84
samples from patients with other diagnoses and 354 samples from healthy donors as
controls, and 132 samples from the entire collection were evaluated for NB cells
using 5-colour flow cytometry (FC). RESULTS: Cohen's kappa coefficient
demonstrated a substantial agreement between RT-cPCR and RT-qPCR as well as RT
cPCR and FC and a moderate agreement between RT-qPCR and FC. TH expression was
also detected in samples from individual patients with Ewing sarcoma,
nephroblastoma and rhabdomyosarcoma, but not from healthy donors. FC panels were
an effective complementary strategy, detecting as few as 0.002% NB cells,
characterised as CD45negCD9+CD81+CD56+ch14:18+GD2+ cells with occasional
CD57+CD138+CD166+ expression. CONCLUSION: TH RT-qPCR alone is limited for
detection of NB cells because of "false positives" in samples from patients with
other diseases. Advanced FC may serve as a complementary method to detect
residual NB, but needs further confirmation in larger patient cohorts.
PMID- 22095189
TI - [Symptomatic foot shortening with subacute soft tissue swelling of the right
tibia].
AB - A 13-year-old girl presented with load-dependent pain of the medial part of the
right foot. The medical history revealed no trauma and no sports-related injury.
X-ray examinations of the foot and lower leg showed subcortical increased
sclerosis at the medial part of the tibia, the medial tarsal bones and of a
bunion. Magnetic resonance imaging revealed corresponding findings with localized
edema of the bone marrow within the increased subcortical sclerosis but no
indications of subcutaneous fibrosis. The constellation is indicative of
melorheostosis, a rare benign sclerosis of bones and soft tissue. Subcutaneous
fibrosis and limb shortening are also common.
PMID- 22095190
TI - Effects of the combination of low-level laser irradiation and recombinant human
bone morphogenetic protein-2 in bone repair.
AB - Low-level laser irradiation (LLLI) and recombinant human bone morphogenetic
protein type 2 (rhBMP-2) have been used to stimulate bone formation. LLLI
stimulates proliferation of osteoblast precursor cells and cell differentiation
and rhBMP-2 recruits osteoprogenitor cells to the bone healing area. This in vivo
study evaluated the effects of LLLI and rhBMP-2 on the bone healing process in
rats. Critical bone defects were created in the parietal bone in 42 animals, and
the animals were divided into six treatment groups: (1) laser, (2) 7 MUg of rhBMP
2, (3) laser and 7 MUg of rhBMP-2, (4) 7 MUg of rhBMP-2/monoolein gel, (5) laser
and 7 MUg rhBMP-2/monoolein gel, and (6) critical bone defect controls. A gallium
aluminum-arsenide diode laser was used (wavelength 780 nm, output power 60 mW,
beam area 0.04 cm(2), irradiation time 80 s, energy density 120 J/cm(2),
irradiance 1.5 W/cm(2)). After 15 days, the calvarial tissues were removed for
histomorphometric analysis. Group 3 defects showed higher amounts of newly formed
bone (37.89%) than the defects of all the other groups (P < 0.05). The amounts of
new bone in defects of groups 1 and 4 were not significantly different from each
other (24.00% and 24.75%, respectively), but were significantly different from
the amounts in the other groups (P < 0.05). The amounts of new bone in the
defects of groups 2 and 5 were not significantly different from each other
(31.42% and 31.96%, respectively), but were significantly different from the
amounts in the other groups (P < 0.05). Group 6 defects had 14.10% new bone
formation, and this was significantly different from the amounts in the other
groups (P < 0.05). It can be concluded that LLLI administered during surgery
effectively accelerated healing of critical bone defects filled with pure rhBMP
2, achieving a better result than LLLI alone or the use of rhBMP-2 alone.
PMID- 22095191
TI - Endometrial epithelial cell response to semen from HIV-infected men during
different stages of infection is distinct and can drive HIV-1-long terminal
repeat.
AB - OBJECTIVES: Although more than 60% of HIV transmission occurs via semen, little
is known about the immune impact of seminal plasma on HIV susceptibility. Here,
we examined the level of selected immunomodulatory factors in seminal plasma from
HIV-uninfected and therapy-naive, HIV-infected men in acute and chronic stages;
the cytokine response elicited by seminal plasma in genital epithelial cells
(GECs); and whether any GEC response to seminal plasma could drive HIV
replication in infected T cells. METHODS: A panel of nine cytokines and
chemokines was measured in seminal plasma from HIV-uninfected and HIV-infected
men and in primary GEC cultures following seminal plasma exposure. HIV-long
terminal repeat (LTR) activation was measured in 1G5 T cells exposed to
supernatants from seminal plasma-treated GECs. RESULTS: Pro-inflammatory
cytokines and chemokines were present at significantly higher levels in seminal
plasma from acute men, whereas transforming growth factor (TGF)-beta1 was
significantly higher in seminal plasma from chronic men. Pro-inflammatory
cytokine production by GECs was significantly decreased following incubation with
seminal plasma from chronic men. Blocking the TGF-beta1 receptor in GECs prior to
seminal plasma exposure enhanced pro-inflammatory cytokine production. Exposure
to seminal plasma activated nuclear factor (NF)-kappaB in GECs and blocking it
significantly reduced pro-inflammatory cytokine production. GEC responses to
seminal plasma, especially from acute men, significantly activated HIV-LTR
activation in 1G5 T cells. CONCLUSION: Immunomodulatory factors in seminal plasma
vary, depending on presence and stage of HIV infection. Exposure to seminal
plasma leads to NF-kappaB activation and pro-inflammatory cytokine production,
whereas TGF-beta in seminal plasma may suppress pro-inflammatory cytokine
production by GECs. GEC responses to seminal plasma can activate HIV-LTR in
infected CD4(+) T cells.
PMID- 22095193
TI - Bacterial vaginosis, HIV serostatus and T-cell subset distribution in a cohort of
East African commercial sex workers: retrospective analysis.
AB - OBJECTIVE: Although bacterial vaginosis is a known correlate of HIV infection, no
previous studies have investigated whether women defined as HIV-exposed
seronegative (HESN) are less likely to have bacterial vaginosis. Little is known
about the effects of bacterial vaginosis on systemic immune activation associated
with HIV+ serostatus. DESIGN: Cohort-based retrospective analysis of bacterial
vaginosis in relation to HESN status, HIV+ serostatus and peripheral T-helper
cells, with cross-sectional analysis of bacterial vaginosis in relation to
peripheral T-regulatory cells (Tregs). METHODS: Bacterial vaginosis diagnosis by
Gram stain and determination of systemic CD4(+) and CD8(+) T-helper cell
frequency by flow cytometry for 3504 vaginal samples from 988 commercial sex
workers over 4 years. Treg phenotyping by FoxP3 staining and multiparameter flow
cytometry in peripheral blood of 97 women at a single time-point. RESULTS: No
differences in bacterial vaginosis diagnosis were observed between HESN and other
HIV-negative (HIV-N) controls; however, HIV+ women were more likely to be
diagnosed with bacterial vaginosis compared to all HIV-negative women (HESN/HIV-N
combined). HIV+ women with bacterial vaginosis had significantly higher
CD4(+)/CD8(+) T-helper cell counts and a lower CD4/CD8 ratio, as well as fewer
Tregs as a proportion of total T-helper cells, compared to bacterial vaginosis
negative women. The number of bacterial vaginosis diagnoses in this cohort has
decreased significantly over time. CONCLUSION: Bacterial vaginosis is associated
with HIV serostatus and shifts in distribution of T-cell subsets. A concomitant
reduction in bacterial vaginosis and HIV infections over time suggests that the
elucidation of bacterial vaginosis-HIV interactions will be critical to further
understanding of HIV pathogenesis and prevention in this high-risk group.
PMID- 22095194
TI - Mortality and loss to follow-up in the first year of ART: Malawi national ART
programme.
AB - OBJECTIVES: To analyse mortality, loss to follow-up (LTFU) and retention on
antiretroviral treatment (ART) in the first year of ART across all age groups in
the Malawi national ART programme. DESIGN: Cohort study including all patients
who started ART in Malawi's public sector clinics between 2004 and 2007. METHODS:
ART registers were photographed, information entered into a database and merged
with data from clinics with electronic records. Rates per 100 patient-years and
cumulative incidence of retention were calculated. Subhazard ratios (sHRs) of
outcomes adjusted for patient and clinic-level characteristics were calculated in
multivariable analysis, applying competing risk models. RESULTS: A total of
117,945 patients contributed 85,246 person-years: 1.0% were infants below 2
years, 7.4% children 2-14, 7.5% young people 15-24, and 84.2% adults 25 years and
above. Sixty percent of patients were female: women outnumbered men from age 14
to 35 years. Mortality and LTFU were higher in men from age 20 years. Infants and
young people had the highest rates per 100 person-years for mortality (23.0 and
19.4) and LTFU (24.7 and 19.3), and the highest adjusted relative risks compared
to age group 25-34 years: sHRs were 1.37 [95% confidence interval (CI) 1.17-1.60]
and 1.17 (95% CI 1.10-1.25) for death and 1.37 (95% CI 1.18-1.59) and 1.27 (95%
CI 1.19-1.35) for LTFU, respectively. CONCLUSION: In this country-wide study
patients aged 0-1 and 15-24 years had the highest risk of death and LTFU, and
from age 20 men were at higher risk than women. Interventions to improve outcomes
in these patient groups are required.
PMID- 22095195
TI - Incidence of low and high-energy fractures in persons with and without HIV
infection: a Danish population-based cohort study.
AB - OBJECTIVE: To compare fracture risk in persons with and without HIV infection and
to examine the influence of highly active antiretroviral therapy (HAART)
initiation on risk of fracture. DESIGN: Population-based nationwide cohort study
using Danish registries. METHODS: Outcome measures were time to first fracture at
any site, time to first low-energy and high-energy fracture in HIV-infected
patients (n = 5306) compared with a general population control cohort (n = 26
530) matched by sex and age during the study period 1995-2009. Cox regression
analyses were used to estimate incidence rate ratios (IRRs). RESULTS: HIV
infected patients had increased risk of fracture [IRR 1.5, 95% confidence
interval (CI) 1.4-1.7] compared with population controls. The relative risk was
lower in HIV-monoinfected patients (IRR 1.3, 95% CI 1.2-1.4) than in
HIV/hepatitis C virus (HCV)-coinfected patients (IRR 2.9, 95% CI 2.5-3.4).Both
HIV-monoinfected and HIV/HCV-coinfected patients had increased risk of low-energy
fracture, IRR of 1.6 (95% CI 1.4-1.8) and 3.8 (95% CI 3.0-4.9). However, only
HIV/HCV-coinfected patients had increased risk of high-energy fracture, IRR of
2.4 (95% CI 2.0-2.9). Among HIV-monoinfected patients the risk of low-energy
fracture was only significantly increased after HAART exposure, IRR of 1.8 (95%
CI 1.5-2.1). The increased risk in HAART-exposed patients was not associated with
CD4 cell count, prior AIDS, tenofovir or efavirenz exposure, but with comorbidity
and smoking. CONCLUSION: HIV-infected patients had increased risk of fracture
compared with population controls. Among HIV-monoinfected patients the increased
risk was observed for low-energy but not for high-energy fractures, and the
increased risk of low-energy fracture was only observed in HAART-exposed
patients.
PMID- 22095197
TI - Periodic presumptive treatment of curable sexually transmitted infections among
sex workers: a systematic review.
AB - BACKGROUND: Unprotected sex work remains a major driver of HIV/sexually
transmitted infection (STI) epidemics in many countries. STI treatment can lower
disease burden, complications and prevalence of HIV cofactors. Periodic
presumptive treatment (PPT) has been used with sex workers to reduce their high
burden of largely asymptomatic STIs. The objective of this review is to assess
benefits and harms of PPT among female sex workers. METHODS: We searched MEDLINE
for studies related to sex work and STIs during 1990-2010, extracted data from
eligible studies in duplicate and conducted meta-analysis by study design using
random effects models. RESULTS: Two thousand, three hundred and fifteen articles
were screened, 18 studies met inclusion criteria and 14 were included in meta
analyses. One published randomized controlled trial (RCT) reported significant
reductions of gonorrhoea (Neisseria gonorrhoeae) [rate ratio (RR) 0.46, 95%
confidence interval (CI) 0.31-0.68] and chlamydia (Chlamydia trachomatis) (RR
0.38, 95%CI 0.26-0.57), but no effect on serologic syphilis (RR 1.02, 95%CI 0.54
1.95). Similar results were seen for N. gonorrhoeae and C. trachomatis in pooled
analyses, including data from one unpublished RCT and across study designs, and
correlated with initial prevalence (R(2) = 0.155). One observational study
reported genital ulcer disease (GUD) declines in sex workers, and two reported
impact among male client populations for N. gonorrhoeae [odds ratio (OR) 0.60,
95% CI 0.38-0.94], C. trachomatis (OR 0.47, 95% CI 0.31-0.71) and GUD (OR 0.21,
95% CI 0.11-0.42). No studies reported evidence of risk compensation or
antibiotic resistance. CONCLUSION: PPT can reduce prevalence of gonorrhoea,
chlamydia and ulcerative STIs among sex workers in whom prevalence is high.
Sustained STI reductions can be achieved when PPT is implemented together with
peer interventions and condom promotion. Additional benefits may include impact
on STI and HIV transmission at population level.
PMID- 22095196
TI - Myeloid dendritic cells isolated from tissues of SIV-infected Rhesus macaques
promote the induction of regulatory T cells.
AB - OBJECTIVE: To determine whether the ability of primary myeloid dendritic cells
(mDCs) to induce regulatory T cells (Treg) is affected by chronic simian
immunodeficiency virus (SIV) infection. DESIGN: Modulation of dendritic cell
activity with the aim of influencing Treg frequency may lead to new treatment
options for HIV and strategies for vaccine development. METHODS: Eleven
chronically infected SIV(+) Rhesus macaques were compared with four uninfected
animals. Immature and mature mDCs were isolated from mesenteric lymph nodes and
spleen by cell sorting and cultured with purified autologous non-Treg
(CD4(+)CD25(-) T cells). CD25 and FOXP3 up-regulation was used to assess Treg
induction. RESULTS: The frequency of splenic mDC and plasmacytoid dendritic cell
was lower in infected animals than in uninfected animals; their frequency in the
mesenteric lymph nodes was not significantly altered, but the percentage of
mature mDCs was increased in the mesenteric lymph nodes of infected animals.
Mature splenic or mesenteric mDCs from infected animals were significantly more
efficient at inducing Treg than mDCs from uninfected animals. Mature mDCs from
infected macaques induced more conversion than immature mDCs. Splenic mDCs were
as efficient as mesenteric mDCs in this context and CD103 expression by mDCs did
not appear to influence the level of conversion. CONCLUSIONS: Tissue mDCs from
SIV-infected animals exhibit an enhanced capability to induce Treg and may
contribute to the accumulation of Treg in lymphoid tissues during progressive
infection. The activation status of dendritic cell impacts this process but the
capacity to induce Treg was not restricted to mucosal dendritic cells in infected
animals.
PMID- 22095198
TI - Prime-boost regimens with adjuvanted synthetic long peptides elicit T cells and
antibodies to conserved regions of HIV-1 in macaques.
AB - OBJECTIVES: Administration of synthetic long peptides (SLPs) derived from human
papillomavirus to cervical cancer patients resulted in clinical benefit
correlated with expansions of tumour-specific T cells. Because vaginal mucosa is
an important port of entry for HIV-1, we have explored SLP for HIV-1 vaccination.
Using immunogen HIVconsv derived from the conserved regions of HIV-1, we
previously showed in rhesus macaques that SLP.HIVconsv delivered as a boost
increased the breath of T-cell specificities elicited by single-gene vaccines.
Here, we compared and characterized the use of electroporated pSG2.HIVconsv DNA
(D) and imiquimod/montanide-adjuvanted SLP.HIVconsv (S) as priming vaccines for
boosting with attenuated chimpanzee adenovirus ChAdV63.HIVconsv (C) and modified
vaccinia virus Ankara MVA.HIVconsv (M). DESIGN: Prime-boost regimens of DDDCMS,
DSSCMS and SSSCMS in rhesus macaques. METHODS: Animals' blood was analysed
regularly throughout the vaccination for HIV-1-specific T-cell and antibody
responses. RESULTS: We found that electroporation spares DNA dose, both
SLP.HIVconsv and pSG2.HIVconsv DNA primed weakly HIVconsv-specific T cells,
regimen DDDCM induced the highest frequencies of oligofunctional, proliferating
CD4(+) and CD8(+) T cells, and a subsequent SLP.HIVconsv boost expanded primarily
CD4(+) cells. DSS was the most efficient regimen inducing antibodies binding to
regions of trimeric HIV-1 Env, which are highly conserved among the four major
global clades, although no unequivocal neutralizing activity was detected.
CONCLUSION: The present results encourage evaluation of the SLP.HIVconsv vaccine
modality in human volunteers along the currently trialled pSG2.HIVconsv DNA,
ChAdV63.HIVconsv and MVA.HIVconsv vaccines. These results are discussed in the
context of the RV144 trial outcome.
PMID- 22095199
TI - Fine and ultrafine particles emitted from laser printers as indoor air
contaminants in German offices.
AB - PURPOSE: Various publications indicate that the operation of laser printers and
photocopiers may be associated with health effects due to the release of gaseous
components and fine and ultrafine particles (UFP). However, only sparse studies
are available that evaluate the possible exposure of office workers to printer
emissions under real conditions. Therefore, the aim of our study was to assess
the exposure of office workers to particulate matter released from laser printers
and photocopiers. METHODS: Concentrations of fine particles and UFP were measured
before, during, and after the operation of laser printing devices in 63 office
rooms throughout Germany. Additionally, the particles were characterized by
electron microscopy and energy-dispersive X-ray spectroscopy. RESULTS: A
significant increase of fine particles and UFP was identified in ambient
workplace air during and after the printing processes. Particle fractions between
0.23 and 20 MUm emitted by the office machines significantly affect particle mass
concentrations while printing 500 pages, i.e., during the printing process,
PM(0.23-20), PM(2.5), and PM(10) concentrations increased in 43 out of the
evaluated 62 office rooms investigated. Additionally, a significant increase was
observed in submicrometer particles, with median particle number concentrations
of 6,503 particles/cm(3) before and 18,060 particles/cm(3) during the printing
process. CONCLUSIONS: Our data indicate that laser printers and photocopiers
could be a relevant source of fine particles and particularly UFP in office
rooms.
PMID- 22095200
TI - A tiered ecological risk assessment of three chlorophenols in Chinese surface
waters.
AB - INTRODUCTION: The ecological risks posed by three chlorophenols (CPs), 2,4
dichlorophenol (2,4-DCP), 2,4,6-trichlorophenol (2,4,6-TCP), and
pentachlorophenol (PCP) in Chinese surface waters were assessed. MATERIALS AND
METHODS: This was achieved by applying a tiered ecological risk assessment (ERA)
approach ranging from deterministic methods to probabilistic options to measured
concentrations of CPs in surface water of seven major watersheds and three
drainage regions in China and the chronic toxicity data for indigenous Chinese
species. RESULTS AND DISCUSSION: The results show that the risks of three
chlorophenols are ranked PCP>2,4-DCP~2,4,6-TCP. PCP posed little ecological risk
while 2,4-DCP and 2,4,6-TCP posed negligible or de minimis risk in Chinese
surface water. However, the risks varied with different river basins, for
example, PCP posed some ecological risk in the Yangtze, Huaihe, and Pearl Rivers.
The magnitude of 2,4-DCP and 2,4,6-TCP pollution in North China was more serious
than that in South China. CONCLUSION: The probabilistic risk assessment approach,
which can provide more information for risk managers and decision makers, was
favored over the screening-level single-value estimate method. However, the
results from all tiers of the ERA methods in the framework were consistent with
each other.
PMID- 22095201
TI - Dipyridylketone as a versatile ligand precursor for new cationic heteroleptic
cyclometalated iridium complexes.
AB - Three new bis-cyclometalated iridium(III) complexes, of general formula [Ir(2
phenylpyridine)(2)(L)](+), are reported. The compounds contain a dipyridine-type
ligand (L) derived from di-2-pyridylketone (dipyridin-2-ylmethanol, 2,2'
(hydrazonomethylene)dipyridine and 3-hydroxy-3,3-di(pyridine-2-yl)propanenitrile)
and were synthesized through two different reaction pathways. The alternative
synthetic pathway herein proposed, namely the direct reactions on the complex
[Ir(2-phenylpyridine)(2)(2,2'-dipyridylketone)](+), overcame the inconveniences
encountered with the standard reaction between the dimeric precursor [Ir(2
phenylpyridine)(2)(MU-Cl)](2) and the ancillary ligands (L). The photophysical
characterization of the iridium complexes reveals that modifications on the
ancillary ligand introduce large changes in the photophysical behaviour of the
complexes. High emission quantum yield is associated with the presence of a
saturated carbon between the two pyridyl moieties: [Ir(2-phenylpyridine)(2)(2,2'
dipyridylketone)](+) and [Ir(2-phenylpyridine)(2)(2,2'
(hydrazonomethylene)dipyridine)](+) are extremely low emissive, while [Ir(2
phenylpyridine)(2)(dipyridin-2-ylmethanol)](+) and [Ir(2-phenylpyridine)(2)(3
hydroxy-3,3-di(pyridine-2-yl)propanenitrile)](+) are good photoemitters. DFT and
TD-DFT calculations confirmed the mixed LC/MLCT character of the excited states
involved in the absorption and emission processes and highlighted the role of the
pi-conjugation between the two subunits of the ancillary ligand in determining
the nature of the LUMO.
PMID- 22095202
TI - Disorders of sexual development in the domestic horse, Equus caballus.
AB - Abnormalities of sexual development causing infertility in horses have been
investigated since the early 1970's. Conventional cytogenetic analysis by
karyotyping has been the primary tool used to investigate these horses.
Abnormalities have a broad range, from a phenotypically normal mare with gonadal
dysgenesis to a horse with ambiguous external genitalia and internal male and
female organs. Cytogenetic analysis can determine genetic sex but cannot identify
mutations or deletions of genes involved in the sex determination pathway.
Molecular technologies have been developed to confirm cytogenetic results and to
aid in identifying the genetic causes of abnormal sex determination in horses. In
this paper, we review the historical development of methods used to understand
abnormal sexual development in the horse as well as summarize cases reported over
the last 40-50 years.
PMID- 22095203
TI - Evaluation of urban sprawl and urban landscape pattern in a rapidly developing
region.
AB - Urban sprawl is a worldwide phenomenon happening particularly in rapidly
developing regions. A study on the spatiotemporal characteristics of urban sprawl
and urban pattern is useful for the sustainable management of land management and
urban land planning. The present research explores the spatiotemporal dynamics of
urban sprawl in the context of a rapid urbanization process in a booming economic
region of southern China from 1979 to 2005. Three urban sprawl types are
distinguished by analyzing overlaid urban area maps of two adjacent study years
which originated from the interpretation of remote sensed images and vector land
use maps. Landscape metrics are used to analyze the spatiotemporal pattern of
urban sprawl for each study period. Study results show that urban areas have
expanded dramatically, and the spatiotemporal landscape pattern configured by the
three sprawl types changed obviously. The different sprawl type patterns in five
study periods have transformed significantly, with their proportions altered both
in terms of quantity and of location. The present research proves that urban
sprawl quantification and pattern analysis can provide a clear perspective of the
urbanization process during a long time period. Particularly, the present study
on urban sprawl and sprawl patterns can be used by land use and urban planners.
PMID- 22095204
TI - Physician-patient communication regarding colorectal cancer screening is lacking.
PMID- 22095206
TI - [Effects of the great East Japan earthquake on industries and laborers in
Fukushima prefecture].
PMID- 22095205
TI - [Comparison of lifestyle, mental stress, and medical check-up results between
Tanshin-funin workers and workers living with their families].
AB - OBJECTIVES: We conducted a comparative analysis of lifestyle, mental stress, and
medical check-up results between tanshin-funin workers and workers living with
their families. METHODS: Study participants were 3,026 married men, aged 40-59
yr, who worked at a large financial firm in Japan. Tanshin-funin was defined as
married men separated from their families due to workplace assignments, as
determined by a self-administered questionnaire in 2004. Participants' lifestyle
factors, including exercise, alcohol and smoking consumption, and dietary habits,
and mental stress, including lack of vigor, irritability, fatigue, anxiety,
depressed mood, and physical complaints, were examined using a self-administered
questionnaire. Medical check-ups conducted in 2004 included measurements of BMI,
SBP, DBP, FBS, GOT, GPT, gamma-GTP, TC, TG, HDL, RBC, and WBC. RESULTS: An
analysis of lifestyle factors, using the chi(2) test, indicated that fewer
tanshin-funin workers exercise, and that they had fewer regularly-scheduled
meals. Tanshin-funin workers smoked more and consumed more alcohol, but ate fewer
green and yellow vegetables. Tanshin-funin workers had fewer commuting hours and
took fewer days off. Tanshin-funin workers also suffered more frequently from
irritability and anxiety. A regression analysis, adjusted for age and smoking
status, indicated that levels of TC, TG, and WBC for tanshin-funin workers were
higher than workers living with their families. CONCLUSIONS: The lifestyle habits
of tanshin-funin workers, such as dietary habits, were worse than those of
workers living with their families, and tanshin-funin workers suffered from more
irritability and anxiety. Clinical markers, such as dyslipidemia, were worse
among tanshin-funin workers than among workers living with their families.
PMID- 22095207
TI - Early neuromuscular electrical stimulation to improve quadriceps muscle strength
after total knee arthroplasty: a randomized controlled trial.
AB - BACKGROUND: The recovery of quadriceps muscle force and function after total knee
arthroplasty (TKA) is suboptimal, which predisposes patients to disability with
increasing age. OBJECTIVE: The purpose of this investigation was to evaluate the
efficacy of quadriceps muscle neuromuscular electrical stimulation (NMES),
initiated 48 hours after TKA, as an adjunct to standard rehabilitation. DESIGN:
This was a prospective, longitudinal randomized controlled trial. METHODS: Sixty
six patients, aged 50 to 85 years and planning a primary unilateral TKA, were
randomly assigned to receive either standard rehabilitation (control) or standard
rehabilitation plus NMES applied to the quadriceps muscle (initiated 48 hours
after surgery). The NMES was applied twice per day at the maximum tolerable
intensity for 15 contractions. Data for muscle strength, functional performance,
and self-report measures were obtained before surgery and 3.5, 6.5, 13, 26, and
52 weeks after TKA. RESULTS: At 3.5 weeks after TKA, significant improvements
with NMES were found for quadriceps and hamstring muscle strength, functional
performance, and knee extension active range of motion. At 52 weeks, the
differences between groups were attenuated, but improvements with NMES were still
significant for quadriceps and hamstring muscle strength, functional performance,
and some self-report measures. LIMITATIONS: Treatment volume was not matched for
both study arms; NMES was added to the standard of care treatment. Furthermore,
testers were not blinded during testing, but used standardized scripts to avoid
bias. Finally, some patients reached the maximum stimulator output during at
least one treatment session and may have tolerated more stimulation. CONCLUSIONS:
The early addition of NMES effectively attenuated loss of quadriceps muscle
strength and improved functional performance following TKA. The effects were most
pronounced and clinically meaningful within the first month after surgery, but
persisted through 1 year after surgery.
PMID- 22095208
TI - Physical fitness in patients with ankylosing spondylitis: comparison with
population controls.
AB - BACKGROUND: Although flexibility traditionally has been the main focus for
physical therapy in patients with ankylosing spondylitis (AS), there is now
evidence for an increased risk of cardiovascular diseases (CVDs) in this group.
OBJECTIVE: The purposes of this study were: (1) to compare physical fitness
(cardiorespiratory fitness, muscular capacity, flexibility, and balance) in
patients with AS and controls and (2) to explore associations between physical
fitness and disease activity in the patient group. DESIGN: This was a cross
sectional study. METHODS: The physical fitness variables were cardiorespiratory
fitness (treadmill test for estimation of peak oxygen uptake [V(O(2))peak]),
muscular capacity (push-ups test), balance (30-second single-leg stand and
walking in a figure-of-eight pattern), and flexibility (Bath Ankylosing
Spondylitis Metrology Index [BASMI]). The Ankylosing Spondylitis Disease Activity
Score (ASDAS) was used to assess disease activity. Group differences and
associations were tested with the chi-square test for categorical variables, the
Mann-Whitney U test for ordinal variables, and analysis of covariance for
continuous variables. RESULTS: One hundred forty-nine of 250 of the invited
patients with AS and 133 of 329 of the invited controls were included in the
study. The mean ASDAS score of the patient group was 2.3 (range=0.5-4.7), and the
median disease duration was 23 years (range=7-55). The patient group had
significantly lower V(O(2)) peak values, with a mean difference of -2.7 mL.kg(
1).min(-1) (95% confidence interval=-4.3, -1.1), and higher BASMI scores, with a
mean difference of 1.6 (95% confidence interval=1.5, 1.8), compared with the
control group. No group differences were found in balance or muscular capacity.
In the patient group, significant inverse associations were found between ASDAS
scores and V(O(2))peak and muscular capacity. LIMITATIONS: The response rate was
lower in the control group (40.4%) than in the patient group (59.6%). CONCLUSION:
The lower cardiorespiratory fitness and reduced flexibility in the AS group
indicate that physical therapy programs should include cardiorespiratory fitness
exercises as a basic component to reduce the risk of cardiovascular disease.
PMID- 22095209
TI - Complex versus simple ankle movement training in stroke using telerehabilitation:
a randomized controlled trial.
AB - BACKGROUND: Telerehabilitation allows rehabilitative training to continue
remotely after discharge from acute care and can include complex tasks known to
create rich conditions for neural change. OBJECTIVES: The purposes of this study
were: (1) to explore the feasibility of using telerehabilitation to improve ankle
dorsiflexion during the swing phase of gait in people with stroke and (2) to
compare complex versus simple movements of the ankle in promoting behavioral
change and brain reorganization. DESIGN: This study was a pilot randomized
controlled trial. SETTING: Training was done in the participant's home. Testing
was done in separate research labs involving functional magnetic resonance
imaging (fMRI) and multi-camera gait analysis. PATIENTS: Sixteen participants
with chronic stroke and impaired ankle dorsiflexion were assigned randomly to
receive 4 weeks of telerehabilitation of the paretic ankle. INTERVENTION:
Participants received either computerized complex movement training (track group)
or simple movement training (move group). MEASUREMENTS: Behavioral changes were
measured with the 10-m walk test and gait analysis using a motion capture system.
Brain reorganization was measured with ankle tracking during fMRI. RESULTS:
Dorsiflexion during gait was significantly larger in the track group compared
with the move group. For fMRI, although the volume, percent volume, and intensity
of cortical activation failed to show significant changes, the frequency count of
the number of participants showing an increase versus a decrease in these values
from pretest to posttest measurements was significantly different between the 2
groups, with the track group decreasing and the move group increasing.
LIMITATIONS: Limitations of this study were that no follow-up test was conducted
and that a small sample size was used. CONCLUSIONS: The results suggest that
telerehabilitation, emphasizing complex task training with the paretic limb, is
feasible and can be effective in promoting further dorsiflexion in people with
chronic stroke.
PMID- 22095210
TI - White matter hyperintensity as a factor associated with delayed mood disorders in
patients with acute ischemic stroke.
AB - BACKGROUND: Mood disorder is a frequent complication of stroke. Comorbid
depressive and anxiety disorders are very common, indicating that it is advisable
to assess both disorders at the same time. The aim of the present study was to
examine the prevalence of post-stroke depression (PSD) and poststroke anxiety
(PSA) at baseline and to evaluate factors related to delayed PSD and PSA at 3
months after stroke onset. METHODS: This was a prospectively registered and
retrospectively analyzed study of patients with acute ischemic stroke between
January 2009 and March 2010. Patients included in this study were interviewed in
order to evaluate their Hospital Anxiety and Depression Scale (HADS) scores. In
this study, each depression and anxiety score was dichotomized into
'nondepressive and nonanxious' (HADS-D and HADS-A <=7) and 'depressive and
anxious' (HADS-D and HADS-A >7). Multiple logistic regression analysis was used
to evaluate the independent factors of depressive and anxious symptoms 3 months
after stroke onset. RESULTS: Of the 133 patients, 47.4% were 'depressive' and
56.4% were 'anxious' at baseline. The depressive and anxious groups had a
significantly higher frequency of severe white matter hyperintensity (WMH) than
the nondepressive and nonanxious groups (p < 0.05). The independent factors of
PSD and PSA at 3 months were deep white matter hyperintensities (DWMH) and
modified Rankin scale 0 to 1 at 3 months. CONCLUSION: In conclusions, the results
of our study demonstrated that delayed depression and anxiety after ischemic
stroke were related to the severity of DWMH and unfavorable outcomes at 3 months,
regardless of anti-anxiety treatment. Our results suggested that WMH might be
associated with pathomechanism of delayed depression and anxiety.
PMID- 22095211
TI - Exfoliation of copper hydroxysalt in water and the conversion of the exfoliated
layers to cupric and cuprous oxide nanoparticles.
AB - P-aminobenzoate-intercalated copper hydroxysalt was prepared by coprecipitation
at high pH (~12). As the pH was reduced to ~7 on washing with water, the
development of partial positive charge on the amine end of the intercalated anion
caused repulsion between the layers leading to delamination and colloidal
dispersion of monolayers of copper hydroxysalt in water. The dispersed copper
hydroxysalt monolayers were used as precursors for the synthesis of
copper(I)/(II) oxide nanoparticles at room temperature. While the hydroxysalt
layers yielded spindle-shaped CuO particles when left to stand, they formed
hollow spherical nanoparticles of Cu(2)O when treated with an alkaline solution
of ascorbic acid.
PMID- 22095213
TI - Impaired visual search in rats reveals cholinergic contributions to feature
binding in visuospatial attention.
AB - The visual search task established the feature integration theory of attention in
humans and measures visuospatial attentional contributions to feature binding. We
recently demonstrated that the neuromodulator acetylcholine (ACh), from the
nucleus basalis magnocellularis (NBM), supports the attentional processes
required for feature binding using a rat digging-based task. Additional research
has demonstrated cholinergic contributions from the NBM to visuospatial attention
in rats. Here, we combined these lines of evidence and employed visual search in
rats to examine whether cortical cholinergic input supports visuospatial
attention specifically for feature binding. We trained 18 male Long-Evans rats to
perform visual search using touch screen-equipped operant chambers. Sessions
comprised Feature Search (no feature binding required) and Conjunctive Search
(feature binding required) trials using multiple stimulus set sizes. Following
acquisition of visual search, 8 rats received bilateral NBM lesions using 192 IgG
saporin to selectively reduce cholinergic afferentation of the neocortex, which
we hypothesized would selectively disrupt the visuospatial attentional processes
needed for efficient conjunctive visual search. As expected, relative to sham
lesioned rats, ACh-NBM-lesioned rats took significantly longer to locate the
target stimulus on Conjunctive Search, but not Feature Search trials, thus
demonstrating that cholinergic contributions to visuospatial attention are
important for feature binding in rats.
PMID- 22095212
TI - Subventricular zone-derived neuroblasts use vasculature as a scaffold to migrate
radially to the cortex in neonatal mice.
AB - Neurons continue to be generated in the subventricular zone (SVZ) throughout
postnatal development and adulthood in rodents. Whereas in adults, virtually all
neuroblasts migrate tangentially to the olfactory bulb via the rostral migratory
stream (RMS), in neonates, a substantial fraction migrate radially through the
corpus callosum (CC) to the cortex. Mechanisms of radial cortical migration have
remained unknown. We investigated this by taking recourse to enhanced green
fluorescent protein (EGFP)-labeled neuroblasts in the CC and deep cortical layers
of neonatal mice and found that they are frequently located adjacent to
vasculature. Using time-lapse 2-photon microscopy in acute brain slices, we
demonstrate that EGFP-labeled neuroblasts migrate along blood vessels. Although
in close proximity to blood vessels, migrating neuroblasts are separated from
endothelial cells by 1-2 layers of astrocytic processes, as revealed by electron
microscopal studies of retrovirally labeled postnatally born cells. We propose
that 2 factors could contribute to the decline of radial migration to the cortex
during postnatal development, namely the establishment of a glial sheath
delineating the RMS and a gradual decrease in the density of blood vessels in the
CC. Together, our data provide evidence for a new mode of radial cortical
migration of SVZ-generated neurons involving vasculature and astrocytes.
PMID- 22095214
TI - Wnt signaling has opposing roles in the developing and the adult brain that are
modulated by Hipk1.
AB - The canonical Wnt/Wingless pathway is implicated in regulating cell proliferation
and cell differentiation of neural stem/progenitor cells. Depending on the
context, beta-Catenin, a key mediator of the Wnt signaling pathway, may regulate
either cell proliferation or differentiation. Here, we show that beta-Catenin
signaling regulates the differentiation of neural stem/progenitor cells in the
presence of the beta-Catenin interactor Homeodomain interacting protein kinase-1
gene (Hipk1). On one hand, Hipk1 is expressed at low levels during the entire
embryonic forebrain development, allowing beta-Catenin to foster proliferation
and to inhibit differentiation of neural stem/progenitor cells. On the other
hand, Hipk1 expression dramatically increases in neural stem/progenitor cells,
residing within the subventricular zone (SVZ), at the time when the canonical Wnt
signaling induces cell differentiation. Analysis of mouse brains electroporated
with Hipk1, and the active form of beta-Catenin reveals that coexpression of both
genes induces proliferating neural stem/progenitor cells to escape the cell
cycle. Moreover, in SVZ derive neurospheres cultures, the overexpression of both
genes increases the expression of the cell-cycle inhibitor P16Ink4. Therefore,
our data confirm that the beta-Catenin signaling plays a dual role in controlling
cell proliferation/differentiation in the brain and indicate that Hipk1 is the
crucial interactor able to revert the outcome of beta-Catenin signaling in neural
stem/progenitor cells of adult germinal niches.
PMID- 22095215
TI - Occipital cortical thickness predicts performance on pitch and musical tasks in
blind individuals.
AB - The behavioral and neurofunctional consequences of blindness often include
performance enhancements and recruitment of occipital regions for nonvisual
tasks. How the neuroanatomical changes resulting from this sensory loss relate to
these functional changes is, however, less clear. Previous studies using cortical
thickness (CT) measures have shown thicker occipital cortex in early-blind (EB)
individuals compared with sighted controls. We hypothesized that this finding
reflects the crossmodal plasticity often observed in blind individuals and thus
could reflect behavioral adaptations. To address this issue, CT measures in blind
(early and late) and sighted subjects were obtained along with several auditory
behavioral measures in an attempt to relate behavioral and neuroanatomical
changes. Group contrasts confirmed previous results in showing thicker occipital
cortex in the EB. Regression analyses between CT measures across the whole brain
of all blind individuals with the behavioral scores from 2 tasks in which EB
subjects were superior (pitch and melody discrimination) showed that CT of
occipital areas was directly related to behavioral enhancements. These findings
constitute a compelling demonstration that anatomical changes in occipital areas
are directly related to heightened behavioral abilities in the blind and hence
support the idea that these anatomical features reflect adaptive compensatory
plasticity.
PMID- 22095217
TI - A polymorphism at the miR-502 binding site in the 3'-untranslated region of the
histone methyltransferase SET8 is associated with hepatocellular carcinoma
outcome.
AB - MicroRNAs (miRNAs) can bind to the 3'-untranslated regions (UTRs) of messenger
RNAs, where they interfere with translation and thereby regulate cell
differentiation, apoptosis and tumorigenesis. Genetic polymorphisms in the 3'
UTRs targeted by miRNAs alter the strength of miRNA binding in a manner that
affects the behavior of individual miRNAs. The histone methyltransferase SET8 has
been reported to methylate TP53 and regulate genomic stability. We analyzed a
single-nucleotide polymorphism (rs16917496) within the miR-502 miRNA seed region
for the 3'-UTR of SET8 in Chinese patients with hepatocellular carcinoma (HCC).
The SET8 CC genotype was independently associated with longer postoperative
survival in patients with HCC by multivariate analysis (relative risk, 0.175; 95%
CI = 0.053-0.577; p = 0.004). The SET8 CC genotype was associated with reduced
SET8 protein levels based on the immunostaining of 51 HCC tissue samples. We also
found that the low SET8 levels were associated with longer HCC survival. Our data
suggest that SET8 modifies HCC outcome by altering its expression, which depends,
at least in part, on its binding affinity with miR-502. The analysis of genetic
polymorphisms in miRNA binding sites can help to identify patient subgroups that
are at high risk for poor disease outcomes.
PMID- 22095216
TI - Dissociation between verbal response initiation and suppression after prefrontal
lesions.
AB - Some of the most striking symptoms after prefrontal damage are reduction of
behavioral initiation and inability to suppress automatic behaviors. However, the
relation between these 2 symptoms and the location of the lesions that cause them
are not well understood. This study investigates the cerebral correlates of
initiation and suppression abilities assessed by the Hayling Sentence Completion
Test, using the human lesion approach. Forty-five patients with focal brain
lesions and 110 healthy matched controls were examined. We combined a classical
group approach with 2 voxel-based lesion methods. The results show several
critical prefrontal regions to Hayling Test performance, associated with either
common or differential impairment in "initiation" and "suppression" conditions. A
crucial role for medial rostral prefrontal cortex (BA 10) in the initiation
condition was shown by both group and lesion-mapping methods. A posterior
inferolateral lesion provoked both initiation and suppression slowness, although
to different degrees. An orbitoventral region was associated with errors in the
suppression condition. These findings are important for clinical practice since
they indicate that the brain regions required to perform a widely used and
sensitive neuropsychological test but also shed light on the regions crucial for
distinct components of adaptative behaviors, in particular, rostral prefrontal
cortex.
PMID- 22095218
TI - Long-Term Assessment of Asenapine vs. Olanzapine in Patients with Schizophrenia
or Schizoaffective Disorder.
PMID- 22095219
TI - Sensory neurons of the human brachial plexus: a quantitative study employing
optical fractionation and in vivo volumetric magnetic resonance imaging.
AB - BACKGROUND: Extensive neuron death following peripheral nerve trauma is
implicated in poor sensory recovery. Translational research for experimentally
proven neuroprotective drugs requires knowledge of the numbers and distribution
of sensory neurons in the human upper limb and a novel noninvasive clinical
measure of neuron loss. OBJECTIVE: To compare optical fractionation and
volumetric magnetic resonance imaging (MRI) of dorsal root ganglia (DRG) in
histological quantification and objective clinical assessment of human brachial
plexus sensory neurons. METHODS: Bilateral C5-T1 DRG were harvested from 5 human
cadavers for stereological volume measurement and sensory neuron counts (optical
fractionator). MRI scans were obtained from 14 healthy volunteers for volumetric
analysis of C5-T1 DRG. RESULTS: The brachial plexus is innervated by 425,409
(standard deviation 15,596) sensory neurons with a significant difference in
neuron counts and DRG volume between segmental levels (P < .001), with C7
ganglion containing the most. DRG volume correlated with neuron counts (r = 0.75,
P < .001). Vertebral artery pulsation hindered C5 and 6 imaging, yet high
resolution MRI of C7, C8, and T1 DRG permitted unbiased volume measurement. In
accord with histological analysis, MRI confirmed a significant difference between
C7, C8, and T1 DRG volume (P < .001), interindividual variability (CV = 15.3%),
and sex differences (P = .04). Slight right-left sided disparity in neuron counts
(2.5%, P = .04) was possibly related to hand dominance, but no significant volume
disparity existed. CONCLUSION: Neuron counts for the human brachial plexus are
presented. These correlate with histological DRG volumes and concur with
volumetric MRI results in human volunteers. Volumetric MRI of C7-T1 DRG is a
legitimate noninvasive proxy measure of sensory neurons for clinical study.
PMID- 22095220
TI - Barrier-limited multimodality closure for reconstruction of wide sellar openings.
AB - BACKGROUND: Obtaining a watertight reconstruction with a fat graft with wide
sellar exposures can be challenging, including the risk of reinstating mass
effect with the fat graft. The alternative, a vascularized pedicle nasoseptal
flap, may require several days to heal and still has a > 5% cerebrospinal fluid
(CSF) leak rate. OBJECTIVE: To assess the efficacy of a barrier-limited
multimodality (BLMM) closure, consisting of an autograft fat-based watertight
seal and limited by a membrane barrier, together with the vascularized nasoseptal
flap. METHODS: This is a retrospective review of 27 consecutive patients
undergoing endonasal cranial base surgery limited to the sellar-parasellar region
at the UCLA Medical Center who experienced an intraoperative CSF leak that was
repaired with the BLMM technique. The results of 43 prior case-controlled
reconstructions using a nasoseptal flap, without the full BLMM technique, were
analyzed as a comparison group. RESULTS: There were no postoperative CSF leaks in
the patients reconstructed with the BLMM closure technique. The CSF leak rate for
the comparison group receiving nasoseptal flaps was 19%. CONCLUSION: A BLMM
closure may further decrease the incidence of postoperative CSF leaks compared
with predominant reliance on a nasoseptal flap. The novel membrane barrier allows
a watertight inner closure by preventing herniation of the fat autograft into the
resection cavity. An outer-layer nasoseptal flap provides a living barrier for
optimal long-term defense.
PMID- 22095221
TI - Long-term clinical and angiographic results of Neuroform stent-assisted coil
embolization in wide-necked intracranial aneurysms.
AB - BACKGROUND: Neuroform stent-assisted coil embolization facilitates the
endovascular treatment of wide-necked intracranial aneurysms. However, the safety
and efficacy of its long-term use have not been fully elucidated. OBJECTIVE: To
retrospectively examine the long-term results of Neuroform stent usage in
conjunction with coil embolization in wide-necked intracranial aneurysms.
METHODS: Between November 2002 and December 2010, 79 patients harboring wide
necked intracranial aneurysms were treated with use of the Neuroform stent. The
stenting procedure failed in 2 patients. Therefore, 77 patients harboring 79
intracranial aneurysms were included for analysis. Patient and aneurysm
characteristics, progression of aneurysm occlusion, and occurrence of
complications were analyzed. Follow-up imaging included digital subtraction
angiography (DSA) or magnetic resonance angiography (MRA). Kaplan-Meier analysis,
as well as univariate analysis were performed to determine the progression of
aneurysm occlusion and to examine the predictive factors for complete aneurysm
occlusion, respectively. RESULTS: Overall, complete aneurysm occlusion was
observed in 42.4% of the cases immediately after treatment and progressed to
96.5% at 7-year follow-up. The mean angiographic follow-up time was 25.8 months
(range, 0-84 months). Eleven aneurysms (14%) were re-treated. Sixty-eight
patients (88.3%) had favorable clinical outcome with a modified Rankin Scale
(mRS) <= 1, 3 patients (3.9%) had an mRS of 2, and 5 patients (6.5%) did not have
a clinical follow-up. The mean clinical follow-up time was 45.4 months (range, 3
92 months). One patient (1.3%) died of a procedure-related hemorrhage.
CONCLUSION: Neuroform stent-assisted coil embolization of wide-necked
intracranial aneurysms prevents hemorrhage and provides a high rate of aneurysm
occlusion at long-term follow-up.
PMID- 22095222
TI - PTEN and PIK3CA gene copy numbers and poor outcomes in non-small cell lung cancer
patients with gefitinib therapy.
AB - METHODS: Fluorescent in situ hybridisation analyses of PTEN, PIK3CA, EGFR and
CEN7 were performed on tumour specimens from patients treated on the expanded
access gefitinib trial. Progression-free survival (PFS) and overall survival (OS)
were correlated with outcomes in all patients and EGFR wild-type patients.
RESULTS: Progression-free survival (hazard ratio=2.54, P<0.001) and OS (hazard
ratio=4.04, P<0.001) were significantly shorter in patients whose tumours had all
of the following molecular patterns: CEN7 <4 copies per cell, PTEN loss (<2
copies in at least 20% of cells), and PIK3CA gain (>2 copies in at least 40% of
cells) both in all and EGFR wild-type only patients. CONCLUSION: The combination
of low CEN7 copy number, PTEN loss, and PI3KCA gain may be useful for identifying
NSCLC patients unlikely to benefit from treatment with EGFR (TKIs), specifically
in wild-type EGFR cases.
PMID- 22095223
TI - Cancer risk by combined levels of YKL-40 and C-reactive protein in the general
population.
AB - BACKGROUND: YKL-40 and C-reactive protein (CRP) are biomarkers that may reflect
cancer-related subclinical inflammation. We assessed elevated YKL-40 and CRP
levels as combined risk predictors for cancer. METHODS: We measured plasma YKL-40
and CRP at baseline in 8706 individuals from the Danish general population.
RESULTS: Hazard ratio (HR) of gastrointestinal cancer for a doubling of YKL-40
levels was 1.37 (95% CI: 1.17-1.61) and indifferent to adjustment for CRP levels.
Hazard ratio of lung cancer for a doubling of CRP levels was 1.35 (1.17-1.56) and
indifferent to adjustment for YKL-40 levels. Compared to individuals with both
low CRP (<1.7 mg l(-1)) and YKL-40 (<154 MUg l(-1)), individuals with high YKL-40
but low CRP had an HR of gastrointestinal cancer of 3.36 (1.70-6.64), whereas
individuals with high CRP but low YKL-40 had an HR of lung cancer of 2.19 (1.24
3.87). The area under the receiver operating characteristic (ROC) curve was 0.68
for the ability of YKL-40 to predict gastrointestinal cancer and 0.67 for the
ability of CRP to predict lung cancer. CONCLUSION: Elevated YKL-40 levels are
associated with increased risk of gastrointestinal cancer, independently of CRP
levels, whereas elevated CRP levels are associated with increased risk of lung
cancer, independently of YKL-40 levels.
PMID- 22095224
TI - Dissecting the expression of EEF1A1/2 genes in human prostate cancer cells: the
potential of EEF1A2 as a hallmark for prostate transformation and progression.
AB - BACKGROUND: In prostate adenocarcinoma, the dissection of the expression
behaviour of the eukaryotic elongation factors (eEF1A1/2) has not yet fully
elucidated. METHODS: The EEF1A1/A2 expressions were investigated by real-time
PCR, western blotting (cytoplasmic and cytoskeletal/nuclear-enriched fractions)
and immunofluorescence in the androgen-responsive LNCaP and the non-responsive DU
145 and PC-3 cells, displaying a low, moderate and high aggressive phenotype,
respectively. Targeted experiments were also conducted in the androgen-responsive
22Rv1, a cell line marking the progression towards androgen-refractory tumour.
The non-tumourigenic prostate PZHPV-7 cell line was the control. RESULTS:
Compared with PZHPV-7, cancer cells showed no major variations in EEF1A1 mRNA;
eEF1A1 protein increased only in cytoskeletal/nuclear fraction. On the contrary,
a significant rise of EEF1A2 mRNA and protein were found, with the highest levels
detected in LNCaP. Eukaryotic elongation factor 1A2 immunostaining confirmed the
western blotting results. Pilot evaluation in archive prostate tissues showed the
presence of EEF1A2 mRNA in near all neoplastic and perineoplastic but not in
normal samples or in benign adenoma; in contrast, EEF1A1 mRNA was everywhere
detectable. CONCLUSION: Eukaryotic elongation factor 1A2 switch-on, observed in
cultured tumour prostate cells and in human prostate tumour samples, may
represent a feature of prostate cancer; in contrast, a minor involvement is
assigned to EEF1A1. These observations suggest to consider EEF1A2 as a marker for
prostate cell transformation and/or possibly as a hallmark of cancer progression.
PMID- 22095225
TI - Expression of Y-box-binding protein YB-1 allows stratification into long- and
short-term survivors of head and neck cancer patients.
AB - BACKGROUND: Histology-based classifications and clinical parameters of head and
neck squamous cell carcinoma (HNSCC) are limited in their clinical capacity to
provide information on prognosis and treatment choice of HNSCC. The primary aim
of this study was to analyse Y-box-binding protein-1 (YB-1) protein expression in
different grading groups of HNSCC patients, and to correlate these findings with
the disease-specific survival (DSS). METHODS: We investigated the expression and
cellular localisation of the oncogenic transcription/translation factor YB-1 by
immunohistochemistry on tissue micro arrays in a total of 365 HNSCC specimens and
correlated expression data with clinico-pathological parameters including DSS.
RESULTS: Compared with control tissue from healthy individuals, a significantly
(P<0.01) increased YB-1 protein expression was observed in high-grade HNSCC
patients. By univariate survival data analysis, HNSCC patients with elevated YB-1
protein expression had a significantly (P<0.01) decreased DSS. By multivariate
Cox regression analysis, high YB-1 expression and nuclear localisation retained
its significance as a statistically independent (P<0.002) prognostic marker for
DSS. Within grade 2 group of HNSCC patients, a subgroup defined by high nuclear
and cytoplasmic YB-1 levels (co-expression pattern) in the cells of the tumour
invasion front had a significantly poorer 5-year DSS rate of only 38% compared
with overall 55% for grade 2 patients. Vice versa, the DSS rate was markedly
increased to 74% for grade 2 cancer patients with low YB-1 protein expression at
the same localisation. CONCLUSION: Our findings point to the fact that YB-1
expression in combination with histological classification in a double
stratification strategy is superior to classical grading in the prediction of
tumour progression in HNSCC.
PMID- 22095226
TI - Distinct association between aberrant methylation of Wnt inhibitors and genetic
alterations in acute myeloid leukaemia.
AB - BACKGROUND: Aberrant activation of Wnt signalling through hypermethylation of Wnt
inhibitor genes is involved in several human malignancies, including acute
myeloid leukaemia (AML). It remains unclear whether hypermethylation of Wnt
inhibitors is associated with molecular gene mutations in the development of AML.
METHODS: We investigated the association of the promoter hypermethylation of six
Wnt inhibitors (Wif-1, SFRP1, SFRR2, SFRP4, SFRP5, and DKK1) with gene
aberrations in the leukaemogenesis of 269 AML patients. RESULTS: In total, 166
patients (61.7%) had hypermethylation of at least one Wnt inhibitor. The majority
(68.5%) of patients with Wnt inhibitor hypermethylation had concurrent Class II
gene mutations that affect transcription factors or cofactors. There was a close
association of Wif-1 hypermethylation with t(15;17) (P=0.0005) and CEBPA mutation
(P<0.0001), DKK1 hypermethylation with t(8;21) (P<0.0001) and ASXL1 mutation
(P=0.0078), SFRP-1 hypermethylation with t(8;21) (P<0.0001), SFRP-2
hypermethylation with AML1/RUNX1 mutation (P=0.0012), and SFRP-5 hypermethylation
with MLL/PTD (P=0.0505). On the other side, hypermethylation of Wnt inhibitors
was always negatively associated with NPM1 mutation and FLT3/ITD. CONCLUSION:
There was distinct association between hypermethylation of individual Wnt
inhibitors and specific gene aberrations, especially Class II mutations. The Wnt
inhibitor hypermethylation might interact with genetic alterations in the
leukaemogenesis.
PMID- 22095227
TI - Potential responders to FOLFOX therapy for colorectal cancer by Random Forests
analysis.
AB - BACKGROUND: Molecular characterisation using gene-expression profiling will
undoubtedly improve the prediction of treatment responses, and ultimately, the
clinical outcome of cancer patients. METHODS: To establish the procedures to
identify responders to FOLFOX therapy, 83 colorectal cancer (CRC) patients
including 42 responders and 41 non-responders were divided into training (54
patients) and test (29 patients) sets. Using Random Forests (RF) algorithm in the
training set, predictor genes for FOLFOX therapy were identified, which were
applied to test samples and sensitivity, specificity, and out-of-bag
classification accuracy were calculated. RESULTS: In the training set, 22 of 27
responders (81.4% sensitivity) and 23 of 27 non-responders (85.1% specificity)
were correctly classified. To improve the prediction model, we removed the
outliers determined by RF, and the model could correctly classify 21 of 23
responders (91.3%) and 22 of 23 non-responders (95.6%) in the training set, and
80.0% sensitivity and 92.8% specificity, with an accuracy of 69.2% in 29
independent test samples. CONCLUSION: Random Forests on gene-expression data for
CRC patients was effectively able to stratify responders to FOLFOX therapy with
high accuracy, and use of pharmacogenomics in anticancer therapy is the first
step in planning personalised therapy.
PMID- 22095228
TI - Severe clinical toxicities are correlated with survival in patients with advanced
renal cell carcinoma treated with sunitinib and sorafenib.
AB - BACKGROUND: In advanced renal cell carcinoma (RCC), sunitinib and sorafenib
tyrosine kinase inhibitors (TKI) are associated with several clinical side
effects, with no definitive established data concerning their clinical impact.
METHODS: From June 2006 to June 2008, main clinical TKI-induced toxicities,
including digestive, cardiac, dermatologic and asthenia were retrospectively
collected using the NCI-CTC version 3.0 in patients treated with TKI for an RCC.
RESULTS: The median overall survival was significantly improved in patients with
grade 3-4 clinical toxicities (36 vs 12 months, P=0.009). In multivariate
analysis, the Memorial Sloan-Kettering Cancer Center risk groups (good vs
intermediate or poor) and clinical toxicities (grade 3-4 vs 1-2) were identified
as independent prognostic factors of better survival (P=0.002 and P=0.02,
respectively). The Charlson comorbidity index score (>7 vs <7) was identified as
independent predictive factor of severe clinical TKI-induced toxicities (P=0.02).
CONCLUSION: In this unselected patients of RCC, clinical TKI-related severe
toxicities were more frequent in patients with comorbidities and were associated
with better survival.
PMID- 22095229
TI - Correlates of anti-EBV EBNA1 IgA positivity among unaffected relatives from
nasopharyngeal carcinoma multiplex families.
AB - BACKGROUND: To determine whether non-viral nasopharyngeal carcinoma (NPC) risk
factors might be associated with (and mediated through) Epstein-Barr virus (EBV)
serological responses linked to NPC risk, we evaluated predictors of risk of anti
EBNA1 IgA seropositivity and other markers among unaffected relatives from a
large NPC family study in Taiwan. METHODS: Multivariate logistic regression
conditioned on family was used to examine the associations between
sociodemographic, dietary, lifestyle, and occupational variables and risk of anti
EBV EBNA1 IgA positivity, anti-VCA IgA, and anti-DNase positivity. RESULTS: Among
2393 unaffected relatives from 319 multiplex families, 1180 (49.3%) were anti-EBV
EBNA1 IgA seropositive. None of the associations with anti-EBNA1 IgA were
statistically significant, except for being 31-50 years of age (vs <30, adjusted
ORs 0.51-0.57). For one or more EBV serological markers, there were suggestive
associations for older age, GuangDong firm salted fish, betel use, current
alcohol use, and male gender. CONCLUSION: Overall, we found little evidence to
suggest that non-viral NPC risk factors significantly alter EBV serological
patterns, suggesting that non-viral NPC risk factors act through pathways
independent of EBV serological responses.
PMID- 22095230
TI - High basal NF-kappaB activity in nonpigmented melanoma cells is associated with
an enhanced sensitivity to vitamin D3 derivatives.
AB - BACKGROUND: Melanoma is highly resistant to current modalities of therapy, with
the extent of pigmentation playing an important role in therapeutic resistance.
Nuclear factor-kappaB (NF-kappaB) is constitutively activated in melanoma and can
serve as a molecular target for cancer therapy and steroid/secosteroid action.
METHODS: Cultured melanoma cells were used for mechanistic studies on NF-kappaB
activity, utilising immunofluorescence, western blotting, EMSA, ELISA, gene
reporter, and estimated DNA synthesis assays. Formalin-fixed, paraffin-embedded
specimens from melanoma patients were used for immunocytochemical analysis of NF
kappaB activity in situ. RESULTS: Novel 20-hydroxyvitamin (20(OH)D(3)) and
classical 1alpha,25-dihydroxyvitamin D(3) (1,25(OH)(2)D(3)) secosteroids
inhibited melanoma cell proliferation. Active forms of vitamin D were found to
inhibit NF-kappaB activity in nonpigmented cells, while having no effect on
pigmented cells. Treatment of nonpigmented cells with vitamin D3 derivatives
inhibited NF-kappaB DNA binding and NF-kappaB-dependent reporter assays, as well
as inhibited the nuclear translocation of the p65 NF-kappaB subunit and its
accumulation in the cytoplasm. Moreover, analysis of biopsies of melanoma
patients showed that nonpigmented and slightly pigmented melanomas displayed
higher nuclear NF-kappaB p65 expression than highly pigmented melanomas.
CONCLUSION: Classical 1,25(OH)(2)D(3) and novel 20(OH)D(3) hydroxyderivatives of
vitamin D3 can target NF-kappaB and regulate melanoma progression in nonpigmented
melanoma cells. Melanin pigmentation is associated with the resistance of
melanomas to 20(OH)D(3) and 1,25(OH)(2)D(3) treatment.
PMID- 22095231
TI - PET imaging of patients with non-small cell lung cancer employing an EGF receptor
targeting drug as tracer.
AB - BACKGROUND: We have previously developed (11)C-erlotinib as a new positron
emission tomography (PET) tracer and shown that it accumulates in epidermal
growth factor receptor (EGFR)-positive lung cancer xenografts in mice. Here, we
present a study in patients with non-small cell lung cancer (NSCLC) investigating
the feasibility of (11)C-erlotinib PET as a potential method for the
identification of lung tumours accumulating erlotinib. METHODS: Thirteen patients
with NSCLC destined for erlotinib treatment were examined by contrast-enhanced
computed tomography (CT), (11)C-erlotinib PET/low-dose CT and (18)F-fluoro-2
deoxy-D-glucose ((18)F-FDG) PET/low-dose CT before start of the erlotinib
treatment. After 12 weeks treatment, they were examined by (18)F-FDG PET/contrast
enhanced CT for the assessment of clinical response. RESULTS: Of the 13 patients
included, 4 accumulated (11)C-erlotinib in one or more of their lung tumours or
lymph-node metastases. Moreover, (11)C-erlotinib PET/CT identified lesions that
were not visible on (18)F-FDG PET/CT. Of the four patients with accumulation of
(11)C-erlotinib, one died before follow-up, whereas the other three showed a
positive response to erlotinib treatment. Three of the nine patients with no
accumulation died before follow-up, four showed progressive disease while two had
stable disease after 12 weeks of treatment. CONCLUSION: Our data show a potential
for (11)C-erlotinib PET/CT for visualizing NSCLC lung tumours, including lymph
nodes not identified by (18)F-FDG PET/CT. Large clinical studies are now needed
to explore to which extent pre-treatment (11)C-erlotinib PET/CT can predict
erlotinib treatment response.
PMID- 22095232
TI - Disease stage predicts post-diagnosis anxiety and depression only in some types
of cancer.
AB - BACKGROUND: We hypothesised that patients with advanced disease or a cancer type
that has a poor prognosis may be more likely to report anxiety and depressive
symptoms after diagnosis; younger age and female gender may moderate these
effects. METHODS: Patients (n=3850) were consecutively assessed with PSSCAN, a
standardised, validated tool, at two large cancer centres between 2004 and 2009.
RESULTS: Female patients reported more anxiety and depressive symptoms (P=0.003
to P<0.001) compared with men and a healthy comparison group. Older age was
associated with fewer anxiety (P=0.033 to P<0.001) and fewer depressive symptoms
(P<0.001), but this was not true for lung cancer. Presence of metastases was
associated with more anxiety symptoms in patients with gastrointestinal (P=0.044;
R(2)Delta=0.001), lung (P=0.011; R(2)Delta=0.016), and prostate (P=0.032;
R(2)Delta=0.008) cancer, but this was not true for breast cancer. Furthermore,
early disease stage was associated with fewer depressive symptoms among older
prostate cancer patients (P=0.021; R(2)Delta=0.008). Men with early lung cancer
reported fewer anxiety (P=0.020; R(2)Delta=0.013) and depressive (P=0.017;
R(2)Delta=0.016) symptoms than men with advanced disease or women. CONCLUSION: As
hypothesised, disease stage was directly associated with emotional distress,
except for patients with breast cancer. Furthermore, age and gender moderated
some of these effects.
PMID- 22095233
TI - Expression of p16INK4a, alone or combined with p53, is predictive of better
prognosis in colorectal adenocarcinoma in Tunisian patients.
AB - INTRODUCTION: Alterations in different signaling pathways are involved in
initiation and progression of colorectal carcinoma, such as those related to p53,
MLH1, p16INK4a, Kras, etc. AIM: This study was conducted with the aim to
investigate the expression of p16INK4a and p53 in colorectal cancer (CRC) and
evaluated their correlation with major clinicopathologic features and patients'
survival. MATERIALS AND METHODS: The expression of p16INK4a and p53 were analyzed
by immunohistochemistry on 70 paraffin specimens of CRC. RESULTS: Positive
immunostaining for p16INK4a and p53 was observed in 27 (38.6%) and 53 (80%)
cases, respectively. Significant correlation between loss of p16INK4a expression
and tumor size was found (P=0.008), whereas overexpression of p16INK4a correlated
with favorable prognosis parameters, such as absence of lymph node metastasis
(P=0.029) and early stage of CRC (P=0.027). Furthermore, p53 overexpression
significantly correlated with distal tumor location (P=0.022) and was related to
a better overall survival in the group of patients with distal colon carcinomas
(P=0.002). Patients with p16INK4a-positive tumors had a significant longer
overall survival time than patients with p16INK4a-negative carcinomas (P=0.033).
In addition, Cox regression model showed that overexpression of p16INK4a is an
independent factor for prognosis with depth of invasion, p53 accumulation, and
coincident abnormal expression of p16INK4a or p53. CONCLUSION: Our data suggest
that the assessment of both p53 and p16INK4a expression might be helpful in
predicting prognosis in patients with colorectal cancer.
PMID- 22095234
TI - Early insulin therapy prevents beta cell loss in a mouse model for permanent
neonatal diabetes (Munich Ins2(C95S)).
AB - AIMS: Heterozygous male Munich Ins2(C95S) mutant mice, a model for permanent
neonatal diabetes mellitus, demonstrate a progressive diabetic phenotype with
severe loss of functional beta cell mass. The aim of this study was to
investigate the influence of early insulin treatment on glucose homeostasis and
beta cell destruction in male Munich Ins2(C95S) mutants. METHODS: One group of
male Ins2(C95S) mutants was treated with subcutaneous insulin pellets, as soon as
blood glucose levels began to rise; placebo-treated mutants and wild-type mice
served as controls. An additional group of mutant mice received a sodium
dependent glucose transporter 2 (SGLT2) inhibitor (AVE2268) via rodent chow.
RESULTS: Insulin treatment normalised blood glucose concentrations, improved oral
glucose tolerance, preserved insulin sensitivity and inhibited oxidative stress
of Munich Ins2(C95S) mutant mice. Pancreatic C-peptide content, as well as total
beta cell and isolated beta cell volumes, of insulin-treated mutant mice were
higher than those of placebo-treated mutants. In addition, alpha cell dysfunction
and hyperplasia of non-beta cells were completely normalised in insulin-treated
mutant mice. Treatment with the SGLT2 inhibitor lowered blood glucose, improved
glucose tolerance and normalised insulin sensitivity as well as oxidative stress
of Ins2(C95S) mutants. The abundance of the endoplasmic reticulum (ER) stress
markers binding Ig protein (BiP) and phosphorylated eukaryotic translation
initiation factor 2 alpha (P-eIF2alpha) was significantly increased in the islets
of mutants, before onset of hyperglycaemia, vs wild-type mice. CONCLUSIONS: We
conclude that early insulin treatment protects Munich Ins2(C95S) mutant mice from
insulin resistance, alpha cell hyperfunction, beta cell loss and hyperplasia of
non-beta cells, some well-known features of human diabetes mellitus. Therefore,
insulin treatment may be considered early for human patients harbouring INS
mutations.
PMID- 22095235
TI - Arctigenin, a natural compound, activates AMP-activated protein kinase via
inhibition of mitochondria complex I and ameliorates metabolic disorders in ob/ob
mice.
AB - AIMS/HYPOTHESIS: Arctigenin is a natural compound that had never been previously
demonstrated to have a glucose-lowering effect. Here it was found to activate AMP
activated protein kinase (AMPK), and the mechanism by which this occurred, as
well as the effects on glucose and lipid metabolism were investigated. METHODS: 2
Deoxyglucose uptake and AMPK phosphorylation were examined in L6 myotubes and
isolated skeletal muscle. Gluconeogenesis and lipid synthesis were evaluated in
rat primary hepatocytes. The acute and chronic effects of arctigenin on metabolic
abnormalities were observed in C57BL/6J and ob/ob mice. Changes in mitochondrial
membrane potential were measured using the J-aggregate-forming dye, JC-1.
Analysis of respiration of L6 myotubes or isolated mitochondria was conducted in
a channel oxygen system. RESULTS: Arctigenin increased AMPK phosphorylation and
stimulated glucose uptake in L6 myotubes and isolated skeletal muscles. In
primary hepatocytes, it decreased gluconeogenesis and lipid synthesis. The
enhancement of glucose uptake and suppression of hepatic gluconeogenesis and
lipid synthesis by arctigenin were prevented by blockade of AMPK activation. The
respiration of L6 myotubes or isolated mitochondria was inhibited by arctigenin
with a specific effect on respiratory complex I. A single oral dose of arctigenin
reduced gluconeogenesis in C57BL/6J mice. Chronic oral administration of
arctigenin lowered blood glucose and improved lipid metabolism in ob/ob mice.
CONCLUSIONS/INTERPRETATION: This study demonstrates a new role for arctigenin as
a potent indirect activator of AMPK via inhibition of respiratory complex I, with
beneficial effects on metabolic disorders in ob/ob mice. This highlights the
potential value of arctigenin as a possible treatment of type 2 diabetes.
PMID- 22095236
TI - Elevated levels of renal and circulating Nop-7-associated 2 (NSA2) in rat and
mouse models of diabetes, in mesangial cells in vitro and in patients with
diabetic nephropathy.
AB - AIMS/HYPOTHESIS: We previously found that Nop-7-associated 2 (NSA2), which is
involved in ribosomal biogenesis in yeast and is a putative cell cycle regulator
in mammalian cells, is elevated in the kidney of Goto-Kakizaki (GK) rat, a
spontaneous model of type 2 diabetes. Here we tested the hypothesis that elevated
NSA2 is involved in diabetic nephropathy (DN). METHODS: We examined Nsa2/NSA2
expression and NSA2 production in two rodent models of diabetes, in cultured
renal glomerular cells, and in diabetic patients with and without nephropathy.
Patients with nephropathy who had a history of albuminuria were further divided
as responders (DN-NA; DN patients normoalbuminuric at the time of this study with
a history of albuminuria) and non-responders (DN-A; diabetic nephropathy patients
with albuminuria) to current treatment for albuminuria. RESULTS: Renal Nsa2/NSA2
mRNA increased in tandem with hyperglycaemia in GK rats, in a streptozotocin
induced mouse model of diabetes, and in human mesangial cells (HMCs) grown in
high glucose (p < 0.05). In the mouse model of diabetes, hyperglycaemia resulted
in increased Nsa2 expression and NSA2 levels in tubular and glomerular cells and
in circulating cells; this increase was normalised by diabetes treatment.
Circulating NSA2 mRNA levels were elevated in patients with DN independently of
body weight (BMI), glycaemic (HbA(1c)) and haemodynamic (blood pressure) control,
and showed an inverse correlation with renal function (GFR, p < 0.05). NSA2
levels were the only variable that showed a significant difference between
patients with albuminuria (DN-A) compared with non-albuminuric patients (DN-NA)
and diabetic controls (p < 0.05), this increase being independent of all other
variables, including GFR. CONCLUSION: We show for the first time that renal and
circulating NSA2/NSA2 levels are increased in hyperglycaemia in experimental
models of diabetes, and that circulating NSA2 is elevated in DN patients with
albuminuria. Further studies will be required to assess whether NSA2 plays a role
in the pathogenesis of DN.
PMID- 22095238
TI - An important minority of prediabetic first-degree relatives of type 1 diabetic
patients derives from seroconversion to persistent autoantibody positivity after
10 years of age.
AB - AIMS/HYPOTHESIS: The appearance of autoantibodies (Abs) before diabetes onset has
mainly been studied in young children. However, most patients develop type 1
diabetes after the age of 15 years. In first-degree relatives aged under 40
years, we investigated the frequency of seroconversion to (persistent) Ab
positivity, progression to diabetes and baseline characteristics of
seroconverters according to age. METHODS: Abs against insulin (IAA), glutamate
decarboxylase (GADA), insulinoma-associated protein 2 (IA-2A) and zinc
transporter 8 (ZnT8A) were measured during follow-up of 7,170 first-degree
relatives. RESULTS: We identified 379 (5.3%) relatives with positivity for IAA,
GADA, IA-2A and/or ZnT8A (Ab(+)) at first sampling and 224 (3.1%) at a later time
point. Most seroconversions occurred after the age of 10 years (63%). During
follow-up, Abs persisted more often in relatives initially Ab(+) (76%) than in
seroconverters (53%; p < 0.001). In both groups diabetes developed at a similar
pace and almost exclusively with Ab persistence (136 of 139 prediabetic
individuals). For both groups, progression was more rapid if Abs appeared before
the age of 10 years. Baseline characteristics at seroconversion did not vary
significantly according to age. CONCLUSIONS/INTERPRETATION: Seroconversion to
(persistent) Ab(+) occurs regardless of age. Although the progression rate to
diabetes is higher under age 10 years, later seroconverters (up to age 40 years)
have similar characteristics when compared with age-matched initially Ab(+)
relatives and generate an important minority of prediabetic relatives, warranting
their identification and, eventually, enrolment in prevention trials.
PMID- 22095239
TI - Common variation in oxidative phosphorylation genes is not a major cause of
insulin resistance or type 2 diabetes.
AB - AIMS/HYPOTHESIS: There is substantial evidence that mitochondrial dysfunction is
linked to insulin resistance and is present in several tissues relevant to the
pathogenesis of type 2 diabetes. Here, we examined whether common variation in
genes involved in oxidative phosphorylation (OxPhos) contributes to type 2
diabetes susceptibility or influences diabetes-related metabolic traits. METHODS:
OxPhos gene variants (n = 10) that had been nominally associated (p < 0.01) with
type 2 diabetes in a recent genome-wide meta-analysis (n = 10,108) were selected
for follow-up in 3,599 type 2 diabetic and 4,956 glucose-tolerant Danish
individuals. A meta-analysis of these variants was performed in 11,729 type 2
diabetic patients and 43,943 non-diabetic individuals. The impact on OGTT-derived
metabolic traits was evaluated in 5,869 treatment-naive individuals from the
Danish Inter99 study. RESULTS: The minor alleles of COX10 rs9915302 (p = 0.02)
and COX5B rs1466100 (p = 0.005) showed nominal association with type 2 diabetes
in our Danish cohort. However, in the meta-analysis, none of the investigated
variants showed a robust association with type 2 diabetes after correction for
multiple testing. Among the alleles potentially associated with type 2 diabetes,
none negatively influenced surrogate markers of insulin sensitivity in non
diabetic participants, while the minor alleles of UQCRC1 rs2228561 and COX10
rs10521253 showed a weak (p < 0.01 to p < 0.05) negative influence on indices of
glucose-stimulated insulin secretion. CONCLUSIONS/INTERPRETATION: We cannot rule
out the possibility that common variants in or near OxPhos genes may influence
beta cell function in non-diabetic individuals. However, our quantitative trait
studies and a sufficiently large meta-analysis indicate that common variation in
proximity to the examined OxPhos genes is not a major cause of insulin resistance
or type 2 diabetes.
PMID- 22095241
TI - Sucrose phosphate phosphatase in the green alga Klebsormidium flaccidum
(Streptophyta) lacks an extensive C-terminal domain and differs from that of land
plants.
AB - Previously, it was reported that like land plants, the green alga Klebsormidium
flaccidum (Streptophyta) accumulates sucrose during cold acclimation (Nagao et
al. Plant Cell Environ 31:872-885, 2008), suggesting that synthesis of sucrose
could enhance the freezing tolerance of this alga. Because sucrose phosphate
phosphatase (SPP; EC 3.1.3.24) is a key enzyme in the sucrose synthesis pathway
in plants, we analyzed the SPP gene in K. flaccidum (KfSPP, GenBank accession
number AB669024) to clarify its role in sucrose accumulation. As determined from
its deduced amino acid sequence, KfSPP contains the N-terminal domain that is
characteristic of the L-2-haloacid-dehalogenase family of phosphatases/hydrolases
(the HAD phosphatase domain). However, it lacks the extensive C-terminal domain
found in SPPs of land plants. Database searches revealed that the SPPs in
cyanobacteria also lack the C-terminal domain. In addition, the green alga
Coccomyxa (Chlorophyta) and K. flaccidum, which are closely related to land
plants, have cyanobacterial-type SPPs, while Chlorella (Chlorophyta) has a land
plant-type SPP. These results demonstrate that even K. flaccidum (Streptophyta),
as a recent ancestor of land plants, has the cyanobacterial-type SPP lacking the
C-terminal domain. Because SPP and sucrose phosphate synthase (SPS) catalyze
sequential reactions in sucrose synthesis in green plant cells and the lack of
the C-terminal domain in KfSPP is predicted to decrease its activity, the
interaction between decreased KfSPP activity and SPS activity may alter sucrose
synthesis during cold acclimation in K. flaccidum.
PMID- 22095243
TI - A kinetics and mechanistic study on the role of the structural rigidity of the
linker on the substitution reactions of chelated dinuclear Pt(II) complexes.
AB - Substitution reactions of platinum complexes bearing cyclohexylamine/diamine
moieties viz., [Pt(H(2)O)(N,N-bis(2
pyridylmethyl)cyclohexylamine)](CF(3)SO(3))(2), bpcHna; [{Pt(H(2)O)}(2)(N,N,N',N'
tetrakis(2-pyridylmethyl)-trans-1,4-cyclohexyldiamine)](CF(3)SO(3))(4), cHn and
[{Pt(H(2)O)}(2)(N,N,N',N'-tetrakis(2-pyridylmethyl)-4,4'
dicyclohexylmethanediamine)](CF(3)SO(3))(4), dcHnm and phenylamine/diamine
moieties viz., ([Pt(H(2)O)N,N-bis(2-pyridylmethyl)phenylamine)](CF(3)SO(3))(2),
bpPha; [{Pt(H(2)O)}(2)(N,N,N',N'-tetrakis(2-pyridylmethyl)-1,3
phenyldiamine)](CF(3)SO(3))(4), mPh; [{Pt(H(2)O)}(2)(N,N,N',N'-tetrakis(2
pyridylmethyl)-1,4-phenyldiamine)](CF(3)SO(3))(4), pPh and
[{Pt(H(2)O)}(2)(N,N,N',N'-tetrakis(2-pyridylmethyl)-4,4'
diphenylmethanediamine)](CF(3)SO(3))(4)), dPhm with thiourea nucleophiles were
studied in acidified 0.01 M LiCF(3)SO(3) aqueous medium under pseudo-first-order
conditions using stopped-flow and UV-visible spectrophotometric techniques. The
rate of substitution follows a similar trend in the two sets of complexes and
decreases in the order: bpcHna > dcHnm > cHn and bpPha > dPhm ~ pPh ~ mPh),
respectively. The result of this study has shown that the rigidity and/or the
planarity of a diamine bridge linking the two (2-pyridylmethyl)amine-chelated
Pt(II) centres, influences the reactivity of the metal centres by protracting
similar symmetry elements within the complexes, which determines the amount of
steric influences felt on the coordination square-plane. Hence, the order of
reactivity is controlled by both the steric hindrance and the magnitude of the
trans sigma-inductive effect originating from the linker towards the metal
centre. These two factors also impact on the acidity of the complexes. The high
negative entropies and low positive enthalpies support an associative mode of
activation.
PMID- 22095242
TI - Heparin inhibits the interaction of DNA topoisomerase I/anti-topoisomerase I
immune complexes with heparan sulfate on dermal fibroblasts.
AB - OBJECTIVE: Previous studies have demonstrated that the systemic sclerosis (SSc)
associated autoantigen DNA topoisomerase I (topo I) binds specifically to the
surface of fibroblasts when released in the extracellular environment and
recruits anti-topo I autoantibodies, which subsequently leads to the adhesion and
activation of monocytes. This study aimed to characterize the molecular
interactions of topo I with fibroblast surfaces in order to elucidate the
pathogenic role of topo I/anti-topo I immune complexes (ICs) in SSc. METHODS:
Topo I directly coupled to fluorochromes was used to follow its binding to
fibroblast surfaces by flow cytometry and fluorescence microscopy. Purified IgG
from normal subjects or SSc patients was added with topo I to the cells;
unfractionated heparin (UFH) and low molecular weight heparin (LMWH) were used to
determine their effects on the binding of topo I and topo I/anti-topo I IC to
fibroblast surfaces. RESULTS: Heparan sulfate (HS) proteoglycans on fibroblast
surfaces were found to act as coreceptors for topo I binding. The addition of
anti-topo I autoantibodies from SSc sera led to the amplification of topo I
binding to HS chains. UFH and LMWH were shown to inhibit topo I and topo I/anti
topo I IC binding to HS chains. CONCLUSION: This study is the first to show that
topo I binds specifically to HS proteoglycans on fibroblast surfaces and that
anti-topo I autoantibodies from SSc patients amplify topo I binding to HS chains.
The accumulation of topo I on cell surfaces by anti-topo I autoantibodies could
contribute to the initiation of an inflammatory cascade stimulating the fibrosis.
UFH and LMWH inhibited the binding of topo I/anti-topo I IC to fibroblasts,
suggesting a potential therapeutic role in SSc-associated fibrosis.
PMID- 22095240
TI - Molecular basis of Staphylococcus epidermidis infections.
AB - Staphylococcus epidermidis is the most important member of the coagulase-negative
staphylococci and one of the most abundant colonizers of human skin. While for a
long time regarded as innocuous, it has been identified as the most frequent
cause of device-related infections occurring in the hospital setting and is
therefore now recognized as an important opportunistic pathogen. S. epidermidis
produces a series of molecules that provide protection from host defenses.
Specifically, many proteins and exopolymers, such as the exopolysaccharide PIA,
contribute to biofilm formation and inhibit phagocytosis and the activity of
human antimicrobial peptides. Furthermore, recent research has identified a
family of pro-inflammatory peptides in S. epidermidis, the phenol-soluble
modulins (PSMs), which have multiple functions in immune evasion and biofilm
development, and may be cytolytic. However, in accordance with the relatively
benign relationship that S. epidermidis has with its host, production of
aggressive members of the PSM family is kept at a low level. Interestingly, in
contrast to S. aureus with its large arsenal of toxins developed for causing
infection in the human host, most if not all "virulence factors" of S.
epidermidis appear to have original functions in the commensal lifestyle of this
bacterium.
PMID- 22095244
TI - Third-line sunitinib following sequential use of cytokine therapy and sorafenib
in Japanese patients with metastatic renal cell carcinoma.
AB - BACKGROUND: The aim of this study was to evaluate the use of sunitinib as third
line therapy for metastatic renal cell carcinoma (mRCC). METHODS: This study
included a total of 35 consecutive Japanese patients with mRCC who were treated
with third-line sunitinib after sequential use of cytokine therapy (interferon
alpha and/or interleukin-2) and sorafenib between September 2008 and December
2010. The clinical outcomes of third-line sunitinib in these patients were
retrospectively reviewed. RESULTS: Of the 35 patients, 3 (8.6%), 28 (80.0%) and 4
(11.4%) were judged to have a partial response, stable disease and progressive
disease, respectively, as the best response to sunitinib. The median progression
free survival (PFS) and overall survival (OS) of these patients following the
introduction of sunitinib were 10.9 and 14.2 months, respectively. Of several
factors examined, response to sorafenib and performance status appeared to be
independently associated with PFS and OS, respectively, on multivariate analyses.
The common grade 3-4 adverse events related to third-line sunitinib were
thrombocytopenia (51.4%), neutropenia (42.9%) and hypertension (14.3%).
CONCLUSION: Despite the low response rate, third-line sunitinib is well tolerated
and could provide comparatively favorable prognostic outcomes in Japanese
patients with mRCC after first-line cytokine therapy and second-line sorafenib;
therefore, treatment with sunitinib could be one on the therapeutic options for
patients with mRCC even after the failure of sequentially performed systemic
therapies, such as cytokine therapy and sorafenib.
PMID- 22095247
TI - Cervical stromal involvement can predict survival in advanced endometrial
carcinoma: a review of 67 patients.
AB - PURPOSE: To assess clinical, surgical and pathologic variables in survival of
advanced endometrial cancer. METHODS: Sixty-seven advanced-stage (stages III and
IV according to FIGO 2009) endometrial cancer cases were evaluated
retrospectively. The effects on survival of age, histologic subtype, stage,
grade, myometrial invasion, optimal cytoreduction, parity and cervical
involvement were analyzed. RESULTS: Cervical involvement (P = 0.033) and
nulliparity (P = 0.042) were worsening features in terms of survival. In 56 cases
(83.5%) optimal cytoreduction could be achieved and survival was significantly
longer in this group than the group who were not optimally cytoreduced (mean 30.4
vs. 9.6 months) (P < 0.01). Depth of myometrial invasion, histologic type of
tumor, stage, grade, and age younger or older than 60 years were not found to be
related to survival. Neither adjuvant therapy type nor their combination were
superior to each other for improving survival. CONCLUSIONS: Cervical stromal
involvement is a poor prognostic factor in cases of advanced endometrial
carcinoma. Further studies are required to describe the effect of different
surgical approaches such as radical hysterectomy on survival in the presence of
cervical stromal invasion.
PMID- 22095246
TI - Could salvage surgery after chemotherapy have clinical impact on cancer survival
of patients with metastatic urothelial carcinoma?
AB - BACKGROUND: The clinical impact of salvage surgery after chemotherapy on cancer
survival of patients with metastatic urothelial carcinoma is controversial. We
aimed to verify the clinical role of salvage surgery by analyzing the long-term
outcome in patients with urothelial carcinoma treated by chemotherapy. METHODS:
Between 2003 and 2010 at a single institution, 31 of 47 patients (66%) with
metastatic urothelial carcinoma showed objective responses (CR in 4, PR in 27)
after multiple courses of cisplatin/gemcitabine/paclitaxel-based chemotherapy,
and a cohort of patients with partial response (PR) were retrospectively
enrolled. Twelve (10 male and 2 female, median age 64.0 years) of 27 patients
with PR underwent salvage surgeries after the chemotherapy: metastatectomy of
residual lesions (10 retroperitoneal lymph nodes, 2 lung), and 6 radical
surgeries for primary lesions as well. Progression-free survival and overall
patient survival rates were analyzed retrospectively and compared with those of
patients without salvage surgery. RESULTS: All 12 patients achieved surgical CR.
Pathological findings of metastatic lesions showed viable cancer cells in 3
patients. In univariate analysis, sole salvage surgery affected overall survival
in 27 patients with PR to the chemotherapy (P = 0.0037). Progression-free
survival and overall survival rates in patients with salvage surgery were better
than those in 15 PR patients without the surgery (39.8 vs. 0%, and 71.6 vs. 12.1%
at 3 years, P = 0.01032 and 0.01048; log-rank test). CONCLUSIONS: Salvage surgery
for patients with residual tumor who achieve partial response to chemotherapy
could have a possible impact on cancer survival.
PMID- 22095248
TI - Visual spectral sensitivity of photopic juvenile Pacific bluefin tuna (Thunnus
orientalis).
AB - Although Pacific bluefin tuna is a species that relies on vision, its photopic
visual function is not well known; we therefore recorded electroretinograms to
investigate photopic spectral sensitivity in juveniles of this species (49-81
days post-hatch; standard length 74-223 mm). The peak spectral sensitivity
wavelength was 505 nm. We estimated that two (lambda(max) = 512-515 nm and 423
436 nm) or three (lambda(max) = 512-515 nm, 423-436 nm, and 473 nm) types of cone
visual pigments contribute to photopic vision; these spectral sensitivities are
adapted to surface water habitats in clear ocean and coastal water.
PMID- 22095245
TI - Pharmacoethnicity of docetaxel-induced severe neutropenia: integrated analysis of
published phase II and III trials.
AB - BACKGROUND: Ethnic differences in drug susceptibility and toxicity are a major
concern, not only in drug development but also in the clinical setting. We review
the toxicity profiles of docetaxel according to dose and ethnicity. METHODS: We
analyzed phase II and III clinical trials that included a once-every-3-weeks
single-agent docetaxel arm. Logistic regression analysis was applied to identify
the significant variables affecting the reported incidence of docetaxel-induced
severe neutropenia. RESULTS: Multivariate logistic regression analysis identified
studies conducted in Asia [odds ratio (OR) 19.0; 95% confidence interval (95% CI)
3.64-99.0] and docetaxel dose (OR 1.08; 95% CI 1.03-1.13) as independent
variables for the incidence of grade 3/4 neutropenia. CONCLUSIONS: There is a
significant difference in the incidence of docetaxel-induced severe neutropenia
between Asian and non-Asian clinical studies. Physicians and pharmacists should
consider ethnic diversity in docetaxel toxicity when interpreting the results of
clinical trials.
PMID- 22095250
TI - GTG mutation in the start codon of the androgen receptor gene in a family of
horses with 64,XY disorder of sex development.
AB - Genetic sex in mammals is determined by the sex chromosomal composition of the
zygote. The X and Y chromosomes are responsible for numerous factors that must
work in close concert for the proper development of a healthy sexual phenotype.
The role of androgens in case of XY chromosomal constitution is crucial for
normal male sex differentiation. The intracellular androgenic action is mediated
by the androgen receptor (AR), and its impaired function leads to a myriad of
syndromes with severe clinical consequences, most notably androgen insensitivity
syndrome and prostate cancer. In this paper, we investigated the possibility that
an alteration of the equine AR gene explains a recently described familial XY,
SRY + disorder of sex development. We uncovered a transition in the first
nucleotide of the AR start codon (c.1A>G). To our knowledge, this represents the
first causative AR mutation described in domestic animals. It is also a rarely
observed mutation in eukaryotes and is unique among the >750 entries of the human
androgen receptor mutation database. In addition, we found another quiet missense
mutation in exon 1 (c.322C>T). Transcription of AR was confirmed by RT-PCR
amplification of several exons. Translation of the full-length AR protein from
the initiating GTG start codon was confirmed by Western blot using N- and C
terminal-specific antibodies. Two smaller peptides (25 and 14 amino acids long)
were identified from the middle of exon 1 and across exons 5 and 6 by mass
spectrometry. Based upon our experimental data and the supporting literature, it
appears that the AR is expressed as a full-length protein and in a functional
form, and the observed phenotype is the result of reduced AR protein expression
levels.
PMID- 22095249
TI - Laboratory exposure of Oreochromis niloticus to crude microcystins (containing
microcystin-LR) extracted from Egyptian locally isolated strain (Microcystis
aeruginosa Kutzing): biological and biochemical studies.
AB - Cyanobacterial blooms exert negative impacts on fisheries and water management
authorities. Recently, it has gained global attention, as elevated earth warming
and environmental pollution are accelerating algal growth. Oreochromis niloticus
(O. niloticus) is a worldwide and the most commonly cultured fish in Egypt. The
biological interaction of the living organisms to the surrounding environment
must continuously be assessed to predict future effects of the ongoing hazards on
fish. The study was designed to examine the possible biological and biochemical
response of O. niloticus exposed to different concentrations of microcystins
crude extract (containing microcystin-LR). Three equal groups of O. niloticus
were assigned for intraperitoneal injection of three different doses: 100, 200,
and 400 MUg m(-1) dried aqueous microcystins extract, for 10 days. Clinical,
condition factor (K) and hepatosomatic index (HIS) were estimated. Biochemical
alterations were evaluated via lipid peroxidation, DNA fragmentation assay and
electrophoretic analysis of fragmented DNA using agarose gel electrophoresis. The
results showed that there were discernible behavioral and clinical alterations.
Significant differences in K and HIS were observed between treatments. Also,
significant elevations were observed in lipid peroxidation level and in the DNA
fragmentation percentage in the exposed fish to the doses of 200 and 400 MUg m(
1) of microcystins crude extract. The current study addresses the possible toxic
effects of microcystins crude extract to O. niloticus. The results cleared that
microcystins crude extract (containing MC-LR) is toxic to O. niloticus in time-
and dose-dependent manners.
PMID- 22095252
TI - Pulmonary artery banding for neonates and early infants with low body weight.
AB - Open heart surgery for infants with low body weight (BW) remains still a
challenge. Pulmonary artery banding (PAB) is a useful surgical palliation for
small neonates and early infants with excessive pulmonary blood flow who are
unable to withstand a heart surgery. This study retrospectively reviewed
neonates and infants who underwent PAB to assess the surgical results and the
validity of our PAB. We selected 38 acyanotic infants and neonates and divided
them into 2 groups: low BW (< 2.5 kg, n = 15, group L) and normal or high BW (>=
2.5 kg, n = 23, group NH). The average BW at the time of PAB was 2.8 +/- 1.1 kg
(range, 1.2-5.8 kg), and the average age at the time of PAB was 41.8 +/- 44.8
days (range, 2-151 days). Using a 3-mm-wide polyester tape, we tightened the
main pulmonary artery to obtain the circumference of (19 mm + 1 mm for each kg of
BW). There was no early death but one late death in each group. Postoperative
BW continuously increased 1 month after PAB in both groups, although BW was
significantly lower in group L than in group NH. Intracardiac repair (ICR) was
accomplished in 31 patients (13 in group L and 18 in group NH) at average ages of
1.5 years, while the remaining 5 patients are awaiting ICR. In conclusion, PAB
using our formula for the infants even weighing < 2.5 kg has low mortality and is
effective as a bridge to ICR.
PMID- 22095253
TI - Prenatal diagnosis of citrin deficiency in a Chinese family with a fatal proband.
AB - Citrin deficiency (CD) is an autosomal recessive disorder with SLC25A13 as
causative gene that encodes citrin, the liver-type aspartate/glutamate carrier
isoform 2 (AGC2). Neonatal intrahepatic cholestasis caused by citrin deficiency
(NICCD), the major CD phenotype at pediatric age, has been previously reported as
a self-limiting condition with clinical presentations resolving between 6 months
and 1 year of life. We report the prenatal diagnosis of CD in a family with a
fatal NICCD proband. The proband was a 10-month-old male presenting cough for 8
days and jaundiced skin 1 day. Physical examination revealed fever, dark
jaundiced sclera and skin, hoarse breathing sounds, and hepatosplenomegaly.
Laboratory tests uncovered elevated cholestatic indices, increased ammonia, and
prolonged activated partial thromboplastin time and prothrombin time, and reduced
fibrinogen. Sonography showed the features of liver cirrhosis. Metabolome
analysis uncovered large quantity of 4-hydroxyphenyllactate and dicarboxylates in
urine and increased citrulline and methionine in blood. The patient passed away
due to liver failure at his age of 13.5 months. Mutation analysis revealed him a
homozygote of 851del4, a four-base deletion in exon 9 of SLC25A13 gene. On
request of the parents who had a second fetus, prenatal diagnosis of CD was
performed by PCR-electrophoresis following amniocentesis and amniocyte culture,
and demonstrated the fetus a carrier of the same mutation. The fatal proband in
the present report has provided clinical evidence challenging the traditional
concept on NICCD prognosis. Moreover, as the first trial on CD prenatal
diagnosis, this study might open a novel area for clinical management of CD.
PMID- 22095254
TI - Creutzfeldt-Jakob disease with paralysis of the unilateral vocal cord and soft
palate.
AB - Creutzfeldt-Jakob disease (CJD) is a progressive disease that is characterized by
the accumulation of abnormal prion-like proteins in the central nervous system.
The cerebral cortex is primarily affected in CJD, leading to spongiform changes
and dementia. To date, there have been no reported cases of CJD, with local
neuroparalysis discovered at an early stage of the disease. Here, we describe a
patient who presented unilateral vocal cord and soft palate paralysis before the
progression of CJD. After developing forgetfulness 6 months ago, a 76-year-old
woman was presented at department of Otorhinolaryngology in a general hospital
for recently developed hoarseness and dysphagia. In the oral and laryngeal
endoscopic findings, unilateral paralysis of the vocal cord and soft palate was
noted. On videofluorography, the larynx failed to elevate straight on
swallowing. The right tongue pharyngeal wall was lax, and some contrast agent
was retained in the lower right piriform sinus. The paralysis was thought to be
due to the glossopharyngeal nerve or vagal nerve damage, which was caused by
peripheral nerve injury or infranuclear palsy. Diffusion-weighted magnetic
resonance imaging (MRI) revealed high signals in the cerebral cortical area (a
signature feature of CJD). The patient died 2.5 years after the onset of
illness. The patient was diagnosed as probable sporadic CJD. Since we could not
detect any peripheral organic findings that could cause the paralysis, we suspect
that CJD is responsible for the paralysis. In treating CJD patients with
neurological signs, exclusive investigation is required to obtain a more detailed
picture of the disease.
PMID- 22095251
TI - Personalizing medicine with clinical pharmacogenetics.
AB - Clinical genetic testing has grown substantially over the past 30 years as the
causative mutations for Mendelian diseases have been identified, particularly
aided in part by the recent advances in molecular-based technologies.
Importantly, the adoption of new tests and testing strategies (e.g., diagnostic
confirmation, prenatal testing, and population-based carrier screening) has often
been met with caution and careful consideration before clinical implementation,
which facilitates the appropriate use of new genetic tests. Although the field of
pharmacogenetics was established in the 1950s, clinical testing for
constitutional pharmacogenetic variants implicated in interindividual drug
response variability has only recently become available to help clinicians guide
pharmacotherapy, in part due to US Food and Drug Administration-mediated product
insert revisions that include pharmacogenetic information for selected drugs.
However, despite pharmacogenetic associations with adverse outcomes, physician
uptake of clinical pharmacogenetic testing has been slow. Compared with testing
for Mendelian diseases, pharmacogenetic testing for certain indications can have
a lower positive predictive value, which is one reason for underutilization. A
number of other barriers remain with implementing clinical pharmacogenetics,
including clinical utility, professional education, and regulatory and
reimbursement issues, among others. This review presents some of the current
opportunities and challenges with implementing clinical pharmacogenetic testing.
PMID- 22095255
TI - Oxaliplatin in the adjuvant treatment of colon cancer.
PMID- 22095256
TI - Inhibitory guidance in visual search: the case of movement-form conjunctions.
AB - We used a probe-dot procedure to examine the roles of excitatory attentional
guidance and distractor suppression in search for movement-form conjunctions.
Participants in Experiment 1 completed a conjunction (moving X amongst moving Os
and static Xs) and two single-feature (moving X amongst moving Os, and static X
amongst static Os) conditions. "Active" participants searched for the target,
whereas "passive" participants viewed the displays without responding.
Subsequently, both groups located (left or right) a probe dot appearing in either
an occupied or an unoccupied location. In the conjunction condition, the active
group located probes presented on static distractors more slowly than probes
presented on moving distractors, reversing the direction of the difference found
within the passive group. This disadvantage for probes on static items was much
stronger in conjunction than in single-feature search. The same pattern of
results was replicated in Experiment 2, which used a go/no-go procedure.
Experiment 3 extended the go/no-go procedure to the case of search for a static
target and revealed increased probe localisation times as a consequence of active
search, primarily for probes on moving distractor items. The results demonstrated
attentional guidance by inhibition of distractors in conjunction search.
PMID- 22095257
TI - Impact of tongue reduction on overall speech intelligibility, articulation and
oromyofunctional behavior in 4 children with Beckwith-Wiedemann syndrome.
AB - OBJECTIVE: The purpose of this study was to determine the impact of partial
glossectomy (using the keyhole technique) on speech intelligibility,
articulation, resonance and oromyofunctional behavior. PATIENTS AND METHODS: A
partial glossectomy was performed in 4 children with Beckwith- Wiedemann syndrome
between the ages of 0.5 and 3.1 years. An ENT assessment, a phonetic inventory, a
phonemic and phonological analysis and a consensus perceptual evaluation of
speech intelligibility, resonance and oromyofunctional behavior were performed.
RESULTS: It was not possible in this study to separate the effects of the surgery
from the typical developmental progress of speech sound mastery. Improved speech
intelligibility, a more complete phonetic inventory, an increase in phonological
skills, normal resonance and increased motor-oriented oral behavior were found in
the postsurgical condition. The presence of phonetic distortions, lip
incompetence and interdental tongue position were still present in the
postsurgical condition. CONCLUSION: Speech therapy should be focused on correct
phonetic placement and a motor-oriented approach to increase lip competence, and
on functional tongue exercises and tongue lifting during the production of
alveolars. Detailed analyses in a larger number of subjects with and without
Beckwith-Wiedemann syndrome may help further illustrate the long-term impact of
partial glossectomy.
PMID- 22095258
TI - Self-assembled 3D architectures of Bi2TiO4F2 as a new durable visible-light
photocatalyst.
AB - A new hierarchical visible-light-driven photocatalyst Bi(2)TiO(4)F(2) was
synthesized by a solvothermal method for the first time. The photocatalyst was
characterized by X-ray powder diffraction (XRD), field emission scanning electron
microscopy (FESEM), transmission electron microscopy (TEM), N(2) adsorption
desorption (BET), UV-vis diffuse reflectance spectroscopy (DRS). Such
hierarchical Bi(2)TiO(4)F(2) microspheres assembled by nanosheets were fabricated
via an Ostwald ripening process in the absence of soft templates (surfactants).
The as-prepared samples' bimodal pore-size distributions in the mesoporous region
consisted of smaller intra-aggregated pores with peak pore diameter of ca. 4.6 nm
and larger inter-aggregated pores with peak pore diameter of ca. 17.0 nm. The
band gap of the obtained Bi(2)TiO(4)F(2) was estimated to be about 2.71-3.06 eV
for various solvothermal treatment time. Owing to the hierarchical structure with
bimodal pores, low band gaps and high crystallinity, the Bi(2)TiO(4)F(2)
microspheres exhibited high photocatalytic performance and durability for the
degradation of rhodamine B (RhB) under visible light (> 420 nm). It was proved
that the photo-generated holes and OH radicals played an essential role for the
oxidation of RhB.
PMID- 22095259
TI - Genetic diversity contributes to abnormalities in pain behaviors between young
and old rats.
AB - Aging has profound yet unpredictable effects on pain perception and incidence of
anxiety disorders. However, the mechanisms underlying age-related pathologies are
confounded by contradictory observations in rodent models. Therefore, the goal of
our study was to test the hypothesis that genetic variability contributes to age
related pain behaviors and susceptibility to anxiety. To address this hypothesis,
we examined pain and anxiety-like behavior in young or old Brown Norway (BN),
Fisher 344, and BN/F344 (F1), three rat strains used in studies to evaluate the
effect of aging. Mechanosensitive thresholds were assessed using the Von Frey
assay, and visceral pain sensitivity was measured via the visceromotor response
to colorectal distension. Anxiety-like behavior and exploration was quantified in
the elevated plus maze. In the BN strain, old rats exhibited increased
mechanosensitive thresholds compared to young rats; however, age did not affect
visceral sensitivity in this strain. In F344-BN rats, the number of abdominal
contractions induced by the highest colonic distension pressure was significantly
lower in old rats. However, following colonic sensitization, a difference was no
longer apparent. In the F-344 strain, visceral hypersensitivity following
afferent sensitization was evident in young rats at all distension pressures but
was not observed in older animals at 20 mmHg. Aging significantly reduced maze
exploration across all strains. Our data demonstrate that age- and strain-related
alterations exist in pain behavior and highlight the effects of aging on
exploratory behavior. These findings suggest that strain differences contribute
to the controversial data on the effects of aging on pain perception.
PMID- 22095260
TI - Thymic function failure and C-reactive protein levels are independent predictors
of all-cause mortality in healthy elderly humans.
AB - Relationship between thymic function and elderly survival has been suspected,
despite the fact that formal proof is elusive due to technical limitations of
thymic function-related markers. The newly described sj/beta-TREC ratio allows
now, by overcoming these limitations, an accurate measurement of thymic output in
elderly humans. Thus, the aim of this study was to determine the impact of thymic
function and inflammatory markers on healthy elderly human survival. Healthy
volunteers (n = 151), aged over 65, were asked to participate (CARRERITAS
cohort). Subjects were excluded if diagnosed of dementia or, during the last 6
months, had clinical data of infection, hospital admission, antitumor therapy, or
any treatment that could influence the immune status. Thymic function (sj/beta
TREC ratio), CD4:CD8 T cell ratio, C-reactive protein, interleukin-6, and
neutrophilia were determined from basal samples. All basal variables and age were
associated with 2-year all-cause mortality. Multivariate analysis showed that
only thymic function and C-reactive protein were independently associated with
time to death. In conclusion, we show, for the first time, the direct role of
thymic function in human survival. C-reactive protein raise is also a marker of
mortality in the healthy elderly, in a thymic-independent way.
PMID- 22095261
TI - Fo shou san, an ancient herbal decoction prepared from angelicae sinensis radix
and chuanxiong rhizoma, induces erythropoietin expression: a signaling mediated
by the reduced degradation of hypoxia-inducible factor in cultured liver cells.
AB - Fo Shou San (FSS) is an ancient herbal decoction composed of Angelicae Sinensis
Radix (ASR; Danggui) and Chuanxiong Rhizoma (CR; Chuanxiong) in a ratio of 3:2.
FSS is mainly prescribed for patients having a deficiency of blood supply, and it
indeed has been shown to stimulate the production of erythropoietin (EPO) in
cultured cells. In order to reveal the mechanism of this FSS-induced EPO gene
expression, the upstream regulatory cascade, via hypoxia-induced signaling, was
revealed here in cultured hepatocellular carcinoma cell line Hep3B. The induction
of EPO gene expression, triggered by FSS, was revealed in cultured hepatocytes
by: (i) the increase of EPO mRNA; and (ii) the activation of the hypoxia response
element (HRE), an upstream regulator of the EPO gene. The FSS-induced EPO gene
expression was triggered by an increased expression of hypoxia-inducible factor-1
alpha (HIF-1 alpha) protein; however, the mRNA expression of HIF-1 alpha was not
altered by the treatment of FSS. The increased HIF-1 alpha was a result of
reduced protein degradation after the FSS treatment. The current results
therefore provide one of the molecular mechanisms of this ancient herbal
decoction for its hematopoietic function.
PMID- 22095262
TI - Antimalarial efficacy of a quantified extract of Nauclea pobeguinii stem bark in
human adult volunteers with diagnosed uncomplicated falciparum malaria. Part 1: a
clinical phase IIA trial.
AB - The aim of this phase IIA clinical trial was to assess the efficacy of an 80 %
ethanolic quantified extract (containing 5.6 % strictosamide as the putative
active constituent) from Nauclea pobeguinii stem bark denoted as PR 259 CT1 in a
small group of adult patients diagnosed with uncomplicated falciparum malaria.
Results obtained from a phase I clinical trial on healthy male volunteers
indicated that the oral administration during meals of two 500 mg capsules three
times daily (each eight hours) during seven days was well tolerated and showed
only mild and self-resolving adverse effects. This PR 259 CT1 drug regimen was
obtained by mathematical conversion of animal doses obtained in several in vivo
studies in mice to human equivalent doses as in falciparum malaria patients. The
phase IIA study was an open cohort study in eleven appraisable adult patients
suffering from proven Plasmodium falciparum malaria. The study was specifically
designed to assess the efficacy of PR 259 CT1 administered with a dose regimen of
two 500 mg capsules three times daily for three days, followed by outpatient
treatment of one 500 mg capsule three times daily for the next four days, in
order to prove that this therapeutic dose, which was calculated from animal
doses, was effective to treat adult malaria patients and consequently useful for
a future Phase IIB clinical trial. This study would then substitute a dose
escalating trial, which in general is used to find the appropriate dose for
clinical studies. The phase IIA clinical trial was carried out according to the
WHO 2003 14-day test, and the results revealed that all eleven patients were
completely cleared of parasitemia and fever on days 3, 7, and 14 except for one
patient, who experienced a recurrence of parasitemia at days 7 until 14. Besides
this adequate clinical and parasitological response (ACPR), this trial also
demonstrated that PR 259 CT1 was well tolerated with only mild and self-resolving
adverse effects including fatigue and headache, which were in accordance with
those found in the phase I clinical trial. Moreover, all symptoms progressively
disappeared, and no symptoms were observed on day 14. Although the number of
patients included in this study was rather limited, the statistical analysis
nevertheless suggested the efficacy and tolerability of PR 259 CT1, which
indicated that this herbal medicinal product might be considered as a putative
candidate for a large scale clinical trial.
PMID- 22095263
TI - A sensitive method for determination of platycodin d in rat plasma using liquid
chromatography/tandem mass spectrometry and its application to a pharmacokinetic
study.
AB - Platycodin D (PD), a major component isolated from the root of Platycodon
grandiflorum, is widely used in traditional Chinese medicine. A sensitive rapid
analytical method was established and validated to determine the PD in rat
plasma. This method was further applied to assess the pharmacokinetics of PD in
rats following administration of a single dose. Liquid chromatography tandem mass
spectrometry (LC/MS/MS) in multiple reaction monitoring mode (MRM) was used in
the method, and tubeimoside I was used as the internal standard (IS). A simple
protein precipitation based on methanol (MeOH) was employed. The combination of a
simple sample cleanup and short chromatographic running time (4 min) increased
the throughput of the method substantially. The method was validated over the
range of 0.5-1000 ng/mL with a correlation coefficient > 0.99. The lower limit of
quantification was 0.5 ng/mL for PD in plasma. Intra- and inter-day accuracies
for PD were 90-115 % and 96-108 %, respectively, and the inter-day precision was
less than 15 %. After a single oral dose of 10 mg/kg of PD, its mean peak plasma
concentration ( CMAX) was 13.7 +/- 4.5 ng/mL at 0.5 h. The area under the plasma
concentration-time curve ( AUC0-24 H) was 35.4 +/- 16.1 h.ng/mL, and the
elimination half-life ( T1/2) was 1.48 +/- 0.13 h. In case of intravenous
administration of PD at a dosage of 0.5 mg/kg, the area under the plasma
concentration-time curve ( AUC0-24 H) was 2203 +/- 258 h . ng/mL, and the
elimination half-life (T1/2) was 6.57 +/- 0.70 h. Based on the results, the oral
bioavailability of PD in rats at 10 mg/kg is 0.079 %.
PMID- 22095265
TI - Recognizing syphilis in an HIV-infected patient.
PMID- 22095266
TI - Minimizing tracheobronchial aspiration in the tube-fed patient part 1.
PMID- 22095267
TI - Hyper-IgE syndrome: diagnosis of a 3-year-old with 10-year follow-up.
PMID- 22095268
TI - Do ankle injuries always require an X-ray?
PMID- 22095272
TI - Primary care management of food allergy and food intolerance.
AB - The incidence of food allergies is steadily increasing. Due to potentially life
threatening complications, it is important that primary care providers recognize
and appropriately manage these disorders. This article includes a discussion of
the current evidence-based guidelines for the diagnosis, screening, and
management of food allergies.
PMID- 22095273
TI - A close up view of pneumococcal disease.
AB - Pneumococcal disease remains a threat despite an available vaccine. Primary care
nurse practitioners must be prepared to prevent as well as treat pneumococcal
infections.
PMID- 22095274
TI - Safe prescribing for the elderly.
AB - Prescribing for older adults is a complex and high-risk process. Age-related
changes produce differences in the way lderly patients respond to and process
medication. This article discusses age-related changes, common problems that
increase risk for older adults, strategies for improving adherence, and models
for safe and effective prescribing.
PMID- 22095277
TI - Mutant p53 protein, master regulator of human malignancies: a report on the Fifth
Mutant p53 Workshop.
PMID- 22095276
TI - Human embryonic stem cell derived astrocytes mediate non-cell-autonomous
neuroprotection through endogenous and drug-induced mechanisms.
AB - The glial environment is an important determinant of neuronal health in
experimental models of neurodegeneration. Specifically, astrocytes have been
shown, dependent on context, to be both injurious and protective. Human
pluripotent stem cells offer a powerful new system to improve our understanding
of the mechanisms underlying astrocyte-mediated neuroprotection. Here, we
describe a human embryonic stem cell (HESC)-based system to assess the scope and
mechanism of human astrocyte-mediated neuroprotection. We first report the
generation of enriched and functional HESC-derived astrocytes, by combining BMP
mediated Smad and LIF-mediated JAK-STAT signalling. These astrocytes promote the
protection of HESC-derived neurons against oxidative insults. Moreover, their
neuroprotective capacity can be greatly enhanced by treatment with the nuclear
factor-erythroid 2-related factor 2 (Nrf2)-activating triterpenoid 1[2-Cyano-3,12
dioxool-eana-1,9(11)-dien-28-oyl] trifluoroethylamide (CDDO(TFEA)). Activation of
the transcription factor Nrf2 in human astrocytes by CDDO(TFEA) treatment induced
expression of the glutamate-cysteine ligase (GCL) catalytic subunit, leading to
enhanced GCL activity and glutathione production, and strong neuroprotection
against H(2)O(2). This enhanced neuroprotection was found to be dependent on
astrocytic GCL activity, unlike the basal neuroprotection afforded by untreated
astrocytes. Direct treatment of HESC-derived neurons with CDDO(TFEA) elicited no
induction of Nrf2 target genes, nor any neuroprotection. Thus, human astrocytes
can mediate neuroprotection through glutathione-dependent and glutathione
independent mechanisms, and represent a therapeutic target for human disorders
associated with neuronal oxidative stress.
PMID- 22095278
TI - RP58/ZNF238 directly modulates proneurogenic gene levels and is required for
neuronal differentiation and brain expansion.
AB - Although neurogenic pathways have been described in the developing neocortex,
less is known about mechanisms ensuring correct neuronal differentiation thus
also preventing tumor growth. We have shown that RP58 (aka zfp238 or znf238) is
highly expressed in differentiating neurons, that its expression is lost or
diminished in brain tumors, and that its reintroduction blocks their
proliferation. Mice with loss of RP58 die at birth with neocortical defects.
Using a novel conditional RP58 allele here we show that its CNS-specific loss
yields a novel postnatal phenotype: microencephaly, agenesis of the corpus
callosum and cerebellar hypoplasia that resembles the chr1qter deletion
microcephaly syndrome in human. RP58 mutant brains maintain precursor pools but
have reduced neuronal and increased glial differentiation. Well-timed
downregulation of pax6, ngn2 and neuroD1 depends on RP58 mediated transcriptional
repression, ngn2 and neuroD1 being direct targets. Thus, RP58 may act to favor
neuronal differentiation and brain growth by coherently repressing multiple
proneurogenic genes in a timely manner.
PMID- 22095279
TI - ICDS 2011 meeting 'Signaling in cell death survival, proliferation and
degeneration'.
PMID- 22095280
TI - In mouse embryonic fibroblasts, neither caspase-8 nor cellular FLICE-inhibitory
protein (FLIP) is necessary for TNF to activate NF-kappaB, but caspase-8 is
required for TNF to cause cell death, and induction of FLIP by NF-kappaB is
required to prevent it.
AB - Binding of TNF to TNF receptor-1 can give a pro-survival signal through
activation of p65/RelA NF-kappaB, but also signals cell death. To determine the
roles of FLICE-inhibitory protein (FLIP) and caspase-8 in TNF-induced activation
of NF-kappaB and apoptosis, we used mouse embryonic fibroblasts derived from FLIP
and caspase-8 gene-deleted mice, and treated them with TNF and a smac-mimetic
compound that causes degradation of cellular inhibitor of apoptosis proteins
(cIAPs). In cells treated with smac mimetic, TNF and Fas Ligand caused wild-type
and FLIP(-/-) MEFs to die, whereas caspase-8(-/-) MEFs survived, indicating that
caspase-8 is necessary for death of MEFs triggered by these ligands when IAPs are
degraded. By contrast, neither caspase-8 nor FLIP was required for TNF to
activate p65/RelA NF-kappaB, because IkappaB was degraded, p65 translocated to
the nucleus, and an NF-kappaB reporter gene activated normally in caspase-8(-/-)
or FLIP(-/-) MEFs. Reconstitution of FLIP(-/-) MEFs with the FLIP isoforms FLIP
L, FLIP-R, or FLIP-p43 protected these cells from dying when treated with TNF or
FasL, whether or not cIAPs were depleted. These results show that in MEFs,
caspase-8 is necessary for TNF- and FasL-induced death, and FLIP is needed to
prevent it, but neither caspase-8 nor FLIP is required for TNF to activate NF
kappaB.
PMID- 22095281
TI - IAPs: guardians of RIPK1.
AB - Deregulation of innate immune signalling and cell death form the basis of most
human disease pathogenesis. Inhibitor of APoptosis (IAP) protein-family members
are frequently overexpressed in cancer and contribute to tumour cell survival,
chemo-resistance, disease progression and poor prognosis. Although best known for
their ability to regulate caspases, IAPs also influence ubiquitin-dependent
pathways that modulate innate immune signalling by activation of NF-kappaB.
Recent advances in our understanding of the molecular mechanisms through which
IAPs influence cell death and innate immune responses have provided new insights
into novel strategies for treatment of cancer. In this review we discuss our
current understanding of IAP-mediated NF-kappaB signalling, as well as elaborate
on unexpected insights into the involvement of IAPs in regulating the
'Ripoptosome', a novel intrinsic cell death-inducing platform. We propose an
evolutionarily conserved concept whereby IAPs function as guardians of killer
platforms such as the apoptosome in Drosophila and the Ripoptosome in mammals.
PMID- 22095282
TI - Arginine methylation-dependent regulation of ASK1 signaling by PRMT1.
AB - Protein arginine methylation, catalyzed by protein arginine methyltransferases
(PRMTs), is implicated in modulation of cellular processes including gene
transcription. The role of PRMTs in the regulation of intracellular signaling
pathways has remained obscure, however. We now show that PRMT1 methylates
apoptosis signal-regulating kinase 1 (ASK1) at arginine residues 78 and 80 and
thereby negatively regulates ASK1 signaling. PRMT1-mediated ASK1 methylation
attenuated the H(2)O(2)-induced stimulation of ASK1, with this inhibitory effect
of PRMT1 being abolished by replacement of arginines 78 and 80 of ASK1 with
lysine. Furthermore, depletion of PRMT1 expression by RNA interference
potentiated H(2)O(2)-induced stimulation of ASK1. PRMT1-mediated ASK1 methylation
promoted the interaction between ASK1 and its negative regulator thioredoxin,
whereas it abrogated the association of ASK1 with its positive regulator TRAF2.
Moreover, PRMT1 depletion potentiated paclitaxel-induced ASK1 activation and
apoptosis in human breast cancer cells. Together, our results indicate that
arginine methylation of ASK1 by PRMT1 contributes to the regulation of stress
induced signaling that controls a variety of cellular events including apoptosis.
PMID- 22095283
TI - Granzymes, cytotoxic granules and cell death: the early work of Dr. Jurg Tschopp.
AB - Within the powerful legacy left by Jurg Tschopp, we should not forget his early
work that helped to elucidate the molecular pathways responsible for the
clearance of virus-infected and transformed cells by cytotoxic T lymphocytes
(CTL) and natural killer (NK) cells. Jurg's skilful biochemical approach formed a
firm platform upon which the work of so many other biochemists, cell biologists
and immunologists would come to rely. Jurg coined the shorthand term 'granzyme'
to denote the individual members of a family of serine proteases sequestered in
and secreted from the cytotoxic granules of CTL/NK cells. He was also one of the
first to describe the lytic properties of purified perforin and to postulate the
synergy of perforin and granzymes, which we now know to underpin target cell
apoptosis. Jurg was a major protagonist in the debate that raged throughout the
1980's and early 1990's on the physiological relevance of the 'granule
exocytosis' pathway. Ultimately, resolving this issue led Jurg and his colleagues
to even greater and impactful discoveries in the broader field of apoptosis
research. Jurg Tschopp ranks with other pioneers, particularly Gideon Berke,
Chris Bleackley, Pierre Golstein, Pierre Henkart and Eckhard Podack for making
seminal discoveries on our understanding of how the immune system eliminates
dangerous cells.
PMID- 22095284
TI - Overlapping functions of microRNAs in control of apoptosis during Drosophila
embryogenesis.
AB - Regulation of apoptosis is crucial for tissue homeostasis under normal
development and environmental stress. In Drosophila, cell death occurs in
different developmental processes including embryogenesis. Here, we report that
two members of the miR-2 seed family of microRNAs, miR-6 and miR-11, function
together to limit the level of apoptosis during Drosophila embryonic development.
Mutants lacking both miR-6 and miR-11 show embryonic lethality and defects in the
central nervous system (CNS). We provide evidence that miR-6/11 functions through
regulation of the proapoptotic genes, reaper (rpr), head involution defective
(hid), grim and sickle (skl). Upregulation of these proapoptotic genes is
responsible for the elevated apoptosis and the CNS defects in the mutants. These
findings demonstrate that the activity of the proapoptotic genes is kept in check
by miR-6/11 to ensure normal development.
PMID- 22095285
TI - Mutation of ATF4 mediates resistance of neuronal cell lines against oxidative
stress by inducing xCT expression.
AB - Selecting neuronal cell lines for resistance against oxidative stress might
recapitulate some adaptive processes in neurodegenerative diseases where
oxidative stress is involved like Parkinson's disease. We recently reported that
in hippocampal HT22 cells selected for resistance against oxidative glutamate
toxicity, the cystine/glutamate antiporter system x(c)(-), which imports cystine
for synthesis of the antioxidant glutathione, and its specific subunit, xCT, are
upregulated. (Lewerenz et al., J Neurochem 98(3):916-25). Here, we show that in
these glutamate-resistant HT22 cells upregulation of xCT mediates glutamate
resistance, and xCT expression is induced by upregulation of the transcription
factor ATF4. The mechanism of ATF4 upregulation consists of a 13 bp deletion in
the upstream open reading frame (uORF2) overlapping the ATF4 open reading frame.
The resulting uORF2-ATF4 fusion protein is efficiently translated even at a low
phosphorylation levels of the translation initiation factor eIF2alpha, a
condition under which ATF4 translation is normally suppressed. A similar ATF4
mutation associated with prominent upregulation of xCT expression was identified
in PC12 cells selected for resistance against amyloid beta-peptide. Our data
indicate that ATF4 has a central role in regulating xCT expression and resistance
against oxidative stress. ATF4 mutations might have broader significance as
upregulation of xCT is found in tumor cells and associated with anticancer drug
resistance.
PMID- 22095286
TI - The PIDDosome, DNA-damage-induced apoptosis and beyond.
AB - P53-induced protein with a death domain (PIDD) was cloned as a death domain (DD)
containing protein whose expression is induced by p53. It was later described as
the core of a molecular platform-activating caspase-2, named the PIDDosome. These
first results pointed towards a role for PIDD in apoptosis, in response to DNA
damage. Identification of new PIDDosome complexes involved in DNA repair and
nuclear factor-kappaB signaling challenged this early concept. PIDD functions are
growing as new complexes and new interaction partners are being discovered, and
as additional functions are being revealed. A fascinating feature of PIDD lies
within its complex and tight regulation mechanisms, which allow the molecule to
fine-tune its different functions: from transcriptional regulation to the
expression of different isoforms, and from the interaction with regulatory
proteins to an ingenious post-translational cleavage mechanism generating various
active fragments with specific functions. Further studies still need to be
carried out to provide answers to many unresolved issues and to reconcile
conflicting results. This review aims at providing an overview of the current
PIDD knowledge status.
PMID- 22095287
TI - Necdin enhances muscle reconstitution of dystrophic muscle by vessel-associated
progenitors, by promoting cell survival and myogenic differentiation.
AB - Improving stem cell therapy is a major goal for the treatment of muscle diseases,
where physiological muscle regeneration is progressively exhausted. Vessel
associated stem cells, such as mesoangioblasts (MABs), appear to be the most
promising cell type for the cell therapy for muscular dystrophies and have been
shown to significantly contribute to restoration of muscle structure and function
in different muscular dystrophy models. Here, we report that melanoma antigen
encoding gene (MAGE) protein necdin enhances muscle differentiation and
regeneration by MABs. When necdin is constitutively overexpressed, it accelerates
their differentiation and fusion in vitro and it increases their efficacy in
reconstituting regenerating myofibres in the alpha-sarcoglycan dystrophic mouse.
Moreover, necdin enhances survival when MABs are exposed to cytotoxic stimuli
that mimic the inflammatory dystrophic environment. Taken together, these data
demonstrate that overexpression of necdin may be a crucial tool to boost
therapeutic applications of MABs in dystrophic muscle.
PMID- 22095288
TI - PKD is a kinase of Vps34 that mediates ROS-induced autophagy downstream of DAPk.
AB - Autophagy, a process in which cellular components are engulfed and degraded
within double-membrane vesicles termed autophagosomes, has an important role in
the response to oxidative damage. Here we identify a novel cascade of
phosphorylation events, involving a network of protein and lipid kinases, as
crucial components of the signaling pathways that regulate the induction of
autophagy under oxidative stress. Our findings show that both the tumor
suppressor death-associated protein kinase (DAPk) and protein kinase D (PKD),
which we previously showed to be phosphorylated and consequently activated by
DAPk, mediate the induction of autophagy in response to oxidative damage.
Furthermore, we map the position of PKD within the autophagic network to Vps34, a
lipid kinase whose function is indispensable for autophagy, and demonstrate that
PKD is found in the same molecular complex with Vps34. PKD phosphorylates Vps34,
leading to activation of Vps34, phosphatydilinositol-3-phosphate (PI(3)P)
formation, and autophagosome formation. Consistent with its identification as a
novel inducer of the autophagic machinery, we show that PKD is recruited to LC3
positive autophagosomes, where it localizes specifically to the autophagosomal
membranes. Taken together, our results describe PKD as a novel Vps34 kinase that
functions as an effecter of autophagy under oxidative stress.
PMID- 22095289
TI - Sensitization of ovarian carcinoma cells with zoledronate restores the cytotoxic
capacity of Vgamma9Vdelta2 T cells impaired by the prostaglandin E2
immunosuppressive factor: implications for immunotherapy.
AB - Epithelial ovarian cancer (EOC) usually spreads into the peritoneal cavity,
thereby providing an opportunity for intraperitoneal adoptive immunotherapy with
Vgamma9Vdelta2 T lymphocytes, a T cell subpopulation endowed with high lytic
properties against tumor cells. However, previous studies have reported that
Vgamma9Vdelta2 T cells fail to expand from peripheral blood mononuclear cells in
one-third of patients with cancer. Here, from a cohort of 37 patients with EOC, a
multiple correspondence analysis identified three populations, one of which was
not suitable for Vgamma9Vdelta2 T-cell adoptive therapy. Interestingly, the
ineligible patients were identified based on the frequency of Vgamma9Vdelta2 T
cells in their peripheral blood and the patients' age. The average time to tumor
recurrence was also found to be significantly different between the three
populations, suggesting that the innate immune response is involved in EOC
prognosis. A dramatic decrease in the lytic properties of Vgamma9Vdelta2 T cells
occurred following incubation with ascitic supernatant and was found to be
associated with reduced perforin/granzyme degranulation. Prostaglandin E2, but
not IL-6, IL-10, VEGF or TGF-beta, showed immunosuppressive effects in
Vgamma9Vdelta2 T cells. Interestingly, our results emphasize that pretreating
ovarian tumor cells with zoledronate partially reverses the immunosuppressive
effects of ovarian cancer-associated ascites and restores a high level of lytic
activity. These data sustain that optimal Vgamma9Vdelta2 T-cell adoptive
immunotherapy previously requires counteracting the tumor immunosuppressive
microenvironment. Altogether, our findings provide a rationale for clinically
evaluating Vgamma9Vdelta2 T-cell adoptive immunotherapy with intraperitoneal
carcinomatosis presensitization by zoledronate in patients with EOC.
PMID- 22095290
TI - Fatty lesions in intra-articular loose bodies: a histopathological study of non
primary synovial chondromatosis cases.
AB - Intra-articular loose bodies (ILBs) are not uncommon conditions in patients with
various joint diseases. Their morphological alterations have been investigated in
detail, but little attention has been paid to their fatty lesions. In this study,
we examined fatty bone marrow, fat cells without bone marrow structures
(extramedullary fat cells), and their necrotic changes in 55 ILBs surgically
removed from 42 patients, excluding primary synovial chondromatosis cases. The
presence of viable re-vascularized vessels with or without enchondral
ossification could discriminate 19 re-attached ILBs from 36 true free ILBs. Fatty
bone marrow was found in 25 ILBs, and its necrosis was recognized in 11 (44.0%)
of them. Extramedullary fat cells, characterized by single or clustered fat cells
focally or multifocally distributed in fibrous or cartilaginous stroma, were
identified in seven true free ILBs (7of 55, 12.7%), and all of them were focally
necrotic. Unique lipomembranous changes were detected in 7 (12.7%) of 55 ILBs;
they were found in 4 (36.4%) of 11 ILBs of necrotic bone marrow and were
associated with 3 (42.9%) of 7 necrotic extramedullary fatty lesions. These
changes were highlighted by periodic-acid Schiff and Masson's trichrome stain
more clearly. We concluded that extramedullary fat cells represent lipometaplasia
in ILBs with no blood supply. We considered that lipomembranous changes in ILBs
can be a useful hallmark for necrotic bone marrow or necrosis of extramedullary
lipometaplastic lesions.
PMID- 22095291
TI - Buffalo (Bubalus bubalis) epiphyseal proteins counteract arsenic-induced
oxidative stress in brain, heart, and liver of female rats.
AB - Arsenic (As) toxicity through induction of oxidative stress is a well-known
mechanism of organ toxicity. To address this problem, buffalo epiphyseal proteins
(BEP, at 100 MUg/kg BW, i.p. for 28 days) were administered intraperitoneally to
female Wistar rats exposed to As (100 ppm sodium arsenite via drinking water for
28 days). Arsenic exposure resulted in marked elevation in lipid peroxidation in
brain, cardiac, and hepatic tissues, whereas significant (p < 0.05) adverse
change in catalase, superoxide dismutase, glutathione reductase, glutathione
peroxidase, and reduced glutathione level were observed in cardiac, hepatic, and
brain tissues of As-administered animals. BEP significantly (p < 0.05)
counteracted all the adverse changes in antioxidant defense system brought about
by As administration. Based on these results, we consider BEP as a potent
antioxidant to be used for protection from arsenic-induced oxidative stress
related damage of vital organs.
PMID- 22095292
TI - Protective effect of cerium ion against ultraviolet B radiation-induced water
stress in soybean seedlings.
AB - Effects of cerium ion (Ce(III)) on water relations of soybean seedlings (Glycine
max L.) under ultraviolet B radiation (UV-B, 280-320 nm) stress were investigated
under laboratory conditions. UV-B radiation not only affected the contents of two
osmolytes (proline, soluble sugar) in soybean seedlings, but also inhibited the
transpiration in soybean seedlings by decreasing the stomatal density and
conductance. The two effects caused the inhibition in the osmotic and metabolic
absorption of water, which decreased the water content and the free water/bound
water ratio. Obviously, UV-B radiation led to water stress, causing the decrease
in the photosynthesis in soybean seedlings. The pretreatment with 20 mg L(-1)
Ce(III) could alleviate UV-B-induced water stress by regulating the osmotic and
metabolic absorption of water in soybean seedlings. The alleviated effect caused
the increase in the photosynthesis and the growth of soybean seedlings. It is one
of the protective effect mechanisms of Ce(III) against the UV-B radiation-induced
damage to plants.
PMID- 22095293
TI - A delay equation model for oviposition habitat selection by mosquitoes.
AB - We propose a patch type model for mosquitoes that have aquatic larvae inhabiting
ponds. Partial differential equations (PDEs) model the larvae on each of several
disconnected patches representing the ponds, with conditions varying in each
patch, coupled via the adults in the air. From the PDEs a scalar delay
differential equation, with multiple delays, for the total adult mosquito
population is derived. The various delays represent the larval development times
in the patches. The coefficients contain all the relevant information about the
sizes and geometry of the individual patches inhabited by the larvae, the
boundary conditions applicable to those patches and the diffusivity of the larvae
in each patch. For patches of general shapes and sizes, and without the need to
specify the criteria by which an adult mosquito selects an oviposition patch, the
modern theory of monotone dynamical systems and persistence theory enables a
complete determination of the conditions for the mosquito population to go
extinct or to persist. More detailed biological insights are obtained for the
case when the patches are squares of various sizes, which allows a detailed
discussion of the effects of scale, and for two particular criteria by which
mosquitoes might select patches for oviposition, being (i) selection based solely
on patch area, and (ii) selection based both on area and expected larval survival
probability for each patch. In some parameter regimes, counterintuitive phenomena
are predicted.
PMID- 22095294
TI - Comparison of averaging techniques for the calculation of the 'European average
exposure indicator' for particulate matter.
AB - A comparison of various averaging techniques to calculate the Average Exposure
Indicator (AEI) specified in European Directive 2008/50/EC for particulate matter
in ambient air has been performed. This was done for data from seventeen sites
around the UK for which PM(10) mass concentration data is available for the years
1998-2000 and 2008-2010 inclusive. The results have shown that use of the
geometric mean produces significantly lower AEI values within the required three
year averaging periods and slightly lower changes in the AEI value between the
three year averaging periods than the use of the arithmetic mean. The use of
weighted means in the calculation, using the data capture at each site as the
weighting parameter, has also been tested and this is proposed as a useful way of
taking account of the confidence of each data set.
PMID- 22095295
TI - Controlled delivery of basal level of insulin from chitosan-zinc-insulin-complex
loaded thermosensitive copolymer.
AB - Present study was aimed at developing a delivery system for controlled release of
insulin, based on chitosan-zinc-insulin complex incorporated into poly(lactic
acid)-poly(ethylene glycol)-poly(lactic acid) (4500 Da) thermosensitive polymer.
In vitro release of insulin from the delivery system was studied in phosphate
buffered saline (pH 7.4). The effect of zinc and chitosan on the stability of
insulin in the delivery systems during release and storage at 4 degrees C and 37
degrees C was investigated. Circular dichroism, calorimetry, polyacrylamide gel
electrophoresis (PAGE), high-performance liquid chromatography, and matrix
assisted laser desorption/ionization-time-of-flight mass spectrometry were used
to determine the stability of insulin released and extracted from the gel. A
significant decrease (p < 0.05) in the initial burst was observed from the
formulation containing chitosan-zinc-insulin complex, compared with all other
formulations. The formulations containing chitosan-zinc-insulin complex showed a
long-term controlled release (~ 84 days) of insulin. Insulin released and
extracted from the gel was conformationally and structurally stable. Bands at 12
kDa were observed in native PAGE, but sodium dodecyl sulfate-PAGE indicated
noncovalent nature of insulin aggregates. Thus, the chitosan-zinc-insulin complex
significantly reduced the initial burst release and prolonged the release of
insulin. It also improved the stability of insulin in the delivery system and
protected insulin from aggregation during the entire release period and storage.
PMID- 22095296
TI - Low levels of X-inactive specific transcript in somatic cell nuclear transfer
embryos derived from female bovine freemartin donor cells.
AB - The present study compared developmental potential, telomerase activity and
transcript levels of X-linked genes (HPRT, MECP2, RPS4X, SLC25A6, XIAP, XIST and
ZFX) in bovine somatic cell nuclear transfer (SCNT) embryos reconstructed with
cells derived from a freemartin (female with a male co-twin) or from normal
female cattle (control). The rates of cleavage, development to blastocyst and
hatched blastocyst stage, and the mean numbers of total and inner cell mass cells
in the freemartin SCNT embryos were not significantly different from those of
control SCNT embryos (p > 0.05). The levels of telomerase activity analyzed by RQ
TRAP in the freemartin SCNT embryos were also similar to those of the normal SCNT
embryos. Transcript levels of HPRT, MECP2, RPS4X and XIAP, measured by
quantitative real-time RT-PCR, were not significantly different between the
control and freemartin SCNT embryos (p > 0.05). However, the transcript levels of
SLC25A6, XIST and ZFX were significantly decreased in the freemartin SCNT embryos
compared to control SCNT embryos (p < 0.05). Transfer of 71 freemartin SCNT
embryos to 22 recipient cows resulted in 4 (18%) pregnancies, which were lost
between days 28 and 90 of gestation. Taken together, the present study
demonstrates that the transcript levels of several X-linked genes, especially
XIST, showed an aberrant pattern in the freemartin SCNT embryos, suggesting
aberrant X inactivation in freemartin clones which may affect embryo survival.
PMID- 22095298
TI - The 4th Colorectal Meeting in Tianjin, China.
PMID- 22095297
TI - Age-related cardiovascular disease and the beneficial effects of calorie
restriction.
AB - Aging is a well-recognized risk factor in the development of cardiovascular
disease, which is the primary cause of death and disability in the elderly
population. The normal process of aging is associated with progressive
deterioration in structure and function of the heart and vasculature. These age
related changes likely act as both a catalyst and accelerator in the development
of cardiovascular disease. Since the aging population is one of the fastest
growing segments of the population, it is of vital importance that we have a
thorough understanding of the physiological changes that occur with aging that
contribute to the high incidence of cardiovascular disease in this population.
This insight will allow for the development of more targeted therapies that can
prevent and treat these conditions. One such anti-aging strategy that has
received considerable attention as of late is calorie restriction. Calorie
restriction has emerged as one of the most effective and reproducible
interventions for extending lifespan, as well as protecting against obesity,
metabolic disorders, and cardiovascular disease. Herein, we review the multiple
beneficial effects that calorie restriction and resveratrol exert on the
cardiovascular system with a particular focus on aging. Although calorie
restriction and resveratrol have proven to be very effective in preventing and
treating the development of cardiovascular disease in animal models, studies
continue as to whether these profound beneficial effects can translate to humans
to improve cardiovascular health.
PMID- 22095299
TI - D. Geisler and T. Garrett: Single incision laparoscopic colorectal surgery: a
single surgeon experience of 102 consecutive cases.
PMID- 22095300
TI - Resection of recurrent rectal cancer with encasement of external iliac vessels.
PMID- 22095306
TI - High negative valence does not protect emotional event-related potentials from
spatial inattention and perceptual load.
AB - Previous research suggests that intense, emotional pictures at fixation elicit an
early posterior negativity (EPN) and a late positive potential (LPP) despite
manipulations of spatial inattention and perceptual load. However, if high
emotional intensity protects against such manipulations, then these manipulations
should reduce emotional effects on EPN and LPP more strongly for medium than for
intense emotional pictures. To test this prediction, pictures that were high
negative, medium negative, or neutral were shown at fixation, and a small letter
string was superimposed on the picture center. When participants attended the
pictures, there were clear emotional effects on EPN and LPP. When participants
attended the letter string, the emotional effects on LPP decreased; this decrease
was smaller for medium than for high negative pictures. Thus, opposite of
predictions, spatial inattention reduced the emotional effects more strongly for
high than for medium negative pictures. As a manipulation of perceptual load,
participants performed the letter task with one, three, or six relevant letters.
Irrespective of load, EPN and LPP were similar for high and medium negative
pictures. Our findings suggest that high negative valence does not protect EPN
and LPP more strongly from effects of spatial inattention and perceptual load
than does medium negative valence.
PMID- 22095307
TI - High prevalence detection of Chlamydia trachomatis by polymerase chain reaction
in endocervical samples of infertile women attending university hospital in
Manaus-Amazonas, Brazil.
AB - BACKGROUND: We established for the first time the prevalence of Chlamydia
trachomatis infection among infertile women in Manaus Amazonas Brazil using the
polymerase chain reaction (PCR) technique. METHODS: 106 women were studied at a
public university hospital fertility clinic for infertility problems correlated
with chlamydia infection. Social-economic and clinical information was obtained
before medical examination to obtain samples for the amplification of C.
trachomatis DNA plasmid. RESULTS: The prevalence of chlamydial infection among
infertile women was 52.8, and 51.8% of the positive participants were older than
30 years of age (p = 0.8697). Of the 56 women positive for C. trachomatis, 31
(55.4%) had never given birth, while 9 (16%) had a pregnancy resulting in fetal
death. Our findings also revealed that these patients had a low socio-economic
status and high unemployment (p = 0.0274), and a significant association of 5% (p
< 0.05) of chlamydial infection with family income. CONCLUSIONS: Due to the high
prevalence of C. trachomatis, concerns about effects on reproductive health and
fertility are undertaken. Therefore, we believe it is extremely necessary to
implement large-scale PCR-based screening as part of routine clinical detection
programs concerning preventive effects of chlamydial infection among this
population.
PMID- 22095308
TI - Pilot study: a randomised, double blind, placebo controlled trial of
pancrealipase for the treatment of postprandial irritable bowel syndrome
diarrhoea.
AB - OBJECTIVE: To evaluate the efficacy of pancrealipase (PEZ) compared with placebo
in the reduction of postprandial irritable bowel syndrome-diarrhoea (IBS-D).
DESIGN: An intention to treat, double blind, randomised, crossover trial
comparing PEZ to placebo for reduction of postprandial IBS-D. Patients had to
recognise at least two different triggering foods, be willing to consume six
baseline 'trigger meals' and again blinded with PEZ and placebo. Patients then
chose which drug they preferred for another 25 meals. SETTING: Outpatient
internal medicine practice clinic. PATIENTS: 255 patients were screened; 83 met
the criteria, including 5 years of symptoms, recognised 'food triggers', no other
identifiable cause for the symptoms, either a normal colonoscopy or barium enema
while symptomatic and able to discontinue all anticholinergic medications. 69
patients were enrolled, 20 withdrew before randomisation, leaving 49 patients: 14
men, 35 women, mean age 52 years (SD 15.3). Over 60% had experienced symptoms for
11-30 years and 16% for more than 40 years. INTERVENTIONS: After completing six
baseline meals, patients were randomised in blocks of four to receive either
identical PEZ or a placebo for another six meals, and after a washout period of
time received the alternative drug. MAIN OUTCOME MEASURES: The primary analysis
was number of patients who chose PEZ over placebo for the extended use. RESULTS:
Overall, 30/49 (61%) would have chosen PEZ (p=0.078), with first drug preference
for PEZ at 0.002. Among the PEZ subgroup, PEZ use compared with placebo,
demonstrated improvement in all symptoms (p<=0.001) for cramping, bloating,
borborygami, urge to defecate, global pain and decrease stooling with increase in
stool firmness. CONCLUSIONS: PEZ was found in a small group of patients to
reduce postprandial IBS-D symptoms and deserves further evaluation.
PMID- 22095309
TI - Endoscopic sinus surgery checklist.
AB - Over 250,000 endoscopic sinus surgeries are performed yearly in the United States
alone. Although overall complication rates are low, errors can lead to
significant morbidity due to the close proximity of the sinuses to the orbit and
skull base and the resultant potential for blindness, cerebrospinal fluid leak,
and catastrophic bleeding. Surgical checklists are endorsed by the World Health
Organization and have been incorporated into most U.S. operating rooms as a
measure to minimize avoidable errors. Standardized surgical checklists were
developed with general and/or orthopedic procedures in mind, but in many
instances they fail to incorporate concerns specific to endoscopic sinus surgery
(ESS). In response to this clinical problem, we sought to develop and institute
an ESS surgical checklist. This checklist can serve as a template for physicians
who perform ESS and wish to prevent avoidable adverse events.
PMID- 22095310
TI - Synthesis of the complete series of mono acetates of N-acetyl-D-neuraminic acid.
AB - The short syntheses of each of the mono-acetates of N-acetyl-D-neuraminic acid
are reported. These are important molecules for studying the mechanism and
function of enzymes which utilise Neu5Ac as a substrate. However, until now these
molecules were not available as pure compounds and instead had to be studied as
mixtures. Neu4,5Ac(2) and Neu5,8Ac(2) were synthesised from a common precursor in
2 and 4 steps respectively, while Neu2,4Ac(2) and Neu5,7Ac(2) were synthesised in
3 and 4 steps respectively from another common precursor. Both precursors could
be easily prepared in 3 steps from Neu5Ac itself. Importantly, no scrambling of
the anomeric stereochemistry was detected throughout the course of these
syntheses.
PMID- 22095311
TI - Convenient photooxidation of alcohols using dye sensitised zinc oxide in
combination with silver nitrate and TEMPO.
AB - A novel photooxidative system using dye sensitised zinc oxide in combination with
silver nitrate and 2,2,6,6-tetramethylpiperidine-1-oxyl radical (TEMPO) in an
aqueous solution is described. Under visible light irradiation the selective
oxidation of alcohols to their corresponding aldehydes and ketones was effected
in good to excellent yields.
PMID- 22095312
TI - Sleep-disordered breathing is a risk factor for community-acquired alveolar
pneumonia in early childhood.
AB - BACKGROUND: Data are scarce with regard to risk factors for acute community
acquired alveolar pneumonia (CAAP) in children, but it is known that children
with sleep-disordered breathing (SDB) experience more respiratory infections. We
aimed to assess whether SDB is a risk factor for CAAP in early childhood.
METHODS: We conducted a prospective, nested, case-control study assessing
children < 5 years old who had been given a diagnosis of CAAP based on World
Health Organization radiographic criteria. Demographic and clinical data were
collected. SDB symptoms were documented using a structured questionnaire. CAAP
study and retrospective sleep laboratory databases were compared. SDB presence
and severity were determined by questionnaire and polysomnography (PSG). RESULTS:
A total of 14,913 children underwent chest radiography during the study period;
1,546 children with radiographically proven CAAP (58% boys) and 441 control
subjects (54% boys) were prospectively enrolled. Frequent snoring was reported in
18.6% vs 2.9% subjects with CAAP and control subjects, respectively (P < .001).
The respective figures for subjects with CAAP and control subjects for restless
sleep, nocturnal breathing problems, abnormal behavior, and chronic rhinorrhea
were 21.6% vs 5.3%, 5% vs 1.4%, 6.4% vs 0.2%, and 12.9% vs 1.8%, (P < .001 for
each). Fifty children (3.3%) with CAAP vs three control subjects (0.7%) underwent
adenoidectomy (P < .001). PSG diagnosis of obstructive sleep apnea had been
established previously in 79 patients (5%) with CAAP vs six (1.3%) of the control
subjects (OR, 3.7 [95% CI, 1.6-10.0]; P < .001), with higher severity in patients
with CAAP than in control subjects. CONCLUSIONS: SDB is common in children with
CAAP and is possibly a predisposing risk factor for CAAP in children < 5 years
old. We recommend considering SDB in young children who are given a diagnosis of
CAAP.
PMID- 22095313
TI - Prevalence of diagnosed sleep apnea among patients with type 2 diabetes in
primary care.
AB - BACKGROUND: Although up to 90% of patients with type 2 diabetes mellitus (T2DM)
have obstructive sleep apnea (OSA), the rate at which primary care providers
diagnose OSA in patients with diabetes has not been assessed. METHODS: A
retrospective, population-based, multiclinic study was performed to determine the
proportion of patients with T2DM managed in primary care clinics who were given a
diagnosis of OSA and to identify factors associated with an OSA diagnosis.
Electronic health records of adult patients with a diagnosis of T2DM were
reviewed for a coexisting diagnosis of OSA, and the diagnostic prevalence of OSA
was compared with the expected prevalence. RESULTS: A total of 16,066 patients
with diabetes with one or more primary care office visits in 27 primary care
ambulatory practices during an 18-month period from 2009 to 2010 were identified.
Analysis revealed that 18% of the study population received an OSA diagnosis,
which is less than the 54% to 94% prevalence reported previously. The 23%
prevalence of OSA among obese study patients was lower than the expected 87%
prevalence. In a logistic model, male sex, BMI, several chronic conditions, and
lower low-density lipoprotein levels and hemoglobin A1c identified patients more
likely to carry an OSA diagnosis (likelihood ratio, chi(2) = 1,713; P < .0001).
CONCLUSIONS: Primary care providers underdiagnose OSA in patients with T2DM.
Obese men with comorbid chronic health conditions are more likely to receive a
diagnosis of OSA. Efforts to improve awareness of the association of OSA with
T2DM and to implement OSA screening tools should target primary care physicians.
PMID- 22095314
TI - Informatics in radiology: Hesse rendering for computer-aided visualization and
analysis of anomalies at chest CT and breast MR imaging.
AB - A volume-rendering (VR) technique known as Hesse rendering applies image
enhancement filters to three-dimensional imaging volumes and depicts the filter
responses in a color-coded fashion. Unlike direct VR, which makes use of
intensities, Hesse rendering operates on the basis of shape properties, such that
nodular structures in the resulting renderings have different colors than do
tubular structures and thus are easily visualized. The renderings are mouse-click
sensitive and can be used to navigate to locations of possible anomalies in the
original images. Hesse rendering is meant to complement rather than replace
conventional section-by-section viewing or VR. Although it is a pure
visualization technique that involves no internal segmentation or explicit object
detection, Hesse rendering, like computer-aided detection, may be effective for
quickly calling attention to points of interest in large stacks of images and for
helping radiologists to avoid oversights.
PMID- 22095315
TI - Quality initiatives: Establishing an interventional radiology patient radiation
safety program.
AB - The Interventional Radiology Patient Radiation Safety Program was created to
better educate patients who are scheduled to undergo high-dose interventional
radiologic procedures about the risks of radiation, better monitor the delivered
doses, and reduce the risk for deterministic effects. The program combines
preprocedure evaluation and counseling, intraprocedure monitoring, and
postprocedure documentation and counseling with the guidelines of the National
Cancer Institute and the Society of Interventional Radiology. Between July 2009,
when the program was implemented, and September 2010, over 3500 interventional
radiologic procedures were monitored and documented, and 63 procedures with an
adjusted cumulative dose of more than 3 Gy were identified and further analyzed;
four procedures were found to be outside the control limits. Additional review of
these four procedures resulted in practice modifications. Anecdotal feedback from
physician assistants and attending physicians indicated that the program had
another positive effect: Patients who required postprocedure counseling about the
potential for radiation-induced skin injuries were no longer surprised by this
information. Implementation of this program is straightforward, requires little
infrastructure and few resources, and may be applied in most interventional
radiology practices. Supplemental material available at
http://radiographics.rsna.org/lookup/suppl/doi:10.1148/rg.321115002/-/DC1.
PMID- 22095316
TI - Comparison of four mathematical models to analyze indicator-dilution curves in
the coronary circulation.
AB - While several models have proven to result in accurate estimations when measuring
cardiac output using indicator dilution, the mono-exponential model has primarily
been chosen for deriving coronary blood/plasma volume. In this study, we compared
four models to derive coronary plasma volume using indicator dilution; the mono
exponential, power-law, gamma-variate, and local density random walk (LDRW)
model. In anesthetized goats (N = 14), we determined the distribution volume of
high molecular weight (2,000 kDa) dextrans. A bolus injection (1.0 ml, 0.65
mg/ml) was given intracoronary and coronary venous blood samples were taken every
0.5-1.0 s; outflow curves were analyzed using the four aforementioned models.
Measurements were done at baseline and during adenosine infusion. Absolute
coronary plasma volume estimates varied by ~25% between models, while the
relative volume increase during adenosine infusion was similar for all models.
The gamma-variate, LDRW, and mono-exponential model resulted in volumes
corresponding with literature, whereas the power-model seemed to overestimate the
coronary plasma volume. The gamma-variate and LDRW model appear to be suitable
alternative models to the mono-exponential model to analyze coronary indicator
dilution curves, particularly since these models are minimally influenced by
outliers and do not depend on data of the descending slope of the curve only.
PMID- 22095317
TI - The Nightingale Prize 2011 for best MBEC paper in 2010.
PMID- 22095318
TI - Comparison of early and late images on 123I-iomazenil SPECT with cerebral blood
flow and oxygen extraction fraction images on PET in the cerebral cortex of
patients with chronic unilateral major cerebral artery occlusive disease.
AB - OBJECTIVE: The aim of the present study was to determine whether early and
late/early images on 123I-iomazenil (IMZ) single-photon emission computed
tomography (SPECT) correlate with cerebral blood flow (CBF) images and oxygen
extraction fraction (OEF) images on PET, respectively, in the cerebral cortex of
patients with chronic unilateral middle cerebral artery or internal carotid
artery occlusive disease. METHODS: In 20 normal individuals and in 68 patients,
CBF and OEF were assessed using 15O-PET, and brain SPECT scans were initiated
immediately after (early images) and 180 min after (late images) the
administration of 123I-IMZ. A region of interest was automatically placed in the
middle cerebral artery territory in both cerebral hemispheres using a three
dimensional stereotaxic region of interest template, and the ratio of the value
in the affected side to that in the contralateral side was calculated in each
image. RESULTS: Among patients, a significant positive correlation was observed
between PET-CBF ratios and the SPECT-early IMZ ratios (r=0.797, P<0.0001) as well
as between the PET-OEF ratios and the SPECT-late/early IMZ ratios (r=0.679,
P<0.0001). When an abnormally elevated PET-OEF ratio was defined as a value
greater than the mean+2 SD obtained in normal participants, the SPECT-late/early
IMZ ratios yielded 100% sensitivity and 93% specificity, with 76% positive
predictive and 100% negative-predictive values for detecting abnormally elevated
PET-OEF ratios. CONCLUSION: Early and late/early images on 123I-IMZ SPECT
correlate with CBF images and OEF images on PET, respectively, in the cerebral
cortex of patients with chronic unilateral major cerebral artery occlusive
disease.
PMID- 22095319
TI - Comparing the Finapres and Caretaker systems for measuring pulse transit time
before and after exercise.
AB - We aimed to compare the Finapres system, which is designed for accurate intra
arterial amplitude measurement, to the Caretaker system, which is designed for
temporal accuracy of intra-arterial measurement, in regard to measurement of
pulse transit time (PTT) at baseline and following an endurance exercise session.
Pulse transit time was evaluated between the R-wave of the ECG and the foot of
the arterial waveform using either the Finapres (fpPTT) or Caretaker (ctPTT). 23
participants were measured before and after completion of endurance exercise.
When comparing PTT values before and after an exercise intervention within
devices, ctPTT was significantly different following exercise (P=0.03); however,
the Finapres obtained values did not differ significantly. Before exercise, there
was no significant relationship between devices, however, after exercise a
significant moderate correlation was observed (r=0.45, P=0.02). Significant
differences existed between ctPTT and fpPTT (P< 0.001). The Caretaker system
appears to be more accurate at detecting changes in PTT occurring as a result of
a single aerobic exercise session. This may be due to the servo-controller
feedback loop in the waveform contour predicting algorithm within the Finapres
system, which is not present in the Caretaker unit. The Finapres system also
appears to have an inherent delay in pulse contour reporting.
PMID- 22095320
TI - Is EMG of the lower leg dependent on weekly running mileage?
AB - Neuromuscular activity of the lower leg is dependent on the task performed, speed
of movement and gender. Whether training volume influences neuromuscular activity
is not known. The EMG of physically active persons differing in running mileage
was analysed to investigate this. 55 volunteers were allocated to a low (LM: < 30
km), intermediate (IM: > 30 km & < 45 km) or high mileage (HM: > 45 km) group
according to their weekly running volume. Neuromuscular activity of the lower leg
was measured during running (3.33 m.s - 1). Mean amplitude values for
preactivation, weight acceptance and push-off were calculated and normalised to
the mean activity of the entire gait cycle.Higher activity in the gastrocnemius
group was observed in weight acceptance in LM compared to IM (+30%) and HM (+25%)
but lower activity was present in the push-off for LM compared to IM and HM. For
the peroneal muscle, differences were present in the push-off where HM showed
increased activity compared to IM (+24%) and LM (+60%). The tibial muscle
revealed slightly lower activity during preactivation for the high mileage
runners. Neuromuscular activity differs during stance between the high and
intermediate group compared to low mileage runners. Slight adaptations in
neuromuscular activation indicate a more target-oriented activation strategy
possibly due to repetitive training in runners with higher weekly mileage.
PMID- 22095321
TI - Sport and oxidative stress in oncological patients.
AB - Oxidative stress is thought to be an important factor in the onset, progression
and recurrence of cancer. In order to investigate how it is influenced by
physical activity, we measured oxidative stress and antioxidative capacity (aoC)
in 12 women with breast cancer and 6 men with prostate cancer, before and after
long hiking trips. Before the hike, the men had a ROS-concentration of 1.8+/-0.6
mM H2O2 and an aoC of 0.7+/-0.6 mM Trolox-equivalent (Tro), while the women had a
ROS-concentration of 3.1+/-0.7 mM H2O2 and an aoC of 1.2+/-0.2 mM Tro. After the
hike, women showed no significant change in ROS and a significant increase in aoC
(1.3+/-0.2 mM Tro), while the ROS concentration in men increased significantly
(2.1+/-0.3 mM H2O2) and their aoC decreased (0.25+/-0.1 mM Tro). After a
regenerative phase, the ROS concentration of the men decreased to 1.7+/-0.4 mM
H2O2 and their aoC recovered significantly (1.2+/-0.4 mM Tro), while the women
presented no significant change in the concentration of H2O2 but showed an
ulterior increase in antioxidant capacity (2.05+/-0.43 mM Tro). From this data we
conclude that physical training programs as for example long distance hiking
trips can improve the aoC in the blood of oncological patients.
PMID- 22095323
TI - ENT function in a 14-days guinness scuba dive.
AB - Scuba diving is known to affect the rhino-pharyngo-tubaric district (RPT unit).
The aim of the study was to document function modifications of the RPT unit in 6
Italian divers (3 men and 3 women) who lived for 14 days consecutively at a depth
of 8-10 m, breathing air (21% oxygen) at a pressure ranging between 1.8 and 2
ATA. RPT and inner ear assessment were carried out before the dive (TIME 0) and
24 h (TIME 1) after resurfacing, in order to investigate diving-related RPT and
inner ear alterations. Physical examination after resurfacing revealed: fungal
external otitis, otoscopic findings consistent with middle ear barotraumas and
rhinosinusitis. Rhino-manometry showed a remarkable increase in inspiratory nasal
flow and a substantial decrease in nasal resistance. No epithelial cell
disruption was retrieved comparing pre and post resurfacing samples. Post-diving
tubaric dysfunction was found. Pure tone audiometry revealed a bilateral 40 dB HL
hearing loss at 4 kHz in 1 diver. Relevant PTA functions did not seem to be
affected by the experiment, no remarkable changes were found at the Sensory
Organisation Test and at the Motor Control Test. The 14-day underwater period had
a positive effect on nasal flows and resistances.
PMID- 22095322
TI - Exercise training improves vasoreactivity in the knee artery.
AB - Physical activity has been shown to enhance endothelial function of central and
peripheral vascular beds. The primary purpose of the present study was to test
the hypothesis that a short-term exercise training program would result in
enhanced endothelium-dependent vasorelaxation of a major artery supplying blood
flow to the knee joint, the middle genicular artery. Female Yucatan miniature
swine were randomly assigned into exercise trained (n=7) or sedentary (n=7)
groups. Exercise trained pigs underwent a daily exercise training program on
treadmills for 7 days. In vitro assessment of vasorelaxation was determined in a
dose response manner by administrating increasing doses of 3 different dilators;
adenosine diphosphate, bradykinin, and sodium nitroprusside. The role of nitric
oxide synthase and cyclooxygenase pathways in vasomotor responses was evaluated
with specific inhibitors using nitro-L-arginine methyl ester and indomethacin
incubation, respectively. The results of this investigation indicate that
adenosine and bradykinin-induced endothelium-dependent vasorelaxation were
significantly enhanced in middle genicular artery from exercise trained pigs
(p<0.05). Endothelium-independent vasorelaxation was not altered with exercise
training as determined by the response to sodium nitroprusside. The findings of
the present investigation indicate that short-term exercise training enhances
endothelial function of middle genicular artery through adaptations in the nitric
oxide synthase and by non-nitric oxide synthase, non-cyclooxygenase pathways.
PMID- 22095324
TI - Reliability of a high-intensity endurance cycling test.
AB - This study assessed the reproducibility of performance and selected metabolic
variables during a variable high-intensity endurance cycling test. 8 trained male
cyclists (age: 35.9 +/- 7.7 years, maximal oxygen uptake: 54.3 +/- 3.9 mL.kg -
1.min - 1) completed 4 high-intensity cycling tests, performed in consecutive
weeks. The protocol comprised: 20 min of progressive incremental exercise, where
the power output was increased by 5% maximal workload (Wmax) every 5 min from 70%
Wmax to 85% Wmax; ten 90 s bouts at 90% Wmax, separated by 180 s at 55% Wmax; 90%
Wmax until volitional exhaustion. Blood samples were drawn and heart rate was
monitored throughout the protocol. There was no significant order effect between
trials for time to exhaustion (mean: 4 113.0 +/- 60.8 s) or total distance
covered (mean: 4 6126.2 +/- 1 968.7 m). Total time to exhaustion and total
distance covered showed very high reliability with a mean coefficient of
variation (CV) of 1.6% (95% Confidence Intervals (CI) 0.0 +/- 124.3 s) and CV of
2.2% (95% CI 0.0 +/- 1904.9 m), respectively. Variability in plasma glucose
concentrations across the time points was very small (CV 0.46-4.3%, mean 95% CI
0.0 +/- 0.33 to 0.0 +/- 0.94 mmol.L - 1). Plasma lactate concentrations showed no
test order effect. The reliability of performance and metabolic variables makes
this protocol a valid test to evaluate nutritional interventions in endurance
cycling.
PMID- 22095325
TI - MMP(-2) expression in skeletal muscle after strength training.
AB - The aim of this study was to assess the effects of resistance training on ladders
(RTL) on MMP(-2) expression and blood lactate concentration [La-]. 30 male (3
months of age), albino rats were divided into 3 groups: sedentary control (SC,
n=10), low resistance exercise training (Low-IntRT, n=10) and high-intensive
exercise training (High-IntRT, n=10). Animals of High-IntRT were submitted to a
progressively increasing overload in relation to body weight until exhaustion,
while the Low-IntRT group performed the same exercise regimen with no external
load. The program had a frequency of 3 times per week over 8 weeks. MMP(-2)
expression of tibialis anterior muscle and [La-] were measured. While there was a
significant increase of MMP(-2) (pro-form) in both groups, only High-IntRT
significantly increased MMP(-2) in active-form (p<0.05). Both trained groups
exhibited an increase in [La-] when compared to controls, however, the increase
in [La-] was significantly higher in the High-IntRT compared to Low-IntRT
(p<0.05). Strong correlation was found between MMP(-2) (active form) and [La-] in
High-IntRT (r=0.91). RTL in using low and high-intensity exercise can serve as a
model to demonstrate different responses of MMP(-2) expression in an animal
model. It appears active form expression of MMP(-2) is modulated by exercise
intensity.
PMID- 22095326
TI - Influence of acute eccentric exercise on the H:Q ratio.
AB - The purpose of the present study was to examine the effects of an acute bout of
eccentric exercise on maximal isokinetic concentric peak torque (PT) of the leg
flexors and extensors and the hamstrings-to-quadriceps (H:Q) strength ratio.
Sixteen male (mean+/-SD: age=20.9+/-2 years; stature=177.0+/-4.4 cm; mass=76.8+/
10.0 kg) volunteers performed maximal, concentric isokinetic leg extension and
flexion muscle actions at 60 degrees .sec - 1 before and after (24-72 h) a bout
of eccentric exercise. The eccentric exercise protocol consisted of 4 sets of 10
repetitions for the leg press, leg extension, and leg curl exercises at 120% of
the concentric one repetition maximum (1-RM). The results indicated that the
acute eccentric exercise protocol resulted in a significant (P<0.05) decrease in
isokinetic leg flexion (13-19%) and leg extension (11-16%) PT 24-72 h post
exercise. However, the H:Q ratios were unaltered by the eccentric exercise
protocol. These findings suggest that an acute bout of eccentric exercise
utilizing both multi - and single - joint dynamic constant external resistance
(DCER) exercises results in similar decreases in maximal isokinetic strength of
the leg flexors and extensors, but does not alter the H:Q ratio.
PMID- 22095327
TI - Hypogonadism and anemia in an athlete.
AB - We report the case of a highly trained endurance athlete (22-year-old) who
developed anemia (Hb 9.5 mg/dl) over a period of 6 months. Iron deficient or
haemolytic anemia, as well as chronic loss of blood, were excluded. Further,
laboratory analyses revealed that this athlete exhibited very low levels of
testosterone due to a partial hypogonadotropic hypogonadism. Following
testosterone supplementation, red blood cell indices improved. Although
hypogonadotropic hypogonadism is well known to be associated with reduced
hematopoesis, it rarely causes anemia in athletes. This should be considered as a
possible cause for anemia. Extreme training, unbalanced nutrition or the
combination of both, have been shown to be causally involved in the development
of secondary hypogonadotropic hypogonadism.
PMID- 22095328
TI - Movement demands and match performance in professional Australian football.
AB - This study examined the relationship between coaches' perception of match
performance and movement demands in Australian Football. Movement demands were
collected from 21 professional players over 12 matches during one Australian
Football League season, with 69 player files collected. Additionally, match
events relative to playing time and distance covered, along with player physical
characteristics were collected. Based on coaches subjective rating of match
performance (out of 20), relatively high calibre (HC) players (>= 15/20) were
compared with relatively low calibre (LC) players (<= 9/20) for all variables.
The HC players were older (+17%, p=0.011), spent a greater percentage of time
performing low-speed running (+2%, p=0.039), had more kicks (38%, p=0.001) and
disposals (35%, p=0.001) per min and covered less distance per kick (- 50%,
p=0.001) and disposal (- 44%, p=0.001) than the LC group, with the effect sizes
also supporting this trend. Further, HC players covered less distance (- 14%,
p=0.037), spent less percentage of time (- 17%, p=0.037) and performed fewer (-
9%, p=0.026) efforts per min high-speed running than LC players, which was
further confirmed by the effect sizes. Movement demands and match events are
related to coaches' perception of match performance in professional Australian
Football. Further, high levels of involvement with the football appeared to be
more important to performance than high exercise speed.
PMID- 22095329
TI - Haemophilia and exercise.
AB - One of the most important objectives of intervention programs for persons with
haemophilia (PWH) is to improve their quality of life. Regular physical activity
has been recommended as an adjunct to conventional treatment, with positive
results in the prevention of joint problems and bleeding, in addition to the
improvement in cardiovascular function, muscle strength, and body composition.
The objective of the present review was to present the benefits of aerobic and
resistance training programs in PWH, as well to discuss the best exercise dose
response in the different levels of disease severity. We considered randomized
controlled trials, study cases and literature reviews from MEDLINE and Highwire
databases. After a detailed analysis of the studies involving exercise for PWH,
it can be concluded that this intervention elicits some benefits for physical
fitness and blood coagulation mechanisms, suggesting the application of physical
training as a non pharmacological treatment in association with conventional
treatment. Adequate and periodized resistance training considering the disease
severity, accompanied by physical education professionals could improve muscle
strength, balance and proprioception. In addition, aerobic training could reduce
the risks of obesity and several metabolic and cardiovascular diseases. Exercise
can improve several outcomes of quality in PWH.
PMID- 22095330
TI - Global alcohol producers, science, and policy: the case of the International
Center for Alcohol Policies.
AB - In this article, I document strategies used by alcohol producers to influence
national and global science and policy. Their strategies include producing
scholarly publications with incomplete, distorted views of the science underlying
alcohol policies; pressuring national and international governmental
institutions; and encouraging collaboration of public health researchers with
alcohol industry-funded organizations and researchers. I conclude with a call
for an enhanced research agenda drawing on sources seldom used by public health
research, more focused resourcing of global public health bodies such as the
World Health Organization to counterbalance industry initiatives, development of
technical assistance and other materials to assist countries with effective
alcohol-control strategies, and further development of an ethical stance
regarding collaboration with industries that profit from unhealthy consumption of
their products.
PMID- 22095331
TI - Inventing conflicts of interest: a history of tobacco industry tactics.
AB - Confronted by compelling peer-reviewed scientific evidence of the harms of
smoking, the tobacco industry, beginning in the 1950s, used sophisticated public
relations approaches to undermine and distort the emerging science. The industry
campaign worked to create a scientific controversy through a program that
depended on the creation of industry-academic conflicts of interest. This
strategy of producing scientific uncertainty undercut public health efforts and
regulatory interventions designed to reduce the harms of smoking. A number of
industries have subsequently followed this approach to disrupting normative
science. Claims of scientific uncertainty and lack of proof also lead to the
assertion of individual responsibility for industrially produced health risks.
PMID- 22095332
TI - Changing perceptions: of pandemic influenza and public health responses.
AB - According to the latest World Bank estimates, over the past decade some US $4.3
billion has been pledged by governments to combat the threat of pandemic
influenza. Presidents, prime ministers, and even dictators the world over have
been keen to demonstrate their commitment to tackling this disease, but this has
not always been the case. Indeed, government-led intervention in responding to
the threat of pandemic influenza is a relatively recent phenomenon. I explore how
human understandings of influenza have altered over the past 500 years and how
public policy responses have shifted accordingly. I trace the progress in human
understanding of causation from meteorological conditions to the microscopic, and
how this has prompted changes in public policy to mitigate the disease's impact.
I also examine the latest trend of viewing pandemic influenza as a security
threat and how this has changed contemporary governance structures and power
dynamics.
PMID- 22095333
TI - Sugar-sweetened beverage taxes in Brazil.
AB - OBJECTIVES: We investigated whether taxing sugar-sweetened beverages (SSBs) would
improve the diets of households in Brazil. METHODS: We used household food
consumption data that the Brazilian Institute of Geography and Statistics
collected in 2002-2003 from a nationally representative sample of 48,470
Brazilian households. The consumption of SSBs is expressed as the total SSB
calories consumed and as the SSB percentage of the total calories purchased. We
investigated price elasticity with regression models, controlling for demographic
variables, income, and prices of all other foods and drinks. RESULTS: Increases
in the price of SSBs led to reductions in consumption. A 1.00% increase in the
price of SSBs led to a 0.85% reduction of SSB calories consumed (1.03% reduction
for the poor and 0.63% for the nonpoor). Increased income had a positive effect
on SSB consumption, but the effect was less than half the size of the price
elasticity (0.41% increase in SSB calories consumed for every 1.00% increase in
income). CONCLUSIONS: High SSB price elasticity in Brazil indicates that a tax on
purchased weight or volume would lead to reductions in SSB consumption.
PMID- 22095334
TI - Consequences of industry relationships for public health and medicine.
PMID- 22095335
TI - Promoting transparency in pharmaceutical industry-sponsored research.
AB - Strong, evidence-based practice requires that objective, unbiased research be
available to inform individual clinical decisions, systematic reviews, meta
analyses, and expert guideline recommendations. Industry has used seeding
trials, publication planning, messaging, ghostwriting, and selective publication
and reporting of trial outcomes to distort the medical literature and undermine
clinical trial research by obscuring information relevant to patients and
physicians. Policies that promote transparency in the clinical trial research
process, through improved and expanded disclosure of investigator contributions
and funding, comprehensive publicly available trial registration, and independent
analysis of clinical trial data analysis may address these subversive practices
by improving accountability among industry and investigators. Minimizing
marketing's impact on clinical trial research and strengthening the science will
protect medical literature's integrity and the public's health.
PMID- 22095337
TI - Sexual satisfaction and health are positively associated with penile-vaginal
intercourse but not other sexual activities.
PMID- 22095336
TI - Social gradients in the health of Indigenous Australians.
AB - The pattern of association between socioeconomic factors and health outcomes has
primarily depicted better health for those who are higher in the social
hierarchy. Although this is a ubiquitous finding in the health literature, little
is known about the interplay between these factors among indigenous populations.
We begin to bridge this knowledge gap by assessing evidence on social gradients
in indigenous health in Australia. We reveal a less universal and less consistent
socioeconomic status patterning in health among Indigenous Australians, and
discuss the plausibility of unique historical circumstances and social and
cultural characteristics in explaining these patterns. A more robust evidence
base in this field is fundamental to processes that aim to reduce the pervasive
disparities between indigenous and nonindigenous population health.
PMID- 22095338
TI - Ethical justification for conducting public health surveillance without patient
consent.
AB - Public health surveillance by necessity occurs without explicit patient consent.
There is strong legal and scientific support for maintaining name-based reporting
of infectious diseases and other types of public health surveillance. We present
conditions under which surveillance without explicit patient consent is ethically
justifiable using principles of contemporary clinical and public health ethics.
Overriding individual autonomy must be justified in terms of the obligation of
public health to improve population health, reduce inequities, attend to the
health of vulnerable and systematically disadvantaged persons, and prevent harm.
In addition, data elements collected without consent must represent the minimal
necessary interference, lead to effective public health action, and be maintained
securely.
PMID- 22095339
TI - Joe Camel in a bottle: Diageo, the Smirnoff brand, and the transformation of the
youth alcohol market.
AB - I have documented the shift in youth alcoholic beverage preference from beer to
distilled spirits between 2001 and 2009. I have assessed the role of distilled
spirits industry marketing strategies to promote this shift using the Smirnoff
brand marketing campaign as a case example. I conclude with a discussion of the
similarities in corporate tactics across consumer products with adverse public
health impacts, the importance of studying corporate marketing and public
relations practices, and the implications of those practices for public health.
PMID- 22095340
TI - Operationalization of community-based participatory research principles:
assessment of the national cancer institute's community network programs.
AB - OBJECTIVES: We examined how National Cancer Institute-funded Community Network
Programs (CNPs) operationalized principles of community-based participatory
research (CBPR). METHODS: We reviewed the literature and extant CBPR measurement
tools. On the basis of that review, we developed a 27-item questionnaire for CNPs
to self-assess their operationalization of 9 CBPR principles. Our team comprised
representatives of 9 of the National Cancer Institute's 25 CNPs. RESULTS: Of the
25 CNPs, 22 (88%) completed the questionnaire. Most scored well on CBPR
principles of recognizing community as a unit of identity, building on community
strengths, facilitating colearning, embracing iterative processes in developing
community capacity, and achieving a balance between data generation and
intervention. CNPs varied in the extent to which they employed CBPR principles of
addressing determinants of health, sharing power among partners, engaging the
community in research dissemination, and striving for sustainability.
CONCLUSIONS: Although the development of assessment tools in this field is in its
infancy, our findings suggest that fidelity to CBPR processes can be assessed in
a variety of settings.
PMID- 22095341
TI - Changes in fluoroquinolone use for gonorrhea following publication of revised
treatment guidelines.
AB - OBJECTIVES: We evaluated the impact of revised national treatment recommendations
on fluoroquinolone use for gonorrhea in selected states. METHODS: We evaluated
gonorrhea cases reported through the Sexually Transmitted Disease Surveillance
Network as treated between July 1, 2006 and May 31, 2008, using interrupted time
series analysis. Outcomes were fluoroquinolone treatment overall, by area, and by
practice setting. RESULTS: Of 16,126 cases with treatment dates in this period,
15,669 noted the medication used. After revised recommendations were released,
fluoroquinolone use decreased abruptly overall (21.5%; 95% confidence interval
[CI] = 15.9%, 27.2%), in most geographic areas evaluated, and in sexually
transmitted disease clinics (28.5%; 95% CI = 19.0%, 37.9%). More gradual
decreases were seen in primary care (8.6%; 95% CI = 2.6%, 14.6%), and in
emergency departments, urgent care, and hospitals (2.7%; 95% CI = 1.7%, 3.7%).
CONCLUSIONS: Fluoroquinolone use decreased after the publication of revised
national guidelines, particularly in sexually transmitted disease clinics.
Additional mechanisms are needed to increase the speed and magnitude of changes
in prescribing in primary care, emergency departments, urgent care, and
hospitals.
PMID- 22095342
TI - Core competencies for doctoral education in public health.
AB - The Association of Schools of Public Health (ASPH) released the Doctor of Public
Health (DrPH) Core Competency Model in 2009. Between 2007 and 2009, a national
expert panel with members of the academic and practice communities guided by the
ASPH Education Committee developed its 7 performance domains, including 54
competencies. We provide an overview and analysis of the challenges and issues
associated with the variability in DrPH degree offerings, reflect on the model
development process and related outcomes, and discuss the significance of the
model, future applications, and challenges for integration across educational
settings. With the model, ASPH aims to stimulate national discussion on the
competencies needed by DrPH graduates with the new challenges of 21st-century
public health practice and to better define the DrPH degree.
PMID- 22095343
TI - Cyberbullying, school bullying, and psychological distress: a regional census of
high school students.
AB - OBJECTIVES: Using data from a regional census of high school students, we have
documented the prevalence of cyberbullying and school bullying victimization and
their associations with psychological distress. METHODS: In the fall of 2008,
20,406 ninth- through twelfth-grade students in MetroWest Massachusetts completed
surveys assessing their bullying victimization and psychological distress,
including depressive symptoms, self-injury, and suicidality. RESULTS: A total of
15.8% of students reported cyberbullying and 25.9% reported school bullying in
the past 12 months. A majority (59.7%) of cyberbullying victims were also school
bullying victims; 36.3% of school bullying victims were also cyberbullying
victims. Victimization was higher among nonheterosexually identified youths.
Victims report lower school performance and school attachment. Controlled
analyses indicated that distress was highest among victims of both cyberbullying
and school bullying (adjusted odds ratios [AORs] were from 4.38 for depressive
symptoms to 5.35 for suicide attempts requiring medical treatment). Victims of
either form of bullying alone also reported elevated levels of distress.
CONCLUSIONS: Our findings confirm the need for prevention efforts that address
both forms of bullying and their relation to school performance and mental
health.
PMID- 22095344
TI - Temporal and regional trends in the prevalence of healthy lifestyle
characteristics: United States, 1994-2007.
AB - OBJECTIVES: We examined temporal and regional trends in the prevalence of health
lifestyles in the United States. METHODS: We used 1994 to 2007 data from the
Behavioral Risk Factor Surveillance System to assess 4 healthy lifestyle
characteristics: having a healthy weight, not smoking, consuming fruits and
vegetables, and engaging in physical activity. The concurrent presence of all 4
characteristics was defined as a healthy overall lifestyle. We used logistic
regression to assess temporal and regional trends. RESULTS: The percentages of
individuals who did not smoke (4% increase) and had a healthy weight (10%
decrease) showed the strongest temporal changes from 1994 to 2007. There was
little change in fruit and vegetable consumption or physical activity. The
prevalence of healthy lifestyles increased minimally over time and varied
modestly across regions; in 2007, percentages were higher in the Northeast (6%)
and West (6%) than in the South (4%) and Midwest (4%). CONCLUSIONS: Because of
the large increases in overweight and the declines in smoking, there was little
net change in the prevalence of healthy lifestyles. Despite regional differences,
the prevalence of healthy lifestyles across the United States remains very low.
PMID- 22095345
TI - Against the very idea of the politicization of public health policy.
AB - I criticize the concern over the politicization of public health policy as a
justification for preferring a narrow to a broad model of public health. My
critique proceeds along 2 lines. First, the fact that administrative structures
and actors are primary sources of public health policy demonstrates its
inescapably political and politicized nature. Second, historical evidence shows
that public health in Great Britain and the United States has from its very
inception been political and politicized. I conclude by noting legitimate
ethical concerns regarding the political nature of public health policy and argue
that open deliberation in a democratic social order is best served by
acknowledging the constraints of the inescapably politicized process of public
health policymaking.
PMID- 22095346
TI - Wellness incentives, equity, and the 5 groups problem.
AB - Wellness incentives are an increasingly popular means of encouraging
participation in prevention programs, but they may not benefit all groups
equally. To assist those planning, conducting, and evaluating incentive
programs, I describe the impact of incentives on 5 groups: the "lucky ones," the
"yes-I-can" group, the "I'll-do-it-tomorrow" group, the "unlucky ones," and the
"leave-me-alone" group. The 5 groups problem concerns the question of when
disparities in the capacity to use incentive programs constitute unfairness and
how policymakers ought to respond. I outline 4 policy options: to continue to
offer incentives universally, to offer them universally but with modifications,
to offer targeted rather than universal programs, and to abandon incentive
programs altogether.
PMID- 22095347
TI - Is social clustering of obesity due to social contagion or genetic transmission?
PMID- 22095348
TI - Hispanic lesbians and bisexual women at heightened risk for [corrected] health
disparities.
AB - OBJECTIVES: We investigated whether elevated risks of health disparities exist in
Hispanic lesbians and bisexual women aged 18 years and older compared with non
Hispanic White lesbians and bisexual women and Hispanic heterosexual women.
METHODS: We analyzed population-based data from the Washington State Behavioral
Risk Factor Surveillance System (2003-2009) using adjusted logistic regressions.
RESULTS: Hispanic lesbians and bisexual women, compared with Hispanic
heterosexual women, were at elevated risk for disparities in smoking, asthma, and
disability. Hispanic bisexual women also showed higher odds of arthritis, acute
drinking, poor general health, and frequent mental distress compared with
Hispanic heterosexual women. In addition, Hispanic bisexual women were more
likely to report frequent mental distress than were non-Hispanic White bisexual
women. Hispanic lesbians were more likely to report asthma than were non-Hispanic
White lesbians. CONCLUSIONS: The elevated risk of health disparities in Hispanic
lesbians and bisexual women are primarily associated with sexual orientation.
Yet, the elevated prevalence of mental distress for Hispanic bisexual women and
asthma for Hispanic lesbians appears to result from the cumulative risk of doubly
disadvantaged statuses. Efforts are needed to address unique health concerns of
diverse lesbians and bisexual women.
PMID- 22095349
TI - Who will deliver on the promise?
AB - The Doctor of Public Health (DrPH) Core Competency Model aspires to rigorously
train future leaders of public health practice to direct and advance societal
efforts that address socially rooted causes of health and illness. Although
there is no proven formula for success, 3 principles derived from practice may
guide the way forward: (1) institutionalize mutual learning and reciprocity
between schools of public health and public health agencies and organizations,
(2) capitalize on the full resources of the larger university to enrich the
educational experiences of DrPH candidates and public health leaders, and (3)
globalize the search for model DrPH programs that may be adapted for US schools.
Schools of public health must ensure that DrPH programs gain the status and
resources needed to fulfill their societal mandate.
PMID- 22095351
TI - Evaluating the safety effects of bicycle lanes in New York City.
AB - OBJECTIVES: We evaluated the effects of on-street bicycle lanes installed prior
to 2007 on different categories of crashes (total crashes, bicyclist crashes,
pedestrian crashes, multiple-vehicle crashes, and injurious or fatal crashes)
occurring on roadway segments and at intersections in New York City. METHODS: We
used generalized estimating equation methodology to compare changes in police
reported crashes in a treatment group and a comparison group before and after
installation of bicycle lanes. Our study approach allowed us to control
confounding factors, such as built environment characteristics, that cannot
typically be controlled when a comparison group is used. RESULTS: Installation of
bicycle lanes did not lead to an increase in crashes, despite the probable
increase in the number of bicyclists. The most likely explanations for the lack
of increase in crashes are reduced vehicular speeds and fewer conflicts between
vehicles and bicyclists after installation of these lanes. CONCLUSIONS: Our
results indicate that characteristics of the built environment have a direct
impact on crashes and that they should thus be controlled in studies evaluating
traffic countermeasures such as bicycle lanes. To prevent crashes at
intersections, we recommend installation of "bike boxes" and markings that
indicate the path of bicycle lanes across intersections.
PMID- 22095350
TI - Position-specific HIV risk in a large network of homeless youths.
AB - OBJECTIVES: We examined interconnections among runaway and homeless youths (RHYs)
and how aggregated network structure position was associated with HIV risk in
this population. METHODS: We collected individual and social network data from
136 RHYs. On the basis of these data, we generated a sociomatrix, accomplished
network visualization with a "spring embedder," and examined k-cores. We used
multivariate logistic regression models to assess associations between peripheral
and nonperipheral network position and recent unprotected sexual intercourse.
RESULTS: Small numbers of nominations at the individual level aggregated into a
large social network with a visible core, periphery, and small clusters. Female
youths were more likely to be in the core, as were youths who had been homeless
for 2 years or more. Youths at the periphery were less likely to report
unprotected intercourse and had been homeless for a shorter duration.
CONCLUSIONS: HIV risk was a function of risk-taking youths' connections with one
another and was associated with position in the overall network structure. Social
network-based prevention programs, young women's housing and health programs, and
housing-first programs for peripheral youths could be effective strategies for
preventing HIV among this population.
PMID- 22095352
TI - Beyond base pairs to bedside: a population perspective on how genomics can
improve health.
AB - A decade after the sequencing of the human genome, the National Human Genome
Research Institute announced a strategic plan for genomic medicine. It calls for
evaluating the structure and biology of genomes, understanding the biology of
disease, advancing the science of medicine, and improving the effectiveness of
health care. Fulfilling the promise of genomics urgently requires a population
perspective to complement the bench-to-bedside model of translation. A
population approach should assess the contribution of genomics to health in the
context of social and environmental determinants of disease; evaluate genomic
applications that may improve health care; design strategies for integrating
genomics into practice; address ethical, legal, and social issues; and measure
the population health impact of new technologies.
PMID- 22095353
TI - The impact of workplace policies and other social factors on self-reported
influenza-like illness incidence during the 2009 H1N1 pandemic.
AB - OBJECTIVES: We assessed the impact of social determinants of potential exposure
to H1N1--which are unequally distributed by race/ethnicity in the United States-
on incidence of influenza-like illness (ILI) during the 2009 H1N1 pandemic.
METHODS: In January 2010 we surveyed a nationally representative sample (n =
2079) of US adults from the Knowledge Networks online research panel, with
Hispanic and African American oversamples. The completion rate was 56%. RESULTS:
Path analysis examining ILI incidence, race, and social determinants of potential
exposure to H1N1 demonstrated that higher ILI incidence was related to workplace
policies, such as lack of access to sick leave, and structural factors, such as
number of children in the household. Hispanic ethnicity was related to a greater
risk of ILI attributable to these social determinants, even after we controlled
for income and education. CONCLUSIONS: The absence of certain workplace policies,
such as paid sick leave, confers a population-attributable risk of 5 million
additional cases of ILI in the general population and 1.2 million cases among
Hispanics. Federal mandates for sick leave could have significant health impacts
by reducing morbidity from ILI, especially in Hispanics.
PMID- 22095354
TI - Transgender health in Massachusetts: results from a household probability sample
of adults.
AB - Despite higher rates of unemployment and poverty among transgender adults (n =
131; 0.5% weighted) than among nontransgender adults (n = 28,045) in our
population-based Massachusetts household sample, few health differences were
observed between transgender and nontransgender adults. Transgender adults who
are stably housed and participated in a telephone health survey may represent the
healthiest segment of the transgender population. Our findings demonstrate a need
for diverse sampling approaches to monitor transgender health, including adding
transgender measures to population-based surveys, and further highlight economic
inequities that warrant intervention.
PMID- 22095355
TI - A decade of spore-forming bacterial infections among European injecting drug
users: pronounced regional variation.
AB - The recent anthrax outbreak among injecting drug users (IDUs) in Europe has
highlighted an ongoing problem with severe illness resulting from spore-forming
bacteria in IDUs. We collated the numbers of cases of 4 bacterial illnesses
(botulism, tetanus, Clostridium novyi, and anthrax) in European IDUs for 2000 to
2009 and calculated population rates. Six countries reported 367 cases; rates
varied from 0.03 to 7.54 per million people. Most cases (92%) were reported from
3 neighboring countries: Ireland, Norway, and the United Kingdom. This geographic
variation needs investigation.
PMID- 22095356
TI - Disability among lesbian, gay, and bisexual adults: disparities in prevalence and
risk.
AB - OBJECTIVES: We used population-based data to comprehensively examine disability
among lesbian, gay, and bisexual adults. METHODS: We estimated prevalence of
disability and its covariates and compared by sexual orientation by utilizing
data from the Washington State Behavioral Risk Factor Surveillance System (n =
82,531) collected in 2003, 2005, 2007, and 2009. We used multivariate logistic
regression to examine the relationship between disability and sexual orientation,
after we controlled for covariates of disability. RESULTS: Findings indicated
that the prevalence of disability is higher among lesbian, gay, and bisexual
adults compared with their heterosexual counterparts; lesbian, gay, and bisexual
adults with disabilities are significantly younger than heterosexual adults with
disabilities. Higher disability prevalence among lesbians and among bisexual
women and men remained significant after we controlled for covariates of
disability. CONCLUSIONS: Higher rates of disability among lesbian, gay, and
bisexual adults are of major concern. Efforts are needed to prevent, delay, and
reduce disabilities as well as to improve the quality of life for lesbian, gay,
and bisexual adults with disabilities. Future prevention and intervention efforts
need to address the unique concerns of these groups.
PMID- 22095357
TI - The future of public health ethics.
PMID- 22095358
TI - Sexual compulsivity, co-occurring psychosocial health problems, and HIV risk
among gay and bisexual men: further evidence of a syndemic.
AB - OBJECTIVES: We evaluated whether sexual compulsivity fits into a syndemic
framework, in which sexual compulsivity is one of a number of co-occurring
psychosocial health problems that increase HIV risk among men who have sex with
men (MSM). METHODS: In 2003 and 2004, we conducted an anonymous cross-sectional
survey of MSM in New York City (n = 669) by approaching attendees at gay,
lesbian, and bisexual community events. We analyzed data by bivariate and
multivariate logistic regression. RESULTS: We found strong positive
interrelationships among syndemic factors including sexual compulsivity,
depression, childhood sexual abuse, intimate partner violence, and polydrug use.
In bivariate analyses, all syndemic health problems except for childhood sexual
abuse were positively related to HIV seropositivity and high-risk sexual
behavior. Our multivariate models revealed an array of interrelationships among
psychosocial health problems. We found amplified effects of these problems on HIV
seropositivity and on the likelihood of engaging in high-risk sexual behavior.
CONCLUSIONS: Our findings support the conclusion that sexual compulsivity is a
component of a syndemic framework for HIV risk among MSM. HIV prevention
interventions should consider the overlapping and compounding effects of
psychosocial problems, including sexual compulsivity.
PMID- 22095359
TI - Health reform and Healthy People initiative.
AB - The passage of the Affordable Care Act builds on and strengthens the foundation
for prevention and wellness that Healthy People--the nation's health promotion
and disease prevention aspirations for a healthier nation--established. The
Affordable Care Act reaffirms the themes of Healthy People by promoting
population-based prevention and sets the stage for Healthy People 2020. The heart
of Healthy People 2010 lies in its leading health indicators, reflecting high
priority health issues for the nation. National progress requires broad
application of the ecological health model. We reviewed the status of each
Healthy People 2010 indicator and noted how the Affordable Care Act drives future
positive health outcomes using the ecological model of health as a prism for
viewing health improvement.
PMID- 22095360
TI - Household food insufficiency, financial strain, work-family spillover, and
depressive symptoms in the working class: the Work, Family, and Health Network
study.
AB - OBJECTIVES: We evaluated the association of household-level stressors with
depressive symptoms among low-wage nursing home employees. METHODS: Data were
collected in 2006 and 2007 from 452 multiethnic primary and nonprimary wage
earners in 4 facilities in Massachusetts. We used logistic regression to estimate
the association of depressive symptoms with household financial strain, food
insufficiency, and work-family spillover (preoccupation with work-related
concerns while at home and vice versa). RESULTS: Depressive symptoms were
significantly associated with household financial strain (odds ratio [OR] = 1.82;
95% confidence interval [CI] = 1.03, 3.21) and food insufficiency (OR = 2.10; 95%
CI = 1.10, 4.18). Among primary earners, stratified analyses showed that food
insufficiency was associated with depressive symptoms (OR = 3.60; 95% CI = 1.42,
9.11) but financial strain was not. Among nonprimary wage earners, depressive
symptoms correlated with financial strain (OR = 3.65; 95% CI = 1.48, 9.01) and
work-family spillover (OR = 3.22; 95% CI = 1.11, 9.35). CONCLUSIONS: Household
financial strain, food insufficiency, and work-family spillover are pervasive
problems for working populations, but associations vary by primary wage earner
status. The prevalence of food insufficiency among full-time employees was
striking and might have a detrimental influence on depressive symptoms and the
health of working-class families.
PMID- 22095361
TI - Hemoglobin A1c as a diagnostic tool: public health implications from an actor
network perspective.
AB - Public health arguments for collecting hemoglobin A1c (HbA1c) data, particularly
in clinical settings, should be reframed to place more emphasis on nonmedical
determinants of population health. We compare individual- with population-level
interpretations of HbA1c titers. This comparison reveals that public health
researchers need to pay close attention to diagnostic tests and their uses,
including rhetorical uses. We also synthesize historical and current evidence to
map out 2 possible scenarios for the future. In the first scenario, prevention
efforts emphasize primary care and focus almost entirely downstream. The second
scenario anticipates downstream interventions but also upstream interventions
targeting environments. Our analysis adapts actor-network theory to strategic
planning and forecasting in public health.
PMID- 22095362
TI - The effects of Arkansas master settlement spending on disparities in smoking.
AB - OBJECTIVES: We assessed the effect of Master Settlement Agreement (MSA) spending
on smoking disparities in Arkansas, which distinguished itself from other states
by investing all of its MSA funds in health-related programs. METHODS: In 1996
2009 data from the Behavioral Risk Factor Surveillance System, we specified
multivariate logistic models to examine gender and racial/ethnic disparities in
smoking rates within Arkansas (a pre-post analysis) and between Arkansas and its
6 neighboring states. RESULTS: Before the MSA programs started in 2001, male
Arkansans smoked more than did female Arkansans (P < .05). After the programs,
smoking declined significantly among men (but not women), eliminating the gender
disparity by 2009. Smoking among men in Arkansas also declined more than it did
in neighboring states (P < .05). Hispanics showed a greater decline in smoking
than did non-Hispanic Whites in Arkansas (but not in neighboring states). In
2001, Hispanic Arkansans smoked more than did non-Hispanic Whites (P < .05); by
2009, Hispanic Arkansans smoked significantly less than did non-Hispanic Whites
(P < .05). CONCLUSIONS: MSA-funded programs were more effective in some segments
of the Arkansas population than in others. Policymakers should consider targeting
future MSA tobacco control programs to populations most resistant to change.
PMID- 22095363
TI - The disabling effect of diseases: a study on trends in diseases, activity
limitations, and their interrelationships.
AB - OBJECTIVES: Data from the Netherlands indicate a recent increase in prevalence of
chronic diseases and a stable prevalence of disability, suggesting that diseases
have become less disabling. We studied the association between chronic diseases
and activity limitations in the Netherlands from 1990 to 2008. METHODS: Five
surveys among noninstitutionalized persons aged 55 to 84 years (n = 54,847)
obtained self-reported data on chronic diseases (diabetes, heart disease,
peripheral arterial disease, stroke, lung disease, joint disease, back problems,
and cancer) and activity limitations (Organisation for Economic Co-operation and
Development [OECD] long-term disability questionnaire or 36-item Short Form
Health Survey [SF-36]). RESULTS: Prevalence rates of chronic diseases increased
over time, whereas prevalence rates of activity limitations were stable (OECD) or
slightly decreased (SF-36). Associations between chronic diseases and activity
limitations were also stable (OECD) or slightly decreased (SF-36). Surveys varied
widely with regard to disease and limitation prevalence rates and the
associations between them. CONCLUSIONS: The hypothesis that diseases became less
disabling from 1990 to 2008 was only supported by results based on activity
limitation data as assessed with the SF-36. Further research on how diseases and
disability are associated over time is needed.
PMID- 22095364
TI - Repeat syphilis among men who have sex with men in California, 2002-2006:
implications for syphilis elimination efforts.
AB - OBJECTIVES: We examined rates of and risk factors for repeat syphilis infection
among men who have sex with men (MSM) in California. METHODS: We analyzed 2002 to
2006 California syphilis surveillance system data. RESULTS: During the study
period, a mean of 5.9% (range: 4.9%-7.1% per year) of MSM had a repeat primary or
secondary (PS) syphilis infection within 2 years of an initial infection. There
was no significant increase in the annual proportion of MSM with a repeat
syphilis infection (P = .42). In a multivariable model, factors associated with
repeat syphilis infection were HIV infection (odds ratio [OR] = 1.65; 95%
confidence interval [CI] = 1.14, 2.37), Black race (OR = 1.84; 95% CI = 1.12,
3.04), and 10 or more recent sex partners (OR = 1.99; 95% CI = 1.12, 3.50).
CONCLUSIONS: Approximately 6% of MSM in California have a repeat PS syphilis
infection within 2 years of an initial infection. HIV infection, Black race, and
having multiple sex partners are associated with increased odds of repeat
infection. Syphilis elimination efforts should include messages about the risk
for repeat infection and the importance of follow-up testing. Public health
attention to individuals repeatedly infected with syphilis may help reduce local
disease burdens.
PMID- 22095365
TI - Using art to amplify youth voices on housing insecurity.
PMID- 22095366
TI - The brain-to-brain loop concept for laboratory testing 40 years after its
introduction.
AB - Forty years ago, Lundberg introduced the concept of the brain-to-brain loop for
laboratory testing. In this concept, in the brain of the physician caring for the
patient, the first step involves the selection of laboratory tests and the final
step is the transmission of the test result to the ordering physician. There are
many intermediary steps, some of which are preanalytic, ie, before performance of
the test; some are analytic and relate to the actual performance of the test; and
others are postanalytic and involve transmission of test results into the medical
record. The introduction of this concept led to a system to identify and classify
errors associated with laboratory test performance. Errors have since been
considered as preanalytic, analytic, and postanalytic. During the past 4 decades,
changes in medical practice have significantly altered the brain-to-brain loop
for laboratory testing. This review describes the changes and their implications
for analysis of errors associated with laboratory testing.
PMID- 22095367
TI - Critical values in the coagulation laboratory: results of a survey of the North
American Specialized Coagulation Laboratory Association.
AB - Critical values are vital to safe clinical and laboratory practice. To address
the lack of information on critical values in coagulation, pattern-of-practice
surveys were distributed to members of the North American Specialized Coagulation
Laboratory Association. More than 70% of respondents had critical values for
commonly performed tests. Median values were as follows: prothrombin time, more
than 37 seconds; international normalized ratio, more than 5; activated partial
thromboplastin time, more than 100 seconds; and fibrinogen level, less than 100
mg/dL. Critical value reporting generated a significant workload, with up to 15%
of these tests yielding critical results. The median time to report critical
values was 7 minutes for inpatients. Despite the lack of guidelines surrounding
critical values in coagulation, this survey confirms that laboratories have
reasonable and uniform practices. It also provides critical value medians and
ranges for a wide range of tests. Laboratories without critical values or in the
process of reviewing their values may find this survey of their peers useful.
PMID- 22095368
TI - A well-designed online transfusion reaction reporting system improves the
estimation of transfusion reaction incidence and quality of care in transfusion
practice.
AB - Recognizing and reporting a transfusion reaction is important in transfusion
practice. However, the actual incidence of transfusion reactions is frequently
underestimated. We designed an online transfusion reaction reporting system for
nurses who take care of transfusion recipients. The common management before and
after transfusion and the 18 most common transfusion reactions were itemized as
tick boxes. We found the overall documented incidence of transfusion reaction
increased dramatically, from 0.21% to 0.61% per unit of blood, after we started
using an online reporting system. Overall, 94% (30/32) of nurses took only 1 week
to become familiar with the new system, and 88% (28/32) considered the new system
helpful in improving the quality of clinical transfusion care. By using an
intranet connection, blood bank physicians can also identify patients who are
having a reaction and provide appropriate recommendations immediately. A well
designed online reporting system may improve the ability to estimate the
incidence of transfusion reactions and the quality of transfusion care.
PMID- 22095369
TI - Effect of telavancin (Vibativ) on routine coagulation test results.
AB - Telavancin (Vibativ, Astellas Pharma US, Deerfield, IL) is a lipoglycopeptide
antibiotic that has activity against gram-positive microorganisms, but also has
the ability to bind to artificial phospholipids found in coagulation reagents.
Normal pooled plasma was spiked with telavancin to obtain concentrations of 0,
12.5, 25, 50, 75, 100, 125, and 150 MUg/mL of drug. Samples were tested using 3
different prothrombin time/international normalized ratio (INR) and activated
partial thromboplastin time (aPTT) reagent systems, as well as for fibrinogen
level, thrombin time, D-dimer level, dilute Russell viper venom time (DRVVT),
protein C activity, and protein S activity. There was no effect of telavancin
seen with non-phospholipid-dependent assays: fibrinogen level, thrombin time, and
D-dimer testing. All INR and aPTT systems demonstrated concentration-dependent
increases in clotting times, with Innovin (Siemens Healthcare Diagnostics,
Deerfield, IL) INRs the most dramatic. False-positive DRVVT ratios started at
12.5 MUg/mL of telavancin, with no effect on protein C or protein S levels until
the telavancin level reached more than 100 MUg/mL.
PMID- 22095370
TI - Pulmonary histologic changes in Marfan syndrome: a case series and literature
review.
AB - Marfan syndrome is one of the most common connective tissue diseases and may
manifest with a range of symptoms and pathologic changes. We present a
retrospective series of 5 cases of patients with Marfan syndrome and pulmonary
pathology. Patients were young to middle-aged adults with absent or minimal
smoking histories and absent to severe clinical pulmonary symptoms. Tissue
specimens were obtained from the surgical pathology and autopsy services.
Histologic examination revealed a consistent pattern of distal acinar emphysema
in all patients. Comparisons are made with other cystic-type diseases of the lung
that may histologically mimic this pattern. This is the largest contemporary
series of histologic pulmonary involvement of Marfan syndrome and the first to
describe this pattern of pulmonary changes in this patient population.
PMID- 22095371
TI - Frequency of HER2 heterogeneity by fluorescence in situ hybridization according
to CAP expert panel recommendations: time for a new look at how to report
heterogeneity.
AB - In 2009, a College of American Pathologists expert panel published supplemental
HER2 testing recommendations suggesting that cases with between 5% and 50%
individual cells amplified by fluorescence in situ hybridization be reported as
"heterogeneous for HER2 gene amplification." We examined the implications of
applying these recommendations to clinical practice in 1,329 consecutive breast
cancer cases. By ratio criteria, 23.2% of cases met the proposed criteria for
heterogeneity, of which 81.6% were not amplified and 15.5% were equivocal by
standard criteria. In contrast, the proposed criteria based on HER2 signals per
cell classified only 6.5% of cases as heterogeneous, of which only 8% (7/87) were
not amplified and 79% (69/87) were equivocal by standard criteria. These results
show that the 2 proposed criteria sets are not equivalent and that the ratio
based definition results in large numbers of nonamplified cases being classified
as heterogeneous. Further definition of optimal criteria with clinical relevance
is needed before HER2 heterogeneity reporting is adopted in routine practice.
PMID- 22095372
TI - The vanishing testis: a histomorphologic and clinical assessment.
AB - Of patients with cryptorchidism, 5% have no palpable gonad. Physical examination
or scrotal exploration demonstrates tissue nubbins or small nodules that
constitute the vanishing testis syndrome. At the University of Chicago Hospitals
(Chicago, IL; 2004-2008), 30 surgical pathology specimens from 29 patients with
this clinical diagnosis underwent scrotal exploration. Histologic and
immunohistochemical comparison was done with 7 fetal testes, 8 surgically removed
nonneoplastic testes, and 2 cryptorchid testes. Routine histologic studies showed
no seminiferous tubules in 18 cases (60%), fibrosis in all (100%), calcifications
in 16 (53%), and hemosiderin deposits in 9 (30%). In 12 cases with seminiferous
tubules (40%), there were Sertoli cells only. Scrotal exploration in such cases
is clinically driven and results in the removal of any tissue present. Although
published studies suggest the risk for future tumor development is low, possibly
absent, the definitive removal of a testicle is established by an awareness of
the histologic spectrum exhibited by testicular remnants.
PMID- 22095373
TI - Different HER2 protein expression profiles aid in the histologic differential
diagnosis between urothelial carcinoma in situ (CIS) and non-CIS conditions
(dysplasia and reactive atypia) of the urinary bladder mucosa.
AB - We evaluated HER2 expression profiles in 32 carcinoma in situ (CIS) and 31 non
CIS conditions (5 dysplasia and 26 reactive atypia) of the urinary bladder mucosa
by applying breast cancer scoring rules. In situ hybridization was performed on
tissue microarrays to assess HER2 gene amplification status. Our immunoprofiling
data disclosed moderate to strong HER2 expression in CIS, including the basal
layer of the urothelium, and absent to weak HER2 expression in non-CIS
conditions. From the histologic differential diagnostic standpoint,
immunostaining for HER2 protein represents a useful adjunct to aid in the
delineation between CIS and non-CIS conditions of the bladder mucosa.
Pathogenically, aberrant HER2 protein expression in CIS seems to be more commonly
associated with polysomy than with gene amplification. From a therapeutic
viewpoint, prospective clinical studies should investigate the potential benefit
of HER2-targeted therapies in CIS, particularly in cases unresponsive to
conventional therapeutic regimens.
PMID- 22095375
TI - Eliminating the "Atypia of Undetermined Significance/Follicular Lesion of
Undetermined Significance" category from the Bethesda System for Reporting
Thyroid Cytopathology.
AB - The "Atypia of Undetermined Significance/Follicular Lesion of Undetermined
Significance (AUS/FLUS)" category in the Bethesda System for Reporting Thyroid
Cytology is heterogeneous and includes both specimens with borderline
cellularity/compromised quality and those with genuine atypia. We have used a
reporting scheme that is similar to the Bethesda System but does not include an
AUS/FLUS category. We retrospectively reviewed all reports on thyroid FNA and
thyroidectomy specimens submitted to the Beth Israel Deaconess Medical Center
from January 2006 to December 2008. The positive predictive values for various
categories and subcategories in this scheme demonstrate that the AUS/FLUS
category can be eliminated to provide information for the most appropriate
management of patients with thyroid nodules.
PMID- 22095374
TI - Lossless compression of JPEG2000 whole slide images is not required for
diagnostic virtual microscopy.
AB - The use of lossy compression in medical imaging is controversial, although it is
inevitable to reduce large data amounts. In contrast with lossy compression,
lossless compression does not impair image quality. In addition to our previous
studies, we evaluated virtual 3-dimensional microscopy using JPEG2000 whole slide
images of gastric biopsy specimens with or without Helicobacter pylori gastritis
using lossless compression (1:1) or lossy compression with different compression
levels: 5:1, 10:1, and 20:1. The virtual slides were diagnosed in a blinded
manner by 3 pathologists using the updated Sydney classification. The results
showed no significant differences in the diagnosis of H pylori between the
different levels of compression in virtual microscopy. We assume that lossless
compression is not required for diagnostic virtual microscopy. The limits of
lossy compression in virtual microscopy without a loss of diagnostic quality
still need to be determined. Analogous to the processes in radiology,
recommendations for the use of lossy compression in diagnostic virtual microscopy
have to be worked out by pathology societies.
PMID- 22095376
TI - Susac syndrome: an organ-specific autoimmune endotheliopathy syndrome associated
with anti-endothelial cell antibodies.
AB - Susac syndrome (SS) is the triad of encephalopathy, branch retinal artery
occlusions (BRAOs), and hearing loss. Migraines may herald and accompany
encephalopathy. Little is known about pathogenesis. Based on light microscopic
findings in brain biopsy material analogous to anti-endothelial cell antibody
(AECA)-mediated microvascular injury, we postulated that SS microangiopathy was
attributable to AECAs. We examined serum samples from 11 patients with SS for
AECAs; 9 were positive by indirect immunofluorescence and Western blot studies. A
highly distinctive band on Western blots corresponding to a 50-kDa protein was
observed in 8 positive SS samples; the other positive case exhibited specific
reactivity with a protein band at 40 kDa. Of the 2 negative cases, 1 had been
inactive since 1988; the other was an abortive variant characterized solely by
BRAOs. There was enhanced surface binding of SS serum using live endothelial cell
substrates compared with samples from healthy subjects. Additional serum samples
from apparently healthy patients, 2 with atypical migraines, and patients with
other forms of autoinflammatory disease did not show the distinctive band of
immunoreactivity. SS is a distinct autoimmune endotheliopathy syndrome associated
with AECAs; the antibody target seems specific in many cases and may be a disease
biomarker. The exact role of AECAs in disease propagation remains unanswered.
PMID- 22095377
TI - A new PCR-based mass spectrometry system for high-risk HPV, part I: methods.
AB - Infection with high-risk (HR) human papillomaviruses (HPVs) has been confirmed as
the necessary cause of cervical cancer. There are many studies that have
established and confirmed the relationship of specific HPV types and the risk of
invasive cervical cancer. We have developed a novel genotyping method for
detecting 14 HR-HPV genotypes simultaneously with MassARRAY (Sequenom, San Diego,
CA) technique based on the matrix-assisted laser desorption/ionization time-of
flight (MALDI-TOF) mass spectrometry (MS). All 14 HPVs showed high specificities
and high sensitivities in the plasmid test; lower detection limits for each
genotype were from 10 to 100 copies. Furthermore, the MS system has high
throughput capacities, capable of processing, with type-specific output, 4,500
samples in 24 hours. The MS HPV assay is a sensitive and useful tool for HPV
genotyping. It has the potential to be suitable for large-scale epidemiologic
studies and routine diagnostic clinical applications owing to its high-throughput
capacity, high sensitivity, and low cost per case.
PMID- 22095378
TI - A new PCR-based mass spectrometry system for high-risk HPV, part II: clinical
trial.
AB - This was a population-based clinical trial of a polymerase chain reaction-based
multiplex high-risk human papillomavirus (HR-HPV) assay using mass spectrometry
(MassARRAY [Sequenom, San Diego, CA] matrix-assisted laser desorption/ionization
time-of-flight mass spectrometry system [MALDI-TOF]). Participants were 10,000
women between the ages of 25 and 59 years in Guangdong Province, China (SHENCCAST
II Study). All women collected a self-sample (tested with Cervista [Hologic,
Marlborough, MA] and MALDI-TOF) followed by a clinician-collected cervical sample
(for cytology, Hybrid Capture 2 [HC2; Qiagen, Gaithersburg, MD], Cervista, and
MALDI-TOF). Patients with any abnormal result were asked to return for colposcopy
and biopsies. This analysis included the data for 8,556 women. The sensitivity
values for cervical intraepithelial neoplasia (CIN) 3 or worse for a direct
cervical sample were 97.9%, 95.1%, and 94.3 for HC2, Cervista, and MALDI-TOF,
respectively (P > .05). The sensitivity for CIN 3 or worse for a self-collected
sample tested with MALDI-TOF was also 94.3%, which was similar to a clinician
obtained endocervical sample assayed with the 3 HR-HPV assays. MALDI-TOF combined
with a self-collected sample provides a highly sensitive, high-throughput, low
cost-per-case assay for mass screening.
PMID- 22095379
TI - Clinical verification of the performance of the pathwork tissue of origin test:
utility and limitations.
AB - Gene expression-based assays have been introduced into the clinical arena to
assist in the diagnosis of poorly differentiated or undifferentiated tumors. The
US Food and Drug Administration has cleared the microarray-based Pathwork Tissue
of Origin (TOO) Test (Pathwork Diagnostics, Sunnyvale, CA) for the molecular
characterization of such challenging specimens. We aimed at verifying the
analytic and clinical performance of this test on 43 poorly differentiated and
undifferentiated tumor samples, including 6 off-panel cases and 7 cancers of
unknown primary (CUP). Our results showed 97% (95% confidence interval, 80.4%
99.8%) agreement between the Pathwork TOO Test result and the complete diagnosis,
which included clinical correlations and immunohistochemical staining, after the
original diagnosis. We concluded that for off-panel and CUP samples, the tissue
type and the cell type may be confounded by the Pathwork TOO Test and that
careful clinicopathologic assessment is needed when interpreting results from
this helpful ancillary tool for pathologists.
PMID- 22095380
TI - Comparison of immunocytochemical sensitivity between formalin-fixed and alcohol
fixed specimens reveals the diagnostic value of alcohol-fixed cytocentrifuged
preparations in malignant effusion cytology.
AB - The most commonly used fixative in effusion cytology is formalin. In the present
study, the immunocytochemical properties of formalin-fixed and alcohol-fixed
specimens were compared to evaluate the usefulness of alcohol-fixed
cytocentrifuged preparations for routine cytologic diagnosis. A total of 269
effusion samples and 17 primary antibodies were used. The sensitivity of
immunocytochemical studies in alcohol-fixed specimens was similar and correlated
to that of formalin-fixed specimens, suggesting that alcohol-fixed
cytocentrifuged preparations are useful in effusion cytology. Pretreatment with
or without heat-induced antigen retrieval revealed that antigen retrieval was
unnecessary for immunocytochemical studies with most primary antibodies in
alcohol-fixed cytocentrifuged preparations. The present study describes the use
of immunocytochemical studies with alcohol-fixed cytocentrifuged preparations for
diagnosis in routine effusion cytology.
PMID- 22095381
TI - Simplified flow cytometric assessment in mycosis fungoides and Sezary syndrome.
AB - By using flow cytometry with markers for CD3, CD4, CD26, and CD7, we examined the
blood samples of 109 patients for abnormal T cells: 69 patients with mycosis
fungoides (MF)/Sezary syndrome (SS), 31 hospitalized control subjects, and 9
patients with inflammatory skin disease. T cells were identified as
quantitatively abnormal (>15% CD26- or CD7- T cells) or phenotypically abnormal
(CD26- or CD7- T cells with bright or dim CD3 or CD4 or bright CD7). Patients
were followed for a median of 82 months, and abnormal T cells were correlated
with diagnosis, clinical outcome, and other laboratory parameters. Abnormal T
cell populations were identified in 46% of patients with MF/SS (32/69) and
correlated with disease extent. Quantitative abnormalities were more frequent
than phenotypic abnormalities, and CD4+/CD26- T cells were more frequent than
CD4+/CD7- T cells. CD26- T cells correlated better with disease extent than did
CD7 -. Increasing numbers of abnormal T cells were associated with worsening
disease. Flow cytometry provides valuable information for diagnosis, prognosis,
and therapeutic efficacy in MF/SS.
PMID- 22095382
TI - Flow cytometric analysis of surface light chain expression patterns in B-cell
lymphomas using monoclonal and polyclonal antibodies.
AB - Light chain (LC) expression by flow cytometry (FC) in B cell non-Hodgkin
lymphomas (B-NHLs) can occasionally be detected with one anti-LC antibody but not
with another. We retrospectively analyzed 564 four-color FC files from B-NHLs,
assessing LC staining with monoclonal antibodies (mAbs) and polyclonal antibodies
(pAbs). Discrepancies in LC expression between mAbs and pAbs were present in 9.2%
of cases, mainly in chronic lymphocytic leukemia/small lymphocytic lymphoma
(CLL/SLL; 11.1%), diffuse large B-cell lymphoma (DLBCL; 10.2%), follicular
lymphoma (9.5%), and mantle cell lymphoma (11.1%) and most frequently in body
fluids. Equal proportions of cases were LC+ only with pAbs (4.8%) or mAbs (4.4%).
Negative LC expression with both antibodies was present in 7.5% of cases, most
frequently in DLBCL (21.6%) and body fluids (27.6%). Evaluation with both mAbs
and pAbs increases the sensitivity for LC detection, with no single reagent
outperforming the other, although CLL/SLL preferentially showed LC expression
with pAbs.
PMID- 22095383
TI - Multiparametric flow cytometry for identification and fluorescence activated cell
sorting of five distinct B-cell subpopulations in normal tonsil tissue.
AB - The purpose of this study was to establish a procedure capable of isolating
distinct B-cell subpopulations from human tonsils as a basis for subsequent
molecular analyses. Overall, 5 distinct B-cell subpopulations were purified from
fresh tonsils based on their fluorescence surface marker expression: naive B
cells, centroblasts, centrocytes, memory B cells, and plasmablasts. The
immunophenotypic identity of the subpopulations was verified by quantitative real
time reverse transcriptase-polymerase chain reaction using the proliferation
marker MKI-67 and 6 B-cell-associated differentiation markers (BACH2, BCL6, PAX5,
IRF4, PRDM1, and XBP1). Furthermore, within the centroblast compartment, large
and small centroblasts could be distinguished and large centroblasts were shown
to proliferate with a morphologic appearance of a "centroblast"-like cell but
with lower gene expression of the germinal center markers BCL6 and BACH2 vs small
centroblasts. This study has established a detailed and fast procedure for
simultaneous sorting of up to 5 distinct maturation-associated B-cell
subpopulations from human tonsils.
PMID- 22095384
TI - Accounting for artifactually elevated HbA2 in cases of Hb hope when measured by
capillary electrophoresis.
PMID- 22095385
TI - Macrophage metalloelastase (MME) as adjuvant for intra-tumoral injection of
oncolytic adenovirus and its influence on metastases development.
AB - Oncolytic adenoviruses are a promising treatment alternative for many advanced
cancers, including colorectal cancer. However, clinical trials have demonstrated
that single-agent therapy in advanced tumor masses is rarely curative. Poor
spreading of the virus through tumor tissue is one of the major issues limiting
efficacy. As oncolytic viruses kill preferentially cancer cells, high
extracellular matrix (ECM) content constitutes potential barriers for viral
penetration within tumors. In this study, the ECM-degrading proteases relaxin,
hyaluronidase, elastase and macrophage metalloelastase (MME) were tested for
their antitumor efficacy alone and in combination with oncolytic adenovirus. MME
improved the overall antitumor efficacy of oncolytic adenovirus in subcutaneous
HCT116 xenografts. In a liver metastatic colorectal cancer model, intra-tumoral
treatment of primary tumors from HT29 cells with MME monotherapy or with
oncolytic adenovirus inhibited tumor growth. Combination therapy showed no
increased mortality in comparison with either monotherapy alone. Contradictory
results of effects of MME on tumorigenesis and metastasis formation have been
reported in the literature. This study demonstrates for the first time in a
metastatic animal model that MME, as a monotherapy or in combination with
oncolytic virus, does not increase tumor invasiveness. Co-administration of MME
and oncolytic adenovirus may be a suitable approach for further optimization
aiming at clinical applications for metastatic colorectal cancer.
PMID- 22095386
TI - Sonoporation-mediated anti-angiogenic gene transfer into muscle effectively
regresses distant orthotopic tumors.
AB - Ultrasound (US) is an effective tool for local delivery of genes into target
tumors or organs. In combination with microbubbles, US can temporarily change the
permeability of cell membranes by cavitation and facilitate entry of plasmid DNA
into cells. Here, we demonstrate that repeated US-mediated delivery of anti
angiogenic genes, endostatin or calreticulin, into muscle significantly inhibits
the growth of orthotopic tumors in the liver, brain or lung. US-mediated anti
angiogenic gene therapy also seems to function as an adjuvant therapy that
significantly enhances the antitumor effects of the chemotherapeutic drug
doxorubicin and adenovirus-mediated cytokine gene therapy. Significantly higher
levels of tumor apoptosis or tumor-infiltrating lymphocytes were observed after
combined therapy consisting of either anti-angiogenic therapy and chemotherapy,
or anti-angiogenic therapy and immunotherapy. Taken together, our experiments
demonstrate that intramuscular delivery of anti-angiogenic genes by US exposure
can effectively treat distant orthotopic tumors, and thus has great therapeutic
potential in terms of clinical treatment.
PMID- 22095387
TI - Human papillomavirus in vaginal intraepithelial neoplasia.
AB - There are limited data on the prevalence and distribution of human papillomavirus
(HPV) genotypes in vaginal intraepithelial neoplasia (VAIN). We sought to clarify
this issue in a series of 450 VAIN cases with a confirmed diagnosis between 1990
and 2006. HPV genotyping was performed using paraffin-embedded specimens and
polymerase chain reaction (PCR)-based methods. Multiple HPV types were validated
by E6 type-specific PCR and direct sequencing. The HPV genotypes of the vaginal
and cervical neoplasms were compared for those with incident VAIN and a history
of previous/concomitant cervical neoplasms. Ki-67 was performed for supporting
diagnosis of VAIN. Of these 450 VAIN cases (median age, 59 years; range, 19-93),
two with missing paraffin blocks and 54 with poor DNA quality were excluded. HPV
was detected in 273/394 (69.3%) VAIN, and multiple infections were found in 17.9%
of HPV-positive samples. The leading types were HPV16 (35.5%), HPV58 (9.9%),
HPV52 (9.9%), HPV39 (8.4%), HPV33 (7.3%) and HPV53 (7.0%). Among the 156 cases
with a history of previous cervical neoplasia, 29.0% had concordant HPV
genotypes, while synchronous VAIN samples (n = 49) were more likely to harbor
concordant genotypes (58.7%) with the concomitant cervical neoplasm (p = 0.0003).
Whether those HPV types in the incident VAIN lesions had existed in the vaginal
epithelium at the time of the previous cervical neoplasia or a new acquisition
needs to be clarified in prospective follow-up studies.
PMID- 22095388
TI - The expression and pharmacological characterization of nicotinic acetylcholine
receptor subunits in HBE16 airway epithelial cells.
AB - This study characterizes the expression and the biological effects of the
nicotinic acetylcholine receptor (nAChR) on human airway epithelial cells.
Cultured HBE16 airway epithelial cells were incubated with either nicotine or
cigarette smoke extract (CSE). The nAChR gene and protein expression in cells
were detected by reverse transcriptase-polymerase chain reaction (RT-PCR), real
time PCR, and western blot. The protein expression of the nAChR subunits, alpha1,
alpha5, and alpha7, were evaluated by immunohistochemistry. Cells were
subsequently transfected with alpha1-, alpha5-, and alpha7-specific siRNAs, and
the effects of nicotine on the production of the pro-inflammatory factors, TNF
alpha, IL-8, and IL-6 in transfected cells were analyzed using an enzyme-linked
immunosorbent assay and real-time PCR. We detected alpha1, alpha5, alpha7, and
beta2 subunits in untreated HBE16 cells, and their expression was elevated after
nicotinic incubation. Importantly, the most significant increase in expression
was observed in the alpha5 and alpha7 subunits. However, CSE did not cause a
significant enhancement in the expression of these genes and proteins. Cells
pretreated with nicotine prior to lipopolysaccharide (LPS) stimulation exhibited
a lower production of TNF-alpha, IL-8, and IL-6 compared to LPS-treated (only)
cells. Cells that were transfected with alpha7 siRNA and subsequently incubated
with nicotine and LPS, exhibited a higher expression of TNF-alpha, IL-8, and IL-6
compared with non-transfected cells or alpha1 and alpha5 siRNA-transfected cells.
In alpha1- and alpha5-siRNA-transfected cells, the expression of TNF-alpha, IL-8,
and IL-6 showed no significant difference compared with non-transfected cells.
Therefore, we concluded that alpha1, alpha5, alpha7, and beta2 nAChR subunits are
highly expressed in human bronchial epithelial cells (HBE16) after nicotinic
incubation and that the alpha7 subunit is involved in the nicotine-induced
inhibitory effect on the production of inflammatory factors. Moreover, alpha1,
alpha5, and beta2 subunits did not play an important role in this process.
PMID- 22095389
TI - Characterization of Escherichia coli [NiFe]-hydrogenase distribution during
fermentative growth at different pHs.
AB - The contribution made by each of the three active [NiFe]-hydrogenases (Hyd) of
Escherichia coli during fermentation of glucose or glycerol in peptone-based
medium at different pHs was analysed. The activities of the hydrogen-oxidizing
Hyd-1 and Hyd-2 enzymes showed a reciprocal dependence on the pH of the medium
while Hyd-3, a key component of the hydrogen-evolving formate hydrogenlyase
complex, was mainly active at pH 6.5. Our findings identify the conditions during
fermentation of glucose or glycerol under which each [NiFe]-hydrogenase is
optimally active and demonstrate a previously unrecognized dependence on Hyd-1
activity at low pH.
PMID- 22095390
TI - The effects of garlic-derived sulfur compounds on cell proliferation, caspase 3
activity, thiol levels and anaerobic sulfur metabolism in human hepatoblastoma
HepG2 cells.
AB - The aim of the present studies was to determine whether the mechanism of
biological action of garlic-derived sulfur compounds in human hepatoma (HepG2)
cells can be dependent on the presence of labile sulfane sulfur in their
molecules. We investigated the effect of allyl sulfides from garlic: monosulfide,
disulfide and trisulfide on cell proliferation and viability, caspase 3 activity
and hydrogen peroxide (H(2)O(2)) production in HepG2 cells. In parallel, we also
examined the influence of the previously mentioned compounds on the levels of
thiols, glutathione, cysteine and cysteinyl-glycine, and on the level of sulfane
sulfur and the activity of its metabolic enzymes: rhodanese, 3-mercaptopyruvate
sulfurtransferase and cystathionase. Among the compounds under study, diallyl
trisulfide (DATS), a sulfane sulfur-containing compound, showed the highest
biological activity in HepG2 cells. This compound increased the H(2)O(2)
formation, lowered the thiol level and produced the strongest inhibition of cell
proliferation and the greatest induction of caspase 3 activity in HepG2 cells.
DATS did not affect the activity of sulfurtransferases and lowered sulfane sulfur
level in HepG2 cells. It appears that sulfane sulfur containing DATS can be
bioreduced in cancer cells to hydroperthiol that leads to H(2)O(2) generation,
thereby influencing transmission of signals regulating cell proliferation and
apoptosis.
PMID- 22095391
TI - Ultrasound-guided closed muscle biopsy: a useful tool for rheumatologists : case
report: recurrent focal myositis of the gastrocnemius muscle.
PMID- 22095392
TI - Vertebral osteomyelitis: eight years' experience of 100 cases.
AB - To evaluate the etiology and characteristics of vertebral osteomyelitis cases in
our country, patients with vertebral osteomyelitis between January 2000 and
December 2007 were included in this study. Clinical and laboratory data of the
patients were collected from the medical records retrospectively. Of these 100
patients, 44 had pyogenic, 24 had brucellar, and 32 had tuberculous
spondylodiscitis. The age of the patients ranged from 13 to 82 years, with a mean
of (SD+/-) 55 +/- 15.6 years. Within the pyogenic group, 10 (22.7%) patients had
a spinal surgery history, and in 18 patients of the pyogenic group, an
etiological agent was isolated. Ten (56%) of these 18 were methicillin-sensitive
Staphylococcus aureus. While all of the patients included in this study suffered
from pain, 49 of these had fever. Sixty-nine percent of the patients had lumbar
involvement. The etiological distribution may differ according to geographical
areas. Although brucella and tuberculosis (TB) are endemic in our country,
pyogenic vertebral osteomyelitis was more frequent. The most common involved area
in our patients was the lumbar vertebrae. Although thoracic involvement may be
more predominant in tuberculous vertebral osteomyelitis, it does not strongly
suggest TB. Magnetic resonance imaging may exclude some disorders mimicking
vertebral osteomyelitis and may delineate the degree of the involvement.
Microbiological and/or histopathological examination of computerized tomography
guided fine-needle aspiration biopsies are the mainstays for the diagnosis.
Suspicion and early diagnosis seem critical for preventing sequelae development.
PMID- 22095393
TI - Detection of latent tuberculosis infection in rheumatologic diseases before anti
TNFalpha therapy: tuberculin skin test versus IFN-gamma assay.
AB - We aimed to evaluate tuberculin skin test (TST) and interferon-gamma (IFN-gamma)
test results for latent tuberculosis infection (LTBI) in patients with
rheumatologic diseases prior to anti-TNFalpha therapy. Ninety patients were
evaluated in the study at the Departments of Chest Diseases and Rheumatology for
anti-TNFalpha therapy for their rheumatologic diseases. Tuberculin skin test was
performed (Mantoux method) and peripheral blood samples were collected for IFN
gamma assay (QuantiFeron TB-Gold In Tube) before the anti-TNFalpha therapy. Of 90
patients, TST positivity was detected in 56 (62.2%) patients, while IFN-gamma
positivity was detected in 34 (37.8%) patients. Among 56 TST positive patients,
IFN-gamma positivity was detected in 24 (42.9%) patients, and among 34 TST
negative patients, IFN-gamma positivity was detected in 10 (29.4%) patients.
There was no significant agreement between TST and IFN-gamma assay results (Kappa
= 0.12, P = 0.2). Forty-three (47.8%) patients were using immunosuppressive drugs
owing to their rheumatologic diseases. In this group, TST and IFN-gamma
positivity is significantly lower than in those who did not receive
immunosuppressive treatment (P < 0.05). We conclude that the IFN-gamma assay may
not be preferred to TST as a diagnostic test in patients with rheumatologic
diseases prior to anti-TNFalpha treatment.
PMID- 22095394
TI - Recurrent uveitis due to sildenafil usage in a patient with Behcet's disease.
AB - Behcet's disease (BD) may cause uveitis and retinal vasculitis in nearly half of
the patients. Uveitis is one of the most serious complications that can lead to
blindness. Sildenafil (Viagra(R)) and the other phosphodiesterase type 5 (PDE5)
inhibitors are the first-line options for the treatment of erectile dysfunction,
but transient visual symptoms and serious ocular side effects have been reported
in PDE5 inhibitor users. Herein, we report a case with BD who applied to our
outpatient unit with recurrent uveitis after sildenafil therapy.
PMID- 22095395
TI - Relationship between body mass index, fat mass and lean mass with SF-36 quality
of life scores in a group of fibromyalgia patients.
AB - Patients suffering from fibromyalgia (FM) had widespread musculoskeletal pain and
stiffness, fatigue, sleep disorders, cognitive impairment and other symptoms,
which seriously affects their quality of life (QoL), making it difficult to
perform normal activities. Moreover, FM has been associated with a higher
prevalence of overweight and obesity than in the general population. Weight
reduction has been beneficial in both FM and other rheumatic patients. Obesity
and overweight have been pointed as playing a relevant role in FM symptoms;
however, it is necessary to find out more about this relationship. The objective
of this study was to evaluate the relationship between body mass index (BMI), fat
mass (fM) and lean mass (lM) with quality of life in a group of FM patients. 103
women, with a mean age of 53.74 +/- 7.81, and members of different FM patient
associations from Spain participated in our study. Some anthropometric measures
were taken like weight, height, BMI, body fat mass and lean mass. FM patients QoL
was assessed by the Short-Form Health Survey, SF-36 questionnaire. Statistical
reports were based on mean, standard deviation and correlation, but significance
was tested by nonparametric methods. BMI, fM and lM correlated differently with
the specific SF-36 scores. BMI had a high negative correlation with emotional
role, fM with bodily pain and lM almost with all scores but specially with
emotional role, vitality and physical role. The outcome of this study reveals
some interesting relationships, which need to be further investigated to improve
the management of FM patients.
PMID- 22095396
TI - Do true preretinal (subhyaloid) hemorrhages occur in infants?
PMID- 22095397
TI - Dry powder inhaler device influence on carrier particle performance.
AB - Dry powder inhalers (DPIs) are distinguished from one another by their unique
device geometries, reflecting their distinct drug detachment mechanisms, which
can be broadly classified into either aerodynamic or mechanical-based detachment
forces. Accordingly, powder particles experience different aerodynamic and
mechanical forces depending on the inhaler. However, the influence of carrier
particle physical properties on the performance of DPIs with different dispersion
mechanisms remains largely unexplored. Carrier particle trajectories through two
commercial DPIs were modeled with computational fluid dynamics (CFD) and the
results were compared with in vitro aerosol studies to assess the role of carrier
particle size and shape on inhaler performance. Two percent (w/w) binary blends
of budesonide with anhydrous and granulated lactose carriers ranging up to 300
MUm were dispersed from both an Aerolizer(r) and Handihaler(r) through a cascade
impactor at 60 L min(-1). For the simulations, carrier particles were modeled as
spherical monodisperse populations with small (32 MUm), medium (108 MUm), and
large (275 MUm) particle diameters. CFD simulations revealed the average number
of carrier particle-inhaler collisions increased with carrier particle size (2.3
4.0) in the Aerolizer(r), reflecting the improved performance observed in vitro.
Collisions within the Handihaler(r), in contrast, were less frequent and
generally independent of carrier particle size. The results demonstrate that the
aerodynamic behavior of carrier particles varies markedly with both their
physical properties and the inhalation device, significantly influencing the
performance of a dry powder inhaler formulation.
PMID- 22095399
TI - The SuBliMinaL Toolbox: automating steps in the reconstruction of metabolic
networks.
AB - The generation and use of metabolic network reconstructions has increased over
recent years. The development of such reconstructions has typically involved a
time-consuming, manual process. Recent work has shown that steps undertaken in
reconstructing such metabolic networks are amenable to automation. The
SuBliMinaL Toolbox (http://www.mcisb.org/subliminal/) facilitates the
reconstruction process by providing a number of independent modules to perform
common tasks, such as generating draft reconstructions, determining metabolite
protonation state, mass and charge balancing reactions, suggesting intracellular
compartmentalisation, adding transport reactions and a biomass function, and
formatting the reconstruction to be used in third-party analysis packages. The
individual modules manipulate reconstructions encoded in Systems Biology Markup
Language (SBML), and can be chained to generate a reconstruction pipeline, or
used individually during a manual curation process. This work describes the
individual modules themselves, and a study in which the modules were used to
develop a metabolic reconstruction of Saccharomyces cerevisiae from the existing
data resources KEGG and MetaCyc. The automatically generated reconstruction is
analysed for blocked reactions, and suggestions for future improvements to the
toolbox are discussed.
PMID- 22095398
TI - Hepatitis C viral kinetics with the nucleoside polymerase inhibitor mericitabine
(RG7128).
AB - Mericitabine (RG7128) is a nucleoside polymerase inhibitor (NPI), which requires
intracellular uptake and phosphorylation to two active triphosphates.
Mathematical modeling has provided important insights for characterizing
hepatitis C virus (HCV) RNA decline and estimating in vivo effectiveness of
antiviral agents; however, it has not been used to characterize viral kinetics
with NPIs. HCV RNA was frequently measured in 32 treatment-experienced patients
infected with HCV genotype 1 during and after mericitabine monotherapy for 14
days with 750 mg or 1500 mg administered once (qd) or twice daily (bid). The
initial decline of HCV RNA was typically slower than with interferon-alpha or
protease inhibitors, and 12 patients presented a novel pattern of HCV RNA
kinetics characterized by a monophasic viral decline. Viral kinetics could be
well fitted by assuming that the effectiveness in blocking viral production
gradually increased over time to reach its final value, epsilon(2), consistent
with previous accumulation time estimates of intracellular triphosphates.
epsilon(2) was high with bid dosing (mean 750 mg and 1500 mg: 98.0% and 99.8%,
respectively; P = 0.018) and significantly higher than in patients treated qd
(mean qd versus bid: 90% versus 99%, P < 10(-7)). Virus rebounded rapidly upon
drug discontinuation, which was attributed to the elimination of active drug and
the subsequent decline of drug effectiveness, with mean t(1/2) = 13.9 hours in
the bid regimens. CONCLUSION: The observed slower initial decline likely
represents the time needed to accumulate intracellular triphosphates and is
consistent with in vitro data. When administered bid, mericitabine reached a
high, dose-dependent, final effectiveness in blocking viral production that
rapidly dropped upon treatment cessation. Understanding HCV RNA kinetics with
mericitabine could provide valuable insights for combining it with other direct
acting antiviral agents.
PMID- 22095400
TI - The attachment of intrinsic and extrinsic, mobilized and immobilized adhesion
cells to collagen and fibronectin.
AB - This study investigated the attachment of intrinsic and extrinsic, mobilized and
immobilized adhesion cells to the extracellular matrix. Five New Zealand White
rabbit forepaws were dissected to isolate the flexor tendon core, tendon surface
and synovial sheath, which were explanted separately. A further 10 animals were
subjected to flexor tendon injuries, randomized to either mobilization or
immobilization, and adhesions were explanted at 2 weeks. Cell groups were tested
for attachment to collagen type-I or fibronectin and morphometric analysis was
made. The attachment of intrinsic tendon cells and adhesion cells from mobilized
tendons to both matrix proteins was statistically significantly greater than that
of extrinsic tendon cells and adhesion cells from immobilized tendons. Adhesion
cells from mobilized tendons were statistically significantly more elongated,
which may correlate with the deposition of a more organized matrix. Because the
synovial sheath cells were least attached to matrix proteins, selective
treatments that reduce cell attachment may be used to exclude them, without
inhibiting intrinsic tendon healing.
PMID- 22095401
TI - Avascular osteonecrosis of the scaphoid (Preiser's disease) in a 13-year-old boy
treated with vascularized bone graft.
PMID- 22095402
TI - Wrist extension strength required for power grip: a study using a radial nerve
block model.
AB - The aim of this study was to investigate the correlation of wrist extension
strength (WES) and grip strength (GS) using a radial nerve block, and to
determine the WES required to prevent the "wrist flexion phenomenon"
(antagonistic WES) when making a fist. We tested 14 arms in seven healthy males.
WES and GS were measured before blocking as standard WES and standard GS. All
participants then had radial nerve blocks with mepivacaine hydrochloride. During
the recovery process from radial nerve blockade, WES and GS were recorded every 5
minutes. There was a very strong correlation between WES and GS (p < 0.0001). The
mean antagonistic WES was 51% of standard WES, and the mean GS, recorded at the
same time, was 66% of standard GS.
PMID- 22095403
TI - In de Quervain's with a separate EPB compartment, ultrasound-guided steroid
injection is more effective than a clinical injection technique: a prospective
open-label study.
AB - We compared ultrasonography (US)-guided injection, targeting the extensor
pollicis brevis (EPB) in de Quervain's disease (dQD) with septation, to clinical
injection. Forty-four wrists were randomly allocated to US-guided or manual (non
US-guided) injection. At 4 weeks, pain was significantly reduced in both groups.
Pain on the 100 mm visual analogue scale (VAS) for the US group was 80.3 (SD
19.6) mm at baseline and 25.6 (SD 15.1) mm at 4 weeks after injection (p =
0.004). Values for the manual group were 78.0 (SD 18.5) mm at baseline and 58.2
(SD 21.9) mm at 4 weeks after injection (p = 0.04). Pain on the VAS showed a more
significant decrease in the US-guided than in the manual injection group (p =
0.0007) from baseline to 4 weeks after injection. The results of this study
suggest US-guided injection targeting the EPB in dQD patients with septation is
more effective than manual injection.
PMID- 22095405
TI - Morphea associated with the use of adalimumab: a case report and review of the
literature.
AB - Therapy with TNF blockers may induce cutaneous adverse events, but the
development of morphea, a localized scleroderma lesion, is extremely infrequent.
We describe a 37-year-old man with ankylosing spondylitis treated with adalimumab
who developed morphea lesions in the lower limbs after 12 months of treatment.
Adalimumab was discontinued, which resulted in progressive improvement in the
skin lesions, with only mild hyperpigmentation remaining. We also review reports
of morphea and other adverse cutaneous events related to anti-TNF treatment.
PMID- 22095406
TI - Extraction of lignins from aqueous-ionic liquid mixtures by organic solvents.
AB - The commercial development of ionic liquids (ILs) to pretreat lignocellulose by
dissolution of whole biomass and cellulose precipitation by addition of water is
hindered by the absence of an effective technique to recover the lignin content
of the biomass from the IL. Three organic solvents [ethyl acetate, 1,4-dioxane,
and tetrahydrofuran (THF)] were studied for their ability to form a two-liquid
phase system with water and 1-ethyl-3-methylimidazolium acetate ([C(2)mim][OAc]),
and for partitioning model lignins and lignin monomers between the two liquid
phases. Ternary diagrams were obtained for three [C(2)mim][OAc]/organic
solvent/water systems at 22 degrees C. Partition coefficients were measured for
several types of lignin in these three systems. Partition coefficients increase
with rising water content in the IL phase, and depend strongly on the type of
lignin and on the organic solvent. Partition coefficients rise as the pH of the
ionic-liquid-rich phase falls. Small molecule model lignin monomer compounds
(guaiacol, syringaldehyde) are also readily extracted from the IL/water system by
THF.
PMID- 22095404
TI - ER stress, p66shc, and p-Akt/Akt mediate adjuvant-induced inflammation, which is
blunted by argirein, a supermolecule and rhein in rats.
AB - We investigated the anti-inflammatory activities of argirein and rhein on
inflammatory edema in rat paw which was caused by complete adjuvant, compared
with ibuprofen. We hypothesized that the adjuvant-induced inflammation is
attributed to upregulation of activating transcript factor 6 (ATF6; a chaperone
for endoplasmic reticulum (ER) stress), p66Shc (an adaptive protein modulating
oxidative stress), and NADPH oxidase subunits p22phox and gp91phox in the
inflamed tissues. Biomarkers were measured in the rat paw in association with
monitoring swellings. The primary inflammatory edema of the injected paw occurred
rapidly and sustained over a couple of days, and the secondary inflammation
developed 2 weeks later. The inflammatory edema was accompanied by upregulation
of cytokines including ATF6, p66Shc, p22phox, gp91phox, and MMP-2 and an increase
in ratio of p-Akt/Akt in the afflicted paw. These were suppressed by either
argirein and rhein or ibuprofen. These findings indicate that ER stress,
upregulated p66Shc, and phosphorylated Akt are actively implicated in the
inflammatory zone caused by adjuvant injection. These biomarkers were causal
factors responsible for inflammation of the afflicted paw and were suppressed by
a supermolecule argirein and rhein, and the anti-inflammatory activities of the
two compounds were comparable to that of ibuprofen.
PMID- 22095407
TI - Click synthesis of ubiquitin dimer analogs to interrogate linkage-specific UBA
domain binding.
AB - A new route to the synthesis of triazole-linked ubiquitin dimers (diUbs) as
structural analogs of the seven diUbs is reported. Binding studies with the Lys48
specific UBA domain of the Mud1 protein suggest that they represent functionally
suitable surrogates of their native counterparts linked by an isopeptide bond.
PMID- 22095408
TI - Effects of pesticide formulations and active ingredients on the coelenterate
Hydra attenuata (Pallas, 1766).
AB - Lethal effects of active ingredients and formulations of widely used soybean
pesticides were assessed with the Hydra attenuata toxicity test. Studied
pesticides were insecticides chlorpyrifos and cypermethrin, and herbicide
glyphosate. Results indicate the following toxicity trend: chlorpyrifos >
cypermethrin > glyphosate. Tested active ingredients of insecticides and
respective formulations did not significantly differ between them. Glyphosate
formulation exhibited higher toxicity at low concentrations (LC(1-10)) respect to
active ingredient, reversing this behavior at higher concentrations (LC(50-90)).
Comparing H. attenuata sensitivity with existent toxicity data for aquatic
organisms indicates that this species is poorly sensitive to tested insecticides
and highly sensitive to the herbicide.
PMID- 22095409
TI - Interrater reliability of endoscopic parameters following sinus surgery.
AB - OBJECTIVES/HYPOTHESIS: To determine the interrater reliability of a set of
postoperative endoscopic scoring parameters in patients with chronic
rhinosinusitis who have undergone endoscopic sinus surgery (ESS). STUDY DESIGN:
Prospective cohort with retrospective review. METHODS: One hundred twenty video
endoscopic evaluations in 20 subjects recorded at 14, 30, and 45 days after ESS
were scored in real time by the clinical investigators who performed the
endoscopies and recorded the videos and retrospectively by an independent panel
of four sinus surgeons who were blinded to all information. The scoring
parameters included categoric grading for adhesion formation and middle turbinate
position and continuous grading (visual analog scale) for degree of inflammation
and crusting. Interrater reliability of the panel members was assessed using the
Fleiss kappa test, bias index and prevalence index for categoric data, and the
Shrout-Fleiss test for continuous data. The level of agreement between the panel
and the real-time clinical investigator was also assessed. RESULTS: For categoric
variables, strong agreement between raters on the panel was found for both middle
turbinate position (kappa=0.499, prevalence index=0.925) and adhesions
(kappa=0.364, prevalence index=0.829). For continuous data, good agreement
between raters was found for both inflammation (reliability coefficient=0.554)
and crusting (reliability coefficient=0.620). Real-time investigator scoring and
panel scoring showed strong agreement. CONCLUSIONS: These results suggest that
the endoscopic scoring parameters assessed (middle turbinate position, adhesions,
inflammation, and crusting) have acceptable interexaminer reproducibility and are
suitable for evaluating ESS outcomes in the postsurgical period.
PMID- 22095410
TI - [Non-operative treatment for severe forms of infantile idiopathic scoliosis].
AB - INTRODUCTION: Infantile idiopathic scoliosis (IIS) is a rare orthopaedic
condition. Braces and casts are popular options in the treatment of IIS but there
is a paucity of studies commenting on the outcome of non-operative treatment. The
purpose of this study was to analyse failure and success after non-operative
treatment for severe forms of IIS. METHODS: We retrospectively reviewed the data
of all children who had been treated for IIS between 2003 and 2009 at a single
institution. After calculating the failure and success rates, we additionally
performed a risk factor analysis for patients who failed non-operative treatment.
Chi (2) and T tests were used for statistical analysis with significance set at p
< 0.05. RESULTS: 25 children with an average age of 11 months and an Cobb angle
of 46 degrees at presentation were analysed. Seven (28 %) patients were
considered as having failed non-operative treatment after an average follow-up of
28 months. The pretreatment Cobb angle was identified as single significant risk
factor for failure (55 versus 42) while neither age, gender, nor RVAD seem to
influence the outcome. In children who were considered as successfully treated,
the Cobb angle decreased from 42 to 18 degrees. CONCLUSION: Non-operative
treatment for IIS is successful in 3 out of 4 patients.
PMID- 22095411
TI - Synthesis and configuration determination of all enantiopure stereoisomers of the
melatonin receptor ligand 4-phenyl-2-propionamidotetralin using an expedient
optical resolution of 4-phenyl-2-tetralone.
AB - An efficient and practical approach for the synthesis of all four stereoisomers
of the MT(2) melatonin receptor ligand 4-phenyl-2-propionamidotetralin (4-P
PDOT), each in enantiomerically pure form (ee > 99.9%), was developed. The
strategy involved an optical resolution procedure of the key precursor (+/-)-4
phenyl-2-tetralone with the unusual resolving agent (S)-mandelamide, through the
formation of four dihydronaphtalene-spiro-oxazolidin-4-one diastereomers.
Interestingly, NMR experimental observations in combination with geometric
calculations, provided unambiguous configuration assignments of all stereocenters
of the key spiro stereoisomers. Cleavage of each single spiro diastereomer under
acidic conditions gave enantiopure (R)- or (S)-4-phenyl-2-tetralone, which were
then converted to each 4-P-PDOT single enantiomer by using stereoselective
reactions.
PMID- 22095412
TI - Central nervous system tuberculosis in non-HIV-positive children: a single
center, 6 year experience.
AB - PURPOSE: The aim of this paper is to describe the imaging features of central
nervous system (CNS) tuberculosis on computed tomography (CT) and magnetic
resonance imaging (MRI) studies in non-HIV-positive children. MATERIALS AND
METHODS: A retrospective descriptive evaluation was conducted on imaging studies
obtained from ten children admitted to our hospital over a 6-year period who
fulfilled criteria for a diagnosis of CNS tuberculosis. Data were collected with
regard to patients' clinical, laboratory and demographic characteristics, as well
as results of radiological investigation. RESULTS: We studied ten children, of
whom five were boys and five were girls and whose mean age was 4 (range 7 months
to 16) years. Neuroradiological findings on the first imaging study were basal
meningeal enhancement (100%), hydrocephalus (70%), infarcts (90%), tuberculomas
(40%) and cranial nerve involvement (20%). Follow-up studies revealed basal
meningeal enhancement, hydrocephalus, and infarcts in all patients, tuberculomas
in 70% and cranial nerve involvement in 50%. Only one patient showed a pattern of
miliary tuberculosis. CONCLUSIONS: CNS tuberculosis is still an important cause
of childhood morbidity and mortality even in nonimmunosuppressed children.
Because prompt diagnosis results in earlier treatment, it is crucial to be aware
of tuberculous meningitis and its complications at imaging, especially because of
the impact on patients' prognosis.
PMID- 22095413
TI - Classification of noncalcified coronary atherosclerotic plaque components on CT
coronary angiography: impact of vascular attenuation and density thresholds.
AB - PURPOSE: The authors assessed the effect of vascular attenuation and density
thresholds on the classification of noncalcified plaque by computed tomography
coronary angiography (CTCA). MATERIALS AND METHODS: Thirty patients (men 25; age
59 +/- 8 years) with stable angina underwent arterial and delayed CTCA. At sites
of atherosclerotic plaque, attenuation values (HU) were measured within the
coronary lumen, noncalcified and calcified plaque material and the surrounding
epicardial fat. Based on the measured CT attenuation values, coronary plaques
were classified as lipid rich (attenuation value below the threshold) or fibrous
(attenuation value above the threshold) using 30-HU, 50-HU and 70-HU density
thresholds. RESULTS: One hundred and sixty-seven plaques (117 mixed and 50
noncalcified) were detected and assessed. The attenuation values of mixed plaques
were higher than those of exclusively noncalcified plaques in both the arterial
(148.3 +/- 73.1 HU vs. 106.2 +/- 57.9 HU) and delayed (111.4 +/- 50.5 HU vs. 64.4
+/- 43.4 HU) phases (p<0.01). Using a 50-HU threshold, 12 (7.2%) plaques would be
classified as lipid rich on arterial scan compared with 28 (17%) on the delayed
phase scan. Reclassification of these 16 (9.6%) plaques from fibrous to lipid
rich involved 4/30 (13%) patients. CONCLUSIONS: Classification of coronary
plaques as lipid rich or fibrous based on absolute CT attenuation values is
significantly affected by vascular attenuation and density thresholds used for
the definition.
PMID- 22095414
TI - Image-guided microwave ablation of hepatic tumours: preliminary experience.
AB - PURPOSE: Microwave thermal ablation (MWA) opens up a new scenario in the field of
image-guided tumour ablation thanks to its potential advantages over validated
radiofrequency ablation (RFA). In this pilot study, we assessed the technical
success, safety and efficacy of MWA in treating hepatic malignancies. MATERIALS
AND METHODS: After obtaining informed consent, we enrolled 15 inoperable
patients, for a total of 19 lesions (ten metastases, nine hepatocellular
carcinoma) with a mean diameter of 47 mm (range 14-78 mm). Mean follow-up was 8
(range 1-14) months. RESULTS: Technical success reached 100%. Complications (one
major and one minor) occurred in two cases. Complete ablation, obtained in 68.4%
of cases, showed no significant correlation with either cancer histological type
or with lesion diameter. At follow-up, treatment failures occurred in 60% of
cases; lesion diameter was the only prognostic factor for maintaining complete
ablation. CONCLUSIONS: Our preliminary results should encourage further trials of
this technique. MWA proved to be feasible and safe in treating advanced-stage
liver tumours and represented an additional therapeutic attempt to be validated
in further and larger efficacy studies.
PMID- 22095415
TI - The role of imaging in the pre- and postoperative evaluation of posterior
occipito-cervical fusion.
AB - PURPOSE: Occipitocervical fusion is required when the occipitoatlantal joint is
unstable. The purpose of this paper is to discuss the role of imaging in the pre-
and postoperative evaluation of posterior occipitocervical fusion (POCF),
focusing on contoured loop fixation by Hartshill and Songer instrumentation.
MATERIALS AND METHODS: We studied 21 patients (eight males, 12 females; age range
6-70 years; mean age 32.6 years) with craniocervical instability who underwent
POCF with Hartshill U-shaped rod and Songer sublaminar wires. Pre- and
postoperative radiographic, computed tomography (CT) and magnetic resonance (MR)
imaging examinations were performed in all patients. A 3- to 6-month period of
external orthosis with halo vest, sterno-occipitalmandibular immobiliser (SOMI)
brace or Philadelphia collar followed surgery. Follow-up was 12-96 (mean 53.1)
months. RESULTS: Clinical assessment using the Frankel scale revealed improvement
or deterioration arrest in all but two patients: one with C3 failure and halo
destabilisation; the other, who had exhibited myelopathy signs on preoperative MR
imaging and persistent basilar impression, showed increasing and progressive
neurological deficits despite successful POCF. CONCLUSIONS: Pre- and
postoperative imaging is extremely useful in patients scheduled to undergo POCF.
Preoperative MR screening of basilar impression associated with possible spinal
cord lesions appears mandatory to predict possible deterioration and prevent
undesired failure of the operation and it may suggest the need for an alternative
surgical approach, such as the transoral approach.
PMID- 22095416
TI - MDCT in diagnosing acute aortic syndromes: reviewing common and less common CT
findings.
AB - Nontraumatic acute thoracic aortic syndromes (AAS) describe a spectrum of life
threatening aortic pathologies with significant implications on diagnosis,
therapy and management. In this context, multidetector computed tomography (MDCT)
is the gold standard due to its intrinsic diagnostic value; its performance
approaches 100% sensitivity and specificity, and it is accepted as a first-line
modality for suspected acute aortic disease. MDCT allows early recognition and
characterisation of acute aortic syndromes as well as the presence of any
associated complications - findings that are essential for optimising treatment
and improving clinical outcomes. Although classic CT findings have long been
known, other unusual signs are continually reported in the medical literature. We
reviewed the classic and less common CT findings, correlating them with
pathophysiology, timing and management options, to achieve a definite and timely
diagnostic and therapeutic definition.
PMID- 22095417
TI - Effect of two sitting postures on lumbar sagittal alignment and intervertebral
discs in runners.
AB - PURPOSE: We evaluated in vivo changes in lumbar lordosis and intervertebral discs
in runners and assessed the relationship between these changes and degenerative
disc disease in runners with and without a history of low back pain. MATERIALS
AND METHODS: Using open upright magnetic resonance (MR) imaging, we prospectively
studied changes in lumbar lordosis and intervertebral discs of 25 elite long
distance runners in two sitting postures (neutral and extended) before and after
1 h of running and compared the results with disc height and
dehydration/degeneration. Seventeen of the 25 runners had a history of low back
pain. RESULTS: After 1 h of running, mean lordosis in neutral posture reduced by
4 degrees ; reduction was significant in runners with a history of low back pain.
A significant reduction in mean lordosis in extension was not observed. Mean disc
height significantly reduced in both postures, without, however, any statistical
significance between runners with and without a history low back pain in any
posture. Variable degrees of disc dehydration/degeneration were observed in 23
runners (57 discs), more commonly at L5-S1. A significant difference of disc
dehydration/degeneration between runners with and without a history of low back
pain was not observed. CONCLUSIONS: Intervertebral discs undergo significant
strain after 1 h of running that in the long term may lead to low back pain and
degenerative disc disease. Runners, especially those with low back pain and
degenerative disc disease, should be evaluated after training to preserve the
normal lumbar lordosis.
PMID- 22095418
TI - Sonography of the small bowel after oral administration of fluid: an assessment
of the diagnostic value of the technique.
AB - PURPOSE: This study was performed to assess the feasibility and possible
advantages of bowel sonography after fluid filling of intestinal loops compared
with conventional sonography. MATERIALS AND METHODS: Forty-five consecutive
patients with known or suspected coeliac disease (35 females, ten males; age
range 11-65 years) prospectively underwent sonography before and after ingestion
of 750 ml of an aqueous solution of polyethylene glycol. Results before and after
fluid distension were compared to assess whether luminal filling improved small
bowel visualisation. RESULTS: Luminal filling improved visualisation of
intestinal features (luminal diameter, mucosal folds, parietal layers) in 77.6%
of cases (marked, moderate or mild improvement in 2, 16 and 17 patients; 4.4%,
35.5% and 37.7%), respectively, and showed no change or worsening in 20% and 2.2%
nine and one patient), respectively. Baseline examination showed abnormal
features in 13/25 celiac patients (dilated fluid-filled loops, increased
peristalsis, transient intussusception, mesenteric lymph nodes, intraperitoneal
fluid). Reexamination after luminal filling showed additional abnormalities in
six of the previous 13 and in three further coeliac patients. There were no false
positive signs due to fluid administration. CONCLUSIONS: Luminal filling can
improve visualisation of bowel walls and fold pattern and may be helpful in
selected cases.
PMID- 22095419
TI - Aortic-neck dilation after endovascular abdominal aortic aneurysm repair (EVAR):
can it be predicted?
AB - PURPOSE: This study was performed to evaluate whether dynamic computed tomography
(CT) can provide functional vessel information predicting outcomes of aortic neck
in patients undergoing endovascular aneurysm repair (EVAR) of an abdominal aortic
aneurysm (AAA). MATERIALS AND METHODS: Twenty patients with and 20 without AAA
were enrolled. Electrocardiographically (ECG)-gated data sets were acquired with
a 64-slice CT scanner. Axial pulsatility measurements were taken at three levels:
2 cm above the highest renal artery; immediately below the lowest renal artery; 1
cm below the lowest renal artery. Three independent readers performed the
measurements. Systolic and diastolic blood pressures were measured in the
brachial artery to calculate arterial-wall distensibility expressed as pressure
strain elastic modulus (Ep). Cross-sectional area change, wall distensibility and
Ep value were statistically compared. RESULTS: No significant differences were
found in terms of Ep values in the suprarenal and juxtarenal level. In the AAA
group, a significantly higher value was obtained at the infrarenal level. A
subgroup of patients with AAA (45%) had a significantly higher Ep value at the
infrarenal level. CONCLUSIONS: Dynamic CT provided insight into the abdominal
aorta pathophysiology. Identifying patients with higher infrarenal distensibility
could change selection of graft size to improve proximal fixation.
PMID- 22095420
TI - Congenital aural atresia treated with floating mass transducer on the round
window: 5 years of imaging experience.
AB - PURPOSE: The aim of this paper is to illustrate imaging features of patients
affected by congenital aural atresia (CAA) before and after treatment with a
Vibrant SoundBridge (VSB) device implanted on the round window. MATERIALS AND
METHODS: Ten patients (5 males and 5 females; mean age 22.1 years) with CAA
underwent preoperative high-resolution computed tomography (HRCT) to estimate the
degree of involvement of the middle- and inner-ear structures and highlight
radiological landmarks useful for surgical planning. RESULTS: Bilateral CAA,
mostly of the mixed type, was present in 7 patients and ossicular chain
abnormalities in 16 ears (94% of cases). The round window region was normal in
all patients, whereas facial-nerve course and/or caliber abnormalities were
present in 6 ears (35.3%). The tympanic cavity was small in 13 ears (76.5%),
whereas the mastoid was well pneumatized in 8/17 (47%). CONCLUSIONS: HRCT
provides accurate information about anatomy and malformations of the middle and
inner ear and can thus assist the surgeon in planning the procedure.
PMID- 22095421
TI - Incidence of new foci of hepatocellular carcinoma after radiofrequency ablation:
role of multidetector CT.
AB - PURPOSE: The authors sought to assess the incidence of new foci of hepatocellular
carcinoma (HCC) using multidetector computed tomography (MDCT) in patients
treated with radiofrequency ablation (RFA). MATERIALS AND METHODS: Two readers
retrospectively reviewed by consensus the follow-up MDCT studies of 125 patients
(88 men and 37 women; mean age 68 years) with 141 HCCs (size 1-5.2 cm; mean 2.2
cm) treated with RFA. MDCT follow-up was performed at 1 and 3 months and every 6
12 months thereafter. Reviewers assessed: (1) the presence of new HCC foci in the
same liver segment or in a different segment; (2) complete or incomplete tumour
ablation; (3) tumour progression. RESULTS: A total of 113 new HCCs (size 0.7-4.8
cm; mean 1.7 cm) were detected in 69/125 (55.2%) patients (mean follow-up 30.38+/
19.14 months). Of these, 86 (76.1%) new HCCs were multiple (p<0.0001), and 92
(81.4%) occurred in a different segment from that of the treated HCC (p<0.0001).
New HCCs were observed in the first 12 months, between 12 and 24 months and after
24 months in 31/69 (44.9%), 24/69 (34.8%) and 14/69 (20.3%) patients,
respectively (p=0.175). Mean diseasefree interval was 16.1+/-16.31 (range 1-52)
months. Complete tumour ablation was achieved in 132/141 (93.6%) treated HCCs,
and tumour progression occurred in 29/141 (20.6%) cases. CONCLUSIONS: In patients
with RFA-treated HCCs, MDCT follow-up revealed a high incidence of new HCCs, even
after 1 year of follow-up. The new foci tended to be multiple and located in a
liver segment different from that of the previously treated nodules.
PMID- 22095422
TI - Tumours of the atlas and axis: a 37-year experience with diagnosis and
management.
AB - PURPOSE: This paper presents a single institution's longterm experience regarding
the incidence and management of tumours of the atlas and axis and discusses
clinical and imaging findings and treatment options. MATERIALS AND METHODS: We
searched the registry of the Istituto Ortopedico Rizzoli for patients admitted
and treated for tumours of the upper cervical spine. We identified 62 patients
over 37 years, from July 1973 to October 2010. There were 39 male and 23 female
patients, with a mean age of 39.5 (range 5-77) years. For each patient, we
collected data on clinical presentation, imaging and treatment. Mean follow-up
was 10 years. RESULTS: Benign bone tumours were diagnosed in 24 (39%) and
malignant tumours in 38 (61%) patients. The most common tumours were bone
metastases, followed by osteoid osteomas and chordomas. The atlas was involved in
six and the axis in 52 patients; in four patients, both the atlas and axis were
involved. The most common clinical presentation was pain, torticollis, dysphagia
and neurological deficits. Surgical treatment was performed in 35 patients and
conservative treatment, including intralesional methylprednisolone injections and
halo-vest immobilisation with or without radiation therapy, chemotherapy or
embolisation, in the remaining patients. One patient with osteoblastoma of the
atlas had local recurrence. All patients with metastatic bone disease had local
recurrence; four of the eight patients with plasmacytoma progressed to multiple
myeloma within 1-4 years. All patients with chordomas had two to four local
recurrences. Patients with osteosarcomas and chondrosarcoma died owing to local
and distant disease progression. CONCLUSIONS: Bone tumours of the cervical spine
are rare. However, they should be kept in mind when examining patients with neck
pain or neurological symptoms at the extremities. In most cases, only
intralesional surgery can be administered. Combined radiation therapy and
chemotherapy is indicated for certain tumour histologies.
PMID- 22095423
TI - Diagnostic accuracy of second-generation dual-source computed tomography coronary
angiography with iterative reconstructions: a real-world experience.
AB - PURPOSE: The authors evaluated the diagnostic accuracy of second-generation dual
source (DSCT) computed tomography coronary angiography (CTCA) with iterative
reconstructions for detecting obstructive coronary artery disease (CAD).
MATERIALS AND METHODS: Between June 2010 and February 2011, we enrolled 160
patients (85 men; mean age 61.2+/-11.6 years) with suspected CAD. All patients
underwent CTCA and conventional coronary angiography (CCA). For the CTCA scan
(Definition Flash, Siemens), we use prospective tube current modulation and 70
100 ml of iodinated contrast material (Iomeprol 400 mgI/ ml, Bracco). Data sets
were reconstructed with iterative reconstruction algorithm (IRIS, Siemens). CTCA
and CCA reports were used to evaluate accuracy using the threshold for
significant stenosis at >=50% and >=70%, respectively. RESULTS: No patient was
excluded from the analysis. Heart rate was 64.3+/-11.9 bpm and radiation dose was
7.2+/-2.1 mSv. Disease prevalence was 30% (48/160). Sensitivity, specificity and
positive and negative predictive values of CTCA in detecting significant stenosis
were 90.1%, 93.3%, 53.2% and 99.1% (per segment), 97.5%, 91.2%, 61.4% and 99.6%
(per vessel) and 100%, 83%, 71.6% and 100% (per patient), respectively. Positive
and negative likelihood ratios at the per-patient level were 5.89 and 0.0,
respectively. CONCLUSIONS: CTCA with second-generation DSCT in the real clinical
world shows a diagnostic performance comparable with previously reported
validation studies. The excellent negative predictive value and likelihood ratio
make CTCA a first-line noninvasive method for diagnosing obstructive CAD.
PMID- 22095424
TI - A benchmark study on 883 nasopharyngeal cancer patients treated in two Italian
centres from 1977 to 2000. Part I: Evolving technical choices and survival.
AB - PURPOSE: The authors sought to define treatment results according to the
different accrual periods and clinical-therapeutic features in a large series of
nasopharyngeal cancer (NPC) patients treated in two Italian centres over more
than two decades. MATERIALS AND METHODS: A total of 883 patients consecutively
treated with radiotherapy between 1977 and 2000 at the Florence (FLO) and Brescia
(IRA) Radiation Oncology centres were studied. Five-year overall (OS) and disease
specific (DSS) actuarial survival rates in the different pathological, clinical
and therapeutic subgroups were calculated, along with the actuarial local
regional control (LRC) probability. RESULTS: At univariate analysis, survival and
local control rates were significantly better in the more recent accrual periods
and in the more favourable disease presentations; treatment-related parameters
mainly affect LRC. At multivariate analysis, patient- and disease-related factors
had a more evident prognostic effect than did therapeutic factors, although dose
to the nasopharynx and treatment technique had a marginally significant impact on
DSS and OS. CONCLUSIONS: Results of this benchmark study may be useful for
understanding the development of new radio-therapy techniques for NPC, such as
three-dimensional conformal radiotherapy (3D-CRT) and particularly intensity
modulated radiotherapy (IMRT).
PMID- 22095425
TI - A benchmark study on 883 nasopharyngeal cancer patients treated in two Italian
centres from 1977 to 2000. Part II: Evolving technical choices and toxicity
patterns.
AB - PURPOSE: The authors sought to define toxicity patterns according to the
different accrual periods and clinical-therapeutic features in a large series of
nasopharyngeal cancer (NPC) patients treated in two Italian centres over more
than two decades. MATERIALS AND METHODS: A total of 883 patients consecutively
treated with radiotherapy from 1977 to 2000 at the Florence (FLO) and Brescia
(IRA) radiation oncology centres were studied. The crude incidence of late
treatment toxicity in the different subgroups of patients was calculated and
compared. RESULTS: Higher total and fractional doses and the "older" treatment
techniques were related with an increased incidence of the main late effects of
treatment. More recently treated patients experienced less treatment-related
complications. CONCLUSIONS: Results of this benchmark study may have implications
for understanding and developing new radiotherapy techniques, such as three
dimensional conformal radiotherapy (3D-CRT) and, in particular, intensity
modulated radiotherapy (IMRT) for NPC patients.
PMID- 22095426
TI - Metabolite detection of pancreatic carcinoma by in vivo proton MR spectroscopy at
3T: initial results.
AB - PURPOSE: The authors sought to identify metabolic features of pancreatic
carcinoma by in vivo proton magnetic resonance (MR) spectroscopy at 3 Tesla.
MATERIALS AND METHODS: Forty healthy volunteers and 40 patients with pancreatic
carcinoma confirmed by histopathology underwent T2-weighted imaging for
localisation of the single voxel. Respiration-triggered (1)H MR spectroscopy was
used to detect metabolites in normal pancreas and cancerous tissue. All spectral
data were processed with SAGE software. Unsuppressed water at 4.7 ppm was used as
an internal reference to determine metabolite concentrations. Each ratio among
the different peak areas was statistically evaluated between normal pancreas and
pancreatic carcinoma. RESULTS: The following five groups of spectra were
detected: unsaturated fatty acids (-CH = CH-) at 5.4 ppm; residual water at 4.7
ppm; choline metabolites at 3.2 ppm; unsaturated fatty acids (-CH2-CH = CH-) or a
combination of N-acetylaspartate (NAA), N-acetylaspartylglutamate (NAAG),
glutamine, glutamate, macromolecules and unsaturated fatty acids (-CH2-CH = CH-)
at 2.0 ppm and lipids at 1.3 ppm. Ratio of lipids to unsuppressed water in normal
pancreas was statistically greater than that in pancreatic cancer (p=0.004).
Ratio of choline to unsuppressed water in normal pancreas was statistically
greater than that in pancreatic cancer (p=0.0001). Ratio of fatty acids (-CH = CH
) to lipids in normal pancreas was statistically lower than that in pancreatic
cancer (p=0.006). CONCLUSIONS: Compared with normal pancreas, pancreatic
carcinoma has a higher ratio of fatty acids (-CH = CH-) to lipids and lower
ratios of lipids to unsuppressed water and choline to unsuppressed water at 3T.
PMID- 22095427
TI - Radiofrequency ablation of renal cell carcinoma in patients with a solitary
kidney: a retrospective analysis of our experience.
AB - PURPOSE: This study was done to evaluate the feasibility and safety of
radiofrequency ablation (RFA) of renal cell carcinomas (RCCs) in patients with
solitary kidney. MATERIALS AND METHODS: Seven patients (two men, five women; age
range 52-70 years; mean age 59.7 years) were treated under computed tomography
(CT) and ultrasound (US) guidance. Three patients had single lesions, and the
remaining four had multiple lesions. Seventeen lesions (4 cortical, 13 exophytic,
maximum diameter range 12-40 mm, mean 21.0 mm) not located close to the renal
pelvis were treated. CT or magnetic resonance (MR) imaging follow-up studies were
obtained for all patients at the end of the procedure and at 1, 3, 6 and 12
months; serum creatinine was also monitored. RESULTS: Ten ablation sessions were
performed. In two patients, a perinephric haematoma was detected, and one of
these patients had two episodes of self-limiting haematuria. Contrast-enhanced CT
and MR imaging at the end of the procedure and at 1 month demonstrated 100%
technical success; these results were confirmed at 3, 6 and 12 month. Fisher's
test comparing serum creatinine obtained 1 day before and 1 day after the
procedure showed no case of acute renal failure (mean serum creatinine 24 h
before the procedure 1.02 mg/dl; mean serum creatinine 24 h after the procedure
0.95 mg/dl; p=0.114; not significant). Serum creatinine at follow-up was always
within the normal range. CONCLUSIONS: Radiofrequency ablation in the solitary
kidney is a safe and effective procedure for treating RCC.
PMID- 22095428
TI - Phytotoxkit: a critical look at a rapid assessment tool.
AB - Terrestrial plant toxicity testing contributes critical information to many site
risk assessments, but standardized tests can be labor-intensive, use large
amounts of soil, and employ long test durations. The Phytotoxkit (MicroBioTests,
Environmental Bio-Detection Products) minimizes the time and cost associated with
terrestrial plant testing with a unique test setup, a shorter test duration, and
less soil. However, the sensitivity of the test remains an open question. In this
research, the Phytotoxkit and the standardized Environment Canada terrestrial
plant toxicity test (definitive test) are compared using a parallel testing
approach. Three different scenarios were examined: a multiconcentration test, in
which an inhibiting concentration (ICp) was derived from chemically amended
soils; a soil remediation test, in which plant growth in a remediated soil was
compared to the original contaminated soil; and a site soil test, in which plant
growth in a contaminated soil was compared to a reference soil. The contaminants
tested were boric acid, Cr(VI) with cyclodextrin as a remediation agent, and
petroleum hydrocarbons. Trifolium pratense (red clover) was used in the first and
second scenarios, and six different plant species were used in the third
scenario. In the first scenario, the Phytotoxkit results compared well with the
definitive test results after 5 and 7 d of exposure. In the second scenario, the
Phytotoxkit results agreed with the definitive test when evaluating the
effectiveness of remediation. In the third scenario, the Phytotoxkit results were
often not in agreement with the results from the definitive test. The reduced
sensitivity of the Phytotoxkit in the third scenario may be driven by test unit
design, as plant roots are separated from soil by filter paper.
PMID- 22095429
TI - Endothelial progenitor cells: current issues on characterization and challenging
clinical applications.
AB - Since their discovery about a decade ago, endothelial precursor cells (EPC) have
been subjected to intensive investigation. The vision to stimulate respectively
suppress a key player of vasculogenesis opened a plethora of clinical
applications. However, as research opened deeper insights into EPC biology, the
enthusiasm of the pioneer era has been damped in favour of a more critical view.
Recent research is focused on three major questions: The fact that the number of
EPC in peripheral blood is exceedingly low has consistently raised suspicion
whether these cells can plausibly have an impact on physiological or
pathophysiological processes. Secondly, whereas the key role of EPC in
tumourigenesis has been strongly emphasized by various groups in the past, recent
publications are challenging this hypothesis. Thirdly, the lack of consensus on
EPC-defining markers and standardized protocols for their detection have
repeatedly led to difficulties concerning comparability between papers. In this
current review, an overview on recent findings on EPC biology is given, their
challenging clinical implications are discussed and the perplexity underlying the
current controversial debate is illustrated.
PMID- 22095436
TI - Intestinal bacterial translocation in rats with cirrhosis is related to
compromised Paneth cell antimicrobial host defense.
AB - Liver cirrhosis is associated with bacterial translocation (BT) and endotoxemia.
Most translocating bacteria belong to the common intestinal microbiota,
suggesting a breakdown of intestinal barrier function. We hypothesized that
diminished mucosal antimicrobial host defense could predispose to BT. Two rodent
models of portal hypertension with increased BT were used, CCl(4)-induced ascitic
cirrhosis and 2-day portal vein-ligated (PVL) animals. BT was assessed by
standard microbiological techniques on mesenteric lymph nodes. Total RNA was
isolated systematically throughout the intestinal tract, and expression of Paneth
cell alpha-cryptdins and beta-defensins was determined by real-time quantitative
polymerase chain reaction (qPCR). To determine functional consequences, mucosal
antimicrobial activity was assessed with a fluorescence-activated cell sorting
assay. BT was detectable in 40% of rats with cirrhosis. Compared with the group
without BT, these animals exhibited diminished intestinal Paneth cell alpha
cryptdin 5 and 7 expression. In contrast, PVL was associated with BT in all
animals but did not affect antimicrobial peptides. The decrease in Paneth cell
antimicrobials was most pronounced in the ileum and the coecum. Other
antimicrobials showed no changes or even an induction in the case of BT at
different sites. Antimicrobial activity toward different commensal strains was
reduced, especially in the distal ileum and the cecum in experimental cirrhosis
with BT (excluding PVL). CONCLUSION: Compromised Paneth cell antimicrobial host
defense seems to predispose to BT in experimental cirrhosis. Understanding this
liver-gut axis including the underlying mechanisms could help us to find new
treatment avenues.
PMID- 22095437
TI - Meta-analysis of phase III trials of docetaxel alone or in combination with
chemotherapy in metastatic breast cancer.
AB - PURPOSE: Whether combination chemotherapy offers an advantage over sequential
therapy in metastatic breast cancer (MBC) is still an unsettled issue.
Polychemotherapy regimens containing taxanes has been shown to increase overall
survival (OS), time to tumor progression (TTP), and overall response rate (ORR)
when compared with regimens that did not contain a taxanes, while taxane-based
doublets have a statistically significant benefit over single-agent taxane only
for progression-free survival. However, the term "taxanes" generally includes
both paclitaxel and docetaxel, drugs with different clinical activity. Aim of
this work is to compare OS, TTP, and ORR in patients with MBC receiving docetaxel
alone or in combination with chemotherapy using a formal meta-analysis. METHODS:
We performed a systematic review of all published trials comparing docetaxel
alone or in combination with other chemotherapeutic agents in MBC. RESULTS: Three
randomized clinical trials including 1,313 patients were retrieved. A significant
reduction of risk ratio was found in TTP (P <= 0.0001) but not in OS (P = 0.48)
or ORR (P = 0.10) for patients treated with a chemotherapy agent plus docetaxel
compared with docetaxel alone. Treatment with docetaxel alone is associated with
a lower incidence of grade 3 diarrhea and stomatitis (diarrhea, P = 0.011;
stomatitis, P = 0.0004). CONCLUSION: Combination chemotherapy regimens with
docetaxel show a statistically significant advantage for TTP, but not for OS and
ORR in MBC. This review confirms that it is unlikely that any single agent or
combination chemotherapy regimen will emerge as superior in MBC, due to its
heterogeneous nature.
PMID- 22095438
TI - Effectiveness of semi-quantitative multiphase dynamic contrast-enhanced MRI as a
predictor of malignancy in complex adnexal masses: radiological and pathological
correlation.
AB - OBJECTIVES: To determine whether threshold criteria using semi-quantitative
multiphase-dynamic contrast-enhanced magnetic resonance imaging (DCE- MRI) can
improve prediction of malignancy in complex adnexal masses. METHODS: MRI features
of 70 complex adnexal masses with enhancing components in 63 patients were
reviewed and correlated with histopathology (n = 67) or radiological follow-up (n
= 3). Masses were categorised as benign (n = 34) or borderline/invasive malignant
(n = 36). Borderline lesions (n = 6) were also analysed separately. Using the
semi-quantitative breast analysis software, regions of interest were drawn around
the most avidly enhancing component of each lesion. Maximum absolute enhancement
of signal intensities (SI(max)), maximum relative enhancement (SI(rel)) and wash
in rate (WIR) were recorded. Optimal threshold criteria were established to
predict borderline/invasive malignancy. RESULTS: There was a significant
difference in mean SI(max) (P < 0.05), SI(rel) (P < 0.01) and WIR (P < 0.001)
between benign and borderline/invasive malignant groups. A cut-off WIR >= 9.5 l/s
had a specificity of 88% and positive predictive value of 86% for predicting
malignancy, significantly better than conventional MRI (62%, P < 0.01). WIR <8.2
l/s had a negative predictive value of 94%. CONCLUSION: Threshold criteria using
semi-quantitative multiphase DCE-MRI improves specificity in the prediction of
malignancy in complex adnexal masses with enhancing components and is
complementary to standard qualitative assessment. KEY POINTS: Semi-quantitative
DCE-MRI threshold criteria are effective for predicting ovarian malignancy. The
surgical approach may be altered depending on DCE-MRI threshold criteria
analysis. Borderline tumours demonstrate significant overlap with benign lesions
using DCE-MRI threshold criteria.
PMID- 22095439
TI - Benefits of the quality assured double and arbitration reading of mammograms in
the early diagnosis of breast cancer in symptomatic women.
AB - OBJECTIVES: To address the benefits of double and arbitration reading regarding
tumour detection rates, percentage of in situ tumours, and number (of patients)
needed to send for expert reading (number needed to treat; NNT) for one
additional tumour finding. METHODS: QuaMaDi is a quality assured breast cancer
diagnosis programme; with two-view mammography (craniocaudal, mediolateral
oblique) and, in case of breast density ACR 3 or 4, routine ultrasound imaging;
and with independent double reading of all images. A consecutive sample of
symptomatic women, i.e. women at risk for breast cancer, women aged 70 and above,
and/or women with preceding BI-RADS III findings, was analysed. RESULTS: 28,558
mammograms were performed (mean age of women: 57.3 [standard deviation: 12.3]
years). Discordant findings were present in 3,837 double readings and were sent
for arbitration reading. After histopathological assessment, 52 carcinomas were
found (thereof 32% in situ). These carcinomas accounted for 1.8 tumours per 1,000
examinations in the total cohort and increased the tumour detection rate up to
16.4/1,000. The NNT in discordant cases was 74. CONCLUSION: Double and
arbitration reading appears to be a useful tool to ensure the quality of early
detection of breast lesions in symptomatic women during indication-based,
standardised mammography. KEY POINTS: * Quality assured breast cancer diagnosis
is feasible outside organised screening structures. * Double and arbitration
reading is beneficial for populations ineligible for screening. * Double and
arbitration reading increases the tumour detection rate. * Double and arbitration
reading increases the percentage of in situ cancers.
PMID- 22095440
TI - The quality of life trajectory of resected gastric cancer.
AB - BACKGROUND: Few studies describe quality of life (QoL) outcomes following
gastrectomy for gastric cancer using a validated instrument. The gastric cancer
module for the Functional Assessment of Cancer Therapy system of QoL measurement
tools (FACT-Ga) was utilized to determine the changes in QoL following
gastrectomy, and during the disease course. METHODS: In 43 patients undergoing
gastrectomy for gastric cancer, outcome such as complications, recurrence, and
survival were annotated. Karnofsky performance status (KPS) and QoL were
determined preoperatively and at each follow-up visit. RESULTS: Nineteen (44%)
patients and 24 (56%) patients underwent partial gastrectomy (PG) and total
gastrectomy (TG), respectively. Complications occurred in 30%, and one mortality
(2.3%) occurred. Median survival was 23 months. KPS, FACT-G, and FACT-Ga scores
all decreased after surgery, and normalized by 6 months. There was no significant
difference in QoL in patients who had a PG or TG, although the type of
gastrectomy did affect KPS. QoL dropped on average 4.4 +/- 3.6 months prior to
death. CONCLUSIONS: Surgery adversely affects QoL for up to 6 months. Thereafter,
QoL mirrors changes in disease status. More studies are required to document the
QoL cost-benefit ratio in gastric cancer, which often is accompanied by short
survival benefits.
PMID- 22095441
TI - ARID2: a new tumor suppressor gene in hepatocellular carcinoma.
AB - Hepatocellular carcinoma (HCC) is one of the most common malignancies worldwide,
however, genetic-environmental interactions and mechanisms associated with the
development of HCC remains largely unclear. Our recent work described novel
inactivating mutations of ARID2 (AT-rich interactive domain 2) in four major
subtypes of HCC through exomic sequencing of ten HCV-associated HCCs and
subsequent evaluation of the tumors from additional affected individuals. Here,
we summarize the current knowledge about the relevance of ARID2 in HCC and the
implication in future patient care.
PMID- 22095442
TI - Dietary supplementation with methylseleninic acid, but not selenomethionine,
reduces spontaneous metastasis of Lewis lung carcinoma in mice.
AB - The present study investigated the effects of dietary supplementation with
methylseleninic acid (MSeA), in comparison with selenomethionine (SeMet), on
spontaneous metastasis of Lewis lung carcinoma (LLC) in male C57BL/6 mice using
intramuscular and subcutaneous injection models. Mice were fed AIN93G control
diet or that diet supplemented with MSeA or SeMet at 2.5 mg selenium/kg for 4
weeks at which time they were injected intramuscularly or subcutaneously with 2.5
* 10(5) viable LLC cells. Experiments were terminated 2 weeks later for mice
injected intramuscularly or 2 weeks after surgical removal of primary tumors from
mice subcutaneously injected with cancer cells. Dietary supplementation with MSeA
significantly reduced pulmonary metastatic yield when compared with the controls
(p < 0.05) in both models; however, SeMet did not have such an effect.
Supplementation with MSeA significantly decreased plasma concentrations of
urokinase-type plasminogen activator (p < 0.05) and plasminogen activator
inhibitor-1 (p < 0.05). Furthermore, MSeA significantly reduced plasma
concentrations of vascular endothelial growth factor (p < 0.05), fibroblast
growth factor basic (p < 0.05) and platelet-derived growth factor-BB (p < 0.05)
when compared with the controls. Selenomethionine did not affect any of the
aforementioned measurements. These results demonstrate that MSeA reduces
spontaneous metastasis of LLC in mice, perhaps through inhibition of the
urokinase plasminogen activator system and reducing angiogenesis.
PMID- 22095443
TI - Evaluation of the energy efficiency of enzyme fermentation by mechanistic
modeling.
AB - Modeling biotechnological processes is key to obtaining increased productivity
and efficiency. Particularly crucial to successful modeling of such systems is
the coupling of the physical transport phenomena and the biological activity in
one model. We have applied a model for the expression of cellulosic enzymes by
the filamentous fungus Trichoderma reesei and found excellent agreement with
experimental data. The most influential factor was demonstrated to be viscosity
and its influence on mass transfer. Not surprisingly, the biological model is
also shown to have high influence on the model prediction. At different rates of
agitation and aeration as well as headspace pressure, we can predict the energy
efficiency of oxygen transfer, a key process parameter for economical production
of industrial enzymes. An inverse relationship between the productivity and
energy efficiency of the process was found. This modeling approach can be used by
manufacturers to evaluate the enzyme fermentation process for a range of
different process conditions with regard to energy efficiency.
PMID- 22095444
TI - The influence of social deprivation on length of hospitalisation.
AB - The implementation of activity-based payment system named T2A-tarification a
l'activite-in 2004 profoundly modified the financing of French hospitals.
Presently applied to activities concerning medicine, surgery and obstetrics, the
pricing for these activities was developed using the National Costs Study. The
considerable differences observed between costs in the private sector and those
in the public sector are in part justified, by the latter, by caring for patients
with social deprivation. The goal of this study is to measure the influence of
social deprivation on the length of hospitalisation. A survey on inpatient social
deprivation was carried out from November to December 2008 by the French Ministry
of Health (Department of Research, Study, Evaluation and Statistics-DREES, and
technical agency of Hospital information-ATIH). Four dimensions of social
deprivation were taken into consideration after a previous qualitative study:
social isolation, quality of housing, level of income and access to rights. The
sample is based on 27 hospitals, including public and private (for-profit and not
for-profit), representing 57,175 stays, 6,800 of which were patients with social
deprivation. After multivariate analyses adjusted for age, severity of illness
and DRG, we found that there was a longer length of stay for inpatients with
social deprivation (+16%), and in particular for patients living in social
isolation (+17%) and for patients with inadequate housing (+17%). The impact of
low income on the length of stay is less important. However, low income
associated with inadequate housing significantly increases lengths of stay
(+24%).
PMID- 22095445
TI - Endothelial paxillin and focal adhesion kinase (FAK) play a critical role in
neutrophil transmigration.
AB - During an inflammatory response, endothelial cells undergo morphological changes
to allow for the passage of neutrophils from the blood vessel to the site of
injury or infection. Although endothelial cell junctions and the cytoskeleton
undergo reorganization during inflammation, little is known about another class
of cellular structures, the focal adhesions. In this study, we examined several
focal adhesion proteins during an inflammatory response. We found that there was
selective loss of paxillin and focal adhesion kinase (FAK) from focal adhesions
in proximity to transmigrating neutrophils; in contrast the levels of the focal
adhesion proteins beta1-integrin and vinculin were unaffected. Paxillin was lost
from focal adhesions during neutrophil transmigration both under static and flow
conditions. Down-regulating endothelial paxillin with siRNA blocked neutrophil
transmigration while having no effect on rolling or adhesion. As paxillin
dynamics are regulated partly by FAK, the role of FAK in neutrophil
transmigration was examined using two complementary methods. siRNA was used to
down-regulate total FAK protein while dominant-negative, kinase-deficient FAK was
expressed to block FAK signaling. Disruption of the FAK protein or FAK signaling
decreased neutrophil transmigration. Collectively, these findings reveal a novel
role for endothelial focal adhesion proteins paxillin and FAK in regulating
neutrophil transmigration.
PMID- 22095446
TI - Preparation and properties of a drug release membrane of mitomycin C with N
succinyl-hydroxyethyl chitosan.
AB - A novel drug loaded membrane made of N-succinyl-hydroxyethyl chitosan and
mitomycin C was used as an implant for glaucoma filtering surgery. The
characteristics of the membrane, such as FTIR, equilibrium water content,
swelling ratio, permeability, and drug release in vitro were determined. The L929
fibroblast inhibition of drug loaded membranes was compared to hydroxyethyl
chitosan film and blank control, detecting by MTT. The biodegradability and
biocompatibility were evaluated by implanting membranes into the subcutaneous
tissue and muscle of rats. FTIR indicated mitomycin C was introduced. The
experimental results indicated the drug loaded membrane was effective on the
swelling property, permeability, and drug release in vitro. Cell culture
experimental results demonstrated that the destination membrane inhibited
fibroblast proliferation. In vivo, the membranes showed bioabsorption and
biocompatibility. The experimental results provide a theoretical basis for the
future development of the drug loaded membrane as an implant for increasing the
success rate of filtering surgery.
PMID- 22095447
TI - Engineering of erythrocyte-based drug carriers: control of protein release and
bioactivity.
AB - This work reports the fabrication of layer-by-layer (LbL) polyelectrolyte coated
erythrocyte carriers that provide a simple means for controlling the burst and
subsequent release of lysozyme. Erythrocytes were loaded with RITC-lysozyme as
model compound via the hypotonic dialysis method. An encapsulation efficiency of
41.6% and a loading amount of 12.7 pg/cell was achieved. It is demonstrated that
these carriers maintain their shape and integrity similar to natural erythrocytes
after the encapsulation procedures, and achieve a uniform distribution of the
encapsulated lysozyme. The erythrocyte carriers were fixed with glutaraldehyde
and then successfully coated with biocompatible polyelectrolytes, poly-L: -lysine
hydrobromide and dextran sulfate, using the LbL method. It is demonstrated that
the release profile of the encapsulated macromolecule can be regulated by
adjusting the number of polyelectrolyte layers. Furthermore by adjusting the
concentrations of the cross linking agent the activity of the encapsulated
lysozyme can be well preserved. These core-shell microcapsules, consisting of
erythrocytes loaded with bioactive substances and coated with a polyelectrolyte
multilayer shell, hold promise for a new type of biocompatible and biodegradable
drug delivery system.
PMID- 22095448
TI - Prolonged release from PLGA/HAp scaffolds containing drug-loaded PLGA/gelatin
composite microspheres.
AB - Porous scaffolds that can prolong the release of bioactive factors are urgently
required in bone tissue engineering. In this study, PLGA/gelatin composite
microspheres (PGMs) were carefully designed and prepared by entrapping poly(L:
lactide-co-glycolide) (PLGA) microspheres (PMs) in gelatin matrix. By mixing PGMs
with PLGA solution directly, drug-loaded PLGA/carbonated hydroxyapatite
(HAp)/PGMs composite scaffolds were successfully fabricated. In vitro release of
fluorescein isothiocyanate-dextran (FD70S) as a model drug from the scaffolds as
well as PMs and PGMs was studied by immersing samples in phosphate buffered
saline (pH = 7.4) at 37 degrees C for 32 days. Compared with PMs, PGMs and
PLGA/HAp/PGMs scaffolds exhibited slow and steady release behavior with constant
release rate and insignificantly original burst release. The swelling of PGMs,
diffusion of drugs, and degradation of polymer dominated the release behaviors
synergistically. The PLGA/HAp/PGMs scaffold offers a novel option for sequential
or simultaneous release of several drugs in terms of bone regeneration.
PMID- 22095449
TI - Directly created electrostatic micro-domains on hydroxyapatite: probing with a
Kelvin Force probe and a protein.
AB - Micro-domains of modified surface potential (SP) were created on hydroxyapatite
films by direct patterning by mid-energy focused electron beam, typically
available as a microprobe of Scanning Electron Microscopes. The SP distribution
of these patterns has been studied on sub-micrometer scale by the Kelvin Probe
Force Microscopy method as well as lysozyme adsorption. Since the lysozyme is
positively charged at physiological pH, it allows us to track positively and
negatively charged areas of the SP patterns. Distribution of the adsorbed
proteins over the domains was in good agreement with the observed SP patterns.
PMID- 22095450
TI - Development, characterization, and applications of a novel estrogen receptor beta
monoclonal antibody.
AB - The role of estrogen receptor alpha (ERalpha) in breast cancer has been studied
extensively, and its protein expression is prognostic and a primary determinant
of endocrine sensitivity. However, much less is known about the role of ERbeta
and its relevance remains unclear due to the publication of conflicting reports.
Here, we provide evidence that much of this controversy may be explained by
variability in antibody sensitivity and specificity and describe the development,
characterization, and potential applications of a novel monoclonal antibody
targeting full-length human ERbeta and its splice variant forms. Specifically, we
demonstrate that a number of commercially available ERbeta antibodies are
insensitive for ERbeta and exhibit significant cross-reaction with ERalpha.
However, our newly developed MC10 ERbeta antibody is shown to be highly specific
and sensitive for detection of full-length ERbeta and its variant forms. Strong
and variable staining patterns for endogenous levels of ERbeta protein were
detected in normal human tissues and breast tumors using the MC10 antibody.
Importantly, ERbeta was shown to be expressed in a limited cohort of both ERalpha
positive and ERalpha negative breast tumors. Taken together, these data
demonstrate that the use of poorly validated ERbeta antibodies is likely to
explain much of the controversy in the field with regard to the biological
relevance of ERbeta in breast cancer. The use of the MC10 antibody, in
combination with highly specific antibodies targeting only full-length ERbeta, is
likely to provide additional discriminatory features in breast cancers that may
be useful in predicting response to therapy.
PMID- 22095451
TI - Comment on "Visual layout modulates Fitts's law: the importance of first and last
positions".
AB - Pratt, Adam, and Fischer (2007) investigated the effect of surrounding targets on
the time it took to move to an individual target and found that the movement time
to a central target was above the Fitts's law line related to the first and last
targets. They explained their results in terms of a "visuomotor hypothesis."
Here, an alternative explanation is given in terms of a previously validated
model of the "available target width" that is determined by the size of the
target and the width of the finger pad that is being used to hit the target.
PMID- 22095452
TI - The association between insulin levels and cortical bone: findings from a cross
sectional analysis of pQCT parameters in adolescents.
AB - Recent studies suggest that patients with type 2 diabetes mellitus are at
increased risk of fracture, possibly because hyperinsulinemia is a risk factor
for low bone mineral density, which may in turn be a consequence of a lipotoxic
effect of visceral and/or intramuscular fat on bone. In the current study, we
investigated whether insulin plays a role in cortical bone development by
performing a cross-sectional study based on the Avon Longitudinal Study of
Parents and Children (ALSPAC), where we examined associations between fasting
insulin levels and peripheral quantitative computed tomography (pQCT) parameters
as assessed at the mid-tibia in 2784 boys and girls with a mean age 15.5 years.
In particular, we wished to examine whether associations that we observed were
independent of body composition, including intramuscular fat. We found that
insulin was inversely related to cortical bone mineral density (BMD(C)) after
adjustment for age and after further adjustment for height, muscle cross
sectional area (MCSA), subcutaneous fat (SAT), and muscle density (MD), which is
inversely related to intramuscular fat (-0.018, 95% confidence interval [CI]
0.030, -0.006, p < 0.0001). Insulin was positively related to periosteal
circumference (PC) after adjusting for age (0.015, 95% CI 0.003, 0.027, p =
0.015; beta = change per 50% increase in insulin), but this changed to an inverse
association after additional adjustment for height and body composition (-0.013,
95% CI -0.022, -0.003, p = 0.008). Path analyses revealed inverse associations
between insulin and PC via a direct pathway (-0.012, 95% CI -0.022, -0.003, p =
0.01) and via MD (-0.002, 95% CI -0.004, -0.001, p = 0.0004), and positive
associations between insulin and PC via SAT (0.013, 95% CI 0.009, 0.016, p <
0.0001) and MCSA (0.015, 95% CI 0.010, 0.020, p < 0.0001). In conclusion, we
found an inverse relationship between insulin and PC via intramuscular fat,
suggesting a lipotoxic effect on bone. However, an inverse association between
insulin and both PC and BMD(C) persisted after adjusting for all body composition
variables, suggesting insulin also acts to inhibit bone development via
additional pathways yet to be elucidated.
PMID- 22095453
TI - An integrated simulator for endolaryngeal surgery.
AB - The acquisition and maintenance of skills in transoral microlaryngeal surgery
requires extended practice. Effective mentoring of such single-operator
procedures is not possible, making it important for trainee surgeons to acquire
basic skills outside of the operating room before participating in procedures on
patients. Currently available training simulators use either synthetic materials
or human tissue, both of which have limitations. We have designed a hybrid
simulator that incorporates a porcine larynx in to an airway training manikin,
providing both accurate airway anatomy and natural tissue handling
characteristics. This model allows training in the skills required for suspension
laryngoscopy and the resection of laryngeal lesions. Further applications could
include development of surgical techniques and instruments, and use in
accreditation of training and revalidation of trained surgeons.
PMID- 22095455
TI - Structure of the DNA-bound T-box domain of human TBX1, a transcription factor
associated with the DiGeorge syndrome.
PMID- 22095454
TI - Molecular mimicry as a mechanism of autoimmune disease.
AB - A variety of mechanisms have been suggested as the means by which infections can
initiate and/or exacerbate autoimmune diseases. One mechanism is molecular
mimicry, where a foreign antigen shares sequence or structural similarities with
self-antigens. Molecular mimicry has typically been characterized on an antibody
or T cell level. However, structural relatedness between pathogen and self does
not account for T cell activation in a number of autoimmune diseases. A proposed
mechanism that could have been misinterpreted for molecular mimicry is the
expression of dual T cell receptors (TCR) on a single T cell. These T cells have
dual reactivity to both foreign and self-antigens leaving the host vulnerable to
foreign insults capable of triggering an autoimmune response. In this review, we
briefly discuss what is known about molecular mimicry followed by a discussion of
the current understanding of dual TCRs. Finally, we discuss three mechanisms,
including molecular mimicry, dual TCRs, and chimeric TCRs, by which dual
reactivity of the T cell may play a role in autoimmune diseases.
PMID- 22095456
TI - Signalling plasticity and energy saving in a tropical bushcricket.
AB - Males of the tropical bushcricket Mecopoda elongata synchronize their acoustic
advertisement signals (chirps) in interactions with other males. However,
synchrony is not perfect and distinct leader and follower roles are often
maintained. In entrainment experiments in which conspecific signals were
presented at various rates, chirps displayed as follower showed notable signal
plasticity. Follower chirps were shortened by reducing the number and duration of
syllables, especially those of low and medium amplitude. The degree of shortening
depended on the time delay between leader and follower signals and the sound
level of the entraining stimulus. The same signal plasticity was evident in male
duets, with the effect that the last syllables of highest amplitude overlapped
more strongly. Respiratory measurements showed that solo singing males producing
higher chirp rates suffered from higher metabolic costs compared to males singing
at lower rates. In contrast, respiratory rate was rather constant during a
synchronous entrainment to a conspecific signal repeated at various rates. This
allowed males to maintain a steady duty cycle, associated with a constant
metabolic rate. Results are discussed with respect to the preference for leader
signals in females and the possible benefits males may gain by overlapping their
follower signals in a chorus.
PMID- 22095457
TI - [Principles and new concepts in computer-navigated total hip arthroplasty].
AB - Combined component placement of cup and stem is closely correlated to stability,
functionality and wear in total hip replacement (THA). Computer-navigated
orthopedic surgery offers a reliable control method for a complex three
dimensional situation. Imageless navigation systems without the need of
preoperative or intraoperative image acquisition and exposure to radiation have
been proven to increase the accuracy of positioning the acetabular component and
measure intraoperative leg length and offset changes precisely. A new development
in this field is the noninvasive external femoral reference marker array system
in conjunction with an imageless measurement technique. The future generation of
imageless navigation systems will switch from simple measurement tasks to an
integral part of the surgical process in navigated THA. The aim will be to find
an optimized complementary component orientation with improved postoperative
functionality and optimized range of motion without impingement.
PMID- 22095458
TI - [Minimally invasive surgery in total hip arthroplasty : Surgical technique of
the future?].
AB - AIM: A selective analysis of the latest literature was carried out including
prospective clinical controlled studies on the comparison between minimally
invasive total hip arthroplasty (MIS) and the conventional technique. METHODS: An
online data base search for controlled study designs within the last 3 years
(2009-2011) which compared MIS with standard procedures was performed. Data such
as operation time, blood loss, Harris hip score, complications and implant
positioning were compared. RESULTS: A total of 11 studies which compared the
results of 387 MISs and 264 operations on hips with the standard technique were
analyzed. In the majority of the studies reduced levels of creatine kinase and
myoglobin as well as reduced intraoperative blood loss were reported. In the
early postoperative period up to postoperative week 6 significant advantages in
the Harris hip score were reported for the MIS patients. Postoperative
complications and implant positioning were comparable in both groups. The
operation time was significantly longer in the MIS group for some studies.
CONCLUSIONS: Minimally invasive techniques in total hip arthroplasty are nowadays
no longer seen as just cosmetically attractive but rather as a real improvement
for the clinical outcome. In this respect prospective clinically controlled
studies within the last 3 years showed advantages in the early postoperative
period.
PMID- 22095459
TI - [Is shorter really better? : Philosophy of short stem prosthesis designs].
AB - The presently available short hip stem designs show significant differences and
can be differentiated into those containing the neck, those partially containing
the neck and neck resection designs. In this article the currently available
designs will be presented. Except for the Mayo stem there are no long-term
results available. There are significant differences between the the systems
especially with respect to reproducibility of the individual anatomy of patients
therefore DEXA and DSA studies are needed in order to identify problematic stem
designs early before clinical failures are produced in a large number of
patients.
PMID- 22095460
TI - Human leukocyte antigen G polymorphism is associated with an increased risk of
invasive cancer of the uterine cervix.
AB - Human leukocyte antigen (HLA)-G acts as negative regulator of the immune
responses and its expression in tumor cells may enable them to escape
immunosurveillance. The purpose of this study was to investigate the influence of
HLA-G polymorphism on risk of high-grade cervical intraepithelial neoplasia (HG
CIN) and cervical cancer in a Canadian population. The authors have analyzed
1,372 women from participants recruited between 2001 and 2009 in the ongoing
Biomarkers of Cervical Cancer Risk case-control study. A total of 539 women with
histologically confirmed HG-CIN and invasive cancer formed the case series, and
833 women with normal cytology served as controls. Cervical specimens were tested
for human papillomavirus (HPV) DNA using the MY09/11 PCR protocol and HLA-G
alleles where determined using a direct DNA sequencing procedures. HLA-G
polymorphisms were not associated with HG-CIN or HPV infection. However, the risk
for invasive cancer was significantly increased with the homozygous genotypes HLA
G*01:01:02 [odds ratio (OR) = 3.52, 95% confidence interval (CI): 1.43-8.61, p =
0.006], -G*01:06 (OR = 19.1, 95% CI: 2.29-159, p = 0.005) and -G* 3'UTR 14-bp
insertion (OR = 2.17, 95% CI: 1.10-4.27, p = 0.020), whereas, the heterozygotic
form of the G*01:01:01 wild-type allele was significantly associated with a
reduced risk of invasive cancer (OR = 0.31, 95% CI: 0.16-0.59, p < 0.0001) after
adjusting for age, HPV infection and ethnicity. These associations were also
observed with progression of disease from HG-CIN to invasive cancer among HPV
positive women. These results suggest that HLA-G polymorphism is an independent
risk factor for the development of invasive cervical cancer.
PMID- 22095461
TI - Establishment of an experimental method for detecting circulating miRNAs in BDL
mice.
AB - Despite its great potency in self-rehabilitation, liver is the most vulnerable
organ, and an early minor liver injury is unfortunately undetectable by the
traditional assay of aminotransferases; hence, more sensitive and specific
biomarkers of minor liver injury have attracted much attention. A number of
studies have suggested that circulating microRNAs (miRNAs) are accessible and
attractive parameters of early tissue injury. A recent study has found that
plasma microRNA-122 is a disease severity-dependent biomarker, and there is an
elevated level of microRNA-122 prior to the alteration of aminotransferases in
viral, alcohol, and chemical-related hepatic injuries. Since bile duct-ligated
(BDL) mice have been well adapted as an effective model of cholestatic hepatic
injury, liver fibrosis, liver cirrhosis, and their relevant complications, we
hypothesized that detection of circulating miRNAs will probably find its unique
utility in BDL model and thus exploited an effective and reliable experimental
method of studying serum-based miRNAs in BDL mice.
PMID- 22095462
TI - Understanding and Treating Unwanted Trauma Memories in Posttraumatic Stress
Disorder.
PMID- 22095463
TI - Myocardial tissue engineering: toward a bioartificial pump.
AB - Regenerative therapies, including cell injection and bioengineered tissue
transplantation, have the potential to treat severe heart failure. Direct
implantation of isolated skeletal myoblasts and bone-marrow-derived cells has
already been clinically performed and research on fabricating three-dimensional
(3-D) cardiac grafts using tissue engineering technologies has also now been
initiated. In contrast to conventional scaffold-based methods, we have proposed
cell sheet-based tissue engineering, which involves stacking confluently cultured
cell sheets to construct 3-D cell-dense tissues. Upon layering, individual
cardiac cell sheets integrate to form a single, continuous, cell-dense tissue
that resembles native cardiac tissue. The transplantation of layered cardiac cell
sheets is able to repair damaged hearts. As the next step, we have attempted to
promote neovascularization within bioengineered myocardial tissues to overcome
the longstanding limitations of engineered tissue thickness. Finally, as a
possible advanced therapy, we are now trying to fabricate functional myocardial
tubes that may have a potential for circulatory support. Cell sheet-based tissue
engineering technologies therefore show an enormous promise as a novel approach
in the field of myocardial tissue engineering.
PMID- 22095464
TI - A study of UbcH10 expression and its association with recurrence of meningiomas.
AB - BACKGROUND: UbcH10 is an important regulator for the mitotic spindle assembly
checkpoint pathway that regulates cell-cycle progression. Overexpression of
UbcH10 significantly correlated with advanced tumor grade and high cell
proliferation. METHODS: The expression of UbcH10 and Ki-67 in meningioma tissues
were evaluated immunohistochemically in 47 patients with meningiomas. The
correlation of UbcH10 immunoreactivity with clinicopathological features and the
prognostic value of UbcH10 in patients were also analyzed. RESULTS:
Immunohistochemistry showed an increase in UbcH10 labeling index in atypical and
anaplastic meningiomas versus classical meningiomas (10.53 +/- 5.79% vs. 4.23 +/-
2.85%, P < 0.001). There was a positive correlation between UbcH10 and Ki-67
immunoreactivity (Spearman r = 0.77, P < 0.001). Clinicopathological evaluation
suggested that UbcH10 expression was associated with tumor grade and recurrence
(P < 0.05). Kaplan-Meier survival analysis and Cox multivariate analysis revealed
a significant correlation between high levels of UbcH10 immunoreactivity and high
rates of tumor recurrence. CONCLUSION: We conclude that UbcH10 may play important
roles in the development of meningioma, high UbcH10 labeling index indicates
higher grade of meningioma, and UbcH10 may be a useful molecular marker for
predicting the prognosis of meningioma.
PMID- 22095465
TI - FACT in Cell Differentiation and Carcinogenesis.
PMID- 22095467
TI - Detection of biological uranium reduction using magnetic resonance.
AB - The conversion of soluble uranyl ions (UO22+) by bacterial reduction to sparingly
soluble uraninite (UO2(s)) is being studied as a way of immobilizing subsurface
uranium contamination. Under anaerobic conditions, several known types of
bacteria including iron and sulfate reducing bacteria have been shown to reduce U
(VI) to U (IV). Experiments using a suspension of uraninite (UO2(s)) particles
produced by Shewanella putrefaciens CN32 bacteria show a dependence of both
longitudinal (T1) and transverse (T2) magnetic resonance (MR) relaxation times on
the oxidation state and solubility of the uranium. Gradient echo and spin echo MR
images were compared to quantify the effect caused by the magnetic field
fluctuations (T*2) of the uraninite particles and soluble uranyl ions. Since the
precipitate studied was suspended in liquid water, the effects of concentration
and particle aggregation were explored. A suspension of uraninite particles was
injected into a polysaccharide gel, which simulates the precipitation environment
of uraninite in the extracellular biofilm matrix. A reduction in the T2 of the
gel surrounding the particles was observed. Tests done in situ using three
bioreactors under different mixing conditions, continuously stirred,
intermittently stirred, and not stirred, showed a quantifiable T2 magnetic
relaxation effect over the extent of the reaction.
PMID- 22095466
TI - Rapid generation of mature hepatocyte-like cells from human induced pluripotent
stem cells by an efficient three-step protocol.
AB - Liver transplantation is the only definitive treatment for end-stage cirrhosis
and fulminant liver failure, but the lack of available donor livers is a major
obstacle to liver transplantation. Recently, induced pluripotent stem cells
(iPSCs) derived from the reprogramming of somatic fibroblasts, have been shown to
resemble embryonic stem (ES) cells in that they have pluripotent properties and
the potential to differentiate into all cell lineages in vitro, including
hepatocytes. Thus, iPSCs could serve as a favorable cell source for a wide range
of applications, including drug toxicity testing, cell transplantation, and
patient-specific disease modeling. Here, we describe an efficient and rapid three
step protocol that is able to rapidly generate hepatocyte-like cells from human
iPSCs. This occurs because the endodermal induction step allows for more
efficient and definitive endoderm cell formation. We show that hepatocyte growth
factor (HGF), which synergizes with activin A and Wnt3a, elevates the expression
of the endodermal marker Foxa2 (forkhead box a2) by 39.3% compared to when HGF is
absent (14.2%) during the endodermal induction step. In addition, iPSC-derived
hepatocytes had a similar gene expression profile to mature hepatocytes.
Importantly, the hepatocyte-like cells exhibited cytochrome P450 3A4 (CYP3A4)
enzyme activity, secreted urea, uptake of low-density lipoprotein (LDL), and
possessed the ability to store glycogen. Moreover, the hepatocyte-like cells
rescued lethal fulminant hepatic failure in a nonobese diabetic severe combined
immunodeficient mouse model. CONCLUSION: We have established a rapid and
efficient differentiation protocol that is able to generate functional hepatocyte
like cells from human iPSCs. This may offer an alternative option for treatment
of liver diseases.
PMID- 22095468
TI - Determination and pharmacokinetics of [6]-gingerol in mouse plasma by liquid
chromatography-tandem mass spectrometry.
AB - This study describes the development of a rapid and sensitive high-performance
liquid chromatography-electrospray ionization tandem mass spectrometry (LC-MS/MS)
assay for the quantification of [6]-gingerol in mouse plasma and application to a
pharmacokinetic study after dose ranging in mice. The assay involved a protein
precipitation step with acetonitrile and an isocratic elution using a mobile
phase consisting of acetonitrile and water containing 0.1% formic acid (80:20
v/v). The multiple reaction monitoring was based on the transition of m/z = 277.2
-> 177.1 for [6]-gingerol and 294.2 -> 137.1 for nonivamide (internal standard).
The assay was validated to demonstrate the specificity, linearity, recovery,
accuracy, precision and stability. The calibration curves were linear over the
wide concentration range of 10-10,000 ng/mL (r >= 0.9988). The lower limit of
quantification was 10 ng/mL using a small volume of mouse plasma (20 MUL). The
method was successfully applied to a pharmacokinetic study in mice after
intravenous injection of [6]-gingerol at 1.5, 3 and 6 mg/kg doses. The
pharmacokinetics of [6]-gingerol were linear over the dose range studied as
demonstrated by the linear increase in area under the concentration-time curve
(AUC(inf)) with no significant change in the systemic clearance (Cl(s)), volume
of distribution (V(ss)) and elimination half-life (t(1/2)) as a function of dose.
PMID- 22095469
TI - Chemical synthesis of Helicobacter pylori lipopolysaccharide partial structures
and their selective proinflammatory responses.
AB - Helicobacter pylori is a common cause of gastroduodenal inflammatory diseases
such as chronic gastritis and peptic ulcers and also an important factor in
gastric carcinogenesis. Recent reports have demonstrated that bacterial
inflammatory processes, such as stimulation with H. pylori lipopolysaccharide
(LPS), initiate atherosclerosis. To establish the structures responsible for the
inflammatory response of H. pylori LPS, we synthesized various kinds of lipid A
structures (i.e., triacylated lipid A and Kdo-lipid A compounds), with or without
the ethanolamine group at the 1-phosphate moiety, by a new divergent synthetic
route. Stereoselective alpha-glycosylation of Kdo N-phenyltrifluoroacetimidate
was achieved by use of microfluidic methods. None of the lipid A and Kdo-lipid A
compounds were a strong inducer of IL-1beta, IL-6, or IL-8, suggesting that H.
pylori LPS is unable to induce acute inflammation. In fact, the lipid A and Kdo
lipid A compounds showed antagonistic activity against cytokine induction by E.
coli LPS, except for the lipid A compound with the ethanolamine group, which
showed very weak agonistic activity. On the other hand, these H. pylori LPS
partial structures showed potent IL-18- and IL-12-inducing activities. IL-18 has
been shown to correlate with chronic inflammation, so H. pylori LPS might be
implicated in the chronic inflammatory responses induced by H. pylori. These
results also indicated that H. pylori LPS can modulate the immune response: NF
kappaB activation through hTLR4/MD-2 was suppressed, whereas production of IL-18
and IL-12 was promoted.
PMID- 22095470
TI - 1alpha,25(OH)2D3-dependent modulation of Akt in proliferating and differentiating
C2C12 skeletal muscle cells.
AB - We previously reported that 1alpha,25-dihydroxy-vitamin D(3)
[1alpha,25(OH)(2)D(3)] induces non-transcriptional rapid responses through
activation of Src and MAPKs in the skeletal muscle cell line C2C12. In the
present study we investigated the modulation of Akt by the secosteroid hormone in
C2C12 cells at proliferative stage (myoblasts) and at early differentiation
stage. In proliferating cells, 1alpha,25(OH)(2)D(3) activates Akt by
phosphorylation in Ser473 in a time-dependent manner (5-60 min). When these cells
were pretreated with methyl-beta-cyclodextrin to disrupt caveolae microdomains,
hormone-induced activation of Akt was suppressed. Similar results were obtained
by siRNA silencing of caveolin-1 expression, further indicating that hormone
effects on cell membrane caveolae are required for downstream signaling. PI3K and
p38 MAPK, but not ERK1/2, participate in 1alpha,25(OH)(2)D(3) activation of Akt
in myoblasts. The involvement of p38 MAPK in Akt phosphorylation by the hormone
probably occurs through MAPK-activated protein kinase 2 (MK2), which is activated
by the steroid. In addition, the participation of Src in Akt phosphorylation by
1alpha,25(OH)(2)D(3) was demonstrated using the inhibitor PP2 and antisense
oligodeoxynucleotides that suppress Src expression. We also observed that PI3K
participates in hormone-induced proliferation. During the early phase of C2C12
cell differentiation 1alpha,25(OH)(2)D(3) also increases Akt phosphorylation and
activates Src. Of relevance, Src and PI3K are involved in Akt activation and in
MHC and myogenin increased expression by 1alpha,25(OH)(2)D(3). Altogether, these
data suggest that 1alpha,25(OH)(2)D(3) upregulates Akt through Src, PI(3)K, and
p38 MAPK to stimulate myogenesis in C2C12 cells.
PMID- 22095471
TI - Bad habits die hard: the NOEC's persistence reflects poorly on ecotoxicology.
PMID- 22095472
TI - MC1R genotypes and risk of melanoma before age 40 years: a population-based case
control-family study.
AB - The contribution of melanocortin-1 receptor (MC1R) gene variants to the
development of early-onset melanoma is unknown. Using an Australian population
based, case-control-family study, we sequenced MC1R for 565 cases with invasive
cutaneous melanoma diagnosed between ages 18 and 39 years, 409 unrelated controls
and 518 sibling controls. Variants were classified a priori into "R" variants
(D84E, R142H, R151C, I155T, R160W, D294H) and "r" variants (all other
nonsynonymous variants). We estimated odds ratios (OR) for melanoma using
unconditional (unrelated controls) and conditional (sibling controls) logistic
regression. The prevalence of having at least one R or r variant was 86% for
cases, 73% for unrelated controls and 81% for sibling controls. R151C conferred
the highest risk (per allele OR 2.57, 95% confidence interval 1.86-3.56 for the
case-unrelated-control analysis and 1.70 (1.12-2.60) for the case-sibling-control
analysis). When mutually adjusted, the ORs per R allele were 2.23 (1.77-2.80) and
2.06 (1.47-2.88), respectively, from the two types of analysis, and the ORs per r
allele were 1.69 (1.33-2.13) and 1.25 (0.88-1.79), respectively. The associations
were stronger for men and those with none or few nevi or with high childhood sun
exposure. Adjustment for phenotype, nevi and sun exposure attenuated the overall
log OR for R variants by approximately 18% but had lesser influence on r variant
risk estimates. MC1R variants explained about 21% of the familial aggregation of
melanoma. Some MC1R variants are important determinants of early-onset melanoma.
The strength of association with melanoma differs according to the type and
number of variants.
PMID- 22095473
TI - Diagnosis of a female urethral diverticulum using transvaginal contrast-enhanced
sonourethrography.
AB - The diagnosis of female urethral diverticulum is difficult due to its nonspecific
symptoms, and invasive diagnostic procedures are often necessary. We report a
case in which the diagnosis was made with contrast-enhanced transvaginal
sonourethrography. The microbubble contrast agent could be seen filling the
diverticular cavity via its opening.
PMID- 22095474
TI - Identification of single nucleotide polymorphisms in the CCNA2 gene and its
association with wool density in Rex rabbits.
AB - The Rex rabbit is a typical fur breed. Wool density, hair length, wool fineness,
and hide area are the main indices of fur quality. We previously found that the
CCNA2 gene plays an important role in hair follicle initiation and development,
and it is involved in the distinctive wool density of the Rex rabbit. It is an
important candidate gene for wool density selection through marker-assisted
selection. We conducted an association study to identify single nucleotide
polymorphisms (SNPs) within the CCNA2 gene and their ligands associated with
wool density. Using PCR-RFLP technology, we discovered two SNPs (129G>A and
1140G>C) of the CCNA2 gene. Allele frequencies of these two SNPs were
investigated and evaluated by the chi(2) test in 100 Rex rabbits. The two SNPs
were both in Hardy-Weinberg equilibrium. We also looked for a potential
association of these SNPs with fur traits in 100 Rex rabbits. Rex rabbits with
the GG genotype had significantly higher wool density (P < 0.01) than those with
other genotypes; the other three fur traits did not differ significantly among
the genotypes. In conclusion, the two SNPs of the CCNA2 gene affect wool density
in the Rex rabbit.
PMID- 22095476
TI - Genes involved in glucose repression and oxidative stress response in the
fission yeast Schizosaccharomyces pombe.
AB - We looked for changes in gene expression and novel genes that could be involved
in the interaction between glucose repression and oxidative stress response in
the fission yeast, Schizosaccharomyces pombe, using a constitutive invertase
mutant, ird11, which is resistant to glucose. BLAST analysis was made of the S.
pombe genome database of cDNAs whose expression ratios differentially decreased
or increased upon exposure to mild oxidative stress in this mutant compared to
the wild type. Genes with this type of activity were identified as rpl302,
encoding 60S ribosomal protein L3, and mpg1, encoding mannose-1-phosphate
guanyltransferase; their expression patterns were measured using quantitative
real-time PCR. We found that the expression levels of rpl302 and mpg1 genes in
ird11 under unstressed conditions were increased compared to those of the wild
type. Under stress conditions, the expression levels of the rpl302 gene were
decreased in both strains, while mpg1 expression levels remained unchanged.
These results suggest that these genes play a role in the response to oxidative
stress in this mutant strain.
PMID- 22095477
TI - Serum microRNA expression in pregnancies with preeclampsia.
AB - Preeclampsia continues to be a mortal disease of pregnant women throughout the
world. Recently, geneticists, allied with obstetricians, have opened new
frontiers. MicroRNAs (miRNAs) are members of a class of small, noncoding RNA
molecules. They are critical posttranscriptional regulators of gene expression.
We extracted circulating miRNA from maternal plasma and quantified mir-152 and
mir-210. We found up-regulated miR-210 levels as well as down-regulated mir-152
levels in preeclampsia patients.We propose that detection of increased mir-210
levels in maternal serum could be used to improve prediction methods for
noninvasive prenatal diagnosis of preeclampsia.
PMID- 22095478
TI - Evaluation of genetic dissimilarity in a segregating wine grape population.
AB - This study examined the genetic diversity present in a population obtained by
crossing two very distinctive varieties of wine grapes (142 progeny from a
Riesling x Cabernet Sauvignon cross, including both parents, created at the
University of California). The following list of morphological characters were
evaluated and found to segregate in this population: cluster weight, cluster
length, presence or absence of cluster wings, cluster wing length, number of
berries, cluster density (CD), and berry weight. The following juice parameters
were also measured: degrees Brix, pH, total phenolics, and titratable acidity.
Genetic diversity within this population was estimated through multivariate
methods that utilized the Gower index of dissimilarity and UPGMA clustering. The
correlations between traits and relative contribution of each variable were also
compared. Eleven groups of progeny were distinguished into categories with low,
intermediate and high values for cluster weight and cluster density, and low and
high values for total phenolics. An inverse correlation was detected between the
variables related to production and those related to the quality of the fruit.
Principal components analysis demonstrated that all variables examined in this
study are important for the correct discrimination of optimal genotypes in this
population. These statistical tools can be used to select individuals with the
greatest potential for producing high-quality wines.
PMID- 22095479
TI - Single primer-based DNA amplification as a suitable and low-cost tool for
assessing genetic diversity in mangrove crabs.
AB - We used single primer-based DNA markers to assess genetic variability of the
mangrove crab, Ucides cordatus, collected from four different localities from
Para to Santa Catarina States in Brazil (almost 5000 km distant). Five primers
were chosen based on the consistency of the amplified bands and the polymorphism
of each locus. A total of 78 loci were amplified in 76 samples; high
polymorphism rates were detected in the entire sample (80.8%) and within each
locality (73.5-79.5%). Analysis of molecular variance demonstrates significant
differences between localities (P < 0.001); however, the Phi(ST) value (0.078)
indicates a low level of genetic differentiation, which suggests that U.
cordatus larvae can spread over large distances. The variation was distributed
among the samples, and most of it was attributed to differences among
individuals within localities. Cluster analysis, based on the Jaccard similarity
coefficient, and the Mantel test gave similar results to the analysis of
molecular variance data. Despite the low level of population structuring, these
markers could be used for studying U. cordatus diversity, due to the high level
of polymorphism.
PMID- 22095480
TI - Molecular cloning of a new wheat calreticulin gene TaCRT1 and expression
analysis in plant defense responses and abiotic stress resistance.
AB - Calreticulin proteins play essential roles in regulating various metabolic
processes and in molecular signal transduction in animals and plants. Using
homologous PCR, we screened a cDNA library of the wheat resistance gene Yr5 from
a near-isogenic line in the susceptible common wheat variety Taichung 29, which
was inoculated with an incompatible race CYR32 of Puccinia striiformis. We
isolated a novel full-length cDNA encoding calreticulin protein, which we named
TaCRT1. Sequence analyses indicated that TaCRT1 contains an open reading frame
of 1287 bp in length; it was deduced to encode 428 amino acids. Clustering
analysis showed that TaCRT1 belongs to group III of the calreticulin protein
family. Semi-quantitative RT-PCR was used to analyze expression profiles of the
isolated gene under biotic and abiotic stresses. Expression of TaCRT1 was
suppressed by exogenous application of phytohormones, such as abscisic acid and
methyl jasmonate, and by dehydration; but it was induced by CYR32 infection and
cold treatment. Based on the expression patterns, we propose that TaCRT1
participates in regulatory processes involved in defense responses and stress
resistance in wheat.
PMID- 22095481
TI - Establishing references for gene expression analyses by RT-qPCR in Theobroma
cacao tissues.
AB - Lack of continuous progress in Theobroma cacao (Malvaceae) breeding, especially
associated with seed quality traits, requires more efficient selection methods
based on genomic information. Reverse transcript quantitative PCR (RT-qPCR) has
become the method of choice for gene expression analysis, but relative
expression analysis requires various reference genes, which must be stable
across various biological conditions. We sought suitable reference genes for
various tissues of cacao, especially developing seeds. Ten potential reference
genes were analyzed for stability at various stages of embryo development,
leaves, stems, roots, flowers, and pod epicarp; seven of them were also
evaluated in shoot tips treated either with hormones (salicylate; ethefon;
methyl-jasmonate) or after inoculation with the fungus Moniliophthora perniciosa
(Marasmiaceae sensu lato). For developing embryos, the three most stable genes
were actin (ACT), polyubiquitin (PUB), and ribosomal protein L35 (Rpl35). In the
analyses of various tissues, the most stable genes were malate dehydrogenase
(MDH), glyceraldehyde 3-phosphate dehydrogenase (GAPDH), and acyl-carrier
protein B (ACP B). GAPDH, MDH and tubulin (TUB) were the most appropriate for
normalization when shoot apexes were treated with hormones, while ACT, TUB and
Rpl35 were the most appropriate after inoculation with M. perniciosa. We
conclude that for each plant system and biological or ontogenetical condition,
there is a need to define suitable reference genes. This is the first report to
define reference genes for expression studies in cacao.
PMID- 22095475
TI - Expressed sequenced tags profiling of resistant and susceptible Gyr x Holstein
cattle infested with the tick Rhipicephalus (Boophilus) microplus.
AB - Tick resistance in cattle is mainly found in zebu (Bos indicus) animals,
although it is also present in some taurine (B. taurus) breeds. In order to
characterize functional genes involved in tick resistance/susceptibility in
cattle, two cDNA libraries were generated using skin tissues of selected
Holstein x Gyr animals. A total of 2700 high-quality reads from both resistant
and susceptible cDNA were assembled into 458 sequences (contigs) and 834
singletons, with a mean size of 447.7 nucleotides. Assignment of homologous
proteins by BLASTX revealed 790 (61.1%) and 300 (23.2%) hits in resistant and
susceptible cDNA, respectively; 121 of these hits matched bovine proteins. A
total of 502 (38.9%) unique sequences were found to have no significant homology
with known sequences and were classified as novel sequences. In general, the
most abundant sequences consisted of those coding for hypothetical proteins
whose function had not yet been determined, in addition to ribosomal proteins,
binding proteins and structural proteins, such as keratin and collagen. The most
abundant protein found was collagen type III alpha, although ribosomal proteins
accounted for half of the 40 most frequent hits. In addition, five matches
within the top 40 best hits corresponded to immune response proteins. These
sequences could be used for future studies on functional genomics of cattle tick
resistance as well as for genomic sequencing projects.
PMID- 22095482
TI - High degree of genetic diversity among genotypes of the forage grass Brachiaria
ruziziensis (Poaceae) detected with ISSR markers.
AB - The grasses of the genus Brachiaria account for 80% of the cultivated pastures
in Brazil. Despite its importance for livestock production, little information
is available for breeding purposes. Embrapa has a population of B. ruziziensis
from different regions of Brazil, representing most of existing variability.
This population was used to initiate an improvement program based on recurrent
selection. In order to assist the genetic improvement program, we estimated the
molecular variability among 93 genotypes of Embrapa's collection using ISSR
(inter-simple sequence repeat) markers. DNA was extracted from the leaves.
Twelve ISSR primers generated 89 polymorphic bands in the 93 genotypes. The
number of bands identified by each primer ranged from two to 13, with a mean of
7.41. Cluster analysis revealed a clearly distinct group, containing most of the
B. ruziziensis genotypes apart from the outgroup genotypes. Genetic similarity
coefficients ranged from 0.0 to 0.95, with a mean of 0.50 and analysis of
molecular variance indicated higher variation within (73.43%) than among species
(26.57%). We conclude that there is a high genetic diversity among these B.
ruziziensis genotypes, which could be explored by breeding programs.
PMID- 22095483
TI - Successful preimplantation genetic aneuploidy screening in Turkish patients.
AB - Preimplantation genetic diagnosis is a preventive approach for identifying
genetic abnormalities in early stages of reproduction. We used preimplantation
genetic aneuploidy screening in 230 cycles of patients with indications of
advanced maternal age, recurrent implantation failure, recurrent spontaneous
abortions, or severe male factor. Biopsied blastomeres from embryos with six to
eight blastomeres on day 3 were fixed and fluorescence in situ hybridization
was utilized on chromosomes 13, 16, 18, 21, 22, X, and Y. Among 945
morphologically normal embryos, 314 were diagnosed as chromosomally normal.
Trisomy and monosomy were observed in 36% of the cases (18% each). Embryo
transfer was used in 144 cycles, resulting in 41 pregnancies. Thirty-seven
healthy babies were delivered, with a take-home baby rate of 24.2% and an
implantation rate of 22%. We recommend preimplantation genetic aneuploidy
screening as a valuable technique to select normal chromosome embryos in order
to avoid multiple pregnancies due to the multiple embryo transfers that are
normally necessary to ensure pregnancy in poor prognosis in vitro fertilization
patients.
PMID- 22095484
TI - TransLateral ACL reconstruction: a technique for anatomic anterior cruciate
ligament reconstruction.
AB - Anatomic placement of the femoral tunnel in anterior cruciate ligament (ACL)
reconstruction confers biomechanical advantages over the traditional tunnel
position. The anteromedial portal technique for anatomic ACL reconstruction has
many well-described technical challenges. This paper describes the TransLateral
technique. The technique produces anatomic femoral tunnel placement using direct
measurement of the medial wall of the lateral femoral condyle and out to in
drilling. All work is carried out through the lateral portal with all viewing via
the medial portal. There is no need for an accessory medial portal or
hyperflexion of the knee. Level of evidence Expert opinion, Level V.
PMID- 22095485
TI - MRI but not arthroscopy accurately diagnoses femoral MPFL injury in first-time
patellar dislocations.
AB - PURPOSE: The purpose of this study was to investigate whether the femoral part of
the medial patellofemoral ligament (MPFL) and its injury can be accurately
assessed by standard knee arthroscopy in first-time patellar dislocations or
whether preoperative MRI is required to determine injury location in patients
where primary MPFL repair is attempted. METHODS: Twelve patients with acute first
time dislocations and MRI-based injury of the femoral MPFL and ten patients with
recurrent patellar dislocations underwent knee arthroscopy with the use of a 30
degree optic and standard antero-medial and antero-lateral portals. The femoral
origin was marked with a cannula under lateral fluoroscopy. Arthroscopic findings
of the location of the native femoral MPFL and its injury were compared to the
results of MRI and mini-open exploration. RESULTS: In acute cases, the average
time from primary patellar dislocation to MRI evaluation was 3 days (1-9 days),
and the average time from MRI to surgery was 8 days (3-20 days). The native
femoral origin of the MPFL was not visible in any of the chronic cases during
arthroscopy. In addition, in all acute cases, arthroscopy failed to directly
visualize injury of the femoral MPFL (0 of 12), but mini-open exploration
confirmed injury in 11 of 12 patients. This means that arthroscopy was less
accurate than MRI for the diagnosis of femoral MPFL injury (P < 0.05).
CONCLUSION: The results of this study indicate the limitations of knee
arthroscopy in identifying the femoral disruption of the MPFL, a crucial injury
that occurs in patellar dislocations. Thus, if a primary MPFL repair is planned,
determination of the site of repair should be based on the preoperative MRI.
LEVEL OF EVIDENCE: Diagnostic study of non-consecutive patients, Level III.
PMID- 22095487
TI - MR perfusion imaging using the arterial spin labeling technique for breast
cancer.
AB - PURPOSE: To investigate the feasibility of perfusion imaging using an arterial
spin labeling (ASL) technique for breast cancer. MATERIALS AND METHODS: Thirteen
female patients with primary breast cancers were included in this study. All
examinations were performed on 1.5 Tesla MRI systems. Visual evaluations of the
colored perfusion map and MRI perfusion values were assessed. MRI and computed
tomography (CT) perfusion values were compared. RESULTS: Thirteen of 14 tumor
lesions could be visualized on the colored perfusion map. CT perfusion
examinations were performed in eight breasts, and the relationship between the
blood flow values of CT perfusion and of MR perfusion showed a significant
correlation. CONCLUSION: Nonenhanced MR imaging by an ASL technique is valid for
depicting breast cancer, and the MR perfusion value is thought to be helpful for
quantitative diagnosis of breast cancer.
PMID- 22095486
TI - Cell-free collagen type I matrix for repair of cartilage defects-clinical and
magnetic resonance imaging results.
AB - PURPOSE: Several well-described techniques are available for the treatment of
chondral and osteochondral defects. The aim of the study was to assess the
efficacy of a single-stage procedure incorporating a new cell-free collagen type
I gel for the treatment of small chondral and osteochondral defects in the knee
evaluated at 2-year follow-up. METHODS: Fifteen patients were treated with a cell
free collagen type I gel matrix of 11 mm diameter. The grafts were implanted in
the debrided cartilage defect and fixed by press-fit only. The clinical outcome
was assessed preoperatively and at 6 weeks, and 6, 12 and 24 months after surgery
using the International Knee Documentation Committee (IKDC) score, Tegner
activity scale and visual analogue scale (VAS). Graft attachment rate was
assessed 6 weeks postoperatively using magnetic resonance imaging (MRI).
Cartilage regeneration was evaluated using the Magnetic Observation of Cartilage
Repair Tissue (MOCART) score at 6, 12 and 24 months after implantation. Clinical
results were correlated with MRI findings. RESULTS: Six male and nine female
patients were included in this study, with a mean age of 26 (range: 19-40). No
complications were reported. The mean VAS values after 6 weeks and the mean IKDC
patient values after 6 months were significantly improved from the preoperative
values (P = 0.005 and P = 0.009, respectively). This improvement remained up to
the latest follow-up. There were no significant differences between the median
preoperative and postoperative Tegner values (n.s.). Significant improvement of
the mean MOCART score was observed after 12 months and remained by 24 months (P <
0.001). MR images showed that in 14 of the 15 patients, the graft was completely
attached by 6 weeks postoperatively. At 24 months after implantation, MRI
demonstrated complete filling in all cases with a mainly smooth surface, complete
integration of the border zone, homogenous structure of the repaired tissue and
nearly normal signal intensity. No correlation between any variables of the
MOCART score and the clinical scores was observed. CONCLUSIONS: The present study
reveals that the new method produces both good clinical and magnetic resonance
imaging results. Use of press-fit only implanted grafts of a smaller diameter
leads to a high attachment rate at 24-month follow-up. LEVEL OF EVIDENCE: IV.
PMID- 22095488
TI - Early protective effect of mitofusion 2 overexpression in STZ-induced diabetic
rat kidney.
AB - Diabetic nephropathy (DN) is a serious complication of diabetes with a poorly
defined etiology and limited treatment options. Early intervention is key to
preventing the progression of DN. Mitofusin 2 (Mfn2) regulates mitochondrial
morphology and signaling, and is involved in the pathogenesis of numerous
diseases. Furthermore, Mfn2 is also closely associated with the development of
diabetes, but its functional roles in the diabetic kidney remain unknown. This
study investigated the effect of Mfn2 at an early stage of DN. Mfn2 was
overexpressed by adenovirus-mediated gene transfer in streptozotocin-induced
diabetic rats. Clinical parameters (proteinuria, albumin/creatinine ratio),
pathological changes, ultra-microstructural changes in nephrons, expression of
collagen IV and phosph-p38, ROS production, mitochondrial function, and apoptosis
were evaluated and compared with diabetic rats expressing control levels of Mfn2.
Endogenous Mfn2 expression decreased with time in DN. Compared to the blank
transfection control group, overexpression of Mfn2 decreased kidney weight
relative to body weight, reduced proteinuria and ACR, and improved pathological
changes typical of the diabetic kidney, like enlargement of glomeruli,
accumulation of ECM, and thickening of the basement membrane. In addition, Mfn2
overexpression inhibited activation of p38, and the accumulation of ROS;
prevented mitochondrial dysfunction; and reduced the synthesis of collagen IV,
but did not affect apoptosis of kidney cells. This study demonstrates that Mfn2
overexpression can attenuate pathological changes in the kidneys of diabetic
rats. Further studies are needed to clarify the underlying mechanism of this
protective function. Mfn2 might be a potential therapeutic target for the
treatment of early stage DN.
PMID- 22095489
TI - A rare S33C mutation of CTNNB1 encoding beta-catenin in a parathyroid adenoma
found in an Italian primary hyperparathyroid cohort.
PMID- 22095490
TI - Relationship of age to bone microstructure independent of areal bone mineral
density.
AB - Previous studies using dual-energy X-ray absorptiometry (DXA) have demonstrated
that age is a major predictor of bone fragility and fracture risk independent of
areal bone mineral density (aBMD). Although this aBMD-independent effect of age
has been attributed to poor bone "quality," the structural basis for this remains
unclear. Because high-resolution peripheral quantitative computed tomography
(HRpQCT) can assess bone microarchitecture, we matched younger and older subjects
for aBMD at the ultradistal radius and assessed for possible differences in
trabecular or cortical microstructure by HRpQCT. From an age-stratified, random
sample of community adults, 44 women aged <50 years (mean age 41.0 years) were
matched to 44 women aged >=50 years (mean age 62.7 years) by ultradistal radius
aBMD (mean +/- SEM, younger and older aBMD 0.475 +/- 0.011 and 0.472 +/- 0.011
g/cm2, respectively), and 57 men aged <50 years (mean age 41.3 years) were
matched to 57 men aged >=50 years (mean age 68.1 years; younger and older aBMD
both 0.571 +/- 0.008 g/cm2). In these matched subjects, there were no sex
specific differences in trabecular microstructural parameters. However,
significant differences were noted in cortical microstructure (all p < 0.05):
Older women and men had increased cortical porosity (by 91% and 56%,
respectively), total cortical pore volume (by 77% and 61%, respectively), and
mean cortical pore diameter (by 9% and 8%, respectively) compared with younger
subjects. These findings indicate that younger and older women and men matched
for DXA aBMD have similar trabecular microarchitecture but clearly different
cortical microstructure, at least at an appendicular site represented by the
radius. Further studies are needed to define the extent to which this
deterioration in cortical microstructure contributes to the aBMD-independent
effect of age on bone fragility and fracture risk at the distal radius and other
sites of osteoporotic fractures.
PMID- 22095492
TI - Precision profiling and identification of human serum peptides using Fourier
transform ion cyclotron resonance mass spectrometry.
AB - Many biomarker discovery studies are based on matrix-assisted laser
desorption/ionisation (MALDI) peptide profiles. In this study, 96 human serum
samples were analysed on a Bruker solariX(TM) MALDI Fourier transform ion
cyclotron resonance (FTICR) system equipped with a 15 tesla magnet. Isotopically
resolved peptides were observed in ultrahigh resolution FTICR profiles up to m/z
6500 with mass measurement errors (MMEs) of previously identified peptides at a
sub-ppm level. For comparison with our previous platform for peptide profile mass
analysis (i.e. Ultraflex II) the corresponding time-of-flight (TOF) spectra were
obtained with isotopically resolved peptides up to m/z 3500. The FTICR and TOF
systems performed rather similar with respect to the repeatability of the signal
intensities. However, the mass measurement precision improved at least 10-fold in
ultrahigh resolution data and thus simplified spectral alignment necessary for
robust and quantitatively precise comparisons of profiles in large-scale clinical
studies. From each single MALDI-FTICR spectrum an m/z-list was obtained with sub
ppm precision for all different species, which is beneficial for identification
purposes and interlaboratory comparisons. Furthermore, the FTICR system allowed
new peptide identifications from collision-induced dissociation (CID) spectra
using direct infusion of reversed-phase (RP) C(18)-fractionated serum samples on
an electrospray ionisation (ESI) source.
PMID- 22095493
TI - Thermodynamic study of gaseous vanadium phosphates by high-temperature mass
spectrometry.
AB - Knowledge of the structures of gaseous oxyacid salts of the M(m) XO(n) type is of
interest for understanding the nature of chemical bonds. Gaseous VPO(2) and
VPO(3) have been identified by Knudsen effusion mass spectrometry during the
vaporization of mixtures of V(2)O(3) and alkali earth phosphates from molybdenum
and tungsten effusion cells. The structures and molecular parameters of the
gaseous vanadium phosphates under study were determined using quantum chemical
calculations. On the basis of equilibrium constants measured for gas-phase
reactions, the standard formation enthalpies were determined to be -273 +/- 17
and -615 +/- 16 kJ.mol(-1) for VPO(2) and VPO(3), respectively.
PMID- 22095494
TI - Measurements of water vapor isotope ratios with wavelength-scanned cavity ring
down spectroscopy technology: new insights and important caveats for deuterium
excess measurements in tropical areas in comparison with isotope-ratio mass
spectrometry.
AB - The new infrared laser spectroscopic techniques enable us to measure the isotopic
composition (delta(18)O and delta(2)H) of atmospheric water vapor. With the
objective of monitoring the isotopic composition of tropical water vapor (West
Africa, South America), and to discuss deuterium excess variability (d=delta(2)H
8delta(18)O) with an accuracy similar to measurements arising from isotope-ratio
mass spectrometry (IRMS), we have conducted a number of tests and calibrations
using a wavelength-scanned cavity ring-down spectroscopy (WS-CRDS) technique. We
focus in this paper on four main aspects regarding (1) the tubing material, (2)
the humidity calibration of the instrument, (3) the water vapor concentration
effects on delta, and (4) the isotopic calibration of the instrument. First, we
show that Synflex tubing strongly affects delta(2)H measurements and thus leads
to unusable d values. Second, we show that the mixing ratio as measured by WS
CRDS has to be calibrated versus atmospheric mixing ratio measurements and we
also suggest possible non-linear effects over the whole mixing ratio range (~2 to
20 g/kg). Third, we show that significant non-linear effects are induced by water
vapor concentration variations on delta measurements, especially for mixing
ratios lower than ~5 g/kg. This effect induces a 5 to 100/00 error in deuterium
excess and is instrument-dependent. Finally, we show that an isotopic calibration
(comparison between measured and true values of isotopic water standards) is
needed to avoid errors on deuterium excess that can attain ~100/00.
PMID- 22095495
TI - Development of a simultaneous liquid chromatography/tandem mass spectrometric
method for the determination of type B trichothecenes, their derivatives, and
precursors in wheat.
AB - A method coupling liquid chromatography with tandem mass spectrometry (LC/MS/MS)
was developed for the simultaneous quantitative determination of trichothecenes,
nivalenol, deoxynivalenol, deoxynivalenol-3-glucoside, fusarenon-X, 3
acetyldeoxynivalenol, 15-acetyldeoxynivalenol, isotrichodermin, calonectrin, 3
deacetylcalonectrin, 15-deacetylcalonectrin, 3,15-diacetylnivalenol, 4,15
diacetylnivalenol, 3,15-diacetyldeoxynivalenol, and 3,4,15-triacetylnivalenol.
The analytical parameters of trichothecenes and their derivatives were optimized
to enable their highly sensitive detection. Evaluation of clean-up procedures
using Multisep #226 and #227 indicated that Multisep #227 was more suitable for
their simultaneous detection in wheat. In performance validation studies using
the LC/MS/MS method with Multisep #227 cleanup, good recoveries ranging from 84%
to 115% with relative standard deviations from 0.4% to 7.2% were measured. The
limits of detection and quantification ranged from 0.03 to 1.4 ng.g(-1) and from
0.1 to 4.7 ng.g(-1) , respectively. The effect of matrices using matrix-matched
calibration was estimated to range from 80% to 117% after Multisep #227 cleanup.
Multisep #227 clean-up procedure with matrix-free standard calibration achieved
accurate quantification without having a considerable effect on matrix compounds.
Using the developed method, several trichothecene derivatives and precursors were
detected in fungally inoculated wheat samples. The developed LC/MS/MS method is a
practical technique that can be used for the quantification of trichothecenes in
wheat. This study is the first report of an analytical method used for the
simultaneous quantification of major trichothecenes, their derivatives and
precursors.
PMID- 22095496
TI - Estimating lean mass over a wide range of body composition: a calibration of
deuterium dilution in the arctic ground squirrel.
AB - Calculating body water through isotope dilution has become a useful way to
nondestructively estimate body composition in many species. The most accurate
estimates using this method require calibration against proximate chemical
analysis of body composition for individual species, but no studies to our
knowledge have calibrated this method on a hibernating mammal that seasonally
undergoes dramatic changes in body composition. We use deuterium oxide to
estimate total body water in captive arctic ground squirrels, Urocitellus
parryii, and compare two approaches of calculating lean mass from total body
water, both calibrated against lean mass based on proximate analysis. The first
method uses a single tissue hydration constant to calculate lean mass from total
body water; the second method uses a predictive equation to calculate lean mass
from total body water and body mass. We found that the predictive equation
performs better over the large range of body composition common to this species.
Distillation of blood samples did not affect lean mass estimates from either
calculation method. These findings indicate that isotope dilution using a
predictive equation should work well as an alternative to destructive methods in
other small mammals that undergo radical changes in body composition across their
annual cycle.
PMID- 22095497
TI - Product ion mobility as a promising tool for assignment of positional isomers of
drug metabolites.
AB - Travelling wave ion mobility spectrometry - mass spectrometry (TWIMS-MS) was
evaluated as a tool for structural identification of metabolites of small
molecule drugs in cases where the exact position of the biotransformation could
not be identified by conventional tandem mass spectrometry. Test sets of
compounds containing biotransformations at aromatic positions were analyzed.
These present a problem for traditional MS methods since an atomic level
localization of the biotransformation cannot normally be determined from MS(n)
spectra. In addition to ion mobility measurements of the intact metabolite ions,
ion mobility measurements of product ions were also made and the results compared
with calculated values. This approach reduces the complexity of the problem,
making theoretical calculations easier and more predictable when a modeled
collision cross section (CCS) is required. A good relative correspondence between
theoretical and measured CCSs was obtained allowing the identification of the
exact position of the biotransformation. It was also demonstrated that authentic
standards with substructures identical to those in the unknown can be used to
assign the exact position of the biotransformation. In this approach the
identification was based on the comparison of the drift times or CCSs for product
ions of the standard, with those of the same product ions in the unknown.
PMID- 22095498
TI - Formation of tungstate-containing cluster ions by polyoxotungstate anions under
matrix-assisted laser desorption/ionization conditions in the gas phase.
AB - The gas-phase studies of transition-metal oxides continue to attract interest as
such oxides are being used as catalysts in various oxidation processes. In this
paper, singly negatively charged heteropolyoxotungstate and isopolyoxotungstate
ion clusters were produced from Keggin-type polyoxotungstates by matrix-assisted
laser desorption/ionization Fourier transform ion cyclotron resonance mass
spectrometry (MALDI-FTICR MS). It was found that the ion series
[(PO(3))(WO(3))(n)](-), [(WO(3))(n)](-) and [(OH)(WO(3))(n)](-) were the main
fragment ions in the mass spectra and the matrix greatly influenced the resulting
cluster ion abundances. [(PO(3))(WO(3))(3)](-), [(WO(3))(3)](-) and
[(OH)(WO(3))(4)](-) were the most intense ions in each series when 2-(4
hydroxyphenylazo)benzoic acid was the matrix, whereas [(PO(3))(WO(3))(4)](-),
[(WO(3))(6)](-) and [(OH)(WO(3))(4)](-) were the most intense when dithranol
(DIT) was the matrix. In addition, a new kind of hybrid ion [W(2)C(14)H(7)O(8)](
) was produced through the reaction of DIT and [(OH)(WO(3))](-) in the plume of
the gas phase. These results highlight the utility of the MALDI-FT method for
obtaining novel ion clusters and also show the stability of these clusters.
PMID- 22095499
TI - Space-charge effects with mass-selective axial ejection from a linear quadrupole
ion trap.
AB - Methods to reduce mass shifts caused by space charge with mass-selective axial
ejection from a linear quadrupole ion trap are investigated. For axial ejection,
dipole excitation is applied to excite ions at q ~ 0.85. The trapping
radiofrequency (rf) voltage is scanned to bring ions of different m/z values into
resonance for excitation. In the fringing field at the quadrupole exit, excited
ions gain axial kinetic energy, overcoming the trapping potential, and are
ejected from the trap. Space charge causes the frequencies of ion oscillation to
decrease. Thus, greater rf voltages are required to bring ions into resonance for
excitation and ejection, and the ions shift to higher apparent masses in a mass
spectrum. At the same time, the peaks broaden, lowering resolution. The effects
of injection q value, ejection q value, excitation amplitude, quadrupole dc
voltages applied to the electrodes, applying an rf voltage to the exit lens, and
scan speed, on mass shifts have been studied experimentally. Most experiments
were done with only ions of protonated reserpine (m/z 609.3 and its isotopic
peaks) in the trap. Some experiments were done with ions of protonated reserpine
and ions of m/z 622 in the trap. In general, the mass shifts are reduced with
higher ejection q values, higher excitation amplitudes, with quadrupole dc
applied, and at higher scan speeds. The application of quadrupole dc appears to
increase the ion cloud temperature, which lowers mass shifts. Thus, a proper
choice of operating conditions can reduce, but not eliminate, mass shifts caused
by space charge.
PMID- 22095500
TI - Direct antigen detection from immunoprecipitated beads using matrix-assisted
laser desorption/ionization time-of-flight mass spectrometry; a new method for
immunobeads-mass spectrometry (iMS).
AB - One-step detection of biological molecules is one of the principal techniques for
clinical diagnosis, and the potential of mass spectrometry for biomarker
detection has been a promising new approach in the field of medical sciences. We
demonstrate here a new and high-sensitivity method that we termed immunobeads
mass spectrometry (iMS), which combines conventional immunoprecipitation and
matrix-assisted laser desorption/ionization time-of-flight mass spectrometry
(MALDI-TOF MS). The key feature of iMS is the MS-compatible condition of
immunoprecipitation using detergents with a monosaccaride-C8 alkyl chain or a
disaccharide-C10 alkyl chain, and the minimized number of steps required for high
sensitivity detection of target peptides in serum or biological fluid. This was
achieved by optimizing the wash buffer and subjecting the immunobeads directly to
MALDI-TOF MS analysis. Using this method, we showed that 1 fmol of amyloid beta
peptide spiked in serum was readily detectable, demonstrating the powerful tool
of iMS as a biomarker detection method.
PMID- 22095502
TI - Can collision-induced negative-ion fragmentations of [M-H](-) anions be used to
identify phosphorylation sites in peptides?
AB - A joint experimental and theoretical investigation of the fragmentation behaviour
of energised [M-H](-) anions from selected phosphorylated peptides has confirmed
some of the most complex rearrangement processes yet to be reported for peptide
negative ions. In particular: pSer and pThr (like pTyr) may transfer phosphate
groups to C-terminal carboxyl anions and to the carboxyl anion side chains of Asp
and Glu, and characteristic nucleophilic/cleavage reactions accompany or follow
these rearrangements. pTyr may transfer phosphate to the side chains of Ser and
Thr. The reverse reaction, namely transfer of a phosphate group from pSer or pThr
to Tyr, is energetically unfavourable in comparison. pSer can transfer phosphate
to a non-phosphorylated Ser. The non-rearranged [M-H](-) species yields more
abundant product anions than its rearranged counterpart. If a peptide containing
any or all of Ser, Thr and Tyr is not completely phosphorylated, negative-ion
cleavages can determine the number of phosphated residues, and normally the
positions of Ser, Thr and Tyr, but not which specific residues are
phosphorylated. This is in accord with comments made earlier by Lehmann and
coworkers.
PMID- 22095501
TI - Global optimization of the infrared matrix-assisted laser desorption electrospray
ionization (IR MALDESI) source for mass spectrometry using statistical design of
experiments.
AB - Design of experiments (DOE) is a systematic and cost-effective approach to system
optimization by which the effects of multiple parameters and parameter
interactions on a given response can be measured in few experiments. Herein, we
describe the use of statistical DOE to improve a few of the analytical figures of
merit of the infrared matrix-assisted laser desorption electrospray ionization
(IR-MALDESI) source for mass spectrometry. In a typical experiment, bovine
cytochrome c was ionized via electrospray, and equine cytochrome c was desorbed
and ionized by IR-MALDESI such that the ratio of equine:bovine was used as a
measure of the ionization efficiency of IR-MALDESI. This response was used to
rank the importance of seven source parameters including flow rate, laser
fluence, laser repetition rate, ESI emitter to mass spectrometer inlet distance,
sample stage height, sample plate voltage, and the sample to mass spectrometer
inlet distance. A screening fractional factorial DOE was conducted to designate
which of the seven parameters induced the greatest amount of change in the
response. These important parameters (flow rate, stage height, sample to mass
spectrometer inlet distance, and laser fluence) were then studied at higher
resolution using a full factorial DOE to obtain the globally optimized
combination of parameter settings. The optimum combination of settings was then
compared with our previously determined settings to quantify the degree of
improvement in detection limit. The limit of detection for the optimized
conditions was approximately 10 attomoles compared with 100 femtomoles for the
previous settings, which corresponds to a four orders of magnitude improvement in
the detection limit of equine cytochrome c.
PMID- 22095503
TI - Continuous-flow step gradient mass spectrometry based method for the
determination of kinetic parameters of immobilized mushroom tyrosinase in
equilibrating conditions: comparison with free enzyme.
AB - A mass spectrometry (MS)-based methodology for enzymatic assay in equilibrium
conditions was designed and evaluated. This on-line assay involves the
introduction of a continuous-flow step gradient (CFSG) of a substrate solution in
the column containing immobilized enzyme and the simultaneous tracking of the
product formation. We showed that the constant concentration of substrate in the
entire bioreactor for an appropriate duration ensures the equilibration of the
studied enzyme (mushroom tyrosinase). Under these conditions, it was demonstrated
also that the kinetic and enzymatic parameters (Michaelis-Menten constant, K(M) ,
the maximal specific activity, SA(max)) are independent of the flow rate of the
mobile phase. The feasibility of the mentioned approach for inhibitory tests was
also investigated. The coupling of the mass spectrometer to the bio-reactor
allows the selective monitoring of the enzymatic reaction products and increases
their detection level. Very high sensitivity, 500 pmol/min/column, and selective
monitoring of the products of the enzymatic reaction are allowed by MS detection.
The methodology developed here constitutes a sensitive analytical tool to study
enzymes requiring long equilibration times.
PMID- 22095505
TI - Systematic fragmentation patterns of archaeal intact polar lipids by high
performance liquid chromatography/electrospray ionization ion-trap mass
spectrometry.
AB - Archaea are ubiquitous and abundant microorganisms on Earth that mediate key
global biogeochemical cycles. The headgroup attached to the sn-1 position of the
glycerol backbone and the ether-linked isoprenoid lipids are among the diagnostic
traits that distinguish Archaea from Bacteria and Eukarya. Over the last 30
years, numerous archaeal lipids have been purified and described in pure
cultures. Coupled high-performance liquid chromatography (HPLC) ion-trap mass
spectrometry (ITMS) now enables the detection and rapid identification of intact
polar lipids in relatively small and complex samples, revealing a wide range of
archaeal lipids in natural environments. Although major structural groups have
been identified, the lack of a systematic evaluation of MS/MS fragmentation
patterns has hindered the characterization of several atypical components that
are therefore considered as unknowns. Here, we examined mass spectra resulting
from lipid analysis of natural microbial communities using HPLC/electrospray
ionization (ESI)-ITMS(n), and depicted the systematics in MS(2) fragmentation of
intact archaeal lipids. This report will be particularly useful for environmental
scientists interested in a rapid and straightforward characterization of intact
archaeal membrane lipids.
PMID- 22095504
TI - Mammalian DNA delta15N exhibits 400/00 intramolecular variation and is
unresponsive to dietary protein level.
AB - We report the first high-precision characterization of molecular and
intramolecular delta(15)N of nucleosides derived from mammalian DNA. The
influence of dietary protein level on brain amino acids and deoxyribonucleosides
was determined to investigate whether high protein turnover would alter amino
acid (15)N or (13)C values. Pregnant guinea pig dams were fed control diets, or
high or low levels of dietary protein throughout gestation, and all pups were fed
control diets. The cerebellar DNA of offspring was extracted at 2 and 120 days of
life, nucleosides isolated and delta(15)N and delta(13)C values characterized.
Mean diet delta(15)N was 0.45 +/- 0.330/00, compared with cerebellar whole tissue
and DNA delta(15) N= +4.1 +/- 0.70/00 and -4.5 +/- 0.40/00, respectively.
Cerebellar deoxythymidine (dT), deoxycytidine (dC), deoxyadenosine (dA), and
deoxyguanosine (dG) delta(15)N were +1.4 +/- 0.4, -2.1 +/- 0.9, -7.2 +/- 0.3, and
-10.4 +/- 0.50/00, respectively. There were no changes in amino acid or
deoxyribonucleoside delta(15) N values due to dietary protein level. Using known
metabolic relationships, we developed equations to calculate the intramolecular
delta(15)N values originating from aspartate (asp) in purines (pur) or
pyrimidines (pyr), glutamine (glu), and glycine (gly) to be delta(15)N(ASP-PUR),
delta(15)N(ASP-PYR), delta(15) N(GLN), and delta(15) N(GLY) +11.9 +/- 2.30/00,
+7.0 +/- 2.00/00, -9.1 +/- 2.40/00, and -31.8 +/- 8.90/00, respectively. A subset
of twelve amino acids from food and brain had mean delta(15) N values of 4.3 +/-
3.20/00 and 13.8 +/- 3.10/00, respectively, and delta(15)N values for gly and asp
were 12.6 +/- 2.20/00 and 15.2 +/- 0.80/00, respectively. A separate isotope
tracer study detected no significant turnover of cerebellar DNA in the first six
months of life. The large negative delta(15)N difference between gly and
cerebellar purine N at the gly (7) position implies either that there is a major
isotope effect during DNA synthesis, or that in utero gly has a different isotope
ratio during rapid growth and metabolism from that in adult life. Our data show
that cerebellar nucleoside intramolecular delta(15)N values vary over more than
400/00 and are not influenced by dietary protein level or age.
PMID- 22095506
TI - Evaluation of direct analysis in real time mass spectrometry for onsite
monitoring of batch slurry reactions.
AB - Batch slurry reactions are widely used in the industrial manufacturing of
chemicals, pharmaceuticals, petrochemicals and polymers. However, onsite
monitoring of batch slurry reactions is still not feasible in production plants
due to the challenge in analyzing heterogeneous samples without complicated
sample preparation procedures. In this study, direct analysis in real time mass
spectrometry (DART-MS) has been evaluated for the onsite monitoring of a model
batch slurry reaction. The results suggested that automation of the sampling
process of DART-MS is important to achieve quantitative results. With a sampling
technique of manual sample deposition on melting point capillaries followed by
automatic sample introduction across the helium beam, relative standard deviation
(RSD) of the protonated molecule signals from the reaction product of the model
batch slurry reaction ranged from 6 to 30%. This RSD range is improved greatly
over a sampling technique of manual sample deposition followed by manual sample
introduction where the RSDs are up to 110%. Furthermore, with the semi-automated
sampling approach, semi-quantitative analysis of slurry samples has been
achieved. Better quantification is expected with a fully automated sampling
approach.
PMID- 22095507
TI - Characterization of diazeniumdiolate nitric oxide donors (NONOates) by
electrospray ionization mass spectrometry.
AB - Diazeniumdiolates (also called NONOates) have been analyzed by electrospray
ionization mass spectrometry (ESI-MS). The samples used are commercially
available and included Diethylamine NONOate, DETA NONOate, Spermine NONOate,
MAHMA NONOate, PROLI NONOate, Dipropylenetriamine NONOate, PAPA NONOate, and
Sulpho NONOate. These compounds have been found to ionize upon ESI by
protonation, deprotonation and sodiation. The MS(n) experiments provided strong
evidence that such ions release NO, HNO, N(2)O, NO(2), N(2)O(2), N(3)O(3),
N(4)O(3) and N(4)O(4) when collisionally activated. Thus, the facile donation of
NO units is a property of such compounds. Negative-mode mass spectrometry has
been particularly useful for the analysis of most of the NONOates studied here.
The experiments have demonstrated the capabilities of mass spectrometry, along
with CAD (MS/MS), to detect and characterize such compounds.
PMID- 22095508
TI - Liquid extraction surface analysis mass spectrometry (LESA-MS) as a novel
profiling tool for drug distribution and metabolism analysis: the terfenadine
example.
AB - Liquid extraction surface analysis mass spectrometry (LESA-MS) is a novel surface
profiling technique that combines micro-liquid extraction from a solid surface
with nano-electrospray mass spectrometry. One potential application is the
examination of the distribution of drugs and their metabolites by analyzing ex
vivo tissue sections, an area where quantitative whole body autoradiography
(QWBA) is traditionally employed. However, QWBA relies on the use of radiolabeled
drugs and is limited to total radioactivity measured whereas LESA-MS can provide
drug- and metabolite-specific distribution information. Here, we evaluate LESA
MS, examining the distribution and biotransformation of unlabeled terfenadine in
mice and compare our findings to QWBA, whole tissue LC/MS/MS and MALDI-MSI. The
spatial resolution of LESA-MS can be optimized to ca. 1 mm on tissues such as
brain, liver and kidney, also enabling drug profiling within a single organ. LESA
MS can readily identify the biotransformation of terfenadine to its major, active
metabolite fexofenadine. Relative quantification can confirm the rapid absorption
of terfendine after oral dosage, its extensive first pass metabolism and the
distribution of both compounds into systemic tissues such as muscle, spleen and
kidney. The elimination appears to be consistent with biliary excretion and only
trace levels of fexofenadine could be confirmed in brain. We found LESA-MS to be
more informative in terms of drug distribution than a comparable MALDI-MS imaging
study, likely due to its favorable overall sensitivity due to the larger surface
area sampled. LESA-MS appears to be a useful new profiling tool for examining the
distribution of drugs and their metabolites in tissue sections.
PMID- 22095509
TI - Peptide polarity and the position of arginine as sources of selectivity during
positive electrospray ionisation mass spectrometry.
AB - Electrospray ionisation (ESI) is a selective process and, for similar sized
analytes, the intrinsic properties of the molecules affect the ionisation process
and their response. This research sets out to determine the effect of some of
these properties in peptides: peptide polarity and the presence of arginine at
positions 1 and 4 in the amino acid sequence on the ESI response. Six peptides;
molecular mass ranges 1.3-1.6 kDa; substance P (SP) and glutamate fibrinopeptide
(GFP) and 3.2-3.7 kDa; calcitonin gene-related peptide (CGRP), vasoactive
intestinal peptide (VIP), glucagon-like peptide 1 (GLP1) and defensin human
neutropeptide 2 (DHNP2), were investigated. We have demonstrated that in positive
ESI, for similar sized peptides and the same charge state, the responsiveness is
in the order: Peptides with N or C terminal arginine > most non-polar peptides >
least non-polar peptides. Therefore, arginine at the terminal position is a
source of selectivity. Data from matrix-assisted laser desorption ionisation
(MALDI) analysis supports that of the ESI experiments: Peptides with a terminal
arginine residue generated higher signal intensities. Our observations extend our
understanding of the ESI process and provide a rational approach to optimising
sensitivity of electrospray conditions where a narrow mass range of peptides are
poorly chromatographically resolved. This information will provide for a more
effective method development process, especially during label-free quantitative
determination of peptides extracted in solution.
PMID- 22095510
TI - Removal of 3'-phosphate group by bacterial alkaline phosphatase improves
oligonucleotide sequence coverage of RNase digestion products analyzed by
collision-induced dissociation mass spectrometry.
AB - RNase mapping by nucleobase-specific endonucleases combined with liquid
chromatography/tandem mass spectrometry (LC/MS/MS) is a powerful analytical
method for characterizing ribonucleic acids (RNAs). Endonuclease digestion of RNA
yields products that contain a 3'-terminal phosphate group. MS/MS via collision
induced dissociation (CID) of these digestion products on a linear ion trap
generates fragmentation pathways that include the loss of phosphoric acid (
H(3)PO(4); -98 u), which does not provide information about the sequence of the
digestion products and can reduce ion abundance from other pathways that provide
sequence information. Here we investigate the use of bacterial alkaline
phosphatase (BAP) after RNase digestion to remove the 3'-terminal phosphate from
all RNase digestion products prior to LC/MS/MS analysis. RNase digestion products
lacking the 3'-phosphate were found to produce CID spectra with more consistent,
high-abundance c- and y-type fragment ions as well as significantly more a-Base
and w-type ions than digestion products retaining the 3'-phosphate. In this
manner, RNase mapping with LC/MS/MS can provide more complete RNA sequence
information from fragment ions of higher abundance that are easier to interpret
and identify.
PMID- 22095511
TI - An algorithm for identifying multiply modified endogenous proteins using both
full-scan and high-resolution tandem mass spectrometric data.
AB - Mass spectrometry based proteomic experiments have advanced considerably over the
past decade with high-resolution and mass accuracy tandem mass spectrometry
(MS/MS) capabilities now allowing routine interrogation of large peptides and
proteins. Often a major bottleneck to 'top-down' proteomics, however, is the
ability to identify and characterize the complex peptides or proteins based on
the acquired high-resolution MS/MS spectra. For biological samples containing
proteins with multiple unpredicted processing events, unsupervised
identifications can be particularly challenging. Described here is a newly
created search algorithm (MAR) designed for the identification of experimentally
detected peptides or proteins. This algorithm relies only on predefined list of
'differential' modifications (e.g. phosphorylation) and a FASTA-formatted protein
database, and is not constrained to full-length proteins for identification. The
algorithm is further powered by the ability to leverage identified mass
differences between chromatographically separated ions within full-scan MS
spectra to automatically generate a list of likely 'differential' modifications
to be searched. The utility of the algorithm is demonstrated with the
identification of 54 unique polypeptides from human apolipoprotein enriched from
the high-density lipoprotein particle (HDL), and searching time benchmarks
demonstrate scalability (12 high-resolution MS/MS scans searched per minute with
modifications considered). This parallelizable algorithm provides an additional
solution for converting high-quality MS/MS data of multiply processed proteins
into reliable identifications.
PMID- 22095512
TI - Preliminary study for rapid determination of phycotoxins in microalgae whole
cells using matrix-assisted laser desorption/ionization time-of-flight mass
spectrometry.
AB - Rapid and sensitive methods for identification of several phycotoxins produced by
microalgae species such as yessotoxins (YTXs) for Protoceratium reticulatum,
okadaic acid (OA) and pectenotoxins (PTXs) for Prorocentrum spp. and Dinophysis
spp., Palytoxins (PLTXs) for Ostreopsis spp., ciguatoxins (CTXs) for
Gambierdiscus spp. or domoic acid (DA) for Pseudo-nitzschia spp. are of great
importance to the shellfish and fish industry. In this study, matrix-assisted
laser desorption/ionization time-of-flight mass spectrometry (MALDI-TOFMS) was
used to detect several phycotoxins in whole cells of some microalgae which are
known as toxin producers. To achieve an appropriate MALDI matrix and a sample
preparation method, several matrices and solvent mixtures were tested. The most
appropriate matrix system for toxin detection was obtained with 10 ug MUL(-1) of
DHB in 0.1% TFA/ACN (3:7, v/v) by mixing the intact cells with the matrix
solution directly on the MALDI target (dried-droplet technique). Toxin detection
by this procedure is much faster than current procedures based on solvent
extraction and chromatographic separation. This method allowed the rapid
detection of main phycotoxins in some dinoflagellate cells of genus Ostreopsis,
Prorocentrum, Protoceratium, Gambierdiscus, Dinophysis and diatoms from Pseudo
nitzschia genus.
PMID- 22095513
TI - The effects of freeze/thaw periods and drying methods on isotopic and elemental
carbon and nitrogen in marine organisms, raising questions on sample preparation.
AB - Stable isotopes are an increasingly important tool in trophic linkage ecological
studies. In studies of large marine animals, isotopic sampling is often given
secondary priority to sampling for diversity and biomass aspects. Consequently,
isotopic samples are frequently collected subsequent to repeated freezing and
thawing of animals, and the results of these studies are often based on the
assumption that this pre-treatment does not affect the isotopic values. Our study
tested this assumption and examined the difference between oven- and freeze
drying on isotopic values and elemental carbon-to-nitrogen (C:N) ratios. The
values for delta(15)N and delta(13)C, percentage nitrogen and carbon, and the C:N
ratios were determined from the tissues of six marine species, including
invertebrates and fish, as (1) fresh samples, (2) samples thawed once, and (3)
samples thawed twice. The drying method, thawing treatment and their interaction
did significantly affect the delta(15)N and delta(13)C isotope values for all
species. Oven-dried samples had slightly higher delta(13)C and delta(15)N values
than freeze-dried samples, although not significant in most instances. For most
species, oven-drying produced lower carbon and nitrogen percentage than freeze
drying for samples that had been thawed once, but the C:N ratio was unaffected by
the drying method. Repeated freezing and thawing did not affect the isotope
values, but it did decrease the percentage carbon and nitrogen for both
desiccation methods. We recommend drying samples from fresh wherever possible,
and careful choice of desiccation method in light of the fact that most lipid
models are based on oven-dried samples and oven-drying could cause enrichment of
(15)N or (13)C through evaporation of volatile compounds richer in lighter
isotopes such as some lipids. Finally, we recommend that further studies on the
specific effects of freezing and desiccation on elasmobranchs is needed. Overall
we recommend the use of freeze-drying when possible and to use the samples from
freshly caught organisms.
PMID- 22095514
TI - Structural determination of an unknown degradation product in posaconazole drug
product using CASI technology in a Fourier transform ion cyclotron resonance mass
spectrometer.
PMID- 22095515
TI - Venom alkaloid and cuticular hydrocarbon profiles are associated with social
organization, queen fertility status, and queen genotype in the fire ant
Solenopsis invicta.
AB - Queens in social insect colonies advertise their presence in the colony to: a)
attract workers' attention and care; b) gain acceptance by workers as replacement
or supplemental reproductives; c) prevent reproductive development in nestmates.
We analyzed the chemical content of whole body surface extracts of adult queens
of different developmental and reproductive stages, and of adult workers from
monogyne (single colony queen) and polygyne (multiple colony queens) forms of the
fire ant Solenopsis invicta. We found that the composition of the most abundant
components, venom alkaloids, differed between queens and workers, as well as
between reproductive and non-reproductive queens. Additionally, workers of the
two forms could be distinguished by alkaloid composition. Finally, sexually
mature, non-reproductive queens from polygyne colonies differed in their
proportions of cis-piperidine alkaloids, depending on their Gp-9 genotype,
although the difference disappeared once they became functional reproductives.
Among the unsaturated cuticular hydrocarbons characteristic of queens, there were
differences in amounts of alkenes/alkadienes between non-reproductive polygyne
queens of different Gp-9 genotypes, between non-reproductive and reproductive
queens, and between polygyne and monogyne reproductive queens, with the amounts
increasing at a relatively higher rate through reproductive ontogeny in queens
bearing the Gp-9 b allele. Given that the genotype-specific piperidine
differences reflect differences in rates of reproductive maturation between
queens, we speculate that these abundant and unique compounds have been co-opted
to serve in fertility signaling, while the cuticular hydrocarbons now play a
complementary role in regulation of social organization by signaling queen Gp-9
genotype.
PMID- 22095516
TI - Clinical relevance of detectable but not quantifiable hepatitis C virus RNA
during boceprevir or telaprevir treatment.
AB - Boceprevir- and telaprevir-based treatments for chronic hepatitis C virus (HCV)
infection use specific response-guided therapy (RGT) guidelines. Eligibility for
shortened treatment duration is based on achieving undetectable HCV RNA early
during treatment. It is unclear whether a detected HCV RNA level that is below
the assay lower limit of quantitation (detectable/BLOQ) is comparable to an
undetectable HCV RNA level for RGT decision making. We analyzed data from
boceprevir and telaprevir clinical trials to obtain a comprehensive understanding
of the frequency and clinical relevance of detectable/BLOQ HCV RNA measurements.
In Phase 3 trials P05216 (boceprevir), C216 (telaprevir), and 108 (telaprevir),
detectable/BLOQ levels were reported for approximately 10%-20% of all on
treatment HCV RNA measurements. In P05216 and C216, subjects with detectable/BLOQ
HCV RNA, on average, had a reduced sustained virologic response (SVR) rate
compared with subjects with undetectable HCV RNA at the same on-treatment
timepoint. At key RGT timepoints (week 8 for boceprevir, week 4 for telaprevir),
subjects with detectable/BLOQ HCV RNA had an approximately 20% lower SVR rate
compared with subjects with undetectable HCV RNA, and this difference widened for
later on-treatment timepoints. A similar trend was observed for Study 108, but
the differences in SVR rates were modest, potentially explained by a higher
frequency of reported detectable/BLOQ results. Analyses of Phase 2 boceprevir and
telaprevir trials indicated subjects with detectable/BLOQ HCV RNA at RGT
timepoints benefited from extended treatment duration. CONCLUSION: During
boceprevir- and telaprevir-based treatment, subjects with detectable/BLOQ HCV RNA
had a reduced virologic response compared with subjects with undetectable HCV
RNA. Eligibility for shortened treatment duration should be based on achieving
undetectable HCV RNA (i.e., HCV RNA not detected) at RGT decision timepoints.
PMID- 22095517
TI - Hexim-1 modulates androgen receptor and the TGF-beta signaling during the
progression of prostate cancer.
AB - BACKGROUND: Androgen and TGF-beta signaling are important components during the
progression of prostate cancer. However, whether common molecular events
participate in the activation of these signaling pathways are less understood.
METHOD: Hexim 1 expression was detected by immunohistochemistry of human tissue
microarrays and TRAMP mouse models. The in vivo significance of Hexim-1 was
established by crossing the TRAMP mouse model of prostate cancer with Hexim-1
heterozygous mice. TRAMP C2 cell line was also modified to delete one copy of
Hexim-1 gene to generate TRAMP-C2-Hexim-1+/- cell lines. RESULTS: In this report,
we observed that Hexim-1 protein expression is absent in normal prostate but
highly expressed in adenocarcinoma of the prostate and a characteristic sub
cellular distribution among normal, benign hyperplasia, and adenocarcinoma of the
prostate. Heterozygosity of the Hexim-1 gene in the prostate cancer mice model
and the TRAMP-C2 cell line, leads to increased Cdk9-dependent serine
phosphorylation on protein targets such as the androgen receptor (AR) and the TGF
beta-dependent downstream transcription factors, such as the SMAD proteins.
CONCLUSION: Our results suggest that changes in the Hexim-1 protein expression
and cellular distribution significantly influences the AR activation and the TGF
beta signaling. Thus, Hexim-1 is likely to play a significant role in prostate
cancer progression.
PMID- 22095518
TI - HIV-1 integrase inhibitors: a review of their chemical development.
AB - Highly active antiretroviral therapy (HAART) significantly decreases plasma viral
load, increases CD4+ T-cell counts in HIV-1-infected patients and has reduced
progression to AIDS in developed countries. However, adverse side effects, and
emergence of drug resistance, mean there is still a demand for new anti-HIV
agents. The HIV integrase (IN) is a target that has been the focus of rational
drug design over the past decade. In 2007, raltegravir was the first IN inhibitor
approved by the US Food and Drug Administration for antiretroviral combination
therapy, while another IN inhibitor, elvitegravir, is currently in Phase III
clinical trials. This article reviews the development and resistance profiling of
small molecule HIV-1 IN inhibitors.
PMID- 22095519
TI - Mechanism of interaction of novel indolylarylsulfone derivatives with K103N and
Y181I mutant HIV-1 reverse transcriptase in complex with its substrates.
AB - BACKGROUND: Novel indolylarylsulfones (IASs), designed through rational structure
based molecular modelling and docking approaches, have been recently
characterized as effective inhibitors of the wild-type and drug-resistant mutant
HIV-1 reverse transcriptase (RT). METHODS: Here, we studied the interaction of
selected halo- and nitro-substituted IAS derivatives, with the RT enzyme carrying
the single resistance mutations K103N and Y181I through steady-state kinetic
experiments. RESULTS: The studied compounds exhibited high selectivity to the
mutant RT in complex with its substrates, behaving as uncompetitive inhibitors.
The presence of the K103N mutation, and to a lesser extent the Y181I, stabilized
the drug interactions with the viral RT, when both its substrates were bound.
CONCLUSIONS: The characterization of these mutation-specific effects on inhibitor
binding might be relevant to the design of more effective new generation non
nucleoside reverse transcriptase inhibitors, with better resilience towards drug
resistant mutants.
PMID- 22095520
TI - Inhibition of influenza virus replication by constrained peptides targeting
nucleoprotein.
AB - BACKGROUND: Because of high mutation rates, new drug-resistant viruses are
rapidly evolving, thus making the necessary control of influenza virus infection
difficult. METHODS: We screened a constrained cysteine-rich peptide library
mimicking MU-conotoxins from Conus geographus and a proline-rich peptide library
mimicking lebocin 1 and 2 from Bombyx mori by using influenza virus RNA
polymerase (PB1, PB2 and PA) and nucleoprotein (NP) as baits. RESULTS: Among the
22 peptides selected from the libraries, we found that the NP-binding proline
rich peptide, PPWCCCSPMKRASPPPAQSDLPATPKCPP, inhibited influenza replicon
activity to mean+/-sd 40.7%+/-15.8% when expressed as a GFP fusion peptide in
replicon cells. Moreover, when the GFP fusion peptide was transduced into cells
by an HIV-TAT protein transduction domain sequence, the replication of influenza
virus A/WSN/33 (WSN) at a multiplicity of infection of 0.01 was inhibited to 20%
and 69% at 12 and 24 h post-infection, respectively. In addition, the TAT-GFP
fusion peptide was able to slightly protect Balb/c mice from WSN infection when
administrated prior to the infection. CONCLUSIONS: These results suggest the
potential of this peptide as the seed of an anti-influenza drug and reveal the
usefulness of the constrained peptide strategy for generating inhibitors of
influenza infection. The results also suggest that influenza NP, which is
conserved among the influenza A viruses, is a good target for influenza
inhibition, despite being the most abundant protein in infected cells.
PMID- 22095521
TI - Efficacy of orally administered low dose N-methanocarbathymidine against lethal
herpes simplex virus type-2 infections of mice.
AB - BACKGROUND: N-methanocarbathymidine (N-MCT) has previously been shown to be
effective against lethal orthopoxvirus and herpes simplex virus type-1 infections
in mice. In this investigation, the antiviral activity of N-MCT was assessed
against herpes simplex virus type-2 (HSV-2) in BALB/c mice. METHODS: BALB/c mice
were infected intranasally with a lethal challenge dose of HSV-2. N-MCT was
administered orally twice daily to mice using doses of 0.01 to 100 mg/kg to
determine effects on survival and on viral replication in organ and central
nervous system (CNS) samples. RESULTS: N-MCT provided significant protection from
mortality even when treatments were delayed until 3 days after viral infection.
Viral replication in organ and CNS samples from N-MCT-treated mice was reduced
below the limit of detection after 4 days of treatment. CONCLUSIONS: These
results indicated that low dose N-MCT treatment was more effective than acyclovir
therapy. N-MCT may be effective against HSV disease in humans and is currently
undergoing preclinical evaluation. In particular, its potential use as a
combination therapy for HSV, with its differing metabolism from acyclovir, make
it a promising compound to develop for human use.
PMID- 22095522
TI - Clinical significance of Zinc finger E-box Binding homeobox 1 (ZEB1) in human
gastric cancer.
AB - BACKGROUND AND OBJECTIVES: Zinc finger E-box Binding homeobox 1 (ZEB1) encodes a
transcription factor and is one of the epithelial-mesenchymal transition (EMT)
inducible genes that play a key role in tumor progression in various cancers. The
aim of this study is to clarify the clinical significance of ZEB1 expression in
gastric cancer patients. METHODS: One hundred thirty-four patients who underwent
surgery for gastric cancer were evaluated. We analyzed ZEB1 mRNA levels by real
time reverse transcription PCR in gastric cancer tissue and adjacent normal
mucosa. ZEB1 protein expression in primary cancer and in peritoneal dissemination
samples was measured using immunohistochemical analysis. RESULTS: Expression of
the ZEB1 gene was significantly higher in cancerous tissue than in adjacent
normal mucosa. Increased ZEB1 expression was significantly associated with
peritoneal dissemination, and was an independent prognostic factor. Logistic
regression analysis revealed that increased ZEB1 expression was an independent
risk factor for peritoneal dissemination. Immunohistochemical analysis indicated
that ZEB1 was intensely expressed in both primary cancer and peritoneal
dissemination samples. CONCLUSIONS: ZEB1 is an independent factor for peritoneal
dissemination in patients with gastric cancer, and may therefore play a key role
in the progression to peritoneal dissemination in gastric cancer patients.
PMID- 22095523
TI - High survival during hibernation affects onset and timing of reproduction.
AB - The timing of reproduction is one of the most crucial life history traits, with
enormous consequences for the fitness of an individual. We investigated the
effects of season and timing of birth on local survival probability in a small
mammalian hibernator, the common dormouse (Muscardinus avellanarius). Local
monthly survival probability was lowest in the early active season (May-August,
phi(adult) = 0.75-0.88, phi(juvenile) = 0.61-0.68), increased during the late
active season (August-October), and highest during hibernation (October-May,
phi(adult) = 0.96-0.98, phi(juvenile) = 0.81-0.94). Consequently, dormice had an
extremely high winter survival probability. We observed two peaks in the timing
of reproduction (June and August/September, respectively), with the majority of
juveniles born late in the active season. Although early investment in
reproduction seems the better life history tactic [survival probability until
onset of reproduction: phi(born early) = 0.46, 95% confidence interval (CI) 0.28
0.64; phi(born late) = 0.19, 95% CI = 0.09-0.28], only females with a good body
condition (significantly higher body mass) invest in reproduction early in the
year. We suggest the high over-winter survival in dormice allows for a unique
life history pattern (i.e., combining slow and fast life history tactics), which
leads to a bimodal seasonal birth pattern: (1) give birth as early as possible to
allow even the young to breed before hibernating, and/or (2) give birth as late
as possible (leaving just enough time for these young to fatten) and enter
directly into a period associated with the highest survival rates (hibernation)
until maturity.
PMID- 22095524
TI - Surgical resection versus local ablation for HCC on cirrhosis: results from a
propensity case-matched study.
AB - BACKGROUND: Surgery for hepatocellular carcinoma (HCC) had great improvements in
the last decades with low morbidity and mortality and good long-term results.
Percutaneous local ablative therapies (LAT) such as radiofrequency ablation and
ethanol injection (PEI) for HCC gained consent for their efficacy and safety. In
retrospective studies, patients submitted to resection (LR) or LAT frequently
have important selection bias. Propensity case-matched analysis proved to reduce
selection bias of retrospective studies and allow comparison between different
therapies. AIM: The aim of this study was to evaluate survival comparing LR and
LAT in two groups of cirrhotic patients with HCC matched with propensity score
methods. METHODS: Four hundred and seventy-eight cirrhotic patients with HCC
treated with LR or LAT with curative intent between January 1995 and December
2009 were included in the study. One hundred and eighty-one patients underwent
LR, and 297 patients were treated with LAT. Tumor stage and liver function were
evaluated in all patients. To balance the covariates in the two groups, a one-to
one propensity case-matched analysis was used. A multivariable logistic model
based on age, gender, etiology of cirrhosis, Child-Pugh class, number of nodules,
maximum diameter of nodules, and serum alpha-fetoprotein level was used to
estimate propensity score. One-to-one caliper matching of LR and LAT groups was
performed, generating a matched sample of 176 patients with 88 patients in each
group. RESULTS: Median survival was 65.1 months (95% CI = 48.5-81.7) after LR and
37.3 months (95% CI = 29.3-45.3) after LAT (p = 0.008). For patients in Child
Pugh class A with single HCC and maximum diameter <5 cm, median survival was 65.0
months (95% CI = 58.4-71.6) for the LR group and 63.7 months (95% CI = 31.8-95.7)
for the LAT group (p = 0.730). For patients in Child-Pugh class A with single HCC
and diameter >=5 cm, median survival was 79.9 months (95% CI = 40.1-119.8) for
the LR group and 21.5 months (95% CI = 10.8-32.1) for the LAT group (p = 0.023).
For patients in Child-Pugh class A with two to three nodules and maximum diameter
<=3 cm, mean survival was 69.3 months (95% CI 48.7-89.9) for the LR group and
45.7 months (95% CI = 22.8-68.7) for the LAT group (p = 0.168). For patients in
Child-Pugh class A with two to three nodules and diameter >3 cm, median survival
was 82.9 months (95% CI = 52.0-113.7) for the LR group and 18.9 months (95% CI =
6.3-31.4) for the LAT group (p = 0.001). CONCLUSION: Our propensity case-matched
study confirmed that survival is similar after LR and LAT for single HCC smaller
than 5 cm and for oligofocal HCC (up to three nodules) smaller than 3 cm;
instead, for HCC larger than 5 cm or oligofocal HCC (up to three nodules) larger
than 3 cm, surgical resection improves significantly long-term survival.
PMID- 22095525
TI - Intraluminal pH and goblet cell density in Barrett's esophagus.
AB - INTRODUCTION: Goblet cells in Barrett's esophagus (BE) vary in their density
within the Barrett's segment. Exposure of Barrett's epithelium to bile acids is a
major stimulant for goblet cell formation. The dissociation of bile acids into
forms that penetrate Barrett's epithelium is known to be pH dependent. We
hypothesized that variations in the esophageal luminal pH environment explains
the variability in goblet cell density. The aim of this study was to correlate
esophageal luminal pH with goblet cell density in patients with BE. METHODS: A
customized six-sensor pH catheter was positioned with the most distal sensor in
the stomach and the remaining sensors located 1 cm below and 1, 3, 5, and 8 cm
above the upper border of the lower esophageal sphincter in five normal subjects
and six patients with long-segment BE. The luminal pH was measured by each sensor
for 24-h and expressed as median pH. Patients with BE had four quadrant biopsies
at levels corresponding to the location of the pH sensors. Goblet cell density
was graded from 0 to 3 based on the number per high-power field. RESULTS: In
normal subjects, the median pH values recorded in the sensors within the lower
esophageal sphincter (LES) and esophageal body were all above 5. In patients with
BE, the median pH recorded by the sensor within the LES was 2.8 and increased
progressively to 4.7 in the sensor at 8 cm above the LES. Goblet cell density was
significantly lower in the distal Barrett's segment exposed to a median pH of 2.2
and increased in the proximal Barrett's segment exposed to a median pH of 4.4 (p
= 0.003). CONCLUSION: Patients with BE have a goblet cell gradient that
correlates directly with an esophageal luminal pH gradient. This suggests that
goblet cell differentiation is pH dependent and likely due to the effect of pH on
bile acid dissociation.
PMID- 22095526
TI - The challenge of enzyme cost in the production of lignocellulosic biofuels.
AB - With the aim of understanding the contribution of enzymes to the cost of
lignocellulosic biofuels, we constructed a techno-economic model for the
production of fungal cellulases. We found that the cost of producing enzymes was
much higher than that commonly assumed in the literature. For example, the cost
contribution of enzymes to ethanol produced by the conversion of corn stover was
found to be $0.68/gal if the sugars in the biomass could be converted at maximum
theoretical yields, and $1.47/gal if the yields were based on saccharification
and fermentation yields that have been previously reported in the scientific
literature. We performed a sensitivity analysis to study the effect of feedstock
prices and fermentation times on the cost contribution of enzymes to ethanol
price. We conclude that a significant effort is still required to lower the
contribution of enzymes to biofuel production costs.
PMID- 22095527
TI - Neutral nickel oligo- and polymerization catalysts: the importance of alkyl
phosphine intermediates in chain termination.
AB - An unconventional chain termination reaction has been explored for the SHOP
(Shell higher olefin process)-type, anilinotropone, and salicylaldiminato nickel
based oligo- and polymerization catalysts by using density functional theory
(DFT). Starting from the tetracoordinate alkyl phosphine complex, the termination
reaction was found to involve a rearrangement of the alkyl chain to form a
pentacoordinate beta-agostic complex, beta-hydride elimination, and olefinic
chain dissociation and to compete with propagation at sufficiently high phosphine
concentration and/or basicity. It provides the first complete and convincing
mechanistic rationale for the decreasing chain lengths observed upon increasing
phosphine concentration and basicity. The unconventional reaction was found to be
a major termination pathway for the SHOP-type catalyst and is very unlikely to
lead to branching and olefin isomerization, which is critical for explaining why
the SHOP catalyst, in contrast to the anilinotropone and salicylaldiminato
catalysts, tends to lead to the oligomerization of ethylene to form linear alpha
olefins. Based on our results we have proposed a new and extended catalytic cycle
for the SHOP-type ethylene oligomerization catalyst. Finally, the importance of
the new termination reaction for the SHOP-type catalyst suggests that this
reaction may also operate with other ethylene oligomerization nickel catalysts.
This prediction was confirmed for a pyrazolonatophosphine catalyst, for which the
new termination route was found to be even more facile, which explains the short
oligomers produced by this catalyst.
PMID- 22095528
TI - Hypothalamic action of glutamate leads to body mass reduction through a mechanism
partially dependent on JAK2.
AB - Glutamate acts in the hypothalamus promoting region-, and cell-dependent effects
on feeding. Part of these effects are mediated by NMDA receptors, which are up
regulated in conditions known to promote increased food intake and thermogenesis,
such as exposure to cold and consumption of highly caloric diets. Here, we
hypothesized that at least part of the effect of glutamate on hypothalamic
control of energy homeostasis would depend on the control of neurotransmitter
expression and JAK2 signaling. The expression of NMDA receptors was co-localized
to NPY/AgRP, POMC, CRH, and MCH but not to TRH and orexin neurons of the
hypothalamus. The acute intracerebroventricular injection of glutamate promoted a
dose-dependent increase in JAK2 tyrosine phosphorylation. In obese rats, 5 days
intracerebroventricular treatment with glutamate resulted in the reduction of
food intake, accompanied by a reduction of spontaneous motility and reduction of
body mass, without affecting oxygen consumption. The reduction of food intake and
body mass were partially restrained by the inhibition of JAK2. In addition,
glutamate produced an increased hypothalamic expression of NPY, POMC, CART, MCH,
orexin, CRH, and TRH, and the reduction of AgRP. All these effects on
neurotransmitters were hindered by the inhibition of JAK2. Thus, the
intracerebroventricular injection of glutamate results in the reduction of body
mass through a mechanism, at least in part, dependent on JAK2, and on the broad
regulation of neurotransmitter expression. These effects are not impaired by
obesity, which suggest that glutamate actions in the hypothalamus may be
pharmacologically explored to treat this disease.
PMID- 22095529
TI - Resveratrol acts as a topoisomerase II poison in human glioma cells.
AB - Recently, we demonstrated that Resveratrol (RSV), a well known natural stilbene,
is able to induce a delay in S progression with a concomitant increase in
gammaH2AX expression in U87 glioma cells. Furthermore, we showed that it inhibits
the ability of recombinant human topoisomerase IIalpha to decatenate kDNA in
vitro. Because proliferating tumor cells express topoisomerases at high levels
and these enzymes are important targets of some of the most successful anticancer
drugs, we tested whether RSV is able to poison topoisomerase IIalpha in glioma
cells. Then, we monitored the increase of micronuclei in RSV treated U87 cells as
a consequence of the conversion of TOPOII/DNA cleavable complexes to permanent
DNA damage. Finally, we assayed the ability of RSV in modulating the expression
of target proteins involved in DNA damage signalling, namely ATR, ATM, Chk1, Chk2
and gammaH2AX. Through a molecular modelling here we show that RSV binds at the
TOPOII/DNA interface thus establishing several hydrogen bonds. Moreover, we show
that RSV poisons TOPOIIalpha so inducing DNA damage; ATM, Chk2 and gammaH2AX are
involved in the DNA damage signalling after RSV treatment.
PMID- 22095530
TI - Baseline characteristics and statistical implications for the OECD 210 fish early
life stage chronic toxicity test.
AB - The fish toxicity assay most commonly used to establish chronic effects is the
Organisation for Economic Co-operation and Development (OECD) 210, fish early
life stage test. However, the authors are not aware of any systematic analysis of
the experimental design or statistical characteristics of the test since the test
guideline was adopted nearly 20 years ago. Here, the authors report the results
of an analysis of data compiled from OECD 210 tests conducted by industry labs.
The distribution of responses observed in control treatments was analyzed, with
the goal of understanding the implication of this variability on the sensitivity
of the OECD 210 test guideline and providing recommendations on revised
experimental design requirements of the test. Studies were confined to fathead
minnows, rainbow trout, and zebrafish. Dichotomous endpoints (hatching success
and posthatch survival) were examined for indications of overdispersion to
evaluate whether significant chamber-to-chamber variability was present.
Dichotomous and continuous (length, wet wt, dry wt) measurement endpoints were
analyzed to determine minimum sample size requirements to detect differences from
control responses with specified power. Results of the analysis indicated that
sensitivity of the test could be improved by maximizing the number of replicate
chambers per treatment concentration, increasing the acceptable level of control
hatching success and larval survival compared to current levels, using wet weight
measurements rather than dry weight, and focusing test efforts on species that
demonstrate less variability in outcome measures. From these analyses, the
authors provide evidence of the impact of expected levels of variability on the
sensitivity of traditional OECD 210 studies and the implications for defining a
target for future animal alternative methods for chronic toxicity testing in
fish.
PMID- 22095531
TI - Mutations in the planar cell polarity genes CELSR1 and SCRIB are associated with
the severe neural tube defect craniorachischisis.
AB - Craniorachischisis (CRN) is a severe neural tube defect (NTD) resulting from
failure to initiate closure, leaving the hindbrain and spinal neural tube
entirely open. Clues to the genetic basis of this condition come from several
mouse models, which harbor mutations in core members of the planar cell polarity
(PCP) signaling pathway. Previous studies of humans with CRN failed to identify
mutations in the core PCP genes, VANGL1 and VANGL2. Here, we analyzed other key
PCP genes: CELSR1, PRICKLE1, PTK7, and SCRIB, with the finding of eight
potentially causative mutations in both CELSR1 and SCRIB. Functional effects of
these unique or rare human variants were evaluated using known protein-protein
interactions as well as subcellular protein localization. While protein
interactions were not affected, variants from five of the 36 patients exhibited a
profound alteration in subcellular protein localization, with diminution or
abolition of trafficking to the plasma membrane. Comparable effects were seen in
the crash and spin cycle mouse Celsr1 mutants, and the line-90 mouse Scrib
mutant. We conclude that missense variants in CELSR1 and SCRIB may represent a
cause of CRN in humans, as in mice, with defective PCP protein trafficking to the
plasma membrane a likely pathogenic mechanism.
PMID- 22095532
TI - Viral kinetics during the first weeks of pegylated interferon and ribavirin
treatment can identify patients at risk of relapse after its discontinuation: new
strategies for such patients?
AB - BACKGROUND: Pegylated interferon (PEG-IFN) and ribavirin is the most effective
treatment for chronic hepatitis C virus (HCV) hepatitis, but the rate of
sustained virological response (SVR) remains approximately 50%, and 15-20% of all
treated patients have a virological relapse after completing the treatment.
Studies on the SVR have failed to discriminate between non-responders and
relapsers. AIMS: To identify the risk factors for relapse among patients with an
end-of-treatment response (ETR). METHODS: We retrospectively analyzed 281
patients consecutively treated with PEG-IFN and ribavirin with a follow-up period
of at least 24 weeks. The baseline details collected on each patient included
demographic data, histological features, and biochemical profiles. RESULTS: Forty
six patients (16.4%) relapsed during the first 6 months of follow-up after
discontinuing the therapy. Relapser patients were significantly older, had more
steatosis, fibrosis, and showed significantly lower rapid virological response
(RVR) rates compared with SVR patients. By logistic regression analysis, only the
absence of RVR was found to be significantly associated with relapses in both
subgroups of patients with genotypes 1 and 4 (p < 0.004) and those with genotypes
2 and 3 (p < 0.006). Severe fibrosis was also predictive of relapsing disease,
but only for genotypes 2 and 3 patients (p < 0.003). During the treatment, serum
HCV-RNA decreased more rapidly in patients with SVR compared to non-responder and
relapser patients (p < 0.001). Interestingly, relapser patients exhibited an
intermediate serum HCV-RNA decay during the first 4 weeks of therapy. CONCLUSION:
Among HCV patients treated with PEG-IFN and ribavirin, the absence of RVR was the
most important independent predictor of relapse, independent of the HCV genotype.
In the subgroup of genotypes 2 and 3 patients, the severity of fibrosis was also
an important factor associated with the relapse rate.
PMID- 22095533
TI - Prevalence and impact of hepatitis B and C virus co-infections in antiretroviral
treatment naive patients with HIV infection at a major treatment center in Ghana.
AB - Data on the effects of the presence of hepatitis B virus (HBV) and hepatitis C
virus (HCV) in patients co-infected with these viruses and HIV in West Africa are
conflicting and little information is available in Ghana. A cohort of 138
treatment naive individuals infected with HIV was screened for HBV and HCV
serologic markers; HBsAg positive patients were tested for HBeAg, anti-HBe, and
anti-HBc IgM. The viral load of HIV-1 in the plasma was determined in 81
patients. Eighteen of the 138 patients (13%) and 5 (3.6%) had HBsAg and anti-HCV,
respectively. None of the patients had anti-HBc IgM, but 10 (55.6%) and 8 (44.4%)
of the 18 patients who were HBsAg positive had HBeAg and anti-HBe, respectively.
In patients with measurement of CD4(+) undertaken within 1 month (n = 83), CD4(+)
count was significantly lower in patients with HBeAg (median [IQR], 81 [22-144])
as compared to those with anti-HBe (median [IQR], 210 [197-222]) (P = 0.002, CI:
96.46 to 51.21). However, those with HIV mono-infection had similar CD4(+) counts
(median [IQR], 57 [14-159]) compared to those with HBeAg (P = 1.0, CI: -71.75 to
73.66). Similar results were obtained if CD4(+) count was measured within 2
months prior to initiation of HAART (n = 119). Generally, HBV and anti-HCV did
not affect CD4(+) and viral loads of HIV-1 in plasma but patients with HIV and
HBV co-infection who had HBeAg had more severe immune suppression as compared to
those with anti-HBe. This may have implication for initiating HAART in HBV
endemic areas.
PMID- 22095534
TI - Mutations within enhancer II and BCP regions of hepatitis B virus in relation to
advanced liver diseases in patients infected with subgenotype B3 in Indonesia.
AB - Studies on the characteristics of mutations within the hepatitis B virus (HBV)
genome, their roles in the pathogenesis of advanced liver diseases, and the
involvement of host properties of HBV-infected individuals have not been
conducted in subgenotype B3-infected populations. For addressing this issue, 40
cases with HBV surface antigen (HBsAg)-positive advanced liver diseases,
including advanced liver cancer and cirrhosis (male 31, female 9, age 54.4 +/-
11.6-year-old), were collected and compared with 109 cases with chronic hepatitis
B (male 71, female 38, age 38.0 +/- 13.4-year-old). Mutations in enhancer II (Enh
II) and basal core promoter (BCP)/precore regions were analyzed by PCR-direct
sequencing method. HBV viral load was examined by real-time PCR. For all examined
regions, the prevalence of mutation was significantly higher in cases with
advanced liver diseases. Multivariate analysis showed that, in patients older
than 45 years, C1638T and T1753V mutations constituted independent risk factors
for the advancement of liver diseases. The presence of C1638T and T1753V
mutations may serve as predictive markers for the progression of liver diseases
in Indonesia and other countries, where subgenotype B3 infection is prevalent.
PMID- 22095535
TI - Human platelet antigen genotype is associated with progression of fibrosis in
chronic hepatitis C.
AB - Although progression of fibrosis in the chronic hepatitis C depends on
environmental, viral, and host factors, genetic polymorphisms have been
associated recently with this progression, including the expression of integrins,
adhesion proteins. Some integrins expressed on the platelet membrane show
polymorphic antigenic determinants called human platelet antigens (HPA), where
the major ones are HPA-1, -3, -5. The association between HCV infection and HPA
5b has been demonstrated. Similarly, the HPA profile could determine if HPA is
related to progression of fibrosis. The goal of this study was to evaluate the
association between the frequencies of HPA-1, -3, and -5 and degree of fibrosis
in HCV-infected patients. Genomic DNA from 143 HCV-infected patients was used as
the source for HPA genotyping by PCR-SSP or PCR-RFLP. Progression of fibrosis was
evaluated using the METAVIR scoring system, and the patients were grouped
according to degree of fibrosis into G1 (n = 81, with F1, portal fibrosis without
septa or F2, few septa) and G2 (n = 62, with F3, numerous septa, or F4,
cirrhosis). Statistical analysis was performed using the proportional odds model.
The genotypic frequency of HPA-1a/1b was significantly higher in the patients in
G2. To evaluate the influence of the time of infection to the development of
fibrosis and its effect on the genetic factor HPA-1, 96 patients from 143 studied
were evaluated considering the time of HCV infection, and these results suggest
that the HPA-1a/1b genotype promotes the development of fibrosis in HCV infection
with time.
PMID- 22095536
TI - Predictive value of early viral dynamics during peginterferon and ribavirin
combination therapy based on genetic polymorphisms near the IL28B gene in
patients infected with HCV genotype 1b.
AB - A study was carried out to determine whether early viral dynamics retain
prediction of the outcome of peginterferon (PEG-IFN) and ribavirin combination
therapy based on different genetic polymorphisms near the IL28B gene, the
strongest baseline predictor of response to this therapy. A total of 272 patients
infected with hepatitis C virus (HCV) genotype 1b were grouped according to
genetic polymorphisms near the IL28B gene (rs8099917). The ability of reduced HCV
RNA levels at 4 and 12 weeks after starting therapy to predict a sustained
virologic response was evaluated based on these genotypes. Among patients with
the TT genotype for rs8099917 (associated with a favorable response), the rates
of sustained virologic response were higher in patients with a >=3 log(10)
reduction in serum HCV RNA levels at 4 weeks after starting therapy (P < 0.0001).
In contrast, among patients with the TG/GG genotype (associated with an
unfavorable response), there were no differences in this rate based on the
reduction in HCV RNA levels at 4 weeks. Early viral dynamics at 4 weeks after
starting therapy retains its predictive value for sustained virologic response in
patients with the TT genotype for rs8099917, but not in patients with the TG/GG
genotype. Patients who are likely to achieve sustained virologic response despite
unfavorable TG/GG genotype cannot be identified based on early viral dynamics
during therapy. In contrast, lack of early virologic response at 12 weeks retains
a strong predictive value for the failure of sustained virologic response
regardless of IL28B polymorphisms, which remains useful as a factor to stop
therapy.
PMID- 22095537
TI - Prevalence of specific antibody to hepatitis E virus in the general population of
the community of Madrid, Spain.
AB - Hepatitis E virus (HEV) is an infectious agent causing hepatitis among humans.
Although hepatitis E has been reported from many European countries, its
incidence in Europe is largely unknown, and the prevalence of the HEV infection
is also unknown for most countries of the region. Antibody to HEV (anti-HEV) was
tested on 2,305 serum samples from the general population of the Community of
Madrid (Spain) collected in the year 2008 among people aged 2-60 years. Total
anti-HEV was tested by enzyme-immunoassay (EIA), and reactive samples were
retested separately for anti-HEV IgG and IgM by recombinant immunoblot test
(RIBT). Fifty samples (2.17%) displayed reactivity for total anti-HEV after EIA
testing, and anti-HEV IgG was confirmed by RIBT in 25 (1.08%). The frequency of
RIBT-confirmed anti-HEV ranged from 0.97% among the youngest to 3.61% among the
oldest, and displayed a statistically significant trend to increasing with age.
The rate of RIBT confirmation was also significantly higher among the individuals
aged above 20 years old than among those younger of 21 years. HEV infection would
be less frequent in the Community of Madrid than in Catalonia or the United
Kingdom, and contact with HEV would be very uncommon among children and
adolescents of the region. Confirmation of EIA-reactive samples by RIBT reduced
the final numbers of anti-HEV testing as much as 50%, and some findings of this
study suggest that such testing protocol would reflect better the real prevalence
of anti-HEV in settings of low endemicity than the single testing by EIA.
PMID- 22095538
TI - Induction of protective immunity in a Syrian hamster model against a
cytopathogenic strain of Andes virus.
AB - Andes virus (ANDV) is responsible for the Hantavirus Pulmonary Syndrome cases in
Argentina and neighboring countries, with moderate to high case-fatality rates.
ANDV has some particular features, which make it unique among other members of
the Hantavirus genus such as person-to-person transmission and causing a disease
similar to Hantavirus Pulmonary Syndrome in the hamster as an animal model. The
kinetics of replication in Vero E6 cells of an ANDV strain isolated in Argentina,
called Andes/ARG, was studied. Cytopathic effect and the formation of clear
plaques were observed and therefore Andes/ARG could be quantified by classic
plaque assay. The Andes/ARG strain was found to be highly lethal in Syrian
hamsters allowing experiments to demonstrate the protective potential of
vaccines. A recombinant nucleocapsid protein of ANDV induced a long lasting
antibody response and protective immunity against a homologous challenge, but to
a lower extent against heterologous challenge by the Seoul virus.
PMID- 22095539
TI - Case report: cytomegalovirus-induced thrombosis in an immunocompetent patient.
AB - Thrombotic manifestations of cytomegalovirus infection in immunocompetent
individuals are rare. However, it has been postulated that cytomegalovirus
infection can be both directly cytopathic and capable of inducing
antiphospholipid antibodies due to shared "molecular mimicry" between
cytomegalovirus virus antigens and antiphospholipid antibodies. The case of a
previously well 30-year-old woman with primary cytomegalovirus infection
complicated by splenic infarction and massive pulmonary embolus is described. The
patient is unusual given the development of thromboses affecting both the
arterial and venous circulation, associated with both transient anticardiolipin
antibodies and persistently positive anti-beta(2) glycoprotein I antibodies. The
temporal relationship between the primary infection and thrombosis was suggestive
of a pathogenic role for cytomegalovirus.
PMID- 22095540
TI - Longitudinal analysis of frequency and reactivity of Epstein-Barr virus-specific
T lymphocytes and their association with intermittent viral reactivation.
AB - Persistent Epstein-Barr virus (EBV) infection is controlled tightly by virus
specific T cells. EBV infection is reactivated intermittently over time, even in
apparently healthy carriers. Changes in frequency and reactivity of memory T
cells, particularly of CD8(+) origin, have not been assessed in this context. It
is hypothesized that viral reactivation is facilitated by diminished EBV-specific
T-cell immunity. To this end, blood samples from 14 healthy donors were collected
at irregular time intervals for a period of about 1 year. Samples were screened
for both EBV plasma viremia and increases in viral load in PBMCs as parameters of
EBV reactivation. PBMCs were subject to IFN-gamma ELISPOT analysis using the
autologous EBV-transformed lymphoblastoid cell line (EBV-LCL) or appropriate HLA
class I-restricted EBV peptides as stimulators. Frequencies of epitope-specific
CD8(+) T cells were monitored further using HLA tetramers and flow cytometry.
Twelve of 14 donors exhibited signs of asymptomatic EBV reactivation. Viral
reactivation was accompanied by either substantially decreased IFN-gamma
responses against autologous EBV-LCL (eight of 12 study participants) and/or
increased responses against particular EBV peptides (six of 12 donors). In seven
persons with HLA-A2 and/or -B8 alleles numbers of HLA tetramer-positive CD8(+) T
cells also varied over time, but showed no correlation to episodes of detectable
viral activity. In summary, IFN-gamma reactivity of EBV-specific T cells is not
constant. Viral reactivation is detected preferably at times of diminished EBV
LCL-specific cellular immunity. However, increased reactivity of single
immunodominant CD8(+) EBV-specific T-cell clones may occur in response to virus
replication.
PMID- 22095541
TI - Evaluation of mutagenicity and co-mutagenicity of strong static magnetic fields
up to 13 Tesla in Escherichia coli deficient in superoxide dismutase.
AB - PURPOSE: To evaluate the biological effects of static magnetic fields (SMFs) up
to 13 Tesla (T), with respect to superoxide behavior, by determining the effect
on mutagenicity in superoxide dismutase (SOD)-deficient Escherichia coli strain
QC774, and its parental strain GC4468. MATERIALS AND METHODS: Experimental
strains were exposed to a 5, 10, or 13T SMF for 24 h at 37 degrees C in Luria
Bertani medium. To evaluate mutagenicity after SMF exposure, the mutation
frequency in thymine synthesis genes was determined. The effect of exposure to a
5 or 13T SMF on mutagenicity induced by plumbagin was also investigated. RESULTS:
No statistically significant differences in the mutation frequency in thymine
synthesis genes were observed between SMF-exposed cells and unexposed cells at
any of the applied magnetic flux densities. Furthermore, exposure to SMFs up to
13T did not affect mutagenicity induced by plumbagin. CONCLUSION: Exposure to
SMFs up to 13T caused neither mutagenicity nor co-mutagenicity in the SOD
deficient E. coli strain QC774 or in its parental strain GC4468, suggesting that
exposure to strong SMFs does not affect the behavior of superoxides in these
microorganisms.
PMID- 22095542
TI - Age determines longitudinal changes in body composition better than menopausal
and bone status: the OFELY study.
AB - Long-term body composition (BC) changes and their determinants have been rarely
explored. We aimed to evaluate BC changes in French women from the Os des Femmes
de Lyon (OFELY) cohort and to explore several determinants of those changes. At
baseline, premenopausal (PreM) women (n = 145) had lower fat body mass (FM) and
greater lean body mass (LM), relative skeletal muscle mass index (RASM), and
total body bone mineral content (TBBMC) compared with untreated postmenopausal
(PostM) women (n = 412). During a 6-year follow-up, LM and RASM did not change,
whereas a significant increase of FM and a decrease of TBBMC were observed in
PreM (n = 88) and PeriM women (n = 44; women who became PostM during the follow
up). In untreated PostM women, FM increased, whereas LM, RASM, and TBBMC
decreased (p < 0.0001). Age was a significant determinant of the changes in BC.
After controlling for age, menopausal status was still a significant determinant
only for changes in TBBMC. FM, LM, RASM, and TBBMC were higher in women with
normal bone mineral density (BMD) compared with women with osteopenia or
osteoporosis (p < 0.0001), but after adjusting for age, changes of BC were not
significantly different according to the bone status. After controlling for age
and menopausal status, levels of P1NP in the highest quartile were associated
with a greater decrease of LM and RASM compared with lower levels. In conclusion,
BC changes in French women over a 6-year follow-up showed a high interindividual
variability. Aging may be the most important determinant of changes in body
composition, rather than menopausal and bone status.
PMID- 22095543
TI - Bowman-Birk Inhibitor attenuates experimental autoimmune encephalomyelitis by
delaying infiltration of inflammatory cells into the CNS.
AB - Bowman-Birk Inhibitor (BBI), a serine protease inhibitor derived from soybeans,
has anti-inflammatory properties and is able to suppress the development of
central nervous system (CNS) autoimmunity in animal models. Experimental
autoimmune encephalomyelitis (EAE), a widely used animal model of multiple
sclerosis (MS), is characterized by breakdown of the blood-brain barrier and
infiltration of inflammatory cells into the CNS, resulting in pathology. In this
study, we observed that BBI-treated mice showed delayed onset of EAE and reduced
disease severity compared to control mice. BBI-treated mice had fewer
inflammatory cells in the CNS including significantly reduced numbers of Th1 and
Th17 cells. In the periphery, BBI treatment suppressed the development of
encephalitogenic Th1 and Th17 responses early on [day 7 post-immunization
(p.i.)], while after disease onset (day 14 p.i.) BBI-treated mice had stronger Th
responses, as determined by antigen-specific proliferation and cytokine
production. These results demonstrate that BBI treatment temporarily suppressed
the development of encephalitogenic responses, but these responses eventually
attained normal magnitude. Given that BBI-treated mice exhibited stronger
encephalitogenic responses in the periphery during clinically manifesting EAE,
delayed disease onset, and reduced numbers of CNS-infiltrating cells, it appears
likely that BBI impedes the exit of pathogenic Th1 and Th17 cells from lymphoid
organs, thereby delaying their migration into the CNS.
PMID- 22095544
TI - Evolution of immunity: no development without risk.
AB - Signal transduction by cell surface receptors in the context of heterogeneous and
variable cellular environments plays a pivotal role in regulating many biological
processes, including development, activation, and homeostasis of the immune
system. In some receptors, extracellular ligand-binding and intracellular
signaling domains are located on the same protein chain (single-chain receptors),
while in the so-called multichain immune recognition receptors (MIRRs),
recognition and signaling functions are separated between different protein
chains. Why did nature separate recognition and signaling functions for MIRRs,
thereby increasing the risk of malfunction and potential attack by pathogens? The
risk is real: in order to escape the immune response, viruses are able to disrupt
functional coupling between recognition and signaling aspects of MIRR machinery.
Intrinsic disorder of intracellular signal-generating regions of MIRRs adds
further intrigue to the story. Why did nature select protein disorder for MIRRs
to translate recognition of distinct antigens into appropriate activation signals
that would induce specific functional outcomes? Here, I suggest that nature takes
the risks associated with intrareceptor separation of functions as well as with
the chaos and indeterminacy of protein disorder in exchange for providing
diversity and variability of signal transduction. Not only does this phenomenon
serve as the molecular basis for the development and evolution of the immune and
other complex biological systems, but it fits closely to Darwinian evolutionary
biology.
PMID- 22095545
TI - Fosfomycin/tobramycin for inhalation in patients with cystic fibrosis with
pseudomonas airway infection.
AB - RATIONALE: Fosfomycin/tobramycin for inhalation (FTI), a unique, broad-spectrum
antibiotic combination, may have therapeutic potential for patients with cystic
fibrosis (CF). OBJECTIVES: To evaluate safety and efficacy of FTI (160/40 mg or
80/20 mg), administered twice daily for 28 days versus placebo, in patients
greater than or equal to 18 years of age, with CF, chronic Pseudomonas aeruginosa
(PA) airway infection, and FEV(1) greater than or equal to 25% and less than or
equal to 75% predicted. METHODS: This double-blind, placebo-controlled,
multicenter study assessed whether FTI/placebo maintained FEV(1) % predicted
improvements achieved following a 28-day, open-label, run-in course of aztreonam
for inhalation solution (AZLI). MEASUREMENTS AND MAIN RESULTS: A total of 119
patients were randomized to FTI (160/40 mg: n = 41; 80/20 mg: n = 38) or placebo
(n = 40). Mean age was 32 years and mean FEV(1) was 49% predicted at screening.
Relative improvements in FEV(1) % predicted achieved by the AZLI run-in were
maintained in FTI groups compared with placebo (160/40 mg vs. placebo: 6.2%
treatment difference favoring FTI, P = 0.002 [primary endpoint]; 80/20 mg vs.
placebo: 7.5% treatment difference favoring FTI, P < 0.001). The treatment effect
on mean PA sputum density was statistically significant for the FTI 80/20 mg
group versus placebo (-1.04 log(10) PA colony-forming units/g sputum difference,
favoring FTI; P = 0.01). Adverse events, primarily cough, were consistent with CF
disease. Respiratory events, including dyspnea and wheezing, were less common
with FTI 80/20 mg than FTI 160/40 mg. No clinically significant differences
between groups were reported for laboratory values. CONCLUSIONS: FTI maintained
the substantial improvements in FEV(1) % predicted achieved during the AZLI run
in and was well tolerated. FTI is a promising antipseudomonal therapy for
patients with CF.
PMID- 22095546
TI - Regulation of transforming growth factor-beta1-driven lung fibrosis by galectin
3.
AB - RATIONALE: Idiopathic pulmonary fibrosis (IPF) is a chronic dysregulated response
to alveolar epithelial injury with differentiation of epithelial cells and
fibroblasts into matrix-secreting myofibroblasts resulting in lung scaring. The
prognosis is poor and there are no effective therapies or reliable biomarkers.
Galectin-3 is a beta-galactoside binding lectin that is highly expressed in
fibrotic tissue of diverse etiologies. OBJECTIVES: To examine the role of
galectin-3 in pulmonary fibrosis. METHODS: We used genetic deletion and
pharmacologic inhibition in well-characterized murine models of lung fibrosis.
Further mechanistic studies were performed in vitro and on samples from patients
with IPF. MEASUREMENTS AND MAIN RESULTS: Transforming growth factor (TGF)-beta
and bleomycin-induced lung fibrosis was dramatically reduced in mice deficient in
galectin-3, manifest by reduced TGF-beta1-induced EMT and myofibroblast
activation and collagen production. Galectin-3 reduced phosphorylation and
nuclear translocation of beta-catenin but had no effect on Smad2/3
phosphorylation. A novel inhibitor of galectin-3, TD139, blocked TGF-beta-induced
beta-catenin activation in vitro and in vivo and attenuated the late-stage
progression of lung fibrosis after bleomycin. There was increased expression of
galectin-3 in the bronchoalveolar lavage fluid and serum from patients with
stable IPF compared with nonspecific interstitial pneumonitis and controls, which
rose sharply during an acute exacerbation suggesting that galectin-3 may be a
marker of active fibrosis in IPF and that strategies that block galectin-3 may be
effective in treating acute fibrotic exacerbations of IPF. CONCLUSIONS: This
study identifies galectin-3 as an important regulator of lung fibrosis and
provides a proof of principle for galectin-3 inhibition as a potential novel
therapeutic strategy for IPF.
PMID- 22095548
TI - The Parker B. Francis Fellowship Program: analysis of 31 years of career
development support.
AB - RATIONALE: The Parker B. Francis (PBF) Fellowship Program has supported more than
750 M.D., M.D./Ph.D., and Ph.D. fellows since 1976, but there is little
information about the effectiveness of the program in fostering successful
careers and producing important research. OBJECTIVES: To survey all past PBF
Fellows to obtain information about their productivity and career pathways.
METHODS: We obtained e-mail addresses for 526 (74%) of the 712 PBF awardees from
1976 to 2006, then sent an e-mail survey to the 526 past fellows and received 365
replies (69% response rate, 49% overall). Survey questions addressed time in
research, areas of research, current position and responsibilities, and research
funding. MEASUREMENTS AND MAIN RESULTS: Seventy percent of the 365 respondents
spend 25% or greater effort in research and 56% report 50% or more effort in
research. Respondents have published an average of 2.7 peer-reviewed publications
per year, totaling more than 15,678 peer-reviewed publications, of which 1,875
appeared in high-impact journals. Respondents have received more than $1.8
billion in direct research funding since their PBF Fellowships began. Ph.D.
awardees spend more time in research than M.D. awardees, and current research
effort did not differ by gender. PBF awardees have become prominent leaders in
universities, the National Institutes of Health, health care, and industry.
CONCLUSIONS: The PBF Program has been highly successful in producing a large
number of scientific and clinical leaders in pulmonary and critical care
medicine. The results provide comprehensive data about the success of this career
development program and provide a model for programs designed to build the
workforce in pulmonary and critical care medicine.
PMID- 22095547
TI - Severe asthma: lessons learned from the National Heart, Lung, and Blood Institute
Severe Asthma Research Program.
AB - The National Heart, Lung, and Blood Institute Severe Asthma Research Program
(SARP) has characterized over the past 10 years 1,644 patients with asthma,
including 583 individuals with severe asthma. SARP collaboration has led to a
rapid recruitment of subjects and efficient sharing of samples among
participating sites to conduct independent mechanistic investigations of severe
asthma. Enrolled SARP subjects underwent detailed clinical, physiologic, genomic,
and radiological evaluations. In addition, SARP investigators developed safe
procedures for bronchoscopy in participants with asthma, including those with
severe disease. SARP studies revealed that severe asthma is a heterogeneous
disease with varying molecular, biochemical, and cellular inflammatory features
and unique structure-function abnormalities. Priorities for future studies
include recruitment of a larger number of subjects with severe asthma, including
children, to allow further characterization of anatomic, physiologic,
biochemical, and genetic factors related to severe disease in a longitudinal
assessment to identify factors that modulate the natural history of severe asthma
and provide mechanistic rationale for management strategies.
PMID- 22095550
TI - Long-term subjective results of tension-free vaginal tape operation for female
urinary stress incontinence.
AB - INTRODUCTION AND HYPOTHESIS: The aim of the study was to evaluate the subjective
outcome between 1 and 5 years after tension-free vaginal tape (TVT) operation and
the need for follow-up. METHODS: A prospective questionnaire study was performed
including questions about incontinence, urinary tract infection, emptying
problems, the wish for a clinical control and the International Consultation on
Incontinence Questionnaire-Short Form (ICIQ-SF). RESULTS: One hundred seventy
three patients were included. There were more patients with subjective recurrent
stress incontinence over the years, but ICIQ-SF was unchanged. There was no rise
in patients reporting urge incontinence over the years. Only 11.4% of the
patients wished for a clinical control at some time. CONCLUSION: The TVT
operation showed a slight degree of subjective deterioration between 1 and 5
years after the operation; however, the ICIQ-SF was unchanged. There seems to be
no need for long-term follow-up at the operating department.
PMID- 22095549
TI - Metabolic syndrome biomarkers predict lung function impairment: a nested case
control study.
AB - RATIONALE: Cross-sectional studies demonstrate an association between metabolic
syndrome and impaired lung function. OBJECTIVES: To define if metabolic syndrome
biomarkers are risk factors for loss of lung function after irritant exposure.
METHODS: A nested case-control study of Fire Department of New York personnel
with normal pre-September 11th FEV(1) and who presented for subspecialty
pulmonary evaluation before March 10, 2008. We correlated metabolic syndrome
biomarkers obtained within 6 months of World Trade Center dust exposure with
subsequent FEV(1). FEV(1) at subspecialty pulmonary evaluation within 6.5 years
defined disease status; cases had FEV(1) less than lower limit of normal, whereas
control subjects had FEV(1) greater than or equal to lower limit of normal.
MEASUREMENTS AND MAIN RESULTS: Clinical data and serum sampled at the first
monitoring examination within 6 months of September 11, 2001, assessed body mass
index, heart rate, serum glucose, triglycerides and high-density lipoprotein
(HDL), leptin, pancreatic polypeptide, and amylin. Cases and control subjects had
significant differences in HDL less than 40 mg/dl with triglycerides greater than
or equal to 150 mg/dl, heart rate greater than or equal to 66 bpm, and leptin
greater than or equal to 10,300 pg/ml. Each increased the odds of abnormal FEV(1)
at pulmonary evaluation by more than twofold, whereas amylin greater than or
equal to 116 pg/ml decreased the odds by 84%, in a multibiomarker model adjusting
for age, race, body mass index, and World Trade Center arrival time. This model
had a sensitivity of 41%, a specificity of 86%, and a receiver operating
characteristic area under the curve of 0.77. CONCLUSIONS: Abnormal triglycerides
and HDL and elevated heart rate and leptin are independent risk factors of
greater susceptibility to lung function impairment after September 11, 2001,
whereas elevated amylin is protective. Metabolic biomarkers are predictors of
lung disease, and may be useful for assessing risk of impaired lung function in
response to particulate inhalation.
PMID- 22095551
TI - Predicting anal sphincter defects: the value of clinical examination and
manometry.
AB - INTRODUCTION AND HYPOTHESIS: The aims of this study were, firstly, to determine
the diagnostic accuracy of an anal incontinence score, clinical examination and
anal manometry in identifying anal sphincter defects and, secondly, to establish
manometric cut-off values associated with sphincter defects. METHODS: One hundred
fifty-nine women were evaluated by clinical examination, anal manometry and
endoanal ultrasound (EAU). Accuracy measures were calculated, using EAU as the
gold standard. RESULTS: Perineal body length (p = 0.84) and pelvic floor muscle
strength (p = 0.10) were not associated with anal sphincter defects. Anal
inspection was associated with anal sphincter defects (p < 0.001), although its
sensitivity was low at 26%. The sensitivity of digital rectal examination was 67%
and the specificity 55%. Cut-off values of manometric findings were set to
maximise sensitivity at 30 mm anal length, 54 mm Hg maximum resting pressure, 95
mm Hg maximum squeeze pressure and 53 mm Hg squeeze increment. CONCLUSIONS:
Clinical assessment has a poor sensitivity for detecting anal sphincter defects.
The proposed manometric cut-off values can be used to either reassure or identify
women who may need further assessment by EAU.
PMID- 22095552
TI - TLC bioautographic method for detecting lipase inhibitors.
AB - INTRODUCTION: Bioautographic assays using TLC play an important role in the
search for active compounds from plants. A TLC bioautographic assay has
previously been established for the detection of acetylcholinesterase inhibitors
but not for lipases. OBJECTIVE: Development of a TLC bioautographic method for
detecting lipase inhibitors in plant extracts. METHODOLOGY: After migration of
the plant extracts, the TLC plate was sprayed with alpha-naphtyl acetate and
enzyme solutions before incubation at 37 degrees C for 20 min. Finally, the
solution of Fast Blue B salt was sprayed onto the TLC plate giving a purple
background colouration. RESULTS: Lipase inhibitors were visualised as white spots
on the TLC plates. Orlistat (a known lipase inhibitor) inhibited lipase down to
0.01 ug. Methanolic extracts of Camellia sinensis (L.) kuntz and Rosmarinus
officinalis L after migration on TLC gave enzymatic inhibition when applied in
amounts of 82 and 56 ug, respectively. On the other hand the methanolic extract
of Morus alba leaves did not exhibit any lipase inhibitory activity. CONCLUSION:
The screening test was able to detect lipase inhibition by pure reference
substances and by compounds present in complex matrices, such as plant extracts.
PMID- 22095553
TI - RhoC and guanine nucleotide exchange factor Net1 in androgen-unresponsive mouse
mammary carcinoma SC-4 cells and human prostate cancer after short-term endocrine
therapy.
AB - BACKGROUND: Endocrine resistance is a critical issue in managing patients with
prostate cancer. This study is undertaken to search for a potential molecular
target connected with this process using a model system of androgen-dependent and
androgen-unresponsive SC-3 and SC-4 cells. METHODS: Expression profiles, actin
stress fiber organization, and the levels of activated Rho GTPases were compared
between SC-4 and SC-3 cells using an oligonucleotide microarray, phalloidin
staining, and a Rho activation assay. The cell viability was analyzed with a Rho
inhibitor or by stable transfection with either a dominant-negative (DN) form of
RhoC or a mutant form of NET1 (mutNET1). The expressions of RhoC, NET1, and
epithelial-mesenchymal transition (EMT) markers were immunohistochemically
analyzed in human prostate cancer specimens after short-term endocrine therapy
and in an untreated condition. RESULTS: SC-4 cells exhibited mesenchymal
phenotypes with activation of Rho signals. Treatment with a Rho inhibitor
suppressed the cell viability in SC-4 cells, but not in SC-3 cells. The cell
viability of SC-4 cells stably expressing DN-RhoC and mutNET1 was also
attenuated. In the immunohistochemical analysis, NET1 and the EMT marker of N
cadherin were expressed at higher levels in prostate cancers after short-term
endocrine therapy than in untreated tumors, and RhoC expression was maintained
after short-term endocrine therapy. CONCLUSIONS: Rho signaling is involved in the
cell survival of SC-4 cells. The higher expressions of RhoC and NET1 in human
prostate cancers after short-term endocrine therapy suggest that RhoC and NET1
may become therapeutic targets during endocrine therapy.
PMID- 22095554
TI - Development of thermostable Candida antarctica lipase B through novel in silico
design of disulfide bridge.
AB - Lipase B from Candida antarctica (CalB) is a versatile biocatalyst for various
bioconversions. In this study, the thermostability of CalB was improved through
the introduction of a new disulfide bridge. Analysis of the B-factors of residue
pairs in CalB wild type (CalB-WT) followed by simple flexibility analysis of
residues in CalB-WT and its designated mutants using FIRST server were newly
proposed to enhance the selective power of two computational tools (MODIP and DbD
v1.20) to predict the possible disulfide bonds in proteins for the enhancement of
thermostability. Five residue pairs (A162-K308, N169-F304, Q156(-) L163, S50
A273, and S239C-D252C) were chosen and the respective amino acid residues were
mutated to cysteine. In the results, CalB A162C-K308C showed greatly improved
thermostability while maintaining its catalytic efficiency compared to that of
CalB-WT. Remarkably, the temperature at which 50% of its activity remained after
60-min incubation (T6050) of CalB A162C_K308C was increased by 8.5 degrees C
compared to that of CalB-WT (55 and 46.5 degrees C, respectively). Additionally,
the half-life at 50 degrees C of CalB A162C-K308C was 4.5-fold higher than that
of CalB-WT (220 and 49 min, respectively). The improvement of thermostability of
CalB A162C-K308C was elucidated at the molecular level by molecular dynamics (MD)
simulation.
PMID- 22095555
TI - Rosmarinic acid and baicalin epigenetically derepress peroxisomal proliferator
activated receptor gamma in hepatic stellate cells for their antifibrotic effect.
AB - Hepatic stellate cells (HSCs) undergo myofibroblastic transdifferentiation
(activation) to participate in liver fibrosis and identification of molecular
targets for this cell fate regulation is essential for development of efficacious
therapeutic modalities for the disease. Peroxisomal proliferator-activated
receptor gamma (PPARgamma) is required for differentiation of HSCs and its
epigenetic repression underlies HSC activation. The herbal prescription Yang-Gan
Wan (YGW) prevents liver fibrosis, but its active ingredients and molecular
mechanisms are unknown. Here we demonstrate YGW prevents and reverses HSC
activation by way of epigenetic derepression of Ppargamma involving reductions in
MeCP2 expression and its recruitment to Ppargamma promoter, suppressed expression
of PRC2 methyltransferase EZH2, and consequent reduction of H2K27di-methylation
at the 3' exon. High-performance liquid chromatography / mass spectrometry
(HPLC/MS) and nuclear magnetic resonance (NMR) analyses identify polyphenolic
rosmarinic acid (RA) and baicalin (BC) as active phytocompounds. RA and BC
suppress the expression and signaling by canonical Wnts, which are implicated in
the aforementioned Ppargamma epigenetic repression. RA treatment in mice with
existing cholestatic liver fibrosis inhibits HSC activation and progression of
liver fibrosis. CONCLUSION: These results demonstrate a therapeutic potential of
YGW and its active component RA and BC for liver fibrosis by way of Ppargamma
derepression mediated by suppression of canonical Wnt signaling in HSCs.
PMID- 22095557
TI - One-dimensional gratings evolving through high-temperature annealing: sine
generated solutions.
AB - Sine-generated curves (i.e. curves in which the curvature is a sine function of
the arc-length parameter) have been used in the past to describe river meanders.
Here we show how these curves spontaneously appear during the decay of high
aspect-ratio surfaces mediated by surface diffusion. We obtained analytical
results for the kinetic evolution of such processes relevant to a wide class of
initial geometries. Our theoretical results were satisfactorily compared with
numerical simulations and with results from previous approaches to the same
problem, and they can be useful for interpreting and designing experiments
related to the technologically important process of high-temperature annealing on
nano/micro-structured samples.
PMID- 22095556
TI - Spectroscopic elucidation of a new heme/copper dioxygen structure type:
implications for O...O bond rupture in cytochrome c oxidase.
PMID- 22095558
TI - Bilateral neck metastases in upper aero-digestive tract cancer: emphasis on the
distribution of lymphatic metastases and prognostic implications.
AB - BACKGROUND: Bilateral neck metastases (BNM) in patients with upper aero-digestive
tract cancer (UADTC) indicate a poor prognosis. However, the prognostic
significance of involved neck node levels has not been determined clearly. In
this study, the distribution of neck nodal metastasis and its impact on prognosis
were investigated. METHODS: Eighty-two previously untreated UADTC patients with
BNM from 2000 to 2007 were included in these analyses. The pathology was mainly
squamous cell carcinomas, including nasopharynx undifferentiated carcinoma and
excluding salivary and thyroid carcinomas. The distribution and pattern of neck
metastases and their prognostic significance were assessed, along with other
clinical variables. RESULTS: BNM confined to the upper neck level (I-III) showed
a lower rate of distant metastasis compared to BNM beyond I-III levels (13.6% vs.
47.4%, P = 0.001). There was a significant reduction in survival among patients
with bilateral lower neck (IV-V) metastases on multivariate analysis (HR: 5.95,
95%CI: 1.51-23.43). However, multi-level involvement itself did not correlate
with survival. Subgroup analysis (according to nasopharynx and non-nasopharynx
cancer) also confirmed the strong trends of lower neck nodal involvement for
poorer survival in both groups. CONCLUSION: BNM at lower neck nodes can be a
significant prognostic factor for early systemic dissemination and worse
prognosis in UADTC patients.
PMID- 22095559
TI - Analysis of the effects of HIV-1 Tat on the survival and differentiation of
vessel wall-derived mesenchymal stem cells.
AB - HIV infection is an independent risk factor for atherosclerosis development and
cardiovascular damage. As vessel wall mesenchymal stem cells (MSCs) are involved
in the regulation of vessel structure homeostasis, we investigated the role of
Tat, a key factor in HIV replication and pathogenesis, in MSC survival and
differentiation. The survival of subconfluent MSCs was impaired when Tat was
added at high concentrations (200-1,000 ng/ml), whereas lower Tat concentrations
(1-100 ng/ml) did not promote apoptosis. Tat enhanced the differentiation of MSC
toward adipogenesis by the transcription and activity upregulation of PPARgamma.
This Tat-related modulation of adipogenesis was tackled by treatment with
antagonists of Tat-specific receptors such as SU5416 and RGD Fc. In contrast, Tat
inhibited the differentiation of MSCs to endothelial cells by downregulating the
expression of VEGF-induced endothelial markers such as Flt-1, KDR, and vWF. The
treatment of MSCs with Tat-derived peptides corresponding to the cysteine-rich,
basic, and RGD domains indicated that these Tat regions are involved in the
inhibition of endothelial marker expression. The Tat-related impairment of MSC
survival and differentiation might play an important role in vessel damage and
formation of the atherosclerotic lesions observed in HIV-infected patients.
PMID- 22095560
TI - Selective adsorption of proteins on single-wall carbon nanotubes by using a
protective surfactant.
AB - The dispersion of highly hydrophobic carbon materials such as carbon nanotubes in
biological media is a challenging issue. Indeed, the nonspecific adsorption of
proteins occurs readily when the nanotubes are introduced in biological media;
therefore, a methodology to control adsorption is in high demand. To address this
issue, we developed a bifunctional linker derived from pyrene that selectively
enables or prevents the adsorption of proteins on single-wall carbon nanotubes
(SWNTs). We demonstrated that it is possible to decrease or completely suppress
the adsorption of proteins on the nanotube sidewall by using proper
functionalization (either covalent or noncovalent). By subsequently activating
the functional groups on the nanotube derivatives, protein adsorption can be
recovered and, therefore, controlled. Our approach is simple, straightforward,
and potentially suitable for other biomolecules that contain thio or amino groups
available for coupling.
PMID- 22095561
TI - Myriophyllum aquaticum versus Lemna minor: sensitivity and recovery potential
after exposure to atrazine.
AB - The relative sensitivity and recovery potential of two aquatic macrophyte
species, Lemna minor and Myriophyllum aquaticum, exposed to atrazine
(concentration ranges 80-1,280 ug/L and 40-640 ug/L, respectively) were evaluated
using slightly adapted standard protocol for Lemna spp.: relative growth rates
(RGR) and yield of both plants were measured in 3-d-long intervals during the
exposure and recovery phase. Myriophyllum aquaticum was also exposed to atrazine
spiked sediment (0.1-3.7 ug/g) in a water-free system. The results of M.
aquaticum sediment contact tests showed that root- and shoot-based growth
parameters are equally sensitive endpoints. In the water (sediment-free) test
system, L. minor recovered after short (3 d) and longer exposure (7 d) to all
atrazine concentrations after only a 5- to 6-d-long recovery phase. The recovery
of M. aquaticum after short exposure was slower and less efficient: after 12 d of
recovery phase the final biomass of plants exposed to 380 and 640 ug/L was below
the initial values. The last interval RGR provides a good indication of plant
recovery potential regardless of species growth strategy. If compared to L.
minor, the difference in growth rate, sensitivity, lag phase, recovery potential
from water-column substances, and also suitability for studies investigating the
effect of sediment-bound pollutants advocates the use of M. aquaticum as an
additional macrophyte species in risk assessment.
PMID- 22095562
TI - LiNi(0.5)Mn(1.5)O4 hollow structures as high-performance cathodes for lithium-ion
batteries.
PMID- 22095563
TI - Super folds, networks, and barriers.
AB - Exhaustive enumeration of sequences and folds is conducted for a simple lattice
model of conformations, sequences, and energies. Examination of all foldable
sequences and their nearest connected neighbors (sequences that differ by no more
than a point mutation) illustrates the following: (i) There exist unusually large
number of sequences that fold into a few structures (super-folds). The same
observation was made experimentally and computationally using stochastic sampling
and exhaustive enumeration of related models. (ii) There exist only a few large
networks of connected sequences that are not restricted to one fold. These
networks cover a significant fraction of fold spaces (super-networks). (iii)
There exist barriers in sequence space that prevent foldable sequences of the
same structure to "connect" through a series of single point mutations (super
barrier), even in the presence of the sequence connection between folds. While
there is ample experimental evidence for the existence of super-folds, evidence
for a super-network is just starting to emerge. The prediction of a sequence
barrier is an intriguing characteristic of sequence space, suggesting that the
overall sequence space may be disconnected. The implications and limitations of
these observations for evolution of protein structures are discussed.
PMID- 22095564
TI - Pathogenic orphan transduction created by a nonreference LINE-1 retrotransposon.
AB - Long INterspersed Element-1 (LINE-1) retrotransposons comprise 17% of the human
genome, and move by a potentially mutagenic "copy and paste" mechanism via an RNA
intermediate. Recently, the retrotransposition-mediated insertion of a new
transcript was described as a novel cause of genetic disease, Duchenne muscular
dystrophy, in a Japanese male. The inserted sequence was presumed to derive from
a single-copy, noncoding RNA transcribed from chromosome 11q22.3 that
retrotransposed into the dystrophin gene. Here, we demonstrate that a
nonreference full-length LINE-1 is situated in the proband and maternal genome at
chromosome 11q22.3, directly upstream of the sequence, whose copy was inserted
into the dystrophin gene. This LINE-1 is highly active in a cell culture assay.
LINE-1 insertions are often associated with 3' transduction of adjacent genomic
sequences. Thus, the likely explanation for the mutagenic insertion is a LINE-1
mediated 3' transduction with severe 5' truncation. This is the first example of
LINE-1-induced human disease caused by an "orphan" 3' transduction.
PMID- 22095565
TI - Person-to-person transmission of severe fever with thrombocytopenia syndrome
bunyavirus through blood contact.
AB - Severe fever with thrombocytopenia syndrome bunyavirus is a newly discovered
bunyavirus with high pathogenicity to human. The transmission model has been
largely uncharacterized. Investigation on a cluster of severe fever with
thrombocytopenia syndrome cases provided evidence of person-to-person
transmission through blood contact to the index patient with high serum virus
load.
PMID- 22095566
TI - Bronchiectasis is associated with human T-lymphotropic virus 1 infection in an
Indigenous Australian population.
AB - BACKGROUND: Recent studies suggest that infection with human T-lymphotropic virus
1 (HTLV-1) might be associated with bronchiectasis among Indigenous Australians.
The present study compared the clinical characteristics and outcomes of
bronchiectasis in this population, according to HTLV-1 serologic status. METHODS:
We performed a retrospective cohort study of Indigenous adults with
bronchiectasis and known HTLV-1 serologic status admitted to Alice Springs
Hospital, central Australia, from January 2000 through December 2006. RESULTS:
Among 89 Indigenous adults whose HTLV-1 serologic status was confirmed, 52
(58.4%) were HTLV-1 seropositive. Differences between HTLV-1-seropositive and
HTLV-1-seronegative groups were apparent in childhood presentations and adult
outcomes. Among adults, an increasing number of bronchiectatic lobes (univariable
odds ratio [OR], 1.51; 95% confidence interval [CI]; 1.03-2.20; P = .033) and the
presence of ground-glass opacities at chest high-resolution computed tomography
(univariable OR, 8.54; 95% CI, 1.04-70.03; P = .046) predicted HTLV-1 infection.
Cor pumonale (HTLV-1-positive group, 10/52; HTLV-1-negative group, 1/37; P =
.023) was more frequent among HTLV-1-seropositive adults, who also experienced a
higher disease-specific mortality (univariable OR, 5.78; 95% CI, 1.17-26.75; P =
.028). Only HTLV-1-seropositive patients were admitted specifically for the
treatment of infected skin lesions, and this finding predicted death
(multivariable OR, 6.77; 95% CI, 1.46-31.34; P = .014). Overall mortality was
high; 34.2% of the cohort died at a median age of 42.5 years. CONCLUSIONS: HTLV-1
infection contributes to the risk of developing bronchiectasis and worsens
outcomes among Indigenous Australians.
PMID- 22095567
TI - Magnitude of potential biases in a simulated case-control study of the
effectiveness of influenza vaccination.
AB - BACKGROUND: Many influenza vaccine effectiveness estimates have been made using
case-control methods. Although several forms of bias may distort estimates of
vaccine effectiveness derived from case-control studies, there have been few
attempts to quantify the magnitude of these biases. METHODS: We estimated the
magnitude of potential biases in influenza vaccine effectiveness values derived
from case-control studies from several factors, including bias from differential
use of diagnostic testing based on influenza vaccine status, imperfect diagnostic
test characteristics, and confounding. A decision tree model was used to simulate
an influenza vaccine effectiveness case-control study in children. Using
probability distributions, we varied the value of factors that influence vaccine
effectiveness estimates, including diagnostic test characteristics, vaccine
coverage, likelihood of receiving a diagnostic test for influenza, likelihood
that a child hospitalized with acute respiratory infection had influenza, and
others. Bias was measured as the difference between the effectiveness observed in
the simulated case-control study and a true underlying effectiveness value.
RESULTS AND CONCLUSIONS: We found an average difference between observed and true
vaccine effectiveness of -11.9%. Observed vaccine effectiveness underestimated
the true effectiveness in 88% of model iterations. Diagnostic test specificity
exhibited the strongest association with observed vaccine effectiveness, followed
by the likelihood of receiving a diagnostic test based on vaccination status and
the likelihood that a child hospitalized with acute respiratory infection had
influenza. Our findings suggest that the potential biases in case-control studies
that we examined tend to result in underestimates of true influenza vaccine
effects.
PMID- 22095568
TI - Paradoxical immune reconstitution inflammatory syndrome in HIV-infected patients
treated with combination antiretroviral therapy after AIDS-defining opportunistic
infection.
AB - BACKGROUND: The incidence of immune reconstitution inflammatory syndrome (IRIS)
when antiretroviral therapy (ART) is initiated after an AIDS-defining
opportunistic infection (OI) is uncertain and understudied for the most common
OIs. METHODS: We examined patients in the University of Washington Human
Immunodeficiency Virus Cohort initiating potent ART subsequent to an AIDS
defining OI. IRIS was determined through retrospective medical record review and
adjudication using a standardized data collection process and clinical case
definition. We compared demographic and clinical characteristics, and immunologic
changes in patients with and without IRIS. RESULTS: Among 196 patients with 260
OIs, 21 (11%; 95% confidence interval, 7%-16%) developed paradoxical IRIS in the
first year on ART. The 3 most common OIs among study patients were Pneumocystis
pneumonia (PCP, 28%), Candida esophagitis (23%), and Kaposi sarcoma (KS, 16%).
Cumulative 1-year incidence of IRIS was 29% (12/41) for KS, 16% (4/25) for
tuberculosis, 14% (1/7) for Cryptococcus, 10% (1/10) for Mycobacterium avium
complex, and 4% (3/72) for PCP. Morbidity and mortality were highest in those
with visceral KS-IRIS compared with other types of IRIS (100% [6/6] vs 7% [1/15],
P < .01). Patients with mucocutaneous KS and tuberculosis-IRIS experienced
greater median increase in CD4(+) cell count during the first 6 months of ART
compared with those without IRIS (+158 vs +53 cells/MUL, P = .04, mucocutaneous
KS; +261 vs +113, P = .04, tuberculosis). CONCLUSIONS: Cumulative incidence and
features of IRIS varied depending on the OI. IRIS occurred in >10% of patients
with KS, tuberculosis, or Cryptococcus. Visceral KS-IRIS led to considerable
morbidity and mortality.
PMID- 22095569
TI - Ribosomal RNA evidence of ocular Chlamydia trachomatis infection following 3
annual mass azithromycin distributions in communities with highly prevalent
trachoma.
AB - Twelve trachoma-hyperendemic communities were treated with 3 annual mass
azithromycin distributions. Children aged 0-9 years were monitored 1 year
following the third treatment. An RNA-based test detected ocular chlamydial
infection in more children than did a DNA-based test (6.9% vs 4.2%), and in a
larger number of communities (8 vs 7).
PMID- 22095570
TI - Adverse outcome analyses of observational data: assessing cardiovascular risk in
HIV disease.
AB - Clinical decisions are ideally based on randomized trials but must often rely on
observational data analyses, which are less straightforward and more influenced
by methodology. The authors, from a series of expert roundtables convened by the
Forum for Collaborative HIV Research on the use of observational studies to
assess cardiovascular disease risk in human immunodeficiency virus infection,
recommend that clinicians who review or interpret epidemiological publications
consider 7 key statistical issues: (1) clear explanation of confounding and
adjustment; (2) handling and impact of missing data; (3) consistency and clinical
relevance of outcome measurements and covariate risk factors; (4) multivariate
modeling techniques including time-dependent variables; (5) how multiple testing
is addressed; (6) distinction between statistical and clinical significance; and
(7) need for confirmation from independent databases. Recommendations to permit
better understanding of potential methodological limitations include both
responsible public access to de-identified source data, where permitted, and
exploration of novel statistical methods.
PMID- 22095571
TI - Performance of health literacy tests among older adults with diabetes.
AB - BACKGROUND: Knowing a patient's health literacy can help clinicians and
researchers anticipate a patient's ability to understand complex health regimens
and deliver better patient-centered instructions and information. Poor health
literacy has been linked with lower ability to function adequately in health care
systems. OBJECTIVE: We evaluated and compared three measures of health literacy
and performance among older patients with diabetes. DESIGN: Cross-sectional study
utilizing in-person interviews conducted in participants' homes. PARTICIPANTS: A
tri-ethnic sample (n = 563) of African American, American Indian, and white older
adults with diabetes from eight counties in south-central North Carolina. MAIN
MEASURE: Participants completed interviews and health literacy assessments using
the Short-Form Test of Functional Health Literacy in Adults (S-TOFHLA), the Rapid
Estimates of Adult Literacy in Medicine Short-Form (REALM-SF), or the Newest
Vital Signs (NVS). Scores for reading comprehension and numeracy were calculated.
RESULTS: Over 90% completed the S-TOFHLA numeracy and approximately 85% completed
the S-TOFHLA reading and REALM-SF. Only 73% completed the NVS. The correlation of
S-TOFHLA total scores with REALM-SF and NVS were 0.48 and 0.54, respectively.
Age, gender, ethnic, educational and income differences in health literacy
emerged for several instruments, but the pattern of results across the
instruments was highly variable. CONCLUSIONS: A large segment of older adults is
unable to complete short-form assessments of health literacy. Among those who
were able to complete assessments, the REALM-SF and NVS performed comparably, but
their relatively low convergence with the S-TOFHLA raises questions about
instrument selection when studying health literacy of older adults.
PMID- 22095572
TI - Impact of comorbidity on mortality among older persons with advanced heart
failure.
AB - BACKGROUND: Care for patients with advanced heart failure (HF) has traditionally
focused on managing HF alone; however, little is known about the prevalence and
contribution of comorbidity to mortality among this population. We compared the
impact of comorbidity on mortality in older adults with HF with high mortality
risk and those with lower mortality risk, as defined by presence or absence of a
prior hospitalization for HF, respectively. METHODS: This was a retrospective
cohort study (2002-2006) of 18,322 age-matched and gender-matched Medicare
beneficiaries. We used the baseline year of 2002 to ascertain HF hospitalization
history, in order to identify beneficiaries at either high or low risk of future
HF mortality. We calculated the prevalence of 19 comorbidities and overall
comorbidity burden, defined as a count of conditions, among both high and low
risk beneficiaries, in 2002. Proportional hazards regressions were used to
determine the effect of individual comorbidity and comorbidity burden on
mortality between 2002 and 2006 among both groups. RESULTS: Most comorbidities
were significantly more prevalent among hospitalized versus non-hospitalized
beneficiaries; myocardial infarction, atrial fibrillation, kidney disease (CKD),
chronic obstructive pulmonary disease (COPD), and hip fracture were more than
twice as prevalent in the hospitalized group. Among hospitalized beneficiaries,
myocardial infarction, diabetes, COPD, CKD, dementia, depression, hip fracture,
stroke, colorectal cancer and lung cancer were each significantly associated with
increased hazard of dying (hazard ratios [HRs]: 1.16-1.93), adjusting for age,
gender and race. The mortality risk associated with most comorbidities was higher
among non-hospitalized beneficiaries (HRs: 1.32-3.78). CONCLUSIONS: Comorbidity
confers a significantly increased mortality risk even among older adults with an
overall high mortality risk due to HF. Clinicians who routinely care for this
population should consider the impact of comorbidity on outcomes in their overall
management of HF. Such information may also be useful when considering the risks
and benefits of aggressive, high-intensity life-prolonging interventions.
PMID- 22095574
TI - Overexpression of factor inhibiting HIF-1 enhances vessel maturation and tumor
growth via platelet-derived growth factor-C.
AB - Recent studies have revealed that the maturation state of vessels in tumors, in
addition to vascularity, is a critical determinant of tumor growth. The role of
oxygen-dependent signaling pathways in hypoxia-stimulated angiogenesis is well
established, however, little is known about their impact on vessel maturation in
tumors. Here, we have studied the function of the cellular oxygen sensor, factor
inhibiting HIF-1 (FIH), which controls the activity of hypoxia-inducible factor
1. FIH silencing in mouse LM8 osteosarcoma stimulated angiogenesis but did not
influence tumor growth. In contrast, FIH overexpression led to increased pericyte
coverage of the tumor vasculature, reduced vessel leakiness and enhanced tumor
growth. Vessel maturation was paralleled by up-regulation of platelet-derived
growth factor (PDGF)-C in tumors and expression of PDGF receptor-alpha on
pericytes. Ablation of PDGF-C in FIH-overexpressing tumor cells reduced pericyte
coverage and tumor growth. Our data suggest that FIH-mediated PDGF-C induction in
LM8 osteosarcoma stimulates the recruitment of PDGFR-alpha positive pericytes to
the tumor vasculature, leading to vessel maturation and enhanced tumor growth.
PMID- 22095573
TI - Factors associated with pneumonia outcomes: a nationwide population-based study
over the 1997-2008 period.
AB - BACKGROUND: Pneumonia is the most common infectious cause of death worldwide.
Over the last decade, patient characteristics and health care factors have
changed. However, little information is available regarding systematically and
simultaneously exploring effects of these changes on pneumonia outcomes.
OBJECTIVES: We used nationwide longitudinal population-based data to examine
which patient characteristics and health care factors were associated with
changes in 30-day mortality rates for pneumonia patients. DESIGN: Trend analysis
using multilevel techniques. SETTING: General acute care hospitals throughout
Taiwan. PARTICIPANTS: A total of 788,011 pneumonia admissions. MEASUREMENTS:
Thirty-day mortality rates. Taiwan's National Health Insurance claims data from
1997 to 2008 were used to identify the effects of patient characteristics and
health care factors on 30-day mortality rates. RESULTS: Male, older, or severely
ill patients, patients with more comorbidities, weekend admissions, larger
reimbursement cuts and lower physician volume were associated with increased 30
day mortality rates. Moreover, there were interactions between patient age and
trend on mortality. CONCLUSIONS: Male, older or severely ill patients with
pneumonia have higher 30-day mortality rates. However, mortality gaps between
elderly and young patients narrowed over time; namely, the decline rate of
mortality among elderly patients was faster than that among young patients.
Pneumonia patients admitted on weekends also have higher mortality rates than
those admitted on weekdays. The mortality of pneumonia patients rises under
increased financial strain from cuts in reimbursement such as the Balanced Budget
Act in the United States or global budgeting. Higher physician volume is
associated with lower mortality rates.
PMID- 22095575
TI - Targeting treatment-resistant depression.
AB - Only 50% of depressed patients achieve remission of symptoms after 2 trials of
antidepressants. Therefore one half of patients are considered treatment
resistant. Studies have shown that with each failed antidepressant, chances of
remission continue to decline. Untreated depressive symptoms lead to impaired
social and occupational function, decline of physical health, suicidal thoughts,
and increased health care utilization. Clinicians recognize there is an urgent
need to find an efficacious treatment, but it becomes more difficult to decide on
an appropriate therapy once a patient has failed 2 to 3 trials of
antidepressants. An evidence-based review was performed to assess the efficacy
and safety of several different antidepressant strategies to help the clinician
decide which may be beneficial for specific patients.
PMID- 22095576
TI - Atypical antipsychotic drugs in the treatment of Parkinson's disease.
AB - Parkinson's disease (PD) patients often develop psychotic symptoms that severely
affect quality of life and limit the use of medications to ameliorate motor
symptoms. Psychotic symptoms are a major cause for nursing home placement. While
these symptoms do not always require treatment, they often do but antipsychotic
drugs all share the common pharmacological mechanism of blocking dopamine D2
receptors which may worsen motor problems in this very vulnerable population.
Double blind, placebo controlled trials (DBPCT) have shown that clozapine is
effective at controlling the psychotic symptoms at doses far below those used in
schizophrenia, without worsening motor function, even improving tremor. DBPCT
have demonstrated that olanzapine worsens motor function without improving
psychosis. Quetiapine has been shown in DBPCT to be free of motor side effects in
PD patients but not effective, whereas many open label studies have indicated
that quetiapine is effective. The other atypical have been the subjects of
conflicting open label reports. The effects of the atypicals in PD psychosis is
reviewed.
PMID- 22095578
TI - Pharmacist-managed antimicrobial stewardship program for patients discharged from
the emergency department.
AB - Positive outcomes of antimicrobial stewardship programs in the inpatient setting
are well documented, but the benefits for patients not admitted to the hospital
remain less clear. This report describes a retrospective case-control study of
patients discharged from the emergency department (ED) with subsequent positive
cultures conducted to determine whether integrating antimicrobial stewardship
responsibilities into practice of the emergency medicine clinical pharmacist
(EPh) decreased times to positive culture follow-up, patient or primary care
provider (PCP) notification, and appropriateness of antimicrobial therapy. Pre-
and post-implementation groups of an EPh-managed antimicrobial stewardship
program were compared. Positive cultures were identified in 177 patients, 104 and
73 in pre- and post-implementation groups, respectively. Median time to culture
review in the pre-implementation group was 3 days (range 1-15) and 2 days (range
0-4) in the post-implementation group (P = .0001). There were 74 (71.2%) and 36
(49.3%) positive cultures that required notification in the pre- and post
implementation groups, respectively, and the median time to patient or PCP
notification was 3 days (range 1-9) and 2 days (range 0-4) in the 2 groups (P =
.01). No difference was seen in the appropriateness of therapy. In conclusion,
EPh involvement reduced time to positive culture review and time to patient or
PCP notification when indicated.
PMID- 22095577
TI - Misuse of prescribed stimulant medication for ADHD and associated patterns of
substance use: preliminary analysis among college students.
AB - OBJECTIVES: To explore the prevalence and characteristics associated with college
students who misuse their prescribed stimulants for attention-deficit
hyperactivity disorder (ADHD) and examine diversion and substance use behaviors
as a function of misuse. METHODS: Cohort of 55 past-year prescribed stimulant
users was identified from a random sample (n = 1738) at a large Midwestern
research university following the self-administration of a web-based survey. An
index was created to assess misuse of prescribed stimulants (i.e., Misuse Index).
RESULTS: Of 55 college students who reported past-year use of prescribed
stimulants for ADHD, 22 (40%) endorsed at least one item on the misuse index. The
most frequently endorsed misuse items were used too much (36%), self-reported
misuse (19%), and intentionally used with alcohol or other drugs (19%). Misusers
of prescribed stimulant medication were more likely to report cigarette smoking
(p = 0.022), binge drinking (p = 0.022), illicit use of cocaine (p = 0.032), and
screen positive on the Drug Abuse Screening test (DAST-10) criteria (p = 0.002).
The bivariate odds ratio for the DAST-10 findings was 8.4 (95% CI: 2.0-34.6).
Diversion of prescribed stimulants was common (36%) and occurred more frequently
among stimulant misusers (57%; p = 0.008). CONCLUSION: There is a strong
relationship between misuse of prescribed stimulants for ADHD and substance use
behaviors, as well as other deleterious behaviors such as diversion. These
findings suggest the need for close screening, assessment, and therapeutic
monitoring of medication use in the college population.
PMID- 22095579
TI - Treatments for methamphetamine abuse: a literature review for the clinician.
AB - Methamphetamine (METH) use and dependence is a serious public health concern with
implications across multiple areas from societal impact to burden on psychiatric
and medical resources. An estimated 8% of admissions to substance abuse treatment
programs are related to stimulants with METH/amphetamine abuse. To date,
effective pharmacotherapy options to enhance abstinence have not been identified.
The objective of this article is to critically review the literature of METH
treatment options. Preclinical research and human research with compounds not yet
available commercially in the United States will not be included. A literature
review was conducted for research on pharmacological treatments for METH use and
addiction. Trial information on the use of sertraline, bupropion, mirtazapine,
modafinil, dextroamphetamine, ondansetron, risperidone, aripiprazole, baclofen,
and gabapentin was reviewed. Aripiprazole trials appeared in the reviewed
literature more frequently than the other medications. Based on the findings of
this review, no single medication demonstrated consistent efficacy and each trial
contained a variety of methodological limitations.
PMID- 22095580
TI - Valproic acid in dementia: does an optimal dose exist?
AB - Valproic acid is widely used in the treatment of behavioral disturbances in
patients with dementia; however, there is uncertainty about its dosing and
studies have reported mixed findings. The current article examines published
trials of valproic acid in the treatment of patients with dementia to identify
whether an optimal dosing strategy exists. Secondarily, valproic acid dosing from
published studies is compared with a real-world 5-year sample of valproic acid
prescribing. Twenty studies met selection criteria and were included in the
review. Based primarily on uncontrolled trials and the current retrospective
study, valproic acid serum levels between 40 and 60 mcg/mL and relatively low
doses (ie, 7-12 mg/kg per d) are associated with improvements in agitation in
some patients with dementia. At the same time, similar valproic acid levels
produced no significant behavioral improvements in most placebo-controlled
studies and led to substantial side effects in some patients. Considerable trial
design differences exist between controlled and uncontrolled trials. Overall,
valproic acid appears to have limited efficacy as monotherapy in many patients
with dementia. Its optimal role may be in combination with other psychotropics as
a treatment of agitation associated with dementia.
PMID- 22095581
TI - Low bone mass and high material bone density in two patients with Loeys-Dietz
syndrome caused by transforming growth factor beta receptor 2 mutations.
AB - Loeys-Dietz syndrome (LDS) is a rare autosomal-dominant connective tissue
disorder caused by heterozygous mutations in the genes encoding transforming
growth factor beta receptor 1 or 2 (TGFBR1 or TGFBR2). Although an association
between LDS and osteoporosis has been reported, the skeletal phenotype regarding
bone mass is not well characterized. Here, we report on two LDS patients with
mutations in TGFBR2. Patient 1 was a 24-year-old man who had a total of three
fractures involving the left radius, the left metacarpal, and the right femur. At
the age of 14 years, lumbar spine areal bone mineral density Z-score was -4.0 and
iliac bone histomorphometry showed elevated bone turnover (bone formation rate
per bone surface: 91 um3/um2/year; age-matched control values 37 [10], mean [SD])
and mildly low trabecular bone volume per tissue volume (17.2%; age-matched
control values 25.7 [5.3]). Bone mineralization density distribution (BMDD) in
trabecular bone was increased (Ca(Peak) 22.70 wt% Ca; age-matched control values
21.66 [0.52]). Patient 2, a 17-year-old girl, suffered from diffuse bone pain but
had not sustained fractures. At 14 years of age, her lumbar spine areal bone
mineral density Z-score was -3.4. Iliac bone histomorphometry at that age
confirmed low bone mass (bone volume to tissue volume 10.1%, same control values
as above) and high bone turnover (bone formation rate per bone surface 70
um3/um2/year). BMDD in trabecular bone was significantly shifted toward increased
mineralization (Ca(Peak) 22.36 wt% Ca). Thus, it appears that LDS can be
associated with low bone mass and high bone turnover but increased matrix
mineralization of trabecular bone.
PMID- 22095582
TI - Heuristic linear mapping of physiological parameters in dynamic contrast-enhanced
MRI without T1 measurement and contrast agent concentration.
AB - PURPOSE: To present a novel heuristic linear mapping method to individually
estimate physiological parameters for Tofts model without T(1) measurement and
contrast agent concentration. MATERIALS AND METHODS: A linear relationship was
used for k(ep) mapping through a heuristic time intensity curve (TIC) shape
factor (TSF). K(trans) maps were subsequently estimated using k(ep) maps and
another approximate linear model derived from the Tofts model. Twenty-seven
patients with head-and-neck squamous cell carcinoma received dynamic contrast
enhanced magnetic resonance imaging (DCE-MRI). Physiological parameters maps were
obtained using this heuristic linear mapping method and compared to the maps
obtained by the normal nonlinear least-square fitting with T(1) measurement.
RESULTS: High linearity (R(2) >0.95) between k(ep) and TSF was found in all
patients for k(ep) <5/min. This linearity is robust for TSF timepoint selection.
The k(ep) maps generated by this linear fitting were highly consistent with those
by the normal nonlinear approach (P > 0.05). The K(trans) maps were consistent
with the normally derived maps in pattern distribution but the absolute value
might be scaled due to the assumption of the reference K(trans) value.
CONCLUSION: This novel method generates reliable and consistent physiological
parameter maps with significantly lower computation complexity than the
multiparameter nonlinear fitting. The DCE-MRI scan time can be greatly shortened
without T(1) mapping.
PMID- 22095583
TI - A general and expedient synthesis of 5- and 6-membered cyclic carbonates by
palladium-catalyzed oxidative carbonylation of 1,2- and 1,3-diols.
AB - We present a general, practical, and efficient approach to 5- and 6-membered
organic carbonates by palladium-catalyzed direct oxidative carbonylation of 1,2-
and 1,3-diols, respectively. Reactions were carried out at 100 degrees C in N,N
dimethylacetamide as the solvent under 20 atm (at 25 degrees C; 1 atm=101.3 kPa)
of a 4:1 v/v CO/air mixture in the presence of 0.5-2 mol % of PdI(2) and KI
(KI/PdI(2) molar ratio=10). Excess dehydrating agent, such as trimethyl
orthoacetate, was necessary in several cases to obtain appreciable results. The
method could also be applied to the synthesis of a high-value-added glycerol
carbonate from glycerol, a readily available raw material. When applied to alpha
D-glucose, a double carbonylation process took place, with direct formation of
alpha-D-glucofuranose 1,2:5,6-dicarbonate.
PMID- 22095584
TI - Silica-modified oligonucleotide-gold nanoparticle conjugate enables closed-tube
colorimetric polymerase chain reaction.
AB - A facile silica coating significantly enhances the thermal stability and
polymerase chain reaction (PCR) compatibility of oligonucleotide-gold
nanoparticle conjugates, thus enabling colorimetric detection of PCR results in a
closed-tube format. This method is specific, sensitive, and generally applicable.
Its simplicity, visual readout, and carryover contamination-free features hold
promise for point-of-care or on-site DNA testing.
PMID- 22095585
TI - Simultaneous determination of four sesquiterpenoids in Atractylodes Macrocephala
Rhizoma by GC-FID: optimisation of an ultrasound-assisted extraction by central
composite design.
AB - INTRODUCTION: Atractylodes Macrocephala Rhizoma (AMR) is a traditional Chinese
medicine containing several sesquiterpenoids with a series of effects. These
bioactive compounds may be used as chemical markers for the quality control of
AMR. It is necessary to optimise the extraction method and conditions in order to
improve extraction productivity. OBJECTIVE: To develop a simple and effective
method for the extraction of sesquiterpenoids from AMR and then to simultaneously
determine four sesquiterpenoids, selina-4 (14), 7(11)-dien-8-one (SA),
atractylenolide II (AII), atractylenolide III (AIII) and atractylenolide VII
(AVII), in AMR. METHODOLOGY: Ultrasound-assisted extraction (UAE) was optimised
by central composite design (CCD) to obtain the maximum efficiency. The gas
chromatography method was validated and applied for the quantification of four
sesquiterpenoids. RESULTS: The optimum values of factors were: particle size (120
mesh), extraction time (26 min), extraction temperature (39 degrees C) and 31 mL
of chloroform. The selectivity, linear range, limits of detection (LOD) and
quantification (LOQ), accuracy, precision and repeatability of the method
developed indicated its validity. The application of the method showed that the
contents of four sesquiterpenoids in AMR were rather variable. CONCLUSION: The
results indicated that the described GC method could be used for the quality
control of AMR and its related preparations. Meanwhile, this research revealed
that UAE under optimum conditions could be considered as a powerful tool for the
extraction of phytochemicals from plants.
PMID- 22095586
TI - Cross-talk between endothelial and breast cancer cells regulates reciprocal
expression of angiogenic factors in vitro.
AB - Reciprocal growth factor exchange between endothelial and malignant cells within
the tumor microenvironment may directly stimulate neovascularization; however,
the role of host vasculature in regulating tumor cell activity is not well
understood. While previous studies have examined the angiogenic response of
endothelial cells to tumor-secreted factors, few have explored tumor response to
endothelial cells. Using an in vitro co-culture system, we investigated the
influence of endothelial cells on the angiogenic phenotype of breast cancer
cells. Specifically, VEGF, ANG1, and ANG2 gene and protein expression were
assessed. When co-cultured with microvascular endothelial cells (HMEC-1), breast
cancer cells (MDA-MB-231) significantly increased expression of ANG2 mRNA (20
fold relative to MDA-MB-231 monoculture). Moreover, MDA-MB-231/HMEC-1 co-cultures
produced significantly increased levels of ANG2 (up to 580 pg/ml) and VEGF
protein (up to 38,400 pg/ml) while ANG1 protein expression was decreased relative
to MDA-MB-231 monocultures. Thus, the ratio of ANG1:ANG2 protein, a critical
indicator of neovascularization, shifted in favor of ANG2, a phenomenon known to
correlate with vessel destabilization and sprouting in vivo. This angiogenic
response was not observed in nonmalignant breast epithelial cells (MCF-10A),
where absolute protein levels of MCF-10A/HMEC-1 co-cultures were an order of
magnitude less than that of the MDA-MB-231/HMEC-1 co-cultures. Results were
further verified with a functional angiogenesis assay demonstrating well-defined
microvascular endothelial cell (TIME) tube formation when cultured in media
collected from MDA-MB-231/HMEC-1 co-cultures. This study demonstrates that the
angiogenic activity of malignant mammary epithelial cells is significantly
enhanced by the presence of endothelial cells.
PMID- 22095587
TI - Photoemission and LEED study of the Sn/Rh(111) surface--early oxidation steps and
thermal stability.
AB - We have deposited two monolayers of Sn onto Rh(111) single crystal. After the
deposition, no ordered structure was revealed by low energy electron diffraction
(LEED). We oxidized the obtained system in a low-pressure oxygen atmosphere at
420 K. The oxidized sample was then gradually heated to study the thermal
stability of the oxide layer. We characterized the system by synchrotron
radiation stimulated photoelectron spectroscopy and LEED. Valence band and core
level photoelectron spectra of rhodium, tin and oxygen were used to study the
oxidation of the Sn-Rh(111) surface and its behaviour upon annealing. A low
stoichiometric oxide of Sn was created on the surface. The oxidation process did
not continue towards creation of SnO(2) with higher oxygen dose. The annealing at
970 K caused decomposition of the surface oxide of Sn and creation of an ordered
(?3 * ?3)R30 degrees Sn-Rh(111) surface alloy.
PMID- 22095589
TI - [16]Cloverphene: a clover-shaped cata-condensed nanographene with sixteen fused
benzene rings.
PMID- 22095588
TI - Glucokinase links Kruppel-like factor 6 to the regulation of hepatic insulin
sensitivity in nonalcoholic fatty liver disease.
AB - The polymorphism, KLF6-IVS1-27A, in the Kruppel-like factor 6 (KLF6)
transcription factor gene enhances its splicing into antagonistic isoforms and is
associated with delayed histological progression of nonalcoholic fatty liver
disease (NAFLD). To explore a potential role for KLF6 in the development of
insulin resistance, central to NAFLD pathogenesis, we genotyped KLF6-IVS1-27 in
healthy subjects and assayed fasting plasma glucose (FPG) and insulin
sensitivities. Furthermore, we quantified messenger RNA (mRNA) expression of KLF6
and glucokinase (GCK), as an important mediator of insulin sensitivity, in human
livers and in liver tissues derived from a murine Klf6 knockdown model
(DeltaKlf6). Klf6 overexpression studies in a mouse hepatocyte line were utilized
to mechanistically link KLF6 with Gck promoter activity. KLF6-IVS1-27Gwt (i.e.,
less KLF6 splicing) was associated with stepwise increases in FPG and insulin and
reduced hepatic insulin sensitivity. KLF6 binds to the liver-specific Gck
promoter and activates a GCK promoter-reporter, identifying GCK as a KLF6 direct
transcriptional target. Accordingly, in DeltaKlf6 hepatocytes Gck expression was
reduced and stable transfection of Klf6 led to up-regulation of Gck. GCK and KLF6
mRNAs correlate directly in human NAFLD tissues and immunohistochemistry studies
confirm falling levels of both KLF6 and GCK in fat-laden hepatocytes. In contrast
to full-length KLF6, splice variant KLF6-SV1 increases in NAFLD hepatocytes and
inversely correlates with glucokinase regulatory protein, which negatively
regulates GCK activity. CONCLUSION: KLF6 regulation of GCK contributes to the
development of hepatic insulin resistance. The KLF6-IVS1-27A polymorphism, which
generates more KLF6-SV1, combats this, lowering hepatic insulin resistance and
blood glucose.
PMID- 22095591
TI - Biodegradation of the ultraviolet filter benzophenone-3 under different redox
conditions.
AB - Biodegradation of the ultraviolet (UV) filter benzophenone-3 (BP-3) was
investigated in the laboratory to understand its behavior and fate under oxic and
anoxic (nitrate, sulfate, and Fe [III]-reducing) conditions. Biodegradation
experiments were conducted in microcosms with 10% of activated sludge and
digested sludge under oxic and anoxic conditions, respectively. Benzophenone-3
was well degraded by microorganisms under each redox condition. Under the redox
conditions studied, the biodegradation half-life for BP-3 had the following
order: oxic (10.7 d) > nitrate-reducing (8.7 d) > Fe (III)-reducing (5.1 d) >
sulfate-reducing (4.3 d) >= anoxic unamended (4.2 d). The results suggest that
anaerobic biodegradation is a more favorable attenuation mechanism for BP-3.
Biodegradation of BP-3 produced two products, 4-cresol and 2,4
dihydroxybenzophenone, under oxic and anoxic conditions. Biotransformation of BP
3 to 2,4-dihydroxybenzophenone by way of demethylation of the methoxy substituent
(O-demethylation) occurred in cultures under each redox condition. The further
biotransformation of 2,4-dihydroxybenzophenone to 4-cresol was inhibited under
oxic, nitrate-reducing, and sulfate-reducing conditions.
PMID- 22095592
TI - Tissue engineering of cartilage using a mechanobioreactor exerting simultaneous
mechanical shear and compression to simulate the rolling action of articular
joints.
AB - The effect of dynamic mechanical shear and compression on the synthesis of human
tissue-engineered cartilage was investigated using a mechanobioreactor capable of
simulating the rolling action of articular joints in a mixed fluid environment.
Human chondrocytes seeded into polyglycolic acid (PGA) mesh or PGA-alginate
scaffolds were precultured in shaking T-flasks or recirculation perfusion
bioreactors for 2.5 or 4 weeks prior to mechanical stimulation in the
mechanobioreactor. Constructs were subjected to intermittent unconfined shear and
compressive loading at a frequency of 0.05 Hz using a peak-to-peak compressive
strain amplitude of 2.2% superimposed on a static axial compressive strain of
6.5%. The mechanical treatment was carried out for up to 2.5 weeks using a
loading regime of 10 min duration each day with the direction of the shear forces
reversed after 5 min and release of all loading at the end of the daily treatment
period. Compared with shaking T-flasks and mechanobioreactor control cultures
without loading, mechanical treatment improved the amount and quality of
cartilage produced. On a per cell basis, synthesis of both major structural
components of cartilage, glycosaminoglycan (GAG) and collagen type II, was
enhanced substantially by up to 5.3- and 10-fold, respectively, depending on the
scaffold type and seeding cell density. Levels of collagen type II as a
percentage of total collagen were also increased after mechanical treatment by up
to 3.4-fold in PGA constructs. Mechanical treatment had a less pronounced effect
on the composition of constructs precultured in perfusion bioreactors compared
with perfusion culture controls. This work demonstrates that the quality of
tissue-engineered cartilage can be enhanced significantly by application of
simultaneous dynamic mechanical shear and compression, with the greatest benefits
evident for synthesis of collagen type II.
PMID- 22095593
TI - Supramolecular inclusion complexes of two cyclic zinc bisporphyrins with C60 and
C70: structural, thermodynamic, and photophysical characterization.
AB - The formation of thermodynamically stable inclusion complexes between two cyclic
zinc bisporphyrins, differing in the saturation degree of the hydrocarbon linkers
that connect their porphyrin units, and the fullerenes C(60) and C(70) is
described. Binding and photophysical studies were performed in two solvents of
very different polarity: toluene and dichloromethane. UV/Vis and fluorescence
titration experiments showed pi-pi interactions between the cyclic zinc
bisporphyrins and the fullerenes. Solid-state structures were determined by X-ray
diffraction analysis and gave valuable insight into the different complexation
behaviors of the two macrocyclic systems towards the fullerenes. NMR titrations
were also helpful in understanding the geometry of the complexes in solution.
Upon fullerene complexation, the two macrocyclic bisporphyrins adopt very
distinct conformations. Charge-transfer absorption bands point to ground-state
interactions, and quenching of the porphyrin component luminescence indicates
fast reactivity in the excited states. Energy transfer plus HOMO-HOMO and LUMO
LUMO electron-transfer processes occur within the complexes. Charge-separated
states characterized by a reduced fullerene and an oxidized porphyrin radical,
with lifetimes in the order of several hundred picoseconds, are detected.
PMID- 22095595
TI - Environmental and genetic factors affecting the weaning-estrus interval in sows.
AB - We examined the effects of environmental and genetic factors on the weaning-to
estrus interval (WEI) in sows. In order to perform the analyses of the
environmental factors, 8104 observations of the 1st to the 6th WEI were carried
out, while 6548 observations of the 1st to the 3rd WEI were carried out for the
analyses of genetic factors. The environmental model included as fixed effects,
herd, genetic line, year and season of birth, as well as the covariates, age of
sow at farrowing, litter size at birth and lactation length. Genetic analysis
was performed by repeatability and multitrait models. The mean and coefficient
of variation for WEI were 7.02 days and 100.6%, respectively. The linear effect
of lactation length and the quadratic effect of the age of sow at farrowing
affected the WEI. Herd, year and season of farrowing were significant sources of
variation for WEI, and there was no influence of genetic line or of litter size
at birth. Heritability estimated by the repeatability model was 0.04, while
heritabilities obtained by the multitrait model were 0.07, 0.02 and 0.07 for the
first three WEI, respectively. Estimates of genetic correlations among the
different WEI were of moderate to low magnitude. It was concluded that
environmental factors, such as year and season of farrowing, lactation length,
age of sow at farrowing and herd, should be considered in the model for best
estimation of genetic parameters for this trait. Although with only a small
possible genetic gain, selection can be made based on the first WEI.
PMID- 22095594
TI - The dual role of fragments in fragment-assembly methods for de novo protein
structure prediction.
AB - In fragment-assembly techniques for protein structure prediction, models of
protein structure are assembled from fragments of known protein structures. This
process is typically guided by a knowledge-based energy function and uses a
heuristic optimization method. The fragments play two important roles in this
process: they define the set of structural parameters available, and they also
assume the role of the main variation operators that are used by the optimiser.
Previous analysis has typically focused on the first of these roles. In
particular, the relationship between local amino acid sequence and local protein
structure has been studied by a range of authors. The correlation between the two
has been shown to vary with the window length considered, and the results of
these analyses have informed directly the choice of fragment length in state-of
the-art prediction techniques. Here, we focus on the second role of fragments and
aim to determine the effect of fragment length from an optimization perspective.
We use theoretical analyses to reveal how the size and structure of the search
space changes as a function of insertion length. Furthermore, empirical analyses
are used to explore additional ways in which the size of the fragment insertion
influences the search both in a simulation model and for the fragment-assembly
technique, Rosetta.
PMID- 22095597
TI - Clonal monosomy of chromosome 21 in a case of myelodysplastic syndrome.
AB - This study reports on a cytogenetic finding in a bone marrow examination of a 47
year-old male patient treated in the Hematology and Blood Transfusion Service of
the Hospital de Base in Sao Jose do Rio Preto, Sao Paulo State, Brazil. The only
alteration found at diagnosis of myelodysplastic syndrome (MDS) subtype
refractory anemia with excess blasts (RAEB-2) was clonal monosomy of chromosome
21. The patient evolved to acute myeloid leukemia type M2 and died nine months
after diagnosis. Clonal monosomy of chromosome 21, as the only cytogenetic
abnormality in MDS, has only been reported three times previously. This uncommon
cytogenetic abnormality in MDS has been associated with a poor clinical course,
although more data will be needed to determine if this prognosis is invariable.
PMID- 22095596
TI - Lactic acid bacteria dynamics during spontaneous fermentation of cocoa beans
verified by culture-independent denaturing gradient gel electrophoresis.
AB - Cocoa is naturally fermented in the field before the cocoa seeds are removed for
processing. We assessed the dynamics of lactic acid bacteria during cocoa
fermentation in Bahia, Brazil. During five days of fermentation, temperature and
pH were measured and beans were collected for genomic DNA extraction every 12 h.
The DNA was used as a template for amplification with Lac1-Lac2 and Lac3-Lac2
for denaturing gradient gel electrophoresis analyses. pH values ranged from 3.34
to 4.98, while the temperature varied from 23 degrees to 50 degrees C. Lac1
Lac2 primers permitted detection of 11 operational taxonomic units. Twenty-eight
operational taxonomic units were obtained with the primer pair Lac3-Lac2. It was
observed that there were variations between the numbers of operational taxonomic
units throughout the process, probably because of changes in pH and temperature.
The greatest similarity in amplified samples was obtained with the primers Lac3
Lac2.
PMID- 22095598
TI - A novel polymorphism of the myogenin gene is associated with body measurement
traits in native Chinese breeds.
AB - Using PCR-SSCP and DNA sequencing technology, we examined the association of
single nucleotide polymorphisms (SNPs) in the bovine MyoG gene with body
measurement traits in 779 individuals of six native Chinese cattle breeds,
namely Luxi, Luxi * Simmental crossbred, Nanyang, Xia'nan, Jiaxian red, and
Qinchuan. A novel SNP, T314C, was detected. Allelic frequencies of MyoG-T/C in
the six breeds were 0.8308/0.1692, 0.8774/0.1226, 0.8021/0.1979, 0.8209/0.1791,
0.8630/0.1370, 0.8044/0.1956, respectively. Least squares analysis revealed a
significant (P < 0.05) association of the MyoG SNP with rump length in four
breeds (Luxi, Xia'nan, Jiaxian red, and Qinchuan), with hucklebone width in
three breeds (Luxi * Simmental crossbred, Nanyang and Xia'nan), with waist
height in two breeds (Luxi * Simmental crossbred and Nanyang) and with body
length in the Luxi breed. We conclude that the MyoG SNP has potential as a
genetic marker for economically relevant body measurement traits in native
Chinese cattle breeds.
PMID- 22095599
TI - Assessing molecular and morpho-agronomical diversity and identification of ISSR
markers associated with fruit traits in quince (Cydonia oblonga).
AB - Quince is a deciduous tree known to the countries around the Mediterranean since
antiquity. Nowadays, quince is used as an ornamental plant, and as a rootstock
for pear trees, with its fruit being appreciated mainly for production of jam
and sweets rather than for raw consumption. Quince leaves contain compounds with
antioxidant, antimicrobial and anticancerous properties that have been the focus
of recent research on pharmaceutical and medical uses as well as for food
preservatives. An orchard has been established in Greece, composed of quince
varieties (Cydonia oblonga, N = 49) collected from different sites of the
country (mainly from home gardens), constituting a unique quince gene bank
collection for southeast Europe. We made a phenotypic analysis using 26
morphological plus seven agronomical descriptors coupled with molecular
techniques in order to examine the genetic diversity within the collection.
Principal component analysis using the 33 descriptors identified 10 components
explaining the existence of more than 70% of the total variation. Subsequent
cluster analysis classified most of the previously identified productive
varieties of the quince orchard in the same clade of a dendrogram. Molecular
analysis generated by 13 inter-simple sequence repeat primers amplified 139
bands, including 109 polymorphic bands, indicating a level of polymorphism of
79%; mean gene diversity was calculated to be 0.309. Using stepwise multiple
regression analysis, a number of markers significantly associated with fire
blight susceptibility, yield, mean fruit weight, citric acid content, soluble
solid content, and fruit drop were identified. Hence, data extracted by multiple
regression analysis could be useful in marker-assisted breeding programs,
especially when no previous genetic information is available.
PMID- 22095600
TI - AGPAT6 polymorphism and its association with milk traits of dairy goats.
AB - As one of the eight members in the 1-acylglycerol-3-phosphate-O-acyltransferase
(AGPATs) family, AGPAT6 is a crucial enzyme for the biosynthesis of
glycerolipids and triacylglycerol in eukaryotes, as well as catalyzing the
conversion from lysophosphatidic acid to phosphatidic acid. AGPAT6 can be
considered as a candidate gene for regulating milk composition. DNA sequencing
and PCR-RFLP methods were applied to detect genetic variation in the AGPAT6 gene
in 549 Chinese dairy goats. Four polymorphisms (NC_007328.3:g.152G>C, 8124G>A,
9263C>G, 16436G>A) were detected in 5'UTR, intron 2, exon 4, and 3'UTR,
respectively. For the KpnIota locus, the frequencies of the AGPAT6-G allele were
0.955 and 0.936 for SN (Xinong Sannen) and GZ (Guanzhong) dairy goat breeds,
respectively. In the PCR-RFLP analysis for KpnIota, EcoRII, NcoIota, and BglIota,
the frequencies of the G allele of AGPAT6 were 0.955 and 0.936, 0.694 and 0.819,
0.206 and 0.254, 0.729 and 0.623 for SN and GZ dairy goat breeds, respectively.
The 9263C>G mutation revealed a synonymous genetic code of Thr (threonine).
Associations between the four mutations and milk traits were analyzed in two
dairy goat breeds. At the 9263C>G locus, genotype GG and CG individuals showed
significantly better milk performance than genotype CC individuals (P < 0.05).
Therefore, the G allele is suggested to be a molecular marker for milk
production in dairy goats.
PMID- 22095601
TI - Genomic DNA extraction from medicinal plants available in Malaysia using a
TriOmic(TM) improved extraction kit.
AB - DNA extraction was carried out on 32 medicinal plant samples available in
Malaysia using the TriOmic(TM) extraction kit. Amounts of 0.1 g flowers or
young leaves were ground with liquid nitrogen, lysed at 65 degrees C in RY1(plus)
buffer and followed by RNAse treatment. Then, RY2 buffer was added to the
samples and mixed completely by vortexing before removal of cell debris by
centrifugation. Supernatants were transferred to fresh microcentrifuge tubes and
0.1 volume RY3 buffer was added to each of the transferred supernatant. The
mixtures were applied to spin columns followed by a centrifugation step to
remove buffers and other residues. Washing step was carried out twice by
applying 70% ethanol to the spin columns. Genomic DNA of the samples was
recovered by applying 50 MUL TE buffer to the membrane of each spin column,
followed by a centrifugation step at room temperature. A modification of the
TriOmic(TM) extraction procedure was carried out by adding chloroform:isoamyl
alcohol (24:1) steps in the extraction procedure. The genomic DNA extracted from
most of the 32 samples showed an increase of total yield when chloroform:isoamyl
alcohol (24:1) steps were applied in the TriOmicTM extraction procedure. This
preliminary study is very important for molecular studies of medicinal plants
available in Malaysia since the DNA extraction can be completed in a shorter
period of time (within 1 h) compared to manual extraction, which entails
applying phenol, chloroform and ethanol precipitation, and requires 1-2 days to
complete.
PMID- 22095602
TI - Lack of an association between -308G>A polymorphism of the TNF-alpha gene and
liver cirrhosis risk based on a meta-analysis.
AB - TNF-alpha is a potential proinflammatory cytokine that plays an important role
in the pathogenesis of liver cirrhosis. We investigated a possible association
between TNF-alpha -308G>A polymorphism and liver cirrhosis risk by conducting a
meta-analysis. Publications addressing the association between TNF-alpha -308G>A
and liver cirrhosis risk were selected from the Pubmed and Embase databases.
Data were extracted from the studies by two independent reviewers; odds ratio
(OR) with a 95% confidence interval (CI) was calculated from these data. The
meta-analysis was performed by Review Manager Version 5.0.24 and STATA Version
9.2. Eleven studies were retrieved, reporting a total of 1796 liver cirrhosis
cases and 2113 healthy controls. A meta-analysis of these 11 studies identified
no significant association between TNF-alpha -308G>A polymorphism and liver
cirrhosis risk in all comparisons of G vs A allele; GG vs GA + AA; GG + GA vs
AA; GG vs AA; GG vs GA (OR = 1.14, 95%CI = 0.85-1.55, P = 0.38; OR = 1.24, 95%CI
= 0.87- 1.77, P = 0.24; OR = 0.90, 95%CI = 0.62-1.30, P = 0.57; OR = 1.03, 95%CI
= 0.56-1.89, P = 0.92; OR = 1.30, 95%CI = 0.90-1.88, P = 0.17; respectively). In
conclusion, we found no association between TNF-alpha -308G>A polymorphism and
liver cirrhosis risk, both in Caucasian and Asian populations.
PMID- 22095603
TI - Population structure and demographic inferences concerning the endangered
onychophoran species Epiperipatus acacioi (Onychophora: Peripatidae).
AB - Epiperipatus acacioi (Onychophora: Peripatidae) is an endemic species of the
Atlantic rainforest in southeastern Brazil, with a restricted known
distribution, found only in two nearby areas (Tripui and Itacolomi).
Mitochondrial gene COI sequences of 93 specimens collected across the known
range of E. acacioi were used to assess the extant genetic diversity and
patterns of genetic structure, as well as to infer the demographic history of
this species. We found considerable variability within the populations, even
though there has been recent environmental disturbance in these habitats. The
samples from the two areas where this species is found showed significantly
different COI sequences and constitute two distinct populations [exact test of
sample differentiation (P = 0.0008) and pairwise F(ST) analyses (F(ST) = 0.214,
P < 0.00001)]. However, there was little genetic differentiation among samples
from different sampling sites within populations, suggesting that the potential
for dispersal of E. acacioi greater than would have been expected, based on
their cryptic behavior and reduced vagility. Mismatch analyses and neutrality
tests revealed evidence of recent population expansion processes for both
populations, possibly related to variations in the past distribution of this
species.
PMID- 22095604
TI - Molecular differentiation of species of the genus Zungaro (Siluriformes,
Pimelodidae) from the Amazon and Parana-Paraguay River basins in Brazil.
AB - Fish species of the Zungaro genus (Siluriformes, Pimelodidae) are amongst the
largest migratory fish in Latin America and have considerable economic
importance for commercial fishing in Brazil. However, natural populations of this
large catfish are experiencing a severe decline. There are significant
taxonomical inconsistencies for this fish. Two geographically separated species
of the fish were initially described, one endemic in the Amazon and another in
the Parana-Paraguay River basins. A taxonomic review had recently proposed that
there is only one Zungaro species in Brazil, based on morphological data. We
made a molecular study of Zungaro populations in an attempt to solve taxonomical
inconsistencies and to analyze genetic diversity in natural populations of this
genus. We analyzed two regions of the mitochondrial DNA (the control region and
the ATPase 6 gene region) of individuals sampled from the Parana-Paraguay River
and Amazon River basins. Analyses based on p-distances and maximum likelihood
phylogenetic models showed a genetic difference between populations
corresponding to different species. Genetic differentiation between Zungaro
populations was at the same level as that observed between other Siluriformes
species, using the same DNA sequences. We conclude that Zungaro species of the
Parana-Paraguay River basin do not belong to the same species found in the
Amazon basin. This finding has a significant implication for conservation of
this fish, given that populations are disappearing at a high rate in the Parana
Paraguay River basin, mainly due to impoundments.
PMID- 22095605
TI - Molecular authentication of the medicinal herb Ruta graveolens (Rutaceae) and an
adulterant using nuclear and chloroplast DNA markers.
AB - Dried parts of different plant species often look alike, especially in powdered
form, making them very difficult to identify. Ruta graveolens, sold as a dried
medicinal herb, can be adulterated with Euphorbia dracunculoides. The genomic
DNA was isolated from the leaf powder (100 mg each) using the modified CTAB
method. Internal transcribed spacer sequences of nuclear ribosomal DNA (nrDNA
ITS), and chloroplast spacer sequences (rpoB and rpoC1) are regarded as potential
genes for plant DNA barcoding. We amplified and sequenced these spacer sequences
and confirmed the sequences with a BLAST search. Sequence alignment was
performed using ClustalX to look for differences in the sequences. A DNA marker
was developed based on rpoB and rpoC1 of the nrDNA-ITS for the identification of
the adulterant E. dracunculoides in samples of R. graveolens that are sold in
local herbal markets. Sequence-characterized amplified region markers of 289 and
264 bp for R. graveolens and 424 bp for E. dracunculoides were developed from
dissimilar sequences of this nrDNA-ITS to speed up the authentication process.
This marker successfully distinguished these species in extracted samples with
as little as 5 ng DNA/MUL extract.
PMID- 22095606
TI - Aluminum triggers broad changes in microRNA expression in rice roots.
AB - MicroRNAs are small 21-nucleotide RNA molecules with regulatory roles in
development and in response to stress. Expression of some plant miRNAs has been
specifically associated with responses to abiotic stresses caused by cold,
light, iron, and copper ions. In acid soils, aluminum solubility increases,
thereby causing severe damage to plants. Although physiological aspects of
aluminum toxicity in plants have been well characterized, the molecular
mediators are not fully elucidated. There have been no reports about miRNA
responses to aluminum stress. Modulation of miRNA expression may constitute a
key element to explain the mechanisms implicated in aluminum toxicity and
tolerance. We examined the expression of at least one miRNA member from each
miRNA family in rice roots of Oryza sativa spp indica cv. Embrapa Taim and Oryza
sativa spp japonica cv. Nipponbare under high concentrations of aluminum. Forty
six miRNA families were effectively detected by quantitative PCR. Among these,
13 were down-regulated and six were up-regulated in roots of the Nipponbare
cultivar after 8 h of aluminum treatment. In roots of the Embrapa Taim cultivar,
five miRNAs were down-regulated and three were up-regulated. Analyses of their
putative targets suggest that these rice miRNAs are involved in the regulation
of various metabolic pathways in response to high concentrations of aluminum.
PMID- 22095607
TI - Association between a single nucleotide polymorphism in the bovine chemerin
gene and carcass traits in Qinchuan cattle.
AB - Qinchuan is a red or yellow draft and beef breed in China. In order to identify
a predictor of carcass traits on the basis of associations between carcass
traits and gene polymorphism, variation in the bovine chemerin gene was
investigated using PCR-single-strand conformational polymorphism and DNA
sequencing. An SNP of A868G located in exon 2 of the Bos taurus chemerin gene
was detected in 716 samples of six breeds (Jiaxian red, Luxi, Nan yang,
Qinchuan, Simmental and Luxi crossbred steers, and Xia'nan), all in China, and
three genotypes (AA, AG and GG) were found. Based on the chi(2) test, the
AA/AG/GG genotype frequencies of all six breeds were found to be in Hardy
Weinberg equilibrium. A possible association of A868G with some carcass traits
was investigated in 106 Qinchuan cattle. Animals with the AG genotype were found
to have significantly lower mean loin eye area and meat tenderness compared to
those with the AA and GG genotypes. However, there was no significant
association between any individual haplotype and backfat thickness, water
holding capacity or marbling score. We suggest that A868G could be used as a
molecular marker in marker-assisted selection for carcass traits.
PMID- 22095608
TI - Lack of association between RANTES-28, SDF-1 gene polymorphisms and systemic
lupus erythematosus in the Malaysian population.
AB - Regulated on activation, normal T-cell expressed and secreted (RANTES) and
stromal cell-derived factor 1 (SDF-1) are members of the CC- and CXC-chemokine
families, respectively. Both genes have been postulated to be involved in the
pathogenesis of systemic lupus erythematosus (SLE). We analyzed position 28 of
the RANTES gene promoter region, as well as the SNP observed in the 3' UTR of
the SDF-1 gene at position 801, in 130 patients presenting SLE at the Malaya
University Medical Centre. Screening of 130 healthy volunteer controls using
RFLP was also performed. RANTES-28 polymorphism analysis showed no significant
(P = 0.3520) relationship, even though homozygous C/C was more frequent in SLE
patients (OR = 1.4183) and heterozygous C/G was more frequent in healthy
controls (OR = 0.7051). There were no significant (P = 0.2650) associations
between A/A (OR = 0.783), G/G (OR = 1.5914) and G/A (OR = 0.8289) genotypes in
the SDF-1 gene polymorphism with SLE. We conclude that there is no significant
association of RANTES-28 and SDF-1 gene polymorphisms and occurrence of SLE in
Malaysia.
PMID- 22095609
TI - Multiple displacement amplification for preimplantation genetic diagnosis of
fragile X syndrome.
AB - Preimplantation genetic diagnosis (PGD) has become an assisted reproductive
technique for couples that have genetic risks. Despite the many advantages
provided by PGD, there are several problems, including amplification failure,
allele drop-out and amplification inefficiency. We evaluated multiple
displacement amplification (MDA) for PGD of the fragile X syndrome. Whole genome
amplification was performed using MDA. MDA products were subjected to
fluorescent PCR of fragile X mental retardation-1 (FMR1) CGG repeats, amelogenin
and two polymorphic markers. In the pre-clinical tests, the amplification rates
of the FMR1 CGG repeat, DXS1215 and FRAXAC1 were 84.2, 87.5 and 75.0%,
respectively, while the allele dropout rates were 31.3, 57.1 and 50.0%,
respectively. In two PGD treatment cycles, 20 embryos among 30 embryos were
successfully diagnosed as 10 normal embryos, four mutated embryos and six
heterozygous carriers. Three healthy embryos were transferred to the uterus;
however, no clinical pregnancy was achieved. Our data indicate that MDA and
fluorescent PCR with four loci can be successfully applied to PGD for fragile X
syndrome. Advanced methods for amplification of minuscule amounts of DNA could
improve the sensitivity and reliability of PGD for complicated single gene
disorders.
PMID- 22095610
TI - Evaluation of a hydrogel based breast biopsy marker (HydroMARK(r)) as an
alternative to wire and radioactive seed localization for non-palpable breast
lesions.
AB - BACKGROUND AND OBJECTIVES: HydroMARK(r) is a newly available biopsy marker for
image-guided needle biopsies of non-palpable breast lesions. Objective was to
determine if the marker could be utilized independently for lesion localization
using intra-operative ultrasound alone. METHODS: A single institution
retrospective review identified patients who underwent surgical excision of
breast lesions after placement of the HydroMARK(r). Endpoints included intra
operative visualization of the marker, successful excision of the lesion, and
presence of the marker on specimen radiograph. RESULTS: The study included 31
lesions in 25 patients. Twenty-nine (93.6%) HydroMARKSs(r) were adequately
visualized by intra-operative ultrasound. Intra-operative ultrasound without pre
operative placement of a localizing device was successful for localization in six
cases (19.4%). Intra-operative difficulties were encountered in 16 of 31 (51.6%)
procedures. This included either extrusion of the marker when the biopsy tract
was transected in 14 (45.2%) cases or migration of the marker prior to the
procedure in two (6.4%) cases. The marker was visualized on specimen radiograph
in 15 (48.4%) cases. CONCLUSIONS: While intraoperative sonographic visibility was
excellent, a large number of excisions were associated with extrusion of the
marker. Modifications are needed to improve acceptability of this marker for
intra-operative localization independent of pre-operative wire or seed
localization.
PMID- 22095611
TI - PlexinA2 mediates osteoblast differentiation via regulation of Runx2.
AB - The imbalance between bone-resorbing osteoclasts and bone-forming osteoblasts
often leads to bone destructive diseases such as osteoporosis. In contrast to the
development of several antiresorptive agents for osteoporosis therapy, discovery
of anabolic drugs has been difficult because of an insufficient understanding of
the complex mechanism of bone formation. In a microarray analysis with mouse
preosteoblast cells, we found that PlexinA2 (PlxnA2), a molecule previously known
to mediate axon guidance in neural development, was upregulated by the osteogenic
factor BMP2. PlxnA2-specific siRNA decreased Runx2 expression, osteoblast
differentiation, and mineralization. Runx2 overexpression restored osteoblastic
differentiation of PlxnA2-knockdown cells. PlxnA2 was associated with both type 1
and 2 BMP receptors, and BMP2 increased the interaction between PlxnA2 and type 1
receptors. PlxnA2 also affected Smad and Akt signaling pathways downstream of
BMP2. Taken together, the results of our study reveal that PlxnA2 has a pro
osteogenic function by modulating BMP2 signaling. Therefore, PlxnA2 may be a
useful target for development of bone anabolic therapeutics.
PMID- 22095612
TI - Sex differences in repetitive stereotyped behaviors in autism: implications for
genetic liability.
AB - The implications of the well known sex differences in the prevalence of autism
spectrum disorder (ASD) are not well understood. The aim of this paper was to
investigate whether these differences might be associated with differences in
genetic liability. Individuals with ASD (970 families, 2,028 individuals) were
recruited as part of the Autism Genome Project (AGP). The families were
differentiated into families containing a female (either female-female or male
female) and those with only males. If the sex with the lower prevalence is
associated with a greater genetic liability necessary to cross sex-specific
thresholds, the males from female containing families should be more severely
affected than males from male only families. Affected subjects from the different
types of families with ASD were sampled and compared on the social reciprocity
and repetitive behavior scores from the Autism Diagnostic Interview-Revised (ADI
R). In general, females had lower repetitive behavior scores than males. More
importantly, males from female containing families had higher repetitive behavior
scores than males from male-male families. No such differences were apparent on
the social reciprocity scores. These results support the hypothesis of a multiple
threshold model of genetic liability of ASD with females having a higher
liability for affectation status, at least on the repetitive behavior dimension
of the disorder. These data also support the dissociation of the different
phenotypic dimensions of ASD in terms of its genetic architecture. The
implications of these results for linkage and association studies are discussed.
PMID- 22095613
TI - 1H-magnetic resonance spectroscopy of the breast at 3.0-T: comparison of results
obtained before and after administration of gadolinium-based contrast agent.
AB - PURPOSE: To assess the effects of gadolinium-based contrast agent (GBCA) on (1) H
magnetic resonance spectroscopy (MRS) of the breast at 3.0-T. MATERIALS AND
METHODS: Patients (n = 98) with breast cancer (98 lesions) underwent MRS (point
resolved spectroscopy sequence [PRESS]; TR/TE, 2000/100 msec; voxel size, 15 * 15
* 15 mm) before the administration of GBCA. In 52 of those patients, MRS was also
performed after the administration of GBCA. The voxel-of-interest (VOI) was
placed by referring to the noncontrast-enhanced MRI (diffusion-weighted images
combined with fat-suppressed T2-weighted images). We reviewed and graded the
appropriateness of VOI location compared to the correlating enhancement lesions.
Integral values of the choline peak at a frequency of 3.2 ppm on MRS were
compared before and after the administration of GBCA. RESULTS: The VOI was placed
correctly in 64 lesions (65%), although the VOI was placed outside the targeted
lesion in 34 lesions (35%). The integral value of the choline peak on MRS
decreased significantly after the administration of GBCA (P < 0.001). CONCLUSION:
Accumulation of GBCA in breast cancer could affect the choline peak on MRS. MRS
of breast cancer at 3.0-T can be recommended to be acquired before contrast
enhanced study; however, some problems remain in VOI placement with reference to
the noncontrast-enhanced study.
PMID- 22095614
TI - Development of the human corpus striatum and the presence of nNOS and 5-HT2A
receptors.
AB - This study focussed on the development of the corpus striatum in the fetus, using
silver impregnation and immunohistochemistry. For the latter, we looked for nNOS
positive cells and 5-HT(2A) receptors positive cells in the corpus striatum
during development. During the initial formation of the corpus striatum, there
was migration cells of the ganglionic eminence toward the putamen by 15-17 weeks
of gestation. Process formation in the neurons started by week 17 and became very
complex before term (31/32 weeks of gestation). By 25-27 gestational weeks, the
globus pallidus already had two parts and the corpus striatum was similar to the
adult in configuration. The nNOS positive cells appeared early (21-23 weeks in
gestation) while 5-HT(2A) receptors positive cells were not observed until 31/32
weeks gestation. The number of positive cells in both groups was relatively
small. It is anticipated that further developmental changes would occur in the
postnatal/neonatal phases.
PMID- 22095615
TI - Lipid nanoparticle siRNA systems for silencing the androgen receptor in human
prostate cancer in vivo.
AB - The androgen receptor (AR) plays a critical role in the progression of prostate
cancer. Silencing this protein using short-hairpin RNA (shRNA) has been
correlated with tumor growth inhibition and decreases in serum prostate specific
antigen (PSA). In our study, we have investigated the ability of lipid
nanoparticle (LNP) formulations of small-interfering RNA (siRNA) to silence AR in
human prostate tumor cell lines in vitro and in LNCaP xenograft tumors following
intravenous (i.v.) injection. In vitro screening studies using a panel of
cationic lipids showed that LNPs containing the ionizable cationic lipid 2,2
dilinoleyl-4-(2-dimethylaminoethyl)-[1,3]-dioxolane (DLin-KC2-DMA) exhibited the
most potent AR silencing effects in LNCaP cells. This is attributed to an
optimized ability of DLin-KC2-DMA-containing LNP to be taken up into cells and to
release the siRNA into the cell cytoplasm following endocytotic uptake. DLin-KC2
DMA LNPs were also effective in silencing the AR in a wild-type AR expressing
cell line, LAPC-4, and a variant AR expressing cell line, CWR22Rv1. Importantly,
it is demonstrated that LNP AR-siRNA systems containing DLin-KC2-DMA can silence
AR gene expression in distal LNCaP xenograft tumors and decrease serum PSA levels
following i.v. injection. To our knowledge, this is the first report
demonstrating the feasibility of LNP delivery of siRNA for silencing AR gene
expression in vivo.
PMID- 22095616
TI - Predictors of clinical efficacy of 'Ablate and Pace' therapy in patients with
permanent atrial fibrillation.
AB - OBJECTIVE: To evaluate the 2-year clinical improvement after 'Ablate and Pace'
therapy and to identify the variables able to influence the efficacy of this
therapy in patients with permanent atrial fibrillation (AF). Design Prospective
multicentre observational study. Setting Cardiology departments of 19 general
hospitals in Italy, Spain and Greece. PATIENTS: 171 patients with drug-refractory
severely symptomatic permanent AF considered for AV junction ablation.
Interventions Patients underwent AV junction ablation, received a right
ventricular (RV) pacing or echo-guided cardiac resynchronisation (CRT) pacing and
were followed-up to 24 months. Main outcome measures Non-responders to Ablate and
Pace therapy were defined those patients who, during the follow-up period had
clinical failure (defined as death or hospitalisation due to heart failure, or
worsening heart failure) or showed no improvement in their clinical condition.
RESULTS: Responders were 63% of RV-paced patients and 83% of CRT-paced patients.
Another 27% showed no clinical improvement (7%) or worsened (20%) (non-responders
group). On multivariable Cox regression analysis, CRT mode and echo-optimised CRT
were the only independent protective factors against non-response (HR=0.24, 95%
CI 0.10-0.58, p=0.001 and HR=0.22, 95% CI 0.07-0.77, p=0.018 respectively). On
comparing freedom from non-response, a trend in favour of echo-optimised CRT
versus simultaneous biventricular pacing (p=0.077) was seen. CONCLUSIONS: In
patients affected by severely symptomatic permanent AF, Ablate and Pace therapy
yielded a clinical benefit in 63% of RV-paced patients and 83% of CRT-paced
patients. CRT pacing and echo-optimised CRT were the only independent predictor
of clinical benefit.
PMID- 22095617
TI - Improvements in risk stratification for the occurrence of cardiovascular disease
by imaging subclinical atherosclerosis: a systematic review.
AB - CONTEXT: Imaging for subclinical atherosclerosis on top of conventional risk
factor assessment may improve risk prediction for the occurrence of
cardiovascular disease events in asymptomatic individuals. OBJECTIVE: To
systematically review the available evidence on this issue. DATA SOURCES: PubMed
MEDLINE was systematically searched on 7 September 2011. STUDY SELECTION: Studies
were included that evaluated the added value of flow mediated dilation (FMD),
carotid intima-media thickness (CIMT), carotid plaques and/or coronary artery
calcification (CAC) scoring in the prediction of risk for developing fatal or non
fatal cardiovascular events. DATA EXTRACTION: Data on general study
characteristics and the added predictive performance of imaging markers in terms
of discrimination, calibration and (re)classification were extracted. RESULTS: 25
studies were selected that provided information on added predictive value of FMD
(n=2), CIMT (n=12), carotid plaques (n=6) and/or CAC (n=9). Heterogeneity existed
across studies in the conventional risk models that were used and in the
measurements of the imaging marker. The added predictive value, quantified by the
difference in c-index, of FMD, CIMT, carotid plaques or CAC ranged from 0.00 to
0.01 for FMD, from 0.00 to 0.03 for CIMT, from 0.01 to 0.05 for carotid plaque
and from 0.05 to 0.13 for CAC. The reported net reclassification improvement
(NRI) by the imaging markers ranged from -1.4% to 12% for CIMT, 8% to 11% for
carotid plaques, 14% to 25% for CAC and 29% for FMD). Although the definition of
intermediate cardiovascular risk varied across studies, the NRI was the highest
in those at intermediate cardiovascular risk. CONCLUSIONS: Published evidence on
the added value of atherosclerosis imaging varies across the different markers,
with limited evidence for FMD and considerable evidence for CIMT, carotid plaque
and CAC. The added predictive value of additional screening may be primarily
found in asymptomatic individuals at intermediate cardiovascular risk. Additional
research in asymptomatic individuals is needed to quantify the cost effectiveness
and impact of imaging for subclinical atherosclerosis on cardiovascular risk
factor management and patient outcomes.
PMID- 22095618
TI - Efficacy and safety of a routine invasive strategy in non-ST segment elevation
acute coronary syndromes according to age: an illustration of the difficulty in
using combined endpoints with different clinical significance.
PMID- 22095619
TI - Family history of liver cancer and hepatocellular carcinoma.
AB - Familial clustering of hepatocellular carcinoma (HCC) has been frequently
reported in eastern Asiatic countries, where hepatitis B infection is common.
Little is known about the relationship between family history of liver cancer and
HCC in Western populations. We carried out a case-control study in Italy,
involving 229 HCC cases and 431 hospital controls. Data on family history were
summarized through a binary indicator (yes/no) and a family history score
(FHscore), considering selected family characteristics. Odds ratios (ORs) and the
corresponding 95% confidence intervals (CIs) were obtained from unconditional
multiple logistic regression models, including terms for age, sex, study center,
education, tobacco smoking, alcohol drinking, hepatitis B surface antigen, and/or
anti-hepatitis C virus positivity. We also performed a meta-analysis on family
history of liver cancer and liver cancer updated to April 2011 using random
effects models. After adjustment for chronic infection with hepatitis B/C
viruses, family history of liver cancer was associated with HCC risk, when using
both the binary indicator (OR, 2.38; 95% CI, 1.01-5.58) and the FHscore, with
increasing ORs for successive score categories. Compared to subjects without
family history and no chronic infection with hepatitis B/C viruses, the OR for
those exposed to both risk factors was 72.48 (95% CI, 21.92-239.73). In the meta
analysis, based on nine case-control and four cohort studies, for a total of
approximately 3,600 liver cancer cases, the pooled relative risk for family
history of liver cancer was 2.50 (95% CI, 2.06-3.03). CONCLUSION: A family
history of liver cancer increases HCC risk, independently of hepatitis. The
combination of family history of liver cancer and hepatitis B/C serum markers is
associated with an over 70-fold elevated HCC risk.
PMID- 22095620
TI - Mutagenesis studies of the beta I domain metal ion binding sites on integrin
alphaVbeta3 ligand binding affinity.
AB - Three divalent cation binding sites in the integrin beta I domain have been shown
to regulate ligand binding and adhesion. However, the degree of ligand binding
and adhesion varies among integrins. The alphaLbeta2 and alpha4beta7 integrins
show an increase in ligand binding affinity and adhesion when one of their
ADMIDAS (adjacent to MIDAS, or the metal ion-dependent adhesion site) residues is
mutated. By contrast, the alpha2beta1, alpha5beta1, and alphaIIbbeta3 integrins
show a decrease in binding affinity and adhesion when their ADMIDAS is mutated.
Our study here indicated that integrin alphaVbeta3 had lower affinity when the
ADMIDAS was mutated. By comparing the primary sequences of these integrin
subunits, we propose that one residue associated with the MIDAS (beta3 Ala(252))
may account for these differences. In the beta1 integrin subunit, the
corresponding residue is also Ala, whereas in both beta2 and beta7 integrin
subunits, it is Asp. We mutated the beta3 residue Ala(252) to Asp and combined
this mutant with mutations of one or two ADMIDAS residues. The mutant A252D
showed reduced ligand binding affinity and adhesion. The ligand binding affinity
and adhesion were increased when this A252D mutant was paired with mutations of
one ADMIDAS residue. But when paired with mutations of two ADMIDAS residues the
mutant nearly abolished ligand-binding ability, which was restored by the
activating glycosylation mutation. Our study suggests that the variation of this
residue contributes to the different ligand binding affinities and adhesion
abilities among different integrin families.
PMID- 22095621
TI - An efficient palladium catalyst system for the oxidative carbonylation of
glycerol to glycerol carbonate.
AB - Glycerol carbonate can be readily synthesized from the oxidative carbonylation of
glycerol catalyzed by PdCl(2)(phen) (phen=1,10-phenanthroline) with the aid of
CuI. High conversion (95 %) and selectivity (98 %) are achieved and the turnover
frequency (TOF) reaches 455 h(-1). Furthermore, a new zeolite-Y-confined Pd
catalyst, PdCl(2)(phen)@Y, has been successfully prepared by a ''flexible
ligand'' method. The structure and composition of the heterogeneous catalyst have
been characterized by atomic absorption spectroscopy, elemental analysis, N(2)
sorption, XRD, FTIR, solid-state NMR, and X-ray photoelectron spectroscopy. This
catalyst exhibits a comparable activity to its homogeneous counterpart and could
be reused five times without significant decrease in activity. This is the most
efficient heterogeneous system for synthesizing glycerol carbonate by the
oxidative carbonylation of glycerol to date.
PMID- 22095622
TI - A validated method for the simultaneous quantitation of bioactive alkaloid
markers in the leaf ethanolic extract of Cissampelos sympodialis Eichl.: a
phenological variation study.
AB - INTRODUCTION: The leaf hydroalcoholic extract of Cissampelos sympodialis Eichl.
(Menispermaceae) has shown promising activity in different animal models of
asthma. Several alkaloids have been identified in the extract, including
warifteine and methylwarifteine (bisbenzylisoquinoline), as well as milonine
(morphinandienone). OBJECTIVE: To develop and validate an analytical method for
the simultaneous quantitation of the bioactive markers of C. sympodialis
hydroalcoholic leaf extract and to apply the method to a seasonal (phenological)
study of the concentration of the alkaloid markers. METHODOLOGY: The method used
reversed phase high performance liquid chromatography with UV detection and
calibration by standard addition. Separation was achieved using a C18-column (250
* 4.6 mm, 5 um) and a mobile phase consisting of a mixture of 0.05% aqueous
(Et)3NH2 (A):MeOH(B) in gradient mode at a flow rate of 1.0 mL/min. RESULTS: The
method proved to be linear in the concentration range tested (2-100 ug/mL, r2 >
0,99), precise (RSD <= 15%), accurate (85-115%), selective and robust. Detection
limits for warifteine, methyl-warifteine and milonine were 0.39, 1.10 and 1.77
ug/mL respectively. The highest concentration of total alkaloids (determined as
the sum of the three alkaloids) in the hydroalcoholic extract of the leaves was
2.9 +/- 0.2 mg/g extract (n = 3), prior to fruit development. Both warifteine and
methylwarifteine were detected in the total alkaloid fraction of the ripened
fruits. CONCLUSION: The results demonstrated that significant variations in the
concentration of the biomarkers occurred throughout the vegetative cycle. The
lowest concentration of the alkaloids in the leaves coincided with their
appearance in the ripened fruits.
PMID- 22095623
TI - Time-resolved NMR spectroscopic studies of DNA i-motif folding reveal kinetic
partitioning.
PMID- 22095624
TI - Transplacental transfer of polychlorinated biphenyls and polybrominated diphenyl
ethers in arctic beluga whales (Delphinapterus leucas).
AB - This study found that arctic beluga whales (Delphinapterus leucas) transferred,
on average, 11.4% (7.5 mg) and 11.1% (0.1 mg) of their polychlorinated biphenyl
(PCB) and polybrominated diphenyl ether (PBDE) blubber burden to their near-term
fetuses. A single physicochemical parameter, log K(OW), largely explained this
transplacental transfer for PCBs (r(2) =0.79, p < 0.00001) and PBDEs (r(2) =
0.37, p = 0.007), with congeners having a log K(OW) < 6.5 preferentially
transferred to the fetus. Blubber concentrations of 257 ng/g lipid weight (lw)
PCBs and 3.8 ng/g (lw) PBDEs in beluga fetuses highlights the exposure to
endocrine-disrupting compounds during a critical developmental stage. The
implications of detecting these levels of legacy PCBs and the flame retardant
PBDEs in unborn arctic beluga are unclear.
PMID- 22095625
TI - Mechanism selection for regiocontrol in base-assisted, palladium-catalysed direct
C-H coupling with halides: first approach for oxazole- and thiazole-4
carboxylates.
AB - Both base-assisted non-concerted metallation-deprotonation (nCMD) and concerted
metallation-deprotonation (CMD) have been identified as two potent operating
mechanisms in palladium-catalysed direct C-H coupling of oxazole and thiazole-4
carboxylate esters with halides through base- and solvent-effect experiments.
Novel C2- and C5-selective CMD direct arylation procedures in oxazole- and
thiazole-4-carboxylate series were then designed by controlling the balance
between electronic and steric factors. Notably, charge interactions between the
palladium catalyst and substrate were identified as a parameter for controlling
selectivity and reducing the impact of steric factors in the CMD reaction.
PMID- 22095626
TI - Structural and binding studies of the C-terminal domains of yeast TFIIF subunits
Tfg1 and Tfg2.
AB - The general transcription factor TFIIF plays essential roles at several steps
during eukaryotic transcription. While several studies have offered insights into
the structure/function relationship in human TFIIF, much less is known about the
yeast system. Here, we describe the first NMR structural and binding studies of
the C-terminal domains (CTDs) of Tfg1 and Tfg2 subunits of Saccharomyces
cerevisiae TFIIF. We used the program CS-ROSETTA to determine the three
dimensional folds of these domains in solution, and performed binding studies
with DNA and protein targets. CS-ROSETTA models indicate that the Tfg1 and Tfg2 C
terminal domains have winged-helix architectures, similar to the human homologs.
We showed that both Tfg1 and Tfg2 CTDs interact with double-stranded DNA
oligonucleotides, and mapped the DNA binding interfaces using solution NMR. Tfg1
CTD, but not Tfg2-CTD, also binds to yeast FCP1, an RNA polymerase II-specific
phosphatase, and we delineated the interaction surface with the CTD of FCP1. Our
results provide insights into the structural basis of yeast TFIIF function and
the differential roles of Tfg1 and Tfg2 subunits during transcription.
PMID- 22095628
TI - Adiponectin pathway attenuates malignant mesothelioma cell growth.
AB - Malignant mesothelioma (MM) is caused by exposure to asbestos. Because MM has a
latency period, short survival time, and has a poor response to current
therapeutic regimes, long-term preventive strategies are required to suppress the
advance of pathological states after asbestos exposure. Accumulating evidence
suggests that adiponectin plays a crucial role in the regulation of energy
metabolism by increasing AMP-activated protein kinase (AMPK) activation. Several
studies have indicated that the activation of AMPK decreases cyclooxygenase (COX)
2 expression. Because high COX-2 levels correlated with a worse prognosis and
survival rate in MM, we examined whether the adiponectin pathway suppresses MM
cell growth through the AMPK/COX-2 pathway. In vivo, dietary fish oil (a
potential promoter of adiponectin) decreased the growth rate of MM, which was
accompanied by an increase in adiponectin and phospho-AMPK levels, and a decrease
in COX-2 level. In vitro, adiponectin significantly impaired the cell
proliferation rate of MM cell lines. These effects partly involved induction of
growth arrest and apoptosis to MM cells. MM cells expressed both adiponectin
receptors 1 and 2 (AdipoR1 and -R2) at mRNA and proteins levels. These receptors
were functional, because adiponectin activated AMPK. Adiponectin treatment also
significantly down-regulated protein levels of COX-2 and its downstream
prostaglandin E(2). Finally, inhibitory analysis of AdipoR1/R2 by small
interfering RNA knockdown suggests that adiponectin enhances AMPK activity and
impairs the cell proliferation rate of MM cells, mainly via AdipoR1. These
findings suggest that the induction or supplementation of adiponectin is an
important tactic for developing therapeutic strategies against MM.
PMID- 22095627
TI - Hyperoxia-induced LC3B interacts with the Fas apoptotic pathway in epithelial
cell death.
AB - Epithelial cell death plays a critical role in hyperoxia-induced lung injury. We
investigated the involvement of the autophagic marker microtubule-associated
protein-1 light chain-3B (LC3B) in epithelial cell apoptosis after hyperoxia.
Prolonged hyperoxia (>95% O(2)), which causes characteristic lung injury in mice,
activated morphological and biochemical markers of autophagy. Hyperoxia induced
the time-dependent expression and conversion of LC3B-I to LC3B-II in mouse lung
in vivo and in cultured epithelial cells (Beas-2B, human bronchial epithelial
cells) in vitro. Hyperoxia increased autophagosome formation in Beas-2B cells, as
evidenced by electron microscopy and increased GFP-LC3 puncta. The augmented LC3B
level after hyperoxia was transcriptionally regulated and dependent in part on
the c-Jun N-terminal kinase pathway. We hypothesized that LC3B plays a regulatory
role in hyperoxia-induced epithelial apoptosis. LC3B siRNA promoted hyperoxia
induced cell death in epithelial cells, whereas overexpression of LC3B conferred
cytoprotection after hyperoxia. The autophagic protein LC3B cross-regulated the
Fas apoptotic pathway by physically interacting with the components of death
inducing signaling complex. This interaction was mediated by caveolin-1 tyrosine
14, which is a known target of phosphorylation induced by hyperoxia. Taken
together, hyperoxia-induced LC3B activation regulates the Fas apoptotic pathway
and thus confers cytoprotection in lung epithelial cells. The interaction of LC3B
and Fas pathways requires cav-1.
PMID- 22095629
TI - Six common errors cause dangerous mistakes in interpretation of electron
micrographs.
AB - The highly complex techniques of electron microscopy made it bound to the
sensitive and critical micrograph analysis. The accurately interpreted
micrographs are of paramount values in basic investigations. Interpretation
skills and quality of the micrographs are the two fundamental keys in
accomplishment of these goals but there are many mistakes and errors that can
happen during the sample preparation, sectioning, EM operation, and photo
publishing. The mentioned mistakes and errors effect directly in the final result
which is a micrograph and can lead the analyzer who can be a pathologist to an
interpretation followed by serious danger for patient. Artifacts caused by any
given stimuli expected to be bothersome for investigators. Even best qualified
equipments can be regarded as source of artifact generation. In this article,
seven serious errors in electron micrographs which usually occur in transmission
electron microscopy are addressed.
PMID- 22095630
TI - Fluorescence detection of single-nucleotide polymorphism with single-strand
triplex-forming DNA probes.
AB - Triple-helix-forming oligonucleotides (TFOs) are widespread in the genome and
have been found in regulatory regions, especially in promoter zones and
recombination hotspots of DNA. To specifically detect these polypurine sequences,
we designed and synthesized two dual pyrene-labeled single-strand oligonucleotide
probes (TFO-FPs) consisting of recognition, linker, and detection sequences. The
hybridization processes of TFO-FPs with target polypurine oligonucleotides
involve both Watson-Crick and Hoogsteen base-pairings. Through double sensing of
oligonucleotide sequences, single mutations of target oligonucleotides are
detected by monitoring changes in pyrene fluorescence. The high specificities of
the probes are maintained over a wide temperature range without sacrifice of
hybridization kinetics.
PMID- 22095632
TI - Reconsideration of the autonomic cranial ganglia: an immunohistochemical study of
mid-term human fetuses.
AB - The cranial parasympathetic ganglia have been reported to paradoxically contain
the sympathetic nerve marker, tyrosine hydroxylase (TH), in addition to neurons
expressing parasympathetic markers such as vasoactive intestinal peptide (VIP)
and neuronal nitric oxide synthase (nNOS). However, the distribution of these
molecules in the cranial ganglia of human fetuses has not yet been examined.
Using paraffin sections from 10 mid-term human fetuses (12-15 weeks), we
performed immunohistochemistry for TH, VIP, and nNOS in the parasympathetic
ciliary, pterygopalatine, otic, and submandibular ganglia, and for comparison,
the sensory inferior vagal ganglion. The ciliary and submandibular ganglia
contained abundant TH-positive neurons. In the former, TH-positive neurons were
much more numerous than nNOS-positive neurons, whereas in the latter, nNOS
immunoreactivity was extremely strong. No or a few cells in the pterygopalatine,
otic, and inferior vagal ganglia expressed TH. Ciliary TH neurons appeared to
compensate for classically described sympathetic fibers arising from the superior
cervical ganglion, whereas in the submandibular ganglion, nNOS-positive neurons
as well as TH neurons might innervate the lingual artery in addition to the
salivary glands. Significant individual variations in the density of all these
markers suggested differences in sensitivity to medicine affecting autonomic
nerve function. Consequently, in the human cranial autonomic ganglia, it appears
that there is no simple dichotomy between sympathetic and parasympathetic
function.
PMID- 22095631
TI - Relationship between bone mineral density changes with denosumab treatment and
risk reduction for vertebral and nonvertebral fractures.
AB - Dual-energy X-ray absorptiometric bone mineral density (DXA BMD) is a strong
predictor of fracture risk in untreated patients. However, previous patient-level
studies suggest that BMD changes explain little of the fracture risk reduction
observed with osteoporosis treatment. We investigated the relevance of DXA BMD
changes as a predictor for fracture risk reduction using data from the FREEDOM
trial, which randomly assigned placebo or denosumab 60 mg every 6 months to 7808
women aged 60 to 90 years with a spine or total hip BMD T-score < -2.5 and not <
4.0. We took a standard approach to estimate the percent of treatment effect
explained using percent changes in BMD at a single visit (months 12, 24, or 36).
We also applied a novel approach using estimated percent changes in BMD from
baseline at the time of fracture occurrence (time-dependent models). Denosumab
significantly increased total hip BMD by 3.2%, 4.4%, and 5.0% at 12, 24, and 36
months, respectively. Denosumab decreased the risk of new vertebral fractures by
68% (p < 0.0001) and nonvertebral fracture by 20% (p = 0.01) over 36 months.
Regardless of the method used, the change in total hip BMD explained a
considerable proportion of the effect of denosumab in reducing new or worsening
vertebral fracture risk (35% [95% confidence interval (CI): 20%-61%] and 51% [95%
CI: 39%-66%] accounted for by percent change at month 36 and change in time
dependent BMD, respectively) and explained a considerable amount of the reduction
in nonvertebral fracture risk (87% [95% CI: 35% - >100%] and 72% [95% CI: 24% -
>100%], respectively). Previous patient-level studies may have underestimated the
strength of the relationship between BMD change and the effect of treatment on
fracture risk or this relationship may be unique to denosumab.
PMID- 22095633
TI - Decreased expression of V-set and immunoglobulin domain containing 1 (VSIG1) is
associated with poor prognosis in primary gastric cancer.
AB - BACKGROUND: To date, the significance of altered expression of V-set and
immunoglobulin domain containing 1 (VSIG1) in gastric cancer has not yet been
elucidated. METHODS: We examined VSIG1 expression in 30 paired gastric cancer
tissues and noncancerous gastric mucosa as well as in 5 gastric cancer cell lines
by real-time PCR and Western blotting. In addition, we analyzed VSIG1 expression
in 232 gastric adenocarcinoma samples by immunohistochemistry. RESULTS: VSIG1
expression was significantly reduced at both the mRNA and protein levels in
gastric cancer tissues. Immunohistochemistry revealed that VSIG1 expression was
completely lost in 126 out of the 232 (54.3%) patient samples and remarkably
reduced in another 106 (45.7%) patients. Negative VSIG1 expression was
significantly correlated with tumor size (P = 0.007), T (P = 0.023), and M stage
(P = 0.037). Importantly, loss of VSIG1 expression was significantly correlated
with poor overall survival (OS, P < 0.001) and disease-free survival (DFS, P =
0.006) in gastric cancer patients. Cox regression analyses showed that VSIG1
expression was an independent predictor of OS (P = 0.002) and DFS (P = 0.039).
CONCLUSIONS: Our findings suggest that silencing VSIG1 may play an important role
in gastric carcinogenesis and that VSIG1 may serve as a prognostic marker as well
as a potential therapeutic target for gastric cancer.
PMID- 22095634
TI - Statistical process control in nursing research.
AB - In intervention studies in which randomization to groups is not possible,
researchers typically use quasi-experimental designs. Time series designs are
strong quasi-experimental designs but are seldom used, perhaps because of
technical and analytic hurdles. Statistical process control (SPC) is an
alternative analytic approach to testing hypotheses about intervention effects
using data collected over time. SPC, like traditional statistical methods, is a
tool for understanding variation and involves the construction of control charts
that distinguish between normal, random fluctuations (common cause variation),
and statistically significant special cause variation that can result from an
innovation. The purpose of this article is to provide an overview of SPC and to
illustrate its use in a study of a nursing practice improvement intervention.
PMID- 22095635
TI - Aortic flow patterns in patients with Marfan syndrome assessed by flow-sensitive
four-dimensional MRI.
AB - PURPOSE: To apply time-resolved three-dimensional (3D) phase contrast MRI with
three-directional velocity encoding (flow-sensitive 4D MRI) for the
characterization of flow pattern changes in patients with Marfan syndrome (MFS)
compared with normal controls. MATERIALS AND METHODS: Flow-sensitive 4D MRI of
the thoracic aorta (temporal resolution ~45 ms, spatial resolution ~2.4 * 2.1 *
2.8 mm(3) ) was performed in 24 MFS patients and 10 volunteers. Aortic flow
patterns were visualized by 3D particle traces and streamlines. Global (affecting
the complete lumen) and local (parts of the vessel lumen) helix and vortex flow
in the ascending aorta (AAo), aortic arch, and descending aorta (DAo) were graded
in 3 categories (blinded reading, two observers): none = 0, moderate = 1,
pronounced = 2. RESULTS: Flow grading revealed similar global helix and vortex
flow in the AAo and arch for MFS patients and controls. Local helix flow in the
AAo was significantly (P = 0.011) increased in patients and was associated with
aortic sinus dilatation. The incidence of global helix and vortex flow in the DAo
was increased in patients (77% and 50% of subjects) compared with controls (none
and 10%). CONCLUSION: The 4D flow analysis revealed marked differences of the
aortic flow patterns between Marfan patients and controls: Local helix flow in
the patients' AAo may be associated with the increased incidence of aortic root
dilatation. The flow alterations in the proximal DAo could explain the occurrence
of Type-B dissection originating from this site.
PMID- 22095637
TI - Myoinhibitory peptides in the brain of the cockroach Leucophaea maderae and
colocalization with pigment-dispersing factor in circadian pacemaker cells.
AB - Myoinhibitory peptides (MIPs) are a family of insect W(X(6))Wamides with
inhibitory effects on visceral muscles and juvenile hormone synthesis. Although
MIPs are widely distributed within the nervous system, a detailed analysis of
their distribution and function in insect brains is still missing. We analyzed
the distribution of MIPs in the brain of the cockroach Leucophaea maderae. We
focused on the accessory medulla (AMe), a small neuropil near the medulla that
acts as the master circadian clock. Matrix-assisted laser desorption/ionization
time of flight (MALDI-TOF) and Nano-LC electrospray ionization (ESI) mass
spectrometry revealed five Lem-MIPs in preparations of the AMe and corpora
cardiaca. The complete sequences of two of these peptides were identified.
Immunocytochemistry revealed wide distribution of MIP-related peptides in the
cockroach brain. The superior median protocerebrum, parts of the central complex,
and the tritocerebrum showed particularly dense immunostaining. In contrast, only
a few local interneurons were stained in the antennal lobe and a few extrinsic
neurons in the mushroom body, including a giant neuron innervating the calyces.
The noduli of the AMe showed dense immunostaining, and neurons in all AMe cell
groups except the anterior neurons were labeled. Pigment-dispersing factor- (PDF)
and MIP immunostaining was colocalized in two neurons of the AMe. No
colocalization of MIP- and PDF immunostaining was detected in the anterior optic
commissure, but two small PDF-immunoreactive commissural fibers near the
posterior optic commissure showed colocalized MIP immunostaining. The results
suggest that several MIPs participate in different functional circuits of the
circadian system and are involved in multiple brain circuits of the Madeira
cockroach.
PMID- 22095636
TI - Murine double minute 2 regulates Hu antigen R stability in human liver and colon
cancer through NEDDylation.
AB - Hu antigen R (HuR) is a central RNA-binding protein regulating cell
dedifferentiation, proliferation, and survival, which are well-established
hallmarks of cancer. HuR is frequently overexpressed in tumors correlating with
tumor malignancy, which is in line with a role for HuR in tumorigenesis. However,
the precise mechanism leading to changes in HuR expression remains unclear. In
the liver, HuR plays a crucial role in hepatocyte proliferation, differentiation,
and transformation. Here, we unraveled a novel mean of regulation of HuR
expression in hepatocellular carcinoma (HCC) and colon cancer. HuR levels
correlate with the abundance of the oncogene, murine double minute 2 (Mdm2), in
human HCC and colon cancer metastases. HuR is stabilized by Mdm2-mediated
NEDDylation in at least three lysine residues, ensuring its nuclear localization
and protection from degradation. CONCLUSION: This novel Mdm2/NEDD8/HuR regulatory
framework is essential for the malignant transformation of tumor cells, which, in
turn, unveils a novel signaling paradigm that is pharmacologically amenable for
cancer therapy.
PMID- 22095638
TI - Implantable cardioverter defibrillator therapy in adults with congenital heart
disease: who is at risk of shocks?
AB - BACKGROUND: The value of implantable cardioverter defibrillators (ICDs) in adults
with congenital heart disease (CHD) is unknown. We investigated the long-term
outcome after ICD implantation and developed a simple risk stratification score
for ICD therapy. METHODS AND RESULTS: A total of 136 adults with CHD and ICD
(mean age+/-SD, 41+/-13 years; 67% male) were identified from 10 tertiary
referral centers in the Netherlands and Belgium. The indication for ICD
implantation was primary prevention in 50% of patients. Diagnoses included
tetralogy of Fallot (51%), septal defects (20%), (congenitally corrected)
transposition of the great arteries (13%), and other (16%). Thirty-nine patients
(29%) received appropriate ICD shocks during a median follow-up of 4.6 years.
Secondary prevention indication (hazard ratio [HR], 3.6; 95% CI, 1.3-9.5;
P=0.009), coronary artery disease (HR, 2.7; 95% CI, 1.0-7.2; P=0.042), and
symptomatic nonsustained ventricular tachycardia (NSVT; HR, 9.1; 95% CI, 2.8
29.2; P=0.001) were associated with appropriate ICD shocks. A risk score was
developed to evaluate the likelihood of appropriate ICD shocks. The 8-year
survival curve to first appropriate shocks was 94%, 57%, and 26% for low-,
intermediate-, and high-risk patients, respectively. In primary prevention,
symptomatic NSVTs (HR, 8.0; 95% CI, 2.3-27.1; P=0.001) and subpulmonary
ventricular dysfunction (HR, 3.0; 95% CI, 1.2-12.6; P=0.02) were associated with
appropriate shocks in univariable analysis. Inappropriate shocks occurred in 41
patients (30%). In addition, 40 patients (29%) experienced 45 implantation
related complications. CONCLUSIONS: Adults with CHD and ICDs receive high rates
of appropriate and effective shocks. Patients with secondary prevention
indication, coronary artery disease, and symptomatic NSVT are at highest risk of
receiving appropriate ICD shocks. ICD implantation is accompanied by considerable
morbidity, including inappropriate shocks and procedure- related complications.
PMID- 22095639
TI - The acute effects of changes to AV delay on BP and stroke volume: potential
implications for design of pacemaker optimization protocols.
AB - BACKGROUND: The AV delay optimization of biventricular pacemakers (cardiac
resynchronization therapy) may maximize hemodynamic benefit but consumes
specialist time to conduct echocardiographically. Noninvasive BP monitoring is a
potentially automatable alternative, but it is unknown whether it gives the same
information and similar precision (signal/noise ratio). Moreover, the immediate
BP increment on optimization has been reported to decay away: it is unclear
whether this is the result of an (undesirable) decrease in stroke volume or a
(desirable) compensatory relief of peripheral vasoconstriction. METHODS AND
RESULTS: To discriminate between these alternative mechanisms, we measured
simultaneous beat-to-beat stroke volume (flow) using Doppler echocardiography,
and BP using finger photoplethysmography, during and after AV delay changes from
40 to 120 ms in 19 subjects with cardiac pacemakers. BP and stroke volume both
increased immediately (P<0.001, within 1 heartbeat). BP showed a clear decline a
few seconds later (average rate, -0.65 mm Hg/beat; r=0.95 [95% CI, 0.86-0.98]);
in contrast, stroke volume did not decline (P=0.87). The immediate BP increment
correlated strongly with the stroke volume increment (r=0.74, P<0.001). The
signal/noise ratio was 3-fold better for BP than stroke volume (6.8+/-3.5 versus
2.3+/-1.4; P<0.001). CONCLUSIONS: Improving AV delay immediately increases BP,
but the effect begins to decay within a few seconds. Reassuringly, this is
because of compensatory vasodilatation rather than reduction in cardiac function.
Pacemaker optimization will never be reliable unless there is an adequate
signal/noise ratio. Using BP rather than Doppler minimizes noise. The early phase
(before vascular compensation) has the richest signal lode.
PMID- 22095640
TI - The cox-maze procedure for lone atrial fibrillation: a single-center experience
over 2 decades.
AB - BACKGROUND: The Cox-Maze procedure (CMP) has achieved high success rates in the
therapy of atrial fibrillation (AF) while becoming progressively less invasive.
This report evaluates our experience with the CMP in the treatment of lone AF
over 2 decades and compares the original cut-and-sew CMP-III to the ablation
assisted CMP-IV, which uses bipolar radiofrequency and cryoenergy to create the
original lesion pattern. METHODS AND RESULTS: Data were collected prospectively
on 212 consecutive patients (mean age, 53.5+/-10.4 years; 78% male) who underwent
a stand-alone CMP from 1992 through 2010. The median duration of preoperative AF
was 6 (interquartile range, 2.9-11.5) years, with 48% paroxysmal and 52%
persistent or long-standing persistent AF. Univariate analysis with preoperative
and perioperative variables used as covariates for the CMP-III (n=112) and the
CMP-IV (n=100) was performed. Overall, 30-day mortality was 1.4%, with no
intraoperative deaths. Freedom from AF was 93%, and freedom from AF off
antiarrhythmics was 82%, at a mean follow-up time of 3.6+/-3.1 years. Freedom
from symptomatic AF at 10 years was 85%. Only 1 late stroke occurred, with 80% of
patients not receiving anticoagulation therapy. The less invasive CMP-IV had
significantly shorter cross-clamp times (41+/-13 versus 92+/-26 minutes; P<0.001)
while achieving high success rates, with 90% freedom from AF and 84% freedom from
AF off antiarrhythmics at 2 years. CONCLUSIONS: The CMP, although simplified and
shortened by alternative energy sources, has excellent results, even with
improved follow-up and stricter definition of failure.
PMID- 22095641
TI - Association of SNPs linked to increased expression of SLC1A1 with schizophrenia.
AB - Glutamate is one of the key molecules involved in signal transduction in the
brain, and dysfunction of glutamate signaling could be linked to schizophrenia.
The SLC1A1 gene located at 9p24 encodes the glutamate transporter EAAT3/EAAC1. To
investigate the association between the SLC1A1 gene and schizophrenia in the
Japanese population, we genotyped 19 tagging single nucleotide polymorphisms
(tagSNPs) in the SLC1A1 gene in 576 unrelated individuals with schizophrenia and
576 control subjects followed by replication in an independent case-control study
of 1,344 individuals with schizophrenia and 1,344 control subjects. In addition,
we determined the boundaries of the copy number variation (CNV) region in the
first intron (Database of Genomic Variants, chr9:4516796-4520549) and directly
genotyped the CNV because of significant deviation from the Hardy-Weinberg
equilibrium. The CNV was not associated with schizophrenia. Four SNPs showed a
possible association with schizophrenia in the screening subjects and the
associations were replicated in the same direction (nominal allelic P < 0.05),
and, among them, an association with rs7022369 was replicated even after
Bonferroni correction (allelic nominal P = 5 * 10(-5) , allelic corrected P = 2.5
* 10(-4) , allelic odds ratio, 1.30; 95% CI: 1.14-1.47 in the combined subjects).
Expression analysis quantified by the real-time quantitative polymerase chain
reaction in the postmortem prefrontal cortex of 43 Japanese individuals with
schizophrenia and 11 Japanese control subjects revealed increased SLC1A1
expression levels in individuals homozygous for the rs7022369 risk allele (P =
0.003). Our findings suggest the involvement of SLC1A1 in the pathogenesis of
schizophrenia.
PMID- 22095642
TI - Assembling nanostructures for effective catalysis: supported palladium
nanoparticle multicores coated by a hollow and nanoporous zirconia shell.
AB - We report the synthesis and catalytic activities of highly stable, hollow
nanoreactors, called SiO(2)/Pd/h-ZrO(2), which consist of silica microsphere
(SiO(2))-supported Pd nanoparticle multicores (Pd) that are encapsulated with a
hollow and nanoporous ZrO(2) shell (h-ZrO(2)). The SiO(2)/Pd/h-ZrO(2)
nanoreactors are fabricated by first synthesizing SiO(2)/Pd/SiO(2)/ZrO(2)
microspheres, and then etching the inner SiO(2) shell with dilute NaOH solution.
The hollow and nanoporous ZrO(2) shell of the nanoreactors serves two important
functions: 1) it provides reactants direct access to the Pd nanoparticle
multicores inside the SiO(2)/Pd/h-ZrO(2) nanoreactors during catalysis, and 2) it
stabilizes the Pd nanoparticles or protects them from aggregation/sintering. The
fabrication of such structures capable of protecting the Pd nanoparticles from
aggregation/sintering is of particular interest considering the fact that Pd
nanoparticles generally have a high tendency to aggregate because of their high
surface energies. Furthermore, the structures are interesting because the Pd
nanoparticles are designed and synthesized here to have 'naked' surfaces or no
organic surface-passivating ligands-that are often necessary to stabilize
metallic nanoparticles-in order to increase their catalytic efficiency. The
resulting SiO(2)/Pd/h-ZrO(2) nanoreactors show excellent catalytic activity, as
shown in the hydrogenation of olefins and nitro groups, even at room temperature
under moderate hydrogen pressure. This stems from the SiO(2)/Pd/h-ZrO(2)
microspheres' high surface area and their small, stable, and bare Pd
nanoparticles. Furthermore, the SiO(2)/Pd/h-ZrO(2) nanoreactor catalysts remain
fairly stable after reaction and can be recycled multiple times without losing
their high catalytic activities.
PMID- 22095643
TI - Apigenin attenuates neointima formation via suppression of vascular smooth muscle
cell phenotypic transformation.
AB - Abnormal proliferation, migration, and phenotypic modulation of vascular smooth
muscle cells (VSMCs) are critical factors in neointima formation during
restenosis. The purpose of this study is to determine the efficacy and possible
cell signaling mechanisms of apigenin in VSMC activation induced by platelet
derived growth factor (PDGF)-BB and injury-induced neointima formation. Our data
revealed a dose-dependent apigenin inhibition of PDGF-BB-induced proliferation of
VSMCs by arresting cells in G0/G1-phase of the cell cycle as determined using 5
bromo-2'-deoxyuridine incorporation and flow cytometry. This was associated with
the inhibition of cyclin-dependent kinase (CDK) 4,6 expression and an increase in
p27Kip1 levels in PDGF-stimulated VSMCs. Moreover, apigenin was also found to
regulate PDGF-induced migration and expression of smooth-muscle-specific
contractile markers. Mechanistically, the PDGF-BB-induced phosphorylation of PDGF
receptor beta (PDGF-Rbeta), Akt/glycogen synthase kinase(GSK)3beta, extracellular
signal-regulated kinase1/2 (ERK1/2), and signal transducers and activators of
transcription 3 (STAT3) is negatively modulated by apigenin. For the in vivo
studies using a mouse carotid arterial injury model, the administration of
apigenin resulted in a significant inhibition of the neointima/media ratio and
proliferating cell nuclear antigen (PCNA)-positive cells. These results
demonstrate that apigenin can suppress PDGF-induced VSMC activation and neointima
hyperplasia after vascular injury; these beneficial effects are probably the
result of the blockade of PDGF-Rbeta phosphorylation and its downstream signal
transduction, including the Akt/GSK-3beta, ERK1/2, and STAT3 pathways. The
results suggest that apigenin may be a potential therapeutic candidate for the
prevention of restenosis.
PMID- 22095644
TI - Anthrax toxin protective antigen--insights into molecular switching from prepore
to pore.
AB - The protective antigen is a key component of the anthrax toxin, as it allows
entry of the enzymatic components edema factor and lethal factor into the host
cell, through the formation of a membrane spanning pore. This event is absolutely
critical for the pathogenesis of anthrax, and although we have yet to understand
the mechanism of pore formation, recent developments have provided key insights
into how this process may occur. Based on the available data, a model is proposed
for the kinetic steps for protective antigen conversion from prepore to pore. In
this model, the driving force for pore formation is the formation of the phi
(phi)-clamp, a region that forms a leak-free seal around the translocating
polypeptide. Formation of the phi-clamp elicits movements within the prepore that
provide steric freedom for the subsequent conformational changes required to form
the membrane spanning pore.
PMID- 22095645
TI - High-throughput screening for inhibitors of sialyl- and fucosyltransferases.
AB - Sweet screens: A high-throughput screening platform for identification of
inhibitors of sialyl- and fucosyltransferases based on fluorescence polarization
(FP) has been developed. An analogue of the natural donor substrate carrying a
fluorescent label (green star) is transferred to a glycoprotein acceptor, which
results in robust FP. The screening of 16,000 compounds against different
glycosyltransferases has identified various interesting inhibitors.
PMID- 22095646
TI - Remarkably selective recognition of iodobenzene derivatives by a macrocyclic bis
Pt(II) metallohost.
AB - We designed and synthesized self-assembled bis-Pt(II) dimer 1?4 BF(4) with
quino[8,7-b][1,10]phenanthroline as an extended pi-face contact area, which acts
as the first artificial receptor with high affinity toward iodinated aromatic
compounds significantly based on noncovalent iodine???aromatic-plane interactions
in a "side-on" fashion. Despite their structural similarity to a previously
reported metallohost 2(4+) that bears 2,2':6',2''-terpyridine units, a dramatic
change in selectivity toward substituted benzene derivatives was observed for
1(4+). (1)H NMR spectroscopic titration revealed a high affinity of 1(4+) towards
haloarenes, with exceptionally large association constants for 2-iodophenol (K(a)
= 16,000 M(-1)) and 1,2-diiodobenzene (K(a) = 21,000 M(-1)), which are 93- and
140-fold higher, respectively, than the values obtained for 2(4+). In addition,
1(4+) showed a remarkably high affinity and selectivity toward 2,6-diiodophenol
(K(a) = 35,000 M(-1)), which is an important substructure of the thyroid hormone
T(4). X-ray crystallography and theoretical calculations strongly suggest that
"side-on" iodine???aromatic-plane interactions and pi-pi stacking contribute to
the strong 1,2-diiodobenzene and 2,6-diiodophenol binding. The results obtained
here give unique and valuable insight into the nature of halogen atom
interactions in their "side-on" region with an electropositive aromatic plane,
which may provide useful guidance for designing artificial receptors for
iodinated biomolecules.
PMID- 22095647
TI - A randomized and controlled study comparing immunoadsorption and plasma exchange
in myasthenic crisis.
AB - Myasthenic crisis is the most serious life-threatening event in Myasthenia gravis
patients, affecting up to 27% within the first two years after onset of disease.
Extracorporeal removal of circulating autoantibodies against the nicotinic
acetylcholine receptor (AChRAb) by methods of therapeutic apheresis, e.g. plasma
exchange (PE) and immunoadsorption (IA) had been demonstrated as effective
treatment especially in acute situations of myasthenic crisis. However,
controlled data comparing clinical safety and efficacy of both methods in a
clinical study were not available. Here the results of a prospective randomized
controlled clinical trial are presented, investigating 19 patients with
myasthenic crisis, who were randomized to receive either PE (n = 10) or IA (n =
9) in addition to combined drug treatment. Patients received 3 to 5 (mean 3.5 for
PE, and 3.4 for IA) treatments over a period of 7 days with a predefined
treatment volume of 1.5 l plasma (i.e., 20-25 ml/kg plasma representing 0.5-0.6
patients' plasma volumes). Clinical courses were monitored using disease specific
clinical scores. After initiation of IA or PE the mean value of Myasthenia scores
decreased equally until Day 14 of the post-treatment phase. Patients from both
treatment groups improved to a stable clinical status of Oosterhuis Classes 1 and
2. Substantial reduction of AChRAb was documented after each session of PE or IA.
In the treatment period 16 adverse effects (seven serious adverse events, SAE) in
the PE and 10 (1 SAE) in the IA group were observed. In conclusion, IA proved to
be equally effective compared with PE treatment in patients with myasthenic
crisis. Three to five treatment sessions using low plasma volume dosage of 20-25
ml/kg were adequate to improve clinically relevant symptoms significantly in most
patients.
PMID- 22095649
TI - To feed or what to feed in cirrhosis?
PMID- 22095648
TI - Ensemble modeling of protein disordered states: experimental restraint
contributions and validation.
AB - Disordered states of proteins include the biologically functional intrinsically
disordered proteins and the unfolded states of normally folded proteins. In
recent years, ensemble-modeling strategies using various experimental
measurements as restraints have emerged as powerful means for structurally
characterizing disordered states. However, these methods are still in their
infancy compared with the structural determination of folded proteins. Here, we
have addressed several issues important to ensemble modeling using our ENSEMBLE
methodology. First, we assessed how calculating ensembles containing different
numbers of conformers affects their structural properties. We find that larger
ensembles have very similar properties to smaller ensembles fit to the same
experimental restraints, thus allowing a considerable speed improvement in our
calculations. In addition, we analyzed the contributions of different
experimental restraints to the structural properties of calculated ensembles,
enabling us to make recommendations about the experimental measurements that
should be made for optimal ensemble modeling. The effects of different
restraints, most significantly from chemical shifts, paramagnetic relaxation
enhancements and small-angle X-ray scattering, but also from other data,
underscore the importance of utilizing multiple sources of experimental data.
Finally, we validate our ENSEMBLE methodology using both cross-validation and
synthetic experimental restraints calculated from simulated ensembles. Our
results suggest that secondary structure and molecular size distribution can
generally be modeled very accurately, whereas the accuracy of calculated tertiary
structure is dependent on the number of distance restraints used.
PMID- 22095650
TI - Real-time gene delivery vector tracking in the endo-lysosomal pathway of live
cells.
AB - Using live-cell confocal microscopy and particle tracking technology, the
simultaneous transport of intracellular vesicles of the endo-lysosomal pathway
and nonviral polyethylenimine (PEI)/DNA nanocomplexes was investigated. Due to
potential problems associated with the use of acid-sensitive probes in
combination with a gene vector that is hypothesized to buffer the pH of
intracellular vesicles, the biological location of PEI/DNA gene vectors was
revealed by probing their trafficking in cells expressing fluorescent versions of
either early endosome antigen 1, a protein that localizes to early endosomes, or
Niemann Pick C1, a protein that localizes to late endosomes and lysosomes.
Studies directly show that PEI/DNA nanoparticles are actively transported within
both early and late endosomes, and display similar overall transport rates in
each. Additionally, gene vector transfer between endosomes is observed. Over time
post-transfection, gene vectors accumulate in late endosomes/lysosomes; however,
real-time escape of vectors from membrane-bound vesicles is not observed.
PMID- 22095651
TI - Zeranol: doping offence or mycotoxin? A case-related study.
AB - Zeranol ((7R,11S)-7,15,17-trihydroxy-11-methyl-12-oxabicyclo[12.4.0]octadeca
1(14),15,17-trien-13-one, also referred to as 7alpha-zearalanol, Ralone(r),
Frideron(r), Ralgro(r), etc.) is a semi-synthetic estrogenic veterinary drug with
growth-promoting properties. Its use regarding animal husbandry has been
prohibited in the European Union since 1981 and, due to its anabolic effects, it
is further recognized as a banned substance in sport. Numerous studies were
conducted concerning the identification of the illicit application of zeranol to
domestic livestock. These studies also considered the natural occurrence of
zeranol as a metabolite of the mycotoxin zearalenone and the issue of
differentiating both scenarios, i.e. illegal use or unintended contamination.
Human sports drug testing authorities are facing comparable challenges since the
deliberate misuse of the (for human application non-approved) drug should be
discriminated from adverse analytical findings resulting from the
biotransformation of the mycotoxin zearalenone possibly ingested with
contaminated food. The active drug (zeranol), its major human metabolites
(zearalanone, 7beta-zearalanol) and the mycotoxin (zearalenone) plus its major
and unique metabolic products (alpha-zearalenol, beta-zearalenol) have been
monitored in routine doping controls by means of validated gas chromatography
(tandem) mass spectrometry (GC-(MS/)MS) methods since 1996, and between 2005 and
2010 four samples providing suspicious signals were detected. In agreement with
literature data, in vitro metabolism studies demonstrated the metabolic pathway
from zearalenone towards zeranol (and common metabolites). In contrast, an
administration study urine sample (collected after oral application of 20 mg of
zeranol) yielded only ultra-trace amounts of zearalenone and its characteristic
metabolites, which supported the assumption that a mycotoxin contamination caused
the finding of zeranol in the doping control specimens rather than a misuse of
the anabolic agent.
PMID- 22095652
TI - High resolution three-dimensional cine phase contrast MRI of small intracranial
aneurysms using a stack of stars k-space trajectory.
AB - PURPOSE: To develop a method for targeted volumetric, three directional cine
phase contrast (PC) imaging with high spatial resolution in clinically feasible
scan times. MATERIALS AND METHODS: A hybrid radial-Cartesian k-space trajectory
is used for cardiac gated, volumetric imaging with three directional velocity
encoding. Imaging times are reduced by radial undersampling and temporal
viewsharing. Phase contrast angiograms are displayed in a new approach that
addresses the concern of signal drop out in regions of slow flow. The feasibility
of the PC stack of stars (SOS) trajectory was demonstrated with an in vivo study
capturing 14 small intracranial aneurysms (2-10 mm). Aneurysm measures from six
aneurysms also imaged with digital subtraction angiography (DSA) were compared
with linear regression with those from the PC SOS images. RESULTS: All aneurysms
were identified on the phase contrast angiograms. The geometric measures from PC
SOS and DSA were in good agreement (linear regression: slope = 0.89, intercept =
0.35, R?2 = 0.88). CONCLUSION: PC SOS is a promising method for obtaining
volumetric angiograms and cine phase contrast velocity measurements in three
dimensions. Acquired spatial resolutions of 0.4 * 0.4 * (0.7-1.0) mm make this
method especially promising for studying flow in small intracranial aneurysms.
PMID- 22095653
TI - Lack of association between p.Ser167Asn variant of Parkin and Parkinson's
disease: a meta-analysis of 15 studies involving 2,280 cases and 2,459 controls.
AB - Previous clinical trials have evaluated the association between Parkin
p.Ser167Asn (c.601G>A) variant and Parkinson's disease (PD) risk. However, the
results remain conflicting rather than conclusive. Therefore, we performed this
meta-analysis to assess whether pooled results show the association. We performed
structured literature searches for studies addressing the association between the
Parkin p.Ser167Asn variant and PD risk. We conducted analyses of study
characteristics, heterogeneity, and funnel plot asymmetry in analyses analogous
to additive, dominant, recessive, and general genetic models with the odds ratio
(OR) as the measure of association. When 15 eligible studies (n = 4,739 subjects)
were pooled into the meta-analysis, there was no evidence for significant
association in additive genetic model between Parkin p. Ser167Asn variant and PD
risk (OR = 1.02, 95% confidence interval (CI) = 0.83-1.25; P = 0.866). The OR for
the dominant model was 1.06 (95% CI = 0.80-1.41) while the OR for the recessive
model was 0.90 (95% CI = 0.71-1.14). The OR for the heterozygous was 1.07 (95% CI
= 0.80-1.43) while the OR for the homozygotes was 1.19 (95% CI = 0.81-1.74). In
the subgroup analysis by ethnicity, no significant association was found in any
genetic model. Begg's funnel plot and Egger's test provided visual and
statistical evidences for funnel plot symmetry, suggesting no presence of
publication bias. In summary, the meta-analysis strongly suggests that Parkin p.
Ser167Asn variant is not associated with PD risk.
PMID- 22095654
TI - Overtures to takotsubo's cardiomyopathy.
PMID- 22095655
TI - American Society for Preventive Cardiology Annual Debate: coronary heart disease
in men and women--does one size fit all?
PMID- 22095656
TI - Primary prevention of coronary heart disease in men and women: does 1 size fit
all? Yes!
AB - Cardiovascular disease remains the leading cause of mortality in both women and
men in the industrialized nations. Coronary heart disease (CHD) accounts for the
single largest share of this toll in both sexes. Although it had long been known
that the number 1 cause of death in men is CHD, it was determined only relatively
recently that this was also true in women. Identification of the traditional risk
factors (RFs) for CHD by the Framingham Heart Study and other investigations
during the last 5 decades has provided the basis of preventive cardiology. These
RFs can be considered as fixed or modifiable. Numerous epidemiologic and clinical
studies have demonstrated that, with few exceptions, the major RFs that increase
the hazard for CHD are the same for both men and women, whether fixed (age, sex,
family history) or modified (lipids, blood pressure, smoking). A number of other
RFs are under investigation and await confirmation in rigorous prospective
studies. Even those conditions unique to women, which can predispose patients to
CHD, such as polycystic ovaries and complications of pregnancy, act through
provocation of the traditional RFs. Thus, the large body of evidence that
supports the similarity of RFs for CHD in men and women provides a rational
foundation for similar strategies of prevention in the 2 sexes.
PMID- 22095657
TI - Coronary heart disease in men and women: does 1 size fit all? No!
PMID- 22095658
TI - Mortality trends for non-ST-segment elevation myocardial infarction (NSTEMI) in
the United States from 1988 to 2004.
AB - BACKGROUND: Non-ST-segment myocardial infarction (NSTEMI) is one of the major
causes of hospital admissions. Mortality trend in patients with NSTEMI over the
years has not been studied well. The goal of this study is to explore age
adjusted long-term mortality trends from NSTEMI in the United States using a very
large database. METHODS: We used the National Inpatient Sample (NIS) database, a
component of the Health Care Cost and Utilization (HCUP) project, for this study.
International Classification of Disease, Ninth Revision, Clinical Modification
(ICD-9-CM) codes were used to identify NSTEMI cases in patients >40 years old.
Age-adjusted mortality rates for NSTEMI cases were calculated by multiplying the
age-specific mortality rates of NSTEMI by age-specific weights. RESULTS: A total
of 1,400,234 patients above the age of 40 years were identified. The mean age of
this cohort was 77.1+/-10.7 years, with a total of 179,361 deaths being reported
over this 16-year period. Among patients who died, 51.2% were men and 48.8% were
women. The age-adjusted mortality from NSTEMI declined from 1988 (727 per
100,000) to 2004 (305 per 100,000) until the middle of the decade when mortality
from NSTEMI started leveling off. Total mortality decreased from 29.6% in 1988 to
11.3% in 2004. CONCLUSIONS: Our analysis showed a significant reduction in the
age-adjusted and total mortality for NSTEMI over the years studied. The cause of
this trend is not known but most likely reflects advancement in the treatment of
patients with acute coronary syndrome.
PMID- 22095659
TI - Clinical impact of off-label cardiac resynchronization therapy in end-stage heart
failure patients on continuous intravenous inotrope.
AB - BACKGROUND: Potential benefits of off-label cardiac resynchronization therapy
(CRT) in end-stage heart failure (EHF) patients have not been fully investigated.
HYPOTHESIS: Some EHF patients who are dependent on intravenous inotropes can
benefit from CRT. METHODS: We retrospectively enrolled 14 EHF patients who were
dependent on intravenous inotropes at the time of CRT implantation. Mean duration
of inotropic support was 51 +/- 47 days before CRT device implantation. To
identify the efficacy of CRT, we assessed the successful withdrawal rate from
inotropic support and survival estimates after device implantation. We also tried
to identify possible predictors for withdrawal by comparing patient demographics
between successful withdrawal (SW) and nonwithdrawal (NW) groups. RESULTS:
Successful withdrawal was achieved in 9 (64%) of 14 patients 46 +/- 33 days after
CRT device implantation. Event-free survival was longer in the SW group than in
the NW group (810 +/- 169 days vs 114 +/- 34 days; P = 0.007). In addition,
patients in the SW group showed a higher previous surgery rate (89% vs 20%; P =
0.010) and a lower grade of mitral regurgitation (median, 0 vs 2; P = 0.010) than
those in the NW group. CONCLUSIONS: Our retrospective data showed potential
benefits of CRT among EHFpatients. Treatment of mitral regurgitation might be an
essential qualification for managing EHF patients with CRT.
PMID- 22095661
TI - Effect of reconstituted discoidal high-density lipoproteins on lipid mobilization
in RAW 264.7 and CHOK1 cells.
AB - Reconstituted discoidal high-density lipoproteins (rHDL) resemble nascent HDL,
which are formed at the early reverse cholesterol transport steps, and constitute
the initial cholesterol (Chol) acceptors from cell membranes. We have used
different sized rHDL containing or not Chol, to test their abilities to promote
cholesterol and phospholipid efflux from two different cell lines: Raw 264.7
macrophages and CHOK1 cells. All rHDL and lipid-free apolipoprotein A-I (apoA-I)
were found to be bound to CHO and RAW cells. In RAW cells, a positive correlation
between cellular binding and Chol removal was found for 78 and 96 A rHDL. Chol
free rHDL were more effective than Chol-containing ones in binding to RAW cells
and promoting Chol removal. These results were more evident in the 96 A rHDL. On
the other hand, rHDL binding to CHO cells was relatively independent of disc size
and Chol content. In spite of the fact that apoA-I and rHDL promoted Chol efflux
from both cellular lines, only in CHOK1 cells this result was also associated to
decrease Chol esterification. Among choline-containing phospholipids, only
phosphatidylcholine (PC) (but not sphingomyelin) was detected to be effuxed from
both cellular lines. With the only exception of Chol-free 96 A discs, the other
rHDL as well as apoA-I promoted PC efflux from RAW cells. Chol-containing rHDL
were more active than Chol-free ones of comparable size to promote PC efflux from
RAW macrophages. Regarding CHO cells, only apoA-I and Chol-free 78 A rHDL were
active enough to remove PC.
PMID- 22095660
TI - Hepatocyte growth factor/c-met signaling is required for stem-cell-mediated liver
regeneration in mice.
AB - Hepatocyte growth factor (HGF)/c-Met supports a pleiotrophic signal transduction
pathway that controls stem cell homeostasis. Here, we directly addressed the role
of c-Met in stem-cell-mediated liver regeneration by utilizing mice harboring c
met floxed alleles and Alb-Cre or Mx1-Cre transgenes. To activate oval cells, the
hepatic stem cell (HSC) progeny, we used a model of liver injury induced by diet
containing the porphyrinogenic agent, 3,5-diethocarbonyl-1,4-dihydrocollidine
(DDC). Deletion of c-met in oval cells was confirmed in both models by polymerase
chain reaction analysis of fluorescence-activated cell-sorted epithelial cell
adhesion molecule (EpCam)-positive cells. Loss of c-Met receptor decreased the
sphere-forming capacity of oval cells in vitro as well as reduced oval cell pool,
impaired migration, and decreased hepatocytic differentiation in vivo, as
demonstrated by double immunofluorescence using oval- (A6 and EpCam) and
hepatocyte-specific (i.e. hepatocyte nuclear factor 4-alpha) antibodies.
Furthermore, lack of c-Met had a profound effect on tissue remodeling and overall
composition of HSC niche, which was associated with greatly reduced matrix
metalloproteinase (MMP)9 activity and decreased expression of stromal-cell
derived factor 1. Using a combination of double immunofluorescence of cell-type
specific markers with MMP9 and gelatin zymography on the isolated cell
populations, we identified macrophages as a major source of MMP9 in DDC-treated
livers. The Mx1-Cre-driven c-met deletion caused the greatest phenotypic impact
on HSCs response, as compared to the selective inactivation in the epithelial
cell lineages achieved in c-Met(fl/fl); Alb-Cre(+/-) mice. However, in both
models, genetic loss of c-met triggered a similar cascade of events, leading to
the failure of HSC mobilization and death of the mice. CONCLUSION: These results
establish a direct contribution of c-Met in the regulation of HSC response and
support a unique role for HGF/c-Met as an essential growth-factor-signaling
pathway for regeneration of diseased liver.
PMID- 22095662
TI - The astrocytic lineage marker calmodulin-regulated spectrin-associated protein 1
(Camsap1): phenotypic heterogeneity of newly born Camsap1-expressing cells in
injured mouse brain.
AB - Calmodulin-regulated spectrin-associated protein 1 (Camsap1) has been recognized
as a new marker for astrocytic lineage cells and is expressed on mature
astrocytes in the adult brain (Yamamoto et al. [2009] J. Neurosci. Res. 87:503
513). In the present study, we found that newly born Camsap1-expressing cells
exhibited regional heterogeneity in an early phase after stab injury of the mouse
brain. In the surrounding area of the lesion site, Camsap1 was expressed on
quiescent astrocytes. At 3 days after injury, Camsap1 immunoreactivity was
upregulated on glial fibrillary acidic protein-immunoreactive (GFAP-ir)
astrocytes. Some of these astrocytes incorporated bromodeoxyuridine (BrdU)
together with re-expression of the embryonic cytoskeleton protein nestin. In the
neighboring region of the lesion cavity, Camsap1 was expressed on GFAP-negative
cells. At 3 days after injury, GFAP-ir astrocytes were absent around the lesion
cavity. At this stage, NG2-ir cells immunopositive for Camsap1 and immunonegative
for GFAP were distributed in border of the lesion cavity. By 10 days, Camsap1
immunoreactivity was exclusively detected on GFAP-ir reactive astrocytes devoid
of NG2 immunoreactivity. BrdU pulse-chase labeling assay suggested the
differentiation of Camsap1+/NG2+ cells into Camsap1+/GFAP+ astrocytes. In the
subependymal zone of the lateral ventricle, Camsap1-ir cells increased after
injury. Camsap1 immunoreactivity was distributed on ependymal and subependymal
cells bearing various astrocyte markers, and BrdU incorporation was enhanced on
such Camsap1-ir cells after injury. These results suggest that newly born
reactive astrocytes are derived from heterogeneous Camsap1-expressing cells in
the injured brain.
PMID- 22095663
TI - Exploitation of a novel polysaccharide nanogel cross-linking membrane for guided
bone regeneration (GBR).
AB - Cholesterol-bearing pullulan (CHP) nanogel is a synthetic degradable biomaterial
for drug delivery with high biocompatibility. Guided bone regeneration (GBR) is a
bone augmentation technique in which a membrane is used to create and keep a
secluded regenerative space. The purpose of the present study was to evaluate the
effects of the novel CHP nanogel membrane in GBR. Thirty-six adult Wistar rats
were used and bilaterally symmetrical full-thickness parietal bone defects of 5
mm diameter were created with a bone trephine burr. Each defect was covered with
the collagen membrane or the CHP nanogel membrane or untreated without any
membrane. The animals were sacrificed at 2, 4 and 8 weeks and analysed
radiologically and histologically. Furthermore, after incubating human serum with
CHP nanogel or collagen, the amount of PDGF in the serum was measured using
ELISA. New bone formation in terms of bone volume was higher in the nanogel group
than in the control or collagen groups at 2 and 4 weeks. At 8 weeks, both
membrane groups showed higher bone volumes than the control group. Notably, the
newly-formed bone in the bone defect in the nanogel group was uniform and
histologically indistinguishable from the original bone, whereas in the collagen
group the new bone showed an irregular structure that was completely different
from the original bone. After incubating with CHP nanogel, the amount of PDGF in
the serum decreased significantly. CHP nanogel GBR membrane favourably stimulated
bone regeneration, in which a unique characteristic of CHP nanogel, the storage
of endogenous growth factors, was likely implicated.
PMID- 22095664
TI - Bromomaleimide-linked bioconjugates are cleavable in mammalian cells.
PMID- 22095665
TI - Anthraquinone as a redox label for DNA: synthesis, enzymatic incorporation, and
electrochemistry of anthraquinone-modified nucleosides, nucleotides, and DNA.
AB - Modified 2'-deoxynucleosides and deoxynucleoside triphosphates (dNTPs) bearing
anthraquinone (AQ) attached through an acetylene or propargylcarbamoyl linker at
the 5-position of pyrimidine (C) or at the 7-position of 7-deazaadenine were
prepared by Sonogashira cross-coupling of halogenated dNTPs with 2
ethynylanthraquinone or 2-(2-propynylcarbamoyl)anthraquinone. Polymerase
incorporations of the AQ-labeled dNTPs into DNA by primer extension with KOD XL
polymerase have been successfully developed. The electrochemical properties of
the AQ-labeled nucleosides, nucleotides, and DNA were studied by cyclic and
square-wave voltammetry, which show a distinct reversible couple of peaks around
0.4 V that make the AQ a suitable redox label for DNA.
PMID- 22095666
TI - Ribonuclease A suggests how proteins self-chaperone against amyloid fiber
formation.
AB - Genomic analyses have identified segments with high fiber-forming propensity in
many proteins not known to form amyloid. Proteins are often protected from
entering the amyloid state by molecular chaperones that permit them to fold in
isolation from identical molecules; but, how do proteins self-chaperone their
folding in the absence of chaperones? Here, we explore this question with the
stable protein ribonuclease A (RNase A). We previously identified fiber-forming
segments of amyloid-related proteins and demonstrated that insertion of these
segments into the C-terminal hinge loop of nonfiber-forming RNase A can convert
RNase A into the amyloid state through three-dimensional domain-swapping, where
the inserted fiber-forming segments interact to create a steric zipper spine. In
this study, we convert RNase A into amyloid-like fibers by increasing the loop
length and hence conformational freedom of an endogenous fiber-forming segment,
SSTSAASS, in the N-terminal hinge loop. This is accomplished by sandwiching
SSTSAASS between inserted Gly residues. With these inserts, SSTSAASS is now able
to form the steric zipper spine, allowing RNase A to form amyloid-like fibers. We
show that these fibers contain RNase A molecules retaining their enzymatic
activity and therefore native-like structure. Thus, RNase A appears to prevent
fiber formation by limiting the conformational freedom of this fiber-forming
segment from entering a steric zipper. Our observations suggest that proteins
have evolved to self-chaperone by using similar protective mechanisms.
PMID- 22095667
TI - Decreased expression of Beclin 1 correlates with a metastatic phenotypic feature
and adverse prognosis of gastric carcinomas.
AB - BACKGROUND AND OBJECTIVES: Beclin 1 plays a critical role in the regulation of
autophagy, apoptosis, differentiation and the development and progression of
cancer. The clinicopathological significance of Beclin 1 expression in patients
with gastric carcinoma (GC) has not been yet elucidated. METHODS:
Immunohistochemistry (IHC) was performed to investigate the Beclin 1 expression
in GCs and normal mucosal tissues. Receiver operating characteristic curve
analysis, spearman's rank correlation, Kaplan-Meier plots and Cox proportional
hazards regression model were used to analyze the data. RESULTS: The highly
expressed Beclin 1 was observed in 90/155 (58.1%) of GCs, in 24/60 (40.0%)
adjacent mucosal tissues and in 13/30 (43.3%) of normal gastric mucosa tissues (P
= 0.036). Decreased expression of Beclin 1 in cancer cells was significantly
correlated with poor differentiation, nodal and distant metastasis, advanced TNM
stage, and tumor relapse. More importantly, Decreased expression of Beclin 1 was
associated with shorter survival as evidenced by univariate and multivariate
analysis. CONCLUSIONS: Our findings provide a basis for the concept that
decreased expression of Beclin 1 in GC may be important in the acquisition of a
metastatic phenotype, suggesting that decreased Beclin 1 expression, as examined
by IHC, is an independent biomarker for poor prognosis of patients with GC.
PMID- 22095668
TI - Measuring the quality of therapeutic apheresis care in the pediatric intensive
care unit.
AB - Our goal was to measure the quality of care provided in the Pediatric Intensive
Care Unit (PICU) during Therapeutic Apheresis (TA). We described the care as a
step by step process. We designed a flow chart to carefully document each step of
the process. We then defined each step with a unique clinical indictor (CI) that
represented the exact task we felt provided quality care. These CIs were studied
and modified for 1 year. We measured our performance in this process by the
number of times we accomplished the CI vs. the total number of CIs that were to
be performed. The degree of compliance, with these clinical indicators, was
analyzed and used as a metric for quality by calculating how close the process is
running exactly as planned or "in control." The Apheresis Process was in control
(compliance) for 47% of the indicators, as measured in the aggregate for the
first observational year. We then applied the theory of Total Quality Management
(TQM) through our Design, Measure, Analyze, Improve, and Control (DMAIC) model.
We were able to improve the process and bring it into control by increasing the
compliance to > 99.74%, in the aggregate, for the third and fourth quarter of the
second year. We have implemented TQM to increase compliance, thus control, of a
highly complex and multidisciplinary Pediatric Intensive Care therapy. We have
shown a reproducible and scalable measure of quality for a complex clinical
process in the PICU, without additional capital expenditure.
PMID- 22095669
TI - Color tuning by local sputtering metal nanolayer on microstructured porous
alumina.
AB - This article reports a novel color tuning method by local sputtering nanolayers
on microstructured porous alumina (PA) templates with different pore depths. With
the aid of scanning electron microscopy observation, physical models of the
original and sputtered PA templates are set up, and the details of the color
tuning method are further proposed. Two series of colors covering the whole
visible range are first obtained by respectively sputtering Cr and Ag nanolayers
on two groups of PA templates with pore-depths ranging from 230 to 490 nm. A
vivid colorful pattern of "Butterfly wings" is then prepared by local sputtering
such Cr and Ag nanolayers on the surface of a PA with 310 nm pore-depth. The
scanning electron microscopy images of Cr and Ag sputtered PA surfaces show
different microstructures, which is in agreement with different color exhibiting.
This method is expected to have a potential of being widely applied in the fields
of micro-optics, microstructures, advanced materials, and micro/nanotechnology.
PMID- 22095670
TI - Novel function of Niemann-Pick C1-like 1 as a negative regulator of Niemann-Pick
C2 protein.
AB - The hepatic expression of Niemann-Pick C1-like 1 (NPC1L1), which is a key
molecule in intestinal cholesterol absorption, is high in humans. In addition to
NPC1L1, Niemann-Pick C2 (NPC2), a secretory cholesterol-binding protein involved
in intracellular cholesterol trafficking and the stimulation of biliary
cholesterol secretion, is also expressed in the liver. In this study, we examined
the molecular interaction and functional association between NPC1L1 and NPC2. In
vitro studies with adenovirus-based or plasmid-mediated gene transfer systems
revealed that NPC1L1 negatively regulated the protein expression and secretion of
NPC2 without affecting the level of NPC2 messenger RNA. Experiments with small
interfering RNA against NPC1L1 confirmed the endogenous association of these
proteins. In addition, endocytosed NPC2 could compensate for the reduction of
NPC2 in NPC1L1-overexpressing cells, and this demonstrated that the
posttranscriptional regulation of NPC2 was dependent on a novel ability of NPC1L1
to inhibit the maturation of NPC2 and accelerate the degradation of NPC2 during
its maturation. Furthermore, to confirm the physiological relevance of NPC1L1
mediated regulation, we analyzed human liver specimens and found a negative
correlation between the protein levels of hepatic NPC1L1 and hepatic NPC2.
CONCLUSION: NPC1L1 down-regulates the expression and secretion of NPC2 by
inhibiting its maturation and accelerating its degradation. NPC2 functions as a
regulator of intracellular cholesterol trafficking and biliary cholesterol
secretion; therefore, in addition to its role in cholesterol re-uptake from the
bile by hepatocytes, hepatic NPC1L1 may control cholesterol homeostasis via the
down-regulation of NPC2.
PMID- 22095671
TI - Multiple drugs and multiple targets: an analysis of the electrostatic
determinants of binding between non-nucleoside HIV-1 reverse transcriptase
inhibitors and variants of HIV-1 RT.
AB - We present a systematic, computational analysis of the electrostatic component of
binding of three HIV-1 RT inhibitors-nevirapine (NVP), efavirenz (EFV), and the
recently approved rilpivirine (RPV)-to wild-type (WT) and mutant variants of RT.
Electrostatic charge optimization was applied to determine how suited each
molecule's charge distribution is for binding WT and individual mutants of HIV-1
RT. Although the charge distributions of NVP and EFV are rather far from being
optimal for tight binding, RPVs charge distribution is close to the theoretical,
optimal charge distribution for binding WT HIV-1 RT, although slight changes in
charge can dramatically impact binding energetics. Moreover, toward the
L100I/K103N double mutant, RPVs charge distribution is quite far from optimal. We
also determine the contributions of chemical moieties on each molecule toward the
electrostatic component of binding and show that different regions of a drug
molecule may be used for recognition by different RT variants. The electrostatic
contributions of certain RT residues toward drug binding are also computed to
highlight critical residues for each interaction. Finally, the charge
distribution of RPV is optimized to promiscuously bind to three RT variants
rather than to each one in turn, with the resulting charge distribution being a
compromise between the optimal charge distributions to each individual variant.
Taken together, this work demonstrates that even in a binding site considered
quite hydrophobic, electrostatics play a subtle yet varying role that must be
considered in designing next-generation molecules that recognize rapidly mutating
targets.
PMID- 22095672
TI - Inversion-recovery-prepared dixon bSSFP: initial clinical experience with a novel
pulse sequence for renal MRA within a breathhold.
AB - PURPOSE: To evaluate the capability of a new breathhold non-contrast-enhanced MRA
method (Non-contrast Outer Radial Inner Square k-space Scheme, NORISKS) to
visualize renal arteries by comparing the method with a routine clinical but
significantly longer non-contrast-enhanced (non-CE) MRA technique. MATERIALS AND
METHODS: Eighteen subjects referred for abdominal MRI were examined with NORISKS
and a routine non-contrast-enhanced MRA technique. Two versions of NORISKS were
evaluated: with and without ECG gating. The images were then scored independently
and in blinded manner by two radiologists on 5-point scales for visualization of
the proximal and distal renal arteries and quality of fat suppression. RESULTS:
No statistically significant difference was detected between NORISKS and routine
clinical non-CE MRA in all categories except for visualization of the distal
renal arteries where ungated NORISKS performed poorer than the routine non-CE MRA
(P < 10(-4) ). CONCLUSION: We have demonstrated a promising non-CE MRA method for
acquiring renal angiograms within a breathhold without any compromise in spatial
resolution or coverage. ECG-gated NORISKS is able to acquire renal angiograms
that are comparable to a routine clinical non-CE MRA method (Inhance IFIR, GE
Healthcare), which requires approximately seven times the scan time of NORISKS.
PMID- 22095673
TI - Proteomic analysis of berry-sizing effect of GA3 on seedless Vitis vinifera L.
AB - Gibberellin (GA) is widely used in the table grape and raisin industries to
enlarge the berries of seedless varieties. However, the mechanism underlying its
berry-sizing effect is poorly understood. In this study, clusters of Centennial
Seedless (Vitis vinifera L.) were treated with 30 ppm GA3 on day 12 after
flowering, and berries were sampled at development stages I, II and III for
proteomic analysis. Among the 1479 proteins detected on 2-DE maps, 19, 70 and 69
spots in stages I, II and III, respectively, showed an at least twofold
difference in volume between treatments and controls. Of these, 125 proteins were
successfully identified and assigned to eight functional groups, chief among them
are metabolism and energy, stress response, expression regulation and
cytoskeleton proteins. Stress-response proteins were predominantly down-regulated
in GA3-treated berries in stages I and II, and significantly up-regulated in
stage III. Up-regulation of cytoskeleton, cell-wall modification and other
important proteins was found in the two latter stages of berry development. Our
proteomic results and subsequent validation revealed, for the first time, the
role of redox homeostasis in GA3-induced berry enlargement and markedly remodeled
cellular protein expression in treated berries.
PMID- 22095674
TI - Accuracy and sensitivity of residual DNA detection by QPCR is not predicted by
target copy number.
AB - A major issue in the use of mammalian cell culture in biopharmaceutical
manufacturing is the removal of process related impurities, such as residual host
cell DNA, during the product purification process. To ensure that sufficient DNA
removal is achieved during purification, it is essential to have an accurate and
sensitive assay for host cell DNA. The quantitative polymerase chain reaction
(QPCR) is widely used for this purpose; however, the extent to which the choice
of QPCR gene target can have an impact on final results requires further
understanding. In the present study, we examined the relationship between the
genomic copy number of eight different Chinese Hamster ovary (CHO) gene targets
and the sensitivity and accuracy afforded by those targets in a residual host
cell DNA QPCR assay. We also evaluated the use of each gene target for accurate
measurement of residual DNA clearance using in-process purification samples from
two CHO production cell lines. Our results revealed a correlation between gene
target abundance and the potential sensitivity for use in a QPCR assay. However,
we found that higher copy number gene targets do not provide the highest
measurement or reveal the largest clearance of residual host cell DNA from
purification samples. These findings suggest that different DNA sequences may
clear or degrade at differential rates and highlight unexpected considerations
that must be made in the choice of QPCR gene target when designing QPCR assays.
PMID- 22095675
TI - Adoption of electronic health records by medical specialty societies.
PMID- 22095676
TI - Are eating disorders a significant clinical issue in urban India? A survey among
psychiatrists in Bangalore.
AB - OBJECTIVE: It is believed that cultural changes such as urbanization and
westernization can lead to increasing rates of eating disorders (EDs). A survey
was conducted among psychiatrists in Bangalore, India to assess whether they were
seeing more cases of ED in the last year. METHOD: Contact details of all
psychiatrists in urban Bangalore were obtained from the directory of the local
psychiatric society. These psychiatrists were contacted by telephone, email, or
in person. A brief proforma was used to record information about the number and
nature of eating disorders they had seen in their practice in the last one year.
RESULTS: Sixty-six psychiatrists took part in the study. Thirty-eight (56%) were
in private practice and 28 (42%) in teaching hospitals. 45 (67%), reported having
seen patients with eating disorders in the last year. The total number of cases
seen was 74. Of these, 32 were diagnosed as anorexia nervosa (AN), 12 as bulimia
nervosa (BN), and 30 as eating disorders not otherwise specified (EDNOS). Sixteen
(23.5%) respondents were of the opinion that EDs were increasing in Bangalore, 18
(26.5%) felt the rates were stable and 28 (42%) were not sure. DISCUSSION: Two
thirds of psychiatrists reported seeing at least one case of ED indicating that
EDs are not uncommon in urban India. Epidemiological studies of EDs in India are
needed to provide better estimates of their prevalence.
PMID- 22095677
TI - Advancing nasal reconstructive surgery: the application of tissue engineering
technology.
AB - Cartilage tissue engineering is a rapidly progressing area of regenerative
medicine with advances in cell biology and scaffold engineering constantly being
investigated. Many groups are now capable of making neocartilage constructs with
some level of morphological, biochemical, and histological likeness to native
human cartilage tissues. The application of this useful technology in articular
cartilage repair is well described in the literature; however, few studies have
evaluated its application in head and neck reconstruction. Although there are
many studies on auricular cartilage tissue engineering, there are few studies
regarding cartilage tissue engineering for complex nasal reconstruction. This
study therefore highlighted the challenges involved with nasal reconstruction,
with special focus on nasal cartilage tissue, and examined how advancements made
in cartilage tissue engineering research could be applied to improve the clinical
outcomes of total nasal reconstructive surgery.
PMID- 22095679
TI - Regio- and stereoselective synthesis of cyclopentenones: intermolecular pseudo
Pauson-Khand cyclization.
PMID- 22095680
TI - Theoretical study of the photochemistry of a reversible three-state bis
thiaxanthylidene molecular switch.
AB - The ground- and the lowest singlet excited-state potential energy surfaces of the
bis-thiaxanthylidene (3) molecular switch are investigated using a density
functional method specifically designed to treat molecular systems typified by
strong non-dynamic electron correlation. The results of the theoretical
calculations suggest that the unique ability of substituted bis-thiaxanthylidenes
to switch between three states of luminescence-non-fluorescent state, blue
fluorescent state, and red fluorescent state-can be explained by specific
features on the excited state potential energy surface: the potential barrier
around the Franck-Condon point of the anti-folded conformer and the existence of
conical intersection in the vicinity of the syn-folded conformer. It is suggested
that the twisted conformer, if made more stable via chemical modification, should
fluoresce in the near-infrared region (lambda~740-760 nm), thus offering a
possibility for a four-state switching of luminescence in a single-component
molecular system.
PMID- 22095678
TI - Homeobox genes in obsessive-compulsive disorder.
AB - BACKGROUND: Despite evidence that obsessive-compulsive disorder (OCD) is a
familial neuropsychiatric condition, progress aimed at identifying genetic
determinants of the disorder has been slow. The OCD Collaborative Genetics Study
(OCGS) has identified several OCD susceptibility loci through linkage analysis.
METHODS: In this study we investigate two regions on chromosomes 15q and 1q by
first refining the linkage region using additional short tandem repeat
polymorphic (STRP) markers. We then performed association analysis on single
nucleotide polymorphisms (SNP) genotyped (markers placed every 2-4 kb) in the
linkage regions in the OCGS sample of 376 rigorously phenotyped affected
families. RESULTS: Three SNPs are most strongly associated with OCD: rs11854486
(P = 0.00005 [0.046 after adjustment for multiple tests]; genetic relative risk
(GRR) = 11.1 homozygous and 1.6 heterozygous) and rs4625687 [P = 0.00007 (after
adjustment = 0.06); GRR = 2.4] on 15q; and rs4387163 (P = 0.0002 (after
adjustment = 0.08); GRR = 1.97) on 1q. The first SNP is adjacent to NANOGP8, the
second SNP is in MEIS2, and the third is 150 kb between PBX1 and LMX1A.
CONCLUSIONS: All the genes implicated by association signals are homeobox genes
and are intimately involved in neurodevelopment. PBX1 and MEIS2 exert their
effects by the formation of a heterodimeric complex, which is involved in
development of the striatum, a brain region involved in the pathophysiology of
OCD. NANOGP8 is a retrogene of NANOG, a homeobox transcription factor known to be
involved in regulation of neuronal development. These findings need replication;
but support the hypothesis that genes involved in striatal development are
implicated in the pathogenesis of OCD.
PMID- 22095681
TI - Colour evaluation of a phycobiliprotein-rich extract obtained from Nostoc PCC9205
in acidic solutions and yogurt.
AB - BACKGROUND: Phycobiliproteins are coloured proteins produced by cyanobacteria,
which have several applications because of their colour properties. However,
there is no available information about the colour stability of phycobiliproteins
from Nostoc sp. in food systems. The aim of this work was to study the colour
stability of a purple-coloured phycobiliprotein-rich extract from the
cyanobacterium Nostoc PCC9205 in acidic solutions and yogurt. RESULTS: Variations
of pH for Nostoc PCC9205 extract have shown stability for the L* (lightness) and
a* (redness) indexes in the range 1.0-7.0. The b* index (blueness), however,
increased at pH values below 4.0, indicating loss of the blue colour. The Nostoc
PCC9205 extract was used as colorant in yogurt (pH 4.17) stored for 60 days.
Instrumental colour analysis showed no changes for the L* and a* indexes during
storage, whereas the b* index changed after 20 days of storage. A multiple
comparison test showed colour instability after 20 days of storage. A hedonic
scale test performed on the 60th day of storage showed acceptability of the
product. CONCLUSIONS: The red component of the phycobiliprotein-rich extract from
Nostoc PCC9205 presented an improved stability in acidic media and yogurt
compared with the blue component of this extract.
PMID- 22095682
TI - Proper calibration of ultrasonic power enabled the quantitative analysis of the
ultrasonication-induced amyloid formation process.
AB - To elucidate the mechanisms of ultrasonication on the amyloid fibril formation,
we quantitatively determined the ultrasonic power using both calorimetry and
potassium iodide (KI) oxidation, and under the properly calibrated ultrasonic
power, we investigated the ultasonication-induced amyloid formation process of
the mouse prion protein (mPrP(23-231)). These methods revealed that the
ultrasonic power in our system ranged from 0.3 to 2.7 W but entirely dependent on
the positions of the ultrasonic stage. Intriguingly, the nucleation time of the
amyloid fibrils was found to be shortened almost proportionally to the ultrasonic
power, indicating that the probability of the occurrence of nucleus formation
increases proportionally to the ultrasonic power. Moreover, mPrP(23-231) formed
two types of aggregates: rigid fibrils and short fibrils with disordered
aggregates, depending on the ultrasonic power. The nucleation of rigid fibrils
required an ultrasonic power larger than 1.5 W. While at the strong ultrasonic
power larger than 2.6 W, amyloid fibrils were formed early, but simultaneously
fine fragmentation of fibrils occurred. Thus, an ultrasonic power of
approximately 2.0 W would be suitable for the formation of rigid mPrP(23-231)
fibrils under the conditions utilized (ultrasonication applied for 30 s every 9
min). As ultrasonication has been widely used to amplify the scrapie form of the
prion protein, or other amyloids in vitro, the calorimetry and KI oxidation
methods proposed here might help determining the adequate ultrasonic powers
necessary to amplify them efficiently.
PMID- 22095683
TI - Upregulation of recepteur d'origine nantais tyrosine kinase and cell invasiveness
via early growth response-1 in gastric cancer cells.
AB - Abnormal accumulation and activation of the recepteur d'origine nantais (RON) has
been implicated in carcinogenesis of epithelial tumors. RON expression was
induced by the tumor promoter, phorbol 12-myristate 13-acetate (PMA), in gastric
adenocarcinoma AGS cells. Studies with deleted and site-directed mutagenesis of
Egr-1 promoter and with expression vectors encoding Egr-1 confirmed that Egr-1 is
essential for RON expression. In addition, AGS cells pretreated with PMA showed
remarkably enhanced invasiveness, which was partially abrogated by siRNA-targeted
RON and Egr-1. These results suggest that tumor promoter induces RON expression
via Egr-1, which, in turn, stimulates cell invasiveness in AGS cells.
PMID- 22095684
TI - Boceprevir.
PMID- 22095685
TI - Temperature-dependent study reveals that dynamics of hydrophobic residues plays
an important functional role in the mitochondrial Tim9-Tim10 complex.
AB - Protein-protein interaction is a fundamental process in all major biological
processes. The hexameric Tim9-Tim10 (translocase of inner membrane) complex of
the mitochondrial intermembrane space plays an essential chaperone-like role
during import of mitochondrial membrane proteins. However, little is known about
the functional mechanism of the complex because the interaction is weak and
transient. This study investigates how electrostatic and hydrophobic interactions
affect the conformation and function of the complex at physiological
temperatures, using both experimental and computational methods. The results
suggest that, first, different complex conformational states exist at
equilibrium, and the major difference between these states is the degree of
hydrophobic interactions. Second, the conformational change mimics the biological
activity of the complex as measured by substrate binding at the same
temperatures. Finally, molecular dynamics simulation and detailed energy
decomposition analysis provided supporting evidence at the atomic level for the
presence of an excited state of the complex, the formation of which is largely
driven by the disruption of hydrophobic interactions. Taken together, this study
indicates that the dynamics of the hydrophobic residues plays an important role
in regulating the function of the Tim9-Tim10 complex.
PMID- 22095686
TI - Protein lipidation catalyzed by microbial transglutaminase.
PMID- 22095687
TI - The A3 adenosine receptor as multifaceted therapeutic target: pharmacology,
medicinal chemistry, and in silico approaches.
AB - Adenosine is an ubiquitous local modulator that regulates various physiological
and pathological functions by stimulating four membrane receptors, namely A(1),
A(2A), A(2B), and A(3). Among these G protein-coupled receptors, the A(3) subtype
is found mainly in the lung, liver, heart, eyes, and brain in our body. It has
been associated with cerebroprotection and cardioprotection, as well as
modulation of cellular growth upon its selective activation. On the other hand,
its inhibition by selective antagonists has been reported to be potentially
useful in the treatment of pathological conditions including glaucoma,
inflammatory diseases, and cancer. In this review, we focused on the pharmacology
and the therapeutic implications of the human (h)A(3) adenosine receptor (AR),
together with an overview on the progress of hA(3) AR agonists, antagonists,
allosteric modulators, and radioligands, as well as on the recent advances
pertaining to the computational approaches (e.g., quantitative structure-activity
relationships, homology modeling, molecular docking, and molecular dynamics
simulations) applied to the modeling of hA(3) AR and drug design.
PMID- 22095688
TI - Transcriptional gene silencing of kallikrein 5 and kallikrein 7 using siRNA
prevents epithelial cell detachment induced by alkaline shock in an in vitro
model of eczema.
AB - Eczema is widely considered to be an exacerbation of alkaline stress to the skin.
Epidermal barrier dysfunction is a feature of eczema pathology, which predisposes
affected individuals to distressing morbid symptoms. At least two serine
proteases, stratum corneum chymotryptic enzyme (kallikrein 7 [KLK7]) and stratum
corneum tryptic enzyme (kallikrien 5 [KLK5]), have increased activity levels in
eczematous lesions and both have been implicated in the destruction of
corneodesomosomes, which are crucial to epidermal integrity. The present in vitro
study investigated whether transcriptional gene silencing after siRNA
transfection could influence the activity of these signature enzymes in an in
vitro model of eczema induced by alkaline shock. HaCaT epithelial cells were
subjected to alkaline stress by the addition of 1,1,3,3-tetramethyl guanidine
"superbase" (TMG) to the culture media. The culture media were subsequently
tested for chymotryspin, trypsin, plasmin, and urokinase activity using
colorimetric peptide assays and for reactive oxygen species using WST1 cell
viability reagent. Cells that had been transfected with small interfering
ribonucleic acid (siRNA) against KLK5 and KLK7 for 24 h before alkaline shock did
not exhibit the increase in serine protease levels observed in untreated
controls. Moreover, an endpoint MTT assay (3-(4,5-dimethylthiazol-2-yl)-2,5
diphenyl tetrazolium bromide) confirmed that detachment of cells from the culture
substrate observed in alkaline-stressed cells did not occur in siRNA-treated
cells. This in vitro study has established the proof-of-principle that siRNA
therapy appears to mitigate the consequences of alkaline shock to the serine
protease-associated fragility of epithelial cells that is characteristic of
eczema.
PMID- 22095689
TI - Display of functionally active PHB depolymerase on Escherichia coli cell surface.
AB - The display of PHB depolymerase (PhaZ(RpiT1) ) from R. pickettii T1 on the
surface of E. coli JM109 cells is realized using OprI of P. aeruginosa as the
anchoring motif. The fusion protein is stably expressed and its surface
localization is verified by immunofluorescence microscopy. The displayed
PhaZ(RpiT1) retains its cleaving ability for soluble substrates as well as its
ability to adsorb to the PHB surface, and also remains catalycically active in
the degradation of insoluble polyester materials, in spite of the possible
suppression of the enzyme movement on the polymer surface. The results
demonstrate that PhaZ(RpiT1) -displaying E. coli shows potential for use as a
whole-cell biocatalyst for the production of (R)-3-hydroxybutyrate monomers from
insoluble PHB materials.
PMID- 22095691
TI - C/EBPbeta and RUNX2 cooperate to degrade cartilage with MMP-13 as the target and
HIF-2alpha as the inducer in chondrocytes.
AB - To elucidate the molecular mechanism underlying the endochondral ossification
process during the skeletal growth and osteoarthritis (OA) development, we
examined the signal network around CCAAT/enhancer-binding protein-beta
(C/EBPbeta, encoded by CEBPB), a potent regulator of this process. Computational
predictions and a C/EBP motif-reporter assay identified RUNX2 as the most potent
transcriptional partner of C/EBPbeta in chondrocytes. C/EBPbeta and RUNX2 were
induced and co-localized in highly differentiated chondrocytes during the
skeletal growth and OA development of mice and humans. The compound knockout of
Cebpb and Runx2 in mice caused growth retardation and resistance to OA with
decreases in cartilage degradation and matrix metalloproteinase-13 (Mmp-13)
expression. C/EBPbeta and RUNX2 cooperatively enhanced promoter activity of MMP13
through specific binding to a C/EBP-binding motif and an osteoblast-specific cis
acting element 2 motif as a protein complex. Human genetic studies failed to show
the association of human CEBPB gene polymorphisms with knee OA, nor was there a
genetic variation around the identified responsive region in the human MMP13
promoter. However, hypoxia-inducible factor-2alpha (HIF-2alpha), a functional and
genetic regulator of knee OA through promoting endochondral ossification, was
identified as a potent and functional inducer of C/EBPbeta expression in
chondrocytes by the CEBPB promoter assay. Hence, C/EBPbeta and RUNX2, with MMP-13
as the target and HIF-2alpha as the inducer, control cartilage degradation. This
molecular network in chondrocytes may represent a therapeutic target for OA.
PMID- 22095690
TI - Functional genomic analysis unravels a metabolic-inflammatory interplay in
adrenoleukodystrophy.
AB - X-linked adrenoleukodystrophy (X-ALD) is an inherited disorder characterized by
axonopathy and demyelination in the central nervous system and adrenal
insufficiency. Main X-ALD phenotypes are: (i) an adult adrenomyeloneuropathy
(AMN) with axonopathy in spinal cords, (ii) cerebral AMN with brain demyelination
(cAMN) and (iii) a childhood variant, cALD, characterized by severe cerebral
demyelination. Loss of function of the ABCD1 peroxisomal fatty acid transporter
and subsequent accumulation of very-long-chain fatty acids (VLCFAs) are the
common culprits to all forms of X-ALD, an aberrant microglial activation accounts
for the cerebral forms, whereas inflammation allegedly plays no role in AMN. How
VLCFA accumulation leads to neurodegeneration and what factors account for the
dissimilar clinical outcomes and prognosis of X-ALD variants remain elusive. To
gain insights into these questions, we undertook a transcriptomic approach
followed by a functional-enrichment analysis in spinal cords of the animal model
of AMN, the Abcd1(-) null mice, and in normal-appearing white matter of cAMN and
cALD patients. We report that the mouse model shares with cAMN and cALD a common
signature comprising dysregulation of oxidative phosphorylation, adipocytokine
and insulin signaling pathways, and protein synthesis. Functional validation by
quantitative polymerase chain reaction, western blots and assays in spinal cord
organotypic cultures confirmed the interplay of these pathways through IkB
kinase, being VLCFA in excess a causal, upstream trigger promoting the altered
signature. We conclude that X-ALD is, in all its variants, a
metabolic/inflammatory syndrome, which may offer new targets in X-ALD
therapeutics.
PMID- 22095693
TI - Rate of change in early Huntington's disease: a clinicometric analysis.
AB - Sensitive outcome measures for patients with Huntington's disease (HD) are
required for future clinical trials. Longitudinal data were collected from a 3
year study of 379 patients suffering from early HD who were not treated by
antipsychotics. Progression of UHDRS item scores was evaluated by linear
regression and slope, whereas correlation coefficient, standard error, and P
values were estimated on the basis of the data of eight evaluations from
screening to study end (36 months). For the functional assessment dimension, the
proportion of "no" responses at baseline and at study end was determined. Linear
progression was observed for the motor score and for all three functional
measures (i.e., functional assessment score, independence assessment score, and
total functional capacity score). In contrast, there was little evidence for
progression of the behavioral assessment score over the study period, whereas the
cognitive assessment score was intermediate. Twenty-two motor-score items showed
linear progression, with a slope of >0.003. These included all chorea items,
finger tapping and pronation/supination (left and right), gait, tongue
protrusion, and tandem walking. Different symptom domains and individual items
evolved at different rates in this group of patients suffering from early HD. It
may be possible to select sensitive items to create a simplified version of the
UHDRS, which would be more efficient and more sensitive for the assessment of
disease progression in clinical trials and natural history studies.
PMID- 22095692
TI - Pharmacologic activation of mitochondrial biogenesis exerts widespread beneficial
effects in a transgenic mouse model of Huntington's disease.
AB - There is substantial evidence that impairment of peroxisome proliferator
activated receptor (PPAR)-gamma-coactivator 1alpha (PGC-1alpha) levels and
activity play an important role in Huntington's disease (HD) pathogenesis. We
tested whether pharmacologic treatment with the pan-PPAR agonist bezafibrate
would correct a deficiency of PGC-1alpha and exert beneficial effects in a
transgenic mouse model of HD. We found that administration of bezafibrate in the
diet restored levels of PGC-1alpha, PPARs and downstream genes to levels which
occur in wild-type mice. There were significant improvements in phenotype and
survival. In the striatum, astrogliosis and neuronal atrophy were attenuated and
numbers of mitochondria were increased. Bezafibrate treatment prevented
conversion of type I oxidative to type II glycolytic muscle fibers and increased
the numbers of muscle mitochondria. Finally, bezafibrate rescued lipid
accumulation and apparent vacuolization of brown adipose tissue in the HD mice.
These findings provide strong evidence that treatment with bezafibrate exerts
neuroprotective effects which may be beneficial in the treatment of HD.
PMID- 22095695
TI - Arterial spin labeling measurement of cerebral perfusion in children with sickle
cell disease.
AB - PURPOSE: To evaluate the applicability of arterial spin labeling (ASL) cerebral
blood flow (CBF) measurements in children with sickle cell disease (SCD).
MATERIALS AND METHODS: We included 12 patients and five controls. Conventional
magnetic resonance imaging (MRI) (T2, fluid attenuated inversion recovery
[FLAIR], and MR angiography) was performed to diagnose silent infarcts,
vasculopathy, or leukoencephalopathy. Pseudo-continuous ASL was performed to
measure CBF using two postlabeling delays to identify transit-time effects.
Perfusion estimates were corrected for hematocrit and blood velocity in the
labeling plane and compared to phase-contrast MR. CBF asymmetries between the
flow maps of the left and right internal carotid arteries were tested for
significance using paired t-tests. Significant asymmetries were expressed in
terms of an asymmetry ratio (AR = absolute difference/mean). An AR >10% was
considered clinically relevant. RESULTS: Mean CBF was higher in patients than in
controls. Agreement between CBF and flow improved after applying hematocrit and
velocity corrections. At a 2100 msec postlabeling delay one patient had a
clinically relevant asymmetry. No association was observed between CBF
asymmetries and silent infarcts. CONCLUSION: Care must be taken in the
interpretation of ASL-CBF measurements in SCD patients. A long postlabeling delay
with blood velocity correction anticipates overestimation of CBF asymmetries.
PMID- 22095694
TI - Evidence for involvement of GNB1L in autism.
AB - Structural variations in the chromosome 22q11.2 region mediated by nonallelic
homologous recombination result in 22q11.2 deletion (del22q11.2) and 22q11.2
duplication (dup22q11.2) syndromes. The majority of del22q11.2 cases have facial
and cardiac malformations, immunologic impairments, specific cognitive profile
and increased risk for schizophrenia and autism spectrum disorders (ASDs). The
phenotype of dup22q11.2 is frequently without physical features but includes the
spectrum of neurocognitive abnormalities. Although there is substantial evidence
that haploinsufficiency for TBX1 plays a role in the physical features of
del22q11.2, it is not known which gene(s) in the critical 1.5 Mb region are
responsible for the observed spectrum of behavioral phenotypes. We identified an
individual with a balanced translocation 46,XY,t(1;22)(p36.1;q11.2) and a
behavioral phenotype characterized by cognitive impairment, autism, and
schizophrenia in the absence of congenital malformations. Using somatic cell
hybrids and comparative genomic hybridization (CGH) we mapped the chromosome-22
breakpoint within intron 7 of the GNB1L gene. Copy number evaluations and direct
DNA sequencing of GNB1L in 271 schizophrenia and 513 autism cases revealed
dup22q11.2 in two families with autism and private GNB1L missense variants in
conserved residues in three families (P = 0.036). The identified missense
variants affect residues in the WD40 repeat domains and are predicted to have
deleterious effects on the protein. Prior studies provided evidence that GNB1L
may have a role in schizophrenia. Our findings support involvement of GNB1L in
ASDs as well.
PMID- 22095696
TI - Preparation and structural characterization of amorphous spray-dried dispersions
of tenoxicam with enhanced dissolution.
AB - Tenoxicam is a poorly soluble nonsteroidal anti-inflammatory drug. In this work,
the solubility of tenoxicam is enhanced using amorphous spray-dried dispersions
(SDDs) prepared using two molar equivalents of l-arginine and optionally with 10%
50% (w/w) polyvinylpyrrolidone (PVP). When added to the dispersions, PVP is shown
to improve physical properties and also assists in maintaining supersaturation in
solution. The dispersions provide a twofold increase over equilibrium solubility
at the same pH. The dispersions are characterized using electron microscopy,
vibrational spectroscopy, diffuse-reflectance visible spectroscopy, and X-ray
powder diffraction. The structures of the dispersions are probed using solid
state nuclear magnetic resonance (SSNMR) experiments applied to the (1) H, (13)
C, and (15) N nuclei, including two-dimensional dipolar correlation experiments
that detect molecular association and the formation of a glass solution between
tenoxicam, l-arginine, and PVP. Other aspects of the amorphous structure,
including hydrogen-bonding interactions and the ionization state of tenoxicam and
l-arginine, are also explored using SSNMR methods. These methods are used to show
that the SDDs contain an amorphous l-arginine salt of tenoxicam in a glass
solution that also includes PVP when present. Finally, the dispersions show only
a minor decrease in chemical stability during accelerated stability studies
relative to a crystalline form of tenoxicam.
PMID- 22095697
TI - A synthetic approach to a peptide alpha-thioester from an unprotected peptide
through cleavage and activation of a specific peptide bond by N-acetylguanidine.
PMID- 22095698
TI - Broadband dielectric spectroscopic, calorimetric, and FTIR-ATR investigations of
D-arabinose aqueous solutions.
AB - The dielectric relaxation behavior of D-arabinose aqueous solutions at different
water concentrations is examined by broadband dielectric spectroscopy in the
frequency range of 10(-2) -10(7) Hz and in the temperature range of 120-300 K.
Differential scanning calorimetry is also performed to find the glass transition
temperatures (T(g)). In addition, the same solutions are analyzed by Fourier
transform infrared (FTIR) spectroscopy using the attenuated total reflectance
(ATR) method at the same temperature interval and in the frequency range of 3800
2800 cm(-1). The temperature dependence of the relaxation times is examined for
the different weight fractions (x(w)) of water along with the temperature
dependence of dielectric strength. Two relaxation processes are observed in the
aqueous solutions for all concentrations of water. The slower process, the so
called primary relaxation process (process-I), is responsible for the T(g)
whereas the faster one (designated as process-II) is due to the reorientational
motion of the water molecules. As for other hydrophilic water solutions,
dielectric data for process-II indicate the existence of a critical water
concentration above which water mobility is less restricted. Accordingly, FTIR
ATR measurements on aqueous solutions show an increment in the intensity (area)
of the O-H stretching sub-band close to 3200 cm(-1) as the water concentration
increases.
PMID- 22095699
TI - Cartilage oligomeric matrix protein enhances matrix assembly during
chondrogenesis of human mesenchymal stem cells.
AB - Cartilage oligomeric matrix protein/thrombospondin-5 (COMP/TSP5) is an abundant
cartilage extracellular matrix (ECM) protein that interacts with major cartilage
ECM components, including aggrecan and collagens. To test our hypothesis that
COMP/TSP5 functions in the assembly of the ECM during cartilage morphogenesis, we
have employed mesenchymal stem cell (MSC) chondrogenesis in vitro as a model to
examine the effects of COMP over-expression on neo-cartilage formation. Human
bone marrow-derived MSCs were transfected with either full-length COMP cDNA or
control plasmid, followed by chondrogenic induction in three-dimensional pellet
or alginate hydrogel culture. MSC chondrogenesis and ECM production was estimated
based on quantitation of sulfated glycosaminoglycan (sGAG) accumulation,
immunohistochemistry of the presence and distribution of cartilage ECM proteins,
and real-time RT-PCR analyis of mRNA expression of cartilage markers. Our results
showed that COMP over-expression resulted in increased total sGAG content during
the early phase of MSC chondrogenesis, and increased immuno-detectable levels of
aggrecan and collagen type II in the ECM of COMP-transfected pellet and alginate
cultures, indicating more abundant cartilaginous matrix. COMP transfection did
not significantly increase the transcript levels of the early chondrogenic
marker, Sox9, or aggrecan, suggesting that enhancement of MSC cartilage ECM was
effected at post-transcriptional levels. These findings strongly suggest that
COMP functions in mesenchymal chondrogenesis by enhancing cartilage ECM
organization and assembly. The action of COMP is most likely mediated not via
direct changes in cartilage matrix gene expression but via interactions of COMP
with other cartilage ECM proteins, such as aggrecan and collagens, that result in
enhanced assembly and retention.
PMID- 22095700
TI - Extracellular matrix enhances differentiation of adipose stem cells from
infrapatellar fat pad toward chondrogenesis.
AB - The objective was to improve proliferation and chondrogenic potential of adipose
stem cells (ASCs) by expansion on extracellular matrix (ECM) deposited by either
ASCs or synovium-derived stem cells (SDSCs). ASCs isolated from porcine
infrapatellar fat pad were separately expanded on conventional plastic flasks,
ASC-deposited ECM and SDSC-deposited ECM. ASCs were centrifuged to form pellets
and cultured in a serum-free chondrogenic medium with either TGFbeta3 or TGFbeta3
combined with BMP-6. Cell number yielded on ECM expansion did not show a
significant difference in deposition between ASCs and SDSCs but was 6-10 times
that grown on non-coated flasks. ECM-expanded ASCs exhibited a lower level of
intracellular reactive oxygen species (ROS) compared to those grown on non-coated
flasks. Typical chondrogenic markers, including type II collagen and
glycosaminoglycans (GAGs), were intensively distributed in the pellets from ECM
expanded ASCs instead of those from flask-grown cells. ASCs expanded on ECM,
either from ASCs or SDSCs, exhibited a similar chondrogenic index (GAG:DNA),
which was significantly higher than that from ASCs grown on non-coated flasks.
The combination of TGFbeta3 and BMP-6 increased 36% more in ASC chondrogenic
index than the treatment with TGFbeta3 alone. Interestingly, ECM pretreatment
also decreased expanded ASC hypertrophic marker genes. ECM deposited by either
ASCs or SDSCs did not exhibit enhanced adipogenic differentiation of ASCs. Our
study indicates that the sequential application of ECM for cell expansion and
combined TGFbeta3 with BMP-6 for chondrogenic differentiation may be a promising
approach for ASC-based cartilage tissue engineering and regeneration.
PMID- 22095701
TI - Effectiveness of cognitive-behavioural therapy plus pharmacotherapy in inpatient
treatment of depressive disorders.
AB - BACKGROUND: Meta-analyses show benefits for patients from a combination of
medication and cognitive-behavioural psychotherapy. However, it is still unclear
whether or not additional cognitive-behavioural therapy (CBT) also produces a
better treatment outcome in a naturalistic psychiatric setting. METHODS: Two
hundred six consecutively registered acute psychiatric inpatients with a unipolar
depressive disorder were treated with additional CBT. This combined therapy was
then compared with psychiatric primary care in an inpatient setting (clinical
management). In addition to pharmacological treatment, 105 of the 206 patients
also received symptom-focused CBT after hospitalization. Seventeen-item Hamilton
Rating Scale for Depression (HAMD, primary outcome criterion), Beck Depression
Inventory (BDI), Dysfunctional Attitude Scale, Clinical Global Impression Scale
and the Global Assessment of Functioning were performed with all patients.
RESULTS: Patients who were treated with additional CBT revealed a considerably
greater reduction of depressive symptoms than in patients who received inpatient
primary care only (HAMD: -22.21 versus -19.86, p = 0.027; BDI: 14.99 versus
11.36, p = 0.031). Moreover, remission rates were significantly higher (HAMD: 72%
to 51%, p = 0.045; BDI: 58.8% versus 43.1%, p = 0.044) in the combined treatment
group than in the primary care only group. LIMITATION: The naturalistic design
and the inconsistent pharmacological treatment are design flaws. CONCLUSION: The
results show that additional cognitive-behavioural treatment of depressive
disorders notably improves outcome over standard procedure in acute psychiatric
treatment. KEY PRACTITIONER MESSAGE: There is a need for treatment strategies to
accompany medication. In the Sequenced Treatment Alternatives to Relieve
Depression trial (STAR*D), only 33% of the patients reached remission criteria
after the first antidepressant treatment step and only 50% after the second step.
The strict inclusion criteria of randomized controlled trials often render their
patient populations unrepresented. For an accurate view of treatment
effectiveness, their results need to be complemented with results gained from
trials in clinical practice. Additional cognitive-behavioural treatment notably
improves treatment outcomes compared with standard treatments in the acute
psychiatric treatment of depressive disorders. The results of this study under
naturalistic conditions are an important addition to findings from randomized and
controlled studies.
PMID- 22095702
TI - Inward facing conformations of the MetNI methionine ABC transporter: Implications
for the mechanism of transinhibition.
AB - Two new crystal structures of the Escherichia coli high affinity methionine
uptake ATP Binding Cassette (ABC) transporter MetNI, purified in the detergents
cyclohexyl-pentyl-beta-D-maltoside (CY5) and n-decyl-beta-D-maltopyranoside (DM),
have been solved in inward facing conformations to resolutions of 2.9 and 4.0 A,
respectively. Compared to the previously reported 3.7 A resolution structure of
MetNI purified in n-dodecyl-beta-D-maltopyranoside (DDM), the higher resolution
of the CY5 data enabled significant improvements to the structural model in
several regions, including corrections to the sequence registry, and
identification of ADP in the nucleotide binding site. CY5 crystals soaked with
selenomethionine established details of the methionine binding site in the C2
regulatory domain of the ABC subunit, including the displacement of the side
chain of MetN residue methionine 301 by the exogenous ligand. When compared to
the CY5 or DDM structures, the DM structure exhibits a significant repositioning
of the dimeric C2 domains, including an unexpected register shift in the
intermolecular beta-sheet hydrogen bonding between monomers, and a narrowing of
the nucleotide binding space. The immediate proximity of the exogenous methionine
binding site to the conformationally variable dimeric interface provides an
indication of how methionine binding to the regulatory domains might mediate the
phenomenon of transinhibition.
PMID- 22095703
TI - Conformationally constrained sequence designs to bias monomer-dimer equilibriums
in TASP systems.
AB - We have designed template-assembled synthetic proteins (TASPs) with the intent of
controlling their oligomeric state by stabilizing specific helical tertiary
structures via histidine metal ion chelation or disulfide incorporation. In
solution, cavitein Q4 was previously determined to interconvert between a four
helix bundle monomer and an eight-helix bundle dimer. In this paper, we show that
judicious mutation of cavitein Q4 can stabilize either the monomeric parallel
four-helix bundle or the dimeric antiparallel eight-helix bundle structure.
Cavitein Q4-E3H, designed to be dimeric, is indeed biased toward dimerization as
a result of incorporation of histidines. Moreover, the addition of nickel was
found to further increase the association constant of dimerization. Similarly, a
cavitein designed to stabilize the monomeric structure via histidine metal ion
chelation (Q4-H) was found to favor a monomer in solution upon addition of
nickel. Lastly, a cavitein intended to stabilize a monomeric structure via
disulfide incorporation (Q4-C2) is reported. Surprisingly, this disulfide
cavitein yielded two products upon oxidation suggesting disulfide formation both
above the cavitand template and below may be possible. Nevertheless, the two
disulfide caviteins were shown to exist as monomers as per their design.
PMID- 22095704
TI - alpha-Amylase inhibitors from an Indonesian medicinal herb, Phyllanthus urinaria.
AB - BACKGROUND: Diabetes mellitus and associated diseases are an increasing problem
around the world. One of the hyperglycemic remedies is glucose absorption
reduction by suppressing carbohydrate digestion due to utilization of alpha
amylase inhibitors. RESULTS: Prospective herbs were analyzed by in vitro enzyme
assay to evaluate their inhibitory activity against porcine pancreatic amylase
(PPA), and it was found that Phyllanthus urinaria and three other herbs to showed
a potent inhibitory activity. A 50% aqueous methanol-soluble extract of the
leaves of P. urinaria was chromatographed using a silica gel column. The active
fractions were further purified by preparative high-performance liquid
chromatography to isolate active principles against PPA. Structural determination
revealed that these isolated compounds were gallic acid, corilagin, and
macatannin B, and showed mild activity against PPA (activity in 1 mmol L-1
concentration: 23%, 21%, 33%, respectively). CONCLUSION: P. urinaria extracts
show inhibitory activity against PPA. This activity, together with the
information on isolated compounds, may benefit further exploration of P. urinaria
utilization in the management of borderline diabetes patients.
PMID- 22095705
TI - A magnetic resonance imaging study of 100 cases of arthroscopic acromioplasty.
AB - BACKGROUND: A hooked-type acromion has been suspected to correlate with higher
rotator cuff tear or impingement syndrome. However, correlation of acromial shape
after acromioplasty with the rotator cuff retears and clinical results has not
been studied before. PURPOSE: To assess the shape of the acromion after
arthroscopic acromioplasty and to see if there is any relation with the rotator
cuff retears and clinical results. STUDY DESIGN: Case series; Level of evidence,
4. METHODS: One hundred consecutive patients who underwent acromioplasty using a
posterior cutting block technique accompanied by rotator cuff repair were
included in this study. The decision was made to perform acromioplasty
intraoperatively after confirmation of external impingement. Postoperative
acromial shape was evaluated according to whether the acromion was flat, curved,
or hooked on coronal and sagittal planes on magnetic resonance imaging (MRI) at a
mean 13.4 months after surgery. Retear rates and clinical scores were compared
between the hooked acromion and the others on postoperative MRI. RESULTS:
Preoperatively, only 29 patients had a hooked acromion on either coronal or
sagittal plane MRI. After acromioplasty of those 100 patients, 23 still showed a
hooked acromion. Twenty-six of 29 preoperatively hooked acromions were changed to
nonhooked acromions, and 20 of 23 postoperatively hooked acromions had been
nonhooked acromions preoperatively. No difference was found in the retear rate
with respect to the postoperative acromial shape. Clinically, the American
Shoulder and Elbow Surgeons (ASES) score was not different between the hooked
acromion and the other group (82 vs 85, P = .099). However, the Constant score of
the hooked acromion group was lower than that of the other group (74 vs 85, P =
.036). Ninety-four of 100 patients were contacted again for the evaluation of the
ASES score at a mean 36.5 months (range, 29-45 months) and showed no difference
between the hooked acromion and the other group (87 vs 87, P = .903). CONCLUSION:
Even with a standard posterior cutting block technique during acromioplasty, 23%
of patients still showed a hooked acromion after arthroscopic acromioplasty.
Using the signs of coracoacromial ligament impingement as an indication for
acromioplasty might lead to hooked acromions postoperatively, which were
nonhooked acromions preoperatively. However, the retear rate showed no difference
according to the postoperative acromial shape.
PMID- 22095707
TI - Should we change the priority for liver allocation for patients with the highest
MELD score?
PMID- 22095706
TI - Predictors of pain and function in patients with symptomatic, atraumatic full
thickness rotator cuff tears: a time-zero analysis of a prospective patient
cohort enrolled in a structured physical therapy program.
AB - BACKGROUND: Although the prevalence of full-thickness rotator cuff tears
increases with age, many patients are asymptomatic and may not require surgical
repair. The factors associated with pain and loss of function in patients with
rotator cuff tears are not well defined. PURPOSE: To determine which factors
correlate with pain and loss of function in patients with symptomatic, atraumatic
full-thickness rotator cuff tears who are enrolled in a structured physical
therapy program. STUDY DESIGN: Cross-sectional study; Level of evidence, 3.
METHODS: A multicenter group enrolled patients with symptomatic, atraumatic
rotator cuff tears in a prospective, nonrandomized cohort study evaluating the
effects of a structured physical therapy program. Time-zero patient data were
reviewed to test which factors correlated with Western Ontario Rotator Cuff
(WORC) index and American Shoulder and Elbow Surgeons (ASES) scores. RESULTS: A
total of 389 patients were enrolled. Mean ASES score was 53.9; mean WORC score
was 46.9. The following variables were associated with higher WORC and ASES
scores: female sex (P = .001), education level (higher education, higher score; P
< .001), active abduction (degrees; P = .021), and strength in forward elevation
(P = .002) and abduction (P = .007). The following variables were associated with
lower WORC and ASES scores: male sex (P = .001), atrophy of the supraspinatus (P
= .04) and infraspinatus (P = .003), and presence of scapulothoracic dyskinesia
(P < .001). Tear size was not a significant predictor (WORC) unless comparing
isolated supraspinatus tears to supraspinatus, infraspinatus, and subscapularis
tears (P = .004). Age, tear retraction, duration of symptoms, and humeral head
migration were not statistically significant. CONCLUSION: Nonsurgically
modifiable factors, such as scapulothoracic dyskinesia, active abduction, and
strength in forward elevation and abduction, were identified that could be
addressed nonoperatively with therapy. Therefore, physical therapy for patients
with symptomatic rotator cuff tears should target these modifiable factors
associated with pain and loss of function.
PMID- 22095708
TI - Assessing the degradation profile of functional aliphatic polyesters with precise
control of the degradation products.
AB - The pre-polymer poly(but-2-ene-1,4-diyl malonate) (PBM) and a series of PBM-based
materials are shown to be degradable under physiological conditions in vitro and
they are therefore presented as potential materials for biomedical applications.
Four different PBM-based materials are synthesized: a PBM homopolymer,
crosslinked PBM with and without spacer, and a triblock copolymer of PBM and PLLA
with the PBM as an amorphous middle block. The polymers are subjected to
hydrolytic degradation in phosphate-buffered saline at pH = 7.4 and 37 degrees
C. The results show that all the PBM-based materials degrade without a rapid
release of acidic degradation products or any substantial lowering of the pH that
might jeopardize their biocompatibility.
PMID- 22095709
TI - Mutation screening of the HDC gene in Chinese Han patients with Tourette
syndrome.
AB - Tourette Syndrome (TS) is a complex neuropsychiatric disorder characterized by
vocal and motor tics. While environmental causes have been proposed to play a
role, genetic factors are believed to be the main determinants of the disorder
and its clinical manifestations. Recently, a heterozygous W317X mutation in the
histidine decarboxylase gene (HDC) was reported to be responsible for TS in a two
generation pedigree. To investigate whether the HDC gene play a role in TS in
Chinese Han population, we performed genetic analysis of the coding region of the
HDC gene in 100 Chinese Han patients with TS. Three variants were found including
a C > T transition (IVS1 + 52C > T), a novel C > A transition (c.426C > A) in
exon 4, and a novel G > A transition (c.1743G > A) in exon 12, both predicted
with no amino acid change. Extended analysis was conducted in a total of 120 TS
patients and 240 sex, age, and ethnicity matched healthy controls. No significant
differences in genotypic and allele distribution between patients and controls
for these three variants (P = 0.274, P = 1.000 and P = 0.632 for genotypic
distribution, respectively; P = 0.143, P = 1.000 and P = 0.582 for allele
distribution, respectively) were observed, suggesting variants in the HDC gene
may play little or no role in TS susceptibility in Chinese Han population.
PMID- 22095712
TI - The tumor suppressor ARF regulates innate immune responses in mice.
AB - The innate immune system is the first line of defense against invading organisms,
and TLRs are the main sensors of microbial components, initiating signaling
pathways that induce the production of proinflammatory cytokines and type I IFNs.
An antiviral action for the tumor suppressor alternative reading frame (ARF) has
been reported; however, the precise role of ARF in innate immunity is unknown. In
this study, we show that ARF plays an important role in regulation of
inflammatory responses. In peritoneal macrophages and bone marrow-derived
macrophages from ARF-deficient animals, the induction of proinflammatory
cytokines and chemokines by TLR ligands was severely impaired. The altered
responses of ARF(-/-) cells to TLR ligands result from aberrant activation of
intracellular signaling molecules including MAPKs, IkappaBalpha degradation, and
NF-kappaB activation. Additionally, animals lacking ARF were resistant to LPS
induced endotoxic shock. This impaired activation of inflammation in ARF(-/-)
mice was not restricted to TLRs, as it was also shown in response to non-TLR
signaling pathways. Thus, ARF(-/-) mice were also unable to trigger a proper
inflammatory response in experimental peritonitis or in 12-O-tetradecanoylphorbol
13-acetate-induced edema. Overexpression of ARF, but not its downstream target
p53, rescued the ARF-deficient phenotype, increasing TLR4 levels and restoring
inflammatory reaction. An increase in the E2F1 protein levels observed in ARF(-/
) macrophages at basal condition and after LPS stimulation may be involved in the
impaired response in this system, as E2F1 has been described as an inflammatory
suppressor. These results indicate that tumor suppressor ARF is a new regulator
of inflammatory cell signaling.
PMID- 22095711
TI - Guanylate binding protein 4 negatively regulates virus-induced type I IFN and
antiviral response by targeting IFN regulatory factor 7.
AB - IRF7 is known as the master regulator in virus-triggered induction of type I IFNs
(IFN-I). In this study, we identify GBP4 virus-induced protein interacting with
IRF7 as a negative regulator for IFN-I response. Overexpression of GBP4 inhibits
virus-triggered activation of IRF7-dependent signaling, but has no effect on NF
kappaB signaling, whereas the knockdown of GBP4 has opposite effects.
Furthermore, the supernatant from Sendai virus-infected cells in which GBP4 have
been silenced inhibits the replication of vesicular stomatitis virus more
efficiently. Competitive coimmunoprecipitation experiments indicate that
overexpression of GBP4 disrupts the interactions between TRAF6 and IRF7,
resulting in impaired TRAF6-mediated IRF7 ubiquitination. Our results suggest
that GBP4 is a negative regulator of virus-triggered IFN-I production, and it is
identified as a novel protein targeting IRF7 and inhibiting its function.
PMID- 22095713
TI - Redox remodeling by dendritic cells protects antigen-specific T cells against
oxidative stress.
AB - Microorganisms and microbial products induce the release of reactive oxygen
species (ROS) from monocytes and other myeloid cells, which may trigger
dysfunction and apoptosis of adjacent lymphocytes. Therefore, T cell-mediated
immunity is likely to comprise mechanisms of T cell protection against ROS
inflicted toxicity. The present study aimed to clarify the dynamics of reduced
sulfhydryl groups (thiols) in human T cells after presentation of viral and
bacterial Ags by dendritic cells (DCs) or B cells. DCs, but not B cells,
efficiently triggered intra- and extracellular thiol expression in T cells with
corresponding Ag specificity. After interaction with DCs, the Ag-specific T cells
acquired the capacity to neutralize exogenous oxygen radicals and resisted ROS
induced apoptosis. Our results imply that DCs provide Ag-specific T cells with
antioxidative thiols during Ag presentation, which suggests a novel aspect of
DC/T cell cross-talk of relevance to the maintenance of specific immunity in
inflamed or infected tissue.
PMID- 22095710
TI - The proteoglycan biglycan enhances antigen-specific T cell activation potentially
via MyD88 and TRIF pathways and triggers autoimmune perimyocarditis.
AB - Biglycan is a proteoglycan ubiquitously present in extracellular matrix of a
variety of organs, including heart, and it was reported to be overexpressed in
myocardial infarction. Myocardial infarction may be complicated by
perimyocarditis through unknown mechanisms. Our aim was to investigate the
capacity of TLR2/TLR4 ligand biglycan to enhance the presentation of specific Ags
released upon cardiomyocyte necrosis. In vitro, OVA-pulsed bone marrow-derived
dendritic cells from wild-type (WT; C57BL/6) and TLR2-, TLR4-, MyD88-, or TRIF
deficient mice were cotreated with LPS, biglycan, or vehicle and incubated with
OVA-recognizing MHC I- or MHC II-restricted T cells. Biglycan enhanced OVA
specific cross-priming by >80% to MHC I-restricted T cells in both TLR2- and TLR4
pathway-dependent manners. Accordingly, biglycan-induced cross-priming by both
MyD88- and TRIF-deficient dendritic cells (DCs) was strongly diminished. OVA
specific activation of MHC II-restricted T cells was predominantly TLR4
dependent. Our first in vivo correlate was a model of experimental autoimmune
perimyocarditis triggered by injection of cardiac Ag-pulsed DCs (BALB/c).
Biglycan-treated DCs triggered perimyocarditis to a comparable extent and
intensity as LPS-treated DCs (mean scores 1.3 +/- 0.3 and 1.5 +/- 0.4,
respectively). Substitution with TLR4-deficient DCs abolished this effect. In a
second in vivo approach, WT and biglycan-deficient mice were followed 2 wk after
induction of myocardial infarction. WT mice demonstrated significantly greater
myocardial T lymphocyte infiltration in comparison with biglycan-deficient
animals. We concluded that the TLR2/4 ligand biglycan, a component of the
myocardial matrix, may enhance Ag-specific T cell priming, potentially via MyD88
and TRIF, and stimulate autoimmune perimyocarditis.
PMID- 22095714
TI - Cathelicidin LL-37 increases lung epithelial cell stiffness, decreases
transepithelial permeability, and prevents epithelial invasion by Pseudomonas
aeruginosa.
AB - In addition to its antibacterial activity, the cathelicidin-derived LL-37 peptide
induces multiple immunomodulatory effects on host cells. Atomic force microscopy,
F-actin staining with phalloidin, passage of FITC-conjugated dextran through a
monolayer of lung epithelial cells, and assessment of bacterial outgrowth from
cells subjected to Pseudomonas aeruginosa infection were used to determine LL
37's effect on epithelial cell mechanical properties, permeability, and bacteria
uptake. A concentration-dependent increase in stiffness and F-actin content in
the cortical region of A549 cells and primary human lung epithelial cells was
observed after treatment with LL-37 (0.5-5 MUM), sphingosine 1-phosphate (1 MUM),
or LPS (1 MUg/ml) or infection with PAO1 bacteria. Other cationic peptides, such
as RK-31, KR-20, or WLBU2, and the antibacterial cationic steroid CSA-13 did not
reproduce the effect of LL-37. A549 cell pretreatment with WRW4, an antagonist of
the transmembrane formyl peptide receptor-like 1 protein attenuated LL-37's
ability to increase cell stiffness. The LL-37-mediated increase in cell stiffness
was accompanied by a decrease in permeability and P. aeruginosa uptake by a
confluent monolayer of polarized normal human bronchial epithelial cells. These
results suggested that the antibacterial effect of LL-37 involves an LL-37
dependent increase in cell stiffness that prevents epithelial invasion by
bacteria.
PMID- 22095715
TI - Stable coordination of the inhibitory Ca2+ ion at the metal ion-dependent
adhesion site in integrin CD11b/CD18 by an antibody-derived ligand aspartate:
implications for integrin regulation and structure-based drug design.
AB - A central feature of integrin interaction with physiologic ligands is the
monodentate binding of a ligand carboxylate to a Mg(2+) ion hexacoordinated at
the metal ion-dependent adhesion site (MIDAS) in the integrin A domain. This
interaction stabilizes the A domain in the high-affinity state, which is
distinguished from the default low-affinity state by tertiary changes in the
domain that culminate in cell adhesion. Small molecule ligand-mimetic integrin
antagonists act as partial agonists, eliciting similar activating conformational
changes in the A domain, which has contributed to paradoxical adhesion and
increased patient mortality in large clinical trials. As with other ligand
mimetic integrin antagonists, the function-blocking mAb 107 binds MIDAS of
integrin CD11b/CD18 A domain (CD11bA), but in contrast, it favors the inhibitory
Ca(2+) ion over the Mg(2+) ion at MIDAS. We determined the crystal structures of
the Fab fragment of mAb 107 complexed to the low- and high-affinity states of
CD11bA. Favored binding of the Ca(2+) ion at MIDAS is caused by the unusual
symmetric bidentate ligation of a Fab-derived ligand Asp to a heptacoordinated
MIDAS Ca(2+) ion. Binding of the Fab fragment of mAb 107 to CD11bA did not
trigger the activating tertiary changes in the domain or in the full-length
integrin. These data show that the denticity of the ligand Asp/Glu can modify the
divalent cation selectivity at MIDAS and hence integrin function. Stabilizing the
Ca(2+) ion at MIDAS by bidentate ligation to a ligand Asp/Glu may provide one
approach for designing pure integrin antagonists.
PMID- 22095716
TI - Induction of endoplasmic reticulum-endosome fusion for antigen cross-presentation
induced by poly (gamma-glutamic acid) nanoparticles.
AB - We previously reported that poly (gamma-glutamic acid)-based nanoparticles (gamma
PGA NPs) are excellent vaccine carriers for inducing efficient cross-presentation
in dendritic cells, thereby producing strong antitumor immunity in vivo.
Analyzing the mechanism of cross-presentation induced by gamma-PGA NPs will be
useful toward designing novel vaccine carriers. In this study, we show an
intracellular mechanism of efficient cross-presentation induced by OVA-loaded
gamma-PGA NPs. Cross-presentation induced by gamma-PGA NPs depended on
cytoplasmic proteasomes and TAP, similar to the classical MHC class I
presentation pathway for endogenous Ags. Intracellular behavior analyzed by
confocal laser scanning microscopy revealed that encapsulated OVA and gamma-PGA
accumulated in both the endoplasmic reticulum (ER) and endosome compartments
within 2 h. At the same time, electron microscopy analysis clearly showed that
intracellular gamma-PGA NPs and encapsulated Au NPs were enveloped in endosome
like vesicles, not in the ER. These findings strongly suggest that gamma-PGA NPs
enhance ER-endosome fusion for cross-presentation. Moreover, inhibition of ER
translocon sec61 significantly decreased the gamma-PGA NP/OVA-mediated cross
presentation efficiency, indicating that sec61 is important for transporting Ags
from the fused ER-endosome to the cytoplasm. These findings imply that the ER
endosome complex is key for the efficient cross-presentation of Ags encapsulated
in gamma-PGA NPs.
PMID- 22095717
TI - Micrometer-sized titanium particles can induce potent Th2-type responses through
TLR4-independent pathways.
AB - Wear debris in joint replacements has been suggested as a cause of associated
tissue-damaging inflammation. In this study, we examined whether solid titanium
microparticles (mTi) of sufficient size to accumulate as wear debris could
stimulate innate or adaptive immunity in vivo. mTi, administered in conjunction
with OVA, promoted total and Ag-specific elevations in serum IgE and IgG1.
Analysis of transferred transgenic OVA-specific naive T cells further showed that
mTi acted as an adjuvant to drive Ag-specific Th2 cell differentiation in vivo.
Assessment of the innate response indicated that mTi induced rapid recruitment
and differentiation of alternatively activated macrophages in vivo, through IL-4-
and TLR4-independent pathways. These studies suggest that solid microparticles
alone can act as adjuvants to induce potent innate and adaptive Th2-type immune
responses and further suggest that wear debris in joint replacements may have Th2
type inflammatory properties.
PMID- 22095720
TI - Simultaneous detection and analysis of protein aggregation and protein unfolding
by size exclusion chromatography with post column addition of the fluorescent dye
BisANS.
AB - For development and optimization of protein formulation sensitive analytical
tools are required to follow both aggregation and changes in protein structure.
The latter can be seen as the beginning of physical instability leading to
aggregation. The focus of this work laid on the development of a novel analysis
simultaneously detecting changes in protein conformation and the formation of
oligomers. By adding the extrinsic fluorescent dye 4,4'-dianilino-1,1'-binaphthyl
5,5'-disulfonic acid dipotassium salt (BisANS) after size exclusion
chromatography (SEC) and UV detection, it was possible to separate protein
monomer and oligomers by size, analyze the amount of formed oligomers
quantitatively using UV detection, and observe changes in protein structure of
different protein species by fluorescence detection. This enabled us to
distinguish between native-like and denatured oligomers and monomers formed under
different stress conditions. Correspondingly, increased fluorescence reflecting
partial unfolding was assigned specifically to monomer, oligomer, or both. The
unfolding of monomer is not traceable by commonly used detection methods, but its
monitoring may provide important information about activity and long-term
stability. By adding the dye after SEC and UV detection, interferences with prior
detectors are precluded, excipients are separated avoiding interferences with the
protein-dye interaction and, in addition, the dye-protein interaction cannot
impact the aggregation formation, as added after the separation of monomer and
aggregates.
PMID- 22095719
TI - Comparison of alternative models for linking drug exposure with adverse effects.
AB - Pharmacoepidemiology investigates associations between time-varying medication
use/dose and risk of adverse events. Applied research typically relies on a
priori chosen simple conventional models, such as current dose or any use in the
past 3 months. However, different models imply different risk predictions, and
only one model can be etiologically correct in any specific applications. We
first formally defined several candidate models mapping the time vector of past
drug doses (X (t), t = 1, ... ,u) into the value of a time-varying exposure
metric M(u) at current time u. In addition to conventional one-parameter models,
we considered two-parameter models accounting for recent dose increase or
withdrawal and a flexible spline-based weighted cumulative exposure (WCE) model
that defines M(u) as the weighted sum of past doses. In simulations, we generated
event times assuming one of the models was correct and then analyzed the data
with all candidate models. We demonstrated that the minimum AIC criterion is able
to identify the correct model as the best-fitting model or one of the equivalent
(within 4 AIC points of the minimum) models in a vast majority of simulated
samples, especially with 500 or more events. We also showed how relying on an
incorrect a priori chosen model may largely reduce the power to test for an
association. Finally, we demonstrated how the flexible WCE estimates may help
with model diagnostics even if the correct model is not WCE. We illustrated the
practical advantages of AIC-based a posteriori model selection and WCE modeling
in a real-life pharmacoepidemiology example.
PMID- 22095718
TI - Blocking IL-1 signaling rescues cognition, attenuates tau pathology, and restores
neuronal beta-catenin pathway function in an Alzheimer's disease model.
AB - Inflammation is a key pathological hallmark of Alzheimer's disease (AD), although
its impact on disease progression and neurodegeneration remains an area of active
investigation. Among numerous inflammatory cytokines associated with AD, IL-1beta
in particular has been implicated in playing a pathogenic role. In this study, we
sought to investigate whether inhibition of IL-1beta signaling provides disease
modifying benefits in an AD mouse model and, if so, by what molecular mechanisms.
We report that chronic dosing of 3xTg-AD mice with an IL-1R blocking Ab
significantly alters brain inflammatory responses, alleviates cognitive deficits,
markedly attenuates tau pathology, and partly reduces certain fibrillar and
oligomeric forms of amyloid-beta. Alterations in inflammatory responses
correspond to reduced NF-kappaB activity. Furthermore, inhibition of IL-1
signaling reduces the activity of several tau kinases in the brain, including
cdk5/p25, GSK-3beta, and p38-MAPK, and also reduces phosphorylated tau levels. We
also detected a reduction in the astrocyte-derived cytokine, S100B, and in the
extent of neuronal Wnt/beta-catenin signaling in 3xTg-AD brains, and provided in
vitro evidence that these changes may, in part, provide a mechanistic link
between IL-1 signaling and GSK-3beta activation. Taken together, our results
suggest that the IL-1 signaling cascade may be involved in one of the key disease
mechanisms for AD.
PMID- 22095721
TI - A collagen network phase improves cell seeding of open-pore structure scaffolds
under perfusion.
AB - Scaffolds with open-pore morphologies offer several advantages in cell-based
tissue engineering, but their use is limited by a low cell-seeding efficiency. We
hypothesized that inclusion of a collagen network as filling material within the
open-pore architecture of polycaprolactone-tricalcium phosphate (PCL-TCP)
scaffolds increases human bone marrow stromal cells (hBMSCs) seeding efficiency
under perfusion and in vivo osteogenic capacity of the resulting constructs. PCL
TCP scaffolds, rapid prototyped with a honeycomb-like architecture, were filled
with a collagen gel and subsequently lyophilized, with or without final
crosslinking. Collagen-free scaffolds were used as controls. The seeding
efficiency was assessed after overnight perfusion of expanded hBMSCs directly
through the scaffold pores using a bioreactor system. By seeding and culturing
freshly harvested hBMSCs under perfusion for 3 weeks, the osteogenic capacity of
generated constructs was tested by ectopic implantation in nude mice. The
presence of the collagen network, independently of the crosslinking process,
significantly increased the cell seeding efficiency (2.5-fold), and reduced the
loss of clonogenic cells in the supernatant. Although no implant generated frank
bone tissue, possibly due to the mineral distribution within the scaffold polymer
phase, the presence of a non-crosslinked collagen phase led to in vivo formation
of scattered structures of dense osteoids. Our findings verify that the inclusion
of a collagen network within open morphology porous scaffolds improves cell
retention under perfusion seeding. In the context of cell-based therapies,
collagen-filled porous scaffolds are expected to yield superior cell utilization,
and could be combined with perfusion-based bioreactor devices to streamline graft
manufacture.
PMID- 22095722
TI - Chiral iridium catalysts bearing spiro pyridine-aminophosphine ligands enable
highly efficient asymmetric hydrogenation of beta-aryl beta-ketoesters.
PMID- 22095724
TI - Fluid pressure induces osteoclast differentiation comparably to titanium
particles but through a molecular pathway only partly involving TNFalpha.
AB - In contrast to the well-understood inflammatory pathway driven by TNFalpha, by
which implant-derived particles induce bone resorption, little is known about the
process in which loosening is generated as a result of force-induced mechanical
stimulus at the bone-implant interface. Specifically, there is no knowledge as to
what cells or signaling pathways couple mechanical stimuli to bone resorption in
context of loosening. We hypothesized that different stimuli, i.e., fluid flow
versus wear particles, act through different cytokine networks for activation and
localization of osteoclasts. By using an animal model in which osteoclasts and
bone resorption were induced by fluid pressure or particles, we were able to
detect distinct differences in osteoclast localization and inflammatory gene
expression between fluid pressure and titanium particles. Fluid pressure recruits
and activates osteoclasts with bone marrow contact away from the fluid pressure
exposure zone, whereas titanium particles recruit and activate osteoclasts in
areas in direct contact to particles. Fluid pressure induced weaker expression of
the selected inflammatory related genes, although the eventual degree of
osteoclast induction was similar in both models. Using TNFalphaRa (4 mg/kg)
(Enbrel) and dexamethasone (2 mg/kg) as specific and more general suppressors of
inflammation we showed that the TNFalphaRa failed to generate statistically
impaired osteoclast generation while dexamethasone was much more potent. These
results demonstrate that fluid pressure induces osteoclasts at a different
localization than titanium particles by a molecular pathway less associated with
TNFalpha and the innate system, which open up for other pathways controlling
pressure induced osteoclastogenesis.
PMID- 22095723
TI - Comparison of a 28-channel receive array coil and quadrature volume coil for
morphologic imaging and T2 mapping of knee cartilage at 7T.
AB - PURPOSE: To compare a new birdcage-transmit, 28-channel receive array (28-Ch)
coil and a quadrature volume coil for 7T morphologic MRI and T2 mapping of knee
cartilage. MATERIALS AND METHODS: The right knees of 10 healthy subjects were
imaged on a 7T whole body magnetic resonance (MR) scanner using both coils. 3D
fast low-angle shot (3D-FLASH) and multiecho spin-echo (MESE) sequences were
implemented. Cartilage signal-to-noise ratio (SNR), contrast-to-noise ratio
(CNR), thickness, and T2 values were assessed. RESULTS: SNR/CNR was 17%-400%
greater for the 28-Ch compared to the quadrature coil (P <= 0.005). Bland-Altman
plots show mean differences between measurements of tibial/femoral cartilage
thickness and T2 values obtained with each coil to be small (-0.002 +/- 0.009 cm
/ 0.003 +/- 0.011 cm) and large (-6.8 +/- 6.7 msec/-8.2 +/- 9.7 msec),
respectively. For the 28-Ch coil, when parallel imaging with acceleration factors
(AF) 2, 3, and 4 was performed SNR retained was: 62%-69%, 51%-55%, and 39%-45%.
CONCLUSION: A 28-Ch knee coil provides increased SNR/CNR for 7T cartilage
morphologic imaging and T2 mapping. Coils should be switched with caution during
clinical studies because T2 values may differ. The greater SNR of the 28-Ch coil
could be used to perform parallel imaging with AF2 and obtain similar SNR as the
quadrature coil.
PMID- 22095725
TI - Revisiting the folding kinetics of bacteriorhodopsin.
AB - The elucidation of the physical principles that govern the folding and stability
of membrane proteins is one of the greatest challenges in protein science.
Several insights into the folding of alpha-helical membrane proteins have come
from the investigation of the conformational equilibrium of H. halobium
bacteriorhodopsin (bR) in mixed micelles using SDS as a denaturant. In an effort
to confirm that folded bR and SDS-denatured bR reach the same conformational
equilibrium, we found that bR folding is significantly slower than has been
previously known. Interrogation of the effect of the experimental variables on
folding kinetics reveals that the rate of folding is dependent not only on the
mole fraction of SDS but also on the molar concentrations of mixed micelle
components, a variable that was not controlled in the previous study of bR
folding kinetics. Moreover, when the molar concentrations of mixed micelle
components are fixed at the concentrations commonly employed for bR equilibrium
studies, conformational relaxation in the transition zone is slower than
hydrolysis of the retinal Schiff base. As a result, the conformational
equilibrium between folded bR and SDS-denatured bR cannot be achieved under the
conventional condition. Our finding suggests that the molar concentrations of
mixed micelle components are important experimental variables in the
investigation of the kinetics and thermodynamics of bR folding and should be
accounted for to ensure the accurate assessment of the conformational equilibrium
of bR without the interference of retinal hydrolysis.
PMID- 22095726
TI - Pressure-overload-induced subcellular relocalization/oxidation of soluble
guanylyl cyclase in the heart modulates enzyme stimulation.
AB - RATIONALE: Soluble guanylyl cyclase (sGC) generates cyclic guanosine monophophate
(cGMP) upon activation by nitric oxide (NO). Cardiac NO-sGC-cGMP signaling blunts
cardiac stress responses, including pressure-overload-induced hypertrophy. The
latter itself depresses signaling through this pathway by reducing NO generation
and enhancing cGMP hydrolysis. OBJECTIVE: We tested the hypothesis that the sGC
response to NO also declines with pressure-overload stress and assessed the role
of heme-oxidation and altered intracellular compartmentation of sGC as potential
mechanisms. METHODS AND RESULTS: C57BL/6 mice subjected to transverse aortic
constriction (TAC) developed cardiac hypertrophy and dysfunction. NO-stimulated
sGC activity was markedly depressed, whereas NO- and heme-independent sGC
activation by BAY 60-2770 was preserved. Total sGCalpha(1) and beta(1) expression
were unchanged by TAC; however, sGCbeta(1) subunits shifted out of caveolin
enriched microdomains. NO-stimulated sGC activity was 2- to 3-fold greater in
Cav3-containing lipid raft versus nonlipid raft domains in control and 6-fold
greater after TAC. In contrast, BAY 60-2770 responses were >10 fold higher in non
Cav3 domains with and without TAC, declining about 60% after TAC within each
compartment. Mice genetically lacking Cav3 had reduced NO- and BAY-stimulated sGC
activity in microdomains containing Cav3 for controls but no change within non
Cav3-enriched domains. CONCLUSIONS: Pressure overload depresses NO/heme-dependent
sGC activation in the heart, consistent with enhanced oxidation. The data reveal
a novel additional mechanism for reduced NO-coupled sGC activity related to
dynamic shifts in membrane microdomain localization, with Cav3-microdomains
protecting sGC from heme-oxidation and facilitating NO responsiveness.
Translocation of sGC out of this domain favors sGC oxidation and contributes to
depressed NO-stimulated sGC activity.
PMID- 22095727
TI - Circadian dependence of infarct size and left ventricular function after ST
elevation myocardial infarction.
AB - RATIONALE: In rodents, infarct size after ischemia/reperfusion exhibits a
circadian dependence on the time of coronary occlusion. It is not known if a
similar circadian dependence of infarct size occurs in humans. OBJECTIVE: To
determine if humans exhibit a circadian dependence of infarct size in the setting
of ST elevation myocardial infarction (STEMI). METHODS AND RESULTS: A
retrospective analysis of 1031 patients with STEMI referred for primary
percutaneous coronary intervention with known ischemic times between 1 and 6
hours identified 165 patients with occluded arteries on presentation without
evidence of preinfarction angina or collateral blood flow. Both ischemic duration
and angiographic area at risk were not dependent on time of infarct onset. We
observed that the extent of infarct size measured by creatine kinase release was
significantly associated with time of day onset of infarction (P<0.0001). The
greatest myocardial injury occurred at 1:00 am onset of ischemia and 5:00 am
onset of reperfusion, with the peak creatine kinase measured at the peak of the
curve being 82% higher than that recorded at the trough. Similarly, left
ventricular ejection fraction measured within 2 days of infarction was also
dependent on time of onset of STEMI with the absolute left ventricular ejection
fraction at peak >7% higher than at trough (43% vs 51%; P<0.03). These findings
were supported by a subgroup of patients (n = 45) who underwent cardiac MRI
measurements of infarct size and area-at-risk measurements. CONCLUSIONS: The
results of this study demonstrate for the first time in humans that myocardial
infarct size and left ventricular function after STEMI have a circadian
dependence on the time of day onset of ischemia.
PMID- 22095728
TI - Acidosis dilates brain parenchymal arterioles by conversion of calcium waves to
sparks to activate BK channels.
AB - RATIONALE: Acidosis is a powerful vasodilator signal in the brain circulation.
However, the mechanisms by which this response occurs are not well understood,
particularly in the cerebral microcirculation. One important mechanism to dilate
cerebral (pial) arteries is by activation of large-conductance, calcium-sensitive
potassium (BK(Ca)) channels by local Ca(2+) signals (Ca(2+) sparks) through
ryanodine receptors (RyRs). However, the role of this pathway in the brain
microcirculation is not known. OBJECTIVE: The objectives of this study were to
determine the mechanism by which acidosis dilates brain parenchymal arterioles
(PAs) and to elucidate the roles of RyRs and BK(Ca) channels in this response.
METHODS AND RESULTS: Internal diameter and vascular smooth muscle cell Ca(2+)
signals were measured in isolated pressurized murine PAs, using imaging
techniques. In physiological pH (7.4), vascular smooth muscle cells exhibited
primarily RyR-dependent Ca(2+) waves. Reducing external pH from 7.4 to 7.0 in
both normocapnic and hypercapnic conditions decreased Ca(2+) wave activity, and
dramatically increased Ca(2+) spark activity. Acidic pH caused a dilation of PAs
which was inhibited by about 60% by BK(Ca) channel or RyR blockers, in a
nonadditive manner. Similarly, dilator responses to acidosis were reduced by
nearly 60% in arterioles from BK(Ca) channel knockout mice. Dilations induced by
acidic pH were unaltered by inhibitors of K(ATP) channels or nitric oxide
synthase. CONCLUSIONS: These results support the novel concept that
acidification, by converting Ca(2+) waves to sparks, leads to the activation of
BK(Ca) channels to induce dilation of cerebral PAs.
PMID- 22095730
TI - Dominant-negative control of cAMP-dependent IKs upregulation in human long-QT
syndrome type 1.
AB - RATIONALE: The mutation A341V in the S6 transmembrane segment of KCNQ1, the alpha
subunit of the slowly activating delayed-rectifier K(+) (I(Ks)) channel,
predisposes to a severe long-QT1 syndrome with sympathetic-triggered ventricular
tachyarrhythmias and sudden cardiac death. OBJECTIVE: Several genetic risk
modifiers have been identified in A341V patients, but the molecular mechanisms
underlying the pronounced repolarization phenotype, particularly during beta
adrenergic receptor stimulation, remain unclear. We aimed to elucidate these
mechanisms and provide new insights into control of cAMP-dependent modulation of
I(Ks). METHODS AND RESULTS: We characterized the effects of A341V on the I(Ks)
macromolecular channel complex in transfected Chinese hamster ovary cells and
found a dominant-negative suppression of cAMP-dependent Yotiao-mediated I(Ks)
upregulation on top of a dominant-negative reduction in basal current.
Phosphomimetic substitution of the N-terminal position S27 with aspartic acid
rescued this loss of upregulation. Western blot analysis showed reduced
phosphorylation of KCNQ1 at S27, even for heterozygous A341V, suggesting that
phosphorylation defects in some (mutant) KCNQ1 subunits can completely suppress
I(Ks) upregulation. Functional analyses of heterozygous KCNQ1 WT:G589D and
heterozygous KCNQ1 WT:S27A, a phosphorylation-inert substitution, also showed
such suppression. Immunoprecipitation of Yotiao with KCNQ1-A341V (in the presence
of KCNE1) was not different from wild-type. CONCLUSIONS: Our results indicate the
involvement of the KCNQ1-S6 region at/or around A341 in cAMP-dependent
stimulation of I(Ks), a process that is under strong dominant-negative control,
suggesting that tetrameric KCNQ1 phosphorylation is required. Specific long-QT1
mutations, including heterozygous A341V, disable this regulation.
PMID- 22095729
TI - Induction of vascular progenitor cells from endothelial cells stimulates coronary
collateral growth.
AB - RATIONALE: A well-developed coronary collateral circulation improves the
morbidity and mortality of patients following an acute coronary occlusion.
Although regenerative medicine has great potential in stimulating vascular growth
in the heart, to date there have been mixed results, and the ideal cell type for
this therapy has not been resolved. OBJECTIVE: To generate induced vascular
progenitor cells (iVPCs) from endothelial cells, which can differentiate into
vascular smooth muscle cells (VSMCs) or endothelial cells (ECs), and test their
capability to stimulate coronary collateral growth. METHODS AND RESULTS: We
reprogrammed rat ECs with the transcription factors Oct4, Klf4, Sox2, and c-Myc.
A population of reprogrammed cells was derived that expressed pluripotent markers
Oct4, SSEA-1, Rex1, and AP and hemangioblast markers CD133, Flk1, and c-kit.
These cells were designated iVPCs because they remained committed to vascular
lineage and could differentiate into vascular ECs and VSMCs in vitro. The iVPCs
demonstrated better in vitro angiogenic potential (tube network on 2-dimensional
culture, tube formation in growth factor reduced Matrigel) than native ECs. The
risk of teratoma formation in iVPCs is also reduced in comparison with fully
reprogrammed induced pluripotent stem cells (iPSCs). When iVPCs were implanted
into myocardium, they engrafted into blood vessels and increased coronary
collateral flow (microspheres) and improved cardiac function (echocardiography)
better than iPSCs, mesenchymal stem cells, native ECs, and sham treatments.
CONCLUSIONS: We conclude that iVPCs, generated by partially reprogramming ECs,
are an ideal cell type for cell-based therapy designed to stimulate coronary
collateral growth.
PMID- 22095731
TI - Comparison of common lignin methods and modifications on forage and
lignocellulosic biomass materials.
AB - BACKGROUND: A variety of methods have been developed for estimating lignin
concentration within plant materials. The objective of this study was to compare
the lignin concentrations produced by six methods on a diverse population of
forage and biomass materials and to examine the relationship between these
concentrations and the portions of these materials that are available for
utilisation by livestock or for ethanol conversion. RESULTS: Several methods
produced lignin concentrations that were highly correlated with the digestibility
of the forages, but there were few relationships between these methods and the
available carbohydrate of the biomass materials. The use of Na2SO3 during
preparation of residues for hydrolysis resulted in reduced lignin concentrations
and decreased correlation with digestibility of forage materials, particularly
the warm-season grasses. CONCLUSION: There were several methods that were well
suited for predicting the digestible portion of forage materials, with the acid
detergent lignin and Klason lignin method giving the highest correlation across
the three types of forage. The continued use of Na2SO3 during preparation of Van
Soest fibres needs to be evaluated owing to its ability to reduce lignin
concentrations and effectiveness in predicting the utilisation of feedstuffs and
feedstocks. Because there was little correlation between the lignin concentration
and the biomass materials, there is a need to examine alternative or develop new
methods to estimate lignin concentrations that may be used to predict the
availability of carbohydrates for ethanol conversion.
PMID- 22095732
TI - The reaction mechanism of Cytochrome P450 NO reductase: a detailed quantum
mechanics/molecular mechanics study.
AB - A detailed QM/MM study on the reaction mechanism of Cytochrome P450 NO reductase
is reported. Two reaction pathways connecting the two well-characterized
intermediates as well as two putative intermediates that represent the unknown
third intermediate are explored, with emphasis on the unusual direct reduction of
the enzymatic active site by the cofactor NADH. Activation barriers and kinetic
isotope effect are calculated and reveal that reduction of the NO-bound species
occurs in form of a hydride ion transfer. Furthermore, the impact of different
hydrogen bonds in the active site to binding and reactivity of NADH is explored.
The calculated kinetic and thermodynamic properties for both modelled pathways
are used for the kinetic simulation of the entire reaction course. It is thus
shown that the unknown key intermediate is the singlet diradical Fe(III)-NHOH(?).
It is also found that the mechanism of the N-N bond formation is spin-recoupling,
which is only possible due to the diradical character of the key intermediate.
PMID- 22095733
TI - Predicting outcome of inpatient CBT for adolescents with anxious-depressed school
absenteeism.
AB - Predictors of outcome of inpatient treatment based on manualized cognitive
behavioural therapy (CBT) were examined for 147 adolescents with anxious
depressed school absenteeism assessed at discharge and at 2 months after the end
of treatment. Outcome measures were regular school attendance and a wide variety
of mental health problems rated by adolescents and parents. Socio-demographic
data, clinical ratings/diagnosis and adolescent-reported and parent-reported
mental health problems were examined as predictors. Regression analyses indicated
that none of the variables were able to predict regular school attendance in a
clinically relevant way. Adolescent-reported and parent-reported mental health
problems at intake predicted these symptoms at both discharge and follow-up (R(2)
between 0.31 and 0.61).
PMID- 22095734
TI - Cyclo[4]naphthobipyrroles: naphthobipyrrole-derived cyclo[8]pyrroles with strong
near-infrared absorptions.
PMID- 22095737
TI - BMP-7 stimulates early diaphyseal fracture healing in estrogen deficient rats.
AB - Estrogen deficiency causes postmenopausal osteoporosis. The relationship between
estrogen deficiency and the high failure rate after osteoporotic fracture
treatment is unclear, as is the effect of possible interventions, either with
anti-resorptive agents or with anabolic agents such as bone morphogenetic
proteins (BMPs). To investigate the influence of estrogen deficiency as well as
the effect of early intervention, forty female wistar rats underwent ovarectomy
(OVX) followed by low calcium diet. Ten rats underwent sham operations, followed
by normal diet. After 6 weeks, a closed midshaft femoral fracture was induced.
Ten animals received a systemic bisphosphonate injection, 10 injection of BMP-7
in the fracture, and 10 a combination. All then received a normal diet. After 2
weeks healing was evaluated using radiographs, CT, biomechanical testing, and
histology. Radiography showed significant increase of bridging in groups treated
with BMP-7. Callus volume was higher in these groups. Bending stiffness and
strength were similar between OVX and sham, and not influenced by
bisphosphonates. Significant increase was seen in groups treated with BMP-7.
Histology was in accordance with other endpoints. Early fracture healing was not
affected by estrogen deficiency. While no beneficiary effect of bisphosphonate
treatment was found, injection of BMP-7 stimulated healing in ovarectomized rats.
PMID- 22095736
TI - Regeneration of the heart.
AB - The death of cardiac myocytes diminishes the heart's pump function and is a major
cause of heart failure, one of the dominant causes of death worldwide. Other than
transplantation, there are no therapies that directly address the loss of cardiac
myocytes, which explains the current excitement in cardiac regeneration. The
field is evolving in two important directions. First, although endogenous
mammalian cardiac regeneration clearly seems to decline rapidly after birth, it
may still persist in adulthood. The careful elucidation of the cellular and
molecular mechanisms of endogenous heart regeneration may therefore provide an
opportunity for developing therapeutic interventions that amplify this process.
Second, recent breakthroughs have enabled reprogramming of cells that were
apparently terminally differentiated, either by dedifferentiation into
pluripotent stem cells or by transdifferentiation into cardiac myocytes. These
achievements challenge our conceptions of what is possible in terms of heart
regeneration. In this review, we discuss the current status of research on
cardiac regeneration, with a focus on the challenges that hold back therapeutic
development.
PMID- 22095738
TI - Breathing instability in Joubert syndrome.
PMID- 22095739
TI - Cofactor-binding sites in proteins of deviating sequence: comparative analysis
and clustering in torsion angle, cavity, and fold space.
AB - Small molecules are recognized in protein-binding pockets through surface-exposed
physicochemical properties. To optimize binding, they have to adopt a
conformation corresponding to a local energy minimum within the formed protein
ligand complex. However, their conformational flexibility makes them competent to
bind not only to homologous proteins of the same family but also to proteins of
remote similarity with respect to the shape of the binding pockets and folding
pattern. Considering drug action, such observations can give rise to unexpected
and undesired cross reactivity. In this study, datasets of six different
cofactors (ADP, ATP, NAD(P)(H), FAD, and acetyl CoA, sharing an adenosine
diphosphate moiety as common substructure), observed in multiple crystal
structures of protein-cofactor complexes exhibiting sequence identity below 25%,
have been analyzed for the conformational properties of the bound ligands, the
distribution of physicochemical properties in the accommodating protein-binding
pockets, and the local folding patterns next to the cofactor-binding site. State
of-the-art clustering techniques have been applied to group the different protein
cofactor complexes in the different spaces. Interestingly, clustering in cavity
(Cavbase) and fold space (DALI) reveals virtually the same data structuring.
Remarkable relationships can be found among the different spaces. They provide
information on how conformations are conserved across the host proteins and which
distinct local cavity and fold motifs recognize the different portions of the
cofactors. In those cases, where different cofactors are found to be accommodated
in a similar fashion to the same fold motifs, only a commonly shared substructure
of the cofactors is used for the recognition process.
PMID- 22095740
TI - Analysis of transcriptomic and proteomic profiles demonstrates improved Madin
Darby canine kidney cell function in a renal microfluidic biochip.
AB - We have evaluated the influence of the microfluidic environment on renal cell
functionality. For that purpose, we performed a time lapse transcriptomic and
proteomic analysis in which we compared gene and protein expressions of Madin
Darby canine kidney cells after 24 h and 96 h of culture in both microfluidic
biochips and plates. The transcriptomic and proteomic integration revealed that
the ion transporters involved in calcium, phosphate, and sodium homoeostasis and
several genes involved in H(+) transporters and pH regulation were up-regulated
in microfluidic biochips. Concerning drug metabolism, we found Phase I (CYP
P450), Phase II enzymes (GST), various multidrug resistance genes (MRP), and
Phase III transporters (SLC) were also up-regulated in the biochips. Furthermore,
the study shows that those inductions were correlated with the induction of the
Ahr and Nrf-2 dependent pathways, which results in a global cytoprotective
response induced by the microenvironment. However, there was no apoptosis
situation or cell death in the biochips. Microfluidic biochips may thus provide
an important insight into exploring xenobiotic injury and transport modifications
in this type of bioartificial microfluidic kidney. Finally, the investigation
demonstrated that combining the transcriptomic and proteomic analyses obtained
from a cell "on chip" culture would provide a pertinent new tool in the
mechanistic interpretation of cellular mechanisms for predicting kidney cell
toxicity and renal clearance in vitro.
PMID- 22095741
TI - Use of enzyme inhibitors to evaluate the conversion pathways of ester and amide
prodrugs: a case study example with the prodrug ceftobiprole medocaril.
AB - An approach was developed that uses enzyme inhibitors to support the assessment
of the pathways that are responsible for the conversion of intravenously
administered ester and amide prodrugs in different biological matrices. The
methodology was applied to ceftobiprole medocaril (BAL5788), the prodrug of the
cephalosporin antibiotic, ceftobiprole. The prodrug was incubated in plasma,
postmitochondrial supernatant fractions from human liver (impaired and
nonimpaired), kidney, and intestine as well as erythrocytes, in the presence and
absence of different enzyme inhibitors (acetylcholinesterase,
pseudocholinesterase, retinyl palmitoyl hydrolase, serine esterases, amidases,
and cholinesterase). Hydrolysis was rapid, extensive, and not dependent on the
presence of beta-nicotinamide-adenine dinucleotide phosphate (reduced form) in
all matrices tested, suggesting the involvement of carboxylesterases but not P450
enzymes. Hydrolysis in healthy human plasma was rapid and complete and only
partially inhibited in the presence of paraoxonase inhibitors or in liver from
hepatic impaired patients, suggesting involvement of nonparaoxonase pathways. The
results demonstrate the utility of this approach in confirming the presence of
multiple conversion pathways of intravenously administered prodrugs and in the
case of BAL5788 demonstrated that this prodrug is unlikely to be affected by
genetic polymorphisms, drug interactions, or other environmental factors that
might inhibit or induce the enzymes involved in its conversion.
PMID- 22095742
TI - MiR-17-92 cluster regulates cell proliferation and collagen synthesis by
targeting TGFB pathway in mouse palatal mesenchymal cells.
AB - Elongation and elevation of palatal shelves, mainly caused by proliferation and
extra-cellular matrix synthesis of palatal mesenchymal cells (PMCs), are
essential for normal palatal development. Transforming growth factor beta (TGFB)
pathway could induce proliferation inhibition and collagen synthesis in PMCs.
Recent studies found that miRNA-17-92 (miR-17-92) cluster, including miR-17, miR
18a, miR-19a, miR-20a, miR-19b, and miR-92a, expressed in the 1st bronchial arch
of mouse embryos during the period of palatal shelf elongation and elevation, and
directly targeted TGFB pathway in cancer cell lines. Whether miR-17-92 cluster
expresses and targets TGFB pathway in PMCs has not yet been studied. Using
quantitative real-time RT-PCR, we found that miR-17-92 expressed in PMCs and
decreased from embryonic day (E) 12 to E14 in palatal shelves. MTT assay and
Western blot showed that miR-17-92 inhibited TGFB1 induced proliferation
inhibition and collagen synthesis in PMCs by decreasing TGFBR2, SMAD2, and SMAD4
protein level. Further luciferase assay showed that miR-17 and miR-20a directly
targeted 3'UTR of TGFBR2, and that miR-18a directly targeted 3'UTR of SMAD2 and
SMAD4. We thus conclude that miR-17-92 cluster could inhibit TGFB pathway induced
proliferation inhibition and collagen synthesis in PMCs by directly targeting
TGFBR2, SMAD2, and SMAD4.
PMID- 22095743
TI - Modeling large regions in proteins: applications to loops, termini, and folding.
AB - Template-based methods for predicting protein structure provide models for a
significant portion of the protein but often contain insertions or chain ends
(InsEnds) of indeterminate conformation. The local structure prediction "problem"
entails modeling the InsEnds onto the rest of the protein. A well-known limit
involves predicting loops of <=12 residues in crystal structures. However,
InsEnds may contain as many as ~50 amino acids, and the template-based model of
the protein itself may be imperfect. To address these challenges, we present a
free modeling method for predicting the local structure of loops and large
InsEnds in both crystal structures and template-based models. The approach uses
single amino acid torsional angle "pivot" moves of the protein backbone with a
C(beta) level representation. Nevertheless, our accuracy for loops is comparable
to existing methods. We also apply a more stringent test, the blind structure
prediction and refinement categories of the CASP9 tournament, where we improve
the quality of several homology based models by modeling InsEnds as long as 45
amino acids, sizes generally inaccessible to existing loop prediction methods.
Our approach ranks as one of the best in the CASP9 refinement category that
involves improving template-based models so that they can function as molecular
replacement models to solve the phase problem for crystallographic structure
determination.
PMID- 22095744
TI - Modulation of human mesenchymal stem cell function in a three-dimensional matrix
promotes attenuation of adverse remodelling after myocardial infarction.
AB - The application of tissue engineering (TE) practices for cell delivery offers a
unique approach to cellular cardiomyoplasty. We hypothesized that human
mesenchymal stem cells (hMSCs) applied to the heart in a collagen matrix would
outperform the same cells grown in a monolayer and directly injected for cardiac
cell replacement after myocardial infarction in a rat model. When hMSC patches
were transplanted to infarcted hearts, several measures for left ventricle (LV)
remodelling and function were improved, including fractional area change, wall
thickness, -dP/dt and LV end-diastolic pressure. Neovessel formation throughout
the LV infarct wall after hMSC patch treatment increased by 37% when compared to
direct injection of hMSCs. This observation was correlated with increased
secretion of angiogenic factors, with accompanying evidence that these factors
enhanced vessel formation (30% increase) and endothelial cell growth (48%
increase) in vitro. These observations may explain the in vivo observations of
increased vessel formation and improved cardiac function with patch-mediated cell
delivery. Although culture of hMSC in collagen patches enhanced angiogenic
responses, there was no effect on cell potency or viability. Therefore, hMSCs
delivered as a cardiac patch showed benefits above those derived from monolayers
and directly injected. hMSCs cultured and delivered within TE constructs may
represent a good option to maximize the effects of cellular cardiomyoplasty.
PMID- 22095745
TI - Body composition analysis of obesity and hepatic steatosis in mice by relaxation
compensated fat fraction (RCFF) MRI.
AB - PURPOSE: To develop and validate a quantitative magnetic resonance imaging (MRI)
methodology for phenotyping animal models of obesity and fatty liver disease on
7T small animal MRI scanners. MATERIALS AND METHODS: A new MRI acquisition and
image analysis technique, relaxation-compensated fat fraction (RCFF), was
developed and validated by both magnetic resonance spectroscopy and histology.
This new RCFF technique was then used to assess lipid biodistribution in two
groups of mice on either a high-fat (HFD) or low-fat (LFD) diet. RESULTS: RCFF
demonstrated excellent correlation in phantom studies (R(2) = 0.99) and in vivo
compared to histological evaluation of hepatic triglycerides (R(2) = 0.90). RCFF
images provided robust fat fraction maps with consistent adipose tissue values
(82% +/- 3%). HFD mice exhibited significant increases in peritoneal and
subcutaneous adipose tissue volumes in comparison to LFD controls (peritoneal:
6.4 +/- 0.4 cm(3) vs. 0.7 +/- 0.2, P < 0.001; subcutaneous: 14.7 +/- 2.0 cm(3)
vs. 1.2 +/- 0.3 cm(3) , P < 0.001). Hepatic fat fractions were also significantly
different between HFD and LFD mice (3.1% +/- 1.7% LFD vs. 27.2% +/- 5.4% HFD, P =
0.002). CONCLUSION: RCFF can be used to quantitatively assess adipose tissue
volumes and hepatic fat fractions in rodent models at 7T.
PMID- 22095746
TI - Late effects of high-dose adjuvant chemotherapy on white and gray matter in
breast cancer survivors: converging results from multimodal magnetic resonance
imaging.
AB - The neural substrate underlying cognitive impairments after chemotherapy is
largely unknown. Here, we investigated very late (>9 years) effects of adjuvant
high-dose chemotherapy on brain white and gray matter in primary breast cancer
survivors (n = 17) with multimodal magnetic resonance imaging (MRI). A group of
breast cancer survivors who did not receive chemotherapy was scanned for
comparison (n = 15). Neuropsychological tests demonstrated cognitive impairments
in the chemotherapy group. Diffusion tensor imaging (DTI) with tract-based
spatial statistics showed that chemotherapy was associated with focal changes in
DTI values indicative for reduced white matter integrity. Single voxel proton MR
spectroscopy (1H-MRS) in the left centrum semiovale (white matter) showed a
reduction of N-acetylasparate/creatine indicative of axonal injury. Voxel-based
morphometry demonstrated a reduction of gray matter volume that overlapped with
fMRI hypoactivation (as reported in a previous publication) in posterior parietal
areas and colocalized with DTI abnormalities. Also, DTI correlated with 1H-MRS
only in the chemotherapy group. These results converge to suggest that high-dose
adjuvant chemotherapy for breast cancer is associated with long-term injury to
white matter, presumably reflecting a combination of axonal degeneration and
demyelination, and damage to gray matter with associated functional deficits.
Hormonal treatment with tamoxifen may also have contributed to the observed
effects, although results from other studies indicate that it is unlikely that
tamoxifen is solely or largely responsible. Using this multimodality approach we
provide for the first time insight into the neural substrate underlying cognitive
impairments following systemic administration of cytotoxic agents many years
after treatment.
PMID- 22095747
TI - MQD--multiplex-quadrature detection in multi-dimensional NMR.
AB - With multiplex-quadrature detection (MQD) the tasks of coherence selection and
quadrature separation in N-dimensional heteronuclear NMR experiments are merged.
Thus the number of acquisitions required to achieve a desired resolution in the
indirect dimensions is significantly reduced. The minimum number of transients
per indirect data point, which have to be combined to give pure-phase spectra, is
thus decreased by a factor (3/4)(N-1). This reduction is achieved without
adjustable parameters. We demonstrate the advantage by MQD 3D HNCO and HCCH-TOCSY
spectra affording the same resolution and the same per-scan sensitivity as
standard phase-cycled ones, but obtained in only 56 % of the usual time and by
resolution improvements achieved in the same amount of time.
PMID- 22095748
TI - Nutritional composition of Zizyphus lotus L. seeds.
AB - BACKGROUND: Zizyphus lotus seeds are an unutilized source of vegetable oil and
protein and nothing has been reported on their physicochemical characteristics
which would indicate the potential uses of these seeds. RESULTS: The percentage
composition of the Zizyphus lotus seeds is (on a dry-weight basis): ash 1.05%,
oil 32.92%, protein 19.11%, total carbohydrate 40.87% and moisture 6.05%.
Calcium, potassium and magnesium constitute the major minerals of Zizyphus lotus
seeds. The seed proteins are rich in threonine, glutamic acid, leucine, arginine
and aspartic acid (26.73%, 17.28%, 13.11%, 9.47% and 7.76%, respectively). The
main fatty acids of the oil are oleic (61.93%), linoleic (18.31%) and palmitic
(9.14%) acids. Glycerol trioleate (OOO; O: oleic acid) was the most abundant
triacylglycerol, representing 26.48% of the total triacyglycerols. beta
Tocopherol was the major tocopherol (130.47 mg 100 g(-1) ). This oil was rich in
Delta7-campestrol and beta-sitosterol (147.82 and 82.10 mg 100 g(-1) oil),
respectively. CONCLUSION: Zizyphus lotus seeds are rich in fat and protein which
are of potential industrial significance. In addition, Zizyphus lotus L. seed oil
contained many bioactive compounds. This fact is of great economic interest owing
to several applications of Zizyphus lotus L. seeds in the food, cosmetics and
medicinal industries.
PMID- 22095749
TI - Palladium-catalyzed allylic alkylation of carboxylic acid derivatives: N
acyloxazolinones as ester enolate equivalents.
PMID- 22095750
TI - Use of UpToDate and outcomes in US hospitals.
AB - BACKGROUND: Computerized clinical knowledge mana-gement systems hold enormous
potential for improving quality and efficiency. However, their impact on clinical
practice is not well known. OBJECTIVE: To examine the impact of UpToDate on
outcomes of care. DESIGN: Retrospective study. SETTING: National sample of US
inpatient hospitals. PATIENTS: Fee-for-service Medicare beneficiaries.
INTERVENTION: Adoption of UpToDate in US hospitals. MEASUREMENT: Risk-adjusted
lengths of stay, mortality rates, and quality performance. RESULTS: We found that
patients admitted to hospitals using UpToDate had shorter lengths of stay than
patients admitted to non-UpToDate hospitals overall (5.6 days vs 5.7 days; P <
0.001) and among 6 prespecified conditions (range, -0.1 to -0.3 days; P < 0.001
for each). Further, patients admitted to UpToDate hospitals had lower risk
adjusted mortality rate for 3 of the 6 conditions (range, -0.1% to -0.6%
mortality reduction; P < 0.05). Finally, hospitals with UpToDate had better
quality performance for every condition on the Hospital Quality Alliance metrics.
In subgroup analyses, we found that it was the smaller hospitals and the non
teaching hospitals where the benefits of the UpToDate seemed most pronounced,
compared to the larger, teaching institutions where the benefits of UpToDate
seemed small or nonexistent. CONCLUSIONS: We found a very small but consistent
association between use of UpToDate and reduced length of stay, lower risk
adjusted mortality rates, and better quality performance, at least in the
smaller, non-teaching institutions. These findings may suggest that computerized
tools such as UpToDate could be helpful in improving care.
PMID- 22095751
TI - An experimental glenoid rim strain analysis for an improved reverse anatomy
shoulder implant fixation.
AB - Loosening of glenoid components in TSA is a main cause of failure. In reverse
anatomy TSA designs used for unstable joints, fixation is particularly demanding.
Strains developed around the glenoid rim of biomechanical sawbone scapulae
implanted with (a) the original fixed-fulcrum Bayley-Walker glenoid prosthesis in
current clinical use, and (b) a revised version with conical cross-section, were
compared. The conical shape of the revised design was hypothesized to produce
greater strains in the glenoid rim than the original tapered screw design. The 2D
strain field at three accessible locations around the rim of each scapula was
measured with three-element rosette strain gauges for two types of simulated
cancellous bone fill under applied physiologically relevant loads. The average
strain energy densities around the rim for the conical design were greater than
for the original design by a factor of 1.55-2.25 for all loading conditions.
Results indicate that a significantly greater proportion of load was directed
toward cortical bone in the conical design, thus promoting cortical bone loading.
PMID- 22095753
TI - Re: indeterminate 1-2 cm nodules found on hepatocellular carcinoma surveillance:
biopsy for all, some, or none?
PMID- 22095752
TI - AKAP2 anchors PKA with aquaporin-0 to support ocular lens transparency.
AB - A decline in ocular lens transparency known as cataract afflicts 90% of
individuals by the age 70. Chronic deterioration of lens tissue occurs as a
pathophysiological consequence of defective water and nutrient circulation
through channel and transporter proteins. A key component is the aquaporin-0
(AQP0) water channel whose permeability is tightly regulated in healthy lenses.
Using a variety of cellular and biochemical approaches we have discovered that
products of the A-kinase anchoring protein 2 gene (AKAP2/AKAP-KL) form a stable
complex with AQP0 to sequester protein kinase A (PKA) with the channel. This
permits PKA phosphorylation of serine 235 within a calmodulin (CaM)-binding
domain of AQP0. The additional negative charge introduced by phosphoserine 235
perturbs electrostatic interactions between AQP0 and CaM to favour water influx
through the channel. In isolated mouse lenses, displacement of PKA from the AKAP2
AQP0 channel complex promotes cortical cataracts as characterized by severe
opacities and cellular damage. Thus, anchored PKA modulation of AQP0 is a
homeostatic mechanism that must be physically intact to preserve lens
transparency.
PMID- 22095754
TI - A highly alpha-stereoselective synthesis of oligosaccharide fragments of the Vi
antigen from Salmonella typhi and their antigenic activities.
AB - In this paper, a convenient approach to the synthesis of the repeating alpha-(1
>4)-linked N-acetyl galactosaminuronic acid units from the capsular
polysaccharide of Salmonella typhi is reported. The exclusively alpha
stereoselective glycosylation reactions were achieved by using oxazolidinone
protected glycosides as building blocks based on a pre-activation protocol. Di-,
tri-, and tetrasaccharides were prepared by this short and efficient approach in
high yields. The enzyme-linked immunosorbent assay experiments show that our
synthetic tri- and tetrasaccharide had much higher antigenic activities than
previously reported ones in the inhibition of antibody binding by the native
polysaccharide. The results demonstrate that the antigenic activities of
saccharides can be strengthened greatly by increasing the number of acetyl groups
present.
PMID- 22095755
TI - Environmental tobacco smoke and Parkinson's disease.
AB - BACKGROUND: Parkinson's disease is inversely associated with cigarette smoking,
but its relation with passive smoking or environmental tobacco smoke exposure is
rarely examined. METHODS: Within a case-control study, we assessed the
association between Parkinson's disease and living or working with active
smokers. Cases were newly diagnosed with idiopathic Parkinson's disease (n = 154)
from western Washington State in 2002-2008. Age- and sex-matched controls (n =
173) were neurologically normal and unrelated to cases. RESULTS: Compared with
never active or passive tobacco smokers, we observed reduced Parkinson's disease
risks for ever passive only smokers (OR, 0.34; 95% CI, 0.16-0.73), similar to
those for ever active smokers (OR, 0.35; 95% CI, 0.17-0.73). Among persons whose
only tobacco smoke exposure was passive smoking at home, risk was inversely
associated with years exposed. CONCLUSIONS: These observations parallel those
well established for active smoking. However, it remains unresolved whether a
true protective effect of tobacco smoke, generally detrimental to health,
underlies these associations.
PMID- 22095757
TI - Nanocluster budesonide formulations enhance drug delivery through endotracheal
tubes.
AB - The pulmonary system is an attractive route for drug delivery because the lungs
have a large accessible surface area for treatment. For ventilated patients, an
endotracheal tube is required for delivering drugs into the lungs. Such tubes are
generally poor conduits for delivering traditional aerosol formulations. Both the
formulation and the properties of the endotracheal tube are important effectors
of delivery efficiency. In this study, agglomerates of budesonide nanoparticles
(NanoClusters) were formulated with or without l-leucine or lactose. Teflon
tubing was compared with commercial endotracheal tubes as a conduit for
delivering budesonide powders into a cascade impactor. The effects of volumetric
flow rate, tube size, and humidity were also investigated. NanoCluster budesonide
(NC-Bud) formulations had a considerably higher emitted dose and fine particle
fraction compared with stock budesonide and the commercial Flexhaler powder when
applied through endotracheal tubes. Tubing material did not significantly affect
powder performance, but decreasing tubing diameter or increasing volumetric flow
rates yielded a smaller mass median aerodynamic diameter for NC-Bud. Engineered
NC-Bud powders may dramatically improve drug delivery through endotracheal tubes
when using proper ventilator settings.
PMID- 22095756
TI - Mitochondrial and liver oxidative stress alterations induced by N-butyl-N-(4
hydroxybutyl)nitrosamine: relevance for hepatotoxicity.
AB - The most significant toxicological effect of nitrosamines like N-butyl-N-(4
hydroxybutyl)nitrosamine (BBN) is their carcinogenic activity, which may result
from exposure to a single large dose or from chronic exposure to relatively small
doses. However, its effects on mitochondrial liver bioenergetics were never
investigated. Liver is the principal organ responsible for BBN metabolic
activation, and mitochondria have a central function in cellular energy
production, participating in multiple metabolic pathways. Therefore any negative
effect on mitochondrial function may affect cell viability. In the present work,
ICR male mice were given 0.05% of BBN in drinking water for a period of 12 weeks
and were sacrificed one week later. Mitochondrial physiology was characterized in
BBN- and control-treated mice. Transmembrane electric potential developed by
mitochondria was significantly affected when pyruvate-malate was used, with an
increase in state 4 respiration observed for pyruvate-malate (46%) and succinate
(38%). A decrease in the contents of one subunit of mitochondrial complex I and
in one subunit of mitochondrial complex IV was also observed. In addition, the
activity of both complexes I and II was also decreased by BBN treatment. The
treatment with BBN increases the susceptibility of liver mitochondria to the
opening of the mitochondrial permeability transition pore. This susceptibility
could be related with the increase in the production of H2 O2 by mitochondria and
increased oxidative stress confirmed by augmented susceptibility to lipid
peroxidation. These results lead to the conclusion that hepatic mitochondria are
one primary target for BBN toxic action during liver metabolism.
PMID- 22095758
TI - Polymeric photoresist nanoparticles: light-induced degradation of hydrophobic
polymers in aqueous dispersion.
AB - Nanoparticles consisting of a photoreactive polymer able to radically switch its
hydrophobicity are successfully prepared by miniemulsion polymerization.
Irradiation with UV light causes degradation of the particles where at complete
dissolution is achieved by changing the initial hydrophobic photoresist polymer
into hydrophilic poly(methacrylic acid). Incorporation of the fluorescence
sensitive Nile red serves as a solvatochromic probe to study the particle
degradation. Diffusion of either Nile red out from or water into the former hard
spherical nanoparticles is studied and not only renders the described material an
ideal system for applications, where in situ dissolution of nanoparticles may be
needed, but also bears the additional advantage of performing controlled burst
release.
PMID- 22095759
TI - Assessing agreement with repeated measures for random observers.
AB - Agreement studies are often concerned with assessing whether different observers
for measuring responses on the same subject or sample can produce similar
results. The concordance correlation coefficient (CCC) is a popular index for
assessing the closeness among observers for quantitative measurements. Usually,
the CCC is used for data without and with replications based on subject and
observer effects only. However, we cannot use this methodology if repeated
measurements rather than replications are collected. Although there exist some
CCC-type indices for assessing agreement with repeated measurements, there is no
CCC for random observers and random time points. In this paper, we propose a new
CCC for repeated measures where both observers and time points are treated as
random effects. A simulation study demonstrates our proposed methodology, and we
use vertebral body data and image data for illustrations.
PMID- 22095760
TI - Disproportionality analysis for signal detection of implantable cardioverter
defibrillator-related adverse events in the Food and Drug Administration Medical
Device Reporting System.
AB - BACKGROUND: The Food and Drug Administration (FDA) became aware of lead fracture
and inappropriate shock events related to Sprint Fidelis leads in January 2007.
The manufacturer announced a voluntary market withdrawal in October 2007. AIM:
Our aim was to retrospectively evaluate this safety signal using
disproportionality analysis to estimate whether disproportionality analysis could
have detected this particular safety signal earlier than actually occurred.
MATERIALS AND METHODS: The Manufacturer and User Facility Device Experience
(MAUDE) database contains reports on device-related adverse events, of which, FDA
receives several hundred thousand every year. For each manufacturer, a list of
the top lead brand names was ranked by frequency of reports. We used the Multi
item Gamma Poisson Shrinker (MGPS) method for analysis. We isolated 11 top
reported implantable cardioverter defibrillator (ICD) lead brand names. Using
MGPS methodology, we calculated the one-sided 95% lower confidence bound EB05 on
the empirical Bayes geometric mean of the reporting ratio. RESULTS: We performed
individual MGPS analysis for each of the top reported adverse events in 2006 for
ICD leads. Fidelis had the highest EB05 scores for lead fractures and
inappropriate shock. DISCUSSION: Through disproportionality analysis of the MAUDE
database, we were able to identify known safety signals associated with the
Medtronic Sprint Fidelis lead. CONCLUSION: If utilized at the time, this
disproportionality analysis would have identified signals earlier for lead
fractures, oversensing, high impedance, and inappropriate shock.
PMID- 22095761
TI - A one-pot three-segment ligation strategy for protein chemical synthesis.
PMID- 22095762
TI - Efficacy of ozone as a fungicidal and detoxifying agent of aflatoxins in peanuts.
AB - BACKGROUND: Peanut contamination by fungi is a concern of processors and
consumers owing to the association of these micro-organisms with quality
deterioration and aflatoxin production. In this study the fungicidal and
detoxifying effects of ozone on aflatoxins in peanuts was investigated. Peanut
kernels were ozonated at concentrations of 13 and 21 mg L-1 for periods of 0, 24,
48, 72 and 96 h. RESULTS: Ozone was effective in controlling total fungi and
potentially aflatoxigenic species in peanuts, with a reduction in colony-forming
units per gram greater than 3 log cycles at the concentration of 21 mg L-1 after
96 h of exposure. A reduction in the percentage of peanuts with internal fungal
populations was also observed, particularly after exposure to ozone at 21 mg L-1.
A reduction in the concentrations of total aflatoxins and aflatoxin B1 of
approximately 30 and 25% respectively was observed for kernels exposed to ozone
at 21 mg L-1 for 96 h. CONCLUSION: It was concluded that ozone is an important
alternative for peanut detoxification because it is effective in controlling
potentially aflatoxigenic fungi and also acts in the reduction of aflatoxin
levels in kernels.
PMID- 22095763
TI - Magnetite nanocrystal clusters with ultra-high sensitivity in magnetic resonance
imaging.
AB - Magnetic iron oxide particles are widely used as contrast agents to improve the
sensitivity of magnetic resonance imaging (MRI). Their efficiency in MRI is
usually quantified by transverse relaxivity (r(2)) in solution. Herein, we
synthesized a series of magnetite nanocrystal clusters (MNCs) with ultra-high
transverse relaxivity by a polyol process and studied the relationship between
r(2) and size of the MNCs. The sizes of MNCs can be tuned over a wide range from
13 to 179 nm. The r(2) of MNC suspensions as a function of the size of the
cluster was analyzed and compared with a theoretical model. We found that MNCs of
64 nm had an r(2) value of 650 mM(-1) s(-1), which was more than three times
that of the commercial contrast agent and was among the highest reported for iron
oxide materials. Compared with the theoretical model, the r(2) value of the MNC
suspension is approximately 0.93 of the theoretical prediction. Imaging of the
MNC suspensions was performed in a clinical 1.5 T MRI instrument and a comparison
was made between MNCs and commercial contrast agents. MRI indicated that the
decrease of signal intensity induced by MNCs was in proportion to the r(2) value,
which was in accordance with theoretical predictions. These results demonstrate
that MNCs with ultra-high transverse relaxivity and tunable size are promising
candidates for molecular imaging and clinical diagnosis in MRI.
PMID- 22095764
TI - The role of RNA in mammalian prion protein conversion.
AB - Prion diseases remain a challenge to modern science in the 21st century because
of their capacity for transmission without an encoding nucleic acid. PrP(Sc), the
infectious and alternatively folded form of the PrP prion protein, is capable of
self-replication, using PrP(C), the properly folded form of PrP, as a template.
This process is associated with neuronal death and the clinical manifestation of
prion-based diseases. Unfortunately, little is known about the mechanisms that
drive this process. Over the last decade, the theory that a nucleic acid, such as
an RNA molecule, might be involved in the process of prion structural conversion
has become more widely accepted; such a nucleic acid would act as a catalyst
rather than encoding genetic information. Significant amounts of data regarding
the interactions of PrP with nucleic acids have created a new foundation for
understanding prion conversion and the transmission of prion diseases. Our
knowledge has been enhanced by the characterization of a large group of RNA
molecules known as non-coding RNAs, which execute a series of important cellular
functions, from transcriptional regulation to the modulation of neuroplasticity.
The RNA-binding properties of PrP along with the competition with other
polyanions, such as glycosaminoglycans and nucleic acid aptamers, open new
avenues for therapy.
PMID- 22095765
TI - Joint effects of Epstein-Barr virus and polymorphisms in interleukin-10 and
interferon-gamma on breast cancer risk.
AB - BACKGROUND: The relationship between Epstein-Barr virus (EBV) and breast cancer
(BC) is controversial. Interleukin-10 (IL-10) and interferon-gamma (IFN-gamma)
are believed to play a critical role in the host's responses to EBV infection,
and their genetic variations may modify the association of EBV with BC risk.
METHODS: We examined serum levels of EBV viral capsid antigen (VCA)
immunoglobulin A (IgA) and nuclear antigen-1 (EBNA-1) IgA along with the
polymorphisms of IL-10 rs1800871 and IFN-gamma rs2069705 in 354 incident BC cases
and 504 age-matched controls. Odds ratios (ORs) and 95% confidence intervals
(CIs) were calculated using multivariate logistic regression. RESULTS: VCA IgA
and EBNA-1 IgA levels were positively associated with BC risk. IL-10 rs1800871
(TC/CC) was associated with a reduced BC risk (OR, 0.74 [95% CI, 0.55-1.00]) but
had no interaction with EBV infection on BC risk. IFN-gamma rs2069705 was not
directly associated with BC risk but interacted with EBNA-1 IgA on BC risk. Among
women with the CC genotype, EBNA-1 IgA seropositivity significantly increased the
risk of BC compared to EBNA-1 IgA seronegativity (OR, 5.14 [95% CI, 1.76-14.98]).
CONCLUSIONS: These results suggest that EBV may contribute to the risk of BC and
that this contribution may be modified by genetic variations in IFN-gamma.
PMID- 22095766
TI - Serum hepatitis B virus-DNA levels correlate with long-term adverse outcomes in
spontaneous hepatitis B e antigen seroconverters.
AB - BACKGROUND: Hepatitis B e antigen (HBeAg) status and serum hepatitis B virus
(HBV) DNA levels are major factors affecting the prognosis of adult HBV carriers;
however, the impact of viral load on long-term outcomes after spontaneous HBeAg
seroconversion remains unclear. METHODS: A total of 390 spontaneous HBeAg
seroconverters with a long-term follow-up were enrolled. Serum HBV-DNA levels at
1 year after HBeAg seroconversion were determined, and their correlation with
long-term adverse outcomes was explored. RESULTS: In a mean follow-up of 6.8
years, the average annual incidence rates were 4.4% and 1.9% for HBeAg-negative
hepatitis and hepatitis flare, respectively. Compared with patients with HBV-DNA
levels <200 IU/mL, the adjusted hazard ratios of HBeAg-negative hepatitis were
2.4 (95% confidence interval, 1.3-4.4), 3.6 (1.8-7.2), and 5.3 (2.8-10.0),
respectively, for serum HBV-DNA level of 2000 -2 * 10(4), 2 * 10(4) -2 * 10(5),
and >=2 * 10(5) IU/mL. In addition, serum HBV-DNA levels were independently
associated with HBeAg-negative hepatitis flare, which confirmed their impact on
the immune active hepatitis after HBeAg seroconversion. CONCLUSIONS: HBeAg
seroconversion may not always confer favorable outcomes. Serum HBV-DNA levels
>=2000 IU/mL at 1 year post HBeAg seroconversion correlate with increased risk of
HBeAg-negative hepatitis and hepatitis flare.
PMID- 22095767
TI - Management of chronic hepatitis B e antigen-negative disease: another step
forward.
PMID- 22095768
TI - Rapid full-brain fMRI with an accelerated multi shot 3D EPI sequence using both
UNFOLD and GRAPPA.
AB - The desire to understand complex mental processes using functional MRI drives
development of imaging techniques that scan the whole human brain at a high
spatial and temporal resolution. In this work, an accelerated multishot three
dimensional echo-planar imaging sequence is proposed to increase the temporal
resolution of these studies. A combination of two modern acceleration techniques,
UNFOLD and GRAPPA is used in the secondary phase encoding direction to reduce the
scan time effectively. The sequence (repetition time of 1.02 s) was compared with
standard two-dimensional echo-planar imaging (3 s) and multishot three
dimensional echo-planar imaging (3 s) sequences with both block design and event
related functional MRI paradigms. With the same experimental setup and imaging
time, the temporal resolution improvement with our sequence yields similar
activation regions in the block design functional MRI paradigm with slightly
increased t-scores. Moreover, additional information on the timing of rapid
dynamic changes was extracted from accelerated images for the case of the event
related complex mental paradigm.
PMID- 22095769
TI - The normal parkin sequence.
PMID- 22095770
TI - Dunnett-type inference in the frailty Cox model with covariates.
AB - A frequent objective in medical research is the investigation of differences in
patient survival between several experimental treatments and one standard
treatment. In order to assess these differences statistically, we have to apply
adjustments for multiple comparisons to prevent an increased number of false
positive findings. The most prominent procedure of this type is the Bonferroni
correction, which maintains the error level but leads to conservative results. On
the basis of a general statistical framework for simultaneous inference, we
propose a new statistical procedure for many-to-one comparisons of treatments
with adjustment for covariates for clustered survival data modeled by a frailty
Cox model. In contrast to the Bonferroni method, dependencies between estimated
effects are taken into account. The resulting simultaneous confidence intervals
for the hazard ratios of the experimental treatments compared with a control can
be interpreted in terms of both statistical significance and clinical importance.
The quality of the new procedure is judged by the coverage probability for the
simultaneous confidence intervals. Simulation results show an acceptable
performance in balanced and various unbalanced designs. The practical merits are
demonstrated by a reanalysis of a chronic myelogeneous leukemia clinical trial.
The procedure presented here works well for multiple comparisons with a control
with adjustment for covariates for survival data from multicenter clinical
trials.
PMID- 22095771
TI - Formic acid catalyzed gas-phase reaction of H2O with SO3 and the reverse
reaction: a theoretical study.
AB - The formic acid catalyzed gas-phase reaction between H(2)O and SO(3) and its
reverse reaction are respectively investigated by means of quantum chemical
calculations at the CCSD(T)//B3LYP/cc-pv(T+d)z and CCSD(T)//MP2/aug-cc-pv(T+d)z
levels of theory. Remarkably, the activation energy relative to the reactants for
the reaction of H(2)O with SO(3) is lowered through formic acid catalysis from
15.97 kcal mol(-1) to -15.12 and -14.83 kcal mol(-1) for the formed
H(2)O???SO(3) complex plus HCOOH and the formed H(2)O???HCOOH complex plus SO(3),
respectively, at the CCSD(T)//MP2/aug-cc-pv(T+d)z level. For the reverse
reaction, the energy barrier for decomposition of sulfuric acid is reduced to
3.07 kcal mol(-1) from 35.82 kcal mol(-1) with the aid of formic acid. The
results show that formic acid plays a strong catalytic role in facilitating the
formation and decomposition of sulfuric acid. The rate constant of the
SO(3)+H(2)O reaction with formic acid is 10(5) times greater than that of the
corresponding reaction with water dimer. The calculated rate constant for the
HCOOH+H(2)SO(4) reaction is about 10(-13) cm(3) molecule(-1) s(-1) in the
temperature range 200-280 K. The results of the present investigation show that
formic acid plays a crucial role in the cycle between SO(3) and H(2)SO(4) in
atmospheric chemistry.
PMID- 22095772
TI - Immunosuppressive small molecule discovered by structure-based virtual screening
for inhibitors of protein-protein interactions.
PMID- 22095773
TI - Social constraints, genetic vulnerability, and mental health following collective
stress.
AB - A repeat-length polymorphism of the serotonin promoter gene (5-HTTLPR) has been
associated with depression and posttraumatic stress disorder (PTSD) in trauma
exposed individuals reporting unsupportive social environments. We examine the
contributions of the triallelic 5-HTTLPR genotype and social constraints to
posttraumatic stress (PTS) symptoms in a national sample following the September
11, 2001 (9/11) terrorist attacks. Saliva was collected by mail from 711
respondents (European American subsample n = 463) of a large national probability
sample of 2,729 adults. Respondents completed web-based assessments of pre-9/11
mental and physical health, acute stress 9 to 23 days post-9/11, PTS symptoms,
and social constraints on disclosure regarding fears of future terrorist attacks
2-3 years post-9/11. Social constraints were positively associated with PTS
symptoms 2-3 years post-9/11. The triallelic 5-HTTLPR genotype was not directly
associated with PTS symptoms, but it interacted with social constraints to
predict PTS symptoms 2-3 years post-9/11: Social constraints were more strongly
associated with PTS symptoms for individuals with any s/lg allele than for
homozygous la/la individuals. Constraints on disclosing fears about future
terrorism moderate the 5-HTTLPR genotype-PTS symptom association even when
indirectly exposed to collective stress.
PMID- 22095774
TI - The longitudinal course of PTSD among disaster workers deployed to the World
Trade Center following the attacks of September 11th.
AB - This study examined the long-term mental health outcomes of 2,960 nonrescue
disaster workers deployed to the World Trade Center site in New York City
following the September 11, 2001 (9/11) terrorist attacks. Semistructured
interviews and standardized self-report measures were used to assess the
prevalence of posttraumatic stress disorder (PTSD) and other psychopathology 4
and 6 years after the attacks. Clinician-measured rates of PTSD and partial PTSD
4-years posttrauma were 8.4% and 8.9%, respectively, in a subsample of 727
individuals. Rates decreased to 5.8% and 7.7% for full and partial PTSD 6 years
posttrauma. For the larger sample, self-report scores revealed probable PTSD and
partial PTSD prevalence to be 4.8% and 3.6% at 4 years, and 2.4% and 1.8% at 6
years. Approximately 70% of workers never met criteria for PTSD. Although PTSD
rates decreased significantly over time, many workers remained symptomatic, with
others showing delayed-onset PTSD. The strongest predictors of ongoing PTSD 6
years following 9/11 were trauma history (odds ratio (OR) = 2.27, 95% confidence
interval (CI) [1.06, 4.85]); the presence of major depressive disorder 1-2 years
following the trauma (OR = 2.80, 95% CI [1.17, 6.71]); and extent of occupational
exposure (OR = 1.31, 95% CI [1.13, 1.51]). The implications of the findings for
both screening and treatment of disaster workers are discussed.
PMID- 22095775
TI - Cognitive and emotional contributors to intimate partner violence perpetration
following trauma.
AB - Exposure to potentially traumatic events often leads to a wide range of
interpersonal difficulties, including the perpetration of intimate partner
violence. Maladaptive, threat-relevant thoughts and beliefs regarding the trauma
or its sequelae can play an important role in a person's emotional and behavioral
responses. Among 185 trauma-exposed study participants who were currently in an
intimate relationship, levels of maladaptive posttraumatic cognitions were
associated with the perpetration of psychological aggression and physical
violence in their current relationships. These links were mediated by
misappraisal of anger in auditory emotion stimuli and emotion-regulation
deficits. Results support a cognitive model of posttraumatic pathology, with
implications for clinical intervention and a broad conceptualization of the
effects of trauma.
PMID- 22095776
TI - Reactions to trauma research among women recently exposed to a campus shooting.
AB - Subjective and objective reactions to writing and reading a narrative of their
experiences after having been recently exposed to a campus shooting were examined
in 58 women. Posttraumatic stress, depression, anxiety symptoms, and physical
exposure to the shooting were considered in relation to laboratory indices. The
latter used a multimethod approach to index distress; these included subjective
(self-report) and objective (heart rate, skin conductance, and cortisol)
components. Consistent with prior research, reports of symptoms were
significantly positively correlated with subjective distress (r ranged from .35
to .45), but only posttraumatic stress symptoms uniquely predicted subjective
distress in regression analyses (partial r = .33). Objective distress, however,
was not significantly related to any participant measure. Finally, a clear
majority (85%) of participants reported they would participate in the study
again. Points of convergence and divergence with prior studies are discussed.
PMID- 22095777
TI - Predictors of suicidal ideation in veterans with PTSD related to military sexual
trauma.
AB - Predictors of suicidal ideation (SI) were examined in a sample of veterans (N =
128) diagnosed with posttraumatic stress disorder (PTSD) related to military
sexual trauma. Suicidal ideation was predicted by both depressive symptom
severity and posttraumatic symptom severity in separate correlation analyses.
When controlling for the effects of depressive and posttraumatic symptom severity
on one another in the prediction of SI in a single multiple regression model,
however, only depressive symptoms independently predicted SI. These analyses
suggest that the contribution of PTSD symptom severity to the prediction of SI is
reduced by adding depressive symptoms. Hyperarousal symptom severity, however,
was found in a separate multiple regression model to contribute independently to
the prediction of SI controlling for depressive symptom severity.
PMID- 22095778
TI - Toxicokinetic study of melamine in the presence and absence of cyanuric acid in
rats.
AB - Several lines of evidence show that the nephrotoxic effect of melamine (MEL) in
animals is consistent with combined ingestion of MEL and cyanuric acid (CYA). The
aim of the present study was to compare the toxicokinetics of MEL in the presence
and absence of CYA, and to elucidate the correlation between toxicity and kinetic
properties of MEL. Sprague-Dawley rats were administered a single oral dose of
MEL (100 mg kg(-1) ) with or without CYA (100 mg kg(-1) ). Plasma and tissue
samples were analyzed by liquid chromatography-tandem mass spectrometric (LC
MS/MS) assay. Significant changes in toxicokinetic parameters of MEL such as
lower maximum concentration (7.4 +/- 3.5 vs 78.0 +/- 11.0 ug ml(-1) ) and area
under curve (94.9 +/- 53.5 vs 295.1 +/- 93.7 ug h ml(-1) ), higher plasma
elimination half-life (7.0 +/- 3.3 vs 2.5 +/- 0.3 h) and volume of distribution
(11 505.5 +/- 5030.3 vs 1312.7 +/- 337.7 ml kg(-1) ), as well as significantly
higher concentration of MEL in rat kidney (2.96-274.15 vs < 1 ug g(-1) ) were
detected in the CYA co-administration group when compared with MEL alone group (P
< 0.05). The differences in kinetic parameters between the two groups meant that
CYA co-administration could lower absorption, slow excretion and induce tissue
accumulation of MEL, which correlated well with the generation and development of
renal toxicity. In conclusion, co-administration with CYA leads to the alteration
of the kinetic characteristics of MEL, which provides an additional explanation
for renal toxicity.
PMID- 22095779
TI - Rapid determination of lycopene and beta-carotene in tomato by liquid
chromatography/electrospray tandem mass spectrometry.
AB - BACKGROUND: The tomato fruit is a dietary source of carotenoids, bioactive
antioxidant compounds that play an important role in the prevention of
degenerative diseases. Several extraction and detection techniques regarding
carotenoids in tomatoes can be found in the literature, mainly based on high
performance liquid chromatography separation and ultraviolet-visible detection.
RESULTS: The best extraction conditions and tandem mass spectrometry (MS)
analysis were evaluated: lycopene and beta-carotene were extracted in a
cyclohexane/ethyl acetate mixture without the addition of antioxidants, next
separated by liquid chromatography on a C(18) column and then determined through
electrospray tandem MS. Ionic suppression by the matrix in negative ionisation
mode did not allow the analysis of extracts, hence the positive ionisation mode
was chosen. Validation parameters demonstrated the suitability for purpose of the
analytical method: accuracy, precision, linearity and detection limits were
adequate. The method was finally applied to different tomato samples, and
differences could be easily highlighted. CONCLUSION: The method was simple, fast
and appropriate for the purpose of analysing lycopene and beta-carotene in
tomatoes.
PMID- 22095780
TI - Homozygosity mapping identifies a bile acid biosynthetic defect in an adult with
cirrhosis of unknown etiology.
AB - The most common inborn error of bile acid metabolism is 3beta-hydroxy-Delta(5)
C(27)-steroid oxidoreductase (3beta-HSD) deficiency, a disorder that usually
presents in early childhood with hepatic dysfunction. Timely diagnosis of this
disorder is crucial because it can be effectively treated with primary bile acid
replacement. Here we describe a 24-year-old woman from Iran with cirrhosis of
unknown etiology. Her sister and a first cousin died of cirrhosis (ages 19 and 6
years) and another 32-year-old first cousin had a self-limited liver disorder in
childhood that resolved at age 9 years. The family history suggested that the
affected family members were homozygous for a mutant allele inherited identical
by-descent. A genome-wide analysis of 2.4 million single nucleotide polymorphisms
was performed to identify regions of homozygosity that were present in the
proband and the 32-year-old first cousin, but not in a healthy relative. One of
these regions contained the gene encoding 3beta-HSD (HSD3B7). Sequence analysis
of HSD3B7 revealed that the proband and her 32-year-old cousin were homozygous
for a frameshift mutation (c.45_46del AG, p.T15Tfsx27) in exon 1. The diagnosis
of 3beta-HSD deficiency was confirmed by documenting high levels of 3beta-hydroxy
Delta(5) bile acids in the serum of the proband and the 32-year-old first cousin
using mass spectrometry. To our knowledge, the 32-year-old relative in this
family represents the oldest asymptomatic patient with this disorder. CONCLUSION:
This study highlights the clinical utility of homozygosity mapping in diagnosing
autosomal recessive metabolic disorders. This family illustrates the wide
variation in expressivity that occurs in 3beta-HSD deficiency and underscores the
need to consider a bile acid synthetic defect as a possible cause of liver
disease in adults.
PMID- 22095781
TI - Molecular alterations in hepatocarcinogenesis induced by dietary methyl
deficiency.
AB - A chronic deficiency of major dietary methyl group donors--methionine, choline,
folic acid, and vitamin B12--can induce the development of liver cancer in
rodents. Feeding methyl-deficient diets causes several molecular alterations,
including altered lipid metabolism, oxidative stress, deregulated one-carbon
metabolism, and a number of epigenetic abnormalities that result in progressive
liver injury culminating in the development of primary liver tumors. Importantly,
this methyl-deficient model of endogenous hepatocarcinogenesis is one of the most
relevant models of human liver carcinogenesis that allows studying liver cancer
pathogenesis by substantially complementing many shortcomings of humans-only
studies. In this review, we describe molecular changes and their role in
pathogenesis of liver carcinogenesis induced by methyl deficiency.
PMID- 22095782
TI - Design and characterization of a dynamic vibrational culture system.
AB - To engineer a functional vocal fold tissue, the mechanical environment of the
native tissue needs to be emulated in vitro. We have created a dynamic culture
system capable of generating vibratory stimulations at human phonation
frequencies. The novel device is composed of a function generator, a power
amplifier, an enclosed loudspeaker and a circumferentially-anchored silicone
membrane. The vibration signals are translated to the membrane aerodynamically by
the oscillating air pressure underneath. The vibration profiles detected on the
membrane were symmetrical relative to the centre of the membrane as well as the
resting position over the range of frequencies (60-300 Hz) and amplitudes tested
(1-30 um). The oscillatory motion of the membrane gave rise to two orthogonal, in
plane strain components that are similar in magnitude (0.47%) and are strong
functions of membrane thickness. Neonatal foreskin fibroblasts (NFFs) attached to
the membrane were subjected to a 1 h vibration at 60, 110 and 300 Hz, with the
displacement at the centre of the membrane varying in the range 1-30 um, followed
by a 6 h rest. These regimens did not cause morphological changes to the cells.
An increase in cell proliferation was detected when NFFs were driven into
oscillation at 110 Hz with a normal displacement of 30 um. qPCR results showed
that the expression of genes encoding some extracellular matrix proteins was
altered in response to changes in vibratory frequency and amplitude. The dynamic
culture device provides a potentially useful in vitro platform for evaluating
cellular responses to vibration.
PMID- 22095783
TI - Cryo-electron microscopy of ribosomal complexes in cotranslational folding,
targeting, and translocation.
AB - Single-particle cryo-electron microscopy (cryo-EM) became a well-established
method to study the structure and function of large macromolecular assemblies in
a close to physiological environment. Cryo-EM reconstructions of ribosomal
complexes trapped at different stages during translation, cotranslational
targeting, and translocation provide new insights on a molecular level into these
processes, which are vital for the correct localization and folding of all
proteins in the cell. The EM structures in combination with biochemical
experiments and available high-resolution crystal or nuclear magnetic resonance
(NMR) structures of individual factors and of the ribosome allow for
interpretation in quasi-atomic detail of the molecular mechanism of ribosomal
complexes, their conformational changes and dynamic interactions with factors
like the signal recognition particle, SRP receptor, the translocon, and the
chaperone trigger factor. The snapshots obtained by single-particle EM
reconstructions enable us to follow the path of a nascent protein from the
peptidyl-transferase center, through the ribosomal tunnel, to and across the
translocon in the membrane. With new developments in image processing techniques
it is possible to sort a biological homogenous sample into different
conformational states and to reach subnanometer resolution such that folding of
the nascent chain into secondary structure elements can be directly visualized.
With improved cryo-electron tomography and correlative light microscopy and EM,
it will be possible to visualize ribosomal complexes in their cellular context.
PMID- 22095784
TI - Continuous flow system with a polymer-supported dirhodium(II) catalyst:
application to enantioselective carbonyl ylide cycloaddition reactions.
PMID- 22095785
TI - Functional polyolefins: poly(ethylene)-graft-poly(tert-butyl acrylate) via atom
transfer radical polymerization from a polybrominated alkane.
AB - Poly(cis-cyclooctene) is synthesized via ring-opening metathesis polymerization
in the presence of a chain-transfer agent and quantitatively hydrobrominated.
Subsequent graft polymerization of tert-butyl acrylate (tBA) via Cu-catalyzed
atom transfer radical polymerization (ATRP) from the non-activated secondary
alkyl bromide moieties finally results in PE-g-PtBA copolymer brushes. By varying
the reaction conditions, a series of well-defined graft copolymers with different
graft densities and graft lengths are prepared. The maximum extent of grafting in
terms of bromoalkyl groups involved is approximately 80 mol%. DSC measurements on
the obtained graft copolymers reveal a decrease in T(m) with increasing grafting
density.
PMID- 22095786
TI - African American men significantly underestimate their risk of having prostate
cancer at the time of biopsy.
AB - BACKGROUND: Guidelines for prostate cancer (PCa) screening recommend physicians
to have an informational discussion with patients. At the time of biopsy,
patients should be informed of their heightened PCa risk, particularly African
Americans (AA) who have significantly higher diagnostic and mortality risk. We
tested predictors of patients' estimation of their likelihood of having PCa at
the time of biopsy. METHODS: A convenience sample of AA (n = 207) and white (n =
271) biopsy patients was surveyed at the time of prostate biopsy. Participants
gave likelihood estimations of having PCa and data on their socio-demographics,
health, clinical status, and general and PCa-specific anxiety. Binary logistic
regressions tested for predictors of the patients' estimations and biopsy
results. RESULTS: Fifty-one percent of AA men answered that they had a '0%'
likelihood of having PCa versus 19% of whites, whereas 57% of AA men had abnormal
biopsies compared with 42% of whites. In logistic regressions, predictors of
patient answers of 0% chance of PCa were AA ethnicity (OR = 4.50; p < 0.001),
lower cancer-specific anxiety (OR = 0.93; p < 0.01), less education (OR = 2.38; p
< 0.05), and less urinary disturbance (OR = 0.70; p < .05). In a second
regression, AA patients trended towards higher positive biopsy rates (OR = 1.43;
p = 0.17). CONCLUSIONS: At biopsy, AA more often estimated their likelihood of
PCa as 0%, despite higher risks. Reasons for these low estimates and their
potential contribution to poor treatment outcomes of AA patients require further
investigation.
PMID- 22095787
TI - P2Y6 receptor contributes to neutrophil recruitment to inflamed intestinal mucosa
by increasing CXC chemokine ligand 8 expression in an AP-1-dependent manner in
epithelial cells.
AB - BACKGROUND: Inflammatory bowel diseases are characterized by the presence of
CXCL8 at the site of lesions resulting in neutrophil recruitment and loss of
tissue functions. We report that P2Y(6) receptor activation stimulates CXCL8
expression and release by intestinal epithelial cells (IECs). In this context, we
investigated if uridine 5'-diphosphate (UDP) enemas stimulate neutrophil
recruitment to the mucosa of mice suffering from colitis-like disease and we
characterized the signaling events linking P2Y(6) to CXCL8 expression in IEC.
METHODS: Neutrophil recruitment was monitored by immunofluorescence and FACS
analysis. Expression of Cxcl1, a mouse functional homolog of CXCL8, was
determined by quantitative real-time polymerase chain reaction (qPCR).
Pharmacological inhibitors and interfering RNAs were used to characterize the
signaling pathway. The outcomes of these treatments on protein phosphorylation
and on CXCL8 expression were characterized by western blots, qPCR, luciferase,
and chromatin immunoprecipitation (ChIP) assays. RESULTS: Mutation of the AP-1
site in the CXCL8 core promoter abolished the UDP-stimulating effect. The c-fos/c
jun dimer was identified as the AP-1 complex regulating CXCL8 in response to UDP
stimulation. Regulation of CXCL8 expression by P2Y(6) required PKCdelta
activation upstream of the signaling pathway composed of MEK1/2-ERK1/2 and c-fos.
UDP administration to mice suffering from colitis-like disease increased the
number of neutrophil infiltrating the mucosa, correlating with Cxcl1 increased
expression in IEC and the severity of inflammation. CONCLUSIONS: This study not
only describes the P2Y(6) signaling mechanism regulating CXCL8 expression in IEC,
but it also illustrates the potential of targeting P2Y(6) to reduce intestinal
inflammation.
PMID- 22095788
TI - Human testis-derived embryonic stem cell-like cells are not pluripotent, but
possess potential of mesenchymal progenitors.
AB - BACKGROUND: Spontaneous in vitro transition of undifferentiated spermatogonia
into the pluripotent cell state has been achieved using neonatal and adult mouse
testis tissue. In an effort to establish an analogous source of human patient
specific pluripotent stem cells, several research groups have described the
derivation of embryonic stem cell-like cells from primary cultures of human
testis. These cells are characterized in all studies as growing in compact
colonies, expressing pluripotency-associated markers and possessing multilineage
differentiation capabilities in vitro, but only one study claimed their ability
to induce teratomas. This controversy initiated a debate about the pluripotent
state and origin of human testis-derived ES-like cells (htES-like cells).
METHODS: htES-like cell colonies were obtained from primary testicular cultures
of three individuals and selectively expanded using culture conditions known to
support the propagation of blastocyst-derived human embryonic stem cells (ESCs),
mouse epiblast stem cells and 'naive' human ESCs. The stem cell properties of
htES-like cells were subsequently assessed by testing the expression of ESC
specific markers, differentiation abilities in vitro and in vivo, and microarray
profiling. RESULTS: The expression of pluripotency-associated markers in htES
like cells and their differentiation abilities differed significantly from those
of ESCs. Gene expression microarray analysis revealed that htES-like cells
possess a transcriptome distinct from human ESCs and fibroblasts, but closely
resembling the transcriptome of mesenchymal stem cells (MSCs). The similarity to
MSCs was confirmed by detection of SSEA4/CD146 expressing cells within htES-like
colonies and efficient in vitro differentiation toward three mesodermal lineages
(adipogenic, osteogenic, chondrogenic). CONCLUSIONS: Taken together, these
results indicate that htES-like cells, in contrast to pluripotent stem cells
derived from adult mouse testis, are not pluripotent and most likely not of germ
cell but of mesenchymal origin.
PMID- 22095790
TI - Delaying mandatory folic acid fortification policy perpetuates health
inequalities: results from a retrospective study of postpartum New Zealand women.
AB - BACKGROUND: Internationally, poor periconceptional folic acid uptake has been
associated with lower socioeconomic status, minority ethnicity status and
unintentional pregnancy. The aim of this study was to describe the extent to
which a proposed bread fortification mandate would modify these associations.
METHODS: A retrospective survey of postpartum women in hospitals and birthing
centres across New Zealand was conducted using a self-administered questionnaire.
Details on supplement use and bread intake in the periconceptional period, and
maternal socio-demographic and obstetric characteristics were obtained. Criteria
for the adequate intake of folic acid through proposed mandatory fortification
were the habitual consumption of three or more slices of bread/day (118-150 MUg
folic acid/day) in the month prior to conception, and during the first trimester
of pregnancy. RESULTS: Of the 968 women approached, 758 (78%) agreed to
participate. Thirty-three percent of women reported having used folic acid
supplements as recommended during the periconceptional period. The proportion of
women who would have achieved adequate folic acid intake increased to 59% with
mandatory fortification. Socio-demographic predictors of poor folic acid intake
from supplements, including younger maternal age, increasing parity, minority
ethnicity status, lower education and less income, were rendered either non
significant or appreciably attenuated when mandatory fortification was modelled.
Notably, the fully adjusted odds ratio for pregnancy planning was reduced from
17.24 [95% confidence interval (CI): 8.13-36.55] to 2.61 (95% CI: 1.73-3.93; both
P< 0.001). CONCLUSIONS: Few women comply with periconceptional folic acid
recommendations and thus the maximal prevention of neural tube defects is still
far from being attained. Data from this retrospective study demonstrate that
mandatory fortification benefits segments of the population less likely to use
supplements. This finding has compelling policy implications in countries yet to
mandate the folic acid fortification of a staple food.
PMID- 22095789
TI - A maternally inherited autosomal point mutation in human phospholipase C zeta
(PLCzeta) leads to male infertility.
AB - BACKGROUND: Male factor and idiopathic infertility contribute significantly to
global infertility, with abnormal testicular gene expression considered to be a
major cause. Certain types of male infertility are caused by failure of the sperm
to activate the oocyte, a process normally regulated by calcium oscillations,
thought to be induced by a sperm-specific phospholipase C, PLCzeta (PLCzeta).
Previously, we identified a point mutation in an infertile male resulting in the
substitution of histidine for proline at position 398 of the protein sequence
(PLCzeta(H398P)), leading to abnormal PLCzeta function and infertility. METHODS
AND RESULTS: Here, using a combination of direct-sequencing and mini-sequencing
of the PLCzeta gene from the patient and his family, we report the identification
of a second PLCzeta mutation in the same patient resulting in a histidine to
leucine substitution at position 233 (PLCzeta(H233L)), which is predicted to
disrupt local protein interactions in a manner similar to PLCzeta(H398P) and was
shown to exhibit abnormal calcium oscillatory ability following predictive 3D
modelling and cRNA injection in mouse oocytes respectively. We show that
PLCzeta(H233L) and PLCzeta(H398P) exist on distinct parental chromosomes, the
former inherited from the patient's mother and the latter from his father.
Neither mutation was detected utilizing custom-made single-nucleotide
polymorphism assays in 100 fertile males and females, or 8 infertile males with
characterized oocyte activation deficiency. CONCLUSIONS: Collectively, our
findings provide further evidence regarding the importance of PLCzeta at oocyte
activation and forms of male infertility where this is deficient. Additionally,
we show that the inheritance patterns underlying male infertility are more
complex than previously thought and may involve maternal mechanisms.
PMID- 22095791
TI - Cold-shock domain family member YB-1 expression in endometrium and endometriosis.
AB - BACKGROUND: The Y-box-binding protein (YB-1) is described as a potential oncogene
highly expressed in tumors and associated with increased cell survival,
proliferation, migration and anti-apoptotic signaling. The aim of our study was
to examine the expression and role of YB-1 in human endometriosis (Eo) and its
association with cell survival, proliferation and invasion. METHODS: We analyzed
the gene and protein expression levels of YB-1 by quantitative real-time RT-PCR
and immunoassays, respectively, in peritoneal macrophages, ovarian endometrioma
and eutopic endometrial tissues/cells derived from women with (n= 120) and
without (n= 91) Eo. We also evaluated the functional consequences of YB-1
knockdown in the Z12 Eo cell line by measuring cell proliferation [3-(4,5
dimethylthiazol-2-yl)-2,5-diphenyltetrazoliumbromid cell proliferation assay],
invasion (Matrigel invasion assay) and spontaneous and tumour necrosis factor
(TNFalpha)-induced RANTES (regulated upon activation, normal T-cell expressed and
secreted chemokine) expression and apoptosis (ELISA-based assay). RESULTS: YB-1
gene and protein expression was statistically significantly higher in ovarian
lesions, eutopic endometrium and peritoneal macrophages of patients with Eo in
comparison with the control group. Interestingly, the strongest YB-1 expression
was observed in the epithelial compartment of endometrial tissues. In the Z12
cell line, YB-1 knockdown resulted in significant cell growth inhibitory effects
including reduced cell proliferation and increased rates of spontaneous and
TNFalpha-induced apoptosis. Significantly, higher RANTES expression and decreased
cell invasion in vitro were also associated with YB-1 inactivation. CONCLUSION:
High YB-1 expression could have an impact on the development and progression of
Eo. This study suggests the role of YB-1 as a potential therapeutic target for Eo
patients.
PMID- 22095792
TI - The effect of recombinant LH on embryo quality: a randomized controlled trial in
women with poor ovarian reserve.
AB - BACKGROUND: Poor ovarian response is a common clinical problem, affecting up to
26% of IVF cycles. For these women, addition of recombinant luteinizing hormone
(rLH) to ovarian hyperstimulation with recombinant FSH has a beneficial effect on
ongoing pregnancy rates, but its effect on the yield of top-quality embryos is
unknown. METHODS: We conducted a randomized controlled trial in women expected to
respond poorly under ovarian hyperstimulation during their first IVF cycle [all
women aged 35-41 and women with FSH > 12 IU/ml and antral follicle count (AFC) <=
5]. Women were randomly allocated to rFSH and rLH (2:1 ratio) or rFSH alone
(control group) after down-regulation with a GnRH agonist. The primary outcome
was the proportion of top-quality embryos per woman on the day of transfer.
Secondary outcomes were the number of stimulation days, the number of follicles
>=17 mm, the number of oocytes, the fertilization rate, the number of embryos,
the number of women with >=1 top-quality embryo, the biochemical, clinical and
ongoing pregnancy rates and the miscarriage rate. RESULTS: There were 116 women
allocated to the rLH group and 128 allocated to the control group. The proportion
of top-quality embryos per woman was 17% in the rLH group and 11% in the control
group [mean difference 0.06; 95% confidence interval (CI) -0.01-0.14]. In the rLH
and control groups respectively, 47 (41%) and 41 (32%) women had at least one top
quality embryo on the day of transfer (relative risk: 1.3, 95% CI 0.91-1.77). The
ongoing pregnancy rate was 13 versus 12% (relative risk: 1.1; 95% CI 0.57-2.16)
for the rLH group compared with the control group. CONCLUSIONS: This study found
no significant difference in embryo quality after the addition of rLH to rFSH for
ovarian stimulation in women with poor ovarian reserve. CLINICAL TRIALS
IDENTIFIER: NTR1457.
PMID- 22095793
TI - Preliminary findings on the use of metadoxine for the treatment of alcohol
dependence and alcoholic liver disease.
AB - OBJECTIVE: Metadoxine is approved in Europe for alcohol intoxication and is also
indicated for alcoholic liver disease (ALD). This study aims to investigate the
use of metadoxine as a potential pharmacotherapy for alcohol dependence (AD).
METHODS: This is a retrospective study of 94 outpatients with AD, who received
metadoxine for alcohol intoxication and were assessed for alcohol consumption,
craving [Visual Analog Scale (VAS)] and liver-related and alcohol-related
biomarkers [aspartate aminotransferase (AST), alanine aminotransferase (ALT),
gamma-glutamyl-transpeptidase, mean corpuscular volume]. RESULTS: Range of
metadoxine dose was 500-2000 mg/day, with a mean dose of 1277(s.d.290) mg/day,
and for a period of 2-42 days, with a mean period of 8.9(s.d.7.0) days. Follow-up
data were available for 52 patients (55.3%); 35(67.3%) patients were completely
abstinent. There was a significant decrease in drinks per week, even after
substituting baseline drinking as follow-up data for dropouts (p < 0.001) and
examining drinking pre-treatment and post-treatment for those who did not achieve
abstinence (p < 0.001). There was a significant decrease in the VAS (p < 0.001)
and a significant improvement in the AST/ALT ratio (p = 0.03). DISCUSSION:
Despite important limitations, this study represents a further preliminary
observation suggesting metadoxine as a novel alcohol pharmacotherapy, including
in alcohol-dependent patients with ALD.
PMID- 22095794
TI - DOSY-NMR analysis of ring-closing metathesis (RCM) products from beta-lactam
precursors.
AB - The discrimination between cyclomonomers and various oligomers formed during a
ring-closing metathesis (RCM) process is not an easy task. Their (1)H NMR
patterns are often very similar, and the use of mass spectrometry techniques is
usually recommended. Here, we show that the DOSY-NMR method is a reliable tool to
help in the identification of cyclomonomers versus cyclodimers by comparing the
translational diffusion coefficient of the compounds issued from RCM reactions
with the diffusion coefficient of their respective precursors.
PMID- 22095795
TI - Enriched designs for assessing discriminatory performance--analysis of bias and
variance.
AB - In evaluating discriminatory performance of a new modality in a screening
setting, a logistical constraint is that the prevalence of the disease of
interest is typically very low. This implies that under a standard study design
large numbers of subjects have to be evaluated using the new modality. However,
if a predicate modality exists in clinical practice, one can base inclusion into
the study of the new modality on the clinical results from the predicate to
'enrich' the population of diseased subjects in the study. If this enrichment is
not accounted for when estimating sensitivity, specificity, and area under the
ROC curve, these 'naive' estimates may be substantially biased compared with
expected performance in the intended use population. We derive expressions for
the magnitude of this bias in terms of correlations of modality scores. When such
estimates are 'corrected' for the sampling weights using inverse probability
weighting, the variances of the estimates of the above quantities are affected.
We derive here analytic expressions for these variances. For a fixed number of
diseased subjects, differential sampling increases the variance of the
(corrected) estimates, all other things being equal. However, differential
sampling also increases the number with disease for fixed total study size, which
decreases the variance of the sensitivity and area under the ROC curve estimates,
all other things being equal. The balance of these two effects determines the
gain in efficiency when using enrichment and corrected estimates. These
principles are illustrated with a simulation study motivated by the Digital
Mammographic Imaging Screening Trial study, a trial of digital versus screen film
mammography.
PMID- 22095796
TI - Selective host-guest binding of anions without auxiliary hydrogen bonds: entropy
as an aid to design.
PMID- 22095797
TI - Inhibited phenol ionization in reverse micelles: confinement effect at the
nanometer scale.
AB - We found that the absorption spectra of 2-acetylphenol (2-HAP), 4-acetylphenol (4
HAP), and p-nitrophenol (p-NPh) in water/sodium 1,4-bis(2
ethylhexyl)sulfosuccinate (AOT)/n-heptane reverse micelles (RMs) at various W(0)
(W(0) = [H(2)O]/[surfactant]) values studied changed with time if (-)OH ions were
present in the RM water pool. There is an evolution of ionized phenol (phenolate)
bands to nonionized phenol absorption bands with time and this process is faster
at low W(0) values and with phenols with higher bulk water pK(a) values. That is,
in bulk water and at the hydroxide anion concentration used, only phenolate
species are observed, whereas in AOT RMs at this fixed hydroxide anion
concentration, ionized phenols convert into nonionized phenol species over time.
Furthermore, we demonstrate that, independent of the (-)OH concentration used to
prepare the AOT RMs, the nonionized phenols are the more stable species in the RM
media. We explain our results by considering that strong hydrogen-bonding
interactions between phenols and the AOT polar head groups result in the
existence of only nonionized phenols at the AOT RM interface. The situation is
quite different when the phenols are dissolved in cationic benzyl-n
hexadecyldimethylammonium chloride RMs. Therein, only phenolates species are
present at the (-)OH concentrations used. The results clearly demonstrate that
the classical definition of pH does not apply in a confined environment, such as
in the interior of RMs and challenge the general idea that pH can be determined
inside RMs.
PMID- 22095798
TI - Patterns of radiocarpal joint articular cartilage wear in cadavers.
AB - The radiocarpal joint transmits about 80% of the compression forces crossing the
wrist. However, primary osteoarthritis of this joint is surprisingly uncommon,
suggesting that articular cartilage wear is not sufficient to produce arthritic
symptoms. By examining the distal radius, scaphoid, and lunate in aged cadavers,
wear patterns were charted and measured, allowing assessment of radiocarpal joint
wear and mechanics. Bilateral radiocarpal joints of 16 females and 14 males (age
77.7 +/- 14.4, N = 30) were exposed and measurements of the wear recorded
microscopically. Wear locations were mapped, and X-Y loci and wear areas
calculated. Gender right and sides compared. Over 95% of distal radius wear
showed distinct radial-scaphoid and radial-lunate wear areas. These bilateral
areas were in the palmar half of the distal radius. One main central wear area
was seen in 95% of the scaphoid, and 97% of the lunate articular surfaces that
were examined. Articular wear showed a circular pattern and was minimal in 95.7%
of the surfaces, and the lunate showed the largest wear area. Wear patterns in
males and females support the literature that for most ADLs the wrist is in
slight extension and ulnar deviation. There are gender differences, but wear
areas between sides were similar. Female wear indicates their wrist is positioned
more often in a more extended and ulnarly deviated position than males. The wear
patterns suggest rotational movements of the scaphoid and lunate during wrist
motion and that the wrist is most often used in neutral flexion/extension to
slight extension.
PMID- 22095799
TI - Hepatic ratio of phosphatidylcholine to phosphatidylethanolamine predicts
survival after partial hepatectomy in mice.
AB - A major predictor of failed liver resection and transplantation is nonalcoholic
fatty liver disease (NAFLD). NAFLD is linked to a wide spectrum of diseases
including obesity and diabetes that are increasingly prevalent in Western
populations. Thus, it is important to develop therapies aimed at improving
posthepatectomy outcomes in patients with NAFLD, as well as to improve the
evaluation of patients slated for hepatic surgery. Decreased hepatic
phosphatidylcholine (PC) content and decreased ratio of hepatic PC to
phosphatidylethanolamine (PE) have previously been linked to NAFLD. To determine
if decreased hepatic PC/PE could predict survival after hepatectomy, we used
mouse models lacking key enzymes in PC biosynthesis, namely,
phosphatidylethanolamine N-methyltransferase and hepatic-specific
CTP:phosphocholine cytidylyltransferase alpha. These mice were fed a high-fat
diet to induce NAFLD. We then performed a 70% partial hepatectomy and monitored
postoperative survival. We identified hepatic PC/PE to be inversely correlated
with the development of steatosis and inflammation in the progression of NAFLD.
Decreased hepatic PC/PE before surgery was also strongly associated with
decreased rates of survival after partial hepatectomy. Choline supplementation to
the diet increased hepatic PC/PE in Pemt(-/-) mice with NAFLD, decreased
inflammation, and increased the survival rate after partial hepatectomy.
CONCLUSION: Decreased hepatic PC/PE is a predictor of NAFLD and survival
following partial hepatectomy. Choline supplementation may serve as a potential
therapy to prevent the progression of NAFLD and to improve postoperative outcome
after liver surgery.
PMID- 22095800
TI - Clobazam approved for seizure disorder.
PMID- 22095801
TI - White House addresses drug shortages.
PMID- 22095802
TI - CMS seeks pharmacists' engagement in new care models.
PMID- 22095803
TI - Health system's readmission prevention plan relies on pharmacists.
PMID- 22095804
TI - Forum discusses biosimilars, better biologicals.
PMID- 22095805
TI - Duty hours for pharmacy residents.
PMID- 22095806
TI - Enhancing faculty-student communication about residencies.
PMID- 22095807
TI - Better letter of intent for pharmacy residency applications.
PMID- 22095808
TI - Obtaining early clinical exposure as a pharmacy student.
PMID- 22095809
TI - Implementation of a pharmacy-driven program to improve nasal mupirocin use.
PMID- 22095810
TI - Assessing students' motivation during advanced pharmacy practice experiences.
PMID- 22095811
TI - A major stride in the advancement of emergency medicine pharmacy.
PMID- 22095812
TI - Pharmacokinetic considerations in Roux-en-Y gastric bypass patients.
AB - PURPOSE: Pharmacokinetic considerations in patients who have undergone Roux-en-Y
gastric bypass (RYGB) are explored. SUMMARY: The prevalence of obesity,
especially morbid obesity, has dramatically increased in recent years. In
response, the number of bariatric surgeries performed has risen sharply, as this
surgery is the technique demonstrated as being the most effective for sustained
treatment of morbid obesity. RYGB, the most popular technique in the United
States, combines the principle of restriction (dramatically decreasing stomach
size) with malabsorption (bypassing the entire duodenum). It stands to reason
that a decrease in gastric and intestinal absorptive surface area may
considerably affect oral bioavailability of some drugs. Drugs that require a more
acidic environment for absorption, uncoating, or activation and drugs that rely
on intestinal transporters located in the duodenum for proper absorption would be
most affected. Practitioners looking for guidance in tailoring pharmacotherapy to
the RYGB patient will find little help in the primary literature at this time.
Until more pharmacokinetic studies are available, practitioners may apply and log
P of individual the principles of pK(a) drugs in the attempt to predict the
potential impact of the RYGB on a drug's absorption. Likewise, if a drug relies
on certain transporters located with highest frequency in the duodenum,
alternative therapies can be selected that do not rely on such transport
mechanisms for absorption. CONCLUSION: The pK(a), log P, and intestinal transport
mechanisms should be considered when determining which drugs may have altered
pharmacokinetics in patients who have undergone RYGB.
PMID- 22095813
TI - Combination of ketamine and propofol versus either agent alone for procedural
sedation in the emergency department.
AB - PURPOSE: The safety of using ketamine-propofol combinations as an alternative to
using either agent alone for procedural sedation is discussed. SUMMARY: A total
of 10 trials comparing the combination of ketamine and propofol with either agent
alone for procedural sedation in the emergency department were examined. The
evidence reviewed suggests that combining these agents may help to minimize
adverse effects such as hypotension and respiratory depression. Ketamine is not
commonly used as a single agent in adults because of the risk for emergence
reactions; however, when combined with propofol, no significant increase in this
adverse effect was found compared with propofol monotherapy. Administering
ketamine and propofol can be accomplished by using a two-syringe technique or
combining both medications into a single syringe. When two syringes are used, a
ketamine 0.3-0.5-mg/kg i.v. bolus dose is administered, followed by a propofol
0.4-1-mg/kg i.v. bolus dose. Sedation is maintained with intermittent i.v.
boluses of propofol 0.1-0.5 mg/kg. A 1:1 ratio of ketamine and propofol can also
be combined into a single syringe by using the same concentration (10 mg/mL) and
equal volumes of each drug, yielding a final concentration of 5 mg/mL for each
component. CONCLUSION: The combined use of ketamine and propofol is a reasonable
alternative to propofol alone for procedural sedation in patients at higher risk
for respiratory depression or hypotension. Use of the combination requires the
development of standardized protocols for drug preparation and dosage to minimize
the potential for errors.
PMID- 22095815
TI - Professional policy as a catalyst to pharmacy's transformation.
PMID- 22095814
TI - Probable acyclovir-induced angioedema in a patient with HIV infection and
suspected varicella-zoster virus encephalitis.
AB - PURPOSE: A probable acyclovir-associated hypersensitivity reaction resulting in
severe facial angioedema and respiratory distress is reported. SUMMARY: A 51-year
old woman with human immunodeficiency virus (HIV) infection and end-stage renal
disease arrived at the emergency department (ED) with a diffuse rash on the chest
and back; she was diagnosed with varicella-zoster virus infection, received one
dose of i.v. acyclovir, and was discharged home with a prescription for
valacyclovir. After taking one dose of the drug, she became confused and
agitated. The next day the patient returned to the ED; she was confused and
unresponsive, with signs and symptoms suggesting viral encephalitis. After a
workup including lumbar puncture fluid, she was treated empirically with i.v.
acyclovir for viral encephalitis. Within one hour of receiving the acyclovir
infusion, the patient developed angioedema of the lips, tongue, and periorbital
areas requiring intubation and transfer to the intensive care unit. Further
acyclovir therapy was withheld, and foscarnet therapy was initiated for the
presumptive treatment of viral encephalitis. Over the next few days, the
patient's angioedema completely resolved; her mental status gradually improved
while she completed a 14-day course of foscarnet therapy. The application of the
Naranjo scale indicated a probable adverse reaction to acyclovir, likely mediated
by acyclovir-specific immunoglobulin E, highlighting the need to consider
alternative antiviral agents without cross-reactivity to acyclovir in patients
with confirmed or suspected viral encephalitis. CONCLUSION: A 51-year-old woman
with HIV infection developed probable acyclovir-induced angioedema after
receiving i.v. acyclovir therapy for suspected viral encephalitis.
PMID- 22095816
TI - Physical compatibility of telavancin hydrochloride with select i.v. drugs during
simulated Y-site administration.
AB - PURPOSE: The physical compatibility of telavancin with select i.v. drugs during
simulated Y-site administration was evaluated. METHODS: Telavancin for injection
was reconstituted according to manufacturer's recommendations and diluted with
0.9% sodium chloride injection, 5% dextrose injection, or lactated Ringer's
injection to a concentration of 7.5 mg/mL. A Y site was simulated in culture
tubes by mixing 5 mL of telavancin solution with 5 mL of a tested drug solution
and then switching the order of drug mixing. All mixtures were prepared in
duplicate and stored at room temperature. Solutions were inspected for visual,
turbidity, and pH changes immediately after preparation and 15, 60, and 120
minutes after preparation. RESULTS: Of the 52 drugs tested, telavancin was
physically compatible with 39 drugs in all test solutions. Telavancin was
incompatible with amphotericin B deoxycholate, liposomal amphotericin B, digoxin,
esomeprazole sodium, furosemide, levofloxacin, and micafungin sodium in all
diluents. Colistimethate sodium, cyclosporine, heparin sodium, imipenem
cilastatin sodium, methylprednisolone sodium succinate, and propofol were
incompatible with telavancin in specific diluents. Incompatibilities included
precipitation, positive Tyndall beam test, and increases in turbidity. There were
no substantial changes in pH over the 120-minute study period. CONCLUSION:
Telavancin 7.5 mg/mL in 0.9% sodium chloride injection, 5% dextrose injection,
and lactated Ringer's injection was found to be physically compatible for 120
minutes at room temperature with 39 of the 52 drugs tested during simulated Y
site administration. Seven drugs were incompatible in all diluents, and 6 were
incompatible in at least one diluent.
PMID- 22095817
TI - Evaluating and classifying pharmacists' quality interventions in the emergency
department.
AB - PURPOSE: The results of an evaluation of the impact of pharmacists' medication
related interventions on quality of care in the emergency department (ED) setting
are reported. METHODS: Using data from a previously published observational study
of medication errors intercepted by ED pharmacists at four academic medical
centers, trained reviewers retrospectively analyzed 130 additional pharmacist
interventions (those not categorized as medication errors in the primary study)
over a specified four-month period to identify "quality interventions" (QIs),
defined as those that (1) prevented misuse, underuse, or overuse of medications
or (2) improved adherence to quality standards or evidence-based medicine (EBM)
standards. The study included an evaluation of the medication classes associated
with QIs and the acceptance of pharmacist-recommended QIs. RESULTS: The reviewers
identified a total of 91 pharmacist QIs at the four sites during the study period
(2.3 QIs per 100 patients or about 1 QI per 100 medication orders). About 45% of
the identified QIs improved adherence with EBM or national quality standards;
other QIs prevented medication underuse (34%), misuse (14%), or overuse (6%).
Pharmacists' QIs most often pertained to antiinfective agents (39%),
cardiovascular agents (13%), and anticoagulants and thrombolytics (12%). The
overall rate of acceptance of pharmacists' QIs was 93.4%. CONCLUSION: A secondary
analysis of data from a previously published study at four medical centers
indicated that ED pharmacists often recommend interventions that improve the
quality of medication use and adherence to EBM and national quality standards.
PMID- 22095818
TI - Clinical and economic analysis of short-course versus standard-course
antithymocyte globulin (rabbit) induction therapy in deceased-donor renal
transplant recipients.
AB - PURPOSE: The immunosuppressive effects of and costs associated with short-course
antithymocyte globulin rabbit (ATG [rabbit]) therapy versus standard-course ATG
(rabbit) therapy in deceased-donor renal transplant recipients were evaluated.
METHODS: The records of 84 consecutive patients who received a deceased-donor
renal transplant at the Montefiore Einstein Center for Transplantation in 2008
were retrospectively reviewed. Donor and recipient characteristics, including
rates of biopsy-confirmed acute rejection, serum creatinine (SCr) levels, and
frequency of complications, and drug costs were collected. Patients were excluded
if they had donor-specific antibodies identified before transplantation or
hepatitis-C-positive serology or were under 18 years of age. RESULTS: A total of
60 patients were included in the study, with 28 receiving short-course ATG
(rabbit) therapy and 32 receiving standard-course ATG (rabbit) therapy. Baseline
patient demographic characteristics were similar between groups. Six months after
transplantation, biopsy-confirmed acute rejection episodes did not significantly
differ between the short-course ATG (rabbit) and standard-course ATG (rabbit)
groups (17.8% versus 12.5%, respectively), nor did SCr concentrations (1.56 mg/dL
versus 1.85 mg/dL). The frequency of therapy-related leukopenia was greater in
patients receiving standard-course ATG (rabbit). Patients treated with short
course ATG (rabbit) received a total mean dose of 4.6 mg/kg, compared with 7.3
mg/kg for patients in the standard-course ATG (rabbit) group, resulting in a mean
cost saving of $2548 per patient. CONCLUSION: After six months, there were no
significant differences in biopsy- confirmed acute rejection episodes or SCr
levels between deceased-donor renal transplant recipients receiving short-course
versus standard-course ATG (rabbit) induction therapy. The mean cost saving
associated with short-course therapy was $2548 per patient.
PMID- 22095819
TI - An advanced teaching certificate program for postgraduate year 2 residents.
AB - PURPOSE: The rationale, structure, and elements of a teaching certificate program
for second-year pharmacy residents are described. SUMMARY: Evidence suggests that
postgraduate year 2 (PGY2) pharmacy residents generally have limited options for
the continued development of their teaching skills after the completion of a
postgraduate year 1 (PGY1) teaching certificate program. To expand those options,
the University of Oklahoma College of Pharmacy developed a program (implemented
during the 2005-06 residency year and formalized during the 2010-11 residency
year) of advanced teaching-skills development that allows PGY2 residents to build
on the foundational skills acquired in its PGY1 teaching certificate program; the
new program also has been adapted to meet the needs of incoming PGY2 residents
who earned PGY1-level teaching certificates at other institutions. The teaching
certificate program comprises eight modules of instruction in advanced topic
areas (e.g., course coordination, grading, active learning, teaching with
technology) designed to prepare PGY2 residents for future faculty and preceptor
positions. Among other required and optional activities, residents in the PGY2
certificate program receive hands-on instruction in course-coordination duties
through a shadowing experience, serve as preceptors to fourth-year pharmacy
students under the guidance of the residency director, and redeliver refined
versions of lectures originally presented as PGY1 residents. CONCLUSION: A
teaching certificate program specifically designed for PGY2 residents has allowed
participants to continue to develop and refine their teaching skills through
learning activities beyond those provided in the PGY1 program.
PMID- 22095820
TI - Implementing an electronic medical record with computerized prescriber order
entry at a critical access hospital.
PMID- 22095821
TI - ASHP guidelines on emergency medicine pharmacist services.
PMID- 22095822
TI - Mrp2 is involved in the efflux and disposition of fosinopril.
AB - The multidrug-resistance-associated proteins 1 and 2 (MRP1/MRP2) are transporters
responsible for the efflux of drugs and endogenous compounds. Madin Darby canine
kidney (MDCK) cells transfected with the human MRP1 or MRP2 genes were used to
assess whether several widely used pharmaceuticals are potential substrates by
examining their differential toxicity, accumulation and efflux. Loratadine, an
antihistamine, was 1.4-fold less toxic to MRP1 cells and its retention was 1.3
fold lower than that from MDCK control cells. Fosinopril, an angiotensin
converting enzyme inhibitor, was 2.4-fold less toxic and its retention was 4.5
fold lower in MRP2-transfected cells compared with control cells. To determine
whether fosinopril contributed to a drug-drug interaction, fosinopril efflux was
examined in vitro in combination with other known or suspected MRP2 substrates
over a period of 20 min. When fosinopril was coincubated with desloratadine,
loratadine or methotrexate, its retention was increased by 2-, 4.7- and 2-fold,
respectively, which likely indicates that a drug-drug interaction is occurring.
In vivo studies were conducted, in which FVB wild-type and FVB/Mrp2(-/-) mice
were dosed with fosinopril and the known MRP2 substrate methotrexate, and tissues
collected after 1 h. In mice lacking Mrp2, drug levels were reduced in the
intestine by 1.5-fold, but increased in the liver, serum and kidneys, by 2.1-,
2.9- and 3-fold, respectively. These data suggest that, in the absence of Mrp2,
fosinopril alters the retention of a second drug. These findings will help
increase our understanding of the role that MRP2 plays in altering the retention
and disposition of coadministered pharmaceuticals.
PMID- 22095824
TI - Metabolic syndrome: evidences for a personalized nutrition.
AB - Both insulin resistance and dyslipidaemia are determined by genetic and
environmental factors. Depending on their expression and their function, gene
variants may influence either insulin action or other metabolic traits. Nutrition
also plays an important role in the development and progression of these
conditions. Genetic background may interact with habitual dietary fat
composition, affecting predisposition to insulin resistance syndrome and
individual responsiveness to changes in dietary fat intake. In this context,
nutrigenetics has emerged as a multidisciplinary field focusing on studying the
interactions between nutritional and genetic factors and health outcomes. Due to
the complex nature of gene-environment interactions, however, dietary therapy may
require a "personalized" nutrition approach in the future. Although the results
have not always been consistent, gene variants that affect primary insulin
action, and particularly their interaction with the environment, are important
modulators of glucose metabolism. The purpose of this review is to present some
evidence of studies that have already demonstrated the significance of gene
nutrient interactions (adiponectin gene, Calpain-10, glucokinase regulatory
protein, transcription factor 7-like 2, leptin receptor, scavenger receptor class
B type I etc.) that influence insulin resistance in subjects with metabolic
syndrome.
PMID- 22095823
TI - Genetic variation of pre-mRNA alternative splicing in human populations.
AB - The precise splicing outcome of a transcribed gene is controlled by complex
interactions between cis regulatory splicing signals and trans-acting regulators.
In higher eukaryotes, alternative splicing is a prevalent mechanism for
generating transcriptome and proteome diversity. Alternative splicing can
modulate gene function, affect organismal phenotype and cause disease. Common
genetic variation that affects splicing regulation can lead to differences in
alternative splicing between human individuals and consequently impact expression
level or protein function. In several well-documented examples, such natural
variation of alternative splicing has indeed been shown to influence disease
susceptibility and drug response. With new microarray and sequencing-based
genomic technologies that can analyze eukaryotic transcriptomes at the exon or
nucleotide level, it has become possible to globally compare the alternative
splicing profiles across human individuals in any tissue or cell type of
interest. Recent large-scale transcriptome studies using high-density splicing
sensitive microarray and deep RNA sequencing (RNA-Seq) have revealed widespread
genetic variation of alternative splicing in humans. In the future, an extensive
catalog of alternative splicing variation in human populations will help
elucidate the molecular underpinnings of complex traits and human diseases, and
shed light on the mechanisms of splicing regulation in human cells.
PMID- 22095825
TI - Reciprocal actions of ATF5 and Shh in proliferation of cerebellar granule neuron
progenitor cells.
AB - Precise regulation of neuroprogenitor cell proliferation and differentiation is
required for successful brain development, but the factors that contribute to
this are only incompletely understood. The transcription factor ATF5 promotes
proliferation of cerebral cortical neuroprogenitor cells and its down regulation
permits their differentiation. Here, we examine the expression and regulation of
ATF5 in cerebellar granule neuron progenitor cells (CGNPs) as well as the role of
ATF5 in the transition of CGNPs to postmitotic cerebellar granule neurons (GCNs).
We find that ATF5 is expressed by proliferating CGNPs in both the embryonic and
postnatal cerebellar external granule layer (EGL) and in the rhombic lip, the
embryonic structure from which the EGL arises. In contrast, ATF5 is undetectable
in postmitotic GCNs. In highly enriched dissociated cultures of CGNPs and CGNs,
ATF5 is expressed only in CGNPs. Constitutive ATF5 expression in CGNPs does not
affect their proliferation or exit from the cell cycle. In contrast, in presence
of sonic hedgehog (Shh), a mitogen for CGNPs, constitutively expressed ATF5
promotes CGNP proliferation and delays their cell cycle exit and differentiation.
Conversely, ATF5 loss-of-function conferred by a dominant-negative form of ATF5
significantly diminishes Shh-stimulated CGNP proliferation and promotes
differentiation. In parallel with its stimulation of CGNP proliferation, Shh
enhances ATF5 expression by what appeared to be a posttranscriptional mechanism
involving protein stabilization. These findings indicate a reciprocal interaction
between ATF5 and Shh in which Shh stimulates ATF5 expression and in which ATF5
contributes to Shh-stimulated CGNP expansion.
PMID- 22095826
TI - Status of cardiovascular health in US adults: prevalence estimates from the
National Health and Nutrition Examination Surveys (NHANES) 2003-2008.
AB - BACKGROUND: The American Heart Association's 2020 Strategic Impact Goals define a
new concept, cardiovascular (CV) health; however, current prevalence estimates of
the status of CV health in US adults according to age, sex, and race/ethnicity
have not been published. METHODS AND RESULTS: We included 14 515 adults (>=20
years of age) from the 2003 to 2008 National Health and Nutrition Examination
Surveys. Participants were stratified by young (20-39 years), middle (40-64
years), and older (>=65 years) ages. CV health behaviors (diet, physical
activity, body mass index, smoking) and CV health factors (blood pressure, total
cholesterol, fasting blood glucose, smoking) were defined as poor, intermediate,
or ideal. Fewer than 1% of adults exhibited ideal CV health for all 7 metrics.
For CV health behaviors, nonsmoking was most prevalent (range, 60.2%-90.4%),
whereas ideal Healthy Diet Score was least prevalent (range, 0.2%-2.6%) across
groups. Prevalences of ideal body mass index (range, 36.5%-45.3%) and ideal
physical activity levels (range, 50.2%-58.8%) were higher in young adults
compared with middle or older ages. Ideal total cholesterol (range, 23.7%-36.2%),
blood pressure (range, 11.9%-16.3%), and fasting blood glucose (range, 31.2%
42.9%) were lower in older adults compared with young and middle-aged adults.
Prevalence of poor CV health factors was lowest in young age but higher at middle
and older ages. Prevalence estimates by age and sex were consistent across
race/ethnic groups. CONCLUSIONS: These prevalence estimates of CV health
represent a starting point from which effectiveness of efforts to promote CV
health and prevent CV disease can be monitored and compared in US adult
populations.
PMID- 22095827
TI - Niacin inhibits vascular inflammation via the induction of heme oxygenase-1.
AB - BACKGROUND: Heme oxygenase-1 (HO-1) is a cytoprotective protein whose expression
is consistently associated with therapeutic benefits in a number of pathological
conditions such as atherosclerotic vascular disease and inflammation. Niacin is a
pleiotropic drug that slows the progression of coronary artery disease and
increases serum levels of the HO-1 enzymatic product bilirubin. This study asks
if the cardioprotective properties of niacin involve the induction of HO-1.
METHODS AND RESULTS: New Zealand White rabbits received chow or chow supplemented
with 0.6% (wt/wt) niacin for 2 weeks. Acute vascular inflammation was induced in
the animals by placing a nonocclusive silastic collar around the left common
carotid artery. At 24 hours after collar implantation, serum bilirubin and
vascular, liver, and spleen HO-1 messenger RNA levels were significantly
increased. Vascular inflammation was decreased in the niacin-supplemented animals
compared with control. Treatment of the animals with tin protoporphyrin-IX, a
global HO inhibitor, or HO-1 small interfering RNA to knock down carotid artery
HO-1 attenuated the ability of niacin to inhibit vascular inflammation. Treatment
of cultured human coronary artery endothelial cells with niacin increased HO-1
expression by activating the nuclear factor-E2-related factor 2/p38 mitogen
activated protein kinase signaling pathway and inhibiting tumor necrosis factor
alpha-induced endothelial inflammation. The antiinflammatory effects of niacin in
human coronary artery endothelial cells were mimicked by bilirubin and abolished
by incubation with tin protoporphyrin-IX and knock down of nuclear factor-E2
related factor 2. CONCLUSIONS: Niacin activates HO-1 in vivo and in vitro.
Induction of HO-1 may be partly responsible for the vascular protective
properties of niacin.
PMID- 22095828
TI - Physician procedure volume and complications of cardioverter-defibrillator
implantation.
AB - BACKGROUND: The outcomes of procedures are often better when they are performed
by more experienced physicians. We assessed whether the rate of complications
after implantable cardioverter-defibrillator (ICD) placement varied with the
volume of procedures a physician performed. METHODS AND RESULTS: We studied 356
515 initial ICD implantations in the National Cardiovascular Data Registry-ICD
Registry, performed by 4011 physicians in 1463 hospitals. We examined the
relationship between physician annual ICD implantation volume and in-hospital
complications, using hierarchical logistic regression to adjust for patient
characteristics, implanting physician certification, hospital characteristics,
hospital annual procedure volume, and the clustering of patients within hospitals
and by physician. We repeated this analysis for ICD subtypes: single chamber,
dual chamber, and biventricular. There were 10 994 patients (3.1%) with a
complication after ICD implantation, and 1375 died (0.39%). The complication rate
decreased with increasing physician procedure volume from 4.6% in the lowest
quartile to 2.9% in the highest quartile (P<0.0001), and the mortality rate
decreased from 0.72% to 0.36% (P<0.0001). The inverse relationship between
physician procedure volume and complications remained significant after adjusting
for patient, physician, and hospital characteristics (OR 1.55 for complications
in lowest-volume quartile compared with highest; 95% confidence interval, 1.34
1.79; P<0.0001). This inverse relationship was independent of physician specialty
and of hospital volume, was consistent across ICD subtypes, and was also evident
for in-hospital mortality. CONCLUSION: Physicians who implant more ICDs have
lower rates of procedural complications and in-hospital mortality, independent of
hospital procedure volume, physician specialty, and ICD type.
PMID- 22095829
TI - Multipotent vasculogenic pericytes from human pluripotent stem cells promote
recovery of murine ischemic limb.
AB - BACKGROUND: Pericytes represent a unique subtype of microvessel-residing
perivascular cells with diverse angiogenic functions and multilineage
developmental features of mesenchymal stem cells. Although various protocols for
derivation of endothelial and/or smooth muscle cells from human pluripotent stem
cells (hPSC, either embryonic or induced) have been described, the emergence of
pericytes in the course of hPSC maturation has not yet been elucidated. METHODS
AND RESULTS: We found that during hPSC development, spontaneously differentiating
embryoid bodies give rise to CD105(+)CD90(+)CD73(+)CD31(-) multipotent clonogenic
mesodermal precursors, which can be isolated and efficiently expanded. Isolated
and propagated cells expressed characteristic pericytic markers, including CD146,
NG2, and platelet-derived growth factor receptor beta, but not the smooth muscle
cell marker alpha-smooth muscle actin. Coimplantation of hPSC-derived endothelial
cells with pericytes resulted in functional and rapid anastomosis to the murine
vasculature. Administration of pericytes into immunodeficient mice with limb
ischemia promoted significant vascular and muscle regeneration. At day 21 after
transplantation, recruited hPSC pericytes were found incorporated into recovered
muscle and vasculature. CONCLUSIONS: Derivation of vasculogenic and multipotent
pericytes from hPSC can be used for the development of vasculogenic models using
multiple vasculogenic cell types for basic research and drug screening and can
contribute to angiogenic regenerative medicine.
PMID- 22095830
TI - Are mural cells guardians of stemness?: From pluri- to multipotency via vascular
pericytes.
PMID- 22095831
TI - DHA and EPA reverse cystic fibrosis-related FA abnormalities by suppressing FA
desaturase expression and activity.
AB - Patients and models of cystic fibrosis (CF) exhibit consistent abnormalities of
polyunsaturated fatty acid composition, including decreased linoleate (LA) and
docosahexaenoate (DHA) and variably increased arachidonate (AA), related in part
to increased expression and activity of fatty acid desaturases. These
abnormalities and the consequent CF-related pathologic manifestations can be
reversed in CF mouse models by dietary supplementation with DHA. However, the
mechanism is unknown. This study investigates this mechanism by measuring the
effect of exogenous DHA and eicosapentaenoate (EPA) supplementation on fatty acid
composition and metabolism, as well as on metabolic enzyme expression, in a cell
culture model of CF. We found that both DHA and EPA suppress the expression and
activity of Delta5- and Delta6-desaturases, leading to decreased flux through the
n-3 and n-6 PUFA metabolic pathways and decreased production of AA. The findings
also uncover other metabolic abnormalities, including increased fatty acid uptake
and markedly increased retroconversion of DHA to EPA, in CF cells. These results
indicate that the fatty acid abnormalities of CF are related to intrinsic
alterations of PUFA metabolism and that they may be reversed by supplementation
with DHA and EPA.
PMID- 22095833
TI - Critical evaluation of the microwave effect on radical (co)polymerizations.
AB - Critical evaluations of the microwave effect on initiation, propagation, and
termination during conventional radical polymerizations (RPs) of methyl
methacrylate (MMA) and random copolymerization of styrene (St) with
(meth)acrylates are examined by comparing microwave heating (MWH) and
conventional heating (CH). Poly(methyl methacrylate) with similar $ ?overline
M_{?rm n} $, $ ?overline M_{?rm w} $/$ ?overline M_{?rm n} $, and conversion are
obtained under precisely controlled temperature, indicating very small changes of
propagation rate constant. Rate enhancement in the absence of precise temperature
control is mostly due to the higher reaction temperature of the reaction mixture
than the apparent value indicated on display. Rates of initiator decomposition
under well-controlled temperature are essentially the same for MWH and CH.
PMID- 22095832
TI - Primary fatty acid amide metabolism: conversion of fatty acids and an
ethanolamine in N18TG2 and SCP cells.
AB - Primary fatty acid amides (PFAM) are important signaling molecules in the
mammalian nervous system, binding to many drug receptors and demonstrating
control over sleep, locomotion, angiogenesis, and many other processes. Oleamide
is the best-studied of the primary fatty acid amides, whereas the other known
PFAMs are significantly less studied. Herein, quantitative assays were used to
examine the endogenous amounts of a panel of PFAMs, as well as the amounts
produced after incubation of mouse neuroblastoma N(18)TG(2) and sheep choroid
plexus (SCP) cells with the corresponding fatty acids or N
tridecanoylethanolamine. Although five endogenous primary amides were discovered
in the N(18)TG(2) and SCP cells, a different pattern of relative amounts were
found between the two cell lines. Higher amounts of primary amides were found in
SCP cells, and the conversion of N-tridecanoylethanolamine to tridecanamide was
observed in the two cell lines. The data reported here show that the N(18)TG(2)
and SCP cells are excellent model systems for the study of PFAM metabolism.
Furthermore, the data support a role for the N-acylethanolamines as precursors
for the PFAMs and provide valuable new kinetic results useful in modeling the
metabolic flux through the pathways for PFAM biosynthesis and degradation.
PMID- 22095834
TI - Palladium-catalyzed allylic sulfinylation and the Mislow-Braverman-Evans
rearrangement.
PMID- 22095835
TI - Sensitivity improvement of 1H-15N cross-polarization at high MAS frequency
applied to NMR structural characterization of organic solids.
AB - (15)N CP/MAS solid state NMR should be a method of choice to obtain essential
structural information on organic materials containing nitrogen atoms. However,
the technique is generally not selected for the characterization of non-labelled
chemical compounds, which represents the most common situation encountered by
chemists. Actually, due to the poor sensitivity of (15)N the method is time
consuming and a very fine calibration is often a prerequisite to reach a
sufficient signal/noise. The main drawback comes from the weakness of (15)N-(1)H
dipolar couplings which leads to a splitting of the static Hartman Hahn condition
into very narrow sideband conditions under MAS. Practically, it is more difficult
to obtain a high enough CP transfer level on (15)N for the entire spectrum than
on other more conventional nuclei like (13)C. An experimental investigation of
the CP efficiency using the ramp and adiabatic CP transfer experiments is here
proposed. Preliminary adjustments of experimental settings were first made on an
(15)N-labeled substituted heterocyclic model system, and then applied to several
other organic compounds. Particular attention was paid to the detection of non
protonated nitrogen atoms with a significant chemical shift anisotropy, which
represented the least favourable case. It was experimentally demonstrated that,
for these atoms, the adiabatic passage provided a much higher transfer level than
the more conventional ramp sequence leading to an enhancement factor of up to 3.5
at a MAS frequency of 30 kHz. The resulting sensitivity rendered possible the
detection of non-protonated nitrogen atoms at natural abundance with 2.5-mm
rotors at 9.4 T.
PMID- 22095836
TI - The effect of multivitamin supplementation on mood and stress in healthy older
men.
AB - OBJECTIVE: There is a demonstrated association between poor mood and deficiency
in several micronutrients. Multivitamin supplements contain a wide range of
nutrients, suggesting that they may be effective in improving mood; however, few
studies have investigated this potential in randomized, controlled trials. This
study investigates the effects of a multivitamin, mineral, and herbal supplement
on mood and stress in a group of healthy, older male volunteers. METHODS: In this
randomized, double-blind, placebo-controlled trial, fifty men, aged 50-69 years,
supplemented for a period of 8 weeks with a multivitamin formulation that
contained vitamins (at levels above recommended daily intakes), minerals,
antioxidants, and herbal extracts, or a placebo. They completed a series of mood
and stress questionnaires at baseline and post-supplementation. RESULTS: Compared
with placebo, there was a significant reduction in the overall score on a
depression anxiety and stress scale and an improvement in alertness and general
daily functioning in the multivitamin group. CONCLUSIONS: Supplementation with a
multivitamin, mineral and herbal formulation may be useful in improving alertness
and reducing negative mood symptoms and may also improve feelings of general day
to-day well-being.
PMID- 22095837
TI - Enrolling in deep brain stimulation research for depression: influences on
potential subjects' decision making.
AB - BACKGROUND: Ethical concerns regarding early-phase clinical trials of DBS for
treatment-resistant depression (TRD) include the possibility that participants'
decisions to enroll might be motivated by unrealistic expectations of personal
benefit or minimization of risks. METHODS: Thematic analyses were conducted on a
sample of 26 adults considering participation in two DBS trials. Influences on
the decision making of these potential DBS trial participants were derived from
responses to questions posed in the MacArthur Competence Assessment Tool for
Clinical Research (MacCAT-CR), a semi-structured interview designed to evaluate
decisional capacity to consent to research. RESULTS: Participants cited numerous
factors as influential in their enrollment decisions, including perceived lack of
other treatment options, desire to take initiative, beliefs about DBS as a novel
treatment, possibility of DBS efficacy, hoped-for improvements, potential risks
and disadvantages of DBS or clinical trial participation, and altruism. No
individual expressed a set of motivations or influencing factors that suggested
compromised decision-making capacity or diminished voluntariness of decision
making. CONCLUSIONS: These results suggest that individuals make the decision to
enroll in early-phase trials of DBS for TRD based on a number of complex and
sometimes idiosyncratic considerations, and that the trials that were studied
utilized sufficiently robust informed consent processes. These findings offer
evidence that the emerging research area of DBS can be advanced in an ethically
sound manner, provided that safeguards and processes for discussing trials with
participants are carefully and proactively enacted.
PMID- 22095838
TI - Laparoscopic myomectomy focusing on the myoma pseudocapsule: technical and
outcome reports.
AB - BACKGROUND: Our aim was to assess surgical complaints and reproductive outcomes
of laparoscopic intracapsular myomectomies by a prospective observational study
run in University affiliated hospitals. METHODS: Between 2005 and 2010, 235 women
underwent subserous and intramural laparoscopic myomectomy of fibroids (4-10 cm
in diameter) for indications of pelvic pain, menstrual disorders, a large growing
myoma or infertility. The main outcome measures were post-surgical parameters,
including complications, the need for subsequent surgery or symptomatic relief,
resumption of normal life and reproductive outcome. RESULTS: Pelvic pain occurred
in 27%, menorrhagia or metorrhagia in 21%, a large growing myoma in 10% and
infertility in 42% of women. Single fibroids occurred in 51.9% of patients while
48.1% had multiple myomas. Of all patients, 58.2% had subserosal and 41.8% had
intramural myomas. No laparoscopies were converted to laparotomy. In 3 years,
1.2% of patients had a second laparoscopic myomectomy for recurrent fibroids. The
mean total operative laparoscopic time was 84 min (range 25-126 min), with mean
blood loss of 118 +/- 27.9 ml. By 48 h after surgery, 86.3% were discharged with
no major post-operative complications. No late complications, such as bleeding,
urinary tract infections or bowel lesions, occurred. Of the women who underwent
myomectomy for infertility, 74% finally conceived. At term, 32.9% of patients
underwent Caesarean section, 24.8% delivered by vacuum extractor and 42.2% had
spontaneous deliveries. No case of uterine rupture occurred. CONCLUSIONS:
Intracapsular subserous and intramural myomectomy saving the fibroid
pseudocapsule showed few early and no late surgical complications, enhanced
healing by preserving myometrial integrity and allowed a good fertility rate and
delivery outcome. In young patients suffering fibroids, laparoscopic
intracapsular myomectomy is a potential recommended surgical treatment.
PMID- 22095839
TI - Endoscopic anterior cricoid split with balloon dilation in infants with failed
extubation.
AB - Subglottic injury (SGI) is a known complication of prolonged intubation in
neonates and infants and can lead to failed extubation. SGI is a spectrum that
includes mucosal edema, ulceration, granulation perichondritis, and mature scar
formation. Although medical management aimed at treating mucosal edema and
extraesophageal reflux is successful in treating a majority of patients, some
require surgical intervention to successfully achieve extubation. The surgical
options for these patients include tracheostomy, open anterior cricoid split
(ACS), and laryngotracheal reconstruction with cartilage grafting. Open ACS is
performed through an external incision requiring placement of a drain for a few
days. Extubation success rates in the 70% to 80% range have been widely reported.
In this article we describe an endoscopic technique for ACS, in which after an
endoscopic airway assessment confirms isolated SGI, the cricoid cartilage is
divided transluminally with cold steel. Balloon dilation (BD) is then performed
with an appropriately sized angiography balloon. We describe preliminary results
in which two of three patients were successfully extubated after endoscopic ACS
with BD. We believe that this novel technique is a promising alternative to open
ACS with similar indications. In addition to the avoidance of a skin incision,
endoscopic ACS with BD may enable extubation with comparably shorter lengths of
postprocedure intubation than open ACS. Larger series will be required to further
establish outcomes of this procedure, including success and complication rates.
PMID- 22095840
TI - Domain-specific folding kinetics of staphylococcal nuclease observed through
single-molecule FRET in a microfluidic mixer.
PMID- 22095842
TI - A universal representation of the states of chemical matter including metastable
configurations in phase diagrams.
PMID- 22095843
TI - Effects of dietary exposure of mink (Mustela vison) to 2,3,7,8-tetrachlorodibenzo
p-dioxin, 2,3,4,7,8-pentachlorodibenzofuran, and 2,3,7,8-tetrachlorodibenzofuran
on reproduction and offspring viability and growth.
AB - This study assessed the effects of 2,3,7,8-tetrachlorodibenzo-p-dioxin (TCDD),
2,3,4,7,8-pentachlorodibenzofuran (PeCDF), and 2,3,7,8-tetrachlorodibenzofuran
(TCDF) on the reproductive performance of female mink (Mustela vison) and the
viability and growth of their offspring. Nine adult female mink were randomly
assigned to one of 13 dietary treatments (one control and four doses each of
TCDD, PeCDF, and TCDF [2.1-8.4, 4.0-15 and 5.2-25 ng TCDD toxic equivalents
(TEQ)/kg body wt/d]). Diets were fed from two months prior to breeding through
weaning of offspring at six weeks of age. At least nine kits per treatment group
were maintained on their diets through 27 weeks of age. There were no effects on
litter size or viability of offspring. No consistent effects were observed on
body mass or relative organ masses of animals at any age. 2,3,7,8
Tetrachlorodibenzo-p-dioxin and PeCDF accumulated in the liver and adipose
tissue, but TCDF cleared rapidly. The lack of significant effects on reproduction
and offspring viability contrasts with effects reported for mink exposed to
environmentally derived PCB mixtures with equivalent TCDD potencies. This
suggests that it may be inappropriate to apply toxicity reference values
associated with PCB mixtures to animals also exposed to TCDD, PeCDF, or TCDF, and
the World Health Organization TCDD toxic equivalency factors for some congeners
may not be appropriate for mink.
PMID- 22095841
TI - Endoplasmic reticulum-tethered transcription factor cAMP responsive element
binding protein, hepatocyte specific, regulates hepatic lipogenesis, fatty acid
oxidation, and lipolysis upon metabolic stress in mice.
AB - cAMP responsive element-binding protein, hepatocyte specific (CREBH), is a liver
specific transcription factor localized in the endoplasmic reticulum (ER)
membrane. Our previous work demonstrated that CREBH is activated by ER stress or
inflammatory stimuli to induce an acute-phase hepatic inflammation. Here, we
demonstrate that CREBH is a key metabolic regulator of hepatic lipogenesis, fatty
acid (FA) oxidation, and lipolysis under metabolic stress. Saturated FA, insulin
signals, or an atherogenic high-fat diet can induce CREBH activation in the
liver. Under the normal chow diet, CrebH knockout mice display a modest decrease
in hepatic lipid contents, but an increase in plasma triglycerides (TGs). After
having been fed an atherogenic high-fat (AHF) diet, massive accumulation of
hepatic lipid metabolites and significant increase in plasma TG levels were
observed in the CrebH knockout mice. Along with the hypertriglyceridemia
phenotype, the CrebH null mice displayed significantly reduced body-weight gain,
diminished abdominal fat, and increased nonalcoholic steatohepatitis activities
under the AHF diet. Gene-expression analysis and chromatin-immunoprecipitation
assay indicated that CREBH is required to activate the expression of the genes
encoding functions involved in de novo lipogenesis, TG and cholesterol
biosynthesis, FA elongation and oxidation, lipolysis, and lipid transport.
Supporting the role of CREBH in lipogenesis and lipolysis, forced expression of
an activated form of CREBH protein in the liver significantly increases
accumulation of hepatic lipids, but reduces plasma TG levels in mice. CONCLUSION:
All together, our study shows that CREBH plays a key role in maintaining lipid
homeostasis by regulating the expression of the genes involved in hepatic
lipogenesis, FA oxidation, and lipolysis under metabolic stress. The
identification of CREBH as a stress-inducible metabolic regulator has important
implications in the understanding and treatment of metabolic disease.
PMID- 22095844
TI - Quality control in tRNA charging.
AB - Faithful translation of the genetic code during protein synthesis is fundamental
to the growth, development, and function of living organisms. Aminoacyl-tRNA
synthetases (AARSs), which define the genetic code by correctly pairing amino
acids with their cognate tRNAs, are responsible for 'quality control' in the flow
of information from a gene to a protein. When differences in binding energies of
amino acids to an AARS are inadequate, editing is used to achieve high
selectivity. Editing occurs at the synthetic active site by hydrolysis of
noncognate aminoacyl-adenylates (pretransfer editing) and at a dedicated editing
site located in a separate domain by deacylation of mischarged aminoacyl-tRNA
(posttransfer editing). Access of nonprotein amino acids, such as homocysteine or
ornithine, to the genetic code is prevented by the editing function of AARSs,
which functionally partitions amino acids present in living cells into protein
and nonprotein amino acids. Continuous editing is part of the tRNA aminoacylation
process in living organisms from bacteria to human beings. Preventing
mistranslation by the clearance of misactivated amino acids is crucial to
cellular homeostasis and has a role in etiology of disease. Although there is a
strong selective pressure to minimize mistranslation, some organisms possess
error-prone AARSs that cause mistranslation. Elevated levels of mistranslation
and the synthesis of statistical proteins can be beneficial for pathogens by
increasing phenotypic variation essential for the evasion of host defenses.
PMID- 22095845
TI - Synergistic control of mesenchymal stem cell differentiation by nanoscale surface
geometry and immobilized growth factors on TiO2 nanotubes.
AB - The aim of this study is to elucidate whether combined environmental signals
provided by nanoscale topography and by growth factors control cell behavior of
mesenchymal stem cells (MSCs) in a synergistic or simply additive manner.
Chondrogenic and osteogenic differentiation of MSCs is studied on vertically
aligned TiO(2) nanotubes of size 15 and 100 nm with and without immobilized bone
morphogenetic protein-2 (BMP-2). Although BMP-2 coating stimulates both
chondrogenic and osteogenic differentiation of MSCs, the response strongly
depends on the surface nanoscale geometry of the BMP-2-coated nanotubes.
Chondrogenic differentiation is strongly supported on 100 nm BMP-2-coated
nanotubes, but not on 15 nm nanotubes, which induce spreading and de
differentiation of chondrocytes. A similar response is observed with primary
chondrocytes, which maintain their chondrogenic phenotype on BMP-2-coated 100 nm
nanotubes, but de-differentiate on 15 nm nanotubes. In contrast, osteogenic
differentiation is greatly enhanced on 15 nm but not on 100 nm BMP-2-coated
nanotubes as shown previously. Furthermore, covalent immobilization of BMP-2
rescues MSCs from apoptosis occurring on uncoated 100 nm TiO(2) nanotube
surfaces. Thus, combined signals provided by BMP-2 immobilized to a defined
lateral nanoscale spacing geometry seem to contain environmental cues that are
able to modulate a lineage-specific decision of MSC differentiation and cell
survival in a synergistic manner.
PMID- 22095846
TI - Hypothesis: prolactin is tumorigenic to human breast: dispelling the myth that
prolactin-induced mammary tumors are rodent-specific.
AB - The commonly held assumption that rodent mammary tumors resulting from elevated
prolactin are species-specific, or not biologically relevant to humans, is
incorrect. Substantial epidemiological, clinical, and biological evidence now
exists confirming the role of prolactin in human breast cancer. This evidence is
evaluated and the argument presented that the tumorigenic risk from prolactin is
therefore not species-specific to rodents but directly applies to humans.
Further, as the mechanisms of prolactin-induced mammary tumor promotion and
development appear analogous between rodents and humans, mammary tumorigenic
findings in rodent carcinogenicity bioassays are both predictive and biologically
relevant to the human response. Toxicologists and regulators need to consider
this in carcinogenicity risk assessments.
PMID- 22095847
TI - Life in the slow lane: the effect of reduced mobility on tadpole limb
development.
AB - Movement is thought to be a primary agent eliciting basic responses in the
vertebrate body, such as the proper development of the musculoskeletal system.
Embryos do not passively await hatching or birth but rather begin active movement
very early on in their development. Most studies dealing with embryonic responses
to changes in mobility have been performed in chickens or mammals. Herein, we
investigate for the first time whether the embryos of organisms that are free
living during development demonstrate the same morphological responses to reduced
mobility as embryos that undergo development in controlled environments such as
in utero or in a shelled egg. We changed the viscosity of the environment in
which free-living anuran tadpoles grow by rearing them in an agar medium. We thus
increased the viscosity of the growth medium resulting in a decrease in larval
movement. We predicted that a substantial increase in viscosity of the medium in
which the larvae were reared would have at least two consequences: (1) a
reduction of tadpole mobility and (2) a delayed onset of skeletogenesis thus
producing shorter long bones. Our predictions were upheld and tadpoles reared in
an agar medium remain immobile longer and showed a delayed onset of
skeletogenesis compared with controls. We propose that the developmental
responses to the same stimulus are similar throughout tetrapods, regardless of
their developmental context (i.e., intrauterine, within an egg, or free-living).
PMID- 22095848
TI - The marriage of organocatalysis with metal catalysis: access to multisubstituted
chiral 2,5-dihydropyrroles by cascade iminium/enamine-metal cooperative
catalysis.
PMID- 22095851
TI - From fertilization to cancer: a lifelong pursuit into how cells use oxygen. Otto
Heinrich Warburg (October 8, 1883-August 1, 1970).
PMID- 22095849
TI - Updating risk prediction tools: a case study in prostate cancer.
AB - Online risk prediction tools for common cancers are now easily accessible and
widely used by patients and doctors for informed decision-making concerning
screening and diagnosis. A practical problem is as cancer research moves forward
and new biomarkers and risk factors are discovered, there is a need to update the
risk algorithms to include them. Typically, the new markers and risk factors
cannot be retrospectively measured on the same study participants used to develop
the original prediction tool, necessitating the merging of a separate study of
different participants, which may be much smaller in sample size and of a
different design. Validation of the updated tool on a third independent data set
is warranted before the updated tool can go online. This article reports on the
application of Bayes rule for updating risk prediction tools to include a set of
biomarkers measured in an external study to the original study used to develop
the risk prediction tool. The procedure is illustrated in the context of updating
the online Prostate Cancer Prevention Trial Risk Calculator to incorporate the
new markers %freePSA and [-2]proPSA measured on an external case-control study
performed in Texas, U.S.. Recent state-of-the art methods in validation of risk
prediction tools and evaluation of the improvement of updated to original tools
are implemented using an external validation set provided by the U.S. Early
Detection Research Network.
PMID- 22095853
TI - 1hJFH coupling in 2-fluorophenol revisited: is intramolecular hydrogen bond
responsible for this long-range coupling?
AB - The present study shows that a hydrogen bond between the OH group and the
fluorine atom is not involved in the (1h)J(FH) spin-spin coupling transmission
either for 4-bromo-2-fluorophenol or 2-fluorophenol. In fact, according to a
quantum theory of atoms in molecules analysis, no bond critical point is found
between O-H and F moieties. The nature of the transmission mechanism of the Fermi
contact term of the (1h)J(FH) spin-spin coupling is studied by analyzing
canonical molecular orbitals (see J. Phys. Chem. A 2010, 114, 1044), and it is
observed that virtual orbitals play only a quite minor role in its transmission.
This is typical of a Fermi contact term transmitted mainly through exchange
interactions owing to the overlap of proximate electronic clouds; therefore, it
is suggested to identify them as (nTS)J(FH) coupling where n stands for the
number of formal bonds separating the coupling nuclei. In the cases studied in
this work is n = 4. Results presented in this work could provide an interesting
rationalization for different experimental signs known in the current literature
for proximate J(FH) couplings.
PMID- 22095854
TI - Calculation of rate spectra from noisy time series data.
AB - As the resolution of experiments to measure folding kinetics continues to
improve, it has become imperative to avoid bias that may come with fitting data
to a predetermined mechanistic model. Toward this end, we present a rate spectrum
approach to analyze timescales present in kinetic data. Computing rate spectra of
noisy time series data via numerical discrete inverse Laplace transform is an ill
conditioned inverse problem, so a regularization procedure must be used to
perform the calculation. Here, we show the results of different regularization
procedures applied to noisy multiexponential and stretched exponential time
series, as well as data from time-resolved folding kinetics experiments. In each
case, the rate spectrum method recapitulates the relevant distribution of
timescales present in the data, with different priors on the rate amplitudes
naturally corresponding to common biases toward simple phenomenological models.
These results suggest an attractive alternative to the "Occam's razor" philosophy
of simply choosing models with the fewest number of relaxation rates.
PMID- 22095855
TI - Protease-activated receptor 2 promotes experimental liver fibrosis in mice and
activates human hepatic stellate cells.
AB - Protease-activated receptor (PAR) 2 is a G-protein-coupled receptor that is
activated after proteolytic cleavage by serine proteases, including mast cell
tryptase and activated coagulation factors. PAR-2 activation augments
inflammatory and profibrotic pathways through the induction of genes encoding
proinflammatory cytokines and extracellular matrix proteins. Thus, PAR-2
represents an important interface linking coagulation and inflammation. PAR-2 is
widely expressed in cells of the gastrointestinal tract, including hepatic
stellate cells (HSCs), endothelial cells, and hepatic macrophages; however, its
role in liver fibrosis has not been previously examined. We studied the
development of CCl(4) -induced liver fibrosis in PAR-2 knockout mice, and showed
that PAR-2 deficiency reduced the progression of liver fibrosis, hepatic collagen
gene expression, and hydroxyproline content. Reduced fibrosis was associated with
decreased transforming growth factor beta (TGFbeta) gene and protein expression
and decreased matrix metalloproteinase 2 and tissue inhibitor of matrix
metalloproteinase 1 gene expression. In addition, PAR-2 stimulated activation,
proliferation, collagen production, and TGFbeta protein production by human
stellate cells, indicating that hepatic PAR-2 activation increases profibrogenic
cytokines and collagen production both in vivo and in vitro. CONCLUSION: Our
findings demonstrate the capacity of PAR-2 activation to augment TGFbeta
production and promote hepatic fibrosis in mice and to induce a profibrogenic
phenotype in human HSCs. PAR-2 antagonists have recently been developed and may
represent a novel therapeutic approach in preventing fibrosis in patients with
chronic liver disease.
PMID- 22095856
TI - On a heuristic point of view concerning the expression of numerous genes during
the cell cycle.
AB - The current model of the eukaryotic cell cycle proposes that numerous genes are
expressed at different times during the cell cycle. The existence of myriad
control points for gene expression leads to theoretical and logical problems for
cell cycle control. Each expressed gene requires a control element to appear in a
cell-cycle specific manner; this control element requires another control element
and so on, ad infinitum. There are also experimental problems with the current
model based on ineffective synchronization methods and problems with microarray
measurements of mRNA. Equally important, the efficacy of mRNA variation in
affecting changes in protein content is negligible. An alternative view of the
cell cycle proposes cycle-independent, invariant accumulation of mRNA during the
cell cycle with decreases of specific proteins occurring only during the mitotic
period of the cell cycle.
PMID- 22095857
TI - Spectroscopic investigation on the interaction of Cr(VI) with bovine serum
albumin.
AB - The interaction of potassium dichromate (Cr(VI)) with bovine serum albumin (BSA)
was investigated by fluorescence, synchronous fluorescence, resonance light
scattering (RLS), ultraviolet-visible absorption, and circular dichroism (CD)
spectroscopies under simulated physiological conditions. The experimental results
showed that Cr(VI) could quench the intrinsic fluorescence of BSA following a
static quenching process, which indicates the formation of a Cr(VI)-BSA complex.
The binding constant (KA) and binding site (n) were measured at different
temperatures. The spectroscopic results also revealed that the binding of Cr(VI)
to BSA can lead to the loosening of the protein conformation and can change the
microenvironment and skeleton of BSA.
PMID- 22095858
TI - A FlAsH-based cross-linker to study protein interactions in living cells.
AB - As you like it: xCrAsH, a dimeric derivative of the arsenical compound FlAsH,
enables the highly specific, covalent cross-linking of two proteins containing a
12 amino acid peptide tag. This inducible and (by addition of dithiols)
reversible system can be used to detect and manipulate protein-protein
interactions both in vitro and in living cells (see picture).
PMID- 22095859
TI - Contribution of taxane biosynthetic pathway gene expression to observed
variability in paclitaxel accumulation in Taxus suspension cultures.
AB - Variability in product accumulation is one of the major obstacles limiting the
widespread commercialization of plant cell culture technology to supply natural
product pharmaceuticals. Despite extensive process engineering efforts, which
have led to increased yields, plant cells exhibit variability in productivity
that is poorly understood. Elicitation of Taxus cultures with methyl jasmonate
(MeJA) induces paclitaxel accumulation, but to varying extents in different
cultures. In the current study, cultures with different aggregation profiles were
established to create predictable differences in paclitaxel accumulation upon
MeJA elicitation. Expression of known paclitaxel biosynthetic genes in MeJA
elicited cultures exhibiting both substantial (15-fold) and moderate (2-fold)
differences in paclitaxel accumulation was analyzed using quantitative reverse
transcriptase PCR. Each population exhibited the characteristic large increase in
paclitaxel pathway gene expression following MeJA elicitation; however,
differences in expression between populations were minor, and only observed for
the cultures with the 15-fold variation in paclitaxel content. These data suggest
that although upregulation of biosynthetic pathway gene expression contributes to
observed increases in paclitaxel synthesis upon elicitation with MeJA, there are
additional factors that need to be uncovered before paclitaxel productivity can
be fully optimized.
PMID- 22095861
TI - Materials science in Madrid.
PMID- 22095860
TI - Vascular endothelial growth factor receptor 2 (VEGFR2, Flk-1/KDR) protects HEK293
cells against CoCl(2) -induced hypoxic toxicity.
AB - Vascular endothelial growth factor (VEGF) is an endothelium-specific mitogen and
a promising inducer of angiogenesis and lymphangiogenesis. The VEGF receptors on
endothelial cell membrane include the tyrosine kinases VEGFR-1 (Flt-1), VEGFR-2
(Flk-1/KDR) and VEGFR-3 (Flt-4). KDR is a major mediator of mitogenic, angiogenic
and permeability-enhancing effects of VEGF. KDR is upregulated in response to
hypoxia, a major inducer of VEGF gene transcription. A HEK293 cell line
overexpressing KDR was established under cell hypoxic stress to explore the
function of KDR. A hypoxia-inducing agent, cobalt chloride (CoCl(2)) was applied
to detect whether KDR was able to prevent against chemical hypoxic toxicity. The
results indicate that KDR attenuated CoCl(2)-induced cell injury in HEK293 cells.
Furthermore, the underlying mechanisms may be explained by the increased
expression of Bcl-2, AKT1 and phosphorylated AKT, key members of cell survival
pathway, and decreased expression of pro-apoptosis protein Bax.
PMID- 22095862
TI - Co-tunneling enhancement of the electrical response of nanoparticle networks.
AB - A co-tunneling charge-transfer process dominates the electrical properties of a
nanometer-sized "slice" in a nanoparticle network, which results in universal
scaling of the conductance with temperature and bias voltage, as well as enhanced
spintronics properties. By designing two large (10 MUm) electrodes with short (60
nm) separation, access is obtained to transport dominated by charge transfer
involving "nanoslices" made of three nanoparticles only. Magnetic iron oxide
nanoparticle networks exhibit a magnetoresistance ratio that is not reachable by
tunneling or hopping processes, thereby illustrating how such a size-matched
planar device with dominant co-tunneling charge-transfer process is optimal for
realizing multifunctional devices with enhanced change of conductance under
external stimulus.
PMID- 22095863
TI - Silanol as a removable directing group for the Pd(II)-catalyzed direct
olefination of arenes.
AB - Need some direction? Silanol was developed as a directing group for the Pd(II)
catalyzed oxidative Heck-type reaction of arenes. A one-pot C-H
activation/desilylation process of benzyldiisopropylsilanol was also
demonstrated, providing a powerful approach for the synthesis of ortho-alkenyl
substituted alkylarenes. Considering the easily attachable and readily removable
properties of the silanol group, this reaction will find broad synthetic
applications.
PMID- 22095864
TI - Speech processing: from peripheral to hemispheric asymmetry of the auditory
system.
AB - Language processing from the cochlea to auditory association cortices shows side
dependent specificities with an apparent left hemispheric dominance. The aim of
this article was to propose to nonspeech specialists a didactic review of two
complementary theories about hemispheric asymmetry in speech processing. Starting
from anatomico-physiological and clinical observations of auditory asymmetry and
interhemispheric connections, this review then exposes behavioral (dichotic
listening paradigm) as well as functional (functional magnetic resonance imaging
and positron emission tomography) experiments that assessed hemispheric
specialization for speech processing. Even though speech at an early phonological
level is regarded as being processed bilaterally, a left-hemispheric dominance
exists for higher-level processing. This asymmetry may arise from a segregation
of the speech signal, broken apart within nonprimary auditory areas in two
distinct temporal integration windows--a fast one on the left and a slower one on
the right--modeled through the asymmetric sampling in time theory or a spectro
temporal trade-off, with a higher temporal resolution in the left hemisphere and
a higher spectral resolution in the right hemisphere, modeled through the
spectral/temporal resolution trade-off theory. Both theories deal with the
concept that lower-order tuning principles for acoustic signal might drive higher
order organization for speech processing. However, the precise nature,
mechanisms, and origin of speech processing asymmetry are still being debated.
Finally, an example of hemispheric asymmetry alteration, which has direct
clinical implications, is given through the case of auditory aging that mixes
peripheral disorder and modifications of central processing.
PMID- 22095865
TI - Hepatic retinoid levels in seven fish species (teleosts) from a tropical coastal
lagoon receiving effluents from iron-ore mining and processing.
AB - The present study was undertaken to investigate the possible effects of Fe and
trace element exposure on hepatic levels of retinoids in seven fish species.
Concentrations of retinoids were measured in fish collected from a coastal lagoon
in Brazil that receives effluents from an iron-ore mining and processing plant.
Fish from nearby coastal lagoons were also included to assess possible
differences related to chemical exposure. Results indicated considerable
differences in hepatic retinoid composition among the various species
investigated. The most striking differences were in retinol and derivative
specific profiles and in didehydro retinol and derivative-specific profiles. The
Perciformes species Geophagus brasiliensis, Tilapia rendalli, Mugil liza, and
Cichla ocellaris and the Characiforme Hoplias malabaricus were characterized as
retinol and derivative-specific, while the Siluriformes species Hoplosternum
littorale and Rhamdia quelen were didehydro retinol and derivative-specific fish
species. A negative association was observed between Al, Pb, As, and Cd and
hepatic didehydro retinoid levels. Fish with higher levels of hepatic Fe, Cu, and
Zn showed unexpectedly significant positive correlations with increased hepatic
retinol levels. This finding, associated with the positive relationships between
retinol and retinyl palmitate with lipid peroxidation, may suggest that vitamin A
is mobilized from other tissues to increase hepatic antioxidant levels for
protection against oxidative damage. These data show significant but dissimilar
associations between trace element exposure and hepatic retinoid levels in fish
species exposed to iron-ore mining and processing effluents, without apparent
major impacts on fish health and condition.
PMID- 22095866
TI - Real-time reverse transcription polymerase chain reaction to measure mRNA: use,
limitations, and presentation of results.
PMID- 22095867
TI - Shine a light on jellyfish Cytaeis uchidae.
PMID- 22095870
TI - Y does it work this way? Nettie Maria Stevens (July 7, 1861 - May 4, 1912).
PMID- 22095868
TI - Caffeine alleviates the deterioration of Ca(2+) release mechanisms and
fragmentation of in vitro-aged mouse eggs.
AB - The developmental competence of mammalian eggs is compromised by postovulatory
aging. We and others have found that in these eggs, the intracellular calcium
([Ca(2+)](i)) responses required for egg activation and initiation of development
are altered. Nevertheless, the mechanism(s) underlying this defective Ca(2+)
release is not well known. Here, we investigated if the function of IP(3)R1, the
major Ca(2+) release channel at fertilization, was undermined in in vitro-aged
mouse eggs. We found that in aged eggs, IP(3)R1 displayed reduced function as
many of the changes acquired during maturation that enhance IP(3)R1 Ca(2+)
conductivity, such as phosphorylation, receptor reorganization and increased
Ca(2+) store content ([Ca(2+)](ER)), were lost with increasing postovulatory
time. IP(3)R1 fragmentation, possibly associated with the activation of caspase
3, was also observed in these eggs. Many of these changes were prevented when the
postovulatory aging of eggs was carried out in the presence of caffeine, which
minimized the decline in IP(3)R(1) function and maintained [Ca(2+)](ER) content.
Caffeine also maintained mitochondrial membrane potential, as measured by JC-1
fluorescence. We therefore conclude that [Ca(2+)](i) responses in aged eggs are
undermined by reduced IP(3)R1 sensitivity, decreased [Ca(2+)](ER) , and
compromised mitochondrial function, and that addition of caffeine ameliorates
most of these aging-associated changes. Understanding the molecular basis of the
protective effects of caffeine will be useful in elucidating, and possibly
reversing, the signaling pathway(s) compromised by in vitro culture of eggs.
PMID- 22095872
TI - Prediction of protein secondary structure from circular dichroism using
theoretically derived spectra.
AB - Circular dichroism (CD) is a spectroscopic technique commonly used to investigate
the structure of proteins. Major secondary structure types, alpha-helices and
beta-strands, produce distinctive CD spectra. Thus, by comparing the CD spectrum
of a protein of interest to a reference set consisting of CD spectra of proteins
of known structure, predictive methods can estimate the secondary structure of
the protein. Currently available methods, including K2D2, use such experimental
CD reference sets, which are very small in size when compared to the number of
tertiary structures available in the Protein Data Bank (PDB). Conversely, given a
PDB structure, it is possible to predict a theoretical CD spectrum from it. The
methodological framework for this calculation was established long ago but only
recently a convenient implementation called DichroCalc has been developed. In
this study, we set to determine whether theoretically derived spectra could be
used as reference set for accurate CD based predictions of secondary structure.
We used DichroCalc to calculate the theoretical CD spectra of a nonredundant set
of structures representing most proteins in the PDB, and applied a
straightforward approach for predicting protein secondary structure content using
these theoretical CD spectra as reference set. We show that this method improves
the predictions, particularly for the wavelength interval between 200 and 240 nm
and for beta-strand content. We have implemented this method, called K2D3, in a
publicly accessible web server at http://www. ogic.ca/projects/k2d3.
PMID- 22095873
TI - Synthesis and testing of a p-H2 hyperpolarized 13C probe based on the
pyrazolo[1,5-a]pyrimidineacetamide DPA-713, an MRI vector to target the
peripheral benzodiazepine receptors.
AB - DPA-713 is the lead compound of a recently developed 2-phenylpyrazolo[1,5
a]pyrimidineacetamide series that has been shown to display a good targeting
capability toward peripheral benzodiazepine receptors, recently renamed
translocator protein (18 kDa) or in short TSPO. On the basis of this structure, a
novel derivative bearing a [(13)C]butynoate moiety has been designed and
synthesized (three steps-42% overall yield) providing, upon rapid and
quantitative para-hydrogenation, the corresponding hyperpolarized [(13)C]alkene.
Para-hydrogen-induced polarization effects have been detected in both (1)H and
(13)C-NMR spectra. Upon applying a field cycling procedure, the spin order of
para-H(2) added hydrogens is transferred on the (13)C carboxylate moiety yielding
a signal enhancement of approximately 4500 times. T(1) of the carboxylate carbon
atom is approximately 21.9 s (at 9.37 T). A (13)C-MR image has been acquired by
using the (13)C RARE (Rapid Acquisition by Relaxation Enhancement) acquisition
protocol on a 10-mM solution. The main limitation to the in vivo use of this
novel para-hydrogenated [(13)C]derivative is its relatively low solubility in
aqueous systems.
PMID- 22095874
TI - Contribution of individual PKC isoforms to breast cancer progression.
AB - The protein kinase C (PKC) family of serine/threonine kinases has been
intensively studied in cancer since their discovery as major receptors for the
tumor-promoting phorbol esters. The contribution of each individual PKC isozyme
to malignant transformation is only partially understood, but it is clear that
each PKC plays different role in cancer progression. PKC deregulation is a common
phenomenon observed in breast cancer, and PKC expression and localization are
usually dynamically regulated during mammary gland differentiation and
involution. In fact, the overexpression of several PKCs has been reported in
malignant human breast tissue and breast cancer cell lines. In this review, we
summarize the knowledge available on the specific roles of PKC isoforms in the
development, progression, and metastatic dissemination of mammary cancer. We also
discuss the role of PKC isoforms as therapeutic targets, and their potential as
markers for prognosis or treatment response.
PMID- 22095875
TI - Lysine acetylation induced by chronic ethanol consumption impairs dynamin
mediated clathrin-coated vesicle release.
AB - The liver is the major site of ethanol metabolism and thus sustains the most
injury from chronic alcohol consumption. Ethanol metabolism by the hepatocyte
leads to the generation of reactive metabolites and oxygen radicals that can
readily adduct DNA, lipids, and proteins. More recently, it has become apparent
that ethanol consumption also leads to increased post-translational modifications
of the natural repertoire, including lysine hyperacetylation. Previously, we
determined that alcohol consumption selectively impairs clathrin-mediated
internalization in polarized hepatocytes. However, neither the step at which the
block occurs nor the mechanism responsible for the defect have been identified.
To identify the specific step at which clathrin-mediated internalization is
impaired, we examined the distributions, levels, and assembly of selected
components of the clathrin machinery in control and ethanol-treated cells. To
determine whether the impairment is caused by ethanol-induced lysine acetylation,
we also examined the same coat components in cells treated with trichostatin A
(TSA), a deacetylase inhibitor that leads to protein hyperacetylation in the
absence of ethanol. CONCLUSION: We determined that both ethanol and TSA impair
internalization at a late stage before vesicle fission. We further determined
that this defect is likely the result of decreased dynamin recruitment to the
necks of clathrin-coated invaginations resulting in impaired vesicle budding.
These results also raise the exciting possibility that agents that promote lysine
deacetylation may be effective therapeutics for the treatment of alcoholic liver
disease.
PMID- 22095876
TI - Hydrogen production coupled to hydrocarbon oxygenation from photocatalytic water
splitting.
PMID- 22095877
TI - Optimization of endothelial cell growth in a murine in vitro blood-brain barrier
model.
AB - In vitro cell culture models of the blood-brain barrier (BBB) are important tools
used to study cellular physiology and brain disease therapeutics. Although the
number of model configurations is expanding across neuroscience laboratories, it
is not clear that any have been effectively optimized. A sequential screening
study to identify optimal primary mouse endothelial cell parameter set points,
grown alone and in combination with common model enhancements, including co
culturing with primary mouse or rat astrocytes and addition of biochemical agents
in the media, was performed. A range of endothelial cell-seeding densities (1-8 *
10(5) cells/cm(2) ) and astrocyte-seeding densities (2-8 * 10(4) cells/cm(2) )
were studied over seven days in the system, and three distinct media-feeding
strategies were compared to optimize biochemical agent exposure time.
Implementation of all optimal set points increased transendothelial electrical
resistance by over 200% compared to an initial model and established a suitable
in vitro model for brain disease application studies. These results demonstrate
the importance of optimizing cell culture growth, which is the most important
parameter in creating an in vitro BBB model as it directly relates the model to
the in vivo arrangement.
PMID- 22095878
TI - Chiral nanoporous metal-organic frameworks with high porosity as materials for
drug delivery.
AB - A chiral nanoporous metal-organic framework (MOF) with high porosity is obtained
based on nontoxic zinc and achiral hexadentate ligand. It shows high drug loading
and slow release of the proportion of the loaded drug with a complete delivery
time of about one week when used as a material for adsorption and delivery of
anticancer 5-fluorouracil.
PMID- 22095879
TI - Off-target immune cell toxicity caused by AG-012986, a pan-CDK inhibitor, is
associated with inhibition of p38 MAPK phosphorylation.
AB - AG-012986 is a pan-CDK (cyclin-dependent kinase) inhibitor that has in vitro and
in vivo antitumor properties but was stopped in development due in part to rapid
bone-marrow-independent white blood cell toxicity in preclinical studies and the
potential for acute and delayed immunosuppression in humans. Because peripheral
lymphocytes are largely nonproliferating, it was hypothesized the toxicity of AG
012986 was due to an off-target mechanism and not driven by the intended
pharmacology. We show the toxicity mechanism in primary human immune cells is
caspase driven. T-cells treated with AG-012986 and acutely stimulated through the
T-cell receptor exhibited decreased toxicity while still maintaining cell
division inhibition. This indicated that the pharmacology of AG-012986 functioned
as expected but the toxicity had now been decoupled through activation. Induced
phosphorylation of p38 and IL-2 production was impaired with AG-012986. Thus, AG
012986 could cause apoptosis of T-cells by targeting upstream kinases in the p38
Mitogen-activated protein kinase (MAPK) pathway and impairing cellular survival.
PMID- 22095880
TI - Otolaryngology fantastica: the ear, nose, and throat manifestations of
Munchausen's syndrome.
AB - OBJECTIVES/HYPOTHESIS: Munchausen's syndrome (MS) is a form of severe, chronic,
factitious disorder with physical symptoms. Some essential features define MS,
such as recurrent, feigned, or simulated illness; peregrination (traveling or
wandering); pseudologia fantastica; and drug abuse. Munchausen's syndrome by
proxy (MSBP) classically involves a parent or other caregiver who inflicts injury
or induces illness in a child. The aim of the present study was to summarize and
study the main ear, nose, and throat (ENT) manifestations of MS and MSBP. STUDY
DESIGN: A systematic literature review carried out in a tertiary university
referral center. METHODS: An appropriate string was run on PubMed to retrieve
articles dealing with ENT manifestations of MS and MSBP. A double cross-check was
performed on citations and full-text articles found using selected inclusion and
exclusion criteria. RESULTS: In total, 24 articles were finally included in the
study, describing 30 cases of MS or MSBP involving the ENT region; 15/30 (50%)
cases involved the face, most often presenting as facial pain or facial swelling;
and 7/30 (23.3%) cases presented with symptoms involving the ear. Six cases out
of 30 (20%) were MSBP. CONCLUSIONS: MS and MSBP may present with symptoms
involving the head and neck area, particularly the face and external ear canal.
The ENT specialist should suspect MS in patients with strange and long-lasting
symptoms, so as to avoid misdiagnosis and unnecessary treatments that waste time
and money in the healthcare sector.
PMID- 22095881
TI - Effects of 2-methoxyestradiol on proliferation, apoptosis and gene expression of
cyclin B1 and c-Myc in esophageal carcinoma EC9706 cells.
AB - 2-Methoxyestradiol (2-ME) is an endogenous metabolite of 17beta-estradiol. In
this study, we determined the antitumour activities of 2-ME on the well
differentiated EC9706 esophageal carcinoma cells in vitro. 2-ME had a strong
antiproliferative effect on EC9706 cells and caused an increase in the population
of apoptotic cells, detected by flow cytometry. A significant number of cells
were blocked in the G(2)/M phase of the cell cycle. 2-ME-treated cells
demonstrated an increase in cyclin B1 and c-Myc protein levels, as well as an
increase in the percentage of G(2)/M phase. Their up-regulation may be involved
in 2-ME-induced apoptosis and G(2)/M cell cycle arrest of the EC9706 cells, and
it precedes the onset of apoptosis.
PMID- 22095882
TI - Delayed photoelectron transfer in Pt-decorated CdS nanorods under hydrogen
generation conditions.
AB - Noble-metal-decorated colloidal semiconductor nanocrystals are currently
receiving significant attention for photocatalytic hydrogen generation. A
detailed knowledge of the charge-carrier dynamics in these hybrid systems under
hydrogen generation conditions is crucial for improving their performance. Here,
a transient absorption spectroscopy study is conducted on colloidal, Pt-decorated
CdS nanorods addressing this issue. Surprisingly, under hydrogen generation
conditions (i.e., in the presence of the hole-scavenger sodium sulfite),
photoelectron transfer to the catalytically active Pt is slower than without the
hole scavenger, where no significant hydrogen generation occurs. This unexpected
behavior can be explained by different degrees of localization of the electron
wavefunction in the presence and absence of holes on the nanorods, which modify
the electron transfer rates to the Pt. The results show that solely optimizing
charge transfer rates in photocatalytic nanosystems is no guarantee of improved
performance. Instead, the collective Coulomb interaction-mediated electron-hole
dynamics need to be considered.
PMID- 22095883
TI - The pharmacological mechanism of angiotensin-converting enzyme inhibition by
green tea, Rooibos and enalaprilat - a study on enzyme kinetics.
AB - Green tea (Camellia sinensis L.) and Rooibos (Aspalathus linearis Dahlg.) inhibit
angiotensin-converting enzyme (ACE) in vitro and in vivo. The ACE inhibitor
enalaprilat has been described previously as a competitive inhibitor and
sometimes as a non-competitive inhibitor. The aim of this study was to
investigate the pharmacological mechanism of ACE inhibition of green tea and
Rooibos by enzyme kinetics, and to compare this with enalaprilat. A Michaelis
Menten kinetics and Lineweaver-Burk graph showed mean values of V(max) = 3.73 uM
and K(m) = 0.71 uM for green tea, of V(max) = 6.76 uM and K(m) = 0.78 uM for
Rooibos, of V(max) = 12.54 uM and K(m) = 2.77 uM for enalaprilat, and of V(max)
= 51.33 uM and K(m) = 9.22 uM for the PBS control. Incubating serum with green
tea or Rooibos saturated with zinc chloride did not change the inhibitory effect.
Enalaprilat preincubated with zinc chloride showed a decrease in the inhibitory
effect. In conclusion, green tea, Rooibos and enalaprilat seem to inhibit ACE
activity using a mixed inhibitor mechanism.
PMID- 22095884
TI - Mutations in the Notch pathway alter the patterning of multifidus.
AB - Clinical studies have suggested that defects in the epaxial muscles, particularly
multifidus, may contribute to the etiology of idiopathic scoliosis. While the
epaxial muscles and the vertebrae derive from the same embryonic segmentation
process, the mechanisms that pattern the multisegmental back muscles are still
unclear. The process of segmentation is regulated by the Notch signaling pathway,
and mutations in the modulators delta-like 3 (Dll3) and lunatic fringe (Lfng) are
genetic models for spinal disorders such as scoliosis. Osteological defects have
been characterized in these genetic models, but myological phenotypes have not
previously been studied. We analyzed the multifidus muscle in the mouse (Mus
musculus) and observed intriguing changes in the cranio-caudal borders of
multifidus in Dll3 and Lfng models. Statistical analysis did not find a
significant association between the majority of the multifidus anomalies and the
vertebral defects, suggesting a previously unappreciated role for Notch signaling
in patterning epaxial muscle groups. These findings indicate an additional
mechanism by which DLL3 and LFNG may play a role in the etiology of human
idiopathic scoliosis.
PMID- 22095885
TI - Detection of retinoic acid receptor agonistic activity and identification of
causative compounds in municipal wastewater treatment plants in Japan.
AB - Retinoic acid (RA) receptor (RAR) agonists are potential toxicants that can cause
teratogenesis in vertebrates. To determine the occurrence of RAR agonists in
municipal wastewater treatment plants (WWTPs), we examined the RARalpha agonistic
activities of influent and effluent samples from several municipal WWTPs in
Osaka, Japan, using a yeast two-hybrid assay. Significant RARalpha agonistic
activity was detected in all the influent samples investigated, suggesting that
municipal wastewater consistently contains RAR agonists. Fractionations using
high-performance liquid chromatography, directed by the bioassay, found several
bioactive peaks from influent samples. The RAR agonists, all-trans RA (atRA), 13
cis RA (13cRA), 4-oxo-atRA, and 4-oxo-13cRA, possibly arising from human urine,
were identified by liquid chromatography ion trap time-of-flight mass
spectrometry. Quantification of the identified compounds in municipal WWTPs
confirmed that they were responsible for the majority of RARalpha agonistic
activity in WWTP influents, and also revealed they were readily removed from
wastewater by activated sludge treatment. Simultaneous measurement of the
RARalpha agonistic activity revealed that although total activity typically
declined concomitant with the reduction of the four identified compounds, it
remained high after the decline of RAs and 4-oxo-RAs in one WWTP, suggesting the
occurrence of unidentified RAR agonists during the activated sludge treatment.
PMID- 22095886
TI - Observer variation in the histopathologic assessment of extranodal tumor spread
in lymph node metastases in the neck.
AB - BACKGROUND: Extranodal spread (ENS) of tumors is widely used as a prognosticator
for patients with head and neck cancer and is used as an indicator for
postoperative treatment. However, the histopathologic agreement among
pathologists on the assessment of the presence or absence of this criterion has
never been studied. METHODS: The interobserver and intraobserver agreement among
10 pathologists on the diagnosis of ENS in 41 tumor-positive lymph nodes was
evaluated. RESULTS: The kappa value of the interobserver agreement among
pathologists varied between 0.14 and 0.75, the overall kappa value was 0.42 and
0.49 in the 2 scoring sessions. The intraobserver kappa value varied between 0.49
and 0.95. CONCLUSION: The intraobserver and interobserver agreement among
pathologists in the assessment of the presence of ENS was low in metastatic lymph
nodes in the neck. Because of the widely accepted prognostic significance and
therapeutic consequences of ENS, there is a need for internationally accepted
reproducible criterion for the histopathologic assessment of ENS in metastatic
lymph nodes in the neck.
PMID- 22095887
TI - Discrepancies in glomerular and tubulointerstitial/vascular immune complex IgG
subclasses in lupus nephritis.
AB - BACKGROUND AND OBJECTIVES: Lupus nephritis is characterized by glomerular and
extraglomerular immune complex deposition in the kidney. It is unclear whether
the same circulating immune complexes deposit in the glomeruli and in
extraglomerular structures, or whether they are pathogenetically different.
Differences in the IgG subclass composition may point towards different pathways
in the formation of glomerular and extraglomerular immune complexes. Therefore we
investigated IgG subclass distribution in the immune complex deposits at these
anatomic sites. DESIGN: A total of 84 biopsies diagnosed as lupus nephritis and
classified according to the International Society of Nephrology/Renal Pathology
Society (ISN/RPS) 2003 classification, were examined by direct immunofluorescence
staining for IgG subclasses. The IgG subclass composition in the glomerular,
tubular basement membrane (TBM) and vascular wall deposits was compared. We also
correlated the presence/absence of interstitial inflammation and IgG subclasses
in the TBM and vascular deposits. Lastly, we looked for correlation between
staining for IgG subclasses and complement C1q and C3 staining. RESULTS: IgG
staining was present in the TBM in 52/84 biopsies, and in the vascular walls in
40/84 biopsies. IgG subclass distribution was discrepant between glomerular and
TBM deposits in 36/52 biopsies, and between glomerular and vascular deposits in
27/40 biopsies. Interstitial inflammation did not correlate with the presence of
IgG staining or distribution of IgG subclasses in the TBM. Interstitial
inflammation was more common in biopsies of African-American patients than
Caucasian patients. The IgG subclass staining correlated with C1q staining in all
the three compartments. CONCLUSIONS: The antibody composition of the glomerular
and extraglomerular immune complex deposits appear to differ from each other.
They may not represent the same preformed immune complexes from the circulation.
It is likely that their pathogenesis and site of formation are different.
PMID- 22095888
TI - Role of reactive intermediates in the immunopathogenesis of the pristane-induced
Balb/c model of lupus.
AB - Pristane-induced lupus in Balb/c mice represents an environmentally induced lupus
model which is widely used for unravelling the mystery of the pathogenesis of the
disease. An intraperitoneal innate immune reaction to pristane is primarily
accountable for the development of the systemic lupus erythematosus-like disease
in the model. In this study, reactive oxygen species (ROS) and nitric oxide (NO)
levels were assessed (as a measure of chronic inflammation) in the peritoneum of
the Balb/c model of SLE-like disease 6 months after a single intraperitoneal
injection of pristane. Levels of ROS in peritoneal macrophages were significantly
enhanced (mean fluorescence value +/- SD: 648 +/- 100.9) in pristane-treated
mice (PT) as compared with control mice (mean fluorescence value +/- SD: 79 +/
7.8) treated with phosphate buffer saline (PBST). An immunofluorescence study
reveal the localization of ROS within nuclei, suggesting oxidative damage.
Similarly, levels of NO were also markedly raised in PT mice (34.71 umol/l +/-
8.48) as compared with PBST mice (1.36 nmol/l +/- 0.14). These new findings
lead to speculation about the role of reactive intermediates in the development
of disease. This study proposes that the sustained production of reactive
intermediates during chronic intraperitoneal inflammation might reduce
antioxidant defences and lead to a condition of oxidative stress, which might
further be responsible for this autoimmune condition.
PMID- 22095889
TI - The Systemic Lupus International Collaborating Clinics (SLICC) group - it was 20
years ago today.
AB - The Systemic Lupus International Collaborating Clinics (SLICC) group is 20 years
old this year (2011). This brief review traces the origins of the group focussing
on its more recent history and reviewing some of its major contributions to lupus
research during the past two decades.
PMID- 22095891
TI - Topology-selective chromatography reveals plasmid supercoiling shifts during
fermentation and allows rapid and efficient preparation of topoisomers.
PMID- 22095892
TI - Development and status of health insurance systems in China.
AB - Health insurance programs have changed rapidly over time in China. Among rural
populations, insurance coverage shifted from nearly universal levels in the 1970s
to 7% in 1999; it stands at 94% of counties in 2009. This large increase is the
result of a series of health reforms that aim to achieve universal access to
healthcare and better risk protection, largely through the rollout of the health
insurance programs and the gradual increase in subsidies and benefits over time.
In this paper, we present the development of the rural and urban health insurance
programs, their modes of financing and operation and the benefits and
reimbursement schemes at the end of 2009. We discuss some of the problems with
the rural and urban residents' schemes including reliance on local government
capacity, reimbursement ceilings and rates, and incentives for unnecessary care
and waste in the design of the programs. Recommendations include increasing
financial support and deepening the benefits packages. Strategies to control cost
and improve quality include developing mixed provider payment mechanisms,
implementing essential medicines policies and strengthening the quality of
primary-care provision.
PMID- 22095893
TI - Albumin infusion in patients undergoing large-volume paracentesis: a meta
analysis of randomized trials.
AB - Albumin infusion reduces the incidence of postparacentesis circulatory
dysfunction among patients with cirrhosis and tense ascites, as compared with no
treatment. Treatment alternatives to albumin, such as artificial colloids and
vasoconstrictors, have been widely investigated. The aim of this meta-analysis
was to determine whether morbidity and mortality differ between patients
receiving albumin versus alternative treatments. The meta-analysis included
randomized trials evaluating albumin infusion in patients with tense ascites.
Primary endpoints were postparacentesis circulatory dysfunction, hyponatremia,
and mortality. Eligible trials were sought by multiple methods, including
computer searches of bibliographic and abstract databases and the Cochrane
Library. Results were quantitatively combined under a fixed-effects model.
Seventeen trials with 1,225 total patients were included. There was no evidence
of heterogeneity or publication bias. Compared with alternative treatments,
albumin reduced the incidence of postparacentesis circulatory dysfunction (odds
ratio [OR], 0.39; 95% confidence interval [CI], 0.27-0.55). Significant
reductions in that complication by albumin were also shown in subgroup analyses
versus each of the other volume expanders tested (e.g., dextran, gelatin,
hydroxyethyl starch, and hypertonic saline). The occurrence of hyponatremia was
also decreased by albumin, compared with alternative treatments (OR, 0.58; 95%
CI, 0.39-0.87). In addition, mortality was lower in patients receiving albumin
than alternative treatments (OR, 0.64; 95% CI, 0.41-0.98). CONCLUSIONS: This meta
analysis provides evidence that albumin reduces morbidity and mortality among
patients with tense ascites undergoing large-volume paracentesis, as compared
with alternative treatments investigated thus far.
PMID- 22095894
TI - Exploring frataxin function.
AB - Frataxin is a nuclear-encoded mitochondrial protein highly conserved in
prokaryotes and eukaryotes. Its deficiency was initially described as the
phenotype of Friedreich's ataxia, an autosomal recessive disease in humans.
Although several functions have been described for frataxin, that is, involvement
in Fe-S cluster and heme synthesis, energy conversion and oxidative
phosphorylation, iron handling and response to oxidative damage, its precise
function remains unclear. Although there is a general consensus on the
participation of frataxin in the maintenance of cellular iron homeostasis and in
iron metabolism, this protein may have other specific functions in different
tissues and organisms.
PMID- 22095895
TI - Morphology-driven modulation of charge transport in radical/ion-containing, self
assembled block copolymer platform.
AB - A TEMPO-substituted ionic liquid was selectively incorporated into well-defined,
self-assembled block copolymer templates, which served as an active layer for
organic nonvolatile memory. Phase structures (sphere, cylinder, and lamellae) and
their orientation modulated the resistive switching behavior, which demonstrated
the unprecedented, morphology-driven charge transport in the organic electronic
devices.
PMID- 22095896
TI - Identification of 1,3-diiminoisoindoline carbohydrazides as potential
antimalarial candidates.
AB - A series of inhibitors of plant enzymes of the non-mevalonate pathway from
herbicide research efforts at BASF were screened for antimalarial activity in a
cell-based assay. A 1,3-diiminoisoindoline carbohydrazide was found to inhibit
the growth of Plasmodium falciparum with an IC(50) value <100 nM. Synthesis of a
variety of derivatives allowed an improvement of the initial antimalarial
activity down to IC(50) =18 nM for the most potent compound, the establishment of
a structure-activity relationship, and the evaluation of the cytotoxic profile of
the diiminoisoindolines. Furthermore, interesting configurational and
conformational aspects for this class of compounds were studied by computational
and X-ray crystal structure analysis. Some of the compounds can act as tridentate
ligands, forming 2:1 ligand-iron(III) complexes, which also display antimalarial
activity in the nanomolar IC(50) range, paired with low cytotoxicity.
PMID- 22095897
TI - Passive sampling provides evidence for Newark Bay as a source of polychlorinated
dibenzo-p-dioxins and furans to the New York/New Jersey, USA, atmosphere.
AB - Freely dissolved and gas phase polychlorinated dibenzo-p-dioxins (PCDDs) and
polychlorinated dibenzofurans (PCDFs) were measured in the water column and
atmosphere at five locations within Newark Bay (New Jersey, USA) from May 2008 to
August 2009 with polyethylene (PE) passive samplers. Mono- to octa-CDDs and mono-
to hepta-CDFs were detected in bottom and surface waters at <= 20 pg/L with no
clear gradient between sampling locations, suggesting freely dissolved PCDD/Fs
are well mixed in Newark Bay. The most concentrated, freely dissolved gas phase
congener was 2,7/2,8-dichlorodibenzo-p-dioxin (2,7/2,8-DiCDD), likely originating
from photochemical conversion of triclosan in Newark Bay. Air-surface water
gradients strongly favored net volatilization of PCDD/PCDFs from Newark Bay.
Water-to-air fluxes of 2,7/2,8-DiCDD and 2,3,7,8-tetrachlorodibenzo-p-dioxin
(2,3,7,8-TCDD), the most concentrated and the most toxic PCDD/PCDFs,
respectively, were approximately 60 ng/m(2) per month and 14 to 51 pg/m(2) per
month. Significant decreases in freely dissolved 2,3,7,8-TCDD concentrations with
increasing freshwater near the Passaic River and conservative behavior during the
summer of 2009 suggested Passaic sediments as a likely source of 2,3,7,8-TCDD to
Newark Bay. Mass balance calculations implied that almost 50% of freely dissolved
2,3,7,8-TCDD delivered to Newark Bay from the Hackensack and Passaic Rivers was
lost to volatilization in the summer of 2009.
PMID- 22095899
TI - New triblock copolymer templates, PEO-PB-PEO, for the synthesis of titania films
with controlled mesopore size, wall thickness, and bimodal porosity.
AB - The synthesis and properties of a series of new structure-directing triblock
copolymers with PEO-PB-PEO structure (PEO = poly(ethylene oxide) and PB =
polybutadiene) and their application as superior pore-templates for the
preparation of mesoporous titania coatings are reported. Starting from either
TiCl4 or from preformed TiO2 nanocrystalline building blocks, mesoporous
crystalline titanium oxide films with a significant degree of mesoscopic ordered
pores are derived, and the pore size can be controlled by the molecular mass of
the template polymer. Moreover, the triblock copolymers form stable micelles
already at very low concentration, i.e., prior to solvent evaporation during the
evaporation-induced self-assembly process (EISA). Consequently, the thickness of
pore walls can be controlled independently of pore size by changing the polymer
to-precursor ratio. Thus, unprecedented control of wall thickness in the
structure of mesoporous oxide coatings is achieved. In addition, the micelle
formation of the new template polymers is sufficiently distinct from that of
typical commercial PPO-PEO-PPO polymers (Pluronics; PPO = poly(propylene oxide)),
so that a combination of both polymers facilitates bimodal porosity via dual
micelle templating.
PMID- 22095898
TI - RGD peptide-modified adenovirus expressing hepatocyte growth factor and X-linked
inhibitor of apoptosis improves islet transplantation.
AB - BACKGROUND: Islet transplantation has the potential for treating type I diabetes;
however, its widespread clinical application is limited by the massive apoptotic
cell death and poor revascularization of transplanted islet grafts. METHODS: We
constructed a surface-modified adenoviral vector with RGD (Arg-Gly-Asp) sequences
encoding human X-linked inhibitor of apoptosis and hepatocyte growth factor (RGD
Adv-hHGF-hXIAP). In vitro transgene expression in human islets was determined by
enzyme-liniked immunosorbent assay. RGD-Adv-hHGF-hXIAP-transduced human islets
were transplanted under the kidney capsule of streptozotocin-induced diabetic
NOD/SCID mice. The blood glucose levels of mice were measured weekly. The kidneys
bearing islets were isolated at the end of the experiment and subjected to
immunofluorescence staining. RESULTS: The transduction efficiency on human islets
was significantly improved using RGD-modified adenovirus. HGF and XIAP gene
expressions were dose-dependent after viral transduction. When exposed to a
cocktail of inflammatory cytokines, RGD-Adv-hHGF-hXIAP-transduced human islets
showed decreased caspase 3 activity and reduced apoptotic cell death. Prolonged
normoglycemic control could be achieved by transplanting RGD-Adv-hHGF-hXIAP
transduced human islets. Immunofluorescence staining of kidney sections bearing
RGD-Adv-hHGF-hXIAP-transduced islets was positive for insulin and von Willebrand
factor (vWF) at 200 days after transplantation. CONCLUSIONS: These results
indicated that ex vivo transduction of islets with RGD-Adv-hHGF-hXIAP decreased
apoptotic islet cell death and improved islet revascularization, and eventually
might improve the outcome of human islet transplantation.
PMID- 22095900
TI - Video laryngoscopy-assisted secondary tracheoesophageal puncture placement for
the patient with severely limited neck extension.
AB - Secondary tracheoesophageal puncture (TEP) placement in patients with severe
limitations in neck extension is challenging. Visualization of the hypopharynx
with traditional rigid endoscopy may not be possible. We report using the C-MAC
(Karl Storz, Tuttlingen, Germany) video laryngoscope to successfully place a
secondary TEP in patient with severe radiation fibrosis at a tertiary referral
center. A 59-year-old male with severe radiation fibrosis of the neck underwent
total laryngectomy for a nonfunctioning larynx. His primary TEP dislodged in the
early postoperative period and the patient requested secondary TEP and voice
prosthesis. The result was a successful, properly positioned, placement of a
secondary TEP. Videolaryngoscopy should be included in the surgeon's
armamentarium of techniques to effectively and safely perform secondary TEP in
anatomically difficult patients.
PMID- 22095901
TI - Induction of apoptosis by type Ibeta protein kinase G in the human breast cancer
cell lines MCF-7 and MDA-MB-468.
AB - Activation of protein kinase G (PKG) by cyclic guanosine 3,5-monophosphate (cGMP)
has become of considerable interest as a novel molecular approach for the
induction of apoptosis in cancer cells. This study was conducted to investigate
the role of PKG isoforms in the regulation of cell growth in human breast cancer
cell lines MCF-7 and MDA-MB468. The expression levels of PKG isoforms were also
examined using real-time reverse transcriptase polymerase chain reaction. No
differences in the gene expression of PKG isoforms were observed between MCF-7
and MDA-MB-468 cells. To investigate the effects of PKG isoforms on the
regulation of cell growth, the cGMP analogues 8-APT-cGMP (PKGIalpha activator), 8
Br-PET-cGMP (PKGIbeta activator) and 8-pCPT-cGMP (PKGII activator) were employed.
Apoptosis was assessed with the Annexin-V-propidium iodide (PI) staining, cell
cycle analysis and caspase-3/9 activity assay. Treatment of MCF-7 and MDA-MB-468
cells with 8-Br-PET-cGMP resulted in a concentration-dependent cell growth
inhibition and apoptosis, whereas neither PKGIalpha nor PKGII activators had any
effect on the cell growth. The role of PKGIbeta in the inhibition of cell growth
was confirmed using PKGI and PKGII inhibitors. The present study is the first to
demonstrate the involvement of PKGIbeta in the inhibition of cell growth and
induction of apoptosis in breast cancer cells.
PMID- 22095903
TI - Effects of precaudal elongation on visceral topography in a basal clade of ray
finned fishes.
AB - Elongate body forms have evolved numerous times independently within Vertebrata.
Such body forms have evolved in large part via changes to the vertebral column,
either through addition or lengthening of vertebrae. Previous studies have shown
that body elongation in fishes has evolved most frequently through the addition
of caudal vertebrae. In contrast, however, body elongation in Polypteriformes, a
basal clade of ray-finned fishes (Actinopterygii), has evolved through the
addition of precaudal vertebrae; one genus, Erpetoichthys, has approximately
twice as many precaudal vertebrae as do members of its sister genus, Polypterus.
Thus, polypteriform fishes provide an excellent opportunity to study the effects
of precaudal elongation on the gross morphology and organization of visceral
organs contained within the body cavity. In this study, we document the
anteroposterior positions of most major visceral organs in representative species
of both genera (E. calabaricus and P. palmas), relative to both vertebral number
and percent pre-anal length. We found that, whereas the positions of the anterior
and posterior borders of the visceral organs relative to percent pre-anal length
were generally similar between the two species, most visceral organs were
positioned further posteriorly in E. calabaricus than in P. palmas with respect
to vertebral number. Based on previous determinations of the molecular control of
anteroposterior patterning of the visceral organs, we discuss which possible
changes in gene expression may have led to the anatomical modifications seen in
the visceral morphology of Erpetoichthys.
PMID- 22095902
TI - Biological activities of Indian celery, Seseli diffusum (Roxb. ex Sm.) Sant. &
Wagh.
AB - In continuation of our work on Indian celery (Seseli diffusum (Roxb. ex Sm.)
Santapau & Wagh; Umbelliferae), the fractionation of the 80% MeOH-H(2) O extract
of the seeds was performed to identify the principles responsible for its folk
use as an antispasmodic and diuretic. Several compounds were isolated as active
components: seselin (1) and anthriscinol methyl ether (4) showed a selective
cytotoxicity to some yeast strains. Compound 1 also showed spasmolytic activity.
On the other hand, isopimpinellin (3) and isorutarin (5) exhibited a spasmogenic
effect on the smooth muscle preparations. Compound 5 was also found to have
antioxidant activity. Among them, compound 4 was isolated for the first time from
this plant.
PMID- 22095905
TI - Covalent capture of nitrous oxide by N-heterocyclic carbenes.
PMID- 22095904
TI - EGRI and FOSB gene expressions in cancer stroma are independent prognostic
indicators for epithelial ovarian cancer receiving standard therapy.
AB - Stromal components interact with cancer cells to promote growth and metastasis.
The purpose of this study was to identify genes expressed in stroma, which could
provide prognostic information in epithelial ovarian cancer (EOC). Seventy-four
patients were included. We performed gene expression profiling and confirmed
array data using RT-PCR and immunohistochemistry. By microarray analysis, 52
candidate genes associated with progression free survival (PFS) were identified
(P < 0.005). Expression of the early growth response 1 (EGR1) and FBJ murine
osteosarcoma viral oncogene homolog B (FOSB) genes was further analyzed. Array
data were confirmed by RT-PCR and multivariate analysis demonstrated that both
EGR1 and FOSB expression in cancer stroma, and EGR1 expression in cancer are
independent prognostic factors in EOC. Immunohistochemically, EGR1 protein is
localized in cancer cells and alpha-smooth muscle actin positive stromal
fibroblasts. The EGR1 and FOSB expression in stromal cells and EGR1 expression in
cancer cells are prognostic indicators in EOC.
PMID- 22095907
TI - Antibacterial components of honey.
AB - The antibacterial activity of honey has been known since the 19th century.
Recently, the potent activity of honey against antibiotic-resistant bacteria has
further increased the interest for application of honey, but incomplete knowledge
of the antibacterial activity is a major obstacle for clinical applicability. The
high sugar concentration, hydrogen peroxide, and the low pH are well-known
antibacterial factors in honey and more recently, methylglyoxal and the
antimicrobial peptide bee defensin-1 were identified as important antibacterial
compounds in honey. The antibacterial activity of honey is highly complex due to
the involvement of multiple compounds and due to the large variation in the
concentrations of these compounds among honeys. The current review will elaborate
on the antibacterial compounds in honey. We discuss the activity of the
individual compounds, their contribution to the complex antibacterial activity of
honey, a novel approach to identify additional honey antibacterial compounds, and
the implications of the novel developments for standardization of honey for
medical applications.
PMID- 22095908
TI - PS-b-P3HT copolymers as P3HT/PCBM interfacial compatibilizers for high efficiency
photovoltaics.
AB - A conducting diblock copolymer of PS-b-P3HT was added to serve as a
compatibilizer in a P3HT/PCBM blend, which improved the power-conversion
efficiency from 3.3% to 4.1% due to the enhanced crystallinity, morphology,
interface interaction, and depth profile of PCBM.
PMID- 22095906
TI - STITCHER: Dynamic assembly of likely amyloid and prion beta-structures from
secondary structure predictions.
AB - The supersecondary structure of amyloids and prions, proteins of intense clinical
and biological interest, are difficult to determine by standard experimental or
computational means. In addition, significant conformational heterogeneity is
known or suspected to exist in many amyloid fibrils. Previous work has
demonstrated that probability-based prediction of discrete beta-strand pairs can
offer insight into these structures. Here, we devise a system of energetic rules
that can be used to dynamically assemble these discrete beta-strand pairs into
complete amyloid beta-structures. The STITCHER algorithm progressively 'stitches'
strand-pairs into full beta-sheets based on a novel free-energy model,
incorporating experimentally observed amino-acid side-chain stacking
contributions, entropic estimates, and steric restrictions for amyloidal parallel
beta-sheet construction. A dynamic program computes the top 50 structures and
returns both the highest scoring structure and a consensus structure taken by
polling this list for common discrete elements. Putative structural heterogeneity
can be inferred from sequence regions that compose poorly. Predictions show
agreement with experimental models of Alzheimer's amyloid beta peptide and the
Podospora anserina Het-s prion. Predictions of the HET-s homolog HET-S also
reflect experimental observations of poor amyloid formation. We put forward
predicted structures for the yeast prion Sup35, suggesting N-terminal structural
stability enabled by tyrosine ladders, and C-terminal heterogeneity. Predictions
for the Rnq1 prion and alpha-synuclein are also given, identifying a similar mix
of homogenous and heterogeneous secondary structure elements. STITCHER provides
novel insight into the energetic basis of amyloid structure, provides accurate
structure predictions, and can help guide future experimental studies.
PMID- 22095909
TI - Serum ferritin levels are associated with a distinct phenotype of chronic
hepatitis C poorly responding to pegylated interferon-alpha and ribavirin
therapy.
AB - Elevated serum ferritin levels may reflect a systemic inflammatory state as well
as increased iron storage, both of which may contribute to an unfavorable outcome
of chronic hepatitis C (CHC). We therefore performed a comprehensive analysis of
the role of serum ferritin and its genetic determinants in the pathogenesis and
treatment of CHC. To this end, serum ferritin levels at baseline of therapy with
pegylated interferon-alpha and ribavirin or before biopsy were correlated with
clinical and histological features of chronic hepatitis C virus (HCV) infection,
including necroinflammatory activity (N = 970), fibrosis (N = 980), steatosis (N
= 886), and response to treatment (N = 876). The association between high serum
ferritin levels (> median) and the endpoints was assessed by logistic regression.
Moreover, a candidate gene as well as a genome-wide association study of serum
ferritin were performed. We found that serum ferritin >= the sex-specific median
was one of the strongest pretreatment predictors of treatment failure (univariate
P < 0.0001, odds ratio [OR] = 0.45, 95% confidence interval [CI] = 0.34-0.60).
This association remained highly significant in a multivariate analysis (P =
0.0002, OR = 0.35, 95% CI = 0.20-0.61), with an OR comparable to that of
interleukin (IL)28B genotype. When patients with the unfavorable IL28B genotypes
were stratified according to high versus low ferritin levels, SVR rates differed
by > 30% in both HCV genotype 1- and genotype 3-infected patients (P < 0.001).
Serum ferritin levels were also independently associated with severe liver
fibrosis (P < 0.0001, OR = 2.67, 95% CI = 1.68-4.25) and steatosis (P = 0.002, OR
= 2.29, 95% CI = 1.35-3.91), but not with necroinflammatory activity (P = 0.3).
Genetic variations had only a limited impact on serum ferritin levels.
CONCLUSION: In patients with CHC, elevated serum ferritin levels are
independently associated with advanced liver fibrosis, hepatic steatosis, and
poor response to interferon-alpha-based therapy.
PMID- 22095910
TI - VAX1 mutation associated with microphthalmia, corpus callosum agenesis, and
orofacial clefting: the first description of a VAX1 phenotype in humans.
AB - Vax1 and Vax2 have been implicated in eye development and the closure of the
choroid fissure in mice and zebrafish. We sequenced the coding exons of VAX1 and
VAX2 in 70 patients with anophthalmia/microphthalmia (A/M). In VAX1, we observed
homozygosity for two successive nucleotide substitutions c.453G>A and c.454C>A,
predicting p.Arg152Ser, in a proband of Egyptian origin with microphthalmia,
small optic nerves, cleft lip/palate, and corpus callosum agenesis. This mutation
affects an invariant residue in the homeodomain of VAX1 and was absent from 96
Egyptian controls. It is likely that the mutation results in a loss of function,
as the mutation results in a phenotype similar to the Vax1 homozygous null mouse.
We did not identify any mutations in VAX2. This is the first description of a
phenotype associated with a VAX1 mutation in humans and establishes VAX1 as a new
causative gene for A/M.
PMID- 22095911
TI - The association between fracture and obesity is site-dependent: a population
based study in postmenopausal women.
AB - The association between obesity and fracture is controversial. We investigated
the relationship between body mass index (BMI) and fracture at different skeletal
sites in women aged >=50 years using data from the Sistema d' Informacio per al
Desenvolupament de la Investigacio en Atencio Primaria (SIDIAP) database. SIDIAP
contains the computerized medical records of >3400 general practitioners in
Catalonia (northeastern Spain), with information on a representative 80% of the
population (>5 million people). In 2009, 1,039,878 women aged >=50 years were
eligible, of whom 832,775 (80.1%) had a BMI measurement. These were categorized
into underweight/normal (302,414 women), overweight (266,798), and obese
(263,563). Fractures were ascertained using the International Classification of
Diseases, 10th revision (ICD-10) codes. Multivariate Poisson regression models
were fitted to adjust for age, smoking, high alcohol intake, type 2 diabetes, and
oral corticosteroid use. Hip fractures were significantly less common in
overweight and obese women than in normal/underweight women (rate ratio [RR] 0.77
[95% confidence interval (CI) 0.68 to 0.88], RR 0.63 [95% CI 0.64 to 0.79], p <
0.001, respectively). Pelvis fracture rates were lower in the overweight (RR 0.78
[95% CI 0.63 to 0.96], p = 0.017) and obese (RR 0.58 [95% CI 0.47 to 0.73], p <
0.001) groups. Conversely, obese women were at significantly higher risk of
proximal humerus fracture than the normal/underweight group (RR 1.28 [95% CI 1.04
to 1.58], p = 0.018). Clinical spine, wrist, tibial, and multiple rib fracture
rates were not significantly different between groups. An age-related increase in
incidence was seen for all BMI groups at all fracture sites; obese women with
hip, clinical spine, and pelvis fracture were significantly younger at the time
of fracture than normal/underweight women, whereas those with wrist fracture were
significantly older. The association between obesity and fracture in
postmenopausal women is site-dependent, obesity being protective against hip and
pelvis fractures but associated with an almost 30% increase in risk for proximal
humerus fractures when compared with normal/underweight women. The reasons for
these site-specific variations are unknown but may be related to different
patterns of falls and attenuation of their impact by adipose tissue.
PMID- 22095912
TI - Green nanochemistry: metal oxide nanoparticles and porous thin films from bare
metal powders.
AB - A universal, simple, robust, widely applicable and cost-effective aqueous process
is described for a controlled oxidative dissolution process of micrometer-sized
metal powders to form high-purity aqueous dispersions of colloidally stable 3-8
nm metal oxide nanoparticles. Their utilization for making single and multilayer
optically transparent high-surface-area nanoporous films is demonstrated. This
facile synthesis is anticipated to find numerous applications in materials
science, engineering, and nanomedicine.
PMID- 22095913
TI - Detection of evolving injury to the brachial plexus during transaxillary robotic
thyroidectomy.
AB - OBJECTIVES/HYPOTHESIS: Continuous intraoperative neuromonitoring (IONM) of
transcranial electric motor evoked potentials (tceMEPs) and somatosensory evoked
potentials (SSEPs) has gained universal acceptance as an efficacious method for
detecting emerging positional brachial plexopathy or peripheral nerve compression
during spinal and shoulder surgery. This has implications for transaxillary
thyroid surgery. STUDY DESIGN: Case report with literature review. METHODS: The
patient underwent robotic transaxillary thyroid surgery with continuous tceMEP
and SSEP monitoring of brachial plexus function. We present detailed IONM data
depicting the emergence of positional brachial plexopathy. RESULTS: Significant
amplitude loss of both IONM modalities were identified during an evolving
positional plexopathy, which resolved upon upper extremity repositioning and
conversion to an open procedure. No permanent nerve injury or deficit was noted
following surgery. CONCLUSIONS: Given the potential for brachial plexus injury
during robotic transaxillary thyroid surgery secondary to arm positioning, we
recommend that continuous tceMEP and SSEP monitoring be considered during such
procedures.
PMID- 22095915
TI - DeltaE1 and high-capacity adenoviral vectors expressing full-length codon
optimized merozoite surface protein 1 for vaccination against Plasmodium
falciparum.
AB - BACKGROUND: The merozoite surface protein (MSP)-1 of Plasmodium falciparum, the
causative agent of malaria tropica, is considered to be a promising vaccine
candidate. Although its stable cloning and expression has been difficult in the
past, adenoviral vectors expressing the complex protein are described in the
present study. METHODS: Codon-optimized msp-1 was used to construct a set of
first generation (DeltaE1Ad) and high-capacity adenovirus (HC-Ad) vectors, and
cellular and humoral immune responses induced by the vectors were characterized
in detail in mice. RESULTS: Generation of stable DeltaE1Ad and HC-Ad vectors
expressing full-length MSP-1 and their production to high vector titers was found
to be feasible. Epitope identification and analysis of frequencies of specific
CD8 T-cells revealed that MSP-1 expressing HC-Ad vectors induced higher
frequencies of interferon-gamma + CD8 T-cells than DeltaE1 vectors. Irrespective
of the vector format, higher titers of MSP-1 specific antibodies were generated
by Ad vectors expressing MSP-1 from a chicken beta-actin (CAG) promoter
comprising the cytomegalovirus early enhancer element and the chicken beta-actin
promoter. CONCLUSIONS: The findings of the present study suggest that Ad vectors
expressing full-length codon-optimized MSP-1 are promising candidate vaccines
against P. falciparum infections. Use of the HC-Ad vector type for delivery, as
well as the CAG promoter to control MSP-1 expression, may further increase the
efficacy of this vaccine candidate.
PMID- 22095914
TI - The giant danio (D. aequipinnatus) as a model of cardiac remodeling and
regeneration.
AB - The paucity of mammalian adult cardiac myocytes (CM) proliferation following
myocardial infarction (MI) and the remodeling of the necrotic tissue that ensues,
result in non-regenerative repair. In contrast, zebrafish (ZF) can regenerate
after an apical resection or cryoinjury of the heart. There is considerable
interest in models where regeneration proceeds in the presence of necrotic
tissue. We have developed and characterized a cautery injury model in the giant
danio (GD), a species closely related to ZF, where necrotic tissue remains part
of the ventricle, yet regeneration occurs. By light and transmission electron
microscopy (TEM), we have documented four temporally overlapping processes: (1) a
robust inflammatory response analogous to that observed in MI, (2) concomitant
proliferation of epicardial cells leading to wound closure, (3) resorption of
necrotic tissue and its replacement by granulation tissue, and (4) regeneration
of the myocardial tissue driven by 5-EDU and [(3) H]thymidine incorporating CMs.
In conclusion, our data suggest that the GD possesses robust repair mechanisms in
the ventricle and can serve as an important model of cardiac inflammation,
remodeling and regeneration.
PMID- 22095916
TI - Bioactivity-guided isolation of antiproliferative diterpenoids from Euphorbia
kansui.
AB - Cytotoxic assay guided multistep separation on the dichloromethane extract of the
roots of Euphorbia kansui resulted in the isolation of 10 ingenol-type
diterpenoids (1-4 and 7-12), of which, 5-O-(2'E,4'E-decadienoyl)-20-O
acetylingenol (1) is a new compound, and two are jatrophane-type diterpenoids (13
14). Interconversion of two pairs of positional ester isomers (1-4) in aqueous
alcoholic solution was observed, the transesterification mechanism of which was
speculated and confirmed by acylation of 3 and 4 to 6 and 5, respectively. All
the isolates and the two acyl derivatives (5 and 6) were evaluated in vitro for
their cytotoxicities in Bel-7402, Bel-7402/5FU, BGC-823 and SGC-7901 cell lines.
The 12 ingenol-type diterpenoids exhibited weak to moderate cytotoxicities,
whereas the two jatrophane-type diterpenoids displayed no antiproliferative
effects, which, however, may increase the antitumour efficacy of those ingenol
type diterpenoids. The structure--activity relationships were investigated by
principal component analysis (PCA) of the pIC50 (-logIC50) values of the
compounds tested and their calculated molecular descriptors. The pIC50 values
were highly correlated with most descriptors, especially the highest occupied
molecular orbital energy (E(HOMO)), absolute hardness (eta) and positively
charged solvent accessible surface areas (P-ASA). As the values of E(HOMO)
increase, eta and P-ASA decrease, and the antiproliferative effects of these
compounds increase.
PMID- 22095917
TI - Project VALOR: design and methods of a longitudinal registry of post-traumatic
stress disorder (PTSD) in combat-exposed veterans in the Afghanistan and Iraqi
military theaters of operations.
AB - Few studies have investigated the natural history of post-traumatic stress
disorder (PTSD). Project VALOR (Veterans' After-discharge Longitudinal Registry)
was designed as a longitudinal patient registry assessing the course of combat
related PTSD among 1600 male and female Veterans who served in Operation Enduring
Freedom (OEF) in Afghanistan or Operation Iraqi Freedom (OIF). Aims of the study
include investigating patterns and predictors of progression or remission of PTSD
and treatment utilization. The study design was based on recommendations from the
Agency for Healthcare Quality and Research for longitudinal disease registries
and used a pre-specified theoretical model to select the measurement domains for
data collection and interpretation of forthcoming results. The registry will
include 1200 male and female Veterans with a recent diagnosis of PTSD in the
Department of Veteran Affairs (VA) electronic medical record and a comparison
group of 400 Veterans without a medical record-based PTSD diagnosis, to also
allow for case-control analyses. Data are collected from administrative
databases, electronic medical records, a self-administered questionnaire, and a
semi-structured diagnostic telephone interview. Project VALOR is a unique and
timely registry study that will evaluate the clinical course of PTSD,
psychosocial correlates, and health outcomes in a carefully selected cohort of
returning OEF/OIF Veterans.
PMID- 22095919
TI - Identifying continuous pores in protein structures with PROPORES by computational
repositioning of gating residues.
AB - Proteins containing concavities such as pockets, cavities, and tunnels or pores
perform important functions in ligand-induced signal transduction, enzymatic
catalysis, and in facilitating the permeation of small molecules through
membranes. Computational algorithms for identifying such shapes are therefore of
great use for studying the mechanisms of these reactions. We developed the novel
toolkit PROPORES for pore identification and applied our program to the systems
aquaporin, tryptophan synthase, leucine transporter, and acetylcholinesterase. As
a novel feature, the program checks whether access to occluded ligand binding
pockets or blocked channels can be achieved by systematically rotating side
chains of the gating residues. In this way, we obtain a more flexible view of the
putative structural adaptability of protein structures. For the four systems
mentioned, the new method was able to identify connections between pores that are
separated in the X-ray structures or to connect internal pores with the protein
surrounding. The software is available from http://gepard.bioinformatik.uni
saarland.de/software/propores/.
PMID- 22095920
TI - Environmental control of reproductive phenology and the effect of pollen
supplementation on resource allocation in the cleistogamous weed, Ruellia
nudiflora (Acanthaceae).
AB - BACKGROUND AND AIMS: Mixed reproductive strategies may have evolved as a response
of plants to cope with environmental variation. One example of a mixed
reproductive strategy is dimorphic cleistogamy, where a single plant produces
closed, obligately self-pollinated (CL) flowers and open, potentially outcrossed
(CH) flowers. Frequently, optimal environmental conditions favour production of
more costly CH structures whilst economical and reliable CL structures are
produced under less favourable conditions. In this study we explore (1) the
effect of light and water on the reproductive phenology and (2) the effect of
pollen supplementation on resource allocation to seeds in the cleistogamous weed
Ruellia nudiflora. METHODS: Split-plot field experiments were carried out to
assess the effect of shade (two levels: ambient light vs. a reduction of 50 %)
and watering (two levels: non-watered vs. watered) on the onset, end and duration
of the production of three reproductive structures: CH flowers, CH fruit and CL
fruit. We also looked at the effect of these environmental factors on biomass
allocation to seeds (seed weight) from obligately self-pollinated flowers (CL),
open-pollinated CH flowers and pollen-supplemented CH flowers. KEY RESULTS: CH
structures were produced for a briefer period and ended earlier under shaded
conditions. These conditions also resulted in an earlier production of CL fruit.
Shaded conditions also produced greater biomass allocation to CH seeds receiving
extra pollen. CONCLUSIONS: Sub-optimal (shaded) conditions resulted in a briefer
production period of CH structures whilst these same conditions resulted in an
earlier production of CL structures. However, under sub-optimal conditions,
plants also allocated more resources to seeds sired from CH flowers receiving
large pollen loads. Earlier production of reproductive structures and relatively
larger seed might improve subsequent success of CL and pollen-supplemented CH
seeds, respectively.
PMID- 22095921
TI - Hippocampal subregions are differentially affected in the progression to
Alzheimer's disease.
AB - Atrophy within the hippocampus (HP) as measured by magnetic resonance imaging
(MRI) is a promising biomarker for the progression to Alzheimer's disease (AD).
Subregions of the HP along the longitudinal axis have been found to demonstrate
unique function, as well as undergo differential changes in the progression to
AD. Little is known of relationships between such HP subregions and other
potential biomarkers, such as neuropsychological (NP), genetic, and cerebral
spinal fluid (CSF) beta amyloid and tau measures. The purpose of this study was
to subdivide the hippocampus to determine how the head, body, and tail were
affected in normal control, mild cognitively impaired, and AD subjects, and
investigate relationships with HP subregions and other potential biomarkers. MRI
scans of 120 participants of the Alzheimer's Disease Neuroimaging Initiative were
processed using FreeSurfer, and the HP was subdivided using 3D Slicer. Each
subregion was compared among groups, and correlations were used to determine
relationships with NP, genetic, and CSF measures. Results suggest that HP
subregions are undergoing differential atrophy in AD, and demonstrate unique
relationships with NP and CSF data. Discriminant function analyses revealed that
these regions, when combined with NP and CSF measures, were able to classify by
diagnostic group, and classify MCI subjects who would and would not progress to
AD within 12 months.
PMID- 22095923
TI - Contact resistance and megahertz operation of aggressively scaled organic
transistors.
AB - Bottom-gate, top-contact organic thin-film transistors (TFTs) with excellent
static characteristics (on/off ratio: 10(7) ; intrinsic mobility: 3 cm(2) (V s)(
1) ) and fast unipolar ring oscillators (signal delay as short as 230 ns per
stage) are fabricated. The significant contribution of the transfer length to the
relation between channel length, contact length, contact resistance, effective
mobility, and cutoff frequency of the TFTs is theoretically and experimentally
analyzed.
PMID- 22095922
TI - Direct writing by way of melt electrospinning.
AB - Melt electrospun fibers of poly(epsilon-caprolactone) are accurately deposited
using an automated stage as the collector. Matching the translation speed of the
collector to the speed of the melt electrospinning jet establishes control over
the location of fiber deposition. In this sense, melt electrospinning writing can
be seen to bridge the gap between solution electrospinning and direct writing
additive manufacturing processes.
PMID- 22095924
TI - Rescue of sarcoglycan mutations by inhibition of endoplasmic reticulum quality
control is associated with minimal structural modifications.
AB - Sarcoglycanopathies (SGP) are a group of autosomal recessive muscle disorders
caused by primary mutations in one of the four sarcoglycan genes. The
sarcoglycans (alpha-, beta-, gamma-, and delta-sarcoglycan) form a tetrameric
complex at the muscle membrane that is part of the dystrophin-glycoprotein
complex and plays an essential role for membrane integrity during muscle
contractions. We previously showed that the most frequent missense mutation in
alpha-sarcoglycan (p.R77C) leads to the absence of the protein at the cell
membrane due to its blockade by the endoplasmic reticulum (ER) quality control.
Moreover, we demonstrated that inhibition of the ER alpha-mannosidase I activity
using kifunensine could rescue the mutant protein localization at the cell
membrane. Here, we investigate 25 additional disease-causing missense mutations
in the sarcoglycan genes with respect to intracellular fate and localization
rescue of the mutated proteins by kifunensine. Our studies demonstrate that,
similarly to p.R77C, 22 of 25 of the selected mutations lead to defective
intracellular trafficking of the SGs proteins. Six of these were saved from ER
retention upon kifunensine treatment. The trafficking of SGs mutants rescued by
kifunensine was associated with mutations that have moderate structural impact on
the protein.
PMID- 22095925
TI - High-capacity adenoviral vectors circumvent the limitations of DeltaE1 and
DeltaE1/DeltaE3 adenovirus vectors to induce multispecific transgene product
directed CD8 T-cell responses.
AB - BACKGROUND: The ability to induce cytotoxic T lymphocyte (CTL) responses that are
multispecific is considered to comprise an essential feature for an efficacious
genetic vaccine against many pathogens including HIV and hepatitis C virus.
DeltaE1Ad vectors are promising vectored vaccines but have been shown to induce
antigen-specific CTLs with only limited multispecificity. In the present study,
we investigated the applicability of gene-deleted high-capacity adenovirus (HC
Ad) vectors and focused on the induction of multispecific CTL responses. METHODS:
We generated Delta E1 and HC-Ad vectors expressing hepatitis B virus small
surface antigen (HBsAg). We comparatively analyzed the CTL profiles against
various transgene product- and vector-derived epitopes in several mouse strains
and HBsAg- and vector-directed antibody responses. RESULTS: HC-Ad vectors
efficiently induced multispecific HBsAg-directed CTLs. By contrast, DeltaE1Ad
vectors mainly primed CTLs against one immunodominant epitope of HBsAg. This
absence of multispecific CTL responses correlated with the induction of CTLs
against viral epitopes generated by de novo expression of Ad genes from the
DeltaE1Ad vector. However, Ad-specific CTLs induced in trans did not impair HC
AdS-induced multispecific CTL responses against HBsAg. Finally, HC-Ad vectors
also induced higher HBsAg antibody titers compared to DeltaE1Ad vectors.
CONCLUSIONS: De novo expression of viral genes from DeltaE1Ad vector genomes
restricts the multispecificity of transgene product-specific CTLs by
immunodominance effects. HC-Ad vectors devoid of Ad genes are favorable for the
induction of both multispecific CD8 T-cell responses and high antibody responses.
Our results suggest the deletion of Ad genes as an important means for developing
potent Ad-based vectored vaccines.
PMID- 22095926
TI - Crystal structure of methylornithine synthase (PylB): insights into the
pyrrolysine biosynthesis.
PMID- 22095927
TI - Antidiabetic effect of the total polyphenolic acids fraction from Salvia
miltiorrhiza Bunge in diabetic rats.
AB - An investigation was made to evaluate the therapeutic potential of the total
polyphenolic acids fraction (PAF) from Salvia miltiorrhiza Bunge in the type 2
diabetes mellitus rats model with an oral dose of 187 mg/kg for 28 days. The
results showed that PAF induced a significant decrease in fasting blood glucose
(FBG), fasting blood insulin (FINS), total cholesterol (TC), triglyceride (TG)
and blood urea nitrogen (BUN), and an obvious increase in insulin sensitivity
index (ISI) in diabetic rats induced by a high fat diet and a low dose of
streptozocin (STZ). These results suggested that PAF has antidiabetic potential
in vivo.
PMID- 22095928
TI - The basics of retinoblastoma: back to school.
PMID- 22095929
TI - Quality of health in survivors of childhood acute myeloid leukemia treated with
chemotherapy only: a NOPHO-AML study.
AB - BACKGROUND: More than 60% of children with acute myeloid leukemia (AML) become
long-term survivors, and approximately 50% are cured with chemotherapy only.
Limited data exist about their long-term morbidity and social outcomes. The aim
of the study was to compare the self-reported use of health care services, health
experience, social outcomes, and lifestyle behavior of AML survivors with that of
their sibling controls. METHODS: This population-based study included 138
children treated for AML according to the Nordic Society of Pediatric Hematology
and Oncology (NOPHO)-AML-84, -88, and -93 trials, and alive by June 30, 2007.
Patients treated with hematopoietic stem cell transplantation (HSCT) or relapse
were not included. Altogether, 102 (74%) survivors and 91% of their siblings
completed a questionnaire. RESULTS: The median follow-up was 11 (range 4-25)
years after diagnosis. AML survivors had no increased rate of hospitalization
compared with sibling controls, but were more often receiving prescription drugs,
especially for asthma (23% vs. 9%, P = 0.03). Self-reported health experience was
excellent or very good in 77% and comparable with that of siblings. Educational
achievement, employment, and marital status were comparable in the two groups.
Among surviving AML patients, 23% were current smokers and 24% of their siblings
were current smokers. CONCLUSIONS: The self-reported health of children treated
on NOPHO-AML protocols without HSCT was good, and their use of health care
services was limited. Reported health and social outcomes were comparable to
those of their siblings. Many survivors were smoking which may increase the risk
of late effects.
PMID- 22095930
TI - Differential expression and regulation of angiopoietin-2 in mouse uterus during
preimplantation period.
AB - Angiogenesis is crucial to successful implantation and decidualization, however,
as an important angiogenic growth factor, the effect of Ang-2 in the process of
implantation and decidualization is still unknown. This study is to investigate
the differential expression of Ang-2 in mouse uterus during early pregnancy and
its regulation by steroid hormones using in situ hybridization and RT-PCR. There
is no detectable Ang-2 mRNA signal on days 1-5 of pregnancy by in situ
hybridization. On days 6-8, Ang-2 mRNA is mainly expressed in the primary decidua
of mesometrial side, and the expression gradually increases. By RT-PCR, a
significantly higher level of Ang-2 expression is observed on day 8 of pregnancy,
although Ang-2 expression can be found through days 1-8. Similarly, Ang-2 is
highly expressed in decidualized cells under artificial decidualization. In the
ovariectomized mouse uterus, Ang-2 expression gradually increases after estrogen
injection and with peak levels at 12 hr, while progesterone injection can cause a
decline in uterine Ang-2 mRNA level, which reaches a nadir at 12 hr. These
results suggest that Ang-2 may play a key role in the process of mouse
decidualization. Estrogen can induce the expression of Ang-2 while progesterone
can inhibit its expression in the ovariectomized mouse uterus.
PMID- 22095931
TI - In vivo NIR fluorescence imaging, biodistribution, and toxicology of
photoluminescent carbon dots produced from carbon nanotubes and graphite.
AB - Oxidization of carbon nanotubes by a mixed acid has been utilized as a standard
method to functionalize carbon nanomaterials for years. Here, the products
obtained from carbon nanotubes and graphite after a mixed-acid treatment are
carefully studied. Nearly identical carbon dot (Cdot) products with diameters of
3-4 nm are produced using this approach from a variety of carbon starting
materials, including single-walled carbon nanotubes, multiwalled carbon
nanotubes, and graphite. These Cdots exhibit strong yellow fluorescence under UV
irradiation and shifted emission peaks as the excitation wavelength is changed.
In vivo fluorescence imaging with Cdots is then demonstrated in mouse
experiments, by using varied excitation wavelengths including some in the near
infrared (NIR) region. Furthermore, in vivo biodistribution and toxicology of
those Cdots in mice over different periods of time are studied; no noticeable
signs of toxicity for Cdots to the treated animals are discovered. This work
provides a facile method to synthesize Cdots as safe non-heavy-metal-containing
fluorescent nanoprobes, promising for applications in biomedical imaging.
PMID- 22095932
TI - Magnetic nanocarriers with tunable pH dependence for controlled loading and
release of cationic and anionic payloads.
AB - Superparamagnetic nanocarriers with tunable pH dependence of the surface charge
are designed by a simple co-precipitation method. By exploiting electrostatic
interactions, cationic or anionic payloads can be adsorbed and desorbed depending
on the pH. On three different resulting nanocarrier systems, experiments of
loading and release of gold nanoparticles as well as effective siRNA loading and
in vitro delivery on human cells are performed.
PMID- 22095933
TI - Detection of liver metastases using gadoxetic-enhanced dynamic and 10- and 20
minute delayed phase MR imaging.
AB - PURPOSE: To assess the incremental value of hepatobiliary phase images in
gadoxetate disodium-enhanced magnetic resonance imaging (MRI), and to compare
diagnostic accuracy and lesion conspicuity on 10- and 20-minute delayed images
for preoperative detection of hepatic metastases with subgroup analysis according
to size and history of chemotherapy. MATERIALS AND METHODS: Forty-six patients
with 107 metastases who underwent surgery after gadoxetate disodium-enhanced MRI
were evaluated. Four observers independently interpreted three sets: dynamic set
comprising precontrast T1-, T2-weighted, and dynamic images; 10-minute set
comprising dynamic set and 10-minute delayed; 20-minute set comprising 10-minute
set and 20-minute delayed. Diagnostic accuracy was compared with subgroup
analysis. Liver-to-lesion signal ratio (SR) was calculated using the region of
interest method and compared. RESULTS: Mean A(z) and sensitivities were
significantly higher for 10- (A(z) = 0.894, sensitivity = 95.6%) and 20-minute
(0.910, 97.2%) than dynamic set (0.813, 79.9%) (P < 0.001), with no significant
difference between 10- and 20-minute sets (P = 0.140). In patients with small
(<=1 cm) metastases and a history of chemotherapy, sensitivities were
significantly higher with 10- (88.2%) and 20-minute (91.6%) sets than dynamic set
(48.6%) (P < 0.001). SR was significantly higher for 10- and 20-minute delayed
than precontrast and dynamic, with significantly higher SR on 20- than 10-minute
delayed. CONCLUSION: Regardless of size or prior chemotherapy, detection of
hepatic metastases was significantly improved by adding hepatobiliary phase
images without significant differences between 10- and 20-minute delayed.
PMID- 22095934
TI - The future of physiotherapy education: towards a translational model of learning
complex skills.
PMID- 22095935
TI - Genetic variation in APOB, PCSK9, and ANGPTL3 in carriers of pathogenic autosomal
dominant hypercholesterolemic mutations with unexpected low LDL-Cl Levels.
AB - Autosomal Dominant Hypercholesterolemia (ADH) is caused by LDLR and APOB
mutations. However, genetically diagnosed ADH patients do not always exhibit the
expected hypercholesterolemic phenotype. Of 4,669 genetically diagnosed ADH
patients, identified through the national identification screening program for
ADH, 75 patients (1.6%) had LDL-cholesterol (LDL-C) levels below the 50th
percentile for age and gender prior to lipid-lowering therapy. The genes encoding
APOB, PCSK9, and ANGPTL3 were sequenced in these subjects to address whether
monogenic dominant loss-of-function mutations underlie this paradoxical
phenotype. APOB mutations, resulting in truncated APOB, were found in five (6.7%)
probands, reducing LDL-C by 56%. Rare variants in PCSK9, and ANGPTL3 completely
correcting the hypercholesterolemic phenotype were not found. The common variants
p.N902N, c.3842+82T>A, p.D2312D, and p.E4181K in APOB, and c.1863+94A>G in PCSK9
were significantly more prevalent in our cohort compared to the general European
population. Interestingly, 40% of our probands carried at least one minor allele
for all four common APOB variants compared to 1.5% in the general European
population. While we found a low prevalence of rare variants in our cohort, our
data suggest that regions in proximity of the analyzed loci, and linked to
specific common haplotypes, might harbor additional variants that correct an ADH
phenotype.
PMID- 22095936
TI - Convex polyhedral Au@Pd core-shell nanocrystals with high-index facets.
PMID- 22095937
TI - A review of the efficacy and safety of banaba (Lagerstroemia speciosa L.) and
corosolic acid.
AB - Banaba (Lagerstroemia speciosa L.) extracts have been used for many years in folk
medicine to treat diabetes, with the first published research study being
reported in 1940. This review summarizes the current literature regarding banaba
and its constituents. The hypoglycemic effects of banaba have been attributed to
both corosolic acid as well as ellagitannins. Studies have been conducted in
various animal models, human subjects and in vitro systems using water soluble
banaba leaf extracts, corosolic acid-standardized extracts, and purified
corosolic acid and ellagitannins. Pure corosolic acid has been reported to
decrease blood sugar levels within 60 min in human subjects. Corosolic acid also
exhibits antihyperlipidemic, antioxidant, antiinflammatory, antifungal,
antiviral, antineoplastic and osteoblastic activities. The beneficial effects of
banaba and corosolic acid with respect to various aspects of glucose and lipid
metabolism appear to involve multiple mechanisms, including enhanced cellular
uptake of glucose, impaired hydrolysis of sucrose and starches, decreased
gluconeogenesis and the regulation of lipid metabolism. These effects may be
mediated by PPAR, MAP K, NF-kappaB and other signal transduction factors. No
adverse effects have been observed or reported in animal studies or controlled
human clinical trials. Banaba extract, corosolic acid and other constituents may
be beneficial in addressing the symptoms associated with metabolic syndrome, as
well as offering other health benefits.
PMID- 22095938
TI - Histology-based morphology of the neurocentral synchondrosis in Alligator
mississippiensis (Archosauria, Crocodylia).
AB - Morphology of the neurocentral synchondroses--thin cartilaginous layers between
centra and neural arches--are documented in the extant crocodilian, Alligator
mississippiensis (Archosauria, Crocodylia). Examination of dry skeletons
demonstrates that neurocentral suture closure occurs in very late postnatal
ontogeny (after reaching sexual maturity and/or body size ca. 40% from the upper
range). Before sexual maturity (body length (BL) >= ca. 1.80 m), completely fused
centra and neural arches are restricted to the caudal vertebral series. In
contrast, the presacral vertebrae often remain unfused throughout postnatal
ontogeny, retaining open sutures in very mature individuals (BL >= 2.80 m). These
unfused centra and neural arches are structurally supported by the relatively
large surface area of the neurocentral junctions, which results from primarily
horizontal (mediolateral) increases with strong positive allometry. Cleared and
stained specimens show that the cartilaginous neurocentral synchondrosis starts
to form after approximately 40 embryonic days. Histological examination of the
neurocentral junction in dorsal and anterior caudal vertebrae of six individuals
(BL = 0.28-3.12 m) shows : (1) neurocentral fusion is the result of endochondral
ossification of the neurocentral synchondrosis, (2) the neurocentral
synchondrosis exhibits bipolar organization of three types of cartilaginous
cells, and (3) complex neurocentral sutures (i.e., curved, zigzagged, and/or
interdigitated boundaries) come from clumping of bone cells of the neural arches
and centra into the neurocentral synchondrosis. The last two morphological
features can be advantageous for delaying neurocentral fusion, which seems to be
unique in crocodilians and possibly their close relatives, including nonavian
dinosaurs and other Mesozoic archosaurs.
PMID- 22095939
TI - Electrical probing of submicroliter liquid using graphene strip transistors built
on a nanopipette.
AB - Graphene sheets made by chemical vapor deposition are transferred onto a glass
nanopipette to form graphene strips. Two strips are connected at the nanopipette
tip end to form a transistor channel. This graphene-based transistor can be
operated in a liquid-gating condition, thereby allowing the electrical detection
of the pH value of a droplet with submicroliter volume.
PMID- 22095940
TI - Organic mixed-valence compounds: a playground for electrons and holes.
AB - Mixed-valence (MV) compounds are excellent model systems for the investigation of
basic electron-transfer (ET) or charge-transfer (CT) phenomena. These issues are
important in complex biophysical processes such as photosynthesis as well as in
artificial electronic devices that are based on organic conjugated materials.
Organic MV compounds are effective hole-transporting materials in organic light
emitting diodes (OLEDs), solar cells, and photochromic windows. However, the
importance of organic mixed-valence chemistry should not be seen in terms of the
direct applicability of these species but the wealth of knowledge about ET
phenomena that has been gained through their study. The great variety of organic
redox centers and spacer moieties that may be combined in MV systems as well as
the ongoing refinement of ET theories and methods of investigation prompted
enormous interest in organic MV compounds in the last decades and show the huge
potential of this class of compounds. The goal of this Review is to give an
overview of the last decade in organic mixed valence chemistry and to elucidate
its impact on modern functional materials chemistry.
PMID- 22095941
TI - Impact of parainfluenza virus infection in pediatric cancer patients.
AB - BACKGROUND: Respiratory virus (RV) infection can cause significant morbidity and
mortality in pediatric cancer patients. Parainfluenza virus (PIV) is a common
pathogen in childhood among the respiratory viruses. The objective of this study
is to evaluate the impact of parainfluenza virus infection in pediatric cancer
patients. PROCEDURE: A retrospective review of medical records of 1,554 children
diagnosed with cancer from January 2000 through July 2008 was analyzed at Samsung
Medical Center. RESULTS: A total of 6.4% (137/1,554) had respiratory virus
infection and 54% (74/137) of patients with RV infection had PIV infection. PIV
type 3 was the predominant subtype. Among patients with PIV infection, 59
children (79.7%) had upper respiratory tract infection (URI) whereas 15 children
(20.3%) had lower respiratory tract infection (LRI) at initial presentation.
Among patients with URI, 12 (20.3%) progressed to pneumonia with the median
interval of 4 days from URI to LRI. Mortality associated with PIV infection was
18.5% (5/27) in patients with LRI. Among patients with PIV infection, 80% (59/74)
had nosocomial infection, which shows the difficulty and importance of infection
control at pediatric cancer ward. CONCLUSIONS: PIV infection was most commonly
diagnosed among pediatric cancer patients with RV infection and PIV infection led
to significant pulmonary complications and direct mortality in immunocompromised
children. Since there are no effective antiviral agents for PIV infection,
precautionary infection control and early diagnosis are the only methods
available to prevent the infection spread.
PMID- 22095942
TI - Spectrum of mutations in the renin-angiotensin system genes in autosomal
recessive renal tubular dysgenesis.
AB - Autosomal recessive renal tubular dysgenesis (RTD) is a severe disorder of renal
tubular development characterized by early onset and persistent fetal anuria
leading to oligohydramnios and the Potter sequence, associated with skull
ossification defects. Early death occurs in most cases from anuria, pulmonary
hypoplasia, and refractory arterial hypotension. The disease is linked to
mutations in the genes encoding several components of the renin-angiotensin
system (RAS): AGT (angiotensinogen), REN (renin), ACE (angiotensin-converting
enzyme), and AGTR1 (angiotensin II receptor type 1). Here, we review the series
of 54 distinct mutations identified in 48 unrelated families. Most of them are
novel and ACE mutations are the most frequent, observed in two-thirds of families
(64.6%). The severity of the clinical course was similar whatever the mutated
gene, which underlines the importance of a functional RAS in the maintenance of
blood pressure and renal blood flow during the life of a human fetus. Renal
hypoperfusion, whether genetic or secondary to a variety of diseases, precludes
the normal development/ differentiation of proximal tubules. The identification
of the disease on the basis of precise clinical and histological analyses and the
characterization of the genetic defects allow genetic counseling and early
prenatal diagnosis.
PMID- 22095943
TI - Urinary FSP1 is a biomarker of crescentic GN.
AB - Fibroblast-specific protein 1 (FSP1)-expressing cells accumulate in damaged
kidneys, but whether urinary FSP1 could serve as a biomarker of active renal
injury is unknown. We measured urinary FSP1 in 147 patients with various types of
glomerular disease using ELISA. Patients with crescentic GN, with or without
antinuclear cytoplasmic antibody-associated GN, exhibited elevated levels of
urinary FSP1. This assay had a sensitivity of 91.7% and a specificity of 90.2%
for crescentic GN in this sample of patients. Moreover, we found that urinary
FSP1 became undetectable after successful treatment, suggesting the possible use
of FSP1 levels to monitor disease activity over time. Urinary FSP1 levels
correlated positively with the number of FSP1-positive glomerular cells,
predominantly podocytes and cellular crescents, the likely source of urinary
FSP1. Even in patients without crescent formation, patients with high levels of
urinary FSP1 had large numbers of FSP1-positive podocytes. Taken together, these
data suggest the potential use of urinary FSP1 to screen for active and ongoing
glomerular damage, such as the formation of cellular crescents.
PMID- 22095944
TI - Suppression of microRNA-29 expression by TGF-beta1 promotes collagen expression
and renal fibrosis.
AB - Synthesis and deposition of extracellular matrix (ECM) within the glomerulus and
interstitium characterizes renal fibrosis, but the mechanisms underlying this
process are incompletely understood. The profibrotic cytokine TGF-beta1 modulates
the expression of certain microRNAs (miRNAs), suggesting that miRNAs may have a
role in the pathogenesis of renal fibrosis. Here, we exposed proximal tubular
cells, primary mesangial cells, and podocytes to TGF-beta1 to examine its effect
on miRNAs and subsequent collagen synthesis. TGF-beta1 reduced expression of the
miR-29a/b/c/family, which targets collagen gene expression, and increased
expression of ECM proteins. In both resting and TGF-beta1-treated cells, ectopic
expression of miR-29 repressed the expression of collagens I and IV at both the
mRNA and protein levels by targeting the 3'untranslated region of these genes.
Furthermore, we observed low levels of miR-29 in three models of renal fibrosis
representing early and advanced stages of disease. Administration of the Rho
associated kinase inhibitor fasudil prevented renal fibrosis and restored
expression of miR-29. Taken together, these data suggest that TGF-beta1 inhibits
expression of the miR-29 family, thereby promoting expression of ECM components.
Pharmacologic modulation of these miRNAs may have therapeutic potential for
progressive renal fibrosis.
PMID- 22095945
TI - Tubular lesions predict renal outcome in antineutrophil cytoplasmic antibody
associated glomerulonephritis after rituximab therapy.
AB - Histopathological features in renal biopsies of patients with antineutrophil
cytoplasmic antibody-associated vasculitis have predictive value for renal
outcome in patients who receive standard treatment with cyclophosphamide and
corticosteroids; however, whether the same holds true for rituximab-treated
patients is unknown. We describe associations between renal histopathology and
outcomes among patients treated with a rituximab-based regimen in the Randomized
Trial of Rituximab versus Cyclophosphamide in ANCA-Associated Vasculitis trial.
Two pathologists, blinded to clinical data, reviewed biopsies from 30 patients
according to a standardized protocol that included assessment of T cell, B cell,
and plasma cell infiltration, as well as scoring for tubulitis, interstitial
inflammation, and glomerulitis. We did not observe associations between
immunohistology scores and age, sex, estimated GFR at entry, or requirement for
dialysis. However, tubulointerstitial inflammation was more severe among patients
who had a positive test for the myeloperoxidase antineutrophil cytoplasmic
antibody. In a multiple linear regression model, both CD3(+) T cell tubulitis and
tubular atrophy independently associated with estimated GFR at 12 months. Tubular
atrophy remained an independent predictor at 24 months (P<0.01). These results
suggest that in addition to anti-B cell therapy, therapy directed at T cells may
improve renal outcomes in antineutrophil cytoplasmic antibody-associated
vasculitis.
PMID- 22095946
TI - Mannose receptor 2 attenuates renal fibrosis.
AB - Mannose receptor 2 (Mrc2) expresses an extracellular fibronectin type II domain
that binds to and internalizes collagen, suggesting that it may play a role in
modulating renal fibrosis. Here, we found that Mrc2 levels were very low in
normal kidneys but subsets of interstitial myofibroblasts and macrophages
upregulated Mrc2 after unilateral ureteral obstruction (UUO). Renal fibrosis and
renal parenchymal damage were significantly worse in Mrc2-deficient mice.
Similarly, Mrc2-deficient Col4alpha3(-/-) mice with hereditary nephritis had
significantly higher levels of total kidney collagen, serum BUN, and urinary
protein than Mrc2-sufficient Col4alpha3(-/-) mice. The more severe phenotype
seemed to be the result of reduced collagen turnover, because procollagen III
(alpha1) mRNA levels and fractional collagen synthesis in the wild-type and Mrc2
deficient kidneys were similar after UUO. Although Mrc2 associates with the
urokinase receptor, differences in renal urokinase activity did not account for
the increased fibrosis in the Mrc2-deficient mice. Treating wild-type mice with a
cathepsin inhibitor, which blocks proteases implicated in Mrc2-mediated collagen
degradation, worsened UUO-induced renal fibrosis. Cathepsin mRNA profiles were
similar in Mrc2-positive fibroblasts and macrophages, and Mrc2 genotype did not
alter relative cathepsin mRNA levels. Taken together, these data establish an
important fibrosis-attenuating role for Mrc2-expressing renal interstitial cells
and suggest the involvement of a lysosomal collagen turnover pathway.
PMID- 22095947
TI - Matrix metalloproteinase-7 as a surrogate marker predicts renal Wnt/beta-catenin
activity in CKD.
AB - A variety of chronic kidney diseases exhibit reactivation of Wnt/beta-catenin
signaling. In some tissues, beta-catenin transcriptionally regulates matrix
metalloproteinase-7 (MMP-7), but the association between MMP-7 and Wnt/beta
catenin signaling in chronic kidney disease is unknown. Here, in mouse models of
both obstructive nephropathy and focal segmental glomerulosclerosis (adriamycin
nephropathy), we observed upregulation of MMP-7 mRNA and protein in a time
dependent manner. The pattern and extent of MMP-7 induction were positively
associated with Wnt/beta-catenin signaling in these models. Activation of beta
catenin through ectopic expression of Wnt1 promoted MMP-7 expression in vivo,
whereas delivery of the gene encoding the endogenous Wnt antagonist Dickkopf-1
abolished its induction. Levels of MMP-7 protein detected in the urine correlated
with renal Wnt/beta-catenin activity. Pharmacologic blockade of Wnt/beta-catenin
signaling by paricalcitol inhibited MMP-7 expression in diseased kidneys and
reduced the levels detected in the urine. In vitro, beta-catenin activation
induced the expression and secretion of MMP-7 and promoted the binding of T cell
factor to the MMP-7 promoter in kidney epithelial cells. We also observed higher
levels of MMP-7 expression, which correlated with beta-catenin, in kidney tissue
from patients with various nephropathies. In summary, levels of renal MMP-7
correlate with Wnt/beta-catenin activity, and urinary MMP-7 may be a noninvasive
biomarker of this profibrotic signaling in the kidney.
PMID- 22095948
TI - Test characteristics of urinary biomarkers depend on quantitation method in acute
kidney injury.
AB - The concentration of urine influences the concentration of urinary biomarkers of
AKI. Whether normalization to urinary creatinine concentration, as commonly
performed to quantitate albuminuria, is the best method to account for variations
in urinary biomarker concentration among patients in the intensive care unit is
unknown. Here, we compared the diagnostic and prognostic performance of three
methods of biomarker quantitation: absolute concentration, biomarker normalized
to urinary creatinine concentration, and biomarker excretion rate. We measured
urinary concentrations of alkaline phosphatase, gamma-glutamyl transpeptidase,
cystatin C, neutrophil gelatinase-associated lipocalin, kidney injury molecule-1,
and IL-18 in 528 patients on admission and after 12 and 24 hours. Absolute
concentration best diagnosed AKI on admission, but normalized concentrations best
predicted death, dialysis, or subsequent development of AKI. Excretion rate on
admission did not diagnose or predict outcomes better than either absolute or
normalized concentration. Estimated 24-hour biomarker excretion associated with
AKI severity, and for neutrophil gelatinase-associated lipocalin and cystatin C,
with poorer survival. In summary, normalization to urinary creatinine
concentration improves the prediction of incipient AKI and outcome but provides
no advantage in diagnosing established AKI. The ideal method for quantitating
biomarkers of urinary AKI depends on the outcome of interest.
PMID- 22095949
TI - EGFR signaling promotes TGFbeta-dependent renal fibrosis.
AB - The mechanisms by which angiotensin II (Ang II) promotes renal fibrosis remain
incompletely understood. Ang II both stimulates TGFbeta signaling and activates
the EGF receptor (EGFR), but the relative contribution of these pathways to renal
fibrogenesis is unknown. Using a murine model with EGFR-deficient proximal
tubules, we demonstrate that upstream activation of EGFR-dependent ERK signaling
is critical for mediating sustained TGFbeta expression in renal fibrosis.
Persistent activation of the Ang II receptor stimulated ROS-dependent
phosphorylation of Src, leading to sustained EGFR-dependent signaling for TGFbeta
expression. Either genetic or pharmacologic inhibition of EGFR significantly
decreased TGFbeta-mediated fibrogenesis. We conclude that TGFbeta-mediated tissue
fibrosis relies on a persistent feed-forward mechanism of EGFR/ERK activation
through an unexpected signaling pathway, highlighting EGFR as a potential
therapeutic target for modulating tissue fibrogenesis.
PMID- 22095951
TI - Embryonic liver morphology and morphometry by magnetic resonance microscopic
imaging.
AB - Embryonic liver has a unique external morphology and quantitative morphometry,
based on magnetic resonance imaging data of human embryos from the Kyoto
Collection of Human Embryos. Liver morphogenesis is strongly affected by the
adjacent organs and tissues. The left ventricle develops to the left medial
caudal side, which results in the formation of a depression at left medial region
and a prominence bilaterally at the cranial surface of the liver between Carnegie
Stage (CS)17 and CS19. An imprint of the stomach that formed at the dorsal left
medial region of the liver became more marked with development until CS23. A
depression induced by the umbilicus formed at the ventral region of the liver
between CS16 and CS19. An indentation caused by the right adrenal gland formed at
the dorsal-caudal region of the liver surface from CS20. Morphometric analysis
revealed that the volume of the liver increased exponentially from CS14 through
CS23. The liver developed preferentially along the dorsoventral axis and
right/left axis until CS17, along the craniocaudal axis between CS17 and CS19,
and then in all directions after CS19. Several important developmental phenomena,
such as differentiation of the diaphragm, the extension of the body axis of the
embryo, and the physiologic herniation of the intestine into the umbilical cord,
may affect morphometric data. These data contribute to a better understanding of
liver development as well as the morphogenesis of adjacent organs, both
temporally and spatially, and serve as a useful reference for fetal medicine and
prenatal diagnosis.
PMID- 22095950
TI - Inhibition of sphingosine 1-phosphate receptor 2 protects against renal ischemia
reperfusion injury.
AB - Activation of the sphingosine 1-phosphate receptor 1 (S1P(1)R) protects against
renal ischemia-reperfusion (IR) injury and inflammation, but the role of other
members of this receptor family in modulating renal IR injury is unknown. We
found that a selective S1P(2)R antagonist protected against renal IR injury in a
dose-dependent manner. Consistent with this observation, both S1P(2)R-deficient
mice and wild-type mice treated with S1P(2)R small interfering RNA had reduced
renal injury after IR. In contrast, a selective S1P(2)R agonist exacerbated renal
IR injury. The S1P(2)R antagonist increased sphingosine kinase-1 (SK1) expression
via Rho kinase signaling in renal proximal tubules; the S1P(2)R agonist decreased
SK1. S1P(2)R antagonism failed to protect the kidneys of SK1-deficient mice or
wild-type mice pretreated with an SK1 inhibitor or an S1P(1)R antagonist,
suggesting that the renoprotection conferred by S1P(2)R antagonism results from
pathways involving activation of S1P(1)R by SK1. In cultured human proximal
tubule (HK-2) cells, the S1P(2)R antagonist selectively upregulated SK1 and
attenuated both H(2)O(2)-induced necrosis and TNF-alpha/cycloheximide-induced
apoptosis; the S1P(2)R agonist had the opposite effects. In addition, increased
nuclear hypoxia inducible factor-1alpha was critical in mediating the
renoprotective effects of S1P(2)R inhibition. Finally, induction of SK1 and
S1P(2)R in response to renal IR and S1P(2)R antagonism occurred selectively in
renal proximal tubule cells but not in renal endothelial cells. Taken together,
these data suggest that S1P(2)R may be a therapeutic target to attenuate the
effects of renal IR injury.
PMID- 22095952
TI - The otolaryngologist's cost in treating facial trauma: American Academy of
Otolaryngology--Head and Neck Surgery survey.
AB - OBJECTIVES: (1) To define practice patterns and perceptions of junior
otolaryngologists treating maxillofacial/neck trauma. (2) To identify manners in
which the American Academy of Otolaryngology-Head and Neck Surgery (AAO-HNS) can
meet future trauma needs. STUDY DESIGN: Cross-sectional survey. SETTING: Academic
and private otolaryngology practices. METHODS: A 26-question survey was designed
to identify demographics, practice patterns, perceptions, and areas for
improvement in maxillofacial/neck trauma care. It was distributed anonymously to
AAO-HNS members completing residency from 2005 to 2009. Analysis included
descriptive statistics and chi(2) comparisons. RESULTS: Of 1343
otolaryngologists, 444 (33%) responded. A total of 85% of responding physicians
treat maxillofacial/neck trauma, and 64% identify trauma as an ideal part of
their practice. Sense of duty (54%), institutional requirements (33%), and
enjoyment (32%) are the most common reasons for treating trauma. Major deterrents
include patient noncompliance (60%) and lifestyle limitations (47%). Five
respondents (3.1%) have been involved in a trauma-related lawsuit. While
insufficient reimbursement is a major deterrent to treating trauma (52%), only
36% would increase their volume if reimbursement improved. Increased educational
opportunities represent the most common request to the AAO-HNS (59%), followed by
AAO-HNS focus on improved reimbursement and tort reform (28%). CONCLUSION: Most
junior otolaryngologists treat maxillofacial/neck trauma on a monthly basis. A
total of 64% identify trauma as a component of their ideal practice. They report
being well to very well trained in all facets of trauma, with the exception of
vascular and laryngotracheal injuries; but they desire additional education, such
as courses and panels. Universal concerns include inadequate reimbursement,
limited pool of treating physicians, and lack of practice guidelines.
PMID- 22095953
TI - Myxoid neurothekeoma of the caudal nasal septum and tip.
PMID- 22095954
TI - Polysomnography before tonsillectomy in children: who and when?
AB - The diagnosis of sleep-disordered breathing in children has centered around
polysomnography (PSG). While PSG is considered the gold standard for diagnosis of
obstructive sleep apnea in children, the need for PSG before adenotonsillectomy
is widely debated. An evidence-based clinical practice guideline on the use of
PSG in children before tonsillectomy has recently been published. The
recommendations contained in this guideline are discussed, emphasizing the
appropriate indications for PSG as well as the limitations of existing evidence
for the use of PSG and diagnosis of sleep-disordered breathing in children.
PMID- 22095955
TI - Aspirin analogues as dual cyclooxygenase-2/5-lipoxygenase inhibitors: synthesis,
nitric oxide release, molecular modeling, and biological evaluation as anti
inflammatory agents.
AB - Analogues of aspirin were synthesized through an efficient one-step reaction in
which the carboxyl group was replaced by an ethyl ester, and/or the acetoxy group
was replaced by an N-substituted sulfonamide (SO(2)NHOR(2):R(2) =H, Me, CH(2)Ph)
pharmacophore. These analogues were designed for evaluation as dual
cyclooxygenase-2 (COX-2) and 5-lipoxygenase (5-LOX) inhibitors. In vitro COX
1/COX-2 isozyme inhibition studies identified compounds 11 (CO(2) H, SO(2)NHOH),
12 (CO(2)H, SO(2)NHOCH(2)Ph), and 16 (CO(2)Et, SO(2)NHOH) as highly potent and
selective COX-2 inhibitors (IC(50) range: 0.07-0.7 MUM), which exhibited
appreciable in vivo anti-inflammatory activity (ED(50) range: 23.1-31.4 mg kg(
1)). Moreover, compounds 11 (IC(50) =0.2 MUM) and 16 (IC(50) =0.3 MUM), with a
sulfohydroxamic acid (SO(2)NHOH) moiety showed potent 5-LOX inhibitory activity.
Furthermore, the SO(2)NHOH moiety present in compounds 11 and 16 was found to be
a good nitric oxide (NO) donor upon incubation in phosphate buffer at pH 7.4.
Molecular docking studies in the active binding site of COX-2 and 5-LOX provided
complementary theoretical support for the experimental biological structure
activity data acquired.
PMID- 22095956
TI - Remarkable stability and cytostatic effect of a quercetin conjugate, 3,7-bis-O
pivaloxymethyl (POM) quercetin.
PMID- 22095957
TI - Effect of the WISH-type hip brace on functional mobility in patients with
osteoarthritis of the hip: evaluation using the Timed Up & Go Test.
AB - BACKGROUND: The WISH-type S-form brace, is considered to improve hip function and
gait in patients presenting with painful hip osteoarthritis (OA). OBJECTIVES: To
evaluate the effects of the brace on functional mobility. STUDY DESIGN: Cross
sectional survey. METHODS: The Timed Up & Go Test (TUG) was performed with right
and left turns separately in each subject. RESULTS: In the patients with the
bilateral hip brace, the average time to complete the TUG (8.3 +/- 1.7 seconds)
was significantly shorter than in those without the brace (9.4 +/- 2.9 seconds).
On the other hand, for the patients with unilateral hip OA, the improvement of
TUG with the hip brace was significant in turning the unbraced leg inside (7.4 +/
1.1 vs. 7.6 +/- 1.2 seconds), while not turning the braced leg inside (7.5 +/-
1.1 vs. 7.6 +/- 1.2 seconds). Furthermore, significant improvement of TUG was
found at three-month follow-up and maintained until the 12-month follow-up
assessment. This improvement was independent of the application of the brace at
the assessment. CONCLUSIONS: The direct effect of the hip brace may be related to
the hip function in the turning phase of TUG. In addition, mechanical
improvements due to daily exercise may provide an indirect, but essential, effect
of the brace on TUG performance.
PMID- 22095958
TI - PET imaging of glutaminolysis in tumors by 18F-(2S,4R)4-fluoroglutamine.
AB - Changes in gene expression, metabolism, and energy requirements are hallmarks of
cancer growth and self-sufficiency. Upregulation of the PI3K/Akt/mTor pathway in
tumor cells has been shown to stimulate aerobic glycolysis, which has enabled
(18)F-FDG PET tumor imaging. However, of the millions of (18)F-FDG PET scans
conducted per year, a significant number of malignant tumors are (18)F-FDG PET
negative. Recent studies suggest that several tumors may use glutamine as the key
nutrient for survival. As an alternative metabolic tracer for tumors, (18)F
(2S,4R)4-fluoroglutamine was developed as a PET tracer for mapping glutaminolytic
tumors. METHODS: A series of in vitro cell uptake and in vivo animal studies were
performed to demonstrate tumor cell addiction to glutamine. Cell uptake studies
of this tracer were performed in SF188 and 9L glioblastoma tumor cells. Dynamic
small-animal PET studies of (18)F-(2S,4R)4-fluoroglutamine were conducted in 2
animal models: xenografts produced in F344 rats by subcutaneous injection of 9L
tumor cells and transgenic mice with M/tomND spontaneous mammary gland tumors.
RESULTS: In vitro studies showed that both transformed 9L and SF188 tumor cells
displayed a high rate of glutamine uptake (maximum uptake, ~ 16% dose/100 MUg of
protein). The cell uptake of (18)F-(2S,4R)4-fluoroglutamine by SF188 cells is
comparable to that of (3)H-L-glutamine but higher than that of (18)F-FDG. The
tumor cell uptake can be selectively blocked. Biodistribution and PET studies
showed that (18)F-(2S,4R)4-fluoroglutamine localized in tumors with a higher
uptake than in surrounding muscle and liver tissues. Data suggest that certain
tumor cells may use glutamine for energy production. CONCLUSION: The results
support that (18)F-(2S,4R)4-fluoroglutamine is selectively taken up and trapped
by tumor cells. It may be useful as a novel metabolic tracer for tumor imaging.
PMID- 22095959
TI - Synthesis of self-threading bithiophenes and their structure-property
relationships regarding cyclic side-chains with atomic precision.
AB - We have recently reported a self-threading polythiophene as a new family of
insulated molecular wires. Herein, we focused on the structure-property
relationships of the unique three-dimensional architecture of the monomer. We
have synthesized nine self-threading bithiophene monomers that have cyclic side
chains of different size and flexibility: i.e., 21-, 22-, 23-, 24-, 26-, and 30
membered rings composed of paraffinic, olefinic, or alkynic chains. To
investigate their structure-property relationships, (1) H NMR spectroscopy, UV
absorption, and fluorescence spectroscopy measurements were conducted. We found
that cyclic side-chains define the movable range of the dihedral angle of the
bithiophene backbone, thereby affecting its photophysical properties. Therefore,
the ability to design a structure with atomic precision as described herein would
lead to the fine-tuning of the electronic properties of insulated molecular
wires.
PMID- 22095960
TI - Is the Wingspan stent more dangerous than natural history in intracranial
stenosis?
PMID- 22095962
TI - Significant volume reduction and shape abnormalities of the basal ganglia in
cases of chronic liver cirrhosis.
AB - BACKGROUND AND PURPOSE: Chronic liver disease frequently includes cognitive and
movement disorders, suggesting an alteration of the striatum. With the exception
of hyperintensities evident on T1-weighted images indicative of Mn deposition,
radiographic findings of the BG are nonspecific. Volumetric and morphometric
analysis of DGM is limited. Whether DGM undergoes degeneration and whether this
change is associated with pallidal hyperintensity and cognitive performance are
currently unknown in patients with cirrhosis. MATERIALS AND METHODS: The DGM
volumes of 28 patients with chronic cirrhosis and 28 control patients were
compared. Using 3D high-resolution MR images, the volume and shape of each
structure were automatically analyzed by the FSL. Correlations between the DGM
volume and other clinical variables, including the pallidal signal intensity,
were assessed by multiple regression analysis. RESULTS: Patients with Child B and
Child C liver disease had significantly smaller bilateral putaminal volumes than
control patients, and patients with Child C also demonstrated smaller left
caudate nucleus and left amygdala volumes than control patients. Pallidal
hyperintensity correlated with smaller striatum volume, which was linearly
related to worse cognitive performance. The nonuniform distributed shape
abnormalities in the striatum further support the ascending spiral
interconnecting theory of the striatum. CONCLUSIONS: These findings strongly
suggest lower DGM volume develops according to the severity of the liver
cirrhosis. The Mn deposition might contribute the striatum deficit. These
findings support the value of additional psychomotor research associated with
liver cirrhosis.
PMID- 22095961
TI - Correlations between perfusion MR imaging cerebral blood volume, microvessel
quantification, and clinical outcome using stereotactic analysis in recurrent
high-grade glioma.
AB - BACKGROUND AND PURPOSE: Quantifying MVA rather than MVD provides better
correlation with survival in HGG. This is attributed to a specific "glomeruloid"
vascular pattern, which is better characterized by vessel area than number.
Despite its prognostic value, MVA quantification is laborious and clinically
impractical. The DSC-MR imaging measure of rCBV offers the advantages of speed
and convenience to overcome these limitations; however, clinical use of this
technique depends on establishing accurate correlations between rCBV, MVA, and
MVD, particularly in the setting of heterogeneous vascular size inherent to human
HGG. MATERIALS AND METHODS: We obtained preoperative 3T DSC-MR imaging in
patients with HGG before stereotactic surgery. We histologically quantified MVA,
MVD, and vascular size heterogeneity from CD34-stained 10-MUm sections of
stereotactic biopsies, and we coregistered biopsy locations with localized rCBV
measurements. We statistically correlated rCBV, MVA, and MVD under conditions of
high and low vascular-size heterogeneity and among tumor grades. We correlated
all parameters with OS by using Cox regression. RESULTS: We analyzed 38 biopsies
from 24 subjects. rCBV correlated strongly with MVA (r = 0.83, P < .0001) but
weakly with MVD (r = 0.32, P = .05), due to microvessel size heterogeneity. Among
samples with more homogeneous vessel size, rCBV correlation with MVD improved (r
= 0.56, P = .01). OS correlated with both rCBV (P = .02) and MVA (P = .01) but
not with MVD (P = .17). CONCLUSIONS: rCBV provides a reliable estimation of tumor
MVA as a biomarker of glioma outcome. rCBV poorly estimates MVD in the presence
of vessel size heterogeneity inherent to human HGG.
PMID- 22095963
TI - Comparison of brain MR images at 1.5T using BLADE and rectilinear techniques for
patients who move during data acquisition.
AB - BACKGROUND AND PURPOSE: MR imaging of moving patients can be challenging and
motion correction techniques have been proposed though some have associated new
artifacts. The objective of this study was to semiquantitatively compare brain MR
images of moving patients obtained at 1.5T by using partially radial and
rectilinear acquisition techniques. MATERIALS AND METHODS: FLAIR, T2-, T1-, and
contrast-enhanced T1-weighted image sets of 25 patients (14-94 years) obtained by
using BLADE (like PROPELLER, a partially radial acquisition) and rectilinear
techniques in the same imaging session were compared by 2 neuroradiologists in
terms of extent of the motion artifact, image quality, and lesion visibility. ICC
between opinions of the evaluators was calculated. RESULTS: Of the total of 70
image sets, the motion artifact was small in the partially radial images in 43
and in the rectilinear images in 13, and the opinions of the evaluators were
discordant in the remaining 14 sets (ICC = 0.63, P < .05). The quality of
partially radial images was higher for 36 sets versus 9 rectilinear sets, with
disagreement between the 2 evaluators in the remaining 25 (ICC = 0.15, P < .05).
Pathologic lesions were better characterized on 37 sets of partially radial
images versus 13 sets of rectilinear images, and opinions of the evaluators
differed in 20 sets (ICC = 0.90, P < .05). The neuroradiologists deemed 4 sets of
rectilinear images nondiagnostic compared with only 1 set of radial images.
CONCLUSIONS: The data demonstrate that our application of BLADE sequences reduces
the extent of motion artifacts in brain images of moving patients, improving
image quality and lesion characterization.
PMID- 22095964
TI - The use of in utero MR imaging to delineate developmental brain abnormalities in
multifetal pregnancies.
AB - BACKGROUND AND PURPOSE: iuMR has been shown to increase the detection rate of
developmental abnormalities of the CNS, though most reports are limited to
singleton pregnancies. The hypothesis tested in this study was that iuMR
performed in multifetal pregnancies will show additional information about fetal
CNS abnormalities in a similar proportion of cases when compared with singleton
pregnancies. MATERIALS AND METHODS: Fifty women with multifetal pregnancies were
recruited consecutively carrying at least 1 fetus with a suspected developmental
fetal CNS abnormality on sonography. All had iuMR at the same center by using the
same MR imaging protocol. When the sonography and MR imaging reports were
discrepant, 1 fetomaternal expert assessed the reports independently to predict
in what percentage a change in prognosis/counseling would have occurred if iuMR
was included in the diagnostic pathway. RESULTS: There was agreement between the
sonography and iuMR reports in 66% and disagreement in 34% of cases. The major
cause for discrepancy was the presence or absence of the corpus callosum, which
accounted for 10/17 of the disagreements. In 12/17 of the discrepant cases, the
effect on management was judged to be significant. CONCLUSIONS: We conclude that
iuMR has a similar rate of discrepancy to sonography in multifetal pregnancies
compared with the published data concerning singleton pregnancies. Our analysis
of the effect on management shows that changes in the decision to consider
termination of pregnancy would have occurred in 12/17 of the discrepant cases
(ie, in 24% of our cases overall).
PMID- 22095965
TI - The new standard for performance of intracranial angioplasty and stent placement
after Stenting versus Aggressive Medical Therapy for Intracranial Arterial
Stenosis (SAMMPRIS) Trial.
PMID- 22095966
TI - Physician Quality Reporting System.
AB - A brief review of the Physician Quality Reporting System (PQRS) is presented
highlighting the program's legislative history, eligibility requirements and
incentive payment plan. Specifically, PQRS measures applicable to neuroradiology
practice are discussed. Several steps are suggested for individual physicians or
group practices to start participation in the program. Resources are also
provided for further information on the program requirements and PQRS measures.
PMID- 22095967
TI - The predictive value of 3D time-of-flight MR angiography in assessment of brain
arteriovenous malformation obliteration after radiosurgery.
AB - BACKGROUND AND PURPOSE: The purpose of radiosurgery of bAVMs is complete
angiographic obliteration of its nidus. We assessed the diagnostic accuracy of
1.5T T2-weighted MR imaging and TOF-MRA images for detecting nidus obliteration
after radiosurgery. MATERIALS AND METHODS: The pre- and postradiosurgery MR
images and DSA images from 120 patients who were radiosurgically treated for a
bAVM were re-evaluated by 2 observers for patency of the nidus (preradiosurgery)
and obliteration (postradiosurgery: final follow-up MR imaging), by using a 3
point scale of confidence. Consensus reading of the DSA after radiosurgery was
considered the criterion standard for obliteration. Sensitivity, specificity,
PPVs, and NPVs, and overall diagnostic performance by using ROC were determined.
RESULTS: Mean bAVM volume during radiosurgery was 3.4 mL (95% CI, 2.6-4.3 mL).
Sixty-six patients (55%) had undergone previous endovascular embolization. The
mean intervals between radiosurgery and follow-up MR imaging and for DSA,
respectively, were 35.6 months (95% CI, 32.3-38.9 months) and 42.1 months (95%
CI, 40.3-44.0 months). With ROC, an area under curve of 0.81-0.83 was found. PPVs
of final follow-up MR-imaging for definitive obliteration varied between 0.89
[corrected] and 0.95. NPV was 0.52 [corrected] . An average false-positive rate,
meaning overestimation of nidus obliteration of 0.10 [corrected] and an average
false-negative rate, meaning underestimation of nidus obliteration of 0.42
[corrected] were found. CONCLUSIONS: MRA is insufficient to diagnose obliteration
in the follow-up of bAVMs after radiosurgery. A remaining nidus diameter <10 mm
seems to be the major limiting factor for reliable assessment of obliteration. We
highly recommend follow-up DSA for definitive diagnosis of complete obliteration.
PMID- 22095968
TI - Warning: side effects may include a decrease in invasive procedures.
PMID- 22095969
TI - Reperfusion by combined thrombolysis and mechanical thrombectomy in acute stroke:
effect of collateralization, mismatch, and time to and grade of recanalization on
clinical and tissue outcome.
AB - BACKGROUND AND PURPOSE: Our research focuses on interventional neuroradiology
(stroke treatment including imaging methods) and general neuroimaging with an
emphasis on functional MR imaging. Our aim was to determine the efficacy of
revascularization (TIMI) of middle cerebral and/or carotid artery occlusion by
means of mechanical recanalization techniques and to evaluate the impact of
collateralization, mismatch in perfusion CT, time to revascularization, grade of
revascularization on tissue, and clinical outcome in patients with acute ischemic
stroke. MATERIALS AND METHODS: Thirty-one patients with MCA and/or ICA occlusion
were included. Ischemic stroke was diagnosed by NECT, CTA, and volume PCT for
grading collateralization and mismatch. Time to recanalization was measured from
the onset of stroke to the time point of DSA-proved mechanical recanalization.
Tissue outcome was calculated by segmentation of infarct size between pre- and
postinterventional CT and percentage mismatch lost. Clinical outcome was
determined by the mRS. RESULTS: Twenty-one of 31 patients (61.8%) presented with
MCA and 10/31 patients (38.2%), with distal ICA occlusions. Sufficient
recanalization (TIMI 2 and 3) was achieved in 23/31 (75%). Clinical evaluation
revealed an mRS score of <=2 in 25.5%. Age (r = 0.439, P = .038) and TIMI (r =
0.544, P = .002) showed the strongest correlation with clinical outcome. Time to
recanalization, TIMI score, and mismatch were associated with a good tissue
outcome in ANOVA. CONCLUSIONS: Favorable outcome after mechanical recanalization
of acute MCA and ICA occlusion depends on time to and grade of recanalization,
mismatch, and collateralization. These results indicate that multimodal stroke
imaging is helpful to guide therapy decisions and to indicate patients amenable
for mechanical recanalization.
PMID- 22095970
TI - Forniceal involvement in Wernicke encephalopathy.
PMID- 22095971
TI - Selective, cytotoxic organoruthenium(II) full-sandwich complexes: a structural,
computational and in vitro biological study.
AB - A structurally diverse range of lipophilic, cationic eta(6)-arene eta(5)
cyclopentadienyl (eta(5)-Cp*) full-sandwich complexes of ruthenium(II) have been
prepared and structurally characterized by Fourier-transform IR and NMR
spectroscopy, electrospray mass spectrometry, and elemental microanalyses.
Computational experiments incorporating the Hartree-Fock theory and the second
order Moller-Plesset perturbation theory predict each complex to possess a
uniform delta+ electrostatic potential, with the cationic charge of the
[RuCp*](+) moiety completely delocalizing throughout the molecular structure of
each metallocene. In vitro cytotoxicity studies demonstrate these delocalized
lipophilic cations to be potent growth inhibitors of eleven unique tumorigenic
cell lines, while exhibiting significantly lower levels of toxicity towards both
a normal human fibroblast and a mouse macrophage cell line. Single-crystal X-ray
structural determinations are additionally reported for five complexes,
[Ru(eta(6)-C(6)H(5)(CH(2))(2)CH(3))(eta(5)-C(5)(CH(3))(5))]BPh(4), [Ru(eta(6)
C(6)H(5)CO(2)CH(2)CH(3))(eta(5)-C(5)(CH(3))(5))]BF(4), [Ru(eta(6)
C(10)H(8))(eta(5)-(5) (CH(3))(5))]BPh(4), [Ru(eta(6)-C(14)H(10))(eta(5)
C(5)(CH(3))(5))]BPh(4), and [Ru(eta(6)-C(16)H(10))(eta(5)-C(5)(CH(3))(5))]BPh(4).
PMID- 22095972
TI - Delayed offset detection on figures relative to backgrounds.
AB - Recent research suggests that perceptual processing begins earlier for figures
than for background regions (B. D. Lester, L. N. Hecht, & S. P. Vecera, 2009).
This "prior entry" effect begins to account for reported figural benefits.
However, another difference in perceptual processing may also contribute to these
observed reports: Figures may also be afforded additional perceptual processing.
The current experiments examined this claim and provide evidence that targets
presented on figures are perceived as offsetting later than targets appearing on
grounds, suggesting extended processing of figures relative to background
regions.
PMID- 22095973
TI - Increased sensory evidence reverses nonconscious priming during crowding.
AB - Sensory adaptation reflects the fact that the responsiveness of a perceptual
system changes after the processing of a specific stimulus. Two manifestations of
this property have been used in order to infer the mechanisms underlying vision:
priming, in which the processing of a target is facilitated by prior exposure to
a related adaptor, and habituation, in which this processing is hurt by
overexposure to an adaptor. In the present study, we investigated the link
between priming and habituation by measuring how sensory evidence (short vs. long
adaptor exposure) and perceptual awareness (discriminable vs. undiscriminable
adaptor stimulus) affects the adaptive response on a related target. Relying on
gaze-contingent crowding, we manipulated independently adaptor discriminability
and adaptor duration and inferred sensory adaptation from reaction times on the
discrimination of a subsequent oriented target. When adaptor orientation was
undiscriminable, we found that increasing its duration reversed priming into
habituation. When adaptor orientation was discriminable, priming effects were
larger after short exposure, but increasing adaptor duration led to a decrease of
priming instead of a reverse into habituation. We discuss our results as
reflecting changes in the temporal dynamics of angular orientation processing,
depending on the mechanisms associated with perceptual awareness and attentional
amplification.
PMID- 22095974
TI - Selective attention warps spatial representation: parallel but opposing effects
on attended versus inhibited objects.
AB - Selective attention not only influences which objects in a display are perceived,
but also directly changes the character of how they are perceived--for example,
making attended objects appear larger or sharper. In studies of multiple-object
tracking and probe detection, we explored the influence of sustained selective
attention on where objects are seen to be in relation to each other in dynamic
multi-object displays. Surprisingly, we found that sustained attention can warp
the representation of space in a way that is object-specific: In immediate recall
of the positions of objects that have just disappeared, space between targets is
compressed, whereas space between distractors is expanded. These effects suggest
that sustained attention can warp spatial representation in unexpected ways.
PMID- 22095975
TI - If they were to vote, they would vote for us.
AB - In two field studies, we examined whether voters overestimate support for their
political party among nonvoters. In Study 1, voters estimated the percentage of
votes their party would receive in an upcoming election, and this percentage
increased when voters estimated the percentage of votes their party would receive
if nonvoters also were to vote. In Study 2, participants overestimated support
for their party even when we made them explicitly aware of current levels of this
support by presenting them with poll-based forecasts of election results.
Furthermore, Study 2 demonstrated that commitment to vote for a specific party
predicted the degree of overestimation. Our results imply that highly committed
voters are particularly likely to project support for their party onto nonvoters.
Implications for the literature on social projection and social identity are
discussed.
PMID- 22095976
TI - What do infants remember when they forget? Location and identity in 6-month-olds'
memory for objects.
AB - What does an infant remember about a forgotten object? Although at age 6 months,
infants can keep track of up to three hidden objects, they can remember the
featural identity of only one. When infants forget the identity of an object, do
they forget the object entirely, or do they retain an inkling of it? In a looking
time study, we familiarized 6-month-olds with a disk and a triangle placed on
opposite sides of a stage. During test trials, we hid the objects one at a time
behind different screens, and after hiding the second object, we removed the
screen where the first object had been hidden. Infants then saw the expected
object, the unexpected other object, or the empty stage. Bayes factor analysis
showed that although the infants did not notice when the object changed shape,
they were surprised when it vanished. This finding indicates that infants can
represent an object without its features.
PMID- 22095977
TI - G protein-coupled receptor kinase-5 attenuates atherosclerosis by regulating
receptor tyrosine kinases and 7-transmembrane receptors.
AB - OBJECTIVE: G protein-coupled receptor kinase-5 (GRK5) is a widely expressed
Ser/Thr kinase that regulates several atherogenic receptors and may activate or
inhibit nuclear factor-kappaB (NF-kappaB). This study sought to determine whether
and by what mechanisms GRK5 affects atherosclerosis. METHODS AND RESULTS: Grk5(-/
)/Apoe(-/-) mice developed 50% greater aortic atherosclerosis than Apoe(-/-) mice
and demonstrated greater proliferation of macrophages and smooth muscle cells
(SMCs) in atherosclerotic lesions. In Apoe(-/-) mice, carotid interposition
grafts from Grk5(-/-) mice demonstrated greater upregulation of cell adhesion
molecules than grafts from wild-type mice and, subsequently, more
atherosclerosis. By comparing Grk5(-/-) with wild-type cells, we found that GRK5
desensitized 2 key atherogenic receptor tyrosine kinases: the platelet-derived
growth factor receptor-beta in SMCs, by augmenting ubiquitination/degradation;
and the colony-stimulating factor-1 receptor (CSF-1R) in macrophages, by reducing
CSF-1-induced tyrosyl phosphorylation. GRK5 activity in monocytes also reduced
migration promoted by the 7-transmembrane receptor for monocyte chemoattractant
protein-1 CC chemokine receptor-2. Whereas GRK5 diminished NF-kappaB-dependent
gene expression in SMCs and endothelial cells, it had no effect on NF-kappaB
activity in macrophages. CONCLUSIONS: GRK5 attenuates atherosclerosis through
multiple cell type-specific mechanisms, including reduction of SMC and
endothelial cell NF-kappaB activity and desensitization of receptor-specific
signaling through the monocyte CC chemokine receptor-2, macrophage CSF-1R, and
the SMC platelet-derived growth factor receptor-beta.
PMID- 22095978
TI - Arteriolar function in visceral adipose tissue is impaired in human obesity.
AB - OBJECTIVE: The purpose of this study was to characterize the relationship between
adipose tissue phenotype and depot-specific microvascular function in fat.
METHODS AND RESULTS: In 30 obese subjects (age 42+/-11 years, body mass index
46+/-11 kg/m(2)) undergoing bariatric surgery, we intraoperatively collected
visceral and subcutaneous adipose tissue and characterized depot-specific adipose
phenotypes. We assessed vasomotor function of the adipose microvasculature using
videomicroscopy of small arterioles (75-250 MUm) isolated from different fat
compartments. Endothelium-dependent, acetylcholine-mediated vasodilation was
severely impaired in visceral arterioles, compared to the subcutaneous depot
(P<0.001 by ANOVA). Nonendothelium dependent responses to papaverine and
nitroprusside were similar. Endothelial nitric oxide synthase inhibition with
N(omega)-nitro-l-arginine methyl ester reduced subcutaneous vasodilation but had
no effect on severely blunted visceral arteriolar responses. Visceral fat
exhibited greater expression of proinflammatory, oxidative stress-related,
hypoxia-induced, and proangiogenic genes; increased activated macrophage
populations; and had a higher capacity for cytokine production ex vivo.
CONCLUSIONS: Our findings provide clinical evidence that the visceral
microenvironment may be intrinsically toxic to arterial health providing a
potential mechanism by which visceral adiposity burden is linked to
atherosclerotic vascular disease. Our findings also support the evolving concept
that both adipose tissue quality and quantity may play significant roles in
shaping cardiovascular phenotypes in human obesity.
PMID- 22095979
TI - Calpains contribute to vascular repair in rapidly progressive form of
glomerulonephritis: potential role of their externalization.
AB - OBJECTIVE: Calpains, calcium-activated proteases, mediate the angiogenic signals
of vascular endothelial growth factor. However, their involvement in vascular
repair has not been investigated and the underlying mechanisms remain to be fully
elucidated. METHODS AND RESULTS: A rapidly progressive form of glomerulonephritis
in wild type and transgenic mice expressing high levels of calpastatin, a calpain
specific inhibitor, was studied. Calpastatin transgene expression prevented the
repair of peritubular capillaries and the recovery of renal function, limiting
mouse survival. In vitro analysis detected a significant reduction of both
intracellular and extracellular calpain activities in transgene expressing cells,
whereas Western blotting revealed that proangiogenic factors vascular endothelial
growth factor and norepinephrine increased calpain exteriorization. In vitro,
extracellular calpains increased endothelial cell proliferation, migration and
capillary tube formation. In vivo, delivery of nonpermeable extracellular
calpastatin was sufficient to blunt angiogenesis and vascular repair. Endothelial
cell response to extracellular calpains was associated with fibronectin cleavage,
generating fibronectin fragments with proangiogenic capacity. In vivo,
fibronectin cleavage was limited in the kidney of calpastatin transgenic mice
with nephritis. CONCLUSIONS: This study demonstrates that externalized calpains
participate in angiogenesis and vascular repair, partly by promoting fibronectin
cleavage and thereby amplifying vascular endothelial growth factor efficiency.
Thus, manipulation of calpain externalization may have therapeutic implications
to control angiogenesis.
PMID- 22095980
TI - S100A8 and S100A9 in cardiovascular biology and disease.
AB - There is recent and widespread interest in the damage-associated molecular
pattern molecules S100A8 and S100A9 in cardiovascular science. These proteins
have a number of interesting features and functions. For example, S100A8 and
S100A9 (S100A8/A9) have both intracellular and extracellular actions, they are
abundantly expressed in inflammatory and autoimmune states, primarily by myeloid
cells but also by other vascular cells, and they modulate inflammatory processes,
in part through Toll-like receptor 4 and the receptor for advanced glycation end
products. S100A8/A9 also have anti-inflammatory and immune regulatory actions.
Furthermore, increased plasma levels of S100A8/A9 predict cardiovascular events
in humans, and deletion of these proteins partly protects Apoe(-)(/)(-) mice from
atherosclerosis. Understanding the roles of S100A8 and S100A9 in vascular cell
types and the mechanisms whereby these proteins mediate their biological effects
may offer new therapeutic strategies to prevent, treat, and predict
cardiovascular diseases.
PMID- 22095981
TI - Inhibition of microRNA-29 enhances elastin levels in cells haploinsufficient for
elastin and in bioengineered vessels--brief report.
AB - OBJECTIVE: The goal of this study was to determine whether antagonizing microRNA
(miR)-29 enhances elastin (ELN) levels in cells and tissues lacking ELN. METHODS
AND RESULTS: miR-29 mimics reduced ELN levels in fibroblasts and smooth muscle
cells, whereas miR-29 inhibition increased ELN levels. Antagonism of miR-29 also
increased ELN levels in cells from patients haploinsufficient for ELN and in
bioengineered human vessels. CONCLUSION: miR-29 antagonism may promote increased
ELN levels during conditions of ELN deficiencies.
PMID- 22095982
TI - Pharmacological suppression of hepcidin increases macrophage cholesterol efflux
and reduces foam cell formation and atherosclerosis.
AB - OBJECTIVE: We recently reported that lowering of macrophage free intracellular
iron increases expression of cholesterol efflux transporters ABCA1 and ABCG1 by
reducing generation of reactive oxygen species. In this study, we explored
whether reducing macrophage intracellular iron levels via pharmacological
suppression of hepcidin can increase macrophage-specific expression of
cholesterol efflux transporters and reduce atherosclerosis. METHODS AND RESULTS:
To suppress hepcidin, increase expression of the iron exporter ferroportin, and
reduce macrophage intracellular iron, we used a small molecule inhibitor of bone
morphogenetic protein (BMP) signaling, LDN 193189 (LDN). LDN (10 mg/kg IP b.i.d.)
was administered to mice, and its effects on atherosclerosis, intracellular iron,
oxidative stress, lipid efflux, and foam cell formation were measured in plaques
and peritoneal macrophages. Long-term LDN administration to apolipoprotein E-/-
mice increased ABCA1 immunoreactivity within intraplaque macrophages by 3.7-fold
(n=8; P=0.03), reduced Oil Red O-positive lipid area by 50% (n=8; P=0.02), and
decreased total plaque area by 43% (n=8; P=0.001). LDN suppressed liver hepcidin
transcription and increased macrophage ferroportin, lowering intracellular iron
and hydrogen peroxide production. LDN treatment increased macrophage ABCA1 and
ABCG1 expression, significantly raised cholesterol efflux to ApoA-1, and
decreased foam cell formation. All preceding LDN-induced effects on cholesterol
efflux were reversed by exogenous hepcidin administration, suggesting modulation
of intracellular iron levels within macrophages as the mechanism by which LDN
triggers these effects. CONCLUSIONS: These data suggest that pharmacological
manipulation of iron homeostasis may be a promising target to increase macrophage
reverse cholesterol transport and limit atherosclerosis.
PMID- 22095983
TI - Xanthine oxidoreductase is involved in macrophage foam cell formation and
atherosclerosis development.
AB - OBJECTIVE: Hyperuricemia is common in patients with metabolic syndrome. We
investigated the role of xanthine oxidoreductase (XOR) in atherosclerosis
development, and the effects of the XOR inhibitor allopurinol on this process.
METHODS AND RESULTS: Oral administration of allopurinol to ApoE knockout mice
markedly ameliorated lipid accumulation and calcification in the aorta and aortic
root. In addition, allopurinol treatment or siRNA-mediated gene knockdown of XOR
suppressed transformation of J774.1 murine macrophage cells, treated with
acetylated LDL or very low density lipoprotein (VLDL) into foam cells. This
inhibitory effect of allopurinol was also observed in primary cultured human
macrophages. In contrast, overexpression of XOR promoted transformation of J774.1
cells into foam cells. Interestingly, SR-A1, SR-B1, SR-B II, and VLDL receptors
in J774.1 cells were reduced by XOR knockdown, and increased by XOR
overexpression. Conversely, expressions of ABCA1 and ABCG1 were increased by XOR
knockdown and suppressed by XOR overexpression. Finally, productions of
inflammatory cytokines accompanied by foam cell formation were also reduced by
allopurinol administration. CONCLUSIONS: These results strongly suggest XOR
activity and/or its expression level to contribute to macrophage foam cell
formation. Thus, XOR inhibitors may be useful for preventing atherosclerosis.
PMID- 22095984
TI - Association of testosterone levels with endothelial function in men: results from
a population-based study.
AB - OBJECTIVE: Because population-based data are lacking, we assessed the cross
sectional association between serum testosterone levels and endothelial function,
as measured by flow-mediated dilation (FMD) and nitroglycerin-mediated dilation
(NMD) of the brachial artery, in men from the population-based Study of Health in
Pomerania. METHODS AND RESULTS: Personal characteristics, including major
cardiovascular confounders, were collected in 722 men, aged 25 to 85 years. Serum
total testosterone and sexual hormone-binding globulin (SHBG) levels were
determined by chemiluminescence immunoassays. Free testosterone levels were
calculated according to the law of mass action. FMD and NMD measurements were
performed using standardized ultrasound techniques. FMD and NMD values below the
20th percentile were considered decreased. Multivariable logistic regression
analyses revealed an association for each decrement of total testosterone
standard deviation (6.0 nmol/L) with decreased FMD after adjustment for potential
confounders (odds ratio 1.30, 95% confidence interval 1.04-1.63; P=0.023).
Multiple adjusted findings for free testosterone were similar (odds ratio 1.37,
95% confidence interval 1.06-1.76; P=0.016). There was no such association of
SHBG levels with decreased FMD. Neither testosterone nor SHBG levels were
significantly associated with decreased NMD. CONCLUSIONS: Lower serum total and
free testosterone levels are associated with impaired endothelial function in
this population-based sample of men.
PMID- 22095985
TI - Regulation of peroxisome proliferator-activated receptor-gamma by angiotensin II
via transforming growth factor-beta1-activated p38 mitogen-activated protein
kinase in aortic smooth muscle cells.
AB - OBJECTIVE: Peroxisome proliferator-activated receptor-gamma (PPARgamma) ligands
attenuate angiotensin II (Ang II)-induced atherosclerosis through interactions
with vascular smooth muscle cell (VSMC)-specific PPARgamma in
hypercholesterolemic mice. Therefore, the purpose of this study was to determine
the mechanism of Ang II-mediated intracellular regulation of PPARgamma in VSMCs.
METHODS AND RESULTS: Incubation of cultured mouse aortic VSMCs with Ang II for 24
hours reduced abundance of PPARgamma protein, mRNA, and transcriptional activity
(P<0.001). This effect was attenuated by an angiotensin type 1 receptor
antagonist, losartan. Ang II-induced PPARgamma reduction was dependent on
stimulation of transforming growth factor (TGF)-beta1 as demonstrated using
either a neutralizing antibody or small interfering RNA (siRNA). Ang II-induced
TGF-beta1 secretion was dependent on epidermal growth factor receptor kinase
activation through reactive oxygen species production. Inhibition of p38 mitogen
activated protein kinase by SB203580 or siRNA inhibited both Ang II- and TGF
beta1-induced PPARgamma reduction. Blockade of TGF-beta1 decreased p38
phosphorylation induced by Ang II. siRNA-mediated inhibition of histone
deacetylase 3 attenuated p38-mediated reductions in PPARgamma abundance.
CONCLUSIONS: These findings suggest that Ang II decreases PPARgamma abundance in
cultured VSMCs via an angiotensin type 1 receptor-dependent secretion of TGF
beta1 via phosphorylation of p38 mitogen-activated protein kinase and histone
deacetylase 3.
PMID- 22095986
TI - NADPH oxidase 4 mediates monocyte priming and accelerated chemotaxis induced by
metabolic stress.
AB - OBJECTIVE: Metabolic disorders increase monocyte chemoattractant protein-1 (MCP
1)-induced monocyte chemotaxis in mice. The goal of this study was to determine
the molecular mechanisms responsible for the enhanced responsiveness of monocytes
to chemoattractants induced by metabolic stress. METHODS AND RESULTS: Chronic
exposure of monocytes to diabetic conditions induced by human LDL plus high D
glucose concentrations (LDL+HG) promoted NADPH Oxidase 4 (Nox4) expression,
increased intracellular H(2)O(2) formation, stimulated protein S
glutathionylation, and increased chemotaxis in response to MCP-1, platelet
derived growth factor B, and RANTES. Both H(2)O(2) added exogenously and
overexpression of Nox4 mimicked LDL+HG-induced monocyte priming, whereas Nox4
knockdown protected monocytes against metabolic stress-induced priming and
accelerated chemotaxis. Exposure of monocytes to LDL+HG promoted the S
glutathionylation of actin, decreased the F-actin/G-actin ratio, and increased
actin remodeling in response to MCP-1. Preventing LDL+HG-induced protein S
glutathionylation by overexpressing glutaredoxin 1 prevented monocyte priming and
normalized monocyte chemotaxis in response to MCP-1. Induction of
hypercholesterolemia and hyperglycemia in C57BL/6 mice promoted Nox4 expression
and protein S-glutathionylation in macrophages, and increased macrophage
recruitment into MCP-1-loaded Matrigel plugs implanted subcutaneous in these
mice. CONCLUSIONS: By increasing actin-S-glutathionylation and remodeling,
metabolic stress primes monocytes for chemoattractant-induced transmigration and
recruitment to sites of vascular injury. This Nox4-dependent process provides a
novel mechanism through which metabolic disorders promote atherogenesis.
PMID- 22095988
TI - Transforming growth factor-beta-induced endothelial-to-mesenchymal transition is
partly mediated by microRNA-21.
AB - OBJECTIVE: MicroRNAs are a class of small ribonucleotides regulating gene/protein
targets by transcript degradation or translational inhibition. Transforming
growth factor-beta (TGF-beta) is involved in cardiac fibrosis partly by
stimulation of endothelial-to-mesenchymal transition (EndMT). Here, we
investigated whether microRNA (miR)-21, a microRNA enriched in fibroblasts and
involved in general fibrosis, has a role in cardiac EndMT. METHODS AND RESULTS:
TGF-beta treatment of endothelial cells significantly increased miR-21 expression
and induced EndMT characterized by suppression of endothelial and increase of
fibroblast markers. Overexpression of miR-21 alone also stimulated EndMT.
Importantly, miR-21 blockade by transfection of specific microRNA inhibitors
partly prevented TGF-beta-induced EndMT. Mechanistically, miR-21 silenced
phosphatase and tensin homolog in endothelial cells, resulting in activation of
the Akt-pathway. Akt inhibition partly restored TGF-beta-mediated loss of
endothelial markers during EndMT. In vivo, pressure overload of the left
ventricle led to increased expression of miR-21 in sorted cardiac endothelial
cells, which displayed molecular and phenotypic signs of EndMT. This was
attenuated by treatment of mice subjected to left ventricular pressure overload
with an antagomir against miR-21. CONCLUSIONS: TGF-beta-mediated EndMT is
regulated at least in part by miR-21 via the phosphatase and tensin homolog/Akt
pathway. In vivo, antifibrotic effects of miR-21 antagonism are partly mediated
by blocking EndMT under stress conditions.
PMID- 22095987
TI - Apolipoprotein B-100-containing lipoprotein metabolism in subjects with
lipoprotein lipase gene mutations.
AB - OBJECTIVE: We investigated the impact of lipoprotein lipase (LPL) gene mutations
on apolipoprotein B (apoB)-100 metabolism. METHODS AND RESULTS: We studied 3
subjects with familial LPL deficiency; 14 subjects heterozygous for the LPL gene
mutations Gly188Glu, Trp64Stop, and Ile194Thr; and 10 control subjects. Very-low
density lipoprotein (VLDL), intermediate-density lipoprotein (IDL), and low
density lipoprotein (LDL)-apoB-100 kinetics were determined in the fed state
using stable isotope methods and compartmental modeling. Compared with controls,
familial LPL deficiency had markedly elevated plasma triglycerides and lower VLDL
apoB-100 fractional catabolic rate (FCR), IDL-apoB-100 FCR, VLDL-to-IDL
conversion, and VLDL-apoB-100 production rate (P<0.01). Compared with controls,
Gly188Glu had higher plasma triglyceride and VLDL- and IDL-apoB-100
concentrations and lower VLDL- and IDL-apoB-100 FCR (P<0.05). Plasma
triglycerides were not different, but IDL-apoB-100 concentration and production
rate and VLDL-to-IDL conversion were lower in Trp64Stop compared with controls
(P<0.05). No differences between controls and Ile194Thr were observed.
CONCLUSIONS: Our results confirm that hypertriglyceridemia is a key feature of
familial LPL deficiency. This is due to impaired VLDL- and IDL-apoB-100
catabolism and VLDL-to-IDL conversion. Single-allele mutations of the LPL gene
result in modest to elevated plasma triglycerides. The changes in plasma
triglycerides and apoB-100 kinetics are attributable to the effects of the LPL
genotype.
PMID- 22095989
TI - Science to practice: Which approaches to combination interventional oncologic
therapy hold the greatest promise of obtaining maximal clinical benefit?
AB - Soundararajan et al (1) were able to demonstrate that combination therapy of
radiofrequency (RF) ablation with rhenium 186 (186Re)--labeled liposomal
doxorubicin resulted in better drug uptake and reduced tumor growth compared with
other therapies tested in a rodent head and neck tumor model. In essence, this
"triple" combination therapy of chemotherapy, radiation, and thermal ablation
induced significantly smaller viable tumor volume compared with the therapies
tested alone at histopathologic examination.
PMID- 22095990
TI - Uncertainties in the diagnosis of lumbar spinal stenosis.
PMID- 22095991
TI - Functional coronary imaging with magnetic resonance: a "renaissance"?
PMID- 22095992
TI - Lung cancer and chronic obstructive pulmonary disease: the story goes on.
PMID- 22095993
TI - A framework for assessing the value of diagnostic imaging in the era of
comparative effectiveness research.
AB - In June 2009, the Federal Coordinating Council for Comparative Effectiveness
Research submitted a report to the President and Congress in which the Council
described the purpose of comparative effectiveness research (CER) as developing
evidence-based information for interventions and determining under what
circumstances an intervention is effective (1). With the enactment of the Patient
Protection and Affordable Care Act, a Patient-centered Outcomes Research
Institute (PCORI) was established to assist decision makers in making evidence
based health decisions through synthesis and dissemination of clinical CER of
health interventions (2). Its founding has underscored a heightened need for
health policy makers to consider the impact of health care technologies on final
outcomes of interest--for example, functional status, quality of life,
disability, major clinical events, and mortality (3-5).
PMID- 22095994
TI - Whole-body diffusion-weighted MR imaging in cancer: current status and research
directions.
AB - Diffusion-weighted (DW) magnetic resonance (MR) imaging is emerging as a powerful
clinical tool for directing the care of patients with cancer. Whole-body DW
imaging is almost at the stage where it can enter widespread clinical
investigations, because the technology is stable and protocols can be implemented
for the majority of modern MR imaging systems. There is a continued need for
further improvements in data acquisition and analysis and in display
technologies. Priority areas for clinical research include clarification of
histologic relationships between tissues of interest and DW MR imaging biomarkers
at diagnosis and during therapy response. Because whole-body DW imaging excels at
bone marrow assessments at diagnosis and for therapy response, it can potentially
address a number of unmet clinical and pharmaceutical requirements. There are
compelling needs to document and understand how common and novel treatments
affect whole-body DW imaging results and to establish response criteria that can
be tested in prospective clinical studies that incorporate measures of patient
benefit.
PMID- 22095995
TI - Computer-aided diagnosis: how to move from the laboratory to the clinic.
AB - Computer-aided diagnosis (CAD), encompassing computer-aided detection and
quantification, is an established and rapidly growing field of research. In daily
practice, however, most radiologists do not yet use CAD routinely. This article
discusses how to move CAD from the laboratory to the clinic. The authors review
the principles of CAD for lesion detection and for quantification and illustrate
the state-of-the-art with various examples. The requirements that radiologists
have for CAD are discussed: sufficient performance, no increase in reading time,
seamless workflow integration, regulatory approval, and cost efficiency.
Performance is still the major bottleneck for many CAD systems. Novel ways of
using CAD, extending the traditional paradigm of displaying markers for a second
look, may be the key to using the technology effectively. The most promising
strategy to improve CAD is the creation of publicly available databases for
training and validation. This can identify the most fruitful new research
directions, and provide a platform to combine multiple approaches for a single
task to create superior algorithms.
PMID- 22095996
TI - Renal perfusion 3-T MR imaging: a comparative study of arterial spin labeling and
dynamic contrast-enhanced techniques.
AB - PURPOSE: To investigate the feasibility of and correlation between arterial spin
labeling (ASL) and dynamic contrast material-enhanced (DCE) 3-T magnetic
resonance (MR) imaging in the measurement of renal blood flow (RBF). MATERIALS
AND METHODS: The review board approved this study. Nineteen healthy volunteers
(seven women, 12 men; age range, 25-68 years) were recruited, and each provided
written informed consent. MR imaging was performed with a 3-T whole-body system.
Each subject underwent back-to-back ASL and DCE MR imaging. Ten runs of ASL
imaging were performed by using the pseudocontinuous tagging scheme, and each run
required an 18-second breath hold. For DCE imaging, a gadopentetate dimeglumine
bolus (0.0125 mmol per kilogram of body weight) was administrated intravenously
in all subjects except two; in the latter subjects, a 0.025 mmol/kg gadopentetate
dimeglumine bolus was administered to evaluate the T1 saturation effect. RBF was
quantified with both techniques and in both the cortex and the medulla. Agreement
was evaluated for RBF measurements obtained with ASL imaging and those obtained
with DCE imaging by using correlation analysis. RESULTS: RBF was apparently
overestimated with 0.025 mmol/kg gadopentetate dimeglumine, which is a
concentration that is commonly adopted for 1.5-T DCE. RBF was 227 mL/100 mL/min
+/- 30 (standard deviation) in the cortex and 101 mL/100 mL/min +/- 21 in the
medulla, as measured with ASL imaging, and 272 mL/100 mL/min +/- 60 in the cortex
and 122 mL/100 mL/min +/- 30 in the medulla, as measured with DCE imaging. In the
cortex, measurements obtained with ASL and DCE imaging exhibited a linear
correlation (r = 0.66; statistical power, 0.8 at the 5% significance level) and
fair agreement (intraclass correlation coefficient, 0.41). CONCLUSION: ASL and
DCE 3-T MR imaging are feasible in the quantification of cortical renal
perfusion, yielding measurements that are correlated but not entirely comparable.
Intermodality differences have yet to be solved.
PMID- 22095998
TI - Case 176: Neuro-sweet syndrome.
PMID- 22095999
TI - Accurate definition of superficial and deep fascia.
PMID- 22096000
TI - A few considerations on "sonoelastography of the plantar fascia".
PMID- 22096001
TI - Honorary authorship: a hawk hovers over the lower-rank academic.
PMID- 22096002
TI - Biliary atresia in neonates and infants.
PMID- 22096003
TI - CTDIvol, DLP, and effective dose are excellent measures for use in CT quality
improvement.
PMID- 22096004
TI - Satisfaction of search in radiographic modalities.
PMID- 22096005
TI - Transient hepatic echogenicity differences at contrast-enhanced US.
PMID- 22096008
TI - The frequency of metabolic syndrome is higher among PCOS Brazilian women with
menstrual irregularity plus hyperandrogenism.
AB - Polycystic ovary syndrome (PCOS) has a heterogeneous phenotypic distribution that
can potentially lead to variations in metabolic repercussions. A cross-sectional
study was conducted with 372 women of reproductive age (146 of whom were
ovulatory and 226 with PCOS) divided into groups according to PCOS phenotype: (i)
complete phenotype involving menstrual irregularity (MI), hyperandrogenism (H),
and ultrasound (US) findings of polycystic ovaries (132 patients); (ii) MI + H
(18 patients); (iii) MI + US (51 patients); and (iv) H + US (25 patients). The
frequencies of metabolic syndrome (MetS) were 45.4%, 38.9%, 33.3%, 36%, and 8.2%
for the MI + H + US, MI + H, MI + US, H + US, and control groups (P < .01),
respectively. In logistic regression, body mass index ([BMI] odds ratio [OR]:
1.1, 95% confidence interval [CI] 1.1-1.2) and the association of the complete
phenotype with MI + H (OR: 5.8 CI95% [2.2-15.8) were independent predictors of
the occurrence of MetS. The defining characteristics of MetS were more frequently
found in women with PCOS than in controls, regardless of the phenotype (P < .01
for each variable). In conclusion, the frequency of MetS is similar for various
PCOS phenotypes among young Brazilian women from the Southeast region of the
country, although only BMI and the presence of MI + H, regardless of the presence
of US findings, were more predictive of the development of MetS.
PMID- 22096007
TI - Adipocytes enhance the proliferation of human leiomyoma cells via TNF-alpha
proinflammatory cytokine.
AB - OBJECTIVE: Obesity is a well-documented risk factor for uterine leiomyoma with a
major impact on women health and health care system of the nation. Obesity is
associated with increased secretion of adipokines that significantly influence
growth and proliferation of tumor stroma and malignant cells. Adipokines, such as
tumor necrosis factor alpha (TNF-alpha), are produced in the adipose tissue with
concomitant expression in other organs and tissues. Increased and sustained
cytokine production is associated with alterations in cell growth and
differentiation. We, therefore, explored the influence of human adipocytes (SW872
cells)-mediated biological humoral factors on human uterine leiomyoma (HuLM)
cells. METHODS: We measured cell proliferation and expression of cell
proliferating proteins (proliferating cell nuclear antigen [PCNA], cyclin D1, and
B-cell lymphoma 2 [BCL-2]) in human leiomyoma cells cocultured with SW872 cells.
SW872-conditioned media was neutralized for TNF-alpha and proliferation of HuLM
cells was observed along with antiapoptotic marker, BCL-2, using Western
immunoblot. RESULTS: We found that both SW872-conditioned media and coculture
with SW872 cells increased HuLM cell proliferation significantly (P < .05). We
determined that this effect was associated with the upregulation of specific
markers for proliferation, such as PCNA, cyclin D1, and BCL-2 (P < .05).
Furthermore, the addition of neutralizing antibodies, anti-TNF-alpha, to SW872
conditioned media reversed the proliferation of leiomyoma cells and induced
apoptosis as indicated by the reduced expression of antiapoptotic marker BCL-2.
CONCLUSIONS: SW872 cells secrete TNF-alpha, which is associated with a
proliferative gene profile in HuLM cells and may play a role in initiation and/or
progression of uterine leiomyoma.
PMID- 22096009
TI - A combination of illness invalidation from the work environment and helplessness
is associated with embitterment in patients with FM.
AB - OBJECTIVES: The aim of this study in employed people with FM was to test the
hypothesis that embitterment is a function of the joint experience of
invalidation from the work environment and helplessness regarding one's illness.
METHODS: Sixty-four full-time (36%) or part-time (64%) employed patients with FM
(60 females, mean age 45 years) completed the Illness Invalidation Inventory
(3*I) to assess work-related discounting and lack of understanding, the Illness
Cognition Questionnaire (ICQ) to assess helplessness and the Bern Embitterment
Inventory (BEI) to assess embitterment. Hierarchical regression analysis was
performed. RESULTS: Sixteen percent of the participants experienced embitterment
levels in the clinical range. The interaction or combination of discounting and
helplessness (P = 0.02) and the combination of lack of understanding and
helplessness (P = 0.04) were associated with greater embitterment. CONCLUSIONS:
The construct of embitterment has substantial face validity and may result from a
combination of invalidation and helplessness. Whereas helplessness is a common
target of cognitive-behavioural therapy, evidence-based interventions to redress
invalidation and embitterment are needed. It is possible, however, to target
invalidation by educating people in the work environment about the consequences
of FM and patients' valid needs for work that is manageable, given each patient's
specific health-related limitations.
PMID- 22096010
TI - Necrotizing fasciitis in a rheumatoid arthritis patient treated with tocilizumab.
PMID- 22096011
TI - Sustained clinical remission in rheumatoid arthritis: prevalence and prognostic
factors in an inception cohort of patients treated with conventional DMARDS.
AB - OBJECTIVE: Clinical remission is now a realistic goal in managing RA following
the introduction of biologic agents. As there are limited data on sustained
remission in conventionally treated RA, this study examines prevalence and
predictive factors of sustained remission in a pre-biologic inception cohort of
RA. METHODS: Patients with recent onset RA and before use of DMARDs were
recruited from nine centres. Standard clinical and radiological assessments were
recorded at baseline and yearly. Point remission was defined by DAS of <1.6, and
sustained remission if DAS was <1.6 at all 3-, 4- and 5-year follow-ups.
Sustained remission was compared with baseline features, with mortality and with
radiological and functional progression in 704 patients. RESULTS: Point remission
at 3, 4 and 5 years was 25, 26 and 22%, respectively. Eleven per cent (n = 78)
had sustained remission. Male sex, short duration of symptoms and less tender
joints at baseline were independent predictors of sustained remission. These
patients had fewer DMARD therapies and less radiographic progression by 5 years.
Mean HAQ decreased from 0.79 to 0.13 (P < 0.001) in sustained remission, compared
with an increase from 0.92 to 1.1 (P < 0.001) in the non-remission group.
CONCLUSION: Sustained clinical remission by 5 years with conventional DMARDs was
11%, half as likely as point remission. Prognostic factors were similar to
comparable studies and simple to measure. Patients in sustained clinical
remission showed less structural damage and better functional outcomes.
PMID- 22096012
TI - Factors associated with foot pain severity and foot-related disability in
individuals with first metatarsophalangeal joint OA.
AB - OBJECTIVE: To determine whether participant characteristics and localized
structural factors are associated with foot pain and foot-related disability in
patients with symptomatic first MTP joint OA. METHODS: One hundred and fifty-one
participants (56 women and 95 men) aged 22-81 [mean age 54.5 (11.2)] years with
symptomatic first MTP joint OA underwent a standardized clinical interview and
assessment. Participant characteristics [age, gender, height, weight, BMI,
duration of symptoms and total years of formal education (education attainment)]
and localized factors (first MTP joint dorsiflexion range of motion, severity of
radiographic OA, foot posture and plantarflexion strength of the hallux) were
determined as potentially associated variables. Outcome variables were foot pain
and foot-related disability, determined using the Foot Health Status
Questionnaire. Univariate analyses were performed between potentially associated
variables and outcome variables. Variables with significant univariate
associations were then entered into multivariate linear regression models to
identify independently associated variables. RESULTS: Education attainment and
BMI were both significantly and independently associated with foot pain severity
and foot-related disability. However, these variables only explained ~10-12% of
the variance in foot pain and foot-related disability. CONCLUSIONS: People with
symptomatic first MTP joint OA that have an increased BMI and/or lower level of
education attainment experience more foot pain and have greater foot-related
disability. Prospective studies are required to confirm these findings and
identify other factors associated with foot pain and foot-related disability in
people with symptomatic first MTP joint OA.
PMID- 22096013
TI - Childhood-onset disease carries a higher risk of low bone mineral density in an
adult population of systemic lupus erythematosus.
AB - OBJECTIVE: To study the BMD of patients with SLE according to the age of disease
onset. METHODS: Consecutive SLE patients were screened for BMD at the hip, lumbar
spine and whole body by the dual-energy X-ray absorptiometry (DXA). Comparison
was made between patients who had disease onset in childhood (<18 years) and
adulthood (>=18 years). Factors associated with low BMD were studied by linear
regression. RESULTS: A total of 395 SLE patients were studied (94% women; 11%
childhood-onset disease). Osteoporosis of the lumbar spine and the hip/femoral
neck was present in 20 and 10% of the patients, respectively. Childhood-onset SLE
patients were less likely to be post-menopausal, but had significantly lower BMI,
longer SLE duration and a higher frequency of ever use of high-dose CSs, CYC and
AZA. Despite a significantly younger age, the BMD of the hip, femoral neck and
lumbar spine was significantly lower in childhood- than adult-onset SLE patients.
In linear regression models, childhood-onset disease was an independent factor
for lower BMD at the lumbar spine (beta = -0.18; P = 0.002), hip (beta = -0.20; P
= 0.001) and femoral neck (beta = -0.16; P = 0.01) after adjustment for age, sex,
BMI, smoking, menopause, SLE duration and damage index, duration and current dose
of prednisolone treatment and the ever use of high-dose glucocorticoids, other
immunosuppressive agents, calcium, vitamin D and the bisphosphonates.
CONCLUSIONS: In adult SLE patients, childhood-onset disease carries a higher risk
of osteoporosis, which may possibly be related to a higher cumulative dose of
glucocorticoids used for more active disease and failure to achieve a normal peak
bone mass during puberty.
PMID- 22096014
TI - The incidence of permanent work disability in patients with rheumatoid arthritis
in Sweden 1990-2010: before and after introduction of biologic agents.
AB - OBJECTIVE: To explore the incidence of disability pension (DP) due to RA as an
estimation of permanent work disability before and after introduction of biologic
drugs. METHODS: The annual incidence of DP was derived from the Swedish National
Social Insurance Register and rates of DP due to RA were compared with the total
amount of new DPs. RESULTS: The incidence of DP due to RA has decreased over
recent years, coinciding with earlier and more aggressive treatment with DMARDs
and biologics. A similar declining incidence of DP was simultaneously seen in
patients with all diagnoses in the general population. The decrease in DPs was,
however, larger for RA and was evident even before introduction of biologics. In
1990, the proportion of DPs caused by RA was 1.9% out of total amount of DPs,
decreasing to 1.5% in 2000 and to 1% in 2009. This may reflect effects of
treatment, but may also be due to changing political policies as well as changes
in age structure, increasing educational level and less physically demanding
jobs. CONCLUSION: The decrease in DPs due to RA coincides with new treatment
strategies as well as with decreasing levels of DPs in patients with all
diagnoses. Prevailing political and economic conditions have a large impact on
permanent work disability and may affect patients with various diagnoses in
different ways. To determine if the decline is a true effect of better treatment,
there is a need for further investigations, taking possible confounding factors
into account.
PMID- 22096015
TI - Early treatment with rituximab in newly diagnosed systemic lupus erythematosus
patients: a steroid-sparing regimen.
AB - OBJECTIVES: To assess the effectiveness of B-cell depletion therapy (BCDT) as a
steroid-sparing treatment in newly diagnosed SLE patients. METHODS: Eight female
SLE patients were treated with BCDT using a rituximab/CYC-based regimen aiming to
avoid the routine use of oral steroids. Post-treatment, patients were given AZA.
The BILAG disease activity index was used for clinical assessment. Serum anti
dsDNA, complement (C3), ESR, circulating B lymphocytes (CD19(+)) and protein :
creatinine ratio were tested at 0, 1, 3, 6 and 12 months post-treatment. Disease
activity and steroid requirement over the first 6 months of treatment were
compared with three SLE patients treated conventionally, each carefully matched
for ethnicity, sex, age at disease onset and disease duration at diagnosis.
RESULTS: All patients achieved B-cell depletion (CD19 count <0.005 * 10(9)/l).
The mean decrease in global BILAG at 6 months for the BCDT patients was -12.0 vs
13.22 for the controls. Post-BCDT, no patient developed any significant
deterioration, mean ESR fell from 70.12 to 17.14 mm/h at 6 months, mean serum
anti-dsDNA antibody levels fell by >70% at 1 month and serum C3 level normalized
in two patients by 6 months. There were no adverse events. The mean cumulative
prednisolone dose at 6 months for the BCDT patients was 1287.3 mg (range 250
4501.8 mg) vs 2834.6 mg (range 0-6802.5 mg) for the controls. CONCLUSION: Early
treatment of SLE patients with BCDT is safe and effective and enables a reduction
in the overall steroid burden.
PMID- 22096016
TI - Turning points for perpetrators of intimate partner violence.
AB - Understanding why and how perpetrators of intimate partner violence (IPV) change
their behavior is an important goal for both policy development and clinical
practice. In this study, the authors investigated the concept of "turning points"
for perpetrators of IPV by conducting a systematic review of qualitative studies
that investigated the factors, situations, and attitudes that facilitated
perpetrators' decisions to change their abusive behavior. Two literature
databases were searched and six studies were found that met the inclusion
criteria for the systematic review. Most included participants from batterer
intervention programs (BIPs). The data indicate that community, group, and
individual processes all contribute to perpetrators' turning points and
behavioral change. These include identifying key incidents that precede change,
taking responsibility for past behavior, learning new skills, and developing
relationships within and outside of the BIP. By using a qualitative systematic
review, the authors were able to generate a more complete understanding of the
catalysts for and process of change in these individuals. Further research,
combining quantitative and qualitative approaches, will be helpful in the
modification of existing BIPs and the development of new interventions to reduce
IPV.
PMID- 22096017
TI - When can I help? A conceptual framework for the prevention of sexual violence
through bystander intervention.
AB - The bystander intervention approach is gaining popularity as a means for engaging
communities in sexual assault prevention, especially on college campuses. Many
bystander programs are teaching community members how to intervene without first
assisting them to identify the full range of opportunities when they can
intervene. In this article, the authors review the literature on sexual violence
bystander intervention and present a conceptual framework that lays out a
continuum of bystander opportunities ranging from reactive situations after an
assault has occurred, to situations before an assault has occurred (posing high
to low risk to victims), as well as proactive situations where no risk to the
victim is present. The implications of this typology are discussed in the context
of program development, evaluation, and further research.
PMID- 22096018
TI - Production of artificial piRNAs in flies and mice.
AB - In animals a discrete class of small RNAs, the piwi-interacting RNAs (piRNAs),
guard germ cell genomes against the activity of mobile genetic elements. piRNAs
are generated, via an unknown mechanism, from apparently single-stranded
precursors that arise from discrete genomic loci, termed piRNA clusters.
Presently, little is known about the signals that distinguish a locus as a source
of piRNAs. It is also unknown how individual piRNAs are selected from long
precursor transcripts. To address these questions, we inserted new artificial
sequence information into piRNA clusters and introduced these marked clusters as
transgenes into heterologous genomic positions in mice and flies. Profiling of
piRNA from transgenic animals demonstrated that artificial sequences were
incorporated into the piRNA repertoire. Transgenic piRNA clusters are functional
in non-native genomic contexts in both mice and flies, indicating that the
signals that define piRNA generative loci must lie within the clusters themselves
rather than being implicit in their genomic position. Comparison of transgenic
animals that carry insertions of the same artificial sequence into different
ectopic piRNA-generating loci showed that both local and long-range sequence
environments inform the generation of individual piRNAs from precursor
transcripts.
PMID- 22096019
TI - What color is the skin of a mouse?
PMID- 22096021
TI - Current parathyroid hormone immunoassays do not adequately meet the needs of
patients with chronic kidney disease.
AB - BACKGROUND: There are significant differences in plasma parathyroid hormone (PTH)
results obtained by current immunoassay methods. However, many clinical
guidelines relevant to patients with chronic kidney disease (CKD) that recommend
PTH target values do not take account of these differences. This raises major
questions about the validity of the evidence underpinning current use of PTH
measurements in the management of CKD as well as of published relevant audit
data. METHODS: PTH was measured in plasma from patients with CKD in six
commercially available immunoassays. The initial pilot study included 19 patients
while 98 patients were included in a second extended study. Data from the second
study were analysed by regression analysis to obtain assay-specific targets for
each immunoassay. RESULTS: Although similar PTH reference intervals are provided
by most manufacturers, both studies confirmed substantial between-method
differences in observed PTH for all patients, with results varying by as much as
4.2-fold between the lowest and highest reading methods. These differences were
sufficient to have treatment implications for 79% of the patients in the pilot
study. Applying the assay-specific targets derived here to results from the
extended study decreased treatment misclassifications from 53% to 12%.
CONCLUSIONS: Existing between-method differences in PTH measurements clearly have
treatment implications. International initiatives to address these differences
are in progress and will require support and input from all stakeholders.
Adoption of assay-specific target values such as those reported here provides a
convenient and practical interim solution that should lead to significant
improvement in patient management, while also enabling meaningful audit.
PMID- 22096022
TI - Cell phone is best emergency link with GPs.
PMID- 22096024
TI - Lymphatic invasion is independently prognostic of metastasis in primary cutaneous
melanoma.
AB - PURPOSE: Lymphatic invasion (LI) in primary cutaneous melanomas was recently
found to be common. In this study, we evaluated LI as an independent prognostic
factor. EXPERIMENTAL DESIGN: This study included 251 patients with vertical
growth phase (VGP) primary cutaneous melanomas who had paraffin-fixed lesional
tissue and were in a prospective cohort seen between 1972 and 1991, had no
clinical evidence of regional nodal disease at diagnosis, and had at least ten
years of follow-up. Dual immunohistochemical staining was used to detect
lymphatic endothelium (podoplanin) and melanoma cells (S-100). Multivariate
logistic regression for ten-year metastasis was used to define independent
prognostic factors, and a prognostic tree was developed to characterize and
discriminate risk groups. Kaplan-Meier disease-free survival curves for those
with and without LI within current American Joint Committee on Cancer stages were
compared using the log-rank statistic. RESULTS: LI was observed in 43% (108 of
251) of the study melanomas. The multivariate model for ten-year metastasis
identified four independent prognostic factors: tumor thickness, mitotic rate,
LI, and anatomic site. The prognostic tree identified a group of patients with
thin (<=1 mm thick) melanomas and poor prognosis: stage IB melanomas with LI.
Survival curves for time to first metastasis showed significantly poorer
prognosis for patients with LI compared with those without it for both stages IB
and IIA. CONCLUSIONS: LI is common across the range of tumor thicknesses in
primary VGP melanomas. It is an independent prognostic factor and significantly
increases the risk of metastasis in patients in clinical stages IB and IIA.
PMID- 22096023
TI - Prognostic significance of plasma osteopontin in patients with locoregionally
advanced head and neck squamous cell carcinoma treated on TROG 02.02 phase III
trial.
AB - PURPOSE: High plasma osteopontin (OPN) levels have been reported to be an adverse
prognostic factor in head and neck squamous cell carcinomas (HNSCC), correlate
with tumor hypoxia, and be predictive of benefit from hypoxia-targeted therapy.
We sought to confirm the prognostic and predictive significance of OPN in
patients treated on a large international trial. EXPERIMENTAL DESIGN: Patients
with stage III/IV HNSCC were randomized to receive definitive radiotherapy
concurrently with cisplatin or cisplatin plus the hypoxic cell cytotoxin,
tirapazamine (TPZ). Eligibility criteria for this prospective substudy included
plasma sample availability for OPN assay by ELISA and absence of major radiation
therapy deviations (N = 578). OPN concentrations were analyzed for overall
survival (OS) and time to locoregional failure (TTLRF), adjusting for known
prognostic factors. Additional analysis was carried out in patients with
available tumor p16(INK4A) staining status. RESULTS: The median OPN level was 544
ng/mL (range: 7-2,640). High OPN levels were not associated with worse OS
(relative HR, 1.03 for highest tertile) or TTLRF (relative HR 0.91 for highest
tertile). There was no interaction between OPN and treatment arm for OS or TTLRF
(P = 0.93 for OS; P = 0.87 for TTLRF). For the highest tertile the 2-year OS was
66% on control arm and 67% on TPZ arm (HR = 1.11, P = 0.67). Similarly for
p16(INK4A) negative patients in the highest tertile, the 2-year OS was 61% on
control arm and 63% on TPZ arm (HR = 1.05, P = 0.86). CONCLUSIONS: We found no
evidence that high plasma OPN levels were associated with an adverse prognosis in
HNSCC, or were predictive of benefit with hypoxia targeting therapy.
PMID- 22096025
TI - Skin tumors induced by sorafenib; paradoxic RAS-RAF pathway activation and
oncogenic mutations of HRAS, TP53, and TGFBR1.
AB - PURPOSE: The emergence of skin tumors in patients treated with sorafenib or with
more recent BRAF inhibitors is an intriguing and potentially serious event. We
carried out a clinical, pathologic, and molecular study of skin lesions occurring
in patients receiving sorafenib. EXPERIMENTAL DESIGN: Thirty-one skin lesions
from patients receiving sorafenib were characterized clinically and
pathologically. DNA extracted from the lesions was screened for mutation hot
spots of HRAS, NRAS, KiRAS, TP53, EGFR, BRAF, AKT1, PI3KCA, TGFBR1, and PTEN.
Biological effect of sorafenib was studied in vivo in normal skin specimen and in
vitro on cultured keratinocytes. RESULTS: We observed a continuous spectrum of
lesions: from benign to more inflammatory and proliferative lesions, all
seemingly initiated in the hair follicles. Eight oncogenic HRAS, TGFBR1, and TP53
mutations were found in 2 benign lesions, 3 keratoacanthomas (KA) and 3 KA-like
squamous cell carcinoma (SCC). Six of them correspond to the typical UV
signature. Treatment with sorafenib led to an increased keratinocyte
proliferation and a tendency toward increased mitogen-activated protein kinase
(MAPK) pathway activation in normal skin. Sorafenib induced BRAF-CRAF
dimerization in cultured keratinocytes and activated CRAF with a dose-dependent
effect on MAP-kinase pathway activation and on keratinocyte proliferation.
CONCLUSION: Sorafenib induces keratinocyte proliferation in vivo and a time- and
dose-dependent activation of the MAP kinase pathway in vitro. It is associated
with a spectrum of lesions ranging from benign follicular cystic lesions to KA
like SCC. Additional and potentially preexisting somatic genetic events, like UV
induced mutations, might influence the evolution of benign lesions to more
proliferative and malignant tumors.
PMID- 22096026
TI - EpCAM/CD3-Bispecific T-cell engaging antibody MT110 eliminates primary human
pancreatic cancer stem cells.
AB - PURPOSE: Tumor-initiating cells with stem-like properties, also termed cancer
stem cells (CSC), have been shown to sustain tumor growth as well as metastasis
and are highly resistant to chemotherapy. Because pancreatic CSCs have been
isolated on the basis of EpCAM expression, we investigated whether a targeted
immunotherapy to EpCAM using the bispecific T-cell-engaging antibody MT110 is
capable of eradicating CSCs. EXPERIMENTAL DESIGN: We studied in vitro and in vivo
the effects of MT110 on CSCs using both established cell lines as well as primary
cells of human pancreatic cancer. RESULTS: Although established cell lines were
more responsive to MT110-engaged T cells, also primary cells showed a time- and
dose-dependent response to treatment with the bispecific antibody. In addition,
the population of highly tumorigenic CSCs was efficiently targeted by the
EpCAM/CD3-bispecific antibody MT110 in vitro and in vivo using a mouse model of
established primary pancreatic cancer. Pancreatic cancer cells derived from
metastases were slightly more resistant to MT110 treatment on the basis of in
vivo tumorigenicity studies. This appeared to be related to a higher frequency of
an EpCAM-negative subpopulation of CSCs. CONCLUSIONS: Cytotoxic T cells can be
effectively redirected against primary human pancreatic cancer cells by T-cell
engaging BiTE antibody MT110 including a subpopulation of highly tumorigenic
CSCs.
PMID- 22096029
TI - Control of glycolysis through regulation of PFK1: old friends and recent
additions.
AB - Regulation of glucose metabolism is a crucial aspect of cell physiology in normal
and disease conditions. Many regulatory events are involved in determining the
metabolic fate of glucose and the pathways into which it is directed. The first
reaction that commits glucose to the glycolytic pathway is catalyzed by the
enzyme phosphofructokinase-1 (PFK-1) and is tightly regulated. One of the most
potent activators of PFK-1 is fructose 2,6 bisphosphate (F2,6BP) and its cellular
levels are correlated with glycolytic flux. F2,6BP is synthesized and degraded by
a family of bifunctional enzymes-the 6-phosphofructo-2-kinase/fructose-2,6
bisphosphatases (PFKFB). The interplay among F2,6BP levels, the enzymes that
generate and degrade it, and PFK-1 activity has important consequences for
several different aspects of cell metabolism as well as for systemic metabolic
conditions. TIGAR, a recently identified F2,6 bisphosphatase (F2,6BPase), could
also contribute to this complexity and participate in shaping the metabolic
profile of the cell.
PMID- 22096028
TI - Transcriptional control of cardiac fuel metabolism and mitochondrial function.
AB - As a persistent pump, the mammalian heart demands a high-capacity mitochondrial
system. Significant progress has been made in delineating the gene regulatory
networks that control mitochondrial biogenesis and function in striated muscle.
The PPARgamma coactivator-1 (PGC-1) coactivators serve as inducible boosters of
downstream transcription factors that control the expression of genes involved in
mitochondrial energy transduction, ATP synthesis, and biogenesis. PGC-1 gain-of
function and loss-of-function studies targeting two PGC-1 family members, PGC
1alpha and PGC-1beta, have provided solid evidence that these factors are both
necessary and sufficient for perinatal mitochondrial biogenesis and maintenance
of high-capacity mitochondrial function in postnatal heart. In humans, during the
development of heart failure owing to hypertension or obesity-related diabetes,
the activity of the PGC-1 coactivators, and several downstream target
transcription factors, is altered. Gene targeting studies in mice have
demonstrated that loss of PGC-1alpha and PGC-1beta in heart leads to heart
failure. Interestingly, the pattern of dysregulation within the PGC-1
transcriptional regulatory circuit distinguishes the heart disease caused by
hypertension from that caused by diabetes. This transcriptional regulatory
cascade and downstream metabolic pathways should be considered as targets for
novel etiology-specific therapeutics aimed at the early stages of heart failure.
PMID- 22096027
TI - A noncanonical Flt3ITD/NF-kappaB signaling pathway represses DAPK1 in acute
myeloid leukemia.
AB - PURPOSE: Death-associated protein kinase 1 (DAPK1), a tumor suppressor, is a rate
limiting effector in an endoplasmic reticulum (ER) stress-dependent apoptotic
pathway. Its expression is epigenetically suppressed in several tumors. A
mechanistic basis for epigenetic/transcriptional repression of DAPK1 was
investigated in certain forms of acute myeloid leukemia (AML) with poor
prognosis, which lacked ER stress-induced apoptosis. EXPERIMENTAL DESIGN:
Heterogeneous primary AMLs were screened to identify a subgroup with Flt3ITD in
which repression of DAPK1, among NF-kappaB-and c-Jun-responsive genes, was
studied. RNA interference knockdown studies were carried out in an Flt3ITD(+)
cell line, MV-4-11, to establish genetic epistasis in the pathway Flt3ITD-TAK1
DAPK1 repression, and chromatin immunoprecipitations were carried out to identify
proximate effector proteins, including TAK1-activated p52NF-kappaB, at the DAPK1
locus. RESULTS: AMLs characterized by normal karyotype with Flt3ITD were found to
have 10- to 100-fold lower DAPK1 transcripts normalized to the expression of c
Jun, a transcriptional activator of DAPK1, as compared with a heterogeneous
cytogenetic category. In addition, Meis1, a c-Jun-responsive adverse AML
prognostic gene signature was measured as control. These Flt3ITD(+) AMLs
overexpress relB, a transcriptional repressor, which forms active heterodimers
with p52NF-kappaB. Chromatin immunoprecipitation assays identified p52NF-kappaB
binding to the DAPK1 promoter together with histone deacetylase 2 (HDAC2) and
HDAC6 in the Flt3ITD(+) human AML cell line MV-4-11. Knockdown of p52NF-kappaB or
its upstream regulator, NF-kappaB-inducing kinase (NIK), de-repressed DAPK1.
DAPK1-repressed primary Flt3ITD(+) AMLs had selective nuclear activation of p52NF
kappaB. CONCLUSIONS: Flt3ITD promotes a noncanonical pathway via TAK1 and p52NF
kappaB to suppress DAPK1 in association with HDACs, which explains DAPK1
repression in Flt3ITD(+) AML.
PMID- 22096030
TI - Regulation of glycolysis and gluconeogenesis by acetylation of PKM and PEPCK.
AB - Glycolysis is a catabolic process of glucose hydrolysis needed for energy and
biosynthetic intermediates, whereas gluconeogenesis is a glucose production
process important for maintaining blood glucose levels during starvation.
Although they share many enzymes, these two processes are not simply the reverse
of each other and are instead reciprocally regulated. Two key enzymes that
regulate irreversible steps in these two processes are pyruvate kinase (PK) and
phosphoenolpyruvate carboxy kinase (PEPCK), which catalyze the last and first
step of glycolysis and gluconeogenesis, respectively, and are both regulated by
lysine acetylation. Acetylation at Lys305 of the PKM (muscle form of PK)
decreases its activity and also targets it for chaperone-mediated autophagy and
subsequent lysosome degradation. Acetylation of PEPCK, on the other hand, targets
it for ubiquitylation by the HECT E3 ligase, UBR5/EDD1, and subsequent
proteasomal degradation. These studies established a model in which acetylation
regulates metabolic enzymes via different mechanisms and also revealed cross talk
between acetylation and ubiquitination. Given that most metabolic enzymes are
acetylated, we propose that acetylation is a major posttranslational modifier
that regulates cellular metabolism.
PMID- 22096032
TI - The challenges and concerns companies face pertaining to the US Food and Drug
Administration 510(k) process for cardiac biomarkers.
PMID- 22096033
TI - Assessment of carotid plaque stability based on the dynamic enhancement pattern
in plaque components with multidetector CT angiography.
AB - BACKGROUND AND PURPOSE: Recent studies have investigated plaque morphology to
determine patients who are at high risk of carotid atherosclerosis. In this
study, we investigated whether a difference in dynamic enhancement pattern in
plaque components could be useful to assess plaque stability with multidetector
CT angiography. METHODS: Fifty-nine lesions with moderate to severe carotid
atherosclerosis in 51 patients (33 symptomatic, 18 asymptomatic) were
consecutively included. Early- and delayed-phase images were obtained in 3
equivalent axial slices with multidetector CT angiography. Hounsfield units (HU)
in the early phase were subtracted from those in the delayed phase in plaques
(DeltaHU) and compared with clinical features, MRI-based plaque characteristics,
and histological findings with 20 surgical specimens acquired from carotid
endarterectomy. RESULTS: The DeltaHU was significantly higher in asymptomatic
than that in symptomatic presentation (P=0.02). With MRI, a higher DeltaHU was
negatively correlated with signal intensity on T1-weighted imaging (r=-0.56,
P<0.0001). Histology confirmed that DeltaHU was positively correlated with
fibrous tissue (r=0.67, P=0.001) and negatively correlated with a lipid-rich
necrotic core with hemorrhage (r=-0.70, P<0.001). Moreover, less
neovascularization and inflammation was found in plaques with a higher DeltaHU.
CONCLUSIONS: Delayed-phase images provide information regarding the dynamic
change in contrast media from the early arterial phase. An increase in HU from
the early phase on multidetector CT angiography indicates plaque stability with
more fibrous tissue and a less lipid-rich necrotic core, intraplaque hemorrhage,
and neovascularization.
PMID- 22096031
TI - Role of ST2 in non-ST-elevation acute coronary syndrome in the MERLIN-TIMI 36
trial.
AB - OBJECTIVE: We investigated the prognostic performance of ST2 with respect to
cardiovascular death (CVD) and heart failure (HF) in patients with non-ST
elevation acute coronary syndrome (NSTE-ACS) in a large multinational trial.
BACKGROUND: Myocytes that are subjected to mechanical stress secrete ST2, a
soluble interleukin-1 receptor family member that is associated with HF after STE
ACS. METHODS: We measured ST2 with a high-sensitivity assay in all available
baseline samples (N=4426) in patients enrolled in the Metabolic Efficiency With
Ranolazine for Less Ischemia in the Non-ST-Elevation Acute Coronary Syndrome
Thrombolysis in Myocardial Infarction 36 (MERLIN-TIMI 36), a placebo-controlled
trial of ranolazine in NSTE-ACS. All events, including cardiovascular death and
new or worsening HF, were adjudicated by an independent events committee.
RESULTS: Patients with ST2 concentrations in the top quartile (>35 MUg/L) were
more likely to be older and male and have diabetes and renal dysfunction. ST2 was
only weakly correlated with troponin and B-type natriuretic peptide. High ST2 was
associated with increased risk for CVD/HF at 30 days (6.6% vs 1.6%, P<0.0001) and
1 year (12.2% vs 5.2%, P<0.0001). The risk associated with ST2 was significant
after adjustment for clinical covariates and biomarkers (adjusted hazard ratio
CVD/HF 1.90, 95% CI 1.15-3.13 at 30 days, P=0.012; 1.51, 95% CI 1.15-1.98 at 1
year, P=0.003), with a significant integrated discrimination improvement
(P<0.0001). No significant interaction was found between ST2 and ranolazine
(Pinteraction=0.15). CONCLUSIONS: ST2 correlates weakly with biomarkers of acute
injury and hemodynamic stress but is strongly associated with the risk of HF
after NSTE-ACS. This biomarker and related pathway merit further investigation as
potential therapeutic targets for patients with ACS at risk for cardiac
remodeling.
PMID- 22096034
TI - Levodopa treatment improves functional recovery after experimental stroke.
AB - BACKGROUND AND PURPOSE: Delayed treatment of patients with stroke with
levodopa/benserazide contributes to enhanced functional recovery, but the
mechanisms involved are poorly understood. The present study was designed to
investigate if levodopa/benserazide treatment improves recovery of lost
neurological function and contributes to tissue reorganization in the rat brain
after stroke. METHODS: Male Wistar rats were subjected to transient occlusion of
the middle cerebral artery (120 minutes) and treated with levodopa (1, 5, and 20
mg/kg)/benserazide (15 mg/kg) or saline for 12 consecutive days starting on Day 2
after transient occlusion of the middle cerebral artery. Infarct volume was
determined and sensorimotor function was assessed using the rotating pole test, a
28-point neuroscore, and a cylinder test on Days 2, 7, and 14 after transient
occlusion of the middle cerebral artery. The spatiotemporal expression pattern of
dopamine-1 and dopamine-2 receptors and the dopamine- and cAMP-regulated neuronal
phosphoprotein in reactive astrocytes were analyzed in the ischemic hemisphere as
well as in cultured astrocytes. RESULTS: Treatment with levodopa/benserazide
significantly improved the recovery of sensorimotor function after transient
occlusion of the middle cerebral artery without affecting the infarct volume. In
addition, we found that different subpopulations of glial fibrillary acidic
protein-positive astrocytes in the peri-infarct area express dopamine-1 receptors
and dopamine-2 receptors as well as dopamine- and cAMP-regulated neuronal
phosphoprotein. CONCLUSIONS: Our results strongly corroborate the concept of
recovery enhancing actions of levodopa treatment after stroke. Also, astrocytes
in the peri-infarct area may contribute to the dopamine enhanced recovery
mechanisms.
PMID- 22096035
TI - Sulcal effacement on fluid attenuation inversion recovery magnetic resonance
imaging in hyperacute stroke: association with collateral flow and clinical
outcomes.
AB - BACKGROUND AND PURPOSE: The clinical significance of sulcal effacement has been
widely investigated in CT studies, but the results are controversial. In this
study, we evaluated the presence of perisylvian sulcal effacement (PSE) on fluid
attenuation inversion recovery MRI and hypothesized that PSE may be related to
collateral flow status together with hyperintense vessels on fluid attenuation
inversion recovery in hyperacute stroke. In addition, we investigated whether an
association between PSE and clinical outcome could be found in these patients.
METHODS: Consecutive patients with acute middle cerebral artery infarcts within 6
hours of symptom onset were included. All patients had internal carotid artery or
middle cerebral artery occlusion and underwent MRI including FLAIR. The presence
of PSE and hyperintense vessels on fluid attenuation inversion recovery and the
collateral status and occurrence of early recanalization (ER) on conventional
angiography were evaluated. RESULTS: Of 139 patients, 79 (56.8%) had PSE.
Multivariate testing revealed PSE was independently associated with collateral
status. The association between hyperintense vessels and collaterals was
different depending on PSE. Compared to PSE-positive and ER-negative patients,
PSE-negative and ER-negative patients (odds ratio, 4.11; 95% confidence interval,
1.12-15.17) and PSE-negative and ER-positive patients (odds ratio, 34.62; 95%
confidence interval, 5.75-208.60), but not PSE-positive and ER-positive patients,
were more likely to experience favorable clinical outcomes (modified Rankin Scale
score <= 2 at 3 months). CONCLUSIONS: PSE is independently associated with
collateral status in patients with acute middle cerebral artery stroke. Moreover,
PSE in conjunction with recanalization status can predict clinical outcomes in
these patients.
PMID- 22096036
TI - Atrophy of spared gray matter tissue predicts poorer motor recovery and
rehabilitation response in chronic stroke.
AB - BACKGROUND AND PURPOSE: Although the motor deficit after stroke is clearly due to
the structural brain damage that has been sustained, this relationship is
attenuated from the acute to chronic phases. We investigated the possibility that
motor impairment and response to constraint-induced movement therapy in patients
with chronic stroke may relate more strongly to the structural integrity of brain
structures remote from the lesion than to measures of overt tissue damage.
METHODS: Voxel-based morphometry analysis was performed on MRI scans from 80
patients with chronic stroke to investigate whether variations in gray matter
density were correlated with extent of residual motor impairment or with
constraint-induced movement therapy-induced motor recovery. RESULTS: Decreased
gray matter density in noninfarcted motor regions was significantly correlated
with magnitude of residual motor deficit. In addition, reduced gray matter
density in multiple remote brain regions predicted a lesser extent of motor
improvement from constraint-induced movement therapy. CONCLUSIONS: Atrophy in
seemingly healthy parts of the brain that are distant from the infarct accounts
for at least a portion of the sustained motor deficit in chronic stroke.
PMID- 22096038
TI - Traditional urinary biomarkers in the assessment of hospital-acquired AKI.
AB - Traditional biomarkers, such as urine chemistries and urine microscopic elements,
are used in the diagnosis and care of patients with AKI. Urine chemistries, such
as fractional excretion of sodium and fractional excretion of urea, are useful
for differentiating prerenal AKI from acute tubular necrosis only in select
patients. Urine microscopy using a quantitative evaluation of the urine sediment
for renal tubular epithelial cells, renal tubular epithelial cell casts, and
granular casts has recently been shown to differentiate prerenal AKI from acute
tubular necrosis and also provide prognostic information. Urine microscopy has
also been noted to compare favorably with new urine biomarkers for diagnosis and
prognosis of AKI. Thus, current information on urine diagnostics suggests that
urine chemistries have a limited role in differential diagnosis of AKI, whereas
urine microscopy and new urine biomarkers may be used together to differentiate
prerenal AKI from acute tubular necrosis and predict such outcomes as worsened
AKI, acute dialysis, and death.
PMID- 22096037
TI - Timing and determinants of erythropoietin deficiency in chronic kidney disease.
AB - BACKGROUND AND OBJECTIVES: Anemia in patients with CKD is highly related to
impaired erythropoietin (EPO) response, the timing and determinants of which
remain unknown. DESIGN, SETTING, PARTICIPANTS, & MEASUREMENTS: This study
measured EPO levels and studied their relation to GFR measured by 51Cr-EDTA renal
clearance (mGFR) in 336 all-stage CKD patients not receiving any erythropoiesis
stimulating agent. RESULTS: In patients with anemia defined by World Health
Organization criteria (hemoglobin [Hb] <13 g/dl in men and 12 g/dl in women), EPO
response to Hb level varied by mGFR level. EPO and Hb levels were negatively
correlated (r=-0.22, P=0.04) when mGFR was >30 ml/min per 1.73 m(2), whereas they
were not correlated when mGFR was <30 (r=0.09, P=0.3; P for interaction=0.01). In
patients with anemia, the ratio of observed EPO to the level predicted by the
equation for their Hb level decreased from 0.72 (interquartile range, 0.57-0.95)
for mGFR >=60 ml/min per 1.73 m(2) to 0.36 (interquartile range, 0.16-0.69) for
mGFR <15. Obesity, diabetes with nephropathy other than diabetic glomerulopathy,
absolute iron deficiency, and high C-reactive protein concentrations were
associated with increased EPO levels, independent of Hb and mGFR. CONCLUSIONS:
Anemia in CKD is marked by an early relative EPO deficiency, but several factors
besides Hb may persistently stimulate EPO synthesis. Although EPO deficiency is
likely the main determinant of anemia in patients with advanced CKD, the presence
of anemia in those with mGFR >30 ml/min per 1.73 m(2) calls for other explanatory
factors.
PMID- 22096039
TI - AKI associated with macroscopic glomerular hematuria: clinical and
pathophysiologic consequences.
AB - Hematuria is a common finding in various glomerular diseases. This article
reviews the clinical data on glomerular hematuria and kidney injury, as well as
the pathophysiology of hematuria-associated renal damage. Although glomerular
hematuria has been considered a clinical manifestation of glomerular diseases
without real consequences on renal function and long-term prognosis, many studies
performed have shown a relationship between macroscopic glomerular hematuria and
AKI and have suggested that macroscopic hematuria-associated AKI is related to
adverse long-term outcomes. Thus, up to 25% of patients with macroscopic
hematuria-associated AKI do not recover baseline renal function. Oral
anticoagulation has been associated with glomerular macrohematuria-related kidney
injury. Several pathophysiologic mechanisms may account for the tubular injury
found on renal biopsy specimens. Mechanical obstruction by red blood cell casts
was thought to play a role. More recent evidence points to cytotoxic effects of
oxidative stress induced by hemoglobin, heme, or iron released from red blood
cells. These mechanisms of injury may be shared with hemoglobinuria or
myoglobinuria-induced AKI. Heme oxygenase catalyzes the conversion of heme to
biliverdin and is protective in animal models of heme toxicity. CD163, the
recently identified scavenger receptor for extracellular hemoglobin, promotes the
activation of anti-inflammatory pathways, opening the gates for novel therapeutic
approaches.
PMID- 22096040
TI - The effect of high-flux hemodialysis on hemoglobin concentrations in patients
with CKD: results of the MINOXIS study.
AB - BACKGROUND AND OBJECTIVES: Hemodialysis treatment induces markers of inflammation
and oxidative stress, which could affect hemoglobin levels and the response to
erythropoietin use. This study sought to determine whether high-flux dialysis
would help improve markers of renal anemia, inflammation, and oxidative stress
compared with low-flux dialysis. DESIGN, SETTINGS, PARTICIPANTS, & MEASUREMENTS:
In a prospective, controlled study, 221 patients undergoing maintenance
hemodialysis and receiving darbepoetin-alfa treatment (mean age, 66 years; 55%
male) from 19 centers were screened in a 20-week run-in period of low-flux
hemodialysis with a synthetic dialysis membrane. Thereafter, 166 patients were
enrolled and randomly assigned to receive a synthetic high-flux membrane or to
continue on low-flux dialysis for 52 weeks. Data on myeloperoxidase, oxidized
LDL, high-sensitivity C-reactive protein, and the Malnutrition Inflammation Score
were collected at baseline and after 52 weeks; routine laboratory data, such as
hemoglobin, ferritin, and albumin, and the use of darbepoetin-alfa, were also
measured in the run-in period. Results After 52 weeks, the low-flux and the high
flux groups did not differ with respect to hemoglobin (mean +/- SD, 11.7+/-0.9
g/dl versus 11.7+/-1.1 g/dl; P=0.62) or use of darbepoetin-alfa (mean dosage +/-
SD, 29.8+/-24.8 MUg/wk versus 26.0+/-31.1 MUg/wk; P=0.85). Markers of
inflammation, oxidative stress, or nutritional status also did not differ between
groups. CONCLUSION: Over 1 year, high-flux dialysis had no superior effects on
hemoglobin levels or markers of inflammation, oxidative stress, and nutritional
status. These data do not support the hypothesis that enhanced convective toxin
removal would improve patient outcome.
PMID- 22096041
TI - Seasonal variations in mortality, clinical, and laboratory parameters in
hemodialysis patients: a 5-year cohort study.
AB - BACKGROUND AND OBJECTIVES: Mortality varies seasonally in the general population,
but it is unknown whether this phenomenon is also present in hemodialysis
patients with known higher background mortality and emphasis on cardiovascular
causes of death. This study aimed to assess seasonal variations in mortality, in
relation to clinical and laboratory variables in a large cohort of chronic
hemodialysis patients over a 5-year period. DESIGN, SETTING, PARTICIPANTS, &
MEASUREMENTS: This study included 15,056 patients of 51 Renal Research Institute
clinics from six states of varying climates in the United States. Seasonal
differences were assessed by chi-squared tests and univariate and multivariate
cosinor analyses. RESULTS: Mortality, both all-cause and cardiovascular, was
significantly higher during winter compared with other seasons (14.2 deaths per
100 patient-years in winter, 13.1 in spring, 12.3 in autumn, and 11.9 in summer).
The increase in mortality in winter was more pronounced in younger patients, as
well as in whites and in men. Seasonal variations were similar across
climatologically different regions. Seasonal variations were also observed in
neutrophil/lymphocyte ratio and serum calcium, potassium, and platelet values.
Differences in mortality disappeared when adjusted for seasonally variable
clinical parameters. CONCLUSIONS: In a large cohort of dialysis patients,
significant seasonal variations in overall and cardiovascular mortality were
observed, which were consistent over different climatic regions. Other
physiologic and laboratory parameters were also seasonally different. Results
showed that mortality differences were related to seasonality of physiologic and
laboratory parameters. Seasonal variations should be taken into account when
designing and interpreting longitudinal studies in dialysis patients.
PMID- 22096042
TI - Fosfomycin: evaluation of the published evidence on the emergence of
antimicrobial resistance in Gram-negative pathogens.
AB - Fosfomycin has attracted renewed interest for the treatment of lower urinary
tract and even systemic infections caused by Gram-negative pathogens with
resistance to traditionally used agents. The main concern regarding the clinical
utility of fosfomycin refers to the potential for the emergence of resistance
during therapy. In this review, we evaluate the available published evidence
regarding the mechanisms and the frequency of in vitro mutational resistance to
fosfomycin in Gram-negative pathogens. We also review data regarding the
emergence of resistance in clinical studies of fosfomycin therapy in various
infectious syndromes and data from studies that evaluate the evolution of
fosfomycin resistance over time. There appears to be discordance between the high
frequency of mutational resistance to fosfomycin in vitro and the lower extent of
this phenomenon in clinical studies. This discordance could at least partly be
attributed to a biological cost associated with common mutations that confer
resistance to fosfomycin, including decreased growth rate and low adherence to
epithelial cells for the resistant mutants. The development of resistance appears
to be more frequent both in vitro and in clinical studies for Pseudomonas
aeruginosa in comparison with Escherichia coli, whereas relevant data for other
Enterobacteriaceae are relatively scarce. The urinary tract seems to provide a
favourable environment for the use of fosfomycin with a low associated likelihood
for the emergence of resistance, owing to high drug concentrations and acidic pH.
Additional data are needed to further clarify the optimal use of fosfomycin for
different infectious syndromes caused by contemporary multidrug-resistant
pathogens.
PMID- 22096043
TI - A novel phenicol exporter gene, fexB, found in enterococci of animal origin.
AB - OBJECTIVES: To investigate two porcine Enterococcus isolates for the genetic
basis of phenicol resistance and to determine the location and the genetic
environment of the novel resistance gene. METHODS: A total of 391 isolates with
reduced florfenicol susceptibility (MIC >= 16 mg/L), obtained from 557 nasal
swabs of individual pigs, were screened by PCR for the known florfenicol
resistance genes. Isolates that were negative in these PCRs were analysed for
their species assignment and antimicrobial susceptibility. Plasmids were
extracted and subjected to transformation and conjugation assays. Restriction
fragments of the phenicol resistance plasmids were cloned and sequenced. The
sequences obtained were analysed and compared with sequences deposited in the
databases. RESULTS: The two isolates, Enterococcus faecium EFM-1 and Enterococcus
hirae EH-1, exhibited MICs of chloramphenicol and florfenicol of 64 mg/L and
carried a new phenicol resistance gene, designated fexB. This gene codes for a
phenicol exporter of 469 amino acids organized in 14 transmembrane domains. The
fexB gene was located on the 35 kb pEFM-1 from E. faecium and on the 25.3 kb pEH
1 from E. hirae, respectively. Both plasmids were non-conjugative. The fexB gene
was found to be embedded in virtually the same genetic environment of 14.8 kb in
both plasmids. CONCLUSION: To the best of our knowledge, this is the first report
of the new florfenicol exporter gene fexB. Based on its plasmid location,
horizontal transfer from the enterococci to other bacteria is possible.
PMID- 22096044
TI - Emerging HIV-1 resistance to tipranavir and darunavir in patients with
virological failure to first-generation protease inhibitors in Taiwan.
AB - Ritonavir-boosted tipranavir (TPV/r) and darunavir (DRV/r) have been approved in
patients with virological resistance to multiple protease inhibitors (PIs).
Whether the HIV-1 from these patients with virological failure to first
generation PIs remains susceptible to TPV/r or DRV/r is questionable. The
susceptibilities of HIV-1 isolates to second-generation PIs in patients who
experienced virological failure in three time periods were analysed: 9-2006 to 4
2007 (period 1), 5-2007 to 12-2007 (period 2) and 1-2008 to 8-2008 (period 3). A
total of 53 subjects were enrolled, and 51 subject isolates (96.2%) were
resistant to >=1 PIs. The mutation scores for TPV and DRV, and the percentage of
isolates with resistance to TPV or DRV, increased significantly from period 1 to
period 3. Our data revealed a significant increase in the levels of genotypic
resistance to TPV and DRV over the past two years in patients with virological
failure to first-generation PIs.
PMID- 22096045
TI - Enrolment and programmatic trends and predictors of antiretroviral therapy
initiation from president's emergency plan for AIDS Relief (PEPFAR)-supported
public HIV care and treatment sites in rural Mozambique.
AB - Many countries in sub-Saharan Africa have made antiretroviral therapy (ART)
available in urban settings, but the progress of treatment expansion into rural
Africa has been slower. We analysed routine data for patients enrolled in a rural
HIV treatment programme in Zambezia Province, Mozambique (1 June 2006 through 30
March 2009). There were 12,218 patients who were >=15 years old enrolled (69%
women). Median age was 25 years for women and 31 years for men. Older age and
higher level of education were strongly predictive of ART initiation (P < 0.001).
Patients with a CD4+ count of 350 cells/MUL versus 50 cells/MUL were less likely
to begin ART (odds ratio [OR]: 0.19, 95% confidence interval [CI]: 0.16-0.23). In
rural sub-Saharan Africa, HIV testing, linkage to care, logistics for ART
initiation and fears among some patients to take ART require specialized planning
to maximize successes. Sustainability will require improved health manpower,
infrastructure, stable funding, continuous drug supplies, patient record systems
and, most importantly, community engagement.
PMID- 22096046
TI - A prognostic scoring tool for identification of patients at high and low risk of
death from HIV-associated Pneumocystis jirovecii pneumonia.
AB - A prognostic scoring tool (PST) was created to aid prediction of outcome from HIV
associated Pneumocystis jirovecii pneumonia (PCP) using data obtained from 577
episodes of PCP among 540 patients presenting to a specialist HIV treatment
centre in London, UK. It used risk factors identifiable at/soon after
hospitalization, previously identified as being associated with mortality: repeat
episode of PCP, patient's age, haemoglobin (Hb) and oxygen partial pressure
(PaO(2)) on admission, presence of medical co-morbidity (Comorb) and of pulmonary
Kaposi sarcoma (PKS). The derived PST was 25.5+(age in years/10) + 2 (if a repeat
episode of PCP) + 3 (if Comorb present) + 4 (if PKS detected) - PaO(2) (kPa) - Hb
(g/dL), and produced scores that ranged between 0 and 19. Patients were divided
into five groups according to their prognostic score: 0-3.9 = group 1 (0%
mortality), 4-7.9 = group 2 (3% mortality), 8-10.9 = group 3 (9% mortality), 11
14.9 = group 4 (29% mortality) and >= 15 = group 5 (52% mortality). This PST
facilitates rapid identification of patients early in their hospitalization who
have mild or severe HIV-associated PCP and who are at high and low risk of in
hospital death from PCP. The PST may aid assessment of severity of illness and in
directing treatment strategies, but requires validation in patient cohorts from
other health-care institutions.
PMID- 22096047
TI - Young adults' preferred options for receiving chlamydia screening test results: a
cross-sectional survey of 6085 young adults.
AB - We investigated how young adults aged 18-29 years would like to be notified of
chlamydia screening test results, and, when they test positive, their willingness
and preferred mechanism for informing their partners. We conducted a cross
sectional survey of 6085 young adults and found that a call to their mobile phone
was their preferred way of receiving positive test results (selected by 50%),
followed by email. Text messages (short message service [SMS]) and calls to
landline phones were unpopular options, selected by between 5 and 10%. Over 75%
of respondents stated they would inform their current partner of a positive
chlamydia diagnosis, and 50% would inform their previous partners. Most were
willing to receive yearly reminders to go for a chlamydia test. Young adults
preference for being informed of chlamydia test results by mobile phone call,
rather than by email or SMS text, especially if they test positive, suggests they
place high value on the security of the communication mechanism. Offering a range
of mechanisms for receipt of test results may increase the acceptability and
coverage of sexually transmitted infection (STI) control strategies.
PMID- 22096048
TI - Factors associated with repeat visits among clients attending a clinic for
sexually transmitted infections in Kisumu, Kenya.
AB - To identify factors associated with repeat visits among patients attending a
clinic for sexually transmitted infections (STIs) in Kisumu, Kenya, we examined
records of clinic visits from March 2009 to May 2010. Multivariable logistic
regression identified factors associated with repeat visits occurring >30 days
after the initial visit. Among 1473 clients (1296 single-visit individuals versus
177 individuals with repeat visits), the median age was 24 years, 67% were men
and 8.6% self-reported being HIV-positive. In adjusted analyses, men with repeat
visits were more likely to report >= 2 recent sexual partners (adjusted odds
ratio [aOR] = 1.60) and being HIV-positive (aOR = 2.35). They were less likely to
have been referred from other health facilities (aOR = 0.14) and more likely to
have urethral discharge at their initial visit (aOR = 2.46). Among women, repeat
visits were associated with vaginal discharge (aOR = 2.22), but attending the
clinic with a partner was protective (aOR = 0.38). The association between sexual
risk, HIV positivity and repeat visits among male clients highlights the need to
focus intervention efforts on this group. For women, attending with a partner may
reflect a decreased risk of re-infection if both partners are treated and
counselled together.
PMID- 22096050
TI - Particular clinical presentations of psoriasis in HIV patients.
AB - Patients with HIV infection have a higher propensity for infectious,
inflammatory, neoplastic and metabolic disorders. The link with psoriasis
vulgaris is explained by well-known pathophysiological phenomena and can be
observed at any stage of infection. The aim of our study was to characterize the
clinical spectrum of psoriasis in a group of 50 patients with HIV. Our findings
showed particular clinical presentations of psoriasis in those patients,
suggesting a predilection for scalp lesions, palmoplantar keratoderma and
flexural involvement, as well as a trend towards severe immunodeficiency.
Psoriasis, a frequent reason for consultation, allows earlier suspicion and
diagnosis of HIV in the presence of these particular patterns.
PMID- 22096049
TI - Impact of international laboratory partnerships on the performance of
HIV/sexually transmitted infection testing in five resource-constrained
countries.
AB - To review a quality control and quality assurance (QC/QA) model established to
ensure the validity and reliability of collection, storage and analysis of
biological outcome data, and to promote good laboratory practices (GLPs) and
sustained operational improvements in international clinical laboratories, we
conducted a two-arm randomized community-level HIV behavioural intervention trial
in five countries: China, India, Peru, Russia and Zimbabwe. The trial was based
on diffusion theory utilizing a Community Popular Opinion Leaders (CPOLs)
intervention model with behavioural and biological outcomes. The QC/QA model was
established by the Biological Outcome Workgroup, which collaborated with the Data
Coordinating Center and John Hopkins University Reference Laboratory. Five
international laboratories conducted chlamydia/gonorrhoea polymerase chain
reaction (PRC)-based assays, herpes simplex virus type 2 enzyme immunoassay
(EIA), syphilis serology (rapid plasma regain and Treponema pallidum particle
agglutination assay, HIV serology (EIA/Western blot) and Trichomonas vaginalis
culture. Data were collected at baseline, 12 and 24 months. Laboratory
performance and infrastructure improved throughout the trial. Recommendations for
improvement were consistently followed. Quality laboratories in resource-poor
settings can be established, operating standards can be improved and
certification can be obtained with consistent training, monitoring and technical
support. Building collaborative partnership relations can establish a sustainable
network for clinical trials, and can lead to accreditation and international
laboratory development.
PMID- 22096051
TI - Oral sex practices, oral human papillomavirus and correlations between oral and
cervical human papillomavirus prevalence among female sex workers in Lima, Peru.
AB - Few data exist on oral human papillomavirus (HPV) prevalence in female sex
workers (FSWs). Information regarding oral sex practices of 185 Peruvian FSWs, 18
26 years of age, was obtained via survey and compared with HPV testing results of
oral rinse samples. Oral HPV prevalence was 14/185 (7.6%); four (28.9%) HPV
genotypes were carcinogenic. One hundred and eighty-two participants reported
having had oral sex; 95% reported condom use during oral sex with clients and
9.5% with partners. Women who had oral sex more than three times with their
partners in the past month were more likely to have oral HPV than women who had
oral sex three times or less (P = 0.06). Ten (71.4%) women with oral HPV were HPV
positive at the cervix; conversely 8.3% of women with cervical HPV were HPV
positive in the oral cavity. The prevalence of oral HPV was relatively low,
considering the high rates of oral sex practiced by these women.
PMID- 22096052
TI - Factors associated with HIV-1 virological failure in an outpatient clinic for HIV
infected people in Haiphong, Vietnam.
AB - The objective of our study was to investigate factors associated with virological
failure in 100 consecutive HIV-1 infected Vietnamese adults who initiated
antiretroviral therapy (ART) from June 2007 to June 2008. Data were collected
from medical records, and a structured questionnaire was used in individual
interviews to investigate factors associated with adherence to ART. Plasma HIV
viral load was measured at the time of the interview. The median age was 35
years, 35% were women and heterosexual intercourse was the most common mode of
HIV transmission (61%). After a median of 14 months since starting ART, 23% had
detectable HIV-1 viral load (>= 400 copies/mL). Patients who had developed a
World Health Organization (WHO) clinical stage 4 condition at the time of
initiation of ART were more likely to experience virological failure than those
in stages 1-3, odds ratio (OR): 5.20 (95% confidence interval [CI] 1.34-20.11), P
= 0.017. Patients who reported that their health status was evaluated by a
physician at each visit were less likely to experience virological failure, OR:
0.02 (95% CI 0.00-0.24), P = 0.002.
PMID- 22096053
TI - Predictors of poor clinical outcome of cryptococcal meningitis in HIV-infected
patients.
AB - The aim of this study was to identify baseline prognostic factors for poor
clinical outcome of HIV-associated cryptococcal meningitis. We conducted a trial
in Thailand and the USA comparing low- and high-dose concomitant use of
amphotericin B and fluconazole for HIV-associated cryptococcal meningitis to
amphotericin B followed by fluconazole. Subjects who were either alive and
cerebrospinal fluid (CSF) culture-positive or dead were considered to have a poor
outcome. At day 14, baseline characteristics associated with poor outcome
included: low weight, high CSF cryptococcal antigen (CrAg) titre and low CSF
white blood cell (WBC) count. At day 70, the associated baseline characteristics
included: CSF CrAg titre >1:1024 and low Karnofsky performance status. Overall,
consistent with published findings, low weight, high CSF CrAg titre and low CSF
WBC counts at baseline were predictors for poor clinical outcome. In addition, we
found that low Karnofsky performance status was predictive of poor outcome.
Prompt management with appropriate antifungal therapy for this particular group
of patients may improve the outcomes.
PMID- 22096054
TI - An evaluation of the early effects of a combination antiretroviral therapy
programme on the management of AIDS-associated Kaposi's sarcoma in KwaZulu-Natal,
South Africa.
AB - Roll-out of combination antiretroviral therapy (cART) in South Africa should
impact on AIDS-associated Kaposi's sarcoma (KS). Government provision began in
2003, with 23% coverage for World Health Organization (WHO) stage IV AIDS in
2006. To assess the effect of cART availability on KS management, we evaluated
records from 701 KS patients seen at a tertiary oncology centre in KwaZulu-Natal,
South Africa, from 1995 to 2006. Associations between cART use and measures of KS
care were evaluated. cART availability was 0% prior to 2001, 9.6% (2001-2003) and
44% (2004-2006). Documentation of HIV status increased incrementally from 65% to
92%. cART was associated with chemotherapy administration: 56% on cART versus 17%
not on cART (P < 0.001); and less loss to follow-up, 13% on cART versus 38% not
on cART (P < 0.001). cART availability improves the care of AIDS-associated KS.
Further increases in cART availability for this population are needed in South
Africa.
PMID- 22096055
TI - The influence of male circumcision for HIV prevention on sexual behaviour among
traditionally circumcised men in Cape Town, South Africa.
AB - We examined the relationship between HIV prevention beliefs related to male
circumcision and sexual behaviour/sexually transmitted infection (STI)
acquisition among traditionally circumcised men in Cape Town, South Africa. HIV
negative men (n = 304), circumcised for cultural/religious reasons, attending a
health clinic in Cape Town, South Africa, completed cross-sectional surveys.
Generalized linear models were used to analyse the relationships between
unprotected vaginal sex acts, number of female sexual partners, STI diagnoses and
male circumcision-related beliefs and risk perceptions. Men who were aware that
circumcision offers protection against HIV (relative risk [RR] = 1.19, 95%
confidence interval [CI] = 1.06-1.32, P < 0.01), endorsed risk compensation
related to male circumcision (RR = 1.15, 95% CI = 1.11-1.12, P < 0.01) and
perceived lower risk of HIV infection when circumcised (RR = 1.08, 95% CI = 1.04
1.12, P < 0.01) were more likely to report unprotected vaginal sex acts. Similar
patterns were also identified when predicting number of female sexual partners.
Men who were more likely to endorse risk compensation related to male
circumcision were also more likely to be diagnosed with a chronic STI (odds ratio
[OR] = 1.64, 95% CI = 1.06-2.53, P < 0.05). Our findings suggest that we must not
overlook the effects of beliefs towards male circumcision for HIV prevention
among men traditionally circumcised; doing so may undermine current efforts to
reduce HIV transmission through male circumcision.
PMID- 22096056
TI - Microscopy for symptomatic men: not all symptoms are born equal.
AB - We reviewed microscopy results for symptomatic male patients in order to identify
clinical correlates of urethritis as diagnosed by same-day microscopy. Higher
polymorph counts were found in patients presenting with discharge, or discharge
together with dysuria, but dysuria without discharge was strongly associated with
negative urethral microscopy. Symptoms were strongly linked to microscopy outcome
but partnerships were not linked to either symptoms or outcome.
PMID- 22096057
TI - Screening for alcohol use disorders in a genitourinary medicine setting.
AB - The new National Institute for Health and Clinical Excellence (NICE) guidance
cites genitourinary (GU) medicine clinics as one setting where screening for
alcohol-use disorders should be part of routine clinical practice. Northumberland
GU medicine service has routinely used the Fast Alcohol Screening Tool (FAST)
since June 2009. An audit of all first attendees to the service in April 2010 (n
= 256) was carried out. This audit found that the FAST questionnaire was
acceptable to both patients and staff (uptake of 95.7%). Statistically
significant associations between excessive alcohol and higher rates of
unprotected sex (P < 0.01), an increased number of sexual partners (P < 0.01) and
higher rates of sexually transmitted infection (STI) diagnosis (P < 0.05) were
also demonstrated.
PMID- 22096058
TI - Audit of receptionists' records of symptoms and concurrence with clinician
consultation: data collected for the Department of Health.
AB - Audit of reliability of data collected by genitourinary (GU) medicine reception
staff in north Cumbria for Department of Health mandatory returns suggests that
receptionist-logged presence or absence of symptoms does not concur well with
subsequent symptom disclosure to clinicians during consultations, even after the
use of patient-completed symptom-specific questionnaires. Triage or fast-tracking
based upon symptoms in order to select those at risk of sexually transmitted
infection (STI) is likely to fail for a significant proportion of patients.
Department of Health symptoms data need to be interpreted with caution.
PMID- 22096059
TI - Sexual health of transgender sex workers attending an inner-city genitourinary
medicine clinic.
AB - Previous studies have reported high HIV prevalence among transgender sex workers
(TSWs). We performed a retrospective case-note review of known TSWs attending our
unit. In all, 16/24 (66.7%) of patients were diagnosed with at least one sexually
transmitted infection (STI) and 7/24 (29.2%) reported intentional unprotected
anal or vaginal intercourse, which may explain the high prevalence of HIV in our
cohort (37.5%). TSWs disclosed high rates of substance misuse, violence and
sexual assault, which may also contribute to the increased prevalence of STI in
this group.
PMID- 22096060
TI - Acute hepatitis B: the limits of maintaining patient confidentiality.
AB - Household contacts of hepatitis B (HBV) are at risk of infection, and guidelines
advise vaccination of these contacts in addition to sexual partners (along with
traditional high-risk groups). We present a case of intrafamilial transmission of
acute hepatitis B virus (HBV) following failure to self-disclose status to family
members. Complex confidentiality issues can arise following a diagnosis of HBV
infection.
PMID- 22096061
TI - HIV-1 clade A infection and viral control: an immunological perspective on a case
of underquantification.
AB - Although a vast majority of HIV-1-positive patients in the UK are infected with
clade B virus, a large number of newly diagnosed cases of heterosexually
transmitted HIV-1 are acquired abroad, in countries where non-B clade HIV-1
predominates. Since the development of the viral load assay in 1988, assessment
of HIV-1 plasma viraemia has become an integral part of HIV clinical care;
however, the contemporary viral load assay was developed and optimized for clade
B HIV-1. Here we report the underquantification of viraemia in an individual
infected with clade A virus, and the consequent initial classification of the
patient as an HIV controller (HIC). Immunological investigations of interferon
(IFN)-gamma and lymphoproliferative responses to HIV-1 clade B antigens and
peptides, in parallel with mitogenic stimulation, were performed. Subsequent
comparison with responses observed within clade B-infected HIC led to viral
sequencing, confirmation of infecting clade and recommendation of antiretroviral
therapy initiation. We emphasize the growing need for awareness of possible
limitations of the commonly used viral load assays, which cannot be relied upon
unreservedly in a clinical setting. Furthermore, this case highlights the
increasing need for more detailed investigation into both viral genetics and
fitness when defining patients as HIC.
PMID- 22096062
TI - European Surveillance of Antimicrobial Consumption (ESAC): outpatient penicillin
use in Europe (1997-2009).
AB - BACKGROUND: Data on 13 years (1997-2009) of outpatient penicillin use were
collected from 33 European countries within the European Surveillance of
Antimicrobial Consumption (ESAC) project and analysed in detail. METHODS: For the
period 1997-2009, data on outpatient use of systemic penicillins aggregated at
the level of the active substance were collected using the Anatomical Therapeutic
Chemical (ATC)/defined daily dose (DDD) method (WHO, version 2011) and expressed
in DDD per 1000 inhabitants per day (DID). For detailed analysis of trends over
time, seasonal variation and composition of outpatient penicillin use in 33
European countries, we distinguished between narrow-spectrum penicillins (NSP),
broad-spectrum penicillins (BSP), penicillinase-resistant penicillins (PRP) and
combinations with beta-lactamase inhibitors (COP). RESULTS: Total outpatient
penicillin (ATC group J01C) use in 2009 varied by a factor of 3.8 between the
countries with the highest (16.08 DID in France) and lowest (4.23 DID in the
Russian Federation) use. COP represented 45.8%, BSP 40.7%, NSP 10.8% and PRP 2.6%
of total European outpatient penicillin use. Total outpatient penicillin use
significantly increased over time by 1.53 (SD 0.71) DID between 1997 and 2009.
COP (mainly co-amoxiclav) increased by 2.17 (SD 0.40) DID, which was the result
of its absolute increase as well as the observed shift from NSP and BSP towards
COP. This increase exceeded 10% in 20 countries, where it coincided with a
similar decrease in either BSP (15 countries) or NSP (5 countries). CONCLUSIONS:
Penicillins represented the most widely used antibiotic subgroup in all 33
participating countries, albeit with considerable variation in their use
patterns. For Europe, a continuous increase in overall penicillin use and of COP
use was observed during the period 1997-2009.
PMID- 22096063
TI - European Surveillance of Antimicrobial Consumption (ESAC): outpatient
cephalosporin use in Europe (1997-2009).
AB - BACKGROUND: Data on 13 years of outpatient cephalosporin use were collected from
33 European countries within the European Surveillance of Antimicrobial
Consumption (ESAC) project, funded by the European Centre for Disease Prevention
and Control (ECDC), and analysed in detail. METHODS: For the period 1997-2009,
data on outpatient use of systemic cephalosporins aggregated at the level of the
active substance were collected using the Anatomical Therapeutic Chemical
(ATC)/defined daily dose (DDD) method (WHO, version 2011) and expressed in DDD
per 1000 inhabitants per day (DID). For detailed analysis of trends over time,
seasonal variation and composition of outpatient cephalosporin use in 33 European
countries, we distinguished between first-generation (J01DB), second-generation
(J01DC), third-generation (J01DD) and fourth-generation (J01DE) cephalosporins.
RESULTS: Total outpatient cephalosporin use in 2009 varied from 8.7 DID in Greece
to 0.03 DID in Denmark. In general, use was higher in Southern and Eastern
European countries than in Northern European countries. Total outpatient
cephalosporin use increased over time by 0.364 (SD 0.473) DID between 1997 and
2009. Cephalosporin use increased for half of the countries. Low-consuming
Northern European countries and the UK further decreased their use. Second
generation cephalosporins increased by >20% in seven countries (mainly
cefuroxime), coinciding with a decrease in first-generation cephalosporins.
Substantial parenteral use of third-generation substances (mainly ceftriaxone)
was observed in France, Italy and the Russian Federation. CONCLUSIONS: Since
1997, the use of the older (narrow-spectrum) cephalosporins decreased in favour
of the newer (i.e. broad-spectrum) cephalosporins in most countries. Extreme
variations between European countries in cephalosporin use over time suggest that
they are to a large extent inappropriately used.
PMID- 22096064
TI - European Surveillance of Antimicrobial Consumption (ESAC): outpatient antibiotic
use in Europe (1997-2009).
AB - OBJECTIVES: To describe total outpatient systemic antibiotic use in Europe from
1997 to 2009 and to analyse statistically trends of total use and composition of
use over time. METHODS: For the period 1997-2009, data on outpatient use of
systemic antibiotics aggregated at the level of the active substance were
collected and expressed in defined daily doses (WHO, version 2011) and packages
per 1000 inhabitants per day (DID and PID, respectively). Outpatient antibiotic
(ATC J01) use in DID in the 33 European countries able to deliver valid data was
analysed using longitudinal and compositional data analyses. RESULTS: Total
outpatient antibiotic use in 2009 varied by a factor of 3.8 between the countries
with the highest (38.6 DID in Greece) and lowest (10.2 DID in Romania) use. For
Europe, a significant increase was found in total outpatient antibiotic use, as
well as a significant seasonal variation, which decreased over time from 1997 to
2009. Relative use of penicillins and quinolones significantly increased over
time with respect to sulphonamides and trimethoprim, and relative use of
quinolones increased with respect to macrolide/lincosamide/streptogramin as well.
More detailed analyses of these major antibiotic subgroups will be described in
separate papers. CONCLUSIONS: Outpatient antibiotic use in Europe measured as DID
has increased since 1997, whereas seasonal variation has decreased over time.
European Surveillance of Antimicrobial Consumption (ESAC) data on outpatient
antibiotic use in Europe enable countries to audit their antibiotic use.
Complemented by longitudinal and compositional data analyses, these data provide
a tool for assessing public health strategies aimed at reducing antibiotic
resistance and optimizing antibiotic prescribing.
PMID- 22096065
TI - European Surveillance of Antimicrobial Consumption (ESAC): outpatient macrolide,
lincosamide and streptogramin (MLS) use in Europe (1997-2009).
AB - BACKGROUND: Data on more than a decade of outpatient macrolide, lincosamide and
streptogramin (MLS) use in Europe were collected from 33 countries within the
European Surveillance of Antimicrobial Consumption (ESAC) project, funded by the
European Centre for Disease Prevention and Control (ECDC), using the WHO
Anatomical Therapeutic Chemical (ATC)/defined daily dose (DDD) methodology.
METHODS: For the period 1997-2009, data on outpatient use of systemic MLS
aggregated at the level of the active substance were collected and expressed in
DDD (WHO, version 2011) per 1000 inhabitants per day (DID). Using a
classification based on mean plasma elimination half-life, macrolide use was
analysed for trends over time, seasonal variation and composition. RESULTS: Total
outpatient MLS use in 2009 varied by a factor of 18 between the countries with
highest (11.5 DID in Greece) and lowest (0.6 DID in Sweden) use. MLS use showed
high seasonal variation. Short-, intermediate- and long-acting macrolides were
the most commonly used agents in 2, 25 and 5 countries, respectively (mainly
erythromycin, clarithromycin and azithromycin, respectively). In Sweden, mainly
lincosamides (clindamycin) were used. Lincosamide use was observed in all
countries, while substantial use of a streptogramin was only seen in France
(pristinamycin). For Europe, a significant increase in outpatient MLS use was
found, as well as a significant seasonal variation, which increased over time
from 1997 to 2009. Relative use of long-acting macrolides and lincosamides
significantly increased over time with respect to intermediate-acting macrolides,
and relative use of the latter increased with respect to short-acting macrolides.
CONCLUSIONS: The observed differences between European countries in the levels of
MLS use and the extreme seasonal variations in their use suggest that this
subgroup of antibiotics is still prescribed inappropriately in many countries.
PMID- 22096066
TI - European Surveillance of Antimicrobial Consumption (ESAC): outpatient quinolone
use in Europe (1997-2009).
AB - BACKGROUND: Data on more than a decade of outpatient quinolone use were collected
from 33 European countries within the European Surveillance of Antimicrobial
Consumption (ESAC) project, funded by the European Centre for Disease Prevention
and Control (ECDC). METHODS: For the period 1997-2009, data on outpatient use of
systemic quinolones aggregated at the level of the active substance were
collected using the Anatomical Therapeutic Chemical (ATC)/defined daily dose
(DDD) method (WHO, version 2011), and expressed in DDD and packages per 1000
inhabitants per day (DID and PID, respectively). Using a classification based on
pharmacokinetic and in vitro potency profiles, quinolone use was analysed with
regard to trends over time, seasonal variation and composition. RESULTS: Total
outpatient quinolone use in 2009 varied by a factor of 7.5 between the country
with the highest (Italy, 3.61 DID) and the country with the lowest (the UK, 0.48
DID) quinolone use. The second-generation quinolones accounted for >50% of
quinolone use (mainly ciprofloxacin), except for Croatia, where first-generation
quinolones (mainly norfloxacin) were mostly used. A significant increase in
outpatient quinolone use was found for Europe, as well as a large seasonal
variation, which increased significantly over time from 1997 to 2009. Relative
use of third-generation quinolones significantly increased over time with respect
to the use of second-generation quinolones, while the relative use of both
significantly increased with respect to the first-generation quinolones.
Levofloxacin and moxifloxacin (respiratory quinolones) represented >10% of
quinolone outpatient use in 17 countries, with extreme seasonal variation in all
countries. CONCLUSIONS: There was a substantial increase and change in the
pattern of quinolone use between 1997 and 2009, a period during which quinolones
that are effective for the treatment of respiratory tract infections were
introduced. These quinolones are not the first-line antibiotics for this
indication and their use should generally be limited, and quinolones should
ideally show no substantial seasonal variation in terms of their use.
PMID- 22096069
TI - Application of mixed-effects models to study the country-specific outpatient
antibiotic use in Europe: a tutorial on longitudinal data analysis.
AB - Resistance to antibiotics is a major public health problem and antibiotic use is
being increasingly recognized as the main selective pressure driving this
resistance. Yearly and quarterly data on outpatient antibiotic use were collected
by the European Surveillance of Antimicrobial Consumption (ESAC) project for the
period 1997-2009 from 33 and 27 European countries, respectively, and expressed
in defined daily doses per 1000 inhabitants per day. Since repeated measures were
taken for the countries, correlation has to be taken into account when analysing
the data. This paper illustrates the application of mixed-effects models to the
study of country-specific outpatient antibiotic use in Europe. Mixed models are
useful in a wide variety of disciplines in the biomedical, physical and social
sciences. In this application for outpatient antibiotic use, the linear mixed
model is extended to a non-linear mixed model, allowing analysis of seasonal
variation on top of a global trend, with country-specific effects for global mean
use and amplitude, and trends over time in use and in amplitude.
PMID- 22096068
TI - European Surveillance of Antimicrobial Consumption (ESAC): quality appraisal of
antibiotic use in Europe.
AB - OBJECTIVES: To assess quality of outpatient antibiotic use in Europe in 2009
based on the 12 European Surveillance of Antimicrobial Consumption (ESAC) drug
specific quality indicators and to evaluate changes in quality between 2004 and
2009. METHODS: Quality of outpatient antibiotic use in 2009 was compared between
32 countries by calculating the indicator values for 2009 for each of the 12 ESAC
drug-specific quality indicators based on outpatient antibiotic use data
expressed in defined daily doses per 1000 inhabitants per day (DID). For each of
the indicators we grouped the 2009 indicator values into four quartiles. To
evaluate changes in quality between 2004 and 2009, based on their respective
indicator values, countries were also grouped according to the quartile
distribution of the 2004 indicator values. Only countries able to deliver data
for both years were included in this analysis. RESULTS: In 2009 a difference in
the quality of outpatient antibiotic use between Nordic and Southern European
countries was observed. Quality of outpatient antibiotic use decreased between
2004 and 2009. In particular, there were increases in the quality indicators
[J01F_DID], [J01M_DID], [J01CR_%] and [J01_B/N], i.e. the use of macrolides,
lincosamides and streptogramins in DID, the use of quinolones in DID, the
proportional use of combinations of penicillins, including beta-lactamase
inhibitors and the ratio of broad- to narrow-spectrum antibiotics. CONCLUSIONS:
Quality of outpatient antibiotic use in DID decreased between 2004 and 2009. A
continuous effort to improve outpatient antibiotic consumption seems to be
essential to reduce outpatient antibiotic use in general and the use of broad
spectrum antibiotics in particular.
PMID- 22096067
TI - European Surveillance of Antimicrobial Consumption (ESAC): outpatient use of
tetracyclines, sulphonamides and trimethoprim, and other antibacterials in Europe
(1997-2009).
AB - BACKGROUND: Data on more than a decade of outpatient use of tetracyclines,
sulphonamides and trimethoprim, and other antibacterials in Europe were collected
from 33 countries as part of the European Surveillance of Antimicrobial
Consumption (ESAC) project, funded by the European Centre for Disease Prevention
and Control (ECDC). METHODS: For the period 1997-2009, data on outpatient use of
systemic tetracyclines, sulphonamides and trimethoprim, and other antibacterials
aggregated at the level of the active substance were collected and expressed in
defined daily doses (DDD; WHO, version 2011) per 1000 inhabitants per day (DID).
Using the Anatomical Therapeutic Chemical (ATC) classification, trends in the use
of tetracyclines (J01A), sulphonamides and trimethoprim (J01E) and other
antibacterials (J01X) over time, seasonal variation and composition of use were
analysed. RESULTS: In 2009, the variations in outpatient use of systemic
tetracyclines, sulphonamides and trimethoprim, and other antibacterials between
countries, and also in the composition of use over time, were huge. For
tetracyclines a significant and for sulphonamides and trimethoprim a non
significant decrease in use was observed between 1997 and 2009 in Europe. The
seasonal variation in their use significantly decreased over time. For the other
antibacterials, no significant changes in the volume of use or its seasonal
variation were seen. CONCLUSIONS: As for all other major antibiotic subgroups, a
striking variation in use and composition of use between countries in Europe was
observed for outpatient use of tetracyclines, sulphonamides and trimethoprim, and
other antibacterials. In combination with the decreasing use, especially of
recommended substances, this represents an opportunity not only to reduce
antibiotic use but also to improve its quality.
PMID- 22096070
TI - Analysing the composition of outpatient antibiotic use: a tutorial on
compositional data analysis.
AB - In this tutorial, statistical methods for studying outpatient use of antibiotics
in Europe are described, using data provided by IMS Health. The methods are
applied to two related research questions, namely the assessment of changes in
the relative volume of use of different antibiotic subclasses over time and
changes in the absolute volume of antibiotic use.
PMID- 22096071
TI - Basolateral rather than apical primary cilia on neuroepithelial cells committed
to delamination.
AB - Delamination of neural progenitors from the apical adherens junction belt of the
neuroepithelium is a hallmark of cerebral cortex development and evolution.
Specific cell biological processes preceding this delamination are largely
unknown. Here, we identify a novel, pre-delamination state of neuroepithelial
cells in mouse embryonic neocortex. Specifically, in a subpopulation of
neuroepithelial cells that, like all others, exhibit apical-basal polarity and
apical adherens junctions, the re-establishing of the primary cilium after
mitosis occurs at the basolateral rather than the apical plasma membrane.
Neuroepithelial cells carrying basolateral primary cilia appear at the onset of
cortical neurogenesis, increase in abundance with its progression, selectively
express the basal (intermediate) progenitor marker Tbr2, and eventually
delaminate from the apical adherens junction belt to become basal progenitors,
translocating their nucleus from the ventricular to the subventricular zone.
Overexpression of insulinoma-associated 1, a transcription factor known to
promote the generation of basal progenitors, increases the proportion of
basolateral cilia. Basolateral cilia in cells delaminating from the apical
adherens junction belt are preferentially found near spot-like adherens
junctions, suggesting that the latter provide positional cues to basolateral
ciliogenesis. We conclude that re-establishing a basolateral primary cilium
constitutes the first known cell biological feature preceding neural progenitor
delamination.
PMID- 22096072
TI - Differential plasticity of epiblast and primitive endoderm precursors within the
ICM of the early mouse embryo.
AB - Cell differentiation during pre-implantation mammalian development involves the
formation of two extra-embryonic lineages: trophoblast and primitive endoderm
(PrE). A subset of cells within the inner cell mass (ICM) of the blastocyst does
not respond to differentiation signals and forms the pluripotent epiblast, which
gives rise to all of the tissues in the adult body. How this group of cells is
set aside remains unknown. Recent studies documented distinct sequential phases
of marker expression during the segregation of epiblast and PrE within the ICM.
However, the connection between marker expression and lineage commitment remains
unclear. Using a fluorescent reporter for PrE, we investigated the plasticity of
epiblast and PrE precursors. Our observations reveal that loss of plasticity does
not coincide directly with lineage restriction of epiblast and PrE markers, but
rather with exclusion of the pluripotency marker Oct4 from the PrE. We note that
individual ICM cells can contribute to all three lineages of the blastocyst until
peri-implantation. However, epiblast precursors exhibit less plasticity than
precursors of PrE, probably owing to differences in responsiveness to
extracellular signalling. We therefore propose that the early embryo environment
restricts the fate choice of epiblast but not PrE precursors, thus ensuring the
formation and preservation of the pluripotent foetal lineage.
PMID- 22096073
TI - Gbetagamma signaling controls the polarization of zebrafish primordial germ cells
by regulating Rac activity.
AB - During development, primordial germ cells (PGCs) migrate from the sites of their
specification towards the region in which the future gonad develops. This cell
migration requires polarization of PGCs and their responsiveness to external
guidance cues. In zebrafish, the directed migration and polarization of PGCs are
regulated independently, by the chemokine Cxcl12a and the Rho GTPase Rac1,
respectively. However, the upstream signals controlling Rac activity in this
context have not yet been identified. By investigating the role of G proteins in
PGC migration, we found that signaling mediated by G protein subunits Gbetagamma
is required to regulate cell polarization. PGCs that are defective for Gbetagamma
signaling failed to polarize, and developed multiple protrusions in random
locations, resembling the defects observed in PGCs with decreased Rac activity.
These defects render PGCs incapable of migrating actively and responding to
directional cues. FRET-based assays showed that PGCs require Gbetagamma signaling
for polarized Rac activation and actin organization at the leading front, as well
as for maintaining overall Rac levels in these cells. Conversely, overexpression
of Gbetagamma in PGCs increases Rac activity. Our results indicate that during
PGC migration in vivo, Gbetagamma signaling regulates Rac activity to control
cell polarity, which is required for the responsiveness to chemokine signaling.
PMID- 22096074
TI - The role of the histone H2A ubiquitinase Sce in Polycomb repression.
AB - Polycomb group (PcG) proteins exist in multiprotein complexes that modify
chromatin to repress transcription. Drosophila PcG proteins Sex combs extra (Sce;
dRing) and Posterior sex combs (Psc) are core subunits of PRC1-type complexes.
The Sce:Psc module acts as an E3 ligase for monoubiquitylation of histone H2A, an
activity thought to be crucial for repression by PRC1-type complexes. Here, we
created an Sce knockout allele and show that depletion of Sce results in loss of
H2A monoubiquitylation in developing Drosophila. Genome-wide profiling identified
a set of target genes co-bound by Sce and all other PRC1 subunits. Analyses in
mutants lacking individual PRC1 subunits reveals that these target genes comprise
two distinct classes. Class I genes are misexpressed in mutants lacking any of
the PRC1 subunits. Class II genes are only misexpressed in animals lacking the
Psc-Su(z)2 and Polyhomeotic (Ph) subunits but remain stably repressed in the
absence of the Sce and Polycomb (Pc) subunits. Repression of class II target
genes therefore does not require Sce and H2A monoubiquitylation but might rely on
the ability of Psc-Su(z)2 and Ph to inhibit nucleosome remodeling or to compact
chromatin. Similarly, Sce does not provide tumor suppressor activity in larval
tissues under conditions in which Psc-Su(z)2, Ph and Pc show such activity. Sce
and H2A monoubiquitylation are therefore only crucial for repression of a subset
of genes and processes regulated by PRC1-type complexes. Sce synergizes with the
Polycomb repressive deubiquitinase (PR-DUB) complex to repress transcription at
class I genes, suggesting that H2A monoubiquitylation must be appropriately
balanced for their transcriptional repression.
PMID- 22096075
TI - Ptf1a-mediated control of Dll1 reveals an alternative to the lateral inhibition
mechanism.
AB - Neurog3-induced Dll1 expression in pancreatic endocrine progenitors ostensibly
activates Hes1 expression via Notch and thereby represses Neurog3 and endocrine
differentiation in neighboring cells by lateral inhibition. Here we show in mouse
that Dll1 and Hes1 expression deviate during regionalization of early endoderm,
and later during early pancreas morphogenesis. At that time, Ptf1a activates Dll1
in multipotent pancreatic progenitor cells (MPCs), and Hes1 expression becomes
Dll1 dependent over a brief time window. Moreover, Dll1, Hes1 and Dll1/Hes1
mutant phenotypes diverge during organ regionalization, become congruent at early
bud stages, and then diverge again at late bud stages. Persistent pancreatic
hypoplasia in Dll1 mutants after eliminating Neurog3 expression and endocrine
development, together with reduced proliferation of MPCs in both Dll1 and Hes1
mutants, reveals that the hypoplasia is caused by a growth defect rather than by
progenitor depletion. Unexpectedly, we find that Hes1 is required to sustain
Ptf1a expression, and in turn Dll1 expression in early MPCs. Our results show
that Ptf1a-induced Dll1 expression stimulates MPC proliferation and pancreatic
growth by maintaining Hes1 expression and Ptf1a protein levels.
PMID- 22096076
TI - Rankl-induced osteoclastogenesis leads to loss of mineralization in a medaka
osteoporosis model.
AB - Osteoclasts are macrophage-related bone resorbing cells of hematopoietic origin.
Factors that regulate osteoclastogenesis are of great interest for investigating
the pathology and treatment of bone diseases such as osteoporosis. In mammals,
receptor activator of NF-kappaB ligand (Rankl) is a regulator of osteoclast
formation and activation: its misexpression causes osteoclast stimulation and
osteoporotic bone loss. Here, we report an osteoporotic phenotype that is induced
by overexpression of Rankl in the medaka model. We generated transgenic medaka
lines that express GFP under control of the cathepsin K promoter in osteoclasts
starting at 12 days post-fertilization (dpf), or Rankl together with CFP under
control of a bi-directional heat-shock promoter. Using long-term confocal time
lapse imaging of double and triple transgenic larvae, we monitored in vivo
formation and activation of osteoclasts, as well as their interaction with
osteoblasts. Upon Rankl induction, GFP-positive osteoclasts are first observed in
the intervertebral regions and then quickly migrate to the surface of mineralized
neural and haemal arches, as well as to the centra of the vertebral bodies. These
osteoclasts are TRAP (tartrate-resistant acid phosphatase) and cathepsin K
positive, mononuclear and highly mobile with dynamically extending protrusions.
They are exclusively found in tight contact with mineralized matrix. Rankl
induced osteoclast formation resulted in severe degradation of the mineralized
matrix in vertebral bodies and arches. In conclusion, our in vivo imaging
approach confirms a conserved role of Rankl in osteoclastogenesis in teleost fish
and provides new insight into the cellular interactions during bone resorption in
an animal model that is useful for genetic and chemical screening.
PMID- 22096077
TI - Sox2-mediated differential activation of Six3.2 contributes to forebrain
patterning.
AB - The vertebrate forebrain is patterned during gastrulation into telencephalic,
retinal, hypothalamic and diencephalic primordia. Specification of each of these
domains requires the concerted activity of combinations of transcription factors
(TFs). Paradoxically, some of these factors are widely expressed in the
forebrain, which raises the question of how they can mediate regional
differences. To address this issue, we focused on the homeobox TF Six3.2. With
genomic and functional approaches we demonstrate that, in medaka fish, Six3.2
regulates, in a concentration-dependent manner, telencephalic and retinal
specification under the direct control of Sox2. Six3.2 and Sox2 have antagonistic
functions in hypothalamic development. These activities are, in part, executed by
Foxg1 and Rx3, which seem to be differentially and directly regulated by Six3.2
and Sox2. Together, these data delineate the mechanisms by which Six3.2
diversifies its activity in the forebrain and highlight a novel function for Sox2
as one of the main regulators of anterior forebrain development. They also
demonstrate that graded levels of the same TF, probably operating in partially
independent transcriptional networks, pattern the vertebrate forebrain along the
anterior-posterior axis.
PMID- 22096078
TI - Retinoic acid signaling controls the formation, proliferation and survival of the
blastema during adult zebrafish fin regeneration.
AB - Adult teleosts rebuild amputated fins through a proliferation-dependent process
called epimorphic regeneration, in which a blastema of cycling progenitor cells
replaces the lost fin tissue. The genetic networks that control formation of
blastema cells from formerly quiescent stump tissue and subsequent blastema
function are still poorly understood. Here, we investigated the cellular and
molecular consequences of genetically interfering with retinoic acid (RA)
signaling for the formation of the zebrafish blastema. We show that RA signaling
is upregulated within the first few hours after fin amputation in the stump
mesenchyme, where it controls Fgf, Wnt/beta-catenin and Igf signaling. Genetic
inhibition of the RA pathway at this stage blocks blastema formation by
inhibiting cell cycle entry of stump cells and impairs the formation of the basal
epidermal layer, a signaling center in the wound epidermis. In the established
blastema, RA signaling remains active to ensure the survival of the highly
proliferative blastemal population by controlling expression of the anti
apoptotic factor bcl2. In addition, RA signaling maintains blastema proliferation
through the activation of growth-stimulatory signals mediated by Fgf and Wnt/beta
catenin signaling, as well as by reducing signaling through the growth-inhibitory
non-canonical Wnt pathway. The endogenous roles of RA in adult vertebrate
appendage regeneration are uncovered here for the first time. They provide a
mechanistic framework to understand previous observations in salamanders that
link endogenous sources of RA to the regeneration process itself and support the
hypothesis that the RA signaling pathway is an essential component of vertebrate
tissue regeneration.
PMID- 22096079
TI - Drosophila G-protein-coupled receptor kinase 2 regulates cAMP-dependent Hedgehog
signaling.
AB - G-protein-coupled receptor kinases (GRKs) play a conserved role in Hedgehog (Hh)
signaling. In several systems, GRKs are required for efficient Hh target gene
expression. Their principal target appears to be Smoothened (Smo), the
intracellular signal-generating component of the pathway and a member of the G
protein-coupled receptor (GPCR) protein family. In Drosophila, a GRK called Gprk2
is needed for internalization and downregulation of activated Smo, consistent
with the typical role of these kinases in negatively regulating GPCRs. However,
Hh target gene activation is strongly impaired in gprk2 mutant flies, indicating
that Gprk2 must also positively regulate Hh signaling at some level. To
investigate its function in signaling, we analyzed several different readouts of
Hh pathway activity in animals or cells lacking Gprk2. Surprisingly, although
target gene expression was impaired, Smo-dependent activation of downstream
components of the signaling pathway was increased in the absence of Gprk2. This
suggests that Gprk2 does indeed play a role in terminating Smo signaling.
However, loss of Gprk2 resulted in a decrease in cellular cAMP concentrations to
a level that was limiting for Hh target gene activation. Normal expression of
target genes was restored in gprk2 mutants by stimulating cAMP production or
activating the cAMP-dependent Protein kinase A (Pka). Our results suggest that
direct regulation of Smo by Gprk2 is not absolutely required for Hh target gene
expression. Gprk2 is important for normal cAMP regulation, and thus has an
indirect effect on the activity of Pka-regulated components of the Hh pathway,
including Smo itself.
PMID- 22096081
TI - Effectiveness and acceptability of delivery of antiretroviral treatment in health
centres by health officers and nurses in Ethiopia.
AB - OBJECTIVE: The World Health Organization (WHO) recommends shifting tasks from
physicians to lower cadres for the delivery of antiretroviral treatment (ART) for
countries short of physicians. Our objective was to evaluate the effectiveness
and acceptability of ART delivery by health officers and nurses in Ethiopia.
METHODS: A retrospective cohort study to evaluate outcomes of ART services in 25
health centres staffed with health officers and/or nurses and 30 hospitals
staffed with physicians in 2009. Median CD4-cell counts, mortality, loss to
follow-up and retention were the primary outcomes. Interviews and focus group
discussions were conducted with people living with HIV/AIDS, AIDS programme
managers and health care providers to identify the types and acceptability of the
tasks conducted by the health officers, nurses and community health workers.
RESULTS: Health officers and nurses were providing ART, including ART
prescription, for non-severe cases. The management of severe cases was
exclusively the task of physicians. Community health workers were involved in
adherence counselling and defaulter tracing. The baseline median CD4-cell counts
per micro-liter of blood were 117 (interquartiles [IQ] 64,188) and 119 (IQ
67,190) at health centres and hospitals respectively. After 24 months on ART, the
median CD4-cell counts per micro-liter of blood increased to 321 (IQ 242, 414)
and 301 (IQ 217, 411) at health centres and hospitals respectively. Retention in
care was higher in health centres (76%, 95% confidence interval [CI] [73%-79%])
than hospitals (67%, 95% CI [66%-68%]). This difference is mainly due to the
higher loss to follow-up rate in hospitals (25% versus 13%). Mortality was higher
in health centres than hospitals (11% versus 8%), but the difference is not
statistically significant. Service delivery by non-physicians was accepted by
patients, health care providers and programme managers. However, the absence of a
regulatory framework for task shifting, the lack of extra remuneration for the
additional roles assumed by nurses and health officers, and the high cost for
training and mentorship were identified as weaknesses. CONCLUSION: ART delivery
in health centres, based on health officers and nurses is feasible, effective and
acceptable in Ethiopia. However, issues related to regulation, remuneration and
cost need to be addressed for the sustainable implementation of these delivery
models.
PMID- 22096082
TI - Assessing the effects of removing user fees in Zambia and Niger.
AB - OBJECTIVES: This study aims to overcome some of the limitations of previous
studies investigating the effects of fee removal, by looking at heterogeneity of
effects within countries and over time, as well as the existence of spill-over
effects on groups not targeted by the policy change. METHODS: Using routine
district health services data before and after recent abolitions of user charges
in Zambia and Niger, we examine the effects of the policy change on the use of
health services by different groups and over time, using an interrupted
timeseries design. RESULTS: Removing user fees for primary health care services
in rural districts in Zambia and for children over five years old in Niger
increased use of services by the targeted groups. The impact of the policy change
differed widely across districts, ranging from +12% and +194% in Niger to -39%
and +108% in Zambia. Eighteen months after the policy change, some of these
effects had been eroded. There was evidence that abolishing user fees can both
have positive and negative spillover effects. CONCLUSION: These results highlight
the importance of paying attention to implementation challenges and monitoring
the effects of policy reforms which are often more mixed and complicated that
they appear. The comparison of these reforms in two countries also sheds light on
the potentially different ways in which free care can be used as a tool to
improve access.
PMID- 22096080
TI - Dynamic interactions of high Cdt1 and geminin levels regulate S phase in early
Xenopus embryos.
AB - Cdt1 plays a key role in licensing DNA for replication. In the somatic cells of
metazoans, both Cdt1 and its natural inhibitor geminin show reciprocal
fluctuations in their protein levels owing to cell cycle-dependent proteolysis.
Here, we show that the protein levels of Cdt1 and geminin are persistently high
during the rapid cell cycles of the early Xenopus embryo. Immunoprecipitation of
Cdt1 and geminin complexes, together with their cell cycle spatiotemporal
dynamics, strongly supports the hypothesis that Cdt1 licensing activity is
regulated by periodic interaction with geminin rather than its proteolysis.
Overexpression of ectopic geminin slows down, but neither arrests early embryonic
cell cycles nor affects endogenous geminin levels; apparent embryonic lethality
is observed around 3-4 hours after mid-blastula transition. However, functional
knockdown of geminin by DeltaCdt1_193-447, which lacks licensing activity and
degradation sequences, causes cell cycle arrest and DNA damage in affected cells.
This contributes to subsequent developmental defects in treated embryos. Our
results clearly show that rapidly proliferating early Xenopus embryonic cells are
able to regulate replication licensing in the persistent presence of high levels
of licensing proteins by relying on changing interactions between Cdt1 and
geminin during the cell cycle, but not their degradation.
PMID- 22096083
TI - Predicting phenolic acid absorption in Caco-2 cells: a theoretical permeability
model and mechanistic study.
AB - There is a considerable need to rationalize the membrane permeability and
mechanism of transport for potential nutraceuticals. The aim of this
investigation was to develop a theoretical permeability equation, based on a
reported descriptive absorption model, enabling calculation of the transcellular
component of absorption across Caco-2 monolayers. Published data for Caco-2
permeability of 30 drugs transported by the transcellular route were correlated
with the descriptors 1-octanol/water distribution coefficient (log D, pH 7.4) and
size, based on molecular mass. Nonlinear regression analysis was used to derive a
set of model parameters a', beta', and b' with an integrated molecular mass
function. The new theoretical transcellular permeability (TTP) model obtained a
good fit of the published data (R2 = 0.93) and predicted reasonably well (R2 =
0.86) the experimental apparent permeability coefficient (P(app)) for nine non
training set compounds reportedly transported by the transcellular route. For the
first time, the TTP model was used to predict the absorption characteristics of
six phenolic acids, and this original investigation was supported by in vitro
Caco-2 cell mechanistic studies, which suggested that deviation of the P(app)
value from the predicted transcellular permeability (P(app)(trans)) may be
attributed to involvement of active uptake, efflux transporters, or paracellular
flux.
PMID- 22096084
TI - Assessment of the impact of CYP3A polymorphisms on the formation of alpha
hydroxytamoxifen and N-desmethyltamoxifen in human liver microsomes.
AB - Tamoxifen, an antiestrogen used in the prevention and treatment of breast cancer,
is extensively metabolized by cytochrome P450 enzymes. Its biotransformation to
alpha-hydroxytamoxifen (alpha-OHT), which may be genotoxic, and to N
desmethyltamoxifen (N-DMT), which is partially hydroxylated to 4-hydroxy-N-DMT
(endoxifen), a potent antiestrogen, is mediated by CYP3A enzymes. However, the
potential contribution of CYP3A5 and the impact of its low-expression variants on
the formation of these metabolites are not clear. Therefore, we assessed the
contributions of CYP3A4 and CYP3A5 and examined the impact of CYP3A5 genotypes on
the formation of alpha-OHT and N-DMT, by using recombinant CYP3A4 and CYP3A5 and
human liver microsomes (HLM) genotyped for CYP3A5 variants. We observed that the
catalytic efficiency [intrinsic clearance (CL(int))] for alpha-OHT formation with
recombinant CYP3A4 was 5-fold higher than that with recombinant CYP3A5 (0.81
versus 0.16 nl . min-1 . pmol cytochrome P450-1). There was no significant
difference in CL(int) values between the three CYP3A5-genotyped HLM (*1/*1,
*1/*3, and *3/*3). For N-DMT formation, the CL(int) with recombinant CYP3A4 was
only 1.7-fold higher, relative to that with recombinant CYP3A5. In addition, the
CL(int) for N-DMT formation by HLM with CYP3A5*3/*3 alleles was approximately 3
fold lower than that for HLM expressing CYP3A5*1/*1. Regression analyses of
tamoxifen metabolism with respect to testosterone 6beta-hydroxylation facilitated
assessment of CYP3A5 contributions to the formation of the two metabolites. The
CYP3A5 contributions to alpha-OHT formation were negligible, whereas the
contributions to N-DMT formation ranged from 51 to 61%. Our findings suggest that
polymorphic CYP3A5 expression may affect the formation of N-DMT but not that of
alpha-OHT.
PMID- 22096085
TI - Transurethral seminal vesiculoscopy using a 6F vesiculoscope for ejaculatory duct
obstruction: initial experience.
AB - Ejaculatory duct obstruction (EDO) is a surgically correctable condition that
occurs in some infertile men. The standard therapy is transurethral resection of
ejaculatory ducts (TURED). However, TURED has been associated with a high risk
of complications, including the impairment of semen parameters and retrograde
ejaculation. In our clinical practice, vesiculoscopy has demonstrated potential
as a minimally invasive alternative technique for the diagnosis and treatment of
EDO. Very few studies have examined transurethral seminal vesiculoscopy (TRU
SVS) in recent years, and no study has examined 6F vesiculoscopes. Therefore, we
performed a retrospective study of TRU-SVS using a 6F vesiculoscope and its
effect on the diagnosis and treatment of EDO. A total of 21 patients who
underwent this procedure were included in the study. The mean patient age was
28.8 years (range, 23-36 years). The procedure was completed successfully in all
patients within a mean time of 31.5 minutes and a mean hospital stay of 1.17
days. All patients had EDO. Calculi were found in the ejaculatory ducts or in
the seminal vesicles of 5 patients. Sperm was detected in 11 patients 1-3 months
postsurgery and in another 8 patients 3-12 months postsurgery. No sperm was
detected in the remaining 2 patients by 12 months postsurgery. Epididymitis,
retrograde ejaculation, urinary incontinence, and rectal injury were not
observed. These data indicate that TRU-SVS using a 6F vesiculoscope affords
direct access to the seminal vesicle and offers the advantages of fewer
complications and more optimal sperm recovery as well as direct, dynamic video
imaging.
PMID- 22096086
TI - A possible role for perforin and granzyme B in resveratrol-enhanced
radiosensitivity of prostate cancer.
AB - Perforin and granzyme B are expressed primarily by activated lymphocytes
(cytotoxic T cells, natural killer cells, and natural killer T cells) and
function together to induce apoptosis of target cells. Typically, these proteins
are not expressed in tumor cells. In the present study, we established the
constitutive expression of perforin and granzyme B by the PC-3 and DU145
prostate cancer (PCA) cell lines with reverse transcription polymerase chain
reaction, immunohistochemistry, Western blot, or a combination of techniques.
The combination of radiation and resveratrol (XRT/RSV)
additively/synergistically decreased survival of PCA because, at least in part,
of increased apoptosis. We further demonstrated that treatment with RSV up
regulated the expression of both perforin and granzyme B, whereas treatment with
XRT up-regulated the expression of granzyme B, but not that of perforin.
Combined XRT/RSV treatment of PCA cells further increased the expression of both
perforin and granzyme B compared with RSV or XRT alone. Thus, increased
radiosensitivity of prostate cancer cells induced by RSV correlated with up
regulation of perforin and granzyme B, demonstrating a possible mechanism for
tumor apoptosis. These findings might be helpful in devising new strategies for
treating PCA.
PMID- 22096087
TI - Penile cavernosal artery pseudoaneurysm.
AB - A patient presented with a mass in the cavernous body. The mass, 1 cm in
diameter, was detected in the left cavernous body on the MRI and color Doppler
ultrasound of the penis of the patient, who presented with pain approximately 3
months after a blunt trauma to the pelvic region. According to the statement of
the patient, any direct trauma to the penis was obscure. The lesion was resected
and pathological examination of the removed mass revealed pseudoaneurysm.
PMID- 22096088
TI - Sertraline and mirtazapine do not reduce severity of depression in people with
dementia.
PMID- 22096089
TI - No free lunches: balancing bleeding and efficacy with ticagrelor.
PMID- 22096090
TI - Temporal trends of the gaps in post-myocardial infarction secondary prevention
strategies of co-morbid and elderly populations vs. younger counterparts: an
analysis of three successive cohorts between 2003 and 2008.
AB - Aims Epidemiological studies reported two contrasting trends: on one hand, a
significant improvement in the use of evidence-based treatments of patients
discharged with a myocardial infarction (MI). On the other hand, the increasing
number of elderly and co-morbid patients who are usually less treated. The aim of
this study is to examine whether improvements in the treatment of MI are
homogeneously distributed throughout all subgroups of patients. Methods and
results Based on record linkage of administrative registers, 21 423 patients
discharged with MI in three different periods (2003, 2005, and 2007), were
identified and followed up for major clinical events up to 1 year. Using as a
reference temporal category those patients discharged in 2003 (odds ratios, 95%
confidence intervals) and as a demographic category male patients aged <=75 years
(1.00), the study identified: in-hospital mortality significantly decreased in
all periods and in all groups of patients; out-of-hospital mortality decreased
only in younger patients and not in older patients; prescription of evidence
based treatments increased in all periods for all patients; however, the
magnitude of improvement was mostly concentrated in younger patients. Conclusion
Although there was a mean improvement in the treatment and outcome of patients
discharged from an MI, most of these benefits were strongly concentrated in
younger, healthier patients. Old and co-morbid populations-although representing
a substantial proportion of the burden of disease-received significant less
attention and barely improved their survival.
PMID- 22096091
TI - 2011 Nobel Prize in Physiology or Medicine: Toll-like receptors, dendritic cells,
and their roles in atherosclerosis.
PMID- 22096092
TI - The controversial role of the urokinase system in abdominal aortic aneurysm
formation and rupture.
PMID- 22096093
TI - Smooth muscle cells for vascular engineering.
PMID- 22096095
TI - Calcific aortic valve disease: cellular origins of valve calcification.
PMID- 22096094
TI - We can do it together: PAR1/PAR2 heterodimer signaling in VSMCs.
PMID- 22096096
TI - Cell death in cardiovascular disease.
PMID- 22096097
TI - Cell death, damage-associated molecular patterns, and sterile inflammation in
cardiovascular disease.
AB - Cell death and inflammation are ancient processes of fundamental biological
importance in both normal physiology and pathology. This is evidenced by the
profound conservation of mediators, with ancestral homologues identified from
plants to humans, and the number of diseases driven by aberrant control of either
process. Apoptosis is the most well-studied cell death, but many forms exist,
including autophagy, necrosis, pyroptosis, paraptosis, and the obscure dark cell
death. Cell death occurs throughout the cardiovascular system, from initial
shaping of the heart and vasculature during development to involvement in
pathologies, including atherosclerosis, aneurysm, cardiomyopathy, restenosis, and
vascular graft rejection. However, determining whether cell death primarily
drives pathology or is a secondary bystander effect is difficult. Inflammation,
the primary response of innate immunity, is considered essential in initiating
and driving vascular diseases. Cell death and inflammation are inextricably
linked with their effectors modulating the other process. Indeed, an evolutionary
link between cell death and inflammation occurs at caspase-1 (which activates
interleukin-1beta), which can induce death by pyroptosis, and is a member of the
caspase family vital for apoptosis. This review examines cell death in vascular
disease, how it can induce inflammation, and finally the emergence of
inflammasomes in vascular pathology.
PMID- 22096098
TI - Autophagy in atherosclerosis: a potential drug target for plaque stabilization.
AB - Evidence is accumulating that autophagy occurs in advanced atherosclerotic
plaques. Although there is an almost relentless discovery of molecules that are
involved in autophagy, studies of selective autophagy induction or inhibition
using knockout mice are just now beginning to reveal its biological significance.
Most likely, autophagy safeguards plaque cells against cellular distress, in
particular oxidative injury, by degrading the damaged intracellular material. In
this way, autophagy is protective and contributes to cellular recovery in an
unfavorable environment. Pharmacological approaches have recently been developed
to stabilize vulnerable, rupture-prone lesions through induction of autophagy.
This approach has proven to be successful in short-term studies. However, how
autophagy induction affects processes such as inflammation remains to be
elucidated and is currently under investigation. This review highlights the
possibilities for exploiting autophagy as a drug target for plaque stabilization.
PMID- 22096099
TI - Mechanisms of ER stress-induced apoptosis in atherosclerosis.
AB - Endoplasmic reticulum (ER) stress is triggered by perturbations in ER function
such as those caused by protein misfolding or by increases in protein secretion.
Eukaryotic cells respond to ER stress by activating 3 ER-resident proteins,
activating transcription factor-6, inositol requiring protein-1, and protein
kinase RNA-like ER kinase (PERK). These proteins direct signaling pathways that
relieve ER stress in a process known as the unfolded protein response (UPR). In
pathological settings, however, prolonged UPR activation can promote cell death,
and this process has recently emerged as an important concept in atherosclerosis.
We review here the evidence for UPR activation and cell death in macrophages,
smooth muscle cells, and endothelial cells in the context of advanced
atherosclerosis as well as the existing literature regarding mechanisms of UPR
induced cell death. Knowledge in this area may suggest new therapeutic targets
relevant to the formation of clinically dangerous atherosclerotic plaques.
PMID- 22096100
TI - Host proteasomal degradation generates amino acids essential for intracellular
bacterial growth.
AB - Legionella pneumophila proliferates in environmental amoeba and human cells
within the Legionella-containing vacuole (LCV). The exported AnkB F-box effector
of L. pneumophila is anchored into the LCV membrane by host-mediated
farnesylation. Here, we report that host proteasomal degradation of Lys(48)
linked polyubiquitinated proteins, assembled on the LCV by AnkB, generates amino
acids required for intracellular bacterial proliferation. The severe defect of
the ankB null mutant in proliferation within amoeba and human cells is rescued by
supplementation of a mixture of amino acids or cysteine, serine, pyruvate, or
citrate, similar to rescue by genetic complementation. Defect of the ankB mutant
in intrapulmonary proliferation in mice is rescued upon injection of a mixture of
amino acids or cysteine. Therefore, Legionella promotes eukaryotic proteasomal
degradation to generate amino acids needed as carbon and energy sources for
bacterial proliferation within evolutionarily distant hosts.
PMID- 22096102
TI - The structure of the eukaryotic ribosome at 3.0 A resolution.
AB - Ribosomes translate genetic information encoded by messenger RNA into proteins.
Many aspects of translation and its regulation are specific to eukaryotes, whose
ribosomes are much larger and intricate than their bacterial counterparts. We
report the crystal structure of the 80S ribosome from the yeast Saccharomyces
cerevisiae--including nearly all ribosomal RNA bases and protein side chains as
well as an additional protein, Stm1--at a resolution of 3.0 angstroms. This
atomic model reveals the architecture of eukaryote-specific elements and their
interaction with the universally conserved core, and describes all eukaryote
specific bridges between the two ribosomal subunits. It forms the structural
framework for the design and analysis of experiments that explore the eukaryotic
translation apparatus and the evolutionary forces that shaped it.
PMID- 22096101
TI - Imaging of Plasmodium liver stages to drive next-generation antimalarial drug
discovery.
AB - Most malaria drug development focuses on parasite stages detected in red blood
cells, even though, to achieve eradication, next-generation drugs active against
both erythrocytic and exo-erythrocytic forms would be preferable. We applied a
multifactorial approach to a set of >4000 commercially available compounds with
previously demonstrated blood-stage activity (median inhibitory concentration < 1
micromolar) and identified chemical scaffolds with potent activity against both
forms. From this screen, we identified an imidazolopiperazine scaffold series
that was highly enriched among compounds active against Plasmodium liver stages.
The orally bioavailable lead imidazolopiperazine confers complete causal
prophylactic protection (15 milligrams/kilogram) in rodent models of malaria and
shows potent in vivo blood-stage therapeutic activity. The open-source chemical
tools resulting from our effort provide starting points for future drug discovery
programs, as well as opportunities for researchers to investigate the biology of
exo-erythrocytic forms.
PMID- 22096103
TI - Calibrating the end-Permian mass extinction.
AB - The end-Permian mass extinction was the most severe biodiversity crisis in Earth
history. To better constrain the timing, and ultimately the causes of this event,
we collected a suite of geochronologic, isotopic, and biostratigraphic data on
several well-preserved sedimentary sections in South China. High-precision U-Pb
dating reveals that the extinction peak occurred just before 252.28 +/- 0.08
million years ago, after a decline of 2 per mil (0/00) in delta(13)C over 90,000
years, and coincided with a delta(13)C excursion of -50/00 that is estimated to
have lasted <=20,000 years. The extinction interval was less than 200,000 years
and synchronous in marine and terrestrial realms; associated charcoal-rich and
soot-bearing layers indicate widespread wildfires on land. A massive release of
thermogenic carbon dioxide and/or methane may have caused the catastrophic
extinction.
PMID- 22096104
TI - The origin of OB runaway stars.
AB - About 20% of all massive stars in the Milky Way have unusually high velocities,
the origin of which has puzzled astronomers for half a century. We argue that
these velocities originate from strong gravitational interactions between single
stars and binaries in the centers of star clusters. The ejecting binary forms
naturally during the collapse of a young (<=1 million years old) star cluster.
This model replicates the key characteristics of OB runaways in our galaxy, and
it explains the presence of runaway stars of >=100 solar masses (M(?)) around
young star clusters, such as R136 and Westerlund 2. The high proportion and the
distributions in mass and velocity of runaways in the Milky Way are reproduced if
the majority of massive stars are born in dense and relatively low-mass (5000 to
10,000 M(?)) clusters.
PMID- 22096105
TI - Rose and Lalonde in the age of genomics, epigenetics and disparities.
PMID- 22096106
TI - Genomics and individuals in public health practice: are we luddites or can we
meet the challenge?
PMID- 22096107
TI - Public health, genomics and autonomy: comment on Dr R.L. Zimmern's Genomics and
individuals in public health practice: are we luddites or can we meet the
challenge?
PMID- 22096108
TI - Genomics, individuals and public health: a view from clinical genetics: comment
on Dr R.L. Zimmern's Genomics and individuals in public health practice: are we
luddites or can we meet the challenge?
PMID- 22096109
TI - Yes, but ... * comment on Dr R.L. Zimmern's Genomics and individuals in public
health practice: are we luddites or can we meet the challenge?
PMID- 22096110
TI - Communicating with decision-makers through evidence reviews.
PMID- 22096111
TI - Beneficial effect of real-time continuous glucose monitoring system on glycemic
control in type 1 diabetic patients: systematic review and meta-analysis of
randomized trials.
AB - OBJECTIVE: Real-time continuous glucose monitoring (RT-CGM) provides detailed
information on glucose patterns and trends, thus allowing the patients to manage
their diabetes more effectively. DESIGN: The aim of this study was to explore the
potential beneficial effects of the use of RT-CGM on diabetes management compared
with self blood glucose measurement (SBGM) in patients with type 1 diabetes
mellitus (T1DM), by means of a systematic review and meta-analysis of randomized
controlled trials (RCTs). METHODS: MEDLINE, EMBASE, and the Cochrane Library were
searched through by two independent investigators for RCTs concerning the use of
RT-CGM in patients with T1DM. Only studies with a similar insulin regimen in the
experimental and control groups were included in the analysis. RESULTS: Seven
RCTs (n=948) met the inclusion criteria. Combined data from all studies showed
better HbA1c reduction in subjects using RT-CGM compared with those using SBGM
(mean difference (MD) -0.25; 95% confidence interval (95% CI): from -0.34 to
0.17; P<0.001). Patients treated with insulin pump and RT-CGM had a lower HbA1c
level compared with subjects managed with insulin pump and SBGM (four RCTs,
n=497; MD -0.26; 95% CI: from -0.43 to -0.10; P=0.002). The benefits of applying
RT-CGM were not associated with an increasing rate of major hypoglycemic
episodes. The use of RT-CGM for over 60-70% of time was associated with a
significant lowering of HbA1c. CONCLUSIONS: RT-CGM is more beneficial than SBGM
in reducing HbA1c in patients with type 1 diabetes. Further studies are needed to
evaluate the efficacy of this system in the pediatric population, especially in
very young children.
PMID- 22096112
TI - Recent advances in cardiovascular aspects of polycystic ovary syndrome.
AB - Polycystic ovary syndrome (PCOS) has been associated with increased
cardiovascular risk (CVR) markers, but population studies have not clarified
whether there is an increase in cardiovascular morbidity and mortality. Four
different PCOS phenotypes resulted from the Rotterdam criteria that may differ in
their CVR potential, thus introducing further complexity. This has led to studies
using surrogate CVR markers including biomarkers in blood and imaging such as
flow-mediated vasodilatation. In PCOS, both peripheral and central insulin
resistance (IR) have been shown. Weight loss has been shown to improve IR and
visceral fat, while insulin sensitizer therapies with metformin or
thiazolidinediones improve IR and endothelial dysfunction. IR is also found in
non-alcoholic fatty liver disease that in turn is very common in PCOS; studies
have suggested that IR may be improved by treatment with metformin and omega-3
fish oils. PCOS patients have a more dyslipidemic phenotype that is worse in
'classical PCOS' associated with a higher CVR. Studies with atorvastatin and
simvastatin have reported a decrease in the lipid parameters and an improvement
in CVR indices including IR, but it is unclear whether this is due to their lipid
lowering action or a pleiotropic effect of the statin. In this expert opinion
review, the relevant literature published during the last 2 years was considered.
It focuses on some recent important data that has emerged while also exposing the
gaps that remain in our knowledge that need to be addressed.
PMID- 22096113
TI - Impaired aerobic exercise adaptation in children and adolescents with
craniopharyngioma is associated with hypothalamic involvement.
AB - OBJECTIVE: Many patients treated for craniopharyngioma (CP) complain of a
relative incapacity for physical activity. Whether this is due to an objective
decrease in adaptation to exercise is unclear. We assessed exercise tolerance in
children with surgically treated CP and appropriate pituitary hormone replacement
therapy compared with healthy controls and we examined the potential
relationships with hypothalamic involvement, GH replacement, and the
catecholamine deficiency frequently observed in these subjects. DESIGN AND
METHODS: Seventeen subjects (12 males and five females) with CP and 22 healthy
controls (14 males and eight females) aged 15.3+/-2.5 years (7.3-18 years)
underwent a standardized cycle ergometer test. Maximum aerobic capacity was
expressed as the ratio of VO(2max) to fat-free mass (VO(2max)/FFM), a measure
independent of age and fat mass in children. RESULTS: VO(2max)/FFM was 20% lower
in children with CP compared with controls (P<0.05), even after adjustment for
gender. Children with hypothalamic involvement (n=10) had a higher percentage of
fat mass (P<0.05) than those without hypothalamic involvement (n=7) and lower
VO(2max)/FFM (P<0.05), whereas children without hypothalamic involvement had
VO(2max)/FFM close to that of controls (P>0.05). GH treatment was associated with
a significant positive effect on aerobic capacity (P<0.05) only in the absence of
hypothalamic involvement. No relationship was found between exercise capacity
parameters and daily urine epinephrine excretion or epinephrine peak response to
insulin-induced hypoglycemia. CONCLUSIONS: Children with CP have a decrease in
aerobic capacity mainly related to hypothalamic involvement. The hypothalamic
factors altering aerobic capacity remain to be determined.
PMID- 22096114
TI - Proteomic analysis of human saliva from lung cancer patients using two
dimensional difference gel electrophoresis and mass spectrometry.
AB - Lung cancer is often asymptomatic or causes only nonspecific symptoms in its
early stages. Early detection represents one of the most promising approaches to
reduce the growing lung cancer burden. Human saliva is an attractive diagnostic
fluid because its collection is less invasive than that of tissue or blood.
Profiling of proteins in saliva over the course of disease progression could
reveal potential biomarkers indicative of oral or systematic diseases, which may
be used extensively in future medical diagnostics. There were 72 subjects
enrolled in this study for saliva sample collection according to the approved
protocol. Two-dimensional difference gel electrophoresis combined with MS was the
platform for salivary proteome separation, quantification, and identification
from two pooled samples. Candidate proteomic biomarkers were verified and
prevalidated by using immunoassay methods. There were 16 candidate protein
biomarkers discovered by two-dimensional difference gel electrophoresis and MS.
Three proteins were further verified in the discovery sample set, prevalidation
sample set, and lung cancer cell lines. The discriminatory power of these
candidate biomarkers in lung cancer patients and healthy control subjects can
reach 88.5% sensitivity and 92.3% specificity with AUC = 0.90. This preliminary
data report demonstrates that proteomic biomarkers are present in human saliva
when people develop lung cancer. The discriminatory power of these candidate
biomarkers indicate that a simple saliva test might be established for lung
cancer clinical screening and detection.
PMID- 22096115
TI - Morphometric changes in the human pulmonary acinus during inflation.
AB - Despite decades of research into the mechanisms of lung inflation and deflation,
there is little consensus about whether lung inflation occurs due to the
recruitment of new alveoli or by changes in the size and/or shape of alveoli and
alveolar ducts. In this study we use in vivo (3)He lung morphometry via MRI to
measure the average alveolar depth and alveolar duct radius at three levels of
inspiration in five healthy human subjects and calculate the average alveolar
volume, surface area, and the total number of alveoli at each level of inflation.
Our results indicate that during a 143 +/- 18% increase in lung gas volume, the
average alveolar depth decreases 21 +/-5%, the average alveolar duct radius
increases 7 +/- 3%, and the total number of alveoli increases by 96 +/- 9%
(results are means +/- SD between subjects; P < 0.001, P < 0.01, and P < 0.00001,
respectively, via paired t-tests). Thus our results indicate that in healthy
human subjects the lung inflates primarily by alveolar recruitment and, to a
lesser extent, by anisotropic expansion of alveolar ducts.
PMID- 22096116
TI - Mechanisms of systemic vasodilation by lysozyme-c in septic shock.
AB - In septic shock (SS), cardiovascular collapse is caused by the release of
inflammatory mediators. We previously found that lysozyme-c (Lzm-S), released
from leukocytes, contributed to systemic vasodilation in a canine model of SS. We
then delineated the pathway by which this occurs in a canine carotid artery organ
bath preparation (CAP). We showed that Lzm-S could intrinsically generate
hydrogen peroxide (H(2)O(2)) and that H(2)O(2) subsequently reacted with
endogenous catalase to form compound I, an oxidized form of catalase. In turn,
compound I led to an increase in cyclic guanosine 3',5'-monophosphate to produce
vasodilation. However, it was not clear from previous studies whether it is
necessary for Lzm-S to bind to the vasculature to cause vasodilation or,
alternatively, whether the generation of H(2)O(2) by Lzm-S in the surrounding
medium is all that is required. We examined this question in the present study in
which we used multiple preparations. In a partitioned CAP, we found that when we
added Lzm-S to a partitioned space in which a semipermeable membrane prevented
diffusion of Lzm-S to the carotid artery tissue, vasodilation still occurred
because of diffusion of H(2)O(2). On the other hand, we found that Lzm-S could
accumulate within the vascular smooth muscle layer (VSML) after 7 h of SS in a
canine model. We also determined that when Lzm-S was located in close proximity
to vascular smooth muscle cells, it could generate H(2)O(2) to produce
lengthening in a human cell culture preparation. We conclude that there are two
mechanisms by which Lzm-S can cause vasodilation in SS. In one instance, H(2)O(2)
generated by Lzm-S in plasma diffuses to the VSML to cause vasodilation. In a
second mechanism, Lzm-S directly binds to the VSML, where it generates H(2)O(2)
to produce vasodilation.
PMID- 22096117
TI - Compensatory responses to upper airway obstruction in obese apneic men and women.
AB - Defective structural and neural upper airway properties both play a pivotal role
in the pathogenesis of obstructive sleep apnea. A more favorable structural upper
airway property [pharyngeal critical pressure under hypotonic conditions (passive
Pcrit)] has been documented for women. However, the role of sex-related
modulation in compensatory responses to upper airway obstruction (UAO),
independent of the passive Pcrit, remains unclear. Obese apneic men and women
underwent a standard polysomnography and physiological sleep studies to determine
sleep apnea severity, passive Pcrit, and compensatory airflow and respiratory
timing responses to prolonged periods of UAO. Sixty-two apneic men and women,
pairwise matched by passive Pcrit, exhibited similar sleep apnea disease severity
during rapid eye movement (REM) sleep, but women had markedly less severe disease
during non-REM (NREM) sleep. By further matching men and women by body mass index
and age (n = 24), we found that the lower NREM disease susceptibility in women
was associated with an approximately twofold increase in peak inspiratory airflow
(P = 0.003) and inspiratory duty cycle (P = 0.017) in response to prolonged
periods of UAO and an ~20% lower minute ventilation during baseline unobstructed
breathing (ventilatory demand) (P = 0.027). Thus, during UAO, women compared with
men had greater upper airway and respiratory timing responses and a lower
ventilatory demand that may account for sex differences in sleep-disordered
breathing severity during NREM sleep, independent of upper airway structural
properties and sleep apnea severity during REM sleep.
PMID- 22096118
TI - Middle cerebral artery alterations in a rat chronic hypoperfusion model.
AB - Chronic cerebral hypoperfusion (CHP) induces microvascular changes that could
contribute to the progression of vascular cognitive impairment and dementia in
the aging brain. This study aimed to analyze the effects of CHP on structural,
mechanical, and myogenic properties of the middle cerebral artery (MCA) after
bilateral common carotid artery occlusion (BCCAO) in adult male Wistar rats. Sham
animals underwent a similar surgical procedure without carotid artery (CA)
ligation. After 15 days of occlusion, MCA and CA were dissected and MCA
structural, mechanical, and myogenic properties were assessed by pressure
myography. Collagen I/III expression was determined by immunofluorescence in MCA
and CA and by Western blot in CA. mRNA levels for 1A1, 1A2, and 3A1 collagen
subunits were quantified by quantitative real-time PCR in CA. Matrix
metalloproteinase (MMP-1, MMP-2, MMP-9, and MMP-13) and hypoxia-inducible factor
1alpha (HIF-1alpha) protein expression were determined in CA by Western blot.
BCCAO diminished cross-sectional area, wall thickness, and wall-to-lumen ratio.
Nevertheless, whereas wall stress was increased, stiffness was not modified and
myogenic response was diminished. Hypoperfusion triggered HIF-1alpha expression.
Collagen I/III protein expression diminished in MCA and CA after BCCAO, despite
increased mRNA levels for 1A1 and 3A1 collagen subunits. Therefore, the reduced
collagen expression might be due to proteolytic degradation, since the expression
of MMP-1 and MMP-9 increased in the CA. These data suggest that BCCAO induces
hypotrophic remodeling by a mechanism that involves a reduction of collagen I/III
in association with increased MMP-1 and MMP-9 and that decreases myogenic tone in
major arteries supplying the brain.
PMID- 22096120
TI - Spaceflight regulates ryanodine receptor subtype 1 in portal vein myocytes in the
opposite way of hypertension.
AB - Gravity has a structural role for living systems. Tissue development,
architecture, and organization are modified when the gravity vector is changed.
In particular, microgravity induces a redistribution of blood volume and thus
pressure in the astronaut body, abolishing an upright blood pressure gradient,
inducing orthostatic hypotension. The present study was designed to investigate
whether isolated vascular smooth muscle cells are directly sensitive to altered
gravitational forces and, second, whether sustained blood pressure changes act on
the same molecular target. Exposure to microgravity during 8 days in the
International Space Station induced the decrease of ryanodine receptor subtype 1
expression in primary cultured myocytes from rat hepatic portal vein. Identical
results were found in portal vein from mice exposed to microgravity during an 8
day shuttle spaceflight. To evaluate the functional consequences of this
physiological adaptation, we have compared evoked calcium signals obtained in
myocytes from hindlimb unloaded rats, in which the shift of blood pressure mimics
the one produced by the microgravity, with those obtained in myocytes from rats
injected with antisense oligonucleotide directed against ryanodine receptor
subtype 1. In both conditions, calcium signals implicating calcium-induced
calcium release were significantly decreased. In contrast, in spontaneous
hypertensive rat, an increase in ryanodine receptor subtype 1 expression was
observed as well as the calcium-induced calcium release mechanism. Taken
together, our results shown that myocytes were directly sensitive to gravity
level and that they adapt their calcium signaling pathways to pressure by the
regulation of the ryanodine receptor subtype 1 expression.
PMID- 22096119
TI - Effects of whole body vibration on motor unit recruitment and threshold.
AB - Whole body vibration (WBV) has been suggested to elicit reflex muscle
contractions but this has never been verified. We recorded from 32 single motor
units (MU) in the vastus lateralis of 7 healthy subjects (34 +/- 15.4 yr) during
five 1-min bouts of WBV (30 Hz, 3 mm peak to peak), and the vibration waveform
was also recorded. Recruitment thresholds were recorded from 38 MUs before and
after WBV. The phase angle distribution of all MUs during WBV was nonuniform (P <
0.001) and displayed a prominent peak phase angle of firing. There was a strong
linear relationship (r = -0.68, P < 0.001) between the change in recruitment
threshold after WBV and average recruitment threshold; the lowest threshold MUs
increased recruitment threshold (P = 0.008) while reductions were observed in the
higher threshold units (P = 0.031). We investigated one possible cause of changed
thresholds. Presynaptic inhibition in the soleus was measured in 8 healthy
subjects (29 +/- 4.6 yr). A total of 30 H-reflexes (stimulation intensity 30%
Mmax) were recorded before and after WBV: 15 conditioned by prior stimulation (60
ms) of the antagonist and 15 unconditioned. There were no significant changes in
the relationship between the conditioned and unconditioned responses. The
consistent phase angle at which each MU fired during WBV indicates the presence
of reflex muscle activity similar to the tonic vibration reflex. The varying
response in high- and low-threshold MUs may be due to the different contributions
of the mono- and polysynaptic pathways but not presynaptic inhibition.
PMID- 22096121
TI - Mechanism of loss of consciousness during vascular neck restraint.
AB - Vascular neck restraint (VNR) is a technique that police officers may employ to
control combative individuals. As the mechanism of unconsciousness is not
completely understood, we tested the hypothesis that VNR simply compresses the
carotid arteries, thereby decreasing middle cerebral artery blood flow. Twenty
four healthy police officers (age 35 +/- 4 yr) were studied. Heart rate (HR),
arterial pressure, rate of change of pressure (dP/dt), and stroke volume (SV)
were measured using infrared finger photoplethysmography. Bilateral mean middle
cerebral artery flow velocity (MCAVmean) was measured by using transcranial
Doppler ultrasound. Neck pressure was measured using flat, fluid-filled balloon
transducers positioned over both carotid bifurcations. To detect ocular fixation,
subjects were asked to focus on a pen that was moved from side to side. VNR was
released 1-2 s after ocular fixation. Ocular fixation occurred in 16 subjects
[time 9.5 +/- 0.4 (SE) s]. Pressures over the right (R) and left (L) carotid
arteries were 257 +/- 22 and 146 +/- 18 mmHg, respectively. VNR decreased
MCAVmean (R 45 +/- 3 to 8 +/- 4 cm/s; L 53 +/- 2 to 10 +/- 3 cm/s) and SV (92 +/-
4 to 75 +/- 4 ml; P < 0.001). Mean arterial pressure (MAP), dP/dt, and HR did not
change significantly. We conclude that the most important mechanism in loss of
consciousness was decreased cerebral blood flow caused by carotid artery
compression. The small decrease in CO (9.6 to 7.5 l/min) observed would not seem
to be important as there was no change in MAP. In addition, with no significant
change in HR, ventricular contractility, or MAP, the carotid sinus baroreceptor
reflex appears to contribute little to the response to VNR.
PMID- 22096122
TI - Epigenetic regulation of the ACE gene might be more relevant to endurance
physiology than the I/D polymorphism.
PMID- 22096123
TI - Does SIRT1 determine exercise-induced skeletal muscle mitochondrial biogenesis:
differences between in vitro and in vivo experiments?
PMID- 22096124
TI - Mental health law in motion--confronting new challenges in the modern psychiatric
landscape.
PMID- 22096125
TI - Mental health law and the EU: the next new regulatory frontier?
AB - Over the last decade the EU's engagement with health law and policy has rapidly
increased and there is now a growing body of literature highlighting this
evolution and the impact of legal and regulatory structures in this area. In
contrast the specific impact of EU law and policy in relation to the area of
mental health remains the subject of comparatively little engagement. The aim of
this paper is to examine whether mental health law and policy will become a major
site for EU policy and law in the future. It examines the development of EU
policy in this area. It sets this in the context of related legal developments
such as the Charter of Fundamental Rights and the new EU Patients Rights
Directives. It suggests that while it might be at present premature to envisage
that a single body of EU mental health law itself may be unlikely that
nonetheless the EU presents what is a potentially very influential site for
regulation, law and policy in this area in the years to come.
PMID- 22096126
TI - Incapacitated persons and deprivation of liberty: Surrey County Council v CA, LA
and MIG and MEG [2010] EWHC 785 (Fam), (Court of Protection) P (otherwise known
as MIG) and Q (otherwise known as MEG) v Surrey County Council, CA and LA [2011]
EWCA Civ 190.
PMID- 22096127
TI - India and euthanasia: the poignant case of Aruna Shanbaug.
PMID- 22096128
TI - Only skin deep? The harm of being born a different colour to one's parents: A (a
minor) and B (a minor) by C (their mother and next friend) v A Health and Social
Services Trust [2010] NIQB 108; [2011] NICA 28.
PMID- 22096130
TI - Identification of Trueperella (Arcanobacterium) bernardiae by matrix-assisted
laser desorption/ionization time-of-flight mass spectrometry analysis and by
species-specific PCR.
PMID- 22096132
TI - Erysipelothrix rhusiopathiae pneumonia in an immunocompetent patient.
AB - Erysipelothrix rhusiopathiae is a Gram-positive bacillus that causes infections
primarily in animals. In humans, this bacterium usually causes localized
cutaneous infections called erysipeloid. Here we report a case of pneumonia with
isolation of E. rhusiopathiae from bronchoalveolar lavage and sputum. To our
knowledge, this is the first report of a pneumonia case caused by E.
rhusiopathiae confirmed by culture.
PMID- 22096131
TI - Antifungal susceptibility profiles of Candida isolates from a prospective survey
of invasive fungal infections in Italian intensive care units.
AB - The antifungal susceptibility pattern of 302 Candida isolates collected during an
Italian survey on invasive fungal infections in an intensive care setting was
investigated. The results were correlated with some epidemiological data and
compared with the antifungal profiles obtained in a previous survey. No
resistance to echinocandins was detected. The overall resistance levels to
fluconazole, posaconazole and voriconazole were 12.6, 6.0 and 7.1 %,
respectively. Candida tropicalis and Candida parapsilosis accounted for more than
half of all the fluconazole resistant isolates. Reduced susceptibility to
fluconazole is not uncommon among isolates (12.3 %) and appears to be increasing,
particularly among C. parapsilosis isolates, which showed an increase in
resistant isolates from 2 % in the 1990s to 25.8 % in the present study. Routine
antifungal susceptibility testing of this species is therefore recommended.
PMID- 22096133
TI - Detection of the Smqnr quinolone protection gene and its prevalence in clinical
isolates of Stenotrophomonas maltophilia in China.
AB - The aim of this study was to detect novel variants of the Stenotrophomonas
maltophilia Smqnr gene family and analyse the prevalence of Smqnr genes in
clinical isolates of S. maltophilia in China. In total, 442 clinical isolates of
S. maltophilia were collected from nine hospitals in four provinces in China.
Antimicrobial susceptibility testing against six commonly used antibiotics was
performed on these isolates. The sequences of the Smqnr genes amplified by PCR
were aligned with those of known Smqnr genes in GenBank and an Smqnr database.
The resistance rate against co-trimoxazole was highest at 48.6 %, followed by
resistance rates against ceftazidime, chloramphenicol, ticarcillin/clavulanate
and tigecycline at 28.7, 21.3, 19.0 and 16.1 %, respectively. The highest
susceptibility was shown to levofloxacin, with a resistance rate of just 6.1 %.
Smqnr genes were detected in 114 isolates, and comprised 11 previously identified
genes and 20 new variants, bringing the total number of known Smqnr genes to 47.
The 20 novel Smqnr genes were designated Smqnr28-47 and the encoded proteins
showed only 1-12 amino acid differences among each other. The most common Smqnr
genes in China were Smqnr8 and its variant Smqnr35 with prevalences of 17.5 %
(20/114) and 13.2 % (15/114), respectively. Both the known and the novel Smqnr
genes were discovered in both quinolone non-sensitive and sensitive isolates with
similar frequency, suggesting that the Smqnr gene makes little contribution to
quinolone resistance in this organism.
PMID- 22096134
TI - False-positive PCR results linked to administration of seasonal influenza
vaccine.
AB - False-positive PCR results usually occur as a consequence of specimen-to-specimen
or amplicon-to-specimen contamination within the laboratory. Evidence of
contamination at time of specimen collection linked to influenza vaccine
administration in the same location as influenza sampling is described. Clinical,
circumstantial and laboratory evidence was gathered for each of five cases of
influenza-like illness (ILI) with unusual patterns of PCR reactivity for seasonal
H1N1, H3N2, H1N1 (2009) and influenza B viruses. Two 2010 trivalent influenza
vaccines and environmental swabs of a hospital influenza vaccination room were
also tested for influenza RNA. Sequencing of influenza A matrix (M) gene
amplicons from the five cases and vaccines was undertaken. Four 2009 general
practitioner (GP) specimens were seasonal H1N1, H3N2 and influenza B PCR
positive. One 2010 GP specimen was H1N1 (2009), H3N2 and influenza B positive.
PCR of 2010 trivalent vaccines showed high loads of detectable influenza A and B
RNA. Sequencing of the five specimens and vaccines showed greatest homology with
the M gene sequence of Influenza A/Puerto Rico/8/1934 H1N1 virus (used in
generation of influenza vaccine strains). Environmental swabs had detectable
influenza A and B RNA. RNA detection studies demonstrated vaccine RNA still
detectable for at least 66 days. Administration of influenza vaccines and
clinical sampling in the same room resulted in the contamination with vaccine
strains of surveillance swabs collected from patients with ILI. Vaccine
contamination should therefore be considered, particularly where multiple
influenza virus RNA PCR positive signals (e.g. H1N1, H3N2 and influenza B) are
detected in the same specimen.
PMID- 22096135
TI - Cholangitis with septic shock caused by Raoultella planticola.
AB - Raoultella planticola (formerly Klebsiella planticola) is a Gram-negative
bacterium that has been rarely reported in association with human infection. Here
we describe a case of cholangitis complicated with septic shock caused by R.
planticola in an immunocompromised patient with advanced cancer who underwent
endoscopic retrograde cholangiopancreatography to extract common bile duct
stones. The infection was cleared by piperacillin-tazobactam treatment.
PMID- 22096136
TI - Identification of transferable DHA-1 type AmpC beta-lactamases and two mutations
in quinolone resistance-determining regions of Salmonella enterica serovar
Thompson.
PMID- 22096137
TI - Comparison between graded unilateral and bilateral medial rectus recession for
esotropia.
AB - AIMS: To compare the postoperative surgical outcomes and the changes in deviation
achieved per millimetre of recession in patients treated by graded unilateral
medial rectus (UMR) or bilateral medial rectus (BMR) recession for small to large
angle esotropia with a minimum follow-up of 6 months. METHODS: In a
retrospective, consecutive and interventional case series, 102 patients underwent
UMR recession and BMR recession for constant esotropia measuring 15-35 prism
diopters (PD) and 30-70 PD, respectively, from 1 January 2007 to 30 September
2010. Successful alignment was defined as +/- 8 PD of orthophoria in primary and
lateral gaze. RESULTS: No significant difference was observed between: (1) the
success rates of the BMR and UMR recession groups at postoperative days 1~3
(p=1.00) or at final follow-up (p=0.421); (2) the variation in the mean change in
deviation from postoperative days 1~3 to the final follow-up of the UMR (p=0.58)
and BMR (p=0.56) recession groups; and (3) the mean correction in PD per
millimetre of muscle recession in the UMR and BMR (p=0.63) recession groups.
CONCLUSION: Graded UMR recession for 15-35 PD of esodeviation was as effective as
graded BMR recession for 30-70 PD of esodeviation. There was no statistical
difference in changes in deviation per millimetre of recession between equivalent
amounts of unilateral and bilateral recession.
PMID- 22096138
TI - Prevalence of blindness in Western Australia: a population study using capture
and recapture techniques.
AB - AIM: To determine the prevalence of blinding eye disease in Western Australia
using a capture and recapture methodology. METHODS: Three independent lists of
residents of Western Australia who were also legally blind were collated during
the capture periods in 2008-9. The first list was obtained from the state-wide
blind register. A second list comprised patients routinely attending hospital
outpatient eye clinics over a 6-month period in 2008. The third list was patients
attending ophthalmologists' routine clinical appointments over a 6-week period in
2009. Lists were compared to identify those individuals who were captured on each
list and those who were recaptured by subsequent lists. Log-linear models were
used to calculate the best fit and estimate the prevalence of blindness in the
Western Australian population and extrapolated to a national prevalence of
blindness in Australia. RESULTS: 1771 legally blind people were identified on
three separate lists. The best estimate of the prevalence of blindness in Western
Australia was 3384 (95% CI 2947 to 3983) or 0.15% of the population of 2.25
million. Extrapolating to the national population (21.87 million) gave a
prevalence of legal blindness of approximately 32,892 or 0.15%. CONCLUSION:
Capture-recapture techniques can be used to determine the prevalence of blindness
in whole populations. The calculated prevalence of blindness suggested that up to
30% of legally blind people may not be receiving available financial support and
up to 60% were not accessing rehabilitation services.
PMID- 22096139
TI - Inhibitory effect of corneal endothelial cells on IL-17-producing Th17 cells.
AB - AIM: To determine whether cultured corneal endothelial (CE) cells suppress
interleukin 17 (IL-17)-producing effector T cells in vitro. METHODS: CE cell
lines established from a normal mouse were used. Target bystander T cells were
established from normal splenic T cells with anti-CD3 antibodies. Production of
IL-17 by target T cells was evaluated by ELISA, flow cytometry and quantitative
PCR. To abolish the CE-inhibitory function, transforming growth factor beta
(TGFbeta)-small interfering RNA-transfected CE cells or transwell membrane
inserts, which block cell-to-cell contact, were used. RESULTS: Cultured CE cells
greatly suppressed the activation of bystander target cells (pan-T, CD4 T, CD8 T,
and B cells) in vitro, particularly inflammatory cytokine production by CD4
cells. Cultured CE cells significantly suppressed IL-17-producing T cells and
fully suppressed polarised T helper 17 (Th17) cell lines that are induced by Th17
associated differentiation factors. However, CE cells failed to suppress Th17
cells if the CE cell lines were pretreated with TGFbeta small interfering RNA or
if direct contact with T cells was blocked with transwell membrane inserts.
CONCLUSION: CE cells impair the effector functions and activation of IL-17
producing helper T cells in a cell-contact-dependent mechanism. Thus, corneal
endothelium may contribute to the maintenance of the privileged immune status in
the eye by inducing peripheral immune tolerance.
PMID- 22096140
TI - Self-induced facial excoriations and ocular trauma: a treatment dilemma.
PMID- 22096141
TI - Prediction error and myopic shift after intraocular lens implantation (IOL) in
paediatric cataract patients.
PMID- 22096142
TI - 'Kite-tail' fascia lata strips technique: frontalis suspension using a non
endoscopic minimally invasive single-thigh incision approach.
AB - AIM: To introduce 'kite-tail' strips or a 'multiple Z-plasty' technique on an
autogenous fascia lata graft without a stripper to correct severe blepharoptosis
by frontalis suspension and to evaluate its effectiveness on surgical outcome.
METHODS: 26 eyelids of 18 patients (seven women, 11 men; 10 unilateral, eight
bilateral) underwent this procedure. Only a small skin incision was made on the
leg measuring 2 cm. A final of 3.5 * 0.6 cm or 5 * 1 cm fascia lata strip was
obtained according to the ptosis laterality. The obtained fascia lata graft was
then dissected by a described stripping technique for a final of one or two
fascia lata strips approximately 12.5 cm * 2 mm long. Functional and cosmetic
results were evaluated and the advantages of this technique were stressed.
RESULTS: Mean age was 26.0 years (range 3-64) with a mean follow-up period of
28.8 months (range 6-52). All cases achieved good to excellent final lid
positions and adequate cosmetic results with no postoperative early (haemorrhage,
wound infection) or late (contour abnormality, overcorrection, muscle herniation,
recurrence) complications. CONCLUSIONS: This is an easily mastered, simple, safe
and efficient alternative technique that offers various benefits over
conventional approaches. It avoids extended blunt dissections and has fewer
postoperative leg complaints with less haemorrhage-haematoma formation or muscle
prolapsus. It is useful at any age, especially in small children who already have
a limited amount of delicate fascia lata and may be preferred when a fasciotome
or videoendoscope is not available or fails to harvest sufficient material of
fascia lata.
PMID- 22096143
TI - A hybrid form of retinopathy of prematurity.
AB - AIMS: To study a hybrid pattern of retinopathy of prematurity (ROP) demonstrating
both ridge tissue (simulating staged ROP) and flat neovascularisation (simulating
aggressive posterior retinopathy of prematurity (APROP)) in the same eye.
METHODS: Retrospective chart review from January 2006 to June 2010. We reviewed
the retinal drawings and Retcam images for a hybrid pattern of ROP, that is,
presence of ridge tissue (characteristic of staged ROP) along with flat
neovascular syncytium (characteristic of APROP) in the same eye. RESULTS: 28 eyes
of 18 infants had hybrid characteristics. All eyes had severe plus disease, flat
new vessels at the junction of the vascular and avascular retina and ridge tissue
at variable locations. Three patterns were noted: I Ridge at the junction of
vascular and avascular retina (14 (50%) eyes); II Ridge in the vascularised
posterior retina (10 (35.71%) eyes); III Ill-defined ridge close to the optic
disc, with mat-like fibrous proliferation into the vitreous (4 (14.29%) eyes).
After confluent laser photocoagulation, we observed favourable outcome in 92.3%
eyes with pattern I, 100% eyes with pattern II and 25% eyes with pattern III
disease. CONCLUSION: Some eyes with ROP may have abnormal neovascularisation
resembling both APROP and classical staged ROP. It is difficult to characterise
these eyes according to the international classification of ROP. However, the
presence of plus disease should serve as guide to treatment.
PMID- 22096145
TI - Toxoplasma gondii in the peripheral blood of patients with ocular toxoplasmosis.
PMID- 22096144
TI - Long-term surgical outcomes of porous polyethylene orbital implants: a review of
314 cases.
AB - PURPOSE: This study reports on the long-term surgical outcomes after the
insertion of porous Medpor orbital implants into anophthalmic sockets. METHODS: A
retrospective chart review of 314 eyes from 314 patients who underwent
evisceration, enucleation and secondary procedures using Medpor orbital implants
was completed focusing on implant-associated complications and their corrective
methods as surgical outcomes. RESULTS: The mean follow-up was 50 months (range 6
107 months). The most common complication was blepharoptosis (n=33, 10.5%). Other
postoperative complications were exposure (n=14, 4.5%) and implant infection
(n=3, 1%). The complications were successfully managed by surgical repair and/or
conservative care. CONCLUSION: Using Medpor resulted in similar surgical
outcomes, in terms of the types and frequencies of complications, as other kinds
of porous orbital implants.
PMID- 22096146
TI - Phylogenomic analysis of polyketide synthase-encoding genes in Trichoderma.
AB - Members of the economically important ascomycete genus Trichoderma are
ubiquitously distributed around the world. The mycoparasitic lifestyle and plant
defence-inducing interactions of Trichoderma spp. make them ideal biocontrol
agents. Of the Trichoderma enzymes that produce secondary metabolites, some of
which likely play important roles in biocontrol processes, polyketide synthase
(PKSs) have garnered less attention than non-ribosomal peptide synthetases such
as those that produce peptaibols. We have taken a phylogenomic approach to study
the PKS repertoire encoded in the genomes of Trichoderma reesei, Trichoderma
atroviride and Trichoderma virens. Our analysis lays a foundation for future
research related to PKSs within the genus Trichoderma and in other filamentous
fungi.
PMID- 22096147
TI - Construction of a chassis for hydrogen production: physiological and molecular
characterization of a Synechocystis sp. PCC 6803 mutant lacking a functional
bidirectional hydrogenase.
AB - Cyanobacteria are photosynthetic prokaryotes that are promising 'low-cost'
microbial cell factories due to their simple nutritional requirements and
metabolic plasticity, and the availability of tools for their genetic
manipulation. The unicellular non-nitrogen-fixing Synechocystis sp. PCC 6803 is
the best studied cyanobacterial strain and its genome was the first to be
sequenced. The vast amount of physiological and molecular data available,
together with a relatively small genome, makes Synechocystis suitable for
computational metabolic modelling and to be used as a photoautotrophic chassis in
synthetic biology applications. To prepare it for the introduction of a synthetic
hydrogen producing device, a Synechocystis sp. PCC 6803 deletion mutant lacking
an active bidirectional hydrogenase (DeltahoxYH) was produced and characterized
at different levels: physiological, proteomic and transcriptional. The results
showed that, under conditions favouring hydrogenase activity, 17 of the 210
identified proteins had significant differential fold changes in comparisons of
the mutant with the wild-type. Most of these proteins are related to the redox
and energy state of the cell. Transcriptional studies revealed that only six
genes encoding those proteins exhibited significant differences in transcript
levels. Moreover, the mutant exhibits similar growth behaviour compared with the
wild-type, reflecting Synechocystis plasticity and metabolic adaptability.
Overall, this study reveals that the Synechocystis DeltahoxYH mutant is robust
and can be used as a photoautotrophic chassis for the integration of synthetic
constructs, i.e. molecular constructs assembled from well characterized
biological and/or synthetic parts (e.g. promoters, regulators, coding regions,
terminators) designed for a specific purpose.
PMID- 22096148
TI - Modulation of volatile organic compound formation in the Mycodiesel-producing
endophyte Hypoxylon sp. CI-4.
AB - An endophytic Hypoxylon sp. (strain CI-4) producing a wide spectrum of volatile
organic compounds (VOCs), including 1,8-cineole, 1-methyl-1,4-cyclohexadiene and
cyclohexane, 1,2,4-tris(methylene), was selected as a candidate for the
modulation of VOC production. This was done in order to learn if the production
of these and other VOCs can be affected by using agents that may modulate the
epigenetics of the fungus. Many of the VOCs made by this organism are of interest
because of their high energy densities and thus the potential they might have as
Mycodiesel fuels. Strain CI-4 was exposed to the epigenetic modulators
suberoylanilide hydroxamic acid (SAHA, a histone deacetylase) and 5-azacytidine
(AZA, a DNA methyltransferase inhibitor). After these treatments the organism
displayed striking cultural changes, including variations in pigmentation, growth
rates and odour, in addition to significant differences in the bioactivities of
the VOCs. The resulting variants were designated CI4-B, CI4-AZA and CI4-SAHA.
GC/MS analyses of the VOCs produced by the variants showed considerable
variation, with the emergence of several compounds not previously observed in the
wild-type, particularly an array of tentatively identified terpenes such as alpha
thujene, sabinene, gamma-terpinene, alpha-terpinolene and beta-selinene, in
addition to several primary and secondary alkanes, alkenes, organic acids and
derivatives of benzene. Proton transfer reaction mass spectroscopic analyses
showed a marked increase in the ratio of ethanol (mass 47) to the total mass of
all other ionizable VOCs, from ~0.6 in the untreated strain CI-4 to ~0.8 in CI-4
grown in the presence of AZA. Strain CI4-B was created by exposure of the fungus
to 100 uM SAHA; upon removal of the epigenetic modulator from the culture medium,
it did not revert to the wild-type phenotype. Results of this study have
implications for understanding why there may be a wide range of VOCs found in
various isolates of this fungus in nature.
PMID- 22096150
TI - Cumulative effect of prophage burden on Shiga toxin production in Escherichia
coli.
AB - Shigatoxigenic Escherichia coli (STEC) such as E. coli O157 are significant human
pathogens, capable of producing severe, systemic disease outcomes. The more
serious symptoms associated with STEC infection are primarily the result of Shiga
toxin (Stx) production, directed by converting Stx bacteriophages. During phage
mediated replication and host cell lysis, the toxins are released en masse from
the bacterial cells, and the severity of disease is linked inexorably to toxin
load. It is common for a single bacterial host to harbour more than one
heterogeneous Stx prophage, and it has also been recently proven that multiple
isogenic prophage copies can exist in a single cell, contrary to the lambda
immunity model. It is possible that in these multiple lysogens there is an
increased potential for production of Stx. This study investigated the expression
profiles of single and double isogenic lysogens of Stx phage 24(B) using
quantitative PCR to examine transcription levels, and a reporter gene construct
as a proxy for the translation levels of stx transcripts. Toxin gene expression
in double lysogens was in excess of the single lysogen counterpart, both in the
prophage state and after induction of the lytic life cycle. In addition, double
lysogens were found to be more sensitive to an increased induction stimulus than
single lysogens, suggesting that maintenance of a stable prophage is less likely
when multiple phage genome copies are present. Overall, these data demonstrate
that the phenomenon of multiple lysogeny in STEC has the potential to impact upon
disease pathology through increased toxin load.
PMID- 22096149
TI - Low-carbon acclimation in carboxysome-less and photorespiratory mutants of the
cyanobacterium Synechocystis sp. strain PCC 6803.
AB - Using metabolic and transcriptomic phenotyping, we studied acclimation of
cyanobacteria to low inorganic carbon (LC) conditions and the requirements for
coordinated alteration of metabolism and gene expression. To analyse possible
metabolic signals for LC sensing and compensating reactions, the carboxysome-less
mutant DeltaccmM and the photorespiratory mutant DeltaglcD1/D2 were compared with
wild-type (WT) Synechocystis. Metabolic phenotyping revealed accumulation of 2
phosphoglycolate (2PG) in DeltaccmM and of glycolate in DeltaglcD1/D2 in LC- but
also in high inorganic carbon (HC)-grown mutant cells. The accumulation of
photorespiratory metabolites provided evidence for the oxygenase activity of
RubisCO at HC. The global gene expression patterns of HC-grown DeltaccmM and
DeltaglcD1/D2 showed differential expression of many genes involved in
photosynthesis, high-light stress and N assimilation. In contrast, the
transcripts of LC-specific genes, such as those for inorganic carbon transporters
and components of the carbon-concentrating mechanism (CCM), remained unchanged in
HC cells. After a shift to LC, DeltaglcD1/D2 and WT cells displayed induction of
many of the LC-inducible genes, whereas DeltaccmM lacked similar changes in
expression. From the coincidence of the presence of 2PG in DeltaccmM without CCM
induction and of glycolate in DeltaglcD1/D2 with CCM induction, we regard a
direct role for 2PG as a metabolic signal for the induction of CCM during LC
acclimation as less likely. Instead, our data suggest a potential role for
glycolate as a signal molecule for enhanced expression of CCM genes.
PMID- 22096151
TI - Escherichia coli enterobactin synthesis and uptake mutants are hypersensitive to
an antimicrobial peptide that limits the availability of iron in addition to
blocking Holliday junction resolution.
AB - The peptide wrwycr inhibits Holliday junction resolution and is a potent
antimicrobial. To study the physiological effects of wrwycr treatment on
Escherichia coli cells, we partially screened the Keio collection of knockout
mutants for those with increased sensitivity to wrwycr. Strains lacking part of
the ferric-enterobactin (iron-bound siderophore) uptake and utilization system,
parts of the enterobactin synthesis pathway, TolC (an outer-membrane channel
protein) or Fur (an iron-responsive regulator) were hypersensitive to wrwycr. We
provide evidence that the DeltatolC mutant was hypersensitive to wrwycr due to
its reduced ability to efflux wrwycr from the cell rather than due to its export
of newly synthesized enterobactin. Deleting ryhB, which encodes a small RNA
involved in iron regulation, mostly relieved the wrwycr hypersensitivity of the
fur and ferric-enterobactin uptake mutants, indicating that the altered
regulation of a RyhB-controlled gene was at least partly responsible for the
hypersensitivity of these strains. Chelatable iron in the cell, measured by
electron paramagnetic resonance spectroscopy, increased dramatically following
wrwycr treatment, as did expression of Fur-repressed genes and, to some extent,
mutation frequency. These incongruous results suggest that while wrwycr treatment
caused accumulation of chelatable iron in the cell, iron was not available to
bind to Fur. This is corroborated by the observed induction of the suf system,
which assembles iron-sulfur clusters in low-iron conditions. Disruption of iron
metabolism by wrwycr, in addition to its effects on DNA repair, may make it a
particularly effective antimicrobial in the context of the low-iron environment
of a mammalian host.
PMID- 22096152
TI - Effect of external voltage on Pseudomonas putida F1 in a bio electrochemical cell
using toluene as sole carbon and energy source.
AB - A bio electrochemical cell (BEC) was constructed as a typical two-chamber
microbial fuel cell (MFC), except that it was operated under external voltage
instead of constant resistance as in an MFC. The anode chamber contained a pure
culture of Pseudomonas putida F1 grown in a minimal medium containing toluene as
the sole carbon and energy source. Operating the BEC under external voltages of
75, 125, 175, 250 and 500 mV (versus an Ag/AgCl reference electrode) led to
increased bacterial cell growth to an OD(600) of 0.62-0.75, while the control
BEC, which was not connected to external voltage, reached an OD(600) of only 0.3.
Examination of the current generated under external voltages of 75, 125, 175, 250
and 500 mV showed that the maximal currents were 11, 23, 28, 54 and 94 mA m(-2),
respectively. Cyclic voltammetry experiments demonstrated an anodic peak at 270
mV, which may imply oxidation of a vital molecule. The average residual toluene
concentration after 147 h in the BEC operated under external voltage was 22 %,
whereas in the control BEC it was 81 %. Proteome analysis of bacterial cells
grown in the BEC (125 mV) revealed two groups of proteins, which are ascribed to
charge transfer in the bacterial cells and from the cell to the electrode. In
conclusion, operating the BEC at 75-500 mV enabled growth of a pure culture of P.
putida F1 and toluene degradation even in an oxygen-limited environment.
PMID- 22096153
TI - The energy research imperative.
PMID- 22096158
TI - U.S. research funding. NSF creates fast track for out-of-the-box proposals.
PMID- 22096159
TI - U.S. Congress. Research projects could be roadkill in revision of massive highway
bill.
PMID- 22096160
TI - Scientific community. Revolution brings new hopes for Libyan archaeology.
PMID- 22096161
TI - Climate change. China looks to balance its carbon books.
PMID- 22096162
TI - Climate change. An unsung carbon sink.
PMID- 22096163
TI - Ecology. Will busting dams boost salmon?
PMID- 22096164
TI - Ecology. Out of the frying pan?
PMID- 22096165
TI - Evolutionary biology. Evolutionary time travel.
PMID- 22096166
TI - Natural resources. Dreams of a lithium empire.
PMID- 22096167
TI - Race disparity in grants: check the citations.
PMID- 22096168
TI - Race disparity in grants: empirical solutions vital.
PMID- 22096170
TI - Race disparity in grants: oversight at home.
PMID- 22096173
TI - Comment on "Global trends in wind speed and wave height".
AB - Young et al. (Reports, 22 April 2011, p. 451) reported trends in global mean wind
speed much larger than found by other investigators. Their report fails to
reference these other investigations and does not discuss the consequences that
such large wind trends would have on global evaporation and precipitation. The
difference between their altimeter and buoy trends suggests a relatively large
trend error.
PMID- 22096175
TI - Environment and development. Preparing to manage climate change financing.
PMID- 22096176
TI - Psychology. When more is more.
PMID- 22096177
TI - Geography. Understanding tribal fates.
PMID- 22096178
TI - Neuroscience. Human locomotor circuits conform.
PMID- 22096179
TI - Biochemistry. One atom makes all the difference.
PMID- 22096180
TI - Microbiology. Antioxidant strategies to tolerate antibiotics.
PMID- 22096181
TI - Astronomy. Analyzing solar cycles.
PMID- 22096183
TI - Retrospective. Steven P. Jobs (1955-2011).
PMID- 22096182
TI - Materials science. True performance metrics in electrochemical energy storage.
PMID- 22096184
TI - Materials for grid energy. Electricity now and when. Introduction.
PMID- 22096185
TI - Saving for a rainy day.
PMID- 22096186
TI - Turning over a new leaf.
PMID- 22096187
TI - Sunlight in your tank--right away.
PMID- 22096188
TI - Electrical energy storage for the grid: a battery of choices.
AB - The increasing interest in energy storage for the grid can be attributed to
multiple factors, including the capital costs of managing peak demands, the
investments needed for grid reliability, and the integration of renewable energy
sources. Although existing energy storage is dominated by pumped hydroelectric,
there is the recognition that battery systems can offer a number of high-value
opportunities, provided that lower costs can be obtained. The battery systems
reviewed here include sodium-sulfur batteries that are commercially available for
grid applications, redox-flow batteries that offer low cost, and lithium-ion
batteries whose development for commercial electronics and electric vehicles is
being applied to grid storage.
PMID- 22096189
TI - Lowering the temperature of solid oxide fuel cells.
AB - Fuel cells are uniquely capable of overcoming combustion efficiency limitations
(e.g., the Carnot cycle). However, the linking of fuel cells (an energy
conversion device) and hydrogen (an energy carrier) has emphasized investment in
proton-exchange membrane fuel cells as part of a larger hydrogen economy and thus
relegated fuel cells to a future technology. In contrast, solid oxide fuel cells
are capable of operating on conventional fuels (as well as hydrogen) today. The
main issue for solid oxide fuel cells is high operating temperature (about 800
degrees C) and the resulting materials and cost limitations and operating
complexities (e.g., thermal cycling). Recent solid oxide fuel cells results have
demonstrated extremely high power densities of about 2 watts per square
centimeter at 650 degrees C along with flexible fueling, thus enabling higher
efficiency within the current fuel infrastructure. Newly developed, high
conductivity electrolytes and nanostructured electrode designs provide a path for
further performance improvement at much lower temperatures, down to ~350 degrees
C, thus providing opportunity to transform the way we convert and store energy.
PMID- 22096190
TI - Evidence for interstitial carbon in nitrogenase FeMo cofactor.
AB - The identity of the interstitial light atom in the center of the FeMo cofactor of
nitrogenase has been enigmatic since its discovery. Atomic-resolution x-ray
diffraction data and an electron spin echo envelope modulation (ESEEM) analysis
now provide direct evidence that the ligand is a carbon species.
PMID- 22096191
TI - The large, oxygen-rich halos of star-forming galaxies are a major reservoir of
galactic metals.
AB - The circumgalactic medium (CGM) is fed by galaxy outflows and accretion of
intergalactic gas, but its mass, heavy element enrichment, and relation to galaxy
properties are poorly constrained by observations. In a survey of the outskirts
of 42 galaxies with the Cosmic Origins Spectrograph onboard the Hubble Space
Telescope, we detected ubiquitous, large (150-kiloparsec) halos of ionized oxygen
surrounding star-forming galaxies; we found much less ionized oxygen around
galaxies with little or no star formation. This ionized CGM contains a
substantial mass of heavy elements and gas, perhaps far exceeding the reservoirs
of gas in the galaxies themselves. Our data indicate that it is a basic component
of nearly all star-forming galaxies that is removed or transformed during the
quenching of star formation and the transition to passive evolution.
PMID- 22096192
TI - The hidden mass and large spatial extent of a post-starburst galaxy outflow.
AB - Outflowing winds of multiphase plasma have been proposed to regulate the buildup
of galaxies, but key aspects of these outflows have not been probed with
observations. By using ultraviolet absorption spectroscopy, we show that "warm
hot" plasma at 10(5.5) kelvin contains 10 to 150 times more mass than the cold
gas in a post-starburst galaxy wind. This wind extends to distances > 68
kiloparsecs, and at least some portion of it will escape. Moreover, the
kinematical correlation of the cold and warm-hot phases indicates that the warm
hot plasma is related to the interaction of the cold matter with a hotter
(unseen) phase at >>10(6) kelvin. Such multiphase winds can remove substantial
masses and alter the evolution of post-starburst galaxies.
PMID- 22096193
TI - Giant piezoelectricity on Si for hyperactive MEMS.
AB - Microelectromechanical systems (MEMS) incorporating active piezoelectric layers
offer integrated actuation, sensing, and transduction. The broad implementation
of such active MEMS has long been constrained by the inability to integrate
materials with giant piezoelectric response, such as Pb(Mg(1/3)Nb(2/3))O(3)
PbTiO(3) (PMN-PT). We synthesized high-quality PMN-PT epitaxial thin films on
vicinal (001) Si wafers with the use of an epitaxial (001) SrTiO(3) template
layer with superior piezoelectric coefficients (e(31,f) = -27 +/- 3 coulombs per
square meter) and figures of merit for piezoelectric energy-harvesting systems.
We have incorporated these heterostructures into microcantilevers that are
actuated with extremely low drive voltage due to thin-film piezoelectric
properties that rival bulk PMN-PT single crystals. These epitaxial
heterostructures exhibit very large electromechanical coupling for ultrasound
medical imaging, microfluidic control, mechanical sensing, and energy harvesting.
PMID- 22096194
TI - Ultralight metallic microlattices.
AB - Ultralight (<10 milligrams per cubic centimeter) cellular materials are desirable
for thermal insulation; battery electrodes; catalyst supports; and acoustic,
vibration, or shock energy damping. We present ultralight materials based on
periodic hollow-tube microlattices. These materials are fabricated by starting
with a template formed by self-propagating photopolymer waveguide prototyping,
coating the template by electroless nickel plating, and subsequently etching away
the template. The resulting metallic microlattices exhibit densities rho >= 0.9
milligram per cubic centimeter, complete recovery after compression exceeding 50%
strain, and energy absorption similar to elastomers. Young's modulus E scales
with density as E ~ rho(2), in contrast to the E ~ rho(3) scaling observed for
ultralight aerogels and carbon nanotube foams with stochastic architecture. We
attribute these properties to structural hierarchy at the nanometer, micrometer,
and millimeter scales.
PMID- 22096195
TI - Silica-like malleable materials from permanent organic networks.
AB - Permanently cross-linked materials have outstanding mechanical properties and
solvent resistance, but they cannot be processed and reshaped once synthesized.
Non-cross-linked polymers and those with reversible cross-links are processable,
but they are soluble. We designed epoxy networks that can rearrange their
topology by exchange reactions without depolymerization and showed that they are
insoluble and processable. Unlike organic compounds and polymers whose viscosity
varies abruptly near the glass transition, these networks show Arrhenius-like
gradual viscosity variations like those of vitreous silica. Like silica, the
materials can be wrought and welded to make complex objects by local heating
without the use of molds. The concept of a glass made by reversible topology
freezing in epoxy networks can be readily scaled up for applications and
generalized to other chemistries.
PMID- 22096196
TI - Domain dynamics during ferroelectric switching.
AB - The utility of ferroelectric materials stems from the ability to nucleate and
move polarized domains using an electric field. To understand the mechanisms of
polarization switching, structural characterization at the nanoscale is required.
We used aberration-corrected transmission electron microscopy to follow the
kinetics and dynamics of ferroelectric switching at millisecond temporal and
subangstrom spatial resolution in an epitaxial bilayer of an antiferromagnetic
ferroelectric (BiFeO(3)) on a ferromagnetic electrode (La(0.7)Sr(0.3)MnO(3)). We
observed localized nucleation events at the electrode interface, domain wall
pinning on point defects, and the formation of ferroelectric domains localized to
the ferroelectric and ferromagnetic interface. These results show how defects and
interfaces impede full ferroelectric switching of a thin film.
PMID- 22096197
TI - Negative frequency-dependent selection of sexually antagonistic alleles in Myodes
glareolus.
AB - Sexually antagonistic genetic variation, where optimal values of traits are sex
dependent, is known to slow the loss of genetic variance associated with
directional selection on fitness-related traits. However, sexual antagonism alone
is not sufficient to maintain variation indefinitely. Selection of rare forms
within the sexes can help to conserve genotypic diversity. We combined
theoretical models and a field experiment with Myodes glareolus to show that
negative frequency-dependent selection on male dominance maintains variation in
sexually antagonistic alleles. In our experiment, high-dominance male bank voles
were found to have low-fecundity sisters, and vice versa. These results show that
investigations of sexually antagonistic traits should take into account the
effects of social interactions on the interplay between ecology and evolution,
and that investigations of genetic variation should not be conducted solely under
laboratory conditions.
PMID- 22096198
TI - X-ray emission spectroscopy evidences a central carbon in the nitrogenase iron
molybdenum cofactor.
AB - Nitrogenase is a complex enzyme that catalyzes the reduction of dinitrogen to
ammonia. Despite insight from structural and biochemical studies, its structure
and mechanism await full characterization. An iron-molybdenum cofactor (FeMoco)
is thought to be the site of dinitrogen reduction, but the identity of a central
atom in this cofactor remains unknown. Fe Kbeta x-ray emission spectroscopy (XES)
of intact nitrogenase MoFe protein, isolated FeMoco, and the FeMoco-deficient
nifB protein indicates that among the candidate atoms oxygen, nitrogen, and
carbon, it is carbon that best fits the XES data. The experimental XES is
supported by computational efforts, which show that oxidation and spin states do
not affect the assignment of the central atom to C(4-). Identification of the
central atom will drive further studies on its role in catalysis.
PMID- 22096199
TI - Structural basis of silencing: Sir3 BAH domain in complex with a nucleosome at
3.0 A resolution.
AB - Gene silencing is essential for regulating cell fate in eukaryotes. Altered
chromatin architectures contribute to maintaining the silenced state in a variety
of species. The silent information regulator (Sir) proteins regulate mating type
in Saccharomyces cerevisiae. One of these proteins, Sir3, interacts directly with
the nucleosome to help generate silenced domains. We determined the crystal
structure of a complex of the yeast Sir3 BAH (bromo-associated homology) domain
and the nucleosome core particle at 3.0 angstrom resolution. We see multiple
molecular interactions between the protein surfaces of the nucleosome and the BAH
domain that explain numerous genetic mutations. These interactions are
accompanied by structural rearrangements in both the nucleosome and the BAH
domain. The structure explains how covalent modifications on H4K16 and H3K79
regulate formation of a silencing complex that contains the nucleosome as a
central component.
PMID- 22096200
TI - Active starvation responses mediate antibiotic tolerance in biofilms and nutrient
limited bacteria.
AB - Bacteria become highly tolerant to antibiotics when nutrients are limited. The
inactivity of antibiotic targets caused by starvation-induced growth arrest is
thought to be a key mechanism producing tolerance. Here we show that the
antibiotic tolerance of nutrient-limited and biofilm Pseudomonas aeruginosa is
mediated by active responses to starvation, rather than by the passive effects of
growth arrest. The protective mechanism is controlled by the starvation-signaling
stringent response (SR), and our experiments link SR-mediated tolerance to
reduced levels of oxidant stress in bacterial cells. Furthermore, inactivating
this protective mechanism sensitized biofilms by several orders of magnitude to
four different classes of antibiotics and markedly enhanced the efficacy of
antibiotic treatment in experimental infections.
PMID- 22096201
TI - H2S: a universal defense against antibiotics in bacteria.
AB - Many prokaryotic species generate hydrogen sulfide (H(2)S) in their natural
environments. However, the biochemistry and physiological role of this gas in
nonsulfur bacteria remain largely unknown. Here we demonstrate that inactivation
of putative cystathionine beta-synthase, cystathionine gamma-lyase, or 3
mercaptopyruvate sulfurtransferase in Bacillus anthracis, Pseudomonas aeruginosa,
Staphylococcus aureus, and Escherichia coli suppresses H(2)S production,
rendering these pathogens highly sensitive to a multitude of antibiotics.
Exogenous H(2)S suppresses this effect. Moreover, in bacteria that normally
produce H(2)S and nitric oxide, these two gases act synergistically to sustain
growth. The mechanism of gas-mediated antibiotic resistance relies on mitigation
of oxidative stress imposed by antibiotics.
PMID- 22096202
TI - Locomotor primitives in newborn babies and their development.
AB - How rudimentary movements evolve into sophisticated ones during development
remains unclear. It is often assumed that the primitive patterns of neural
control are suppressed during development, replaced by entirely new patterns.
Here we identified the basic patterns of lumbosacral motoneuron activity from
multimuscle recordings in stepping neonates, toddlers, preschoolers, and adults.
Surprisingly, we found that the two basic patterns of stepping neonates are
retained through development, augmented by two new patterns first revealed in
toddlers. Markedly similar patterns were observed also in the rat, cat, macaque,
and guineafowl, consistent with the hypothesis that, despite substantial
phylogenetic distances and morphological differences, locomotion in several
animal species is built starting from common primitives, perhaps related to a
common ancestral neural network.
PMID- 22096203
TI - Rational choice, context dependence, and the value of information in European
starlings (Sturnus vulgaris).
AB - Both human and nonhuman decision-makers can deviate from optimal choice by making
context-dependent choices. Because ignoring context information can be
beneficial, this is called a "less-is-more effect." The fact that organisms are
so sensitive to the context is thus paradoxical and calls for the inclusion of an
ecological perspective. In an experiment with starlings, adding cues that
identified the context impaired performance in simultaneous prey choices but
improved it in sequential prey encounters, in which subjects could reject
opportunities in order to search instead in the background. Because sequential
prey encounters are likely to be more frequent in nature, storing and using
contextual information appears to be ecologically rational on balance by
conditioning acceptance of each opportunity to the relative richness of the
background, even if this causes context-dependent suboptimal preferences in (less
frequent) simultaneous choices. In ecologically relevant scenarios, more
information seems to be more.
PMID- 22096204
TI - The impact of Asian American value systems on palliative care: illustrative cases
from the family-focused grief therapy trial.
AB - BACKGROUND: Clinicians meet people from different ethnic backgrounds, yet need to
respond in culturally sensitive ways. This article focuses on Asian American
families. METHODS: Within a randomized controlled trial of family therapy
commenced during palliative care and continued into bereavement, 3 families of
Asian American background were examined qualitatively from a cultural perspective
by listening to recordings of 26 therapy sessions and reviewing detailed
supervision notes compiled by each therapist. RESULTS: A synopsis of each
family's therapy narrative is presented. Prominent themes include family
closeness, respect for hierarchy within the family, gender-determined roles,
intergenerational tensions, preoccupation with shame and limited emotional
expressiveness. CONCLUSIONS: Family therapists working with culturally diverse
families need to pay thoughtful attention to ethnic issues as they strive to
support them during palliative care and bereavement.
PMID- 22096205
TI - The challenge of fungal keratitis.
PMID- 22096206
TI - High levels of serum uric acid predict severity of coronary artery disease in
patients with acute coronary syndrome.
AB - We aimed to elucidate the relation between serum uric acid (SUA) level and
severity of coronary artery disease (CAD) in nondiabetic, nonhypertensive
patients (n = 246) with acute coronary syndrome (ACS). Severity of CAD was
assessed by the Gensini score. One, 2, and 3 or more diseased vessels were
identified in 87 (35.4%), 55 (22.4%), and 104 (42.2%) patients, respectively.
Patients with hyperuricemia had higher Gensini score, high number of diseased
vessels, critical lesions, and total occlusion. Serum uric acid level was
significantly associated with number of diseased vessels. Serum uric acid was an
independent risk factor for multivessel disease by univariate analysis. High
levels of SUA associated with the severity of CAD in nondiabetic, nonhypertensive
patients with ACS.
PMID- 22096207
TI - Serum N-terminal pro-B-type natriuretic peptide levels are associated with
functional capacity in patients with peripheral arterial disease.
AB - We hypothesized that higher serum levels of N-terminal pro-B-type natriuretic
peptide (NT-pro-BNP) are associated with lower functional capacity in patients
with peripheral arterial disease ([PAD] n = 481, mean age 67, 68% men).
Functional capacity was quantified as distance walked on a treadmill for 5
minutes. Patients were divided into 3 groups according to the distance walked:
>144 yards (group 1, n = 254); 60 to 144 yards (group 2, n = 80); <60 yards or
did not walk (group 3, n = 147). The association between NT-pro-BNP levels and
the ordinal 3-level walking distance was assessed using multivariable ordinal
logistic regression analyses that adjusted for several possible confounding
variables. Higher levels of NT-pro-BNP were associated with a lower ordinal
walking category independent of possible confounders (odds ratio [OR] 1.51, 95%
confidence interval [CI] 1.28-1.77; P < .001). In conclusion, higher levels of NT
pro-BNP are independently associated with lower functional capacity in patients
with PAD and may be a marker of hemodynamic stress in these patients.
PMID- 22096208
TI - Counterpoint: high altitude is not for the birds!
PMID- 22096209
TI - Rebuttal from Meir et al.
PMID- 22096210
TI - Rebuttal from Llanos et al.
PMID- 22096211
TI - Comments on point: counterpoint: high altitude is/is not for the birds!
PMID- 22096212
TI - Last word on point:counterpoint: high altitude is/is not for the birds!
PMID- 22096213
TI - Last word on point:counterpoint: high altitude is/is not for the birds!
PMID- 22096214
TI - Impulsivity and the sexes: measurement and structural invariance of the UPPS-P
Impulsive Behavior Scale.
AB - Before it is possible to test whether men and women differ in impulsivity, it is
necessary to evaluate whether impulsivity measures are invariant across sex. The
UPPS-P Impulsive Behavior Scale (negative urgency, lack of premeditation, lack of
perseverance, and sensation seeking, with added subscale of positive urgency) is
one measure of five dispositions toward rash action that has shown to have robust
and clinically useful relationships among risk-taking outcomes. In the current
research, the author examined (a) the psychometric measurement invariance of the
UPPS-P across sex, (b) the scale's structural invariance across sex, and (c)
whether the five impulsivity traits differentially relate to risk outcomes as a
function of sex. In a sample of 1,372 undergraduates, the author found evidence
for measurement and invariance across sex: Thus, comparisons of men and women on
the UPPS-P can be considered valid. Additionally, although males tend to report
higher levels of sensation seeking and positive urgency (and possibly lack of
perseverance), the relationships between the UPPS-P traits and risk outcomes were
generally invariant across sex. The UPPS-P appears to function comparably across
males and females, and mean differences on this scale between the sexes can be
thought to reflect trait-level differences.
PMID- 22096215
TI - The Expansion of mtDNA Haplogroup L3 within and out of Africa.
AB - Although fossil remains show that anatomically modern humans dispersed out of
Africa into the Near East ~100 to 130 ka, genetic evidence from extant
populations has suggested that non-Africans descend primarily from a single
successful later migration. Within the human mitochondrial DNA (mtDNA) tree,
haplogroup L3 encompasses not only many sub-Saharan Africans but also all ancient
non-African lineages, and its age therefore provides an upper bound for the
dispersal out of Africa. An analysis of 369 complete African L3 sequences places
this maximum at ~70 ka, virtually ruling out a successful exit before 74 ka, the
date of the Toba volcanic supereruption in Sumatra. The similarity of the age of
L3 to its two non-African daughter haplogroups, M and N, suggests that the same
process was likely responsible for both the L3 expansion in Eastern Africa and
the dispersal of a small group of modern humans out of Africa to settle the rest
of the world. The timing of the expansion of L3 suggests a link to improved
climatic conditions after ~70 ka in Eastern and Central Africa rather than to
symbolically mediated behavior, which evidently arose considerably earlier. The
L3 mtDNA pool within Africa suggests a migration from Eastern Africa to Central
Africa ~60 to 35 ka and major migrations in the immediate postglacial again
linked to climate. The largest population size increase seen in the L3 data is 3
4 ka in Central Africa, corresponding to Bantu expansions, leading diverse L3
lineages to spread into Eastern and Southern Africa in the last 3-2 ka.
PMID- 22096216
TI - Miniature inverted-repeat transposable elements (MITEs) have been accumulated
through amplification bursts and play important roles in gene expression and
species diversity in Oryza sativa.
AB - Miniature inverted-repeat transposable elements (MITEs) are predicted to play
important roles on genome evolution. We developed a BLASTN-based approach for de
novo identification of MITEs and systematically analyzed MITEs in rice genome.
The genome of rice cultivar Nipponbare (Oryza sativa ssp. japonica) harbors
178,533 MITE-related sequences classified into 338 families. Pairwise nucleotide
diversity and phylogenetic tree analysis indicated that individual MITE families
were resulted from one or multiple rounds of amplification bursts. The timing of
amplification burst varied considerably between different MITE families or
subfamilies. MITEs are associated with 23,623 (58.2%) genes in rice genome. At
least 7,887 MITEs are transcribed and more than 3,463 were transcribed with rice
genes. The MITE sequences transcribed with rice coding genes form 1,130 pairs of
potential natural sense/antisense transcripts. MITEs generate 23.5% (183,837 of
781,885) of all small RNAs identified from rice. Some MITE families generated
small RNAs mainly from the terminals, while other families generated small RNAs
predominantly from the central region. More than half (51.8%) of the MITE-derived
small RNAs were generated exclusively by MITEs located away from genes. Genome
wide analysis showed that genes associated with MITEs have significantly lower
expression than genes away from MITEs. Approximately 14.8% of loci with full
length MITEs have presence/absence polymorphism between rice cultivars 93-11 (O.
sativa ssp. indica) and Nipponbare. Considering that different sets of genes may
be regulated by MITE-derived small RNAs in different genotypes, MITEs provide
considerable diversity for O. sativa.
PMID- 22096217
TI - Dosimetric variations of target volumes and organs at risk in nasopharyngeal
carcinoma intensity-modulated radiotherapy.
AB - OBJECTIVE: The aim of this study was to evaluate the actual dose variability to
the targets and organs at risk (OARs) during nasopharyngeal carcinoma (NPC)
intensity-modulated radiotherapy (IMRT) and to investigate the significance of
replanning. METHODS: 11 NPC patients were included in this study. Each patient
had both a planning CT and weekly repeated CT. Simulated plans that were
generated by using the same beam configurations mapped to the repeated CT
represented the actual delivered doses to the target volumes and OARs. An IMRT
replanning was performed with the fifth week CT scan. Doses among the initial
plan, the simulated plans and replanning were compared. RESULTS: There were no
significant dosimetric differences in the gross tumour volume, clinical target
volume (CTV) 1 or CTV2 for either the simulated plans or the replanning compared
with the initial plan. Dosimetric variability of both parotid glands and the
brain stem were unique to each individual, and doses to the spinal cord were
always maintained within the limit. Replanning in the fifth week had
significantly decreased the doses delivered to both parotids (p-values of the
mean dose were 0.015 and 0.026 for the left and right parotid, respectively),
whereas it did not reduce the doses to the brain stem and spinal cord. There was
no relationship between dose variability and weight loss. CONCLUSIONS: There are
no significant dose changes for target volumes and spinal cord, and doses to the
brain stem and both parotid glands changed individually during NPC IMRT.
Replanning helps to spare bilateral parotids.
PMID- 22096218
TI - Diagnostic quality of 50 and 100 MUm computed radiography compared with screen
film mammography in operative breast specimens.
AB - OBJECTIVE: To compare reader ratings of the clinical diagnostic quality of 50 and
100 MUm computed radiography (CR) systems with screen-film mammography (SFM) in
operative specimens. METHODS: Mammograms of 57 fresh operative breast specimens
were analysed by 10 readers. Exposures were made with identical position and
compression with three mammographic systems (Fuji 100CR, 50CR and SFM). Images
were anonymised and readers blinded to the CR system used. A five-point
comparative scoring system (-2 to +2) was used to assess seven quality criteria
and overall diagnostic value. Statistical analysis was subsequently performed of
reader ratings (n = 16,925). RESULTS: For most quality criteria, both CR systems
were rated as equivalent to or better than SFM. The CR systems were significantly
better at demonstrating skin edge and background tissue (p < 1 * 10(-5)).
Microcalcification was best demonstrated on the CR50 system (p < 1 * 10(-5)). The
overall diagnostic value of both CR systems was rated as being as good as or
better than SFM (p < 1 * 10(-5)). CONCLUSION: In this clinical setting, the
overall diagnostic performance of both CR systems was as good as or better than
SFM, with the CR50 system performing better than the CR100.
PMID- 22096219
TI - Spleen in haematological malignancies: spectrum of imaging findings.
AB - Imaging morphology and metabolic activity of splenic lesions is of paramount
importance in patients with haematological malignancies; it can alter tumour
staging, treatment protocols and overall prognosis. CT, MRI and positron emission
tomography (PET)/CT have been shown to be powerful tools for the non-invasive
assessment of splenic involvement in various haematological malignancies. Since
many haematological malignancies and non-neoplastic conditions can involve the
spleen and imaging manifestations can overlap, imaging and clinical findings
outside of the spleen should be looked for to narrow the differential diagnosis;
confirmation can be obtained by pathological findings. Radiologists should be
familiar with the cross-sectional imaging patterns of haematological malignancies
involving the spleen as well as non-neoplastic splenic findings common in these
patients to facilitate their care and follow-up. This pictorial review provides
the common and uncommon imaging appearances and complications of various
haematological malignancies involving the spleen on CT, MRI and PET/CT, and
common pitfalls in diagnosis.
PMID- 22096220
TI - More harm than good? The anatomy of misguided shielding of the ovaries.
AB - OBJECTIVE: Popular gonad shield designs aim to provide coverage of the true
pelvis, which is presumed to be the probable location of the ovaries. Shields are
frequently placed inaccurately, especially in children, obscuring important
orthopaedic landmarks on pelvic radiographs. We aimed to identify the position of
the ovaries and asses how this may vary with age and the degree of bladder
filling. We aimed to identify the position of the ovaries and asses how this may
vary with age and the degree of bladder filling. METHODS: Using MRI examinations
of the pelvis in women and children, we located 594 ovaries in 306 female
patients aged from birth to 59 years. RESULTS: This study provides new evidence
that bladder filling affects ovary position. A lower than expected number of
patients had both ovaries within the pelvis if the bladder contained more than a
moderate volume of urine. Bladder emptying should be achieved wherever practical
if a shield is used. In children under the age of 7 years, more than half (19/37)
had at least one ovary outside the true pelvis. There was a significant
association between age and ovary position, with the percentage of patients with
one or both ovaries outside the true pelvis decreasing with age (chi(2),
p<0.0001). CONCLUSION: The embryological descent of the ovaries into the pelvis
would appear to continue after birth, well into childhood. Current popular shield
designs are therefore inappropriate for use in young children. Given the high
risk of obscuring critical landmarks, coupled with the new evidence that even
accurate placement will not necessarily protect the ovaries, the use of pelvic
shields in girls should be reconsidered.
PMID- 22096221
TI - Imaging carotid body chemodectomas with 68Ga-DOTA-NOC PET-CT.
AB - OBJECTIVE: The purpose of the present study was to evaluate the role of 68
gallium-1,4,7,10-tetraazacyclododecane-1,4,7,10-tetraacetic acid-1-Nal3
octreotide ((68)Ga-DOTA-NOC) positron emission tomography CT (PET-CT) in carotid
body chemodectomas (CBCs). METHODS: 5 patients with known CBCs (conventional
imaging and angiography) were evaluated retrospectively (3 males, 2 females; mean
age 36.6 years). All underwent PET-CT after injection of 148 MBq (4 mCi) of
(68)Ga-DOTA-NOC. RESULTS: At baseline there were four bilateral CBCs and one
unilateral CBC with an additional glomus tympanicum and bilateral glomus jugulare
tumour in these five patients. All these lesions showed intense uptake on (68)Ga
DOTA-NOC PET-CT. Apart from these known lesions, additional tumours were detected
in three patients; glomus jugulare in one, aortic body tumour and multiple
abdominal paragangliomas in another and unsuspected vertebral metastasis in the
last. Overall it led to a change in management in 3 of the 5 patients (60%).
CONCLUSION: (68)Ga-DOTA-NOC PET-CT is a promising non-invasive imaging modality
in the detection and characterisation of CBCs.
PMID- 22096222
TI - Comparison of the clinical performance of three digital mammography systems in a
breast cancer screening programme.
AB - This study compares the clinical performance of three digital mammography system
types in a breast cancer screening programme. 28 digital mammography systems from
three different vendors were included in the study. The retrospective analysis
included 238 182 screening examinations of females aged between 50 and 64 years
over a 3-year period. All images were double read and assigned a result according
to a 5-point rating scale to indicate the probability of cancer. Females with a
positive result were recalled for further assessment imaging and biopsy if
necessary. Clinical performance in terms of cancer detection rate was analysed
and the results presented. No statistically significant difference was found
between the three different mammography systems in a population-based screening
programme, in terms of the overall cancer detection rate or in the detection of
invasive cancer and ductal carcinoma in situ. This was shown in both prevalent
and subsequent screening examination categories. The results demonstrate
comparable cancer detection performance for the three imaging system types
operational in the screening programme.
PMID- 22096223
TI - Enhancement of the radiation response of EMT-6 tumours by a copper
octabromotetracarboranylphenylporphyrin.
AB - OBJECTIVE: The carborane-containing porphyrin, copper (II) 2,3,7,8,12,13,17,18
octabromo-5,10,15,20-tetrakis(3-[1,2-dicarba-closo-dodecaboranyl]methoxyphenyl)
porphyrin (CuTCPBr), was investigated as a potential radiation enhancing agent
for X-ray radiotherapy (XRT) in a subcutaneously implanted EMT-6 murine
carcinoma. METHOD: The biodistribution and toxicological profile of this
porphyrin has been shown to be favourable for another bimodal radiotherapy
technique, boron neutron-capture therapy. For the XRT studies, CuTCPBr was
formulated in either 9% Cremophor (BASF Corporation, Ludwigschafen, Germany) EL
and 18% propylene glycol (9% CRM) or a revised formulation comprising 1%
Cremophor ELP, 2% Tween 80 (JT Baker, Mansfield, MA), 5% ethanol and 2.2% PEG 400
(CTEP formulation), which would be more clinically acceptable than the original
9% CRM formulation. Using the 9% CRM formulation of CuTCPBr, doses of 100, 210 or
400 mg kg(-1) of body weight were used in combination with single doses of 25-35
Gy 100 kVp X-rays. RESULTS: While doses of 100 mg kg(-1) and 210 mg kg(-1) did
not result in any significant enhancement of tumour response, the 400 mg kg(-1)
dose did. A dose modification factor of 1.20+/-0.10 was obtained based on the
comparison of doses that produced a 50% local tumour control probability. With
the CTEP formulation of CuTCPBr, doses of 83 and 170 mg kg(-1) produced
significant radiation enhancement, with dose modification factors based on the
TCP(50) of 1.29+/-0.15 and 1.84+/-0.24, respectively. CONCLUSION: CuTCPBr
significantly enhanced the efficacy of XRT in the treatment of EMT-6 carcinomas
in mice. The CTEP formulation showed a marked improvement, with over 9% CRM being
associated with higher dose modification factors. Moreover, the radiation
response in the skin was not enhanced.
PMID- 22096224
TI - Prediction of incident hip fracture by femoral neck bone mineral density and neck
shaft angle: a 5-year longitudinal study in post-menopausal females.
AB - OBJECTIVE: To compare hip fracture incidence in post-menopausal females who were
differently stratified for the fracture risk according to bone mineral density
and proximal femur geometry. METHODS: In a 5 year follow-up study, the hip
fracture incidence in 729 post-menopausal females (45 of whom suffered from
incident hip fracture) was assessed and compared. Forward logistic regression was
used to select independent predictors of hip fracture risk, including age, age at
menopause, height, weight, femoral neck bone mineral density (FNBMD), neck-shaft
angle (NSA), hip axis length, femoral neck diameter and femoral shaft diameter as
covariates. Fracture incidence was then calculated for the categories of
young/old age, high/low FNBMD and wide/narrow NSA, which were obtained by
dichotomising each hip fracture independent predictor at the value best
separating females with and without a hip fracture. RESULTS: The hip fracture
incidence of the whole cohort was significantly higher in females with a wide NSA
(8.52%) than in those with a narrow NSA (3.51%). The combination of wide NSA and
low FNBMD had the highest hip fracture incidence in the whole cohort (17.61%) and
each age category. The combinations of narrow/wide NSA with low/high FNBMD,
respectively, gave a significantly higher fracture incidence in older than in
younger women, whereas women with a combined wide NSA and low FNBMD had no
significantly different fracture incidence in young (14.60%) or old age (21.62%).
CONCLUSION: Our study showed that NSA is effective at predicting the hip fracture
risk and that the detection in early post-menopause of a wide NSA together with a
low FNBMD should identify females at high probability of incident hip fracture.
PMID- 22096225
TI - Contrast-enhanced ultrasonography of hepatocellular carcinoma: correlation
between quantitative parameters and histological grading.
AB - OBJECTIVE: The quantitative parameters in the contrast-enhanced ultrasonography
time-intensity curve of hepatocellular carcinoma (HCC) were studied to explore
their possible implication for histological grading of HCC. METHODS: A total of
130 HCC patients (115 males and 15 females; age: 48.13+/-11.00 years) were
studied using contrast-enhanced ultrasonography time-intensity curve and
histological pathology. The quantification software Sonoliver(r) (TomTec Imaging
Systems, Unterschleissheim, Germany) was applied to derive time-intensity curves
of regions of interest in the interior of HCCs and in reference. Quantitative
parameters of 115 patients were successfully obtained, including maximum of
intensity (IMAX), rise time (RT), time to peak (TTP), rise slope (RS) and washout
time (WT). Histological grading of HCC was performed using haematoxylin-eosin
staining, and monoclonal antibodies specific for smooth muscle actin were used to
observe unpaired arteries (UAs). RESULTS: There were significant differences
among WTs in the three differentiated HCC groups (p<0.05). However, there were no
significant differences among RT, TTP, RS and IMAX in the differentiated HCC
groups. Moreover, the number of UAs in the differentiated HCC groups showed no
statistical significance. CONCLUSION: WT plays an important role in predicting
well, moderately and poorly differentiated HCC.
PMID- 22096226
TI - Characterization of the role of ABCG2 as a bile acid transporter in liver and
placenta.
AB - ABCG2 is involved in epithelial transport/barrier functions. Here, we have
investigated its ability to transport bile acids in liver and placenta.
Cholylglycylamido fluorescein (CGamF) was exported by WIF-B9/R cells, which do
not express the bile salt export pump (BSEP). Sensitivity to typical inhibitors
suggested that CGamF export was mainly mediated by ABCG2. In Chinese hamster
ovary (CHO cells), coexpression of rat Oatp1a1 and human ABCG2 enhanced the
uptake and efflux, respectively, of CGamF, cholic acid (CA), glycoCA (GCA),
tauroCA, and taurolithocholic acid-3-sulfate. The ability of ABCG2 to export
these bile acids was confirmed by microinjecting them together with inulin in
Xenopus laevis oocytes expressing this pump. ABCG2-mediated bile acid transport
was inhibited by estradiol 17beta-d-glucuronide and fumitremorgin C. Placental
barrier for bile acids accounted for <2-fold increase in fetal cholanemia despite
>14-fold increased maternal cholanemia induced by obstructive cholestasis in
pregnant rats. In rat placenta, the expression of Abcg2, which was much higher
than that of Bsep, was not affected by short-term cholestasis. In pregnant rats,
fumitremorgin C did not affect uptake/secretion of GCA by the liver but inhibited
its fetal-maternal transfer. Compared with wild-type mice, obstructive
cholestasis in pregnant Abcg2(-/-) knockout mice induced similar bile acid
accumulation in maternal serum but higher accumulation in placenta, fetal serum,
and liver. In conclusion, ABCG2 is able to transport bile acids. The importance
of this function depends on the relative expression in the same epithelium of
other bile acid exporters. Thus, ABCG2 may play a key role in bile acid transport
in placenta, as BSEP does in liver.
PMID- 22096227
TI - MINT, the molecular interaction database: 2012 update.
AB - The Molecular INTeraction Database (MINT, http://mint.bio.uniroma2.it/mint/) is a
public repository for protein-protein interactions (PPI) reported in peer
reviewed journals. The database grows steadily over the years and at September
2011 contains approximately 235,000 binary interactions captured from over 4750
publications. The web interface allows the users to search, visualize and
download interactions data. MINT is one of the members of the International
Molecular Exchange consortium (IMEx) and adopts the Molecular Interaction
Ontology of the Proteomics Standard Initiative (PSI-MI) standards for curation
and data exchange. MINT data are freely accessible and downloadable at
http://mint.bio.uniroma2.it/mint/download.do. We report here the growth of the
database, the major changes in curation policy and a new algorithm to assign a
confidence to each interaction.
PMID- 22096228
TI - SubtiWiki--a comprehensive community resource for the model organism Bacillus
subtilis.
AB - In the post-genomic era, most components of a cell are known and they can be
quantified by large-scale functional genomics approaches. However, genome
annotation is the bottleneck that hampers our understanding of living cells and
organisms. Up-to-date functional annotation is of special importance for model
organisms that provide a frame of reference for studies with other relevant
organisms. We have generated a Wiki-type database for the Gram-positive model
bacterium Bacillus subtilis, SubtiWiki (http://subtiwiki.uni-goettingen.de/).
This Wiki is centered around the individual genes and gene products of B.
subtilis and provides information on each aspect of gene function and expression
as well as protein activity and its control. SubtiWiki is accompanied by two
companion databases SubtiPathways and SubtInteract that provide graphical
representations of B. subtilis metabolism and its regulation and of protein
protein interactions, respectively. The diagrams of both databases are easily
navigatable using the popular Google maps API, and they are extensively linked
with the SubtiWiki gene pages. Moreover, each gene/gene product was assigned to
one or more functional categories and transcription factor regulons. Pages for
the specific categories and regulons provide a rapid overview of functionally
related genes/proteins. Today, SubtiWiki can be regarded as one of the most
complete inventories of knowledge on a living organism in one single resource.
PMID- 22096229
TI - InterPro in 2011: new developments in the family and domain prediction database.
AB - InterPro (http://www.ebi.ac.uk/interpro/) is a database that integrates diverse
information about protein families, domains and functional sites, and makes it
freely available to the public via Web-based interfaces and services. Central to
the database are diagnostic models, known as signatures, against which protein
sequences can be searched to determine their potential function. InterPro has
utility in the large-scale analysis of whole genomes and meta-genomes, as well as
in characterizing individual protein sequences. Herein we give an overview of new
developments in the database and its associated software since 2009, including
updates to database content, curation processes and Web and programmatic
interfaces.
PMID- 22096230
TI - WikiPathways: building research communities on biological pathways.
AB - Here, we describe the development of WikiPathways (http://www.wikipathways.org),
a public wiki for pathway curation, since it was first published in 2008. New
features are discussed, as well as developments in the community of contributors.
New features include a zoomable pathway viewer, support for pathway ontology
annotations, the ability to mark pathways as private for a limited time and the
availability of stable hyperlinks to pathways and the elements therein.
WikiPathways content is freely available in a variety of formats such as the
BioPAX standard, and the content is increasingly adopted by external databases
and tools, including Wikipedia. A recent development is the use of WikiPathways
as a staging ground for centrally curated databases such as Reactome.
WikiPathways is seeing steady growth in the number of users, page views and edits
for each pathway. To assess whether the community curation experiment can be
considered successful, here we analyze the relation between use and contribution,
which gives results in line with other wiki projects. The novel use of pathway
pages as supplementary material to publications, as well as the addition of
tailored content for research domains, is expected to stimulate growth further.
PMID- 22096231
TI - eggNOG v3.0: orthologous groups covering 1133 organisms at 41 different taxonomic
ranges.
AB - Orthologous relationships form the basis of most comparative genomic and
metagenomic studies and are essential for proper phylogenetic and functional
analyses. The third version of the eggNOG database (http://eggnog.embl.de)
contains non-supervised orthologous groups constructed from 1133 organisms,
doubling the number of genes with orthology assignment compared to eggNOG v2. The
new release is the result of a number of improvements and expansions: (i) the
underlying homology searches are now based on the SIMAP database; (ii) the
orthologous groups have been extended to 41 levels of selected taxonomic ranges
enabling much more fine-grained orthology assignments; and (iii) the newly
designed web page is considerably faster with more functionality. In total,
eggNOG v3 contains 721,801 orthologous groups, encompassing a total of 4,396,591
genes. Additionally, we updated 4873 and 4850 original COGs and KOGs,
respectively, to include all 1133 organisms. At the universal level, covering all
three domains of life, 101,208 orthologous groups are available, while the others
are applicable at 40 more limited taxonomic ranges. Each group is amended by
multiple sequence alignments and maximum-likelihood trees and broad functional
descriptions are provided for 450,904 orthologous groups (62.5%).
PMID- 22096232
TI - The BioSample Database (BioSD) at the European Bioinformatics Institute.
AB - The BioSample Database (http://www.ebi.ac.uk/biosamples) is a new database at EBI
that stores information about biological samples used in molecular experiments,
such as sequencing, gene expression or proteomics. The goals of the BioSample
Database include: (i) recording and linking of sample information consistently
within EBI databases such as ENA, ArrayExpress and PRIDE; (ii) minimizing data
entry efforts for EBI database submitters by enabling submitting sample
descriptions once and referencing them later in data submissions to assay
databases and (iii) supporting cross database queries by sample characteristics.
Each sample in the database is assigned an accession number. The database
includes a growing set of reference samples, such as cell lines, which are
repeatedly used in experiments and can be easily referenced from any database by
their accession numbers. Accession numbers for the reference samples will be
exchanged with a similar database at NCBI. The samples in the database can be
queried by their attributes, such as sample types, disease names or sample
providers. A simple tab-delimited format facilitates submissions of sample
information to the database, initially via email to biosamples@ebi.ac.uk.
PMID- 22096233
TI - MINAS--a database of Metal Ions in Nucleic AcidS.
AB - Correctly folded into the respective native 3D structure, RNA and DNA are
responsible for uncountable key functions in any viable organism. In order to
exert their function, metal ion cofactors are closely involved in folding,
structure formation and, e.g. in ribozymes, also the catalytic mechanism. The
database MINAS, Metal Ions in Nucleic AcidS (http://www.minas.uzh.ch), compiles
the detailed information on innersphere, outersphere and larger coordination
environment of >70,000 metal ions of 36 elements found in >2000 structures of
nucleic acids contained today in the PDB and NDB. MINAS is updated monthly with
new structures and offers a multitude of search functions, e.g. the kind of metal
ion, metal-ligand distance, innersphere and outersphere ligands defined by
element or functional group, residue, experimental method, as well as PDB entry
related information. The results of each search can be saved individually for
later use with so-called miniPDB files containing the respective metal ion
together with the coordination environment within a 15 A radius. MINAS thus
offers a unique way to explore the coordination geometries and ligands of metal
ions together with the respective binding pockets in nucleic acids.
PMID- 22096234
TI - dbDEPC 2.0: updated database of differentially expressed proteins in human
cancers.
AB - A large amount of differentially expressed proteins (DEPs) have been identified
in various cancer proteomics experiments, curation and annotation of these
proteins are important in deciphering their roles in oncogenesis and tumor
progression, and may further help to discover potential protein biomarkers for
clinical applications. In 2009, we published the first database of DEPs in human
cancers (dbDEPCs). In this updated version of 2011, dbDEPC 2.0 has more than
doubly expanded to over 4000 protein entries, curated from 331 experiments across
20 types of human cancers. This resource allows researchers to search whether
their interested proteins have been reported changing in certain cancers, to
compare their own proteomic discovery with previous studies, to picture selected
protein expression heatmap across multiple cancers and to relate protein
expression changes with aberrance in other genetic level. New important
developments include addition of experiment design information, advanced filter
tools for customer-specified analysis and a network analysis tool. We expect
dbDEPC 2.0 to be a much more powerful tool than it was in its first release and
can serve as reference to both proteomics and cancer researchers. dbDEPC 2.0 is
available at http://lifecenter.sgst.cn/dbdepc/index.do.
PMID- 22096235
TI - Predictive networks: a flexible, open source, web application for integration and
analysis of human gene networks.
AB - Genomics provided us with an unprecedented quantity of data on the genes that are
activated or repressed in a wide range of phenotypes. We have increasingly come
to recognize that defining the networks and pathways underlying these phenotypes
requires both the integration of multiple data types and the development of
advanced computational methods to infer relationships between the genes and to
estimate the predictive power of the networks through which they interact. To
address these issues we have developed Predictive Networks (PN), a flexible, open
source, web-based application and data services framework that enables the
integration, navigation, visualization and analysis of gene interaction networks.
The primary goal of PN is to allow biomedical researchers to evaluate
experimentally derived gene lists in the context of large-scale gene interaction
networks. The PN analytical pipeline involves two key steps. The first is the
collection of a comprehensive set of known gene interactions derived from a
variety of publicly available sources. The second is to use these 'known'
interactions together with gene expression data to infer robust gene networks.
The PN web application is accessible from http://predictivenetworks.org. The PN
code base is freely available at
https://sourceforge.net/projects/predictivenets/.
PMID- 22096236
TI - ProOpDB: Prokaryotic Operon DataBase.
AB - The Prokaryotic Operon DataBase (ProOpDB,
http://operons.ibt.unam.mx/OperonPredictor) constitutes one of the most precise
and complete repositories of operon predictions now available. Using our novel
and highly accurate operon identification algorithm, we have predicted the operon
structures of more than 1200 prokaryotic genomes. ProOpDB offers diverse
alternatives by which a set of operon predictions can be retrieved including: (i)
organism name, (ii) metabolic pathways, as defined by the KEGG database, (iii)
gene orthology, as defined by the COG database, (iv) conserved protein domains,
as defined by the Pfam database, (v) reference gene and (vi) reference operon,
among others. In order to limit the operon output to non-redundant organisms,
ProOpDB offers an efficient method to select the most representative organisms
based on a precompiled phylogenetic distances matrix. In addition, the ProOpDB
operon predictions are used directly as the input data of our Gene Context Tool
to visualize their genomic context and retrieve the sequence of their
corresponding 5' regulatory regions, as well as the nucleotide or amino acid
sequences of their genes.
PMID- 22096237
TI - Phenotypic and functional heterogeneity of human NK cells developing after
umbilical cord blood transplantation: a role for human cytomegalovirus?
AB - Natural killer (NK) cells play a crucial role in early immunity after
hematopoietic stem cell transplantation because they are the first lymphocyte
subset recovering after the allograft. In this study, we analyzed the development
of NK cells after intrabone umbilical cord blood (CB) transplantation in 18 adult
patients with hematologic malignancies. Our data indicate that, also in this
transplantation setting, NK cells are the first lymphoid population detectable in
peripheral blood. However, different patterns of NK-cell development could be
identified. Indeed, in a group of patients, a relevant fraction of NK cells
expressed a mature phenotype characterized by the KIR(+)NKG2A(-) signature 3-6
months after transplantation. In other patients, most NK cells maintained an
immature phenotype even after 12 months. A possible role for cytomegalovirus in
the promotion of NK-cell development was suggested by the observation that a more
rapid NK-cell maturation together with expansion of NKG2C(+) NK cells was
confined to patients experiencing cytomegalovirus reactivation. In a fraction of
these patients, an aberrant and hyporesponsive CD56(-)CD16(+)p75/AIRM1(-) NK-cell
subset (mostly KIR(+)NKG2A(-)) reminiscent of that described in patients with
viremic HIV was detected. Our data support the concept that cytomegalovirus
infection may drive NK-cell development after umbilical CB transplantation.
PMID- 22096238
TI - Identification of VLDLR as a novel endothelial cell receptor for fibrin that
modulates fibrin-dependent transendothelial migration of leukocytes.
AB - While testing the effect of the (beta15-66)(2) fragment, which mimics a pair of
fibrin betaN-domains, on the morphology of endothelial cells, we found that this
fragment induces redistribution of vascular endothelial-cadherin in a process
that is inhibited by the receptor-associated protein (RAP). Based on this
finding, we hypothesized that fibrin may interact with members of RAP-dependent
low-density lipoprotein (LDL) receptor family. To test this hypothesis, we
examined the interaction of (beta15-66)(2), fibrin, and several fibrin-derived
fragments with 2 members of this family by ELISA and surface plasmon resonance.
The experiments showed that very LDL (VLDL) receptor (VLDLR) interacts with high
affinity with fibrin through its betaN-domains, and this interaction is inhibited
by RAP and (beta15-66)(2). Furthermore, RAP inhibited transendothelial migration
of neutrophils induced by fibrin-derived NDSK-II fragment containing betaN
domains, suggesting the involvement of VLDLR in fibrin-dependent leukocyte
transmigration. Our experiments with VLDLR-deficient mice confirmed this
suggestion by showing that, in contrast to wild-type mice, fibrin-dependent
leukocyte transmigration does not occur in such mice. Altogether, the present
study identified VLDLR as a novel endothelial cell receptor for fibrin that
promotes fibrin-dependent leukocyte transmigration and thereby inflammation.
Establishing the molecular mechanism underlying this interaction may result in
the development of novel inhibitors of fibrin-dependent inflammation.
PMID- 22096239
TI - Hematopoietic stem cell engineering at a crossroads.
AB - The genetic engineering of hematopoietic stem cells is the basis for potentially
treating a large array of hereditary and acquired diseases, and stands as the
paradigm for stem cell engineering in general. Recent clinical reports support
the formidable promise of this approach but also highlight the limitations of the
technologies used to date, which have on occasion resulted in clonal expansion,
myelodysplasia, or leukemogenesis. New research directions, predicated on
improved vector designs, targeted gene delivery or the therapeutic use of
pluripotent stem cells, herald the advent of safer and more effective
hematopoietic stem cell therapies that may transform medical practice. In this
review, we place these recent advances in perspective, emphasizing the solutions
emerging from a wave of new technologies and highlighting the challenges that lie
ahead.
PMID- 22096240
TI - Fetal hemoglobin levels and morbidity in untransfused patients with beta
thalassemia intermedia.
AB - To evaluate the association between fetal hemoglobin (HbF) levels and morbidity
in beta-thalassemia intermedia (TI), we analyzed data from 63 untransfused
patients who had also never received HbF induction therapy. Patient records were
reviewed for any history of 10 predefined morbidities. Laboratory measurements
for markers of ineffective erythropoiesis were also obtained. The mean age of
patients was 32.1 years, 47.6% were males, and the median HbF level was 37.2%.
HbF levels correlated positively with total hemoglobin, yet negatively with
growth differentiation factor-15 and non-transferrin-bound iron levels. Median
HbF levels were significantly lower in patients with the majority of evaluated
morbidities than in those without. There was a strong negative adjusted linear
correlation between the HbF level and the total number of morbidities (R(2) =
0.825, P < .001). The HbF threshold of 63.7% had 95.5% sensitivity and 100%
specificity for ensuring absence of morbidity. There exists a strong association
between HbF levels and morbidity in the subset of untransfused patients with TI.
PMID- 22096241
TI - SF3B1 mutations are prevalent in myelodysplastic syndromes with ring sideroblasts
but do not hold independent prognostic value.
AB - SF3B1 mutations were recently reported in myelodysplastic syndromes (MDSs),
especially in the presence of ring sideroblasts (RSs). We sought to define the
interaction between SF3B1 mutations, morphology, karyotype, and prognosis in MDS
with more than or equal to 15% RS (MDS-RS). We studied 107 patients with MDS-RS,
including 48 with refractory anemia with RS (RARS), 43 with refractory cytopenia
with multilineage dysplasia (RCMD)-RS, 11 with refractory anemia with excess
blasts-1 (RAEB1)-RS, and 5 with RAEB2-RS. SF3B1 mutations were detected in 53 (~
50%) patients: 35 RARS (73%), 16 RCMD-RS (37%), and 2 RAEB1-RS (18%). In
univariate analysis, the presence of SF3B1 mutations was associated with better
overall (P < .01) and leukemia-free (P < .01) survival; however, in both
instances, significance was completely accounted for by World Health Organization
morphologic risk categorization. In other words, when RARS and RCMD-RS were
analyzed separately, there was no additional prognostic value from the presence
or absence of SF3B1 mutations.
PMID- 22096243
TI - EBV-associated T/NK-cell lymphoproliferative diseases in nonimmunocompromised
hosts: prospective analysis of 108 cases.
AB - EBV-associated T/NK-cell lymphoproliferative disease (T/NK-LPD) is defined as a
systemic illness characterized by clonal proliferation of EBV-infected T or NK
cells. We prospectively enrolled 108 nonimmunocompromised patients with this
disease (50 men and 58 women; median onset age, 8 years; age range, 1-50 years)
evidenced by expansion of EBV(+) T/NK cells in the peripheral blood; these were
of the T-cell type in 64 cases and of the NK-cell type in 44, and were clinically
categorized into 4 groups: 80 cases of chronic active EBV disease, 15 of EBV
associated hemophagocytic lymphohistiocytosis, 9 of severe mosquito bite allergy,
and 4 of hydroa vacciniforme. These clinical profiles were closely linked with
the EBV(+) cell immunophenotypes. In a median follow-up period of 46 months, 47
patients (44%) died of severe organ complications. During the follow-up, 13
patients developed overt lymphoma or leukemia characterized by extranodal NK/T
cell lymphoma and aggressive NK-cell leukemia. Fifty-nine received hematopoietic
stem cell transplantation, 66% of whom survived. Age at onset of disease (>= 8
years) and liver dysfunction were risk factors for mortality, whereas patients
who received transplantation had a better prognosis. These data depict clinical
characteristics of systemic EBV(+) T/NK-LPD and provide insight into the
diagnostic and therapeutic approaches for distinct disease.
PMID- 22096242
TI - Associated risk factors for silent cerebral infarcts in sickle cell anemia: low
baseline hemoglobin, sex, and relative high systolic blood pressure.
AB - The most common form of neurologic injury in sickle cell anemia (SCA) is silent
cerebral infarction (SCI). In the Silent Cerebral Infarct Multi-Center Clinical
Trial, we sought to identify risk factors associated with SCI. In this cross
sectional study, we evaluated the clinical history and baseline laboratory values
and performed magnetic resonance imaging of the brain in participants with SCA
(HbSS or HbSbeta degrees thalassemia) between the ages of 5 and 15 years with no
history of overt stroke or seizures. Neuroradiology and neurology committees
adjudicated the presence of SCI. SCIs were diagnosed in 30.8% (251 of 814)
participants who completed all evaluations and had valid data on all prespecified
demographic and clinical covariates. The mean age of the participants was 9.1
years, with 413 males (50.7%). In a multivariable logistic regression analysis,
lower baseline hemoglobin concentration (P < .001), higher baseline systolic
blood pressure (P = .018), and male sex (P = .030) were statistically
significantly associated with an increased risk of an SCI. Hemoglobin
concentration and systolic blood pressure are risk factors for SCI in children
with SCA and may be therapeutic targets for decreasing the risk of SCI. This
study is registered at www.clinicaltrials.gov as #NCT00072761.
PMID- 22096244
TI - P-selectin glycoprotein ligand regulates the interaction of multiple myeloma
cells with the bone marrow microenvironment.
AB - Interactions between multiple myeloma (MM) cells and the BM microenvironment play
a critical role in the pathogenesis of MM and in the development of drug
resistance by MM cells. Selectins are involved in extravasation and homing of
leukocytes to target organs. In the present study, we focused on adhesion
dynamics that involve P-selectin glycoprotein ligand-1 (PSGL-1) on MM cells and
its interaction with selectins in the BM microenvironment. We show that PSGL-1 is
highly expressed on MM cells and regulates the adhesion and homing of MM cells to
cells in the BM microenvironment in vitro and in vivo. This interaction involves
both endothelial cells and BM stromal cells. Using loss-of-function studies and
the small-molecule pan-selectin inhibitor GMI-1070, we show that PSGL-1 regulates
the activation of integrins and downstream signaling. We also document that this
interaction regulates MM-cell proliferation in coculture with BM
microenvironmental cells and the development of drug resistance. Furthermore,
inhibiting this interaction with GMI-1070 enhances the sensitization of MM cells
to bortezomib in vitro and in vivo. These data highlight the critical
contribution of PSGL-1 to the regulation of growth, dissemination, and drug
resistance in MM in the context of the BM microenvironment.
PMID- 22096245
TI - miR-155 regulates HGAL expression and increases lymphoma cell motility.
AB - HGAL, a prognostic biomarker in patients with diffuse large B-cell lymphoma and
classic Hodgkin lymphoma, inhibits lymphocyte and lymphoma cell motility by
activating the RhoA signaling cascade and interacting with actin and myosin
proteins. Although HGAL expression is limited to germinal center (GC) lymphocytes
and GC-derived lymphomas, little is known about its regulation. miR-155 is
implicated in control of GC reaction and lymphomagenesis. We demonstrate that miR
155 directly down-regulates HGAL expression by binding to its 3'-untranslated
region, leading to decreased RhoA activation and increased spontaneous and
chemoattractant-induced lymphoma cell motility. The effects of miR-155 on RhoA
activation and cell motility can be rescued by transfection of HGAL lacking the
miR-155 binding site. This inhibitory effect of miR-155 suggests that it may have
a key role in the loss of HGAL expression on differentiation of human GC B cells
to plasma cell. Furthermore, this effect may contribute to lymphoma cell
dissemination and aggressiveness, characteristic of activated B cell-like diffuse
large B-cell lymphoma typically expressing high levels of miR-155 and lacking
HGAL expression.
PMID- 22096246
TI - In vivo imaging visualizes discoid platelet aggregations without endothelium
disruption and implicates contribution of inflammatory cytokine and integrin
signaling.
AB - The mechanism by which thrombotic vessel occlusion occurs independently of plaque
development or endothelial cell (EC) disruption remains unclear, largely because
of an inability to visualize the formation of thrombus, especially at the single
platelet level in real time. Here we demonstrate that rapidly developing thrombi
composed of discoid platelets can be induced in the mesenteric capillaries,
arterioles, and large-sized arteries of living mice, enabling characterization of
the kinetics of thrombosis initiation and the multicellular interrelationships
during thrombus development. Platelet aggregation without EC disruption was
triggered by reactive oxygen species (ROS) photochemically induced by moderate
power laser irradiation. The inflammatory cytokines TNF-alpha and IL-1 could be
key components of the EC response, acting through regulation of VWF mobilization
to the cell surface. Thrombus formation was then initiated by the binding of
platelet GPIbalpha to endothelial VWF in our model, and this effect was inhibited
by the ROS scavenger N-acetylcysteine. Actin linker talin-dependent activation of
alphaIIb-beta3 integrin or Rac1 in platelets was required for late-phase thrombus
stability. Our novel imaging technology illustrates the molecular mechanism
underlying inflammation-based thrombus formation by discoid platelets on
undisrupted ECs and suggests control of ROS could be a useful therapeutic target
for the prevention of thrombotic diseases.
PMID- 22096247
TI - Integrin-alphavbeta3 regulates thrombopoietin-mediated maintenance of
hematopoietic stem cells.
AB - Throughout life, one's blood supply depends on sustained division of
hematopoietic stem cells (HSCs) for self-renewal and differentiation. Within the
bone marrow microenvironment, an adhesion-dependent or -independent niche system
regulates HSC function. Here we show that a novel adhesion-dependent mechanism
via integrin-beta3 signaling contributes to HSC maintenance. Specific ligation of
beta3-integrin on HSCs using an antibody or extracellular matrix protein
prevented loss of long-term repopulating (LTR) activity during ex vivo culture.
The actions required activation of alphavbeta3-integrin "inside-out" signaling,
which is dependent on thrombopoietin (TPO), an essential cytokine for activation
of dormant HSCs. Subsequent "outside-in" signaling via phosphorylation of Tyr747
in the beta3-subunit cytoplasmic domain was indispensable for TPO-dependent, but
not stem cell factor-dependent, LTR activity in HSCs in vivo. This was
accompanied with enhanced expression of Vps72, Mll1, and Runx1, 3 factors known
to be critical for maintaining HSC activity. Thus, our findings demonstrate a
mechanistic link between beta3-integrin and TPO in HSCs, which may contribute to
maintenance of LTR activity in vivo as well as during ex vivo culture.
PMID- 22096248
TI - The TLR-mediated response of plasmacytoid dendritic cells is positively regulated
by estradiol in vivo through cell-intrinsic estrogen receptor alpha signaling.
AB - Plasmacytoid dendritic cells (pDCs) produce large amounts of type I interferons
(IFN-alpha/beta) in response to viral or endogenous nucleic acids through
activation of their endosomal Toll-like receptors (TLR-7 and TLR-9). Enhanced TLR
7-mediated IFN-alpha production by pDCs in women, compared with men, has been
reported, but whether sex hormones, such as estrogens, are involved in this sex
based difference is unknown. Here we show, in humanized mice, that the TLR-7
mediated response of human pDCs is increased in female host mice relative to
male. In a clinical trial, we establish that treatment of postmenopausal women
with 17beta-estradiol markedly enhances TLR-7- and TLR-9-dependent production of
IFN-alpha by pDCs stimulated by synthetic ligands or by nucleic acid-containing
immune complexes. In mice, we found exogenous and endogenous estrogens to promote
the TLR-mediated cytokine secretion by pDCs through hematopoietic expression of
estrogen receptor (ER) alpha. Genetic ablation of ERalpha gene in the DC lineage
abrogated the enhancing effect of 17beta-estradiol on their TLR-mediated
production of IFN-alpha, showing that estrogens directly target pDCs in vivo. Our
results uncover a previously unappreciated role for estrogens in regulating the
innate functions of pDCs, which may account for sex-based differences in
autoimmune and infectious diseases.
PMID- 22096250
TI - Monosomal karyotype in adult acute myeloid leukemia: prognostic impact and
outcome after different treatment strategies.
AB - We aimed to determine the prognostic impact of monosomal karyotype (MK) in acute
myeloid leukemia (AML) in the context of the current World Health Organization
(WHO) classification and to evaluate the outcome of MK(+) patients after
allogeneic HSCT. Of 1058 patients with abnormal cytogenetics, 319 (30%) were MK
MK(+). MK(+) patients were significantly older (P = .0001), had lower white blood
counts (P = .0006), and lower percentages of BM blasts (P = .0004); MK was
associated with the presence of -5/5q-, -7, 7q-, abnl(12p), abnl(17p), -18/18q-,
20/20q-, inv(3)/t(3;3), complex karyotype (CK), and myelodysplasia (MDS)-related
cytogenetic abnormalities (P < .0001, each); and NPM1 mutations (P < .0001), FLT3
internal tandem duplications (P < .0001), and tyrosine kinase domain mutations (P
= .02) were less frequent in MK(+). Response to induction therapy and overall
survival in MK(+) patients were dismal with a complete remission rate of 32.5%
and a 4-year survival of 9%. MK retained its prognostic impact in AML with CK,
AML with MDS-related cytogenetic abnormalities, and in a revised definition (MK
R) excluding cases with recurrent genetic abnormalities according to WHO
classification and those with derivative chromosomes not leading to true
monosomies. In younger patients, allogeneic HSCT from matched related and
unrelated donors resulted in a limited improvement of overall survival.
PMID- 22096249
TI - Histone deacetylases mediate the silencing of miR-15a, miR-16, and miR-29b in
chronic lymphocytic leukemia.
AB - Chronic lymphocytic leukemia (CLL) demonstrates a global down-regulation of miR
15a and miR-16 and a selective silencing of the related miR-29b in aggressive
disease. Deletions in chromosome 13 [del(13q14)] partially account for the loss
of expression of miR-15a and miR-16, but the mechanisms by which miR-29b becomes
silenced is unknown. In the present study, we show that the histone deacetylases
(HDACs) are overexpressed in CLL and mediate the epigenetic silencing of miR-15a,
miR-16, and miR-29b. HDAC inhibition triggered the accumulation of the
transcriptionally activating chromatin modification H3K4me2 and restored the
expression of miR-15a, miR-16, and miR-29b in approximately 35% of samples.
Ectopic expression of miR-15a and miR-16 and HDAC inhibition-induced expression
of miR-15a, miR-16, or miR-29b in primary CLL cells was associated with declines
in the levels of Mcl-1, but not Bcl-2, mitochondrial dysfunction, and induction
of cell death. Therefore, our results show that HDACs aberrantly silence the
expression of the critical tumor suppressors miR-15a, miR-16, and miR-29b in CLL.
Deacetylase inhibition may be a therapeutic strategy that restores the expression
of these miRs to antagonize Mcl-1, an important survival protein in these cells.
Consequently, CLL patients who exhibit such epigenetic silencing may benefit from
HDAC inhibitor-based therapy.
PMID- 22096251
TI - ARHGAP25, a novel Rac GTPase-activating protein, regulates phagocytosis in human
neutrophilic granulocytes.
AB - Members of the Rac/Rho family of small GTPases play an essential role in
phagocytic cells in organization of the actin cytoskeleton and production of
toxic oxygen compounds. GTPase-activating proteins (GAPs) decrease the amount of
the GTP-bound active form of small GTPases, and contribute to the control of
biologic signals. The number of potential Rac/RhoGAPs largely exceeds the number
of Rac/Rho GTPases and the expression profile, and their specific role in
different cell types is largely unknown. In this study, we report for the first
time the properties of full-length ARHGAP25 protein, and show that it is
specifically expressed in hematopoietic cells, and acts as a RacGAP both in vitro
and in vivo. By silencing and overexpressing the protein in neutrophil model cell
lines (PLB-985 and CosPhoxFcgammaR, respectively) and in primary macrophages, we
demonstrate that ARHGAP25 is a negative regulator of phagocytosis acting probably
via modulation of the actin cytoskeleton.
PMID- 22096253
TI - The kiss of death in FL.
AB - In this issue of Blood, Laurent et al use 3-D confocal imaging to visualize CD8+
T-cell lytic immune synapses in follicular lymphoma and report that this activity
may influence progression-free survival after rituximab-chemotherapy.
PMID- 22096252
TI - The adaptor protein Shc integrates growth factor and ECM signaling during
postnatal angiogenesis.
AB - Angiogenesis requires integration of cues from growth factors, extracellular
matrix (ECM) proteins, and their receptors in endothelial cells. In the present
study, we show that the adaptor protein Shc is required for angiogenesis in
zebrafish, mice, and cell-culture models. Shc knockdown zebrafish embryos show
defects in intersegmental vessel sprouting in the trunk. Shc flox/flox; Tie2-Cre
mice display reduced angiogenesis in the retinal neovascularization model and in
response to VEGF in the Matrigel plug assay in vivo. Functional studies reveal a
model in which Shc is required for integrin-mediated spreading and migration
specifically on fibronectin, as well as endothelial cell survival in response to
VEGF. Mechanistically, Shc is required for activation of the Akt pathway
downstream of both integrin and VEGF signaling, as well as for integration of
signals from these 2 receptors when cells are grown on fibronectin. Therefore, we
have identified a unique mechanism in which signals from 2 critical angiogenic
signaling axes, integrins and VEGFR-2, converge at Shc to regulate postnatal
angiogenesis.
PMID- 22096254
TI - Gene mutation and AML pathogenesis.
AB - In their large study of 1185 patients with acute myeloid leukemia (AML), Shen and
colleagues have dissected the overlapping incidences and prognostic significances
of mutations of the 12 genes most frequently mutated in AML,including FLT3, NPM1,
CEBPA, KIT, N-RAS, MLL, WT1, IDH1/2, TET2, DNMT3A, and ASXL1.
PMID- 22096255
TI - Angiogenesis: the HETE is on.
AB - In this issue of Blood, Singh and colleagues identify HMG-CoA reductase-dependent
farnesylation of Rac-1 as critical for 15(S)-HETE-induced angiogenesis. These
findings establish a novel link between eicosanoid and cholesterol metabolism
with important biologic and therapeutic implications for angiogenesis.
PMID- 22096256
TI - How evidence based is English public health policy?
PMID- 22096257
TI - Buffer Standards for the Biological pH of the Amino Acid N-[2
hydroxyethyl]piperazine-N'-[3-propanesulfonic acid], HEPPS, From (278.15 to
328.15) K.
AB - For the HEPPS buffer under investigation, there are seven buffer solutions
without NaCl and eight buffer solutions that contain Cl(-) and have an ionic
strength (I = 0.16 mol.kg(-1)), which is similar to that of blood plasma. These
buffer solutions have been evaluated in the temperature range of (278.15 to
328.15) K using the extended Debye- Huckel equation and the Bates-Guggenheim
convention. The previously determined E(j) values have been used to determine the
operational pH values of HEPPS buffer solutions at (298.15 and 310.15) K. These
are recommended as secondary standard reference solutions for pH measurements in
saline media with an isotonic ionic strength of I = 0.16 mol.kg(-1).
PMID- 22096258
TI - Low power, biologically benign NIR light triggers polymer disassembly.
AB - Near infrared (NIR) irradiation can penetrate up to 10 cm deep into tissues and
be remotely applied with high spatial and temporal precision. Despite its
potential for various medical and biological applications, there is a dearth of
biomaterials that are responsive at this wavelength region. Herein we report a
polymeric material that is able to disassemble in response to biologically benign
levels of NIR irradiation upon two-photon absorption. The design relies on the
photolysis of the multiple pendant 4-bromo7-hydroxycoumarin protecting groups to
trigger a cascade of cyclization and rearrangement reactions leading to the
degradation of the polymer backbone. The new material undergoes a 50% Mw loss
after 25 sec of ultraviolet (UV) irradiation by single photon absorption and 21
min of NIR irradiation via two-photon absorption. Most importantly, even NIR
irradiation at biologically benign laser power is sufficient to cause significant
polymer disassembly. Furthermore, this material is well tolerated by cells both
before and after degradation. These results demonstrate for the first time a NIR
sensitive material with potential to be used for in vivo applications.
PMID- 22096259
TI - Open Wound Healing In Vivo: Monitoring Binding and Presence of Adhesion/Growth
Regulatory Galectins in Rat Skin during the Course of Complete Re
Epithelialization.
AB - Galectins are a family of carbohydrate-binding proteins that modulate
inflammation and immunity. This functional versatility prompted us to perform a
histochemical study of their occurrence during wound healing using rat skin as an
in vivo model. Wound healing is a dynamic process that exhibits three basic
phases: inflammation, proliferation, and maturation. In this study antibodies
against keratins-10 and -14, wide-spectrum cytokeratin, vimentin, and
fibronectin, and non-cross-reactive antibodies to galectins-1, -2, and -3 were
applied to frozen sections of skin specimens two days (inflammatory phase), seven
days (proliferation phase), and twenty-one days (maturation phase) after
wounding. The presence of binding sites for galectins-1, -2, -3, and -7 as a
measure for assessing changes in reactivity was determined using labeled proteins
as probes. Our study detected a series of alterations in galectin parameters
during the different phases of wound healing. Presence of galectin-1, for
example, increased during the early phase of healing, whereas galectin-3 rapidly
decreased in newly formed granulation tissue. In addition, nuclear reactivity of
epidermal cells for galectin-2 occurred seven days post-trauma. The dynamic
regulation of galectins during re-epithelialization intimates a role of these
proteins in skin wound healing, most notably for galectin-1 increasing during the
early phases and galectin-3 then slightly increasing during later phases of
healing. Such changes may identify a potential target for the development of
novel drugs to aid in wound repair and patients' care.
PMID- 22096260
TI - Immunohistochemical and Immunocytochemical Localization of Amylase in Rat Parotid
Glands and von Ebner's Glands by Ion Etching-Immunoscanning Electron Microscopy.
AB - The distribution of amylase in rat parotid glands and von Ebner's glands was
examined using ion etching-immunoscanning electron microscopy, which enables both
light and electron microscopic observations of identical semi-thin resin sections
immunolabeled with anti-alpha-amylase and immunogold in association with silver
enhancement. At the light microscopic level, most acinar secretory granules (SG)
and striated duct secretions of parotid glands were strongly stained dark brown.
In von Ebner's glands, acinar SG and duct secretions were weakly to strongly
stained light to dark brown. At the electron microscopic level, labeling was
observed as bright gold-silver particles. The labeling intensity of acinar SG of
parotid glands was higher than that of von Ebner's glands. In parotid glands,
weak labeling of SG in transitional cells between acini and intercalated ducts,
very weak labeling of SG in intercalated ducts, and strong labeling of striated
duct secretions were observed. In von Ebner's glands, the secretions and some SG
of interlobular ducts were strongly labeled compared to those of intralobular
ducts and SG of acini. Less amylase was synthesized in von Ebner's acini compared
to parotid acini, whereas von Ebner's ducts may secrete significantly more
amylase to modify saliva than parotid ducts.
PMID- 22096261
TI - Transplantation of bone marrow-derived mesenchymal stem cells into the developing
mouse eye.
AB - Mesenchymal stem cells (MSCs) have been studied widely for their potential to
differentiate into various lineage cells including neural cells in vitro and in
vivo. To investigate the influence of the developing host environment on the
integration and morphological and molecular differentiation of MSCs, human bone
marrow-derived mesenchymal stem cells (BM-MSCs) were transplanted into the
developing mouse retina. Enhanced green fluorescent protein (GFP)-expressing BM
MSCs were transplanted by intraocular injections into mice, ranging in ages from
1 day postnatal (PN) to 10 days PN. The survival dates ranged from 7 days post
transplantation (DPT) to 28DPT, at which time an immunohistochemical analysis was
performed on the eyes. The transplanted BM-MSCs survived and showed morphological
differentiation into neural cells and some processes within the host retina. Some
transplanted cells expressed microtubule associated protein 2 (MAP2ab, marker for
mature neural cells) or glial fibrillary acid protein (GFAP, marker for glial
cells) at 5PN 7DPT. In addition, some transplanted cells integrated into the
developing retina. The morphological and molecular differentiation and
integration within the 5PN 7DPT eye was greater than those of other-aged host
eye. The present findings suggest that the age of the host environment can
strongly influence the differentiation and integration of BM-MSCs.
PMID- 22096262
TI - Autophagy is constitutively active in normal mouse sino-atrial nodal cells.
AB - This study was designed to examine the autophagy in sino-atrial (SA) nodal cells
from the normal adult mouse heart. Autophagy is the cellular process responsible
for the degradation and recycling of long-lived and/or damaged cytoplasmic
components by lysosomal digestion. In the heart, autophagy is known to occur at a
low level under physiological conditions, but to become upregulated when cells
are exposed to certain stresses, such as ischemia. We examined whether the basal
level of autophagy in SA nodal cells was different from that in ventricular or
atrial myocytes. An ultrastructural analysis revealed that the SA nodal cells
contained a number of autophagic vacuoles (autophagosomes) with various stages of
degradation by lysosomal digestion, whereas the number of those in ventricular or
atrial myocytes was either negligible or very small. The immunostaining of
autophagosome marker microtubule-associated protein 1 light chain 3 (LC3) and
lysosome marker lysosome-associated membrane protein 1 (LAMP1) indicated that the
content of both autophagosomes and lysosomes were much greater in SA nodal cells
than in ordinary cardiomyocytes. Our results provide evidence that the autophagy
is active in normal SA nodal cells, which is not a stress-activated process but a
constitutive event in the mouse heart.
PMID- 22096263
TI - Replication Banding Patterns in Human Chromosomes Detected Using 5-ethynyl-2'
deoxyuridine Incorporation.
AB - A novel technique using the incorporation of 5-ethynyl-2'-deoxyuridine (EdU) into
replicating DNA is described for the analysis of replicating banding patterns of
human metaphase chromosomes. Human lymphocytes were synchronized with excess
thymidine and treated with EdU during the late S phase of the cell cycle. The
incorporated EdU was then detected in metaphase chromosomes using Alexa Fluor(r)
488 azides, through the 1,3-dipolar cycloaddition reaction of organic azides with
the terminal acetylene group of EdU. Chromosomes with incorporated EdU showed a
banding pattern similar to G-banding of normal human chromosomes. Imaging by
atomic force microscopy (AFM) in liquid conditions showed that the structure of
the chromosomes was well preserved even after EdU treatment. Comparison between
fluorescence microscopy and AFM images of the same chromosome 1 indicated the
presence of ridges and grooves in the chromatid arm, features that have been
previously reported in relation to G-banding. These results suggest an intimate
relationship between EdU-induced replication bands and G- or R-bands in human
chromosomes. This technique is thus useful for analyzing the structure of
chromosomes in relation to their banding patterns following DNA replication in
the S phase.
PMID- 22096264
TI - Experimental and theoretical advances in prosody: A review.
AB - Research on prosody has recently become an important focus in various
disciplines, including Linguistics, Psychology, and Computer Science. This
article reviews recent research advances on two key issues: prosodic phrasing and
prosodic prominence. Both aspects of prosody are influenced by linguistic factors
such as syntactic constituent structure, semantic relations, phonological rhythm,
pragmatic considerations, and also by processing factors such as the length,
complexity or predictability of linguistic material. Our review summarizes recent
insights into the production and perception of these two components of prosody
and their grammatical underpinnings. While this review only covers a subset of a
broader set of research topics on prosody in cognitive science, they are
representative of a tendency in the field toward a more interdisciplinary
approach.
PMID- 22096265
TI - Accent detection is a slippery slope: Direction and rate of F0 change drives
listeners' comprehension.
AB - The present study tests whether listeners use F0, duration, or some combination
of the two to identify the presence of an accented word in a short discourse.
Participants' eye movements to previously mentioned and new objects were
monitored as participants listened to instructions to move objects in a display.
The name of the target object on critical trials was resynthesized from naturally
produced utterances so that it had either high or low F0 and either long or short
duration. Fixations to the new object were highest when there was a steep rise in
F0. Fixations to the previously mentioned object were highest when there was a
steep drop in F0. These results suggest that listeners use F0 slope to make
decisions about the presence of an accent, and that F0 and duration by themselves
do not solely determine accent interpretation.
PMID- 22096266
TI - Distributed impact of cognitive-communication impairment: Disruptions in the use
of definite references when speaking to individuals with amnesia.
AB - BACKGROUND: Definite references signal a speaker's belief that a listener can
uniquely identify the referent (e.g., the dog, as the only dog among a group of
animals). Clark's (1992) collaborative referencing model provides a way to
examine the speaker's display of confidence that his/her reference will be
understood by the listener without further clarification. We previously found
that amnesia participants, as directors in a barrier task with a familiar
partner, used referencing forms that displayed less confidence than forms used by
comparison participants. If this is an interactional consequence of managing the
memory impairment (as opposed to a language deficit), we should also expect a
decrease in definite referencing by their partners. AIMS: To examine the use of
definite references by healthy non-brain-damaged participants when speaking to
their memory-impaired partner during repeated trials of a barrier task. METHODS
#ENTITYSTARTX00026; PROCEDURES: We replicated our previous work with 11 of the
same participant pairs-6 individuals with hippocampal amnesia and 5 comparison
participants-each of whom was paired with a familiar partner of their choosing.
Focusing on the productions of the partners (i.e., partners became directors) we
(1) coded referential expressions as definite or indefinite; (2) tracked changes
in the use of indefinite and definite references across trials; and (3) compared
data to previous analyses (when amnesia participants were directors). OUTCOMES
#ENTITYSTARTX00026; RESULTS: The productions of comparison pairs were
overwhelming definite (95%, 1359). In sharp contrast, partners of the amnesia
participants used a definite initiating reference less than half the time (48%,
825), when speaking to their memory-impaired partner and used definite references
that signalled a lack of confidence more often and across more trials.
CONCLUSIONS: These findings support the assumption that disruptions in language
and-memory-in-use are not limited to the productions of the individuals with
amnesia, but rather extend to the discourse of their communication partners.
Observing disruptions in the use of definite references of individuals with
intact language and declarative memory, when communicating with their partner
with amnesia, points to the complex interaction of memory and language. Even when
attention is paid to grammatical forms, the decisions are never linguistic alone.
PMID- 22096267
TI - Shyness and Vocabulary: The Roles of Executive Functioning and Home Environmental
Stimulation.
AB - Although shyness has often been found to be negatively related to vocabulary, few
studies have examined the processes that produce or modify this relation. The
present study examined executive functioning skills and home environmental
stimulation as potential mediating and moderating mechanisms. A sample of 3.5
year-old children (N=254) were administered executive functioning tasks and a
vocabulary test during a laboratory visit. Mothers completed questionnaires
assessing child shyness and home environmental stimulation. Our primary
hypothesis was that executive functioning mediates the association between
shyness and vocabulary, and home environmental stimulation moderates the relation
between executive functioning and vocabulary. Alternative hypotheses were also
tested. Results indicated that children with better executive functioning skills
developed stronger vocabularies when reared in more, versus less, stimulating
environments. Implications of these results are discussed in terms of the role of
shyness, executive functioning, and home environmental stimulation in early
vocabulary development.
PMID- 22096268
TI - Dual Processes in Decision Making and Developmental Neuroscience: A Fuzzy-Trace
Model.
AB - From Piaget to the present, traditional and dual-process theories have predicted
improvement in reasoning from childhood to adulthood, and improvement has been
observed. However, developmental reversals-that reasoning biases emerge with
development -have also been observed in a growing list of paradigms. We explain
how fuzzy-trace theory predicts both improvement and developmental reversals in
reasoning and decision making. Drawing on research on logical and quantitative
reasoning, as well as on risky decision making in the laboratory and in life, we
illustrate how the same small set of theoretical principles apply to typical
neurodevelopment, encompassing childhood, adolescence, and adulthood, and to
neurological conditions such as autism and Alzheimer's disease. For example,
framing effects-that risk preferences shift when the same decisions are phrases
in terms of gains versus losses-emerge in early adolescence as gist-based
intuition develops. In autistic individuals, who rely less on gist-based
intuition and more on verbatim-based analysis, framing biases are attenuated
(i.e., they outperform typically developing control subjects). In adults, simple
manipulations based on fuzzy-trace theory can make framing effects appear and
disappear depending on whether gist-based intuition or verbatim-based analysis is
induced. These theoretical principles are summarized and integrated in a new
mathematical model that specifies how dual modes of reasoning combine to produce
predictable variability in performance. In particular, we show how the most
popular and extensively studied model of decision making-prospect theory-can be
derived from fuzzy-trace theory by combining analytical (verbatim-based) and
intuitive (gist-based) processes.
PMID- 22096269
TI - Bis(1,2,3-triazol-5-ylidenes) (i-bitz) as Stable 1,4-Bidentate Ligands Based on
Mesoionic Carbenes (MICs).
AB - Direct metalation of bis(1,2,3-triazolium) salts affords mononuclear rhodium(I)
complexes, which feature a 1,4-bidentate bis(1,2,3-triazol-5-ylidene) (i-bitz)
ligand. The topology of the ligand is similar to 2,2'-bipyridines (bpy) and their
congeners, as well as bis(1,2,4-triazol-5-ylidenes) (bitz). As the former, but in
contrast to the latter, the free i-bitz can be isolated, which paves the way for
various applications.
PMID- 22096270
TI - Problem Drinking, Unemployment, and Intimate Partner Violence among a Sample of
Construction Industry Workers and their Partners.
AB - The purpose of this study is to determine the contribution of male unemployment
and each partner's problem drinking to risk for male-to-female partner violence
(MFPV) and female-to-male partner violence (FMPV) among a sample of construction
industry workers and their spouses/partners. Participants in the sample (n=848
couples) completed cross-sectional health behavior surveys. Multivariate logistic
regression models of MFPV and FMPV, with adjustment for demographic and
psychosocial variables, were developed. Approximately 20% of couples reported
MFPV, and 24% reported FMPV. Results indicated that couples in which the male was
a problem drinker, and in which the male worker reported being currently
unemployed, were at risk for MFPV. Number of months unemployed by the male worker
was significantly associated with FMPV, but problem drinking was not associated
with this outcome. Male and female impulsivity were significantly associated with
risk for MFPV and FMPV, and the male's report of adverse childhood events was
associated with increased likelihood of MFPV. There was no evidence for the
effects of unemployment being moderated (exacerbated) by problem drinking.
Workplace-based prevention efforts may be a feasible and important strategy to
reduce problem drinking and partner violence among high-risk occupational groups.
PMID- 22096271
TI - AUDIO-CASI: Hardware and Software Considerations in Adding Sound to a Computer
Assisted Interviewing System.
AB - This article reviews a multimedia application in the area of survey measurement
research: adding audio capabilities to a computer-assisted interviewing system.
Hardware and software issues are discussed, and potential hardware devices that
operate from DOS platforms are reviewed. Three types of hardware devices are
considered: PCMCIA devices, parallel port attachments, and laptops with built-in
sound.
PMID- 22096272
TI - Anesthesia : Contributing to pollution?
PMID- 22096273
TI - Prevention of local anesthetic systemic toxicity.
PMID- 22096274
TI - Psychiatric diseases: Need for an increased awareness among the
anesthesiologists.
AB - Psychological disorders and psychiatric diseases have been on the rise since the
last three decades. An increasing number of such patients are encountered
nowadays for elective or emergency surgery. A multi-array of challenges are faced
while anesthetizing these patients or treating them in an intensive care unit.
The problems include the deteriorated mental physiology, altered cognition and
the possible drug interactions with psychotropic medications. The challenge
starts from the preoperative assessment stage. Knowledge of the pharmacological
profile of the various anti-psychotic drugs, their side-effects and drug
interactions are of prime importance for an anesthesiologist to facilitate smooth
delivery of anesthesia in such patients. It is important to formulate a clear
plan to deal with any challenge in the perioperative or postoperative period. All
the clinical aspects and various definitions of mental disorders in the present
article have been used as per the fourth edition of the Diagnostic and
Statistical Manual of Mental Disorders (DSM-IV). We reviewed the advances in
psychiatric diseases, their treatment and their implications on delivery of
anesthesia.
PMID- 22096275
TI - A systematic review of the effects of sedatives and anesthetics in patients with
obstructive sleep apnea.
AB - The objective of this review is to determine the effects of perioperative
sedatives and anesthetics in surgical patients with obstructive sleep apnea (OSA)
on respiratory events, medication requirements, hemodynamics, pain, emergence,
and hospital stay. We searched The Cochrane CENTRAL Register of Controlled
Trials, Medline, Embase, and Cochrane Database of Systematic Reviews from 1950 to
June 2010 for relevant articles. All prospective and retrospective studies were
eligible for inclusion if the effects of perioperative administration of sedation
and anesthetics on medication requirements, pain, emergence, hemodynamics,
respiratory events, and length of hospital stay in OSA patients were reported.
The search strategy yielded 18 studies of 1467 patients. Of these, 456 patients
were documented as having OSA. Few adverse respiratory effects were reported.
Eight out of 700 (1.14%) patients undergoing middle ear surgery with midazolam
and fentanyl had impaired upper airway patency and were retrospectively diagnosed
as having OSA by polysomnography. Also, intraoperative snoring causing uvular
edema in the postoperative period was described in an OSA patient undergoing
upper limb surgery when propofol was administered with midazolam and fentanyl for
sedation. A decrease in oxygen saturation in the postoperative period was
described with propofol and isoflurane in 21 OSA patients undergoing uvulo-palato
pharyngoplasty and tonsillectomy surgery (P<0.05). Perioperative alpha 2 agonists
were shown to decrease the use of anesthetics (P<0.05), analgesics (P=0.008) and
anti-hypertensives (P<0.001) in OSA patients. Contradictory reports regarding
emergence occurred with intraoperative dexmedetomidine. Intraoperative opioids
decreased the analgesic consumption (P=0.03) and pain scores (P<0.05) in the
postoperative period. There was limited data on the length of hospital stay.
There were few adverse effects reported when patients with known OSA underwent
elective surgery with the currently available sedatives and anesthetics. Adverse
events were reported with midazolam. However, the quality and number of patients
in the studies were limited. There is a need for further trials with large
numbers and uniform reporting of outcomes.
PMID- 22096276
TI - Combined spinal epidural and epidural volume extension: Interaction of patient
position and hyperbaric bupivacaine.
AB - BACKGROUND: Previous trials have documented failure of block augmentation with
epidural volume extension, when applied after the intrathecal injection of
hyperbaric bupivacaine was made in sitting position. However, there is no study
comparing the effect of change in patient position during block performance, on
the results of epidural volume extension. MATERIALS AND METHODS: The study was
conducted in two parts in American Society of Anesthesiologists physical status I
or II parturients scheduled for elective cesarean section under regional
anesthesia. In the first part, 28 patients were randomized to one of the two
groups, depending on whether epidural volume extension was applied following the
block in sitting (group SE) or lateral position (group LE) (n=14 each). In the
second part of the study another 28 patients were recruited and randomized to
receive the block in sitting (group S) or lateral (group L) position (n=14 each),
without epidural volume extension. All patients received combined spinal epidural
block using needle-through-needle technique with intrathecal injection of 9 mg
hyperbaric bupivacaine (0.5%) and 10 MUg fentanyl. Epidural volume extension was
performed using 5 ml normal saline in groups SE and LE. RESULTS: In the first
part of the study, the maximum sensory block level was higher in group LE vs. SE
(P<0.05). In the second part of the study, no significant difference was seen in
the maximum sensory level between group S and group L (P>0.05). CONCLUSIONS: If
epidural volume extension is being applied with intention of rapid extension of
sensory block when hyperbaric bupivacaine has been injected intrathecally, the
combined spinal epidural block should be performed in lateral position rather
than in the sitting position.
PMID- 22096277
TI - Bier's block using lignocaine and butorphanol.
AB - BACKGROUND: Opioids are most commonly used as adjuncts in intravenous regional
anesthesia (IVRA) to improve the quality of intraoperative and postoperative
analgesia. There is paucity of literature on the use of butorphanol in IVRA.
AIMS: The aim of this study was to evaluate the likely benefits of addition of
butorphanol to lignocaine in Bier's block in terms of onset and duration of
sensory block and also for analgesic requirement in postoperative period.
SETTINGS AND DESIGN: A randomized double blind study was conducted at Tertiary
Care Educational Institute. PATIENTS AND METHODS: A total of 40 adult ASA I or II
patients scheduled to undergo upper limb surgery were randomized in two groups
(n=20). Group I received 3 mg/kg of lignocaine alone and group II received 1 mg
butorphanol in addition to 3 mg/kg lignocaine. Sensory block onset time and time
to recovery from sensory block after tourniquet deflation were noted using the
pin prick method. Duration of postoperative analgesia was noted using a visual
analogue scale. All the patients were compared for the time to first rescue
analgesic consumption and total analgesic consumption in first 24 hours
postoperatively. STATISTICAL ANALYSIS USED: The statistical analysis was done
using unpaired Student's t-test. RESULTS: Our study showed significant
prolongation of postoperative analgesia in group II as noted by the time to first
analgesic requirement. Total analgesic consumption in first 24 hours
postoperatively was less in group II. Sensory block onset time and time to
recovery from sensory block after tourniquet deflation, did not show any
significant difference between the two groups. CONCLUSIONS: Addition of
butorphanol to lignocaine in IVRA significantly prolongs the duration of
postoperative analgesia and 24 hours analgesic consumption is less in patients
receiving butorphanol along with lignocaine in IVRA. However, there is no effect
on sensory block onset time and time to recovery from sensory block.
PMID- 22096278
TI - Role of hydrocortisone in prevention of pain on propofol injection.
AB - BACKGROUND AND OBJECTIVES: Pain following intravenous injection of propofol
continues to be an intriguing problem. None of the commonly used methods
completely attenuate the pain. Inflammatory response to propofol contributes to
the pain. Role of hydrocortisone in attenuating pain has not been evaluated. This
study was conducted to compare the efficacy of lignocaine and hydrocortisone in
attenuation of pain following intravenous injection of propofol. MATERIALS AND
METHODS: A prospective randomized double-blind, placebo-controlled study was
conducted on 72 adult patients belonging to American Society of Anesthesiologists
(ASA) physical status I or II, scheduled to undergo elective surgery. They were
randomly assigned to four groups of 18 each. Group NS, group LG, group HC10, and
group HC25. The groups received 2 ml normal saline, 2 ml 2% lignocaine, 10 mg/2
ml hydrocortisone, and 25 mg/2 ml hydrocortisone, respectively, as pretreatment.
Propofol was injected 30 sec later. A blinded researcher assessed the patient's
pain level using a four point verbal rating scale. RESULTS: The four groups were
comparable in respect to patient's characteristics. There was no significant
difference of hemodynamics changes during propofol induction between all the
groups. There was no statistically significant difference in the incidence of
pain between patients who received hydrocortisone and the placebo group. The
incidence of pain was significantly less in group LG than other three groups.
CONCLUSION: Use of intravenous low dose hydrocortisone pretreatment of the vein
does not attenuate pain following propofol injection.
PMID- 22096279
TI - Hemodynamic stress response during laparoscopic cholecystectomy: Effect of two
different doses of intravenous clonidine premedication.
AB - BACKGROUND: Clonidine has emerged as an attractive premedication desirable in
laparoscopic surgery wherein significant hemodynamic stress response is seen. The
minimum safe and effective dose of intravenous clonidine to attenuate the
hemodynamic stress response during laparoscopic surgery has however not yet been
determined. MATERIALS AND METHODS: This prospective, randomized, double-blind
controlled study was conducted on 90 adults of ASA physical status I and II,
scheduled for laparoscopic cholecystectomy under general anesthesia. Patients
were randomized to one of the three groups (n= 30). Group I received 100 ml of
normal saline, while groups II and III received 1 MUg/ kg and 2 MUg/ kg of
clonidine respectively, intravenous, in 100 ml of normal saline along. All
patients received glycopyrrolate 0.004 mg/kg and tramadol 1.5 mg/kg
intravenously, 30 min before induction. Hemodynamic variables (heart rate,
systolic, diastolic, mean arterial pressure), SpO2, and sedation score were
recorded at specific timings. MAP above 20% from baseline was considered
significant and treated with nitroglycerine. RESULTS: In group I, there was a
significant increase in hemodynamic variables during intubation pneumoperitoneum
and extubation (P<0.001). Clonidine given 1 MUg/kg intravenous attenuated
hemodynamic stress response to pneumoperitoneum (P<0.05), but not that associated
with intubation and extubation. Clonidine 2 MUg/kg intravenous prevented
hemodynamic stress response to pneumoperitoneum and that associated with
intubation and extubation (P<0.05). As against 14 and 2 patients in groups I and
II respectively, no patient required nitroglycerine infusion in group III.
CONCLUSIONS: Clonidine, 2 MUg/ kg intravenously, 30 min before induction is safe
and effective in preventing the hemodynamic stress response during laparoscopic
cholecystectomy.
PMID- 22096280
TI - The analgesic efficacy of peritubal infiltration of 0.25% bupivacaine in
percutaneous nephrolithotomy - A prospective randomized study.
AB - BACKGROUND: Percutaneous nephrolithotomy is a routine endourologic procedure in
patients with renal stones. Although it is less painful than open surgery, good
postoperative analgesia is required to alleviate pain around nephrostomy tube.
MATERIALS AND METHODS: Sixty ASA grade I patients, 18 to 60 years of age, of
either sex were randomized to receive 20 ml of 0.25% bupivacaine (group S) or 20
ml of normal saline (group C) through 23-gauge spinal needle along the
nephrostomy tube under fluroscopic guidance at the end of the surgery.
Postoperative pain score was assessed by visual and dynamic visual analog scores.
When the scores were >=4, rescue analgesia was given in the form of tramadol 1
mg/kg i.v. upto maximum 400 mg in 24 hours. Time to first demand analgesia and
total dose of tramadol in first 24 hours was noted. RESULTS: Pain scores at rest
and during coughing as well as rescue analgesic requirements for first 24 hours
were significantly less in the bupivacaine group than those of the control group
(P < 0.05). The first request for demand analgesia was around 9 hours in group S,
while in group C it was around 2.6 hours (P < 0.05). Total requirement of
tramadol in group S was 119.3 mg and in C group it was 276.8 mg (P < 0.05).
CONCLUSION: Peritubal infiltration of 0.25% bupivacaine is efficient in
alleviating postoperative pain after PCNL.
PMID- 22096281
TI - Preventive analgesia: Effect of small dose of ketamine on morphine requirement
after renal surgery.
AB - BACKGROUND: N-methyl D-Aspartate (NMDA) receptors seem to be responsible for pain
memory and their blockade can contribute significantly in prevention of pain.
This study was conducted to evaluate the preventive effect of small dose of
ketamine, a NMDA receptor blocker, given before skin incision in renal surgery,
with the aim to compare analgesic efficacy, intra operative and post-operative
side effects. MATERIALS AND METHODS: In a prospective double-blind study, 60
American Society of Anesthesiologists (ASA) risk I and II adult patients
scheduled for elective open renal surgeries by flank incision were randomly
divided in two groups. Ketamine group (group K) received ketamine 0.15 mg/kg
intravenously, 30 minute before start of surgery followed by infusion of ketamine
2 mcg/kg/min till start of skin closure. Control group (group C) received normal
saline in place of ketamine. Both groups received morphine 0.15 mg/ kg i.v. at
the time of skin closure. The analgesic efficacy was judged by visual analogue
scale (VAS) at rest and on movement, time to first analgesic and morphine
consumption in 24 hours. Opioid or ketamine related side effects were also
recorded. RESULTS: Patients in ketamine group had significantly lower VAS score,
longer time to first analgesic (21.6 +/- 0.12 Vs 3.8 +/- 0.7 hrs), and lower
morphine consumption (5.8 +/- 1.48 Vs 18.1 +/- 1.6 mg) in 24 hours. There were no
demonstrable side effects related to ketamine in group K whereas incidence of
nausea and vomiting was higher in group C. CONCLUSION: Our results demonstrate
that small dose of ketamine decreases post-operative pain, reduces morphine
consumption, and delays patients request for analgesia beyond the clinical
duration of action of ketamine after open renal surgery.
PMID- 22096282
TI - Pre-emptive oral dexmethorphan reduces fentanyl-induced cough as well as
immediate postoperative adrenocortico-tropic hormone and growth hormone level.
AB - BACKGROUND: Fentanyl-induced cough is not always benign and brief and can be
remarkably troublesome, spasmodic, and explosive. Dextromethorphan, an opioid
derivative with an antitussive action, may be effective in reducing the fentanyl
induced cough. Dextromethorphan, a N-methyl D aspartate receptor antagonist, may
have some effect on diminishing the stress response to surgery. This study was
undertaken to determine whether preoperative dextromethorphan could effectively
attenuate its incidence, severity, and effect on postoperative stress hormone
levels. MATERIALS AND METHODS: Three hundred and twenty patients of American
society of anesthesiologists I-II, aged 18-60 years, undergoing elective
laparoscopic cholecystectomy or appendicectomy were randomly allocated into two
groups (Group C, control; Group D, dextromethorphan) consisting of 160 patients
each. Patients in Group D received dextromethorphan 40 mg orally and in Group C
received placebo tablets 60 minutes before induction of anesthesia. The incidence
of cough was recorded for 1 minute after fentanyl injection and graded as none
(0), mild (1-2), moderate (3-5), and severe (>5 cough). Blood samples were
collected for estimation of stress hormone levels before surgery and again at 1
hour and 24 hours postoperatively and compared. The appearance of adverse
reactions was recorded. RESULTS: The incidence of reflex fentanyl cough was lower
in dextromethorphan group (3.9%) in comparison to placebo (59.8%). Five patients
developed mild and one moderate cough in the dextromethorphan group. In the
control group, 31 patients developed mild, 29 moderate, and 32 severe cough. The
stress hormones were significantly higher at 1 hour and 24 hours postoperatively
in both groups in comparison to its preoperative values. However, at 1 hour
postoperatively, adrenocorticotropic hormone, epinephrine, and growth hormone
values were significantly low in the dextromethorphan group (61.5 +/- 21.1 pg/
ml, 142.1 +/- 11.2 pg/ml, and 3.8 +/- 0.7 ng/ml) relative to the control group
(73.4 +/- 21.9 pg/ml, 158.9 +/- 17.9 pg/ml, and 4.2 +/- 1.3 ng/ml), but changes
became insignificant at 24 hours postoperatively. CONCLUSION: Preoperative oral
dextromethorphan 40 mg decreased the incidence and severity of fentanyl induced
cough and reduced the rise in stress hormones at 1 hour postoperatively.
PMID- 22096283
TI - Comparative study of intrathecal dexmedetomidine with intrathecal magnesium
sulfate used as adjuvants to bupivacaine.
AB - BACKGROUND: No drug, used as adjuvant to spinal bupivacaine, has yet been
identified that specifically inhibits nociception without its associated side
effects. AIMS: This prospective randomized double-blind study was conducted to
evaluate the onset and duration of sensory and motor block as well as
perioperative analgesia and adverse effects of dexmedetomidine and magnesium
sulfate given intrathecally with 0.5% hyperbaric bupivacaine for spinal
anesthesia. MATERIALS AND METHODS: A total of 90 patients classified as American
Society of Anesthesiologists status I and II scheduled for lower abdominal and
lower limb procedures were prospectively studied. Patients were randomly
allocated to receive intrathecally either 15 mg hyperbaric bupivacaine plus 0.1
ml (10 MUg) dexmedetomidine (group D, n=30) or 15 mg hyperbaric bupivacaine plus
0.1 ml (50 mg) magnesium sulfate (group M, n=30) or 15 mg hyperbaric bupivacaine
plus 0.1 ml saline (group C, n=30) as control. The onset time to reach peak
sensory and motor level, the regression time for sensory and motor block,
hemodynamic changes and side-effects were recorded. STATISTICAL ANALYSIS USED:
All statistical analyses were performed using INSTAT for windows. Continuous
variables were tested for normal distribution by the Kolmogorov-Smirnov test.
Data was expressed as either mean and standard deviation or numbers and
percentages. Continuous covariates (age, body mass index and height) were
compared using analysis of variance (ANOVA). For the times to reach T10
dermatomes, Bromage 3 scale and the regression of the sensory block to S1
dermatome and Bromage scale 0, one-way ANOVA was used to compare the means. The
level of significance used was P<0.05. RESULTS: The onset times to reach T10
dermatome and to reach peak sensory level as well as onset time to reach modified
Bromage 3 motor block were significantly different in the three groups. The onset
time to reach peak sensory and motor level was shorter in group D as compared
with the control group C, and it was significantly prolonged in group M. We also
found that patients in group D had significant longer sensory and motor block
times than patients in group M, which was greater than in the control group C.
CONCLUSION: It was found that onset of anesthesia was rapid and of prolonged
duration in the dexmedetomidine group (D). However, in the magnesium sulfate
group (M), although onset of block was delayed, the duration was significantly
prolonged as compared with the control group (C), but to a lesser degree than in
the dexmedetomidine group (D). The groups were similar with respect to
hemodynamic variables and there were no significant side-effects in either of the
groups.
PMID- 22096284
TI - Effect of intraoperative depth of anesthesia on postoperative pain and analgesic
requirement: A randomized prospective observer blinded study.
AB - BACKGROUND: Intraoperative depth of anesthesia may affect postoperative pain
relief. This prospective, randomized, and observer-blinded study assessed the
effect of intraoperative depth of anesthesia on the postoperative pain and
analgesic requirements in patients undergoing laparoscopic cholecystectomy.
MATERIALS AND METHODS: A total of 80 patients were randomly divided into two
groups of 40 each. A standard technique for anesthesia was followed in all
patients. Depth of anesthesia was monitored by bispectral index (BIS) and
adjusted with 0.5 to 1.5% isoflurane in group S by addition of propofol in group
B, if required, to maintain a BIS value of 45 to 40. Postoperative analgesia was
provided by tramadol 1 mg/kg every 6 hours and rescue analgesia by morphine
boluses. Postoperative pain was assessed by Visual analogue scale score at 0, 8,
16, and 24 hours. RESULTS: The demographic characteristics were comparable in
both groups. The mean BIS value in Group S was 63.32 +/- 11.43 and 45.06 +/-
15.31 in Group B, well in the range of 40 to 60, reflecting adequate hypnotic
effect for general anesthesia. The mean arterial pressure was low in group B
throughout the surgery (P<0.05-0.001). The pain score were lower in group B at 0
and 8 hours postoperatively when compared with group S (P<0.05). The rescue
analgesic requirement was less in group B, compared with group S (P<0.05).
CONCLUSION: Maintaining BIS to a value of 45 to 40 throughout the surgery results
in better postoperative pain relief and decreased requirement of rescue analgesic
without any untoward effect.
PMID- 22096285
TI - Student assessment of teaching effectiveness of "bundle of changes"-A paired,
controlled trial.
AB - BACKGROUND: Inching toward optimum patient safety by training personnel is the
prime aim of the ongoing medical education. AIMS: To assess whether lectures
targeted to improve quality care in ICU could improve ICU practitioners'
knowledge levels and to evaluate the effectiveness of teaching. SETTINGS AND
DESIGN: In this paired controlled trial, 50 ICU practitioners, i.e., anesthesia
and medicine residents and nursing staff of our hospital attended a series of
four lectures. MATERIALS AND METHODS: Participants enrolled in the study attended
lectures on bundles of changes in ICU, namely, introduction, ventilator bundle,
central line bundle, and catheter-related blood stream infections and severe
sepsis bundle. They were given a questionnaire of 15 multiple choice questions
prior to and after the lectures. We evaluated their immediate knowledge
acquisition and retention recall. Subsequently, they evaluated the effectiveness
of the teaching programme by a questionnaire of 10 multiple choice questions.
STATISTICAL ANALYSIS USED: Data for statistical analysis were tabulated and
analyzed using SPSS-Pc 11.5 version software. RESULTS: Fifty study participants
completed all three questionnaires. There was an increase in the overall mean
score in the post-lecture test (4.58 + 1.51 SD) (P < 0.001). Overall mean score
increased significantly from 8.30 + 1.34 SD in THE pre-lecture test - to 12.02 +
1.61 SD in the postlecture re-test (3.72 + 1.39 SD) (P < 0.001). In the
evaluation of teaching effectiveness 88% respondents agreed to most of the
questions, signifying the effectiveness of the lectures. However, there were 10%
who disagreed to the questions and only 2% strongly disagreed to all the
questions. CONCLUSIONS: Teaching programmes such as the "bundle of changes" are
effective in improving immediate knowledge acquisition and retention recall of
the participants if designed keeping the target audience in mind.
PMID- 22096286
TI - Patient's experiences and satisfaction with preanesthesia services: A prospective
audit.
AB - BACKGROUND: Patient satisfaction with the medical services is an important
indicator of quality of healthcare but is seldom given importance in our country.
It is difficult to measure patient satisfaction, especially in pre- and
perioperative period. MATERIALS AND METHODS: We conducted this audit by means of
a questionnaire designed to assess preanesthetic clinic services in a large
government hospital. A total of 200 patients were randomly selected to respond to
a multiple item questionnaire. STATISTICAL ANALYSIS: A database was created and
analyzed using Microsoft Excel. RESULTS: 95% patients filled the proforma. Most
of the patients (60%) were not aware of the purpose of visit to preanesthetic
clinic. Majority of them were attended in time by the doctors but most of them
(60%) received fitness after 2 to 3 visits. Majority was not explained about the
preanesthesia instructions. Most of them were not satisfied by amenities in
hospital. Several studies have shown that a thorough preoperative examination can
be as effective as an anxiolytic premedication and can increase quality of care.
In our study, location of preanesthetic clinic and unable to get fitness in first
visit (because of lack of coordination between doctors of various specialties)
were the major hindrances. CONCLUSION: Measures should be taken to improve the
functioning of preanesthesia clinic and patient satisfaction.
PMID- 22096288
TI - Effect of one minimum alveolar concentration sevoflurane with and without
fentanyl on hemodynamic response to laryngoscopy and tracheal intubation.
AB - BACKGROUND: Drug combinations can be used for optimum obtundation of the
hemodynamic response to tracheal intubation. The objective of this trial was to
compare the hemodynamic response to laryngoscopy and tracheal intubation after
administration of 2 MUg/kg fentanyl bolus or a placebo with 2% end tidal
sevoflurane at induction of anesthesia. MATERIALS AND METHODS: A total of 70
surgical patients of either gender, age 18-45 years were selected for this double
blind, randomized, placebo controlled trial, with 35 in each group. All patients
received a standardized induction with thiopentone, atracurium, and an end tidal
concentration of 1 minimum alveolar concentration (MAC) sevoflurane. Heart rate
and noninvasive blood pressure were compared to the baseline post induction and
for seven minutes post intubation. Some adverse events were noted. RESULTS: The
maximum heart rate response was significantly less in the sevoflurane fentanyl
group (15% vs. 22%). Significant difference between groups was observed in the
systolic blood pressure at six minutes post intubation. Hemodynamic adverse
events recorded were similar. CONCLUSION: Addition of 2 MUg fentanyl bolus to 1
MAC sevoflurane anesthesia at induction attenuated the hemodynamic response to a
maximum of 15% above baseline values.
PMID- 22096287
TI - Stellate ganglion block for treatment of cerebral vasospasm in patients with
aneurysmal subarachnoid hemorrhage - A preliminary study.
AB - BACKGROUND: Stellate ganglion block improves cerebral perfusion by decreasing the
cerebral vascular tone. Its effects on cerebral vasospasm to relieve neurological
deficits have not been evaluated. This prospective observational study was
carried out to evaluate the effect of stellate ganglion block on cerebral
hemodynamics in patients with symptomatic cerebral vasospasm following aneurysmal
subarachnoid hemorrhage. MATERIALS AND METHODS: Fifteen patients of either sex,
aged 18-75 years, who underwent surgical clipping of aneurysm and developed
refractory cerebral vasospasm were included. Stellate ganglion block was
performed using 10 ml of bupivacaine 0.5% on the side with maximum cerebral blood
flow velocity. Neurological status, cerebral blood flow velocity and pulsatility
index were assessed before and 10 minutes, 30 minutes, 2 hours, 6 hours, 12 hours
and 24 hours after stellate ganglion block. RESULTS: Improved Glasgow coma score
was observed 30 minutes after stellate ganglion block. Neurological deficits
reduced in 11 patients. Ipsilateral middle cerebral artery mean flow velocity
decreased from 133.66 cm/sec before stellate ganglion block to 110.53 cm/sec at 6
hours (P<0.001) and 121.62 cm/sec at 24 hours (P<0.001) after stellate ganglion
block. There was a decrease in ipsilateral anterior cerebral artery mean flow
velocity after stellate ganglion block (P<0.001), which persisted for 12 hours. A
decline in flow velocities was observed in contralateral middle cerebral artery
(P=0.008) and anterior cerebral artery (P=0.041) for 12 hours. CONCLUSION: This
study suggests stellate ganglion block to be an effective modality of treatment
for refractory cerebral vasospasm after aneurysmal subarachnoid hemorrhage.
PMID- 22096289
TI - Electromagnetic interference in a cardiac pacemaker during cauterization with the
coagulating, not cutting mode.
AB - Electromagnetic interference in pacemakers has almost always been reported in
association with the cutting mode of monopolar electrocautery and rarely in
association with the coagulation mode. We report a case of electrocautery-induced
electromagnetic interference with a DDDR pacemaker (dual-chamber paced, dual
chamber sensed, dual response to sensing, and rate modulated) in the coagulating
and not cutting mode during a spine procedure. We also discuss the factors
affecting intraoperative electromagnetic interference. A 74-year-old man
experienced intraoperative electromagnetic interference that resulted in asystole
caused by surgical electrocautery in the coagulation mode while the
electrodispersive pad was placed at different locations and distances from the
operating site (This electromagnetic interference did not occur during the use of
the cutting mode). However, because of careful management, the outcome was
favorable. Clinicians should be aware that the coagulation mode of electrocautery
can cause electromagnetic interference and hemodynamic instability. Heightened
vigilance and preparedness can ensure a favorable outcome.
PMID- 22096290
TI - Therapeutic drug monitoring of vancomycin in an obese patient with renal
insufficiency.
AB - We report the pharmacokinetics of vancomycin in an obese patient with renal
insufficiency using pharmacokinetic equations, and comparing them with actual
levels. A 47-year-old man with morbid obesity had a complicated hospital course
with acute renal failure. Due to sputum growth of coagulase-negative
Staphylococcus aureus, vancomycin 1500 mg intravenously twice daily was given
empirically. Peak and trough plasma concentrations were drawn at steady state.
Based on levels, true pharmacokinetic parameters for the patient were calculated
using equations. This revealed that calculating individual pharmacokinetic
parameters using equations may be a valid tool for dosing vancomycin in obese
patients with renal insufficiency.
PMID- 22096291
TI - Foreign body removal with repair of iatrogenic tracheo-bronchial tear repair: An
anesthetic challenge.
AB - Foreign body aspiration into the airway is common in the pediatric age group and
its anesthetic management is a challenge. Iatrogenic tracheo-bronchial injury
further worsens the situation. Flexible pediatric fiberscope is the gold standard
for securing the airway in cases of airway injury. We present a case of a 7-year
old girl who presented to the hospital with signs and symptoms of foreign body
aspiration and suspected tracheo-bronchial tree injury. The impacted foreign body
was removed by rigid bronchoscopy and the presence of a tracheo-bronchial tear
was confirmed. To repair the airway tear, thoracotomy was planned necessitating
one lung ventilation. A pediatric flexible fiberscope was not available, so left
endobronchial intubation for one lung ventilation was done with the help of an
airway exchange catheter using a rigid bronchoscope as a conduit. Subsequent
intra-operative and post-operative period were uneventful.
PMID- 22096292
TI - Antiplatelet therapy in patients with coronary artery stents for noncardiac
surgery: Role of thromboelastography.
AB - The perioperative course of the patients who have undergone coronary stent
placement was studied. These patients were on dual antiplatelet therapy and were
posted for noncardiac surgery. Clopidogrel had been discontinued for a variable
duration before noncardiac surgery. Thromboelastography (TEG) was performed
preoperatively to assess their fitness for surgery. The surgery and the
postoperative period were uneventful in all the patients. There was no incidence
of increased bleeding in any of the patients. Blood transfusion was not required
in any patient. We concluded that standard TEG can be used when in dilemma about
the fitness of the patient for surgery. Although there are clear guidelines about
the patients who are on dual antiplatelet therapy, in clinical practice, it is
important to weigh the risk-benefit to the advantage of the patient. If we stop
the dual antiplatelet therapy in a patient with drug eluting stent within 1 year
of implantation, the risk of major adverse cardiac event increases many fold. If
we continue clopidogrel and aspirin during surgery, the risk of bleeding
increases but is not life-threatening, except when surgery is performed in closed
spaces. Thus, to continue dual antiplatelet medication intraoperatively is better
than to stop it. If the medicine has to be withheld, it should be withheld for
the minimal possible duration and a TEG should be performed.
PMID- 22096293
TI - Arrhythmias following spinal anesthesia for cesarean delivery - Is Wenckebach
common?
AB - Arrhythmias in pregnancy are common and may cause concern for the well-being of
both mother and fetus. Generally, no previous history of heart disease is
elicited and majority of the arrhythmias are benign. Bradycardia is commonly seen
following subarachnoid block for cesarean section. However, the incidence of
subsequent heart block is low. This case report highlights the occurrence of
perioperative arrhythmias following sympathetic blockade in pregnant patients and
their early detection by vigilant monitoring.
PMID- 22096294
TI - Use of intubating laryngeal mask airway in a morbidly obese patient with chest
trauma in an emergency setting.
AB - A morbidly obese male who sustained blunt trauma chest with bilateral
pneumothorax was referred to the intensive care unit for management of his
condition. Problems encountered in managing the patient were gradually increasing
hypoxemia (chest trauma with multiple rib fractures with lung contusions) and
difficult mask ventilation and intubation (morbid obesity, heavy jaw, short and
thick neck). We performed awake endotracheal intubation using an intubating
laryngeal mask airway (ILMA) size 4 and provided mechanical ventilation to the
patient. This report suggests that ILMA can be very useful in the management of
difficult airway outside the operating room and can help in preventing adverse
events in an emergency setting.
PMID- 22096295
TI - Anesthetic management of a patient with sickle cell disease for common bile duct
exploration.
AB - Patients with sickle cell disease (SCD) may present to the anesthetist in
different clinical settings like perioperative care, management of acute painful
crisis and intensive therapy for acute respiratory failure. We describe the
successful management of a 34-year-old female patient with SCD, posted for
cholecystectomy with common bile duct exploration under general and epidural
anesthesia. The importance of preoperative stabilization and careful anesthetic
strategy is emphasized.
PMID- 22096296
TI - Successful anesthetic management of a child with blepharophimosis syndrome and
atrial septal defect for reconstructive ocular surgery.
AB - Blepharophimosis syndrome is an autosomal dominant disorder characterized by
eyelid malformation, involvement of reproductive system and abnormal facial
morphology leading to difficult airway. We report a rare association of
blepharophimosis syndrome and atrial septal defect in a 10-year-old girl who came
for reconstruction surgery of eyelid. The child had dyspnea on exertion. Atrial
septal defect was identified preoperatively by clinical examination and
echocardiography. Anesthesia management was complicated by failure in laryngeal
mask airway placement and Cobra perilaryngeal airway was subsequently used.
PMID- 22096297
TI - Remifentanil apnea: Case report and review of the literature.
AB - Remifentanil is an opioid analgesic frequently used in intensive care patients
because of its rapid onset of action, potency, and ultra-short duration. If an
excessive dose is given, it leads to rapid, short lasting, potentially life
threatening side effects such as apnea, bradycadia, hypotension, and rigidity,
following rapid peak serum levels. We report a 36-year-old woman developing apnea
with bradycardia and hypotension, following an infusion in the central venous
catheter lumen that had been used for remifentanil till tracheal extubation. The
patient was immediately ventilated with bag-valve-mask and improved within 8
minutes. She became fully awake, heart rate and blood pressure returned to
normal, and oxygen saturation improved to 100%. Acute care physicians,
intensivists, anesthesiologists, and critical care nurses should be aware of this
clinical problem in order prevent it as much as possible and to initiate
immediate resuscitative measures.
PMID- 22096298
TI - Unilateral pulmonary edema after laparoscopic nephrectomy.
AB - Unilateral-dependent pulmonary edema though reported in laparoscopic donor
nephrectomies, has not been reported after laparoscopic non-donor nephrectomies.
A 75-kg, 61-year-old man, a diagnosed case of right renal cell carcinoma was
scheduled for laparoscopic nephrectomy. After establishing general anesthesia,
the patient was positioned in the left-sided modified kidney (flank) position.
During the 5.75-hour procedure, he was hemodynamically stable except for a
transient drop in blood pressure immediately after positioning. Intra-abdominal
pressure was maintained less than 15 mmHg throughout the procedure. Blood loss
was approximately 50 mL and urine output was 100 mL in the first hour followed by
a total of 20 mL in the next 4.75 hours. Total fluid received during the
procedure included 1.5 L of Ringer's lactate and 1.0 L of 6% hydroxyethyl starch.
After an uneventful procedure he developed respiratory distress in the
postoperative period with a radiological evidence of dependent lung edema.
Clinical and radiological improvement followed noninvasive ventilation,
intravenous diuretics and oxygen therapy.
PMID- 22096299
TI - Transient brachial monoparesis following epidural anesthesia for cesarean
section.
AB - Monoparesis following lumbar epidural block is a rare occurrence, with few cases
reported in the literature. We report development of transient brachial
monoparesis following epidural anesthesia in a parturient for cesarean section.
The patient received a mixture of 15 mL of 2% lignocaine with 50 mcg fentanyl
epidurally to achieve a blockade up to T6 level. She remained hemodynamically
stable throughout the procedure, with no respiratory distress or desaturation.
However, near the end of surgery, she developed weakness in the right upper limb.
The weakness lasted for 90 min, followed by complete neurological recovery.
Subsequent hospital stay was uneventful.
PMID- 22096300
TI - Tumor lysis syndrome developing intraoperatively.
AB - Tumor lysis syndrome is a potentially life threatening condition which is most
commonly encountered in patients being treated with chemotherapy. We report a
case of spontaneous tumor lysis syndrome that developed intraoperatively in a
patient with undiagnosed Burkitt's lymphoma. Characteristic electrolyte
disturbances and white emulsion like urine following laparotomy and tumor
handling intraoperatively suggested the diagnosis. This is a rare perioperative
complication and the report emphasizes the importance of being vigilant in
recognizing the same.
PMID- 22096301
TI - Supraventricular tachycardia after an intercostal nerve block with bupivacaine
treated with 10% intralipid.
PMID- 22096302
TI - Difficult intubation in traumatic tongue bifurcation.
PMID- 22096303
TI - Malfunctioning catheter connector: An unusual and rare cause of epidural catheter
blockade.
PMID- 22096304
TI - Low dose spinal anesthesia for peripartum cardiomyopathy.
PMID- 22096305
TI - An assembly to allow nebulization and oxygen enrichment of spontaneously
breathing tracheostomized patients on T-piece.
PMID- 22096306
TI - Intraventricular hemorrhage after ventriculoperitoneal shunt removal.
PMID- 22096307
TI - Anesthetic management for removal of adrenocortical carcinoma with thrombus in
the inferior vena cava extending to the right atrium.
PMID- 22096308
TI - Comparison of propofol-based anesthesia to conventional inhalational general
anesthesia for spine surgery-Few queries.
PMID- 22096309
TI - Authors' reply.
PMID- 22096310
TI - Endotracheal tube fixation in neurosurgical procedures operated in prone
position.
PMID- 22096311
TI - Intubating children with giant occipital encephalocele in lateral position: Right
or left side?
PMID- 22096312
TI - Ventilator malfunction.
PMID- 22096314
TI - Structure pre-requisites for isoflavones as effective antibacterial agents.
AB - Recent reports reveal that there is increasing incidence of infections of
multidrug-resistant bacteria, including methicillin-resistant Staphylococcus
aureus (MRSA) and vancomycin-resistant enterococci (VRE). Flavonoids and related
compounds have been shown to possess potent antimicrobial activities. Most of the
flavonoids are considered as constitutive antimicrobial substances recently
termed as "Phytoanticipins," especially those belonging to prenylated flavonoids
and isoflavones. The current review highlights the structure prerequisites for
isoflavones as antibacterial agents. Structure-activity relationship (SAR)
conclusions have been drawn by comparing the reported minimum inhibitory
concentration values for the various isoflavones against S. aureus and MRSA.
There exists a significant co-relationship between the presence of certain
functional groups (prenyl group, phenolic hydroxyl) at particular positions and
antibacterial activity of the compounds. These trends have been postulated with a
view of assisting better drug designing of future next-generation antiinfectives,
particularly against the bothersome multidrug-resistant microbes. The SAR of
these isoflavones has also proved to be a basis to explore the mechanism of
antibacterial action. Thus, the study would prove extremely useful to synthesize
antibacterial isoflavones in future, which would eventually be beneficial for
optimizing the lead molecule for the antibacterial action.
PMID- 22096313
TI - Flavonoids: A versatile source of anticancer drugs.
AB - An exponential increase in the number of studies investigating how different
components of the diet interact at the molecular and cellular level to determine
the fate of a cell has been witnessed. In search for anticancer drugs compelling
data from laboratories, epidemiologic investigations, and human clinical trials
showed that flavonoids have important effects on cancer chemoprevention and
chemotherapy. In many molecular mechanisms of action for prevention against
cancer, flavonoids play a major role by interacting between different types of
genes and enzymes. Many mechanisms of action have been identified, including
carcinogen inactivation, antiproliferation, cell cycle arrest, induction of
apoptosis, inhibition of angiogenesis, antioxidation, and reversal of multidrug
resistance or a combination of these mechanisms. This review focuses on the
anticancer activity of flavonoids as well as their molecular mechanisms,
including the treatment of mammary and prostate cancer. This review also
highlights some advanced derivatives of flavonoids, which play an important role
against cancer.
PMID- 22096315
TI - alpha-glucosidase inhibitors from plants: A natural approach to treat diabetes.
AB - Diabetes is a common metabolic disease characterized by abnormally high plasma
glucose levels, leading to major complications, such as diabetic neuropathy,
retinopathy, and cardiovascular diseases. One of the effective managements of
diabetes mellitus, in particular, non-insulin-dependent diabetes mellitus (NIDDM)
to decrease postprandial hyperglycemia, is to retard the absorption of glucose by
inhibition of carbohydrate hydrolyzing enzymes, such as alpha-glucosidase and
alpha-amylase, in the digestive organs. alpha-Glucosidase is the key enzyme
catalyzing the final step in the digestive process of carbohydrates. Hence, alpha
glucosidase inhibitors can retard the liberation of d-glucose from dietary
complex carbohydrates and delay glucose absorption, resulting in reduced
postprandial plasma glucose levels and suppression of postprandial hyperglycemia.
In recent years, many efforts have been made to identify effective alpha
glucosidase inhibitors from natural sources in order to develop a physiologic
functional food or lead compounds for use against diabetes. Many alpha
glucosidase inhibitors that are phytoconstituents, such as flavonoids, alkaloids,
terpenoids,anthocyanins, glycosides, phenolic compounds, and so on, have been
isolated from plants. In the present review, we focus on the constituents
isolated from different plants having alpha-glucosidase inhibitory potency along
with IC50 values.
PMID- 22096316
TI - Role of natural herbs in the treatment of hypertension.
AB - Hypertension (HTN) is the medical term for high blood pressure. It is dangerous
because it makes the heart work too hard and contributes to atherosclerosis
(hardening of arteries), besides increasing the risk of heart disease and stroke.
HTN can also lead to other conditions such as congestive heart failure, kidney
disease, and blindness. Conventional antihypertensives are usually associated
with many side effects. About 75 to 80% of the world population use herbal
medicines, mainly in developing countries, for primary health care because of
their better acceptability with human body and lesser side effects. In the last
three decades, a lot of concerted efforts have been channeled into researching
the local plants with hypotensive and antihypertensive therapeutic values. The
hypotensive and antihypertensive effects of some of these medicinal plants have
been validated and others disproved. However, ayurvedic knowledge needs to be
coupled with modern medicine and more scientific research needs to be done to
verify the effectiveness, and elucidate the safety profile of such herbal
remedies for their antihypertensive potential.
PMID- 22096317
TI - Phytoestrogens in postmenopausal indications: A theoretical perspective.
AB - This review discusses plant-derived compounds with estrogenic activity. The
authors rightly emphasize the need for the intake of foods containing
phytoestrogens in view of their positive effects on postmenopausal indications.
This is particularly significant in the light of the current wave of enthusiasm
for vegetarian food, in general, and phytoestrogens, in particular.
Phytoestrogens are plant-derived hormone-like diphenolic compounds of dietary
origin. These compounds are weakly estrogenic and could play a role in the
prevention of other estrogen-related conditions, namely, cardiovascular diseases,
menopausal symptoms, postmenopausal osteoporosis, neuroprotective effects, and
hormone-dependent cancers (breast and endometrium cancer).
PMID- 22096318
TI - Novel approaches for stability improvement in natural medicines.
AB - Natural product market has seen tremendous growth in the last few years. It
results in the formulation of a number of proprietary herbal products, majority
of them being multi-component formulations. With the advancement of herbal drug
treatments, it has now been observed that many of the constituents present in the
drug may react with each other, raising the serious concern about the stability
of such formulations which is an important issue in the field of phytochemistry
and natural medicines. Natural products are often prone to deterioration,
especially during storage, leading to loss of active component, production of
metabolites with no activity and, in extreme cases, production of toxic
metabolites. This area needs to be addressed in order to determine the efficacy
of the formulation. Understanding the problems related to natural product
stability can give the idea of dealing with the stability issues. Modifications
of the conventional herbal formulations can deal with the stability problems to a
large extent. This article deals with the stability problems and is aimed to
provide some tools and techniques to increase stability of natural medicines and
herbal formulations.
PMID- 22096319
TI - A review on Balanites aegyptiaca Del (desert date): phytochemical constituents,
traditional uses, and pharmacological activity.
AB - Balanites aegyptiaca Del. (Zygophyllaceae), known as 'desert date,' is spiny
shrub or tree up to l0 m tall, widely distributed in dry land areas of Africa and
South Asia. It is traditionally used in treatment of various ailments i.e.
jaundice, intestinal worm infection, wounds, malaria, syphilis, epilepsy,
dysentery, constipation, diarrhea, hemorrhoid, stomach aches, asthma, and fever.
It contains protein, lipid, carbohydrate, alkaloid, saponin, flavonoid, and
organic acid. Present review summarizes the traditional claims, phytochemistry,
and pharmacology of B. aegyptiaca Del reported in scientific literature.
PMID- 22096320
TI - Cuminum cyminum and Carum carvi: An update.
AB - Cuminum cyminum and Carum carvi are the sources of cumin and caraway seeds
respectively, which have been used since antiquity for the treatment of various
indications in traditional healing systems in wide geographical areas. Cumin and
caraway seeds are rich sources of essential oils and have been actively
researched for their chemical composition and biological activities. In recent
times (especially during the last 3 years) considerable progress has been made
regarding validation of their acclaimed medicinal attributes by extensive
experimental studies. In this attempt many novel bioactivities have been
revealed. This review highlights the significance of cumin and caraway as
potential source of diverse natural products and their medicinal applications.
PMID- 22096321
TI - Tamarindus indica: Extent of explored potential.
AB - Tamarindus is a monotypic genus and belongs to the subfamily Caesalpinioideae of
the family Leguminosae (Fabaceae), Tamarindus indica L., commonly known as
Tamarind tree is one of the most important multipurpose tropical fruit tree
species in the Indian subcontinent. Tamarind fruit was at first thought to be
produced by an Indian palm, as the name Tamarind comes from a Persian word "Tamar
I-hind," meaning date of India. Its name "Amlika" in Sanskrit indicates its
ancient presence in the country. T.indica is used as traditional medicine in
India, Africa, Pakistan, Bangladesh, Nigeria,and most of the tropical countries.
It is used traditionally in abdominal pain, diarrhea and dysentery, helminthes
infections, wound healing, malaria and fever, constipation, inflammation, cell
cytotoxicity, gonorrhea, and eye diseases. It has numerous chemical values and is
rich in phytochemicals, and hence the plant is reported to possess antidiabetic
activity, antimicrobial activity, antivenomic activity, antioxidant activity,
antimalarial activity, hepatoprotective activity, antiasthmatic activity,
laxative activity, and anti-hyperlipidemic activity. Every part of the plant from
root to leaf tips is useful for human needs. Thus the aim of the present review
is to describe its morphology, and explore the phytochemical constituents,
commercial utilization of the parts of the plant, and medicinal and pharmacologic
activities so that T. indica's potential as multipurpose tree species can be
understood.
PMID- 22096322
TI - Chamomile (Matricaria chamomilla L.): An overview.
AB - Chamomile (Matricaria chamomilla L.) is a well-known medicinal plant species from
the Asteraceae family often referred to as the "star among medicinal species."
Nowadays it is a highly favored and much used medicinal plant in folk and
traditional medicine. Its multitherapeutic, cosmetic, and nutritional values have
been established through years of traditional and scientific use and research.
Chamomile has an established domestic (Indian) and international market, which is
increasing day by day. The plant available in the market many a times is
adulterated and substituted by close relatives of chamomile. This article briefly
reviews the medicinal uses along with botany and cultivation techniques. Since
chamomile is a rich source of natural products, details on chemical constituents
of essential oil and plant parts as well as their pharmacological properties are
included. Furthermore, particular emphasis is given to the biochemistry,
biotechnology, market demand, and trade of the plant. This is an attempt to
compile and document information on different aspects of chamomile and highlight
the need for research and development.
PMID- 22096323
TI - Ficus hispida Linn.: A review of its pharmacognostic and ethnomedicinal
properties.
AB - Ficus hispida (FH) Linn. is a moderate sized tree found throughout the year and
is grown wild or cultivated for its edible fruits and folklore value.
Traditionally, different parts of the plant have been used in the treatment of
ulcers, psoriasis, anemia, piles jaundice, vitiligo, hemorrhage, diabetes,
convulsion, hepatitis, dysentery, biliousness, and as lactagogue and purgative.
FH contains wide varieties of bioactives from different phytochemical groups like
alkaloids, carbohydrates, proteins and amino acids, sterols, phenols, flavonoids,
gums and mucilage, glycosides, saponins, and terpenes. Various scientific works
have been published to establish the scientific basis of traditional medicinal
values attributed to FH. Furthermore, newer pharmacological activities like
antineoplastic, cardioprotective, neuroprotective and anti-inflammatory effects
were also reported recently. Till now, no work has been published to elaborate
the pharmacognostic features of FH Linn. The present review is, therefore, an
effort to give a detailed account on its pharmacognosy and phytochemistry, and an
extensive survey on its pharmacological activities. Moreover, we are trying to
establish the mechanism of action behind its earlier reported pharmacology. The
review also looks at the future formulation based delivery approaches of its
lipophilic bioactives, which is done to enhance its dissolution so as to increase
its bioavailability, and thus the associated pharmacological action.
PMID- 22096325
TI - Launch of Journal of Natural Science, Biology and Medicine for the global
scientific audience.
PMID- 22096326
TI - Orodispersible tablets: A new trend in drug delivery.
AB - The most common and preferred route of drug administration is through the oral
route. Orodispersible tablets are gaining importance among novel oral drug
delivery system as they have improved patient compliance and have some additional
advantages compared to other oral formulation. They are also solid unit dosage
forms, which disintegrate in the mouth within a minute in the presence of saliva
due to super disintegrants in the formulation. Thus this type of drug delivery
helps a proper peroral administration in pediatric and geriatric population where
swallowing is a matter of trouble. Various scientists have prepared
orodispersible tablets by following various methods. However, the most common
method of preparation is the compression method. Other special methods are
molding, melt granulation, phase-transition process, sublimation, freeze-drying,
spray-drying, and effervescent method. Since these tablets dissolve directly in
the mouth, so, their taste is also an important factor. Various approaches have
been taken in order to mask the bitter taste of the drug. A number of scientists
have explored several drugs in this field. Like all other solid dosage forms,
they are also evaluated in the field of hardness, friability, wetting time,
moisture uptake, disintegration test, and dissolution test.
PMID- 22096324
TI - Feverfew (Tanacetum parthenium L.): A systematic review.
AB - Feverfew (Tanacetum parthenium L.) (Asteraceae) is a medicinal plant
traditionally used for the treatment of fevers, migraine headaches, rheumatoid
arthritis, stomach aches, toothaches, insect bites, infertility, and problems
with menstruation and labor during childbirth. The feverfew herb has a long
history of use in traditional and folk medicine, especially among Greek and early
European herbalists. Feverfew has also been used for psoriasis, allergies,
asthma, tinnitus, dizziness, nausea, and vomiting. The plant contains a large
number of natural products, but the active principles probably include one or
more of the sesquiterpene lactones known to be present, including parthenolide.
Other potentially active constituents include flavonoid glycosides and pinenes.
It has multiple pharmacologic properties, such as anticancer, anti-inflammatory,
cardiotonic, antispasmodic, an emmenagogue, and as an enema for worms. In this
review, we have explored the various dimensions of the feverfew plant and
compiled its vast pharmacologic applications to comprehend and synthesize the
subject of its potential image of multipurpose medicinal agent. The plant is
widely cultivated to large regions of the world and its importance as a medicinal
plant is growing substantially with increasing and stronger reports in support of
its multifarious therapeutic uses.
PMID- 22096327
TI - Impact of uncertainty on sound perception.
AB - In the auditory study, masking caused by sound uncertainty is a hot topic because
research on this topic has a potential to be applied to improve human perception
in a real world. This article introduces the origination of the study on this so
called informational masking and lists some key results obtained. The
informational masking is widely accepted to result from the central auditory
system since the classical auditory peripheral model fails to account for the
data. This article reviewed the currently most satisfactory model on
informational masking and its ability and disability in accounting for current
experimental data. In the end, potential sources of the informational masking are
discussed as an indication for the future research direction. The review is
mostly based on articles published in JASA and JARO, the two most prestigious
journals in the auditory study.
PMID- 22096328
TI - Geochemical studies of fluoride and other water quality parameters of ground
water in Dhule region Maharashtra, India.
AB - This study has been carried out to find out the water pollutants and to test the
suitability of water for drinking and irrigation purposes in Dhule and
surrounding areas in Maharashtra State in India. The analysis was carried out for
the parameters pH, DO (dissolved oxygen), BOD (biological oxygen demand), Cl-,
NO3-, F-, S(2)-, total alkalinity, total solid, total dissolved solids (TDS),
total suspended solids (TSS), total hardness, calcium, magnesium, carbonate and
noncarbonate hardness, and concentrations of calcium and magnesium. These
parameters were compared against the standards laid down by World Health
Organization (WHO) and Indian Council of Medical Research (ICMR) for drinking
water quality. High levels of NO(3)-, Cl-, F-, S(2)-, total solid, TDS, TSS,
total hardness, magnesium and calcium have been found in the collected samples.
From these observations, it has been found that fluoride is present as per the
permissible limit (WHO 2003) in some of the villages studied, but both fluoride
and nitrate levels are unacceptable in drinking water samples taken from several
villages in Dhule. This is a serious problem and, therefore, requires immediate
attention. Excess of theses impurities in water causes many diseases in plants
and animals. This study has been carried out to find out the water pollutants and
to test the suitability of water for drinking and irrigation purposes in Dhule
and surrounding areas in Maharashtra.
PMID- 22096329
TI - Antibacterial activity of garlic extract on streptomycin-resistant Staphylococcus
aureus and Escherichia coli solely and in synergism with streptomycin.
AB - This study focuses the significant antibacterial activity of Garlic (Allium
sativum Linn.) extract on streptomycin-resistant strains solely and in synergism
with streptomycin. Gram-positive Staphylococcus aureus ATCC BAA 1026 and gram
negative Escherichia coli ATCC 10536 were made resistant to standard antibiotic
streptomycin used as a control in the experiment. Zones of inhibition of
different treatment groups were measured by agar-well-diffusion assay and
compared with control. Statistical comparison of sole extract and streptomycin
synergism with streptomycin control had proved it significant.
PMID- 22096330
TI - Intracellular scavenging activity of Trolox (6-hydroxy-2,5,7,8
tetramethylchromane-2-carboxylic acid) in the fission yeast, Schizosaccharomyces
pombe.
AB - The ability of Trolox (6-hydroxy-2,5,7,8-tetramethylchromane-2-carboxylic acid),
a water-soluble vitamin E analogue, to prevent oxidative damages is well
characterized, but the mechanisms underlying it remain unclear. The protective
effect of Trolox pre-treatment on H(2)O(2)-induced toxicity might be attributed
to the decreased cellular permeability to H(2)O(2) or in vitro scavenging
activity of Trolox, induction of antioxidant enzymes or the direct scavenging
activity of Trolox. The results obtained rule out the first and second
possibilities and intracellular scavenging activity was found to be the mechanism
whereby Trolox confers protection. This was confirmed by measuring protein
oxidation (levels), and the observed decrease in proteasomal activity indicated
that the decrease in protein carbonyls was due to Trolox scavenging activity
rather than proteasome activation. In conclusion, the intracellular scavenging
activity of Trolox is a key protective mechanism against H(2)O(2). These findings
obtained in Schizosaccharomyces pombe, a good model organism for eukaryotic
cells, can be used as standard protocols for investigating the antioxidant
activity of pure or complex potential antioxidants.
PMID- 22096331
TI - Anticandidal activity of endemic Salvia potentillifolia Boiss. and Heldr. ex
Bentham and Origanum hypericifolium Schwartz and P.H. Davis in Turkey.
AB - This study established baseline data on lytic anticandidal activities of endemic
species Origanum hypericifolium and Salvia potentillifolia naturally distributed
in Denizli and its environment. Stream distillation was used to isolate the
unfatty polar part and clinical isolated Candida spp. strains were subcultured to
sabouraud dextrose agar. Lytic anticandidal activities of unfatty polar parts
were evaluated by enzyme-linked calorimetric method against 93 clinical isolates
belonging to Candida albicans, C. tropicalis, C. glabrata, C. krusei, C. Kefyr,
and C. parapsilosis. As a result, two (2.15%) strains of C. glabrata among tested
pathogenic 93 clinical isolates of Candida strains were found to be sensitive to
S. potentillifolai. However, each strain of C. albicans and C. tropicalis was
found to be sensitive to O. hypericifolium. Results indicated that O.
hypericifolium and S. potentillifolia had a potential of being used in food and
medicine because of their anticandidal activity.
PMID- 22096332
TI - HindIII-based restriction fragment length polymorphism in hemophilic and
nonhemophilic patients.
AB - Hemophilia A is most common recessively inherited bleeding disorder, which affect
one in five thousand male births throughout the world. In most of the hemophilic
A patients, no common mutation is easily identifiable. This limitation has been
overcome by the use of polymorphic DNA marker, i.e., restriction fragment length
polymorphism (RFLP). This marker of polymorphism could only be detected by
amplifying the polymorphic region and digestion the polymerase chain reaction
(PCR) product with the restriction enzyme (PCR-RFLP), i.e., HindIII. The
polymorphic region of HindIII is 608 bp in length and after the restriction
digestion, different sizes of fragments, i.e., 427 and 181 bp were, respectively,
obtained. However, in homozygous (+/+) condition three bands of 427, 100, and 81
bp were obtained and in the other negative allelic homozygous condition (-/-) two
bands of 427 and 181 bp were obtained. Similarly fragments of different sizes,
i.e., 427, 181, 100, and 81 bp were obtained in heterozygous conditions.
Therefore, in this study, we have analyzed the factor VIII gene in the 17
different families using restriction enzyme HindIII-based RFLP molecular marker
technique. Out of these, the observed heterozygosity for HindIII was found 47.5%,
whereas, for positive allele it was 26%, and for negative allele the frequency
was 74%.
PMID- 22096333
TI - Antioxidant and free-radical-scavenging effects of fruits of Dregea volubilis.
AB - This study evaluated the in vitro antioxidant potential of petroleum ether (60-80
degrees C), chloroform, and methanol extract of the fruits of Dregea volubilis
Benth (Asclepiadaceae). The different antioxidant assays, including total
antioxidant activity, reducing power, free radical, super oxide anion radical,
nitric oxide scavenging, lipid peroxidation, and total phenolic content were
studied. The extracts exhibited potent total antioxidant activity that increased
with increasing amount of extract concentration, which was compared with standard
drug vitamin C at different concentrations as extracts. The different
concentrations of all the extracts and vitamin C showed inhibition on lipid
peroxidation. In addition, all the extracts had effective reducing power, free
radical scavenging, super oxide anion scavenging, nitric oxide scavenging, lipid
peroxidation, and total phenolic content depending on concentration. These
various antioxidant activities were compared with standard antioxidant such as
vitamin C at different concentration as different extracts.
PMID- 22096334
TI - A study on poisoning cases in a tertiary care hospital.
AB - Acute poisoning with various substance is common everywhere. The earlier the
initial resuscitations, gastric decontamination and use of specific antidotes,
the better the outcome. The aim of this study was to characterize the poisoning
cases admitted to the tertiary care hospital, Warangal district, Andhra Pradesh,
Southern India. All cases admitted to the emergency department of the hospital
between the months of January and December, 2007, were evaluated retrospectively.
We reviewed data obtained from the hospital medical records and included the
following factors: socio-demographic characteristics, agents and route of intake
and time of admission of the poisoned patients. During the outbreak in 2007,
2,226 patients were admitted to the hospital with different poisonings; the
overall case fatality rate was 8.3% (n = 186). More detailed data from 2007
reveals that two-third of the patients were 21-30 years old, 5.12% (n = 114) were
male and 3.23% (n = 72) were female, who had intentionally poisoned themselves.
In summary, the tertiary care hospitals of the Telangana region, Warangal,
indicate that significant opportunities for reducing mortality are achieved by
better medical management and further sales restrictions on the most toxic
pesticides. This study highlighted the lacunae in the services of tertiary care
hospitals and the need to establish a poison information center for the better
management and prevention of poisoning cases.
PMID- 22096335
TI - Periodontitis: A risk for delivery of premature labor and low-birth-weight
infants.
AB - Periodontitis is a destructive inflammatory disease of the supporting tissues of
the teeth and is caused by specific microorganisms or group of specific
microorganisms. The association of periodontal infection with organ systems like
cardiovascular system, endocrine system, reproductive system, and respiratory
system makes periodontal infection a complex multiphase disease. Inflamed
periodontal tissues produce significant amounts of proinflammatory cytokines,
mainly interleukin 1-beta (IL-1beta), IL-6, prostaglandin E2, and tumor necrosis
factor-alpha (TNF-alpha), which may have systemic effects on the host. Low birth
weight, defined as birth weight less than 2,500 g, continues to be a significant
public health issue in both developed and developing countries. Research suggests
that the bacteria that cause inflammation in the gums can actually get into the
bloodstream and target the fetus, potentially leading to premature labor and low
birth-weight (PLBW) babies. One reasonable mechanism begins with deleterious
effects of endotoxins released from Gram-negative bacteria responsible for
periodontal disease. Hence periodontal disease appears to be an independent risk
factor for PLBW and there is a need to expand preventive measures for pregnant
women in harmonization with the gynecological and dental professions.
PMID- 22096336
TI - Stem cells: An overview with respect to cardiovascular and renal disease.
AB - In recent years, there has been a tremendous increase in the understanding of
stem cell biology. Stem cells have clonogenic and self-renewing capabilities, and
under certain conditions, can differentiate into multiple lineages of mature
cells. Recent studies have shown that adult stem cells can be isolated from a
wide variety of tissues, including bone marrow, peripheral blood, muscle, and
adipose tissue. The potential clinical applications lead to an extended interest
in the use of stem cells in many medical disciplines. In this article, we present
an overview of stem cells with special reference to cardiovascular and renal
diseases treatments by stem cells.
PMID- 22096337
TI - Role of antibodies in cancer targeting.
AB - The development of chemotherapeutic agents capable of specifically eliminating
tumor cells has been a great challenge since these agents cannot differentiate
between normal body cells and tumor cells. Enhanced elimination of cancer cells
without affecting normal body cells can be achieved by developing strategies
which can enable drug targeting. With recent advances in antibody engineering
strategies, the development of different antibody-associated tumor-targeted
delivery systems for chemotherapy, chemoprevention, and early cancer diagnosis
has become possible. In this review, the role of antibodies for cancer diagnosis,
chemoprevention, and chemotherapy will be discussed with an emphasis on recent
advances in antibody engineering.
PMID- 22096338
TI - TUD-1: synthesis and application of a versatile catalyst, carrier, material...
AB - The three-dimensional sponge-like mesoporous material TUD-1 is straightforward to
prepare. Its synthesis can readily be modified to introduce metals into the
framework of TUD-1, imparting many different catalytic activities. M-TUD-1
catalysts have proven to be very active, unlimited by diffusion and very stable.
By combining two metals into one TUD-1 catalyst, synergy between Lewis and
Bronsted acid sites could be induced; incorporation of zeolites similarly gave
rise to synergy. In addition to successful applications in redox-, acid- and
photo-catalysis TUD-1 proved to be an excellent carrier material for catalysts,
enabling new applications. TUD-1 was used as a contrast agent and drug delivery
system, indicating that this material is but at the beginning of its potential
applications.
PMID- 22096339
TI - Occupational chemical burns: a 2-year experience in the emergency department.
AB - Chemical burn injuries are a result of exposure to acid, alkali, or organic
compounds. In this retrospective study, a total of 21 patients suffering
occupational chemical burns, came to the emergency room at the University General
Hospital of Alexandroupolis, from 2008 to 2010; 76.2% were workers, 19% were
farmers, and 4.8% were desk officers. The majority of burns were due to exposure
to acid (61.9%). Upper extremities were the most frequently injured area followed
by the lower extremities and thorax. None of the patients needed further hospital
care, but in the follow-up, four of the patients suffered keloid. Proper surgical
treatment at the emergency room decreases the length of hospital stay for
patients who suffer chemically induced burns.
PMID- 22096340
TI - Patient-Centered Medical Home in chronic obstructive pulmonary disease.
AB - Chronic obstructive pulmonary disease (COPD) is a progressive and debilitating
but preventable and treatable disease characterized by cough, phlegm, dyspnea,
and fixed or incompletely reversible airway obstruction. Most patients with COPD
rely on primary care practices for COPD management. Unfortunately, only about 55%
of US outpatients with COPD receive all guideline-recommended care. Proactive and
consistent primary care for COPD, as for many other chronic diseases, can reduce
hospitalizations. Optimal chronic disease management requires focusing on
maintenance rather than merely acute rescue. The Patient-Centered Medical Home
(PCMH), which implements the chronic care model, is a promising framework for
primary care transformation. This review presents core PCMH concepts and proposes
multidisciplinary team-based PCMH care strategies for COPD.
PMID- 22096341
TI - Does evidence really matter? Professionals' opinions on the practice of early
mobilization after stroke.
AB - INTRODUCTION: Early mobilization after stroke may be important for a good outcome
and it is currently recommended in a range of international guidelines. The
evidence base, however, is limited and clear definitions of what constitutes
early mobilization are lacking. AIMS: To explore stroke care professionals'
opinions about (1) when after stroke, first mobilization should take place, (2)
whether early mobilization may affect patients' final outcome, and (3) what level
of evidence they require to be convinced that early mobilization is beneficial.
METHODS: A nine-item questionnaire was used to interview stroke care
professionals during a conference in Sydney, Australia. RESULTS: Among 202
professionals interviewed, 40% were in favor of mobilizing both ischemic and
hemorrhagic stroke patients within 24 hours of stroke onset. There was no clear
agreement about the optimal time point beyond 24 hours. Most professionals
thought that patients' final motor outcome (76%), cognitive outcome (57%), and
risk of depression (75%) depends on being mobilized early. Only 19% required a
large randomized controlled trial or a systematic review to be convinced of
benefit. CONCLUSION: The spread in opinion reflects the absence of clear
guidelines and knowledge in this important area of stroke recovery and
rehabilitation, which suggests further research is required.
PMID- 22096342
TI - Role of toll-like receptor 4 in acute neutrophilic lung inflammation induced by
intratracheal bacterial products in mice.
AB - BACKGROUND: Toll-like receptors (TLRs) represent a conserved family of innate
immune recognition receptors. Among TLRs, TLR4 is important for the recognition
of Gram-negative bacteria, whereas TLR2 recognizes cell wall constituents of Gram
positive microorganisms, such as peptidoglycan (PGN). METHODS: To evaluate the
role of TLR4 in the pathogenesis of acute lung injury induced by Escherichia coli
endotoxin (lipopolysaccharide; LPS) or PGN, we compared inflammatory cell
accumulation in bronchoalveolar lavage (BAL) fluid and lung pathology between
C3H/HeJ (TLR4 mutant) and wild-type C3H/HeN mice. The levels of proinflammatory
cytokines and chemokines in plasma and BAL fluid and nuclear factor-kappaB (NF
kappaB) translocation in the lung were also evaluated. RESULTS: In C3H/HeJ mice,
LPS-induced neutrophil emigration was significantly decreased compared with
C3H/HeN mice, whereas PGN-induced neutrophil emigration did not differ.
Differential cell count in BAL fluid revealed comparable neutrophil recruitment
in the alveolar space. In TLR4 mutant mice, LPS-induced upregulation of tumor
necrosis factor-alpha (TNF-alpha), KC, and CXCL10 in plasma and BAL fluid was
attenuate, which was not different after PGN. NF-kappaB translocation in the lung
was significantly decreased in C3H/HeJ compared with C3H/HeN mice, whereas PGN
induced NF-kappaB translocation was not different. CONCLUSION: These results
suggest that TLR4 mediates inflammatory cascade induced by Gram-negative bacteria
that is locally administered.
PMID- 22096343
TI - No evidence for activation of T(H)1 or T(H)17 pathways in unstimulated peripheral
blood mononuclear cells from children with beta-cell autoimmunity or T1D.
AB - INTRODUCTION: The balance between T(H)1, T(H)2, T(H)17, and regulatory T cells
has been suggested to be disturbed in type 1 diabetes (T1D). We investigated this
balance in peripheral blood mononuclear cells (PBMC) from children at risk of
developing T1D and children with T1D. METHODS: We studied PBMC expression levels
of markers related to T(H)1 (T-bet, IL-12Rbeta(1), IL-12Rbeta(2)), T(H)2 (GATA-3,
IL-4Ralpha), T(H)17 (IL-17A), and regulatory T cells (Foxp3, ICOS, and CTLA-4)
with real-time polymerase chain reaction from 17 children with T1D, 13 children
with beta-cell autoimmunity, 15 children with T1D risk-associated human leukocyte
antigen (HLA) haplotypes, and 24 healthy, control children. RESULTS: We observed
decreased expression levels of GATA-3 by PBMC of healthy children with
autoantibodies compared to healthy, control children (p = 0.014) or children with
HLA risk alleles (p = 0.032). Children with T1D demonstrated lower expression
levels of T-bet, IL-12Rbeta(1), and IL-4Ralpha both at diagnosis and 12 months
later. CONCLUSION: We found no indication of aberrant activation of T(H)1,
T(H)17, or Treg in peripheral blood from children with or without risk of T1D.
The observed immunological differences between children at risk of and with T1D
should be considered when immunopathogenesis of beta-cell destruction is studied.
PMID- 22096344
TI - Synergistic induction of CX3CL1 by TNF alpha and IFN gamma in osteoblasts from
rheumatoid arthritis: involvement of NF-kappa B and STAT-1 signaling pathways.
AB - To explore the regulation of CX3CL1 in inflammatory bone diseases, CX3CL1
expression by osteoblasts (OB) was examined. Human OB isolated from rheumatoid
arthritis (RA) patients, osteoarthritis patients, and normal individuals were
incubated in the presence of cytokines. Soluble CX3CL1 levels were determined
with an enzyme-linked immunosorbent assay. Expression of CX3CL1 mRNA was examined
using quantitative real-time polymerase chain reaction. Although tumor necrosis
factor (TNF)-alpha or interferon (IFN)-gamma alone RA OB induced negligible
CX3CL1 secretion, the combination of TNF-alpha and IFN-gamma induced dramatic
increases in both soluble CX3CL1 protein and mRNA transcripts. This synergistic
effect was more pronounced in OB from RA than in OB from either osteoarthritis or
normal individuals. The expression of CX3CL1 was markedly reduced by specific
inhibitors of the nuclear factor-kappaB (NF-kappaB) or STAT-1 transcription
factor. These findings suggest that osteoblasts are an important cellular source
of CX3CL1 and may play roles in inflammatory bone/joint diseases.
PMID- 22096345
TI - Neuroinflammation and tumor necrosis factor signaling in the pathophysiology of
Alzheimer's disease.
AB - Alzheimer's disease (AD) is a progressive neurodegenerative disorder that affects
nearly one in two individuals over 90 years of age. Its neuropathological
hallmarks are accumulation of extraneuronal plaques of amyloid-beta (Abeta), the
presence of neurofibrillary tangles formed by aberrantly hyperphosphorylated tau,
progressive synaptic loss, and neurodegeneration which eventually results in
decline of memory and cognitive faculties. Although the etiology of sporadic AD
in humans is unknown, mutations in amyloid precursor protein or components of its
processing machinery (beta-secretase and gamma-secretase) result in
overproduction of Abeta1-40 and 1-42 peptides and are sufficient to cause
disease. In this review, we highlight the experimental and clinical evidence that
suggests a close association between neuro-inflammation and AD pathogenesis.
Overproduction of inflammatory mediators in the brain occurs when microglia,
which are often found in close physical association with amyloid plaques in AD
brains, become chronically activated. It has been proposed that elevated levels
of pro-inflammatory cytokines, including tumor necrosis factor (TNF), may inhibit
phagocytosis of Abeta in AD brains thereby hindering efficient plaque removal by
resident microglia. In support of this idea, the bacterial endotoxin
lipopolysaccharide, a potent trigger of inflammation that elicits production of
TNF and many other cytokines, can accelerate the appearance and severity of AD
pathology in several animal models of AD. We review the evidence implicating TNF
signaling in AD pathology and discuss how TNF-dependent processes may contribute
to cognitive dysfunction and accelerated progression of AD. We conclude by
reviewing the observations that provide compelling rationale to investigate the
extent to which new therapeutic approaches that selectively target the TNF
pathway modify progression of neuropathology in pre-clinical models of AD as well
as the promising findings with the use of nonsteroidal anti-inflammatory drugs
and recent clinical trials with Abeta immunotherapy.
PMID- 22096346
TI - Local anesthetic failure associated with inflammation: verification of the
acidosis mechanism and the hypothetic participation of inflammatory
peroxynitrite.
AB - The presence of inflammation decreases local anesthetic efficacy, especially in
dental anesthesia. Although inflammatory acidosis is most frequently cited as the
cause of such clinical phenomena, this has not been experimentally proved. We
verified the acidosis mechanism by studying the drug and membrane lipid
interaction under acidic conditions together with proposing an alternative
hypothesis. Liposomes and nerve cell model membranes consisting of phospholipids
and cholesterol were treated at different pH with lidocaine, prilocaine and
bupivacaine (0.05%-0.2%, w/v). Their membrane-interactive potencies were compared
by the induced-changes in membrane fluidity. Local anesthetics fluidized
phosphatidylcholine membranes with the potency being significantly lower at pH
6.4 than at pH 7.4 (p < 0.01), supporting the acidosis theory. However, they
greatly fluidized nerve cell model membranes even at pH 6.4 corresponding to
inflamed tissues, challenging the conventional mechanism. Local anesthetics acted
on phosphatidylserine liposomes, as well as nerve cell model membranes, at pH 6.4
with almost the same potency as that at pH 7.4, but not on phosphatidylcholine,
phosphatidylethanolamine and sphingomyelin liposomes. Since the positively
charged anesthetic molecules are able to interact with nerve cell membranes by
ion-paring with anionic components like phosphatidylserine, tissue acidosis is
not essentially responsible for the local anesthetic failure associated with
inflammation. The effects of local anesthetics on nerve cell model membranes were
inhibited by treating with peroxynitrite (50 MUM), suggesting that inflammatory
cells producing peroxynitrite may affect local anesthesia.
PMID- 22096349
TI - Comparisons of different mean airway pressure settings during high-frequency
oscillation in inflammatory response to oleic acid-induced lung injury in
rabbits.
AB - PURPOSE: The present study was designed to examine effects of different mean
airway pressure (MAP) settings during high-frequency oscillation (HFO) on
oxygenation and inflammatory responses to acute lung injury (ALI) in rabbits.
METHODS: Anesthetized rabbits were mechanically ventilated with a conventional
mechanical ventilation (CMV) mode (tidal volume 6 ml/kg, inspired oxygen fraction
[F(Io2)] of 1.0, respiratory rate [RR] of 30/min, positive end-expiratory
pressure [PEEP] of 5 cmH(2)O). ALI was induced by intravenous administration of
oleic acid (0.08 ml/kg) and the animals were randomly allocated to the following
three experimental groups; animals (n = 6) ventilated using the same mode of CMV,
or animals ventilated with standard MAP (MAP 10 cmH(2)O, n = 7), and high MAP (15
cmH(2)O, n = 6) settings of HFO (Hz 15). The MAP settings were calculated by the
inflation limb of the pressure-volume curve during CMV. RESULTS: HFO with a high
MAP setting significantly improved the deteriorated oxygenation during oleic acid
induced ALI and reduced wet/dry ratios, neutrophil counts and interleukin-8
concentration in bronchoalveolar lavage fluid, compared to those parameters in
CMV and standard MAP-HFO. CONCLUSIONS: These findings suggest that only high MAP
setting during HFO could contribute to decreased lung inflammation as well as
improved oxygenation during the development of ALI.
PMID- 22096348
TI - Inflammatory mechanisms in the lung.
AB - Inflammation is the body's response to insults, which include infection, trauma,
and hypersensitivity. The inflammatory response is complex and involves a variety
of mechanisms to defend against pathogens and repair tissue. In the lung,
inflammation is usually caused by pathogens or by exposure to toxins, pollutants,
irritants, and allergens. During inflammation, numerous types of inflammatory
cells are activated. Each releases cytokines and mediators to modify activities
of other inflammatory cells. Orchestration of these cells and molecules leads to
progression of inflammation. Clinically, acute inflammation is seen in pneumonia
and acute respiratory distress syndrome (ARDS), whereas chronic inflammation is
represented by asthma and chronic obstructive pulmonary disease (COPD). Because
the lung is a vital organ for gas exchange, excessive inflammation can be life
threatening. Because the lung is constantly exposed to harmful pathogens, an
immediate and intense defense action (mainly inflammation) is required to
eliminate the invaders as early as possible. A delicate balance between
inflammation and anti-inflammation is essential for lung homeostasis. A full
understanding of the underlying mechanisms is vital in the treatment of patients
with lung inflammation. This review focuses on cellular and molecular aspects of
lung inflammation during acute and chronic inflammatory states.
PMID- 22096347
TI - Progress and perspectives on the role of RPE cell inflammatory responses in the
development of age-related macular degeneration.
AB - Age-related macular degeneration (AMD) is the leading cause of blindness in
developed countries. The etiology of AMD remains poorly understood and no
treatment is currently available for the atrophic form of AMD. Atrophic AMD has
been proposed to involve abnormalities of the retinal pigment epithelium (RPE),
which lies beneath the photoreceptor cells and normally provides critical
metabolic support to these light-sensing cells. Cumulative oxidative stress and
local inflammation are thought to represent pathological processes involved in
the etiology of atrophic AMD. Studies of tissue culture and animal models reveal
that oxidative stress-induced injury to the RPE results in a chronic inflammatory
response, drusen formation, and RPE atrophy. RPE degeneration in turn causes a
progressive degeneration of photoreceptors, leading to the irreversible loss of
vision. This review describes some of the potential major molecular and cellular
events contributing to RPE death and inflammatory responses. In addition,
potential target areas for therapeutic intervention will be discussed and new
experimental therapeutic strategies for atrophic AMD will be presented.
PMID- 22096350
TI - Etanercept, improved dosage schedules and combinations in the treatment of
psoriasis: an update.
AB - Etanercept, a subcutaneously administered fully human soluble tumor necrosis
factor (TNF) receptor, was initially approved for the treatment of psoriasis at a
dose of 25 mg twice weekly in repeated 24-week cycles with the possibility to
double the dose in the first 12 weeks of the first cycle. During intermittent
treatment, patients retain their ability to respond to etanercept. Recently, a
new dosing schedule of etanercept 50 mg once weekly was approved, based on a
study in which PASI-75 (75% improvement of Psoriasis Area and Severity Index) was
achieved by 37% and 71% of patients at week 12 and 24. Another study demonstrated
a PASI-75 of 57% and 69% in pediatric psoriasis patients receiving etanercept 0.8
mg/kg (up to 50 mg) once weekly for 12 and 24 weeks respectively, resulting in
European approval from age 8. Based on recent clinical trials, the antipsoriatic
effect of etanercept can be markedly increased in combination with acitretin,
methotrexate or UVB. The combination with acitretin appears attractive because of
its non-immunosuppressive and chemopreventive properties. Etanercept-methotrexate
combination therapy is well established in rheumatologic patients. From a long
term perspective, the combination of TNF-inhibitors with phototherapy
(photocarcinogenesis) or cyclosporine (carcinogenesis, infections) warrants great
caution however. Finally, combination with topical calcipotriol-betamethasone
ointment may increase the speed of response to TNF-inhibitors in the first 4
weeks of treatment.
PMID- 22096351
TI - Etiology and treatment of the inflammatory causes of cystoid macular edema.
AB - Cystoid macular edema in its various forms can be considered one of the leading
causes of central vision loss in the developed world. It occurs in a wide variety
of pathologic conditions and represents the final common pathway of several basic
processes. Therapeutic approaches to cystoid macular edema depend on a clear
understanding of its contributing pathophysiologic mechanisms. This review will
discuss the mechanism of ocular inflammation in cystoid macular edema with a
particular focus on the inflammatory causes: post-operative, uveitic, and after
laser procedures. A variety of pharmacologic agents targeting inflammatory
molecules have been shown to reduce macular edema and improve visual function.
However, the long-term efficacy and safety of most new therapies have yet to be
established in controlled clinical trials.
PMID- 22096352
TI - Rilonacept in the management of cryopyrin-associated periodic syndromes (CAPS).
AB - Cryopyrin-associated periodic syndromes (CAPS) are a subgroup of the hereditary
periodic fever syndromes, which are rare autoinflammatory and inherited
disorders, characterized by recurrent inflammation and varying degrees of
severity. CAPS are thought to be driven by excessive production of interleukin
1beta (IL-1beta), through over-activation of the inflammasome by gain of function
mutations in the gene encoding cryopyrin (NLRP3). This conclusion is supported by
the remarkable efficacy of IL-1beta blockade in these conditions. Rilonacept
(Arcalyst(TM); Regeneron) is the first us Food and Drug Administration-approved
treatment for familial cold autoinflammatory syndrome and Muckle-Wells syndrome
and the first in a new line of drugs designed for longer-acting IL-1 blockade.
Rilonacept has been associated with a decrease in disease activity, high
sensitivity C-reactive protein (hsCRP) and serum amyloid A (SAA) in the treatment
of CAPS. The clinical safety and efficacy of rilonacept in CAPS and non-CAPS
populations will be summarized in this review. Rilonacept is also beneficial for
patients who tolerate injections poorly, due to an extended half-life over the
unapproved CAPS treatment, anakinra, requiring weekly rather than daily self
administration. Other autoinflammatory disorders may also benefit from rilonacept
treatment, with clinical trials in progress for systemic onset juvenile
idiopathic arthritis, gout and familial mediterranean fever.
PMID- 22096353
TI - Topical alpha-selective p38 MAP kinase inhibition reduces acute skin inflammation
in guinea pig.
AB - Certain skin pathologies, including psoriasis, are thought to be immune-mediated
inflammatory diseases. Available literature clearly indicates the involvement of
inflammatory cells (neutrophils, T cells, and macrophages), their cytokines, and
the p38 mitogen-activated protein kinase (MAPK) signaling pathway in the
pathophysiology of psoriasis. Neutrophils play an important role in the formation
of acute inflammatory changes in psoriasis. Acute inflammation or acute flares in
psoriasis remain poorly addressed in clinical medicine. In this communication, we
first establish a simple and reproducible model for studying neutrophil-mediated
acute skin inflammation. Using the hairless guinea pig, due to the similarity of
skin architecture to that of human, acute inflammation was induced with an
intradermal injection of 50 MUg/mL lipopolysaccharide (LPS) in 50 MUL solution.
Myeloperoxidase (MPO) activity was measured by MPO-positive neutrophils and shown
to increase for 24-hours post-injection. Simultaneously, the level of
phosphorylated p38 MAPK was documented for 48-hours post-LPS injection in the
skin. Next, we used this model to examine the therapeutic potential of an alpha
selective p38 MAPK inhibitor, SCIO-469. A comparison of topical application of
SCIO-469 at 5 mg/mL or 15 mg/mL to vehicle revealed that SCIO-469 dose
dependently reduces acute skin inflammation and that this effect is statistically
significant at the higher dose. Further examination of tissues that received this
dose also revealed statistically significant reduction of MPO activity,
phosphorylated p38 MAPK, interleukin-6, and cyclooxygenase-2. These data suggest
that the alpha-selective p38 MAPK inhibitor, SCIO-469, acts as a topical anti
inflammatory agent via the p38 MAPK pathway to reduce neutrophil induced acute
inflammation in the skin. These observations suggest that alpha-selective p38
MAPK inhibition may be an effective therapeutic strategy to manage acute skin
inflammation.
PMID- 22096354
TI - Injectable interferon beta-1b for the treatment of relapsing forms of multiple
sclerosis.
AB - Multiple sclerosis (MS) is chronic inflammatory and demyelinating disease with
either a progressive (10%-15%) or relapsing-remitting (85%-90%) course. The
pathological hallmarks of MS are lesions of both white and grey matter in the
central nervous system. The onset of the disease is usually around 30 years of
age. The patients experience an acute focal neurologic dysfunction which is not
characteristic, followed by partial or complete recovery. Acute episodes of
neurologic dysfunction with diverse signs and symptoms will then recur throughout
the life of a patient, with periods of partial or complete remission and clinical
stability in between. Currently, there are several therapeutic options for MS
with disease-modifying properties. Immunomodulatory therapy with interferon beta
1b (IFN-beta1b) or -1a, glatiramer and natalizumab shows similar efficacy; in a
resistant or intolerant patient, the most recently approved therapeutic option is
mitoxantrone. IFN-beta1b in patients with MS binds to specific receptors on
surface of immune cells, changing the expression of several genes and leading to
a decrease in quantity of cell-associated adhesion molecules, inhibition of major
histocompatibility complex class II expression and reduction in inflammatory
cells migration into the central nervous system. After 2 years of treatment, IFN
beta1b reduces the risk of development of clinically defined MS from 45% (with
placebo) to 28% (with IFN-beta1b). It also reduces relapses for 34% (1.31
exacerbations annually with placebo and 0.9 with higher dose of IFN-beta1b) and
makes 31% more patients relapse-free. In secondary-progressive disease annual
rate of progression is 3% lower with IFN-beta1b. In recommended doses IFN-beta1b
causes the following frequent adverse effects: injection site reactions (redness,
discoloration, inflammation, pain, necrosis and non-specific reactions),
insomnia, influenza-like syndrome, asthenia, headache, myalgia, hypoesthesia,
nausea, paresthesia, myasthenia, chills and depression. Efficacy of IFN-beta1b in
relapsing-remitting MS is higher than that of IFN-beta1a, and similar to the
efficacy of glatiramer acetate. These facts promote IFN-beta1b as one of the most
important drugs in the spectrum of immunological therapies for this debilitating
disease.
PMID- 22096356
TI - Immune response profiles after caterpillar exposure: a case report.
AB - RATIONALE: The role of the immune response to caterpillar exposure is not well
described. This case study is the first to report a patient who presented with an
allergic reaction after exposure to the larvae of the sycamore tussock moth,
Halysidota harrisii Walsh, 1864. METHODS: Blood was collected from an allergic
asthmatic adult (m/42 y/o) at 2 hrs - 2 wks after contact urticaria with
associated dyspnea after exposure to the larvae of the sycamore tussock moth,
Halysidota harrisii Walsh, 1864. Distributions of blood lymphocytes (CD4(+),
CD8(+), CD8(+)CD60(+), CD19(+), CD23(+), CD16/56(+), CD25, CD45RA(+), CD45RO(+)),
monocytes (CD1d(+)), levels of serum immunoglobulins (IgM, IgG, IgA, IgE), and
cytokines (IFN-gamma, IL-4, TNF-alpha) were studied (flow cytometry,
nephelometry, UniCAP Total IgE Fluoroenzymeimmunoassay, cytokine ELISA, clinical
toxicology). RESULTS: Numbers of CD4(+) T cells, CD25(+) cells, CD19(+) B cells,
and CD1d(+) monocytes decreased (22, 27, 33, 20%, respectively) one week post
reaction, CD45RA(+) naive T cells decreased at 36 hours (21%),while CD8(+)CD60(+)
T cells and CD23(+) cells decreased 48 hrs (33, 74%, respectively) post reaction.
In contrast, numbers of CD16/56(+) NK precursor cells increased (60%) 12 hrs,
then decreased (65%) 48 hrs post reaction; other lymphocyte subsets were
unaffected. Serum IgM, IgG and IgA were within normal range; however, serum IgE
demonstrated a bimodal elevation at 2 hrs (15%) and one week post reaction.
Levels of IFN-gamma, IL-4, and TNF-alpha were not detected in serum pre-exposure
(<1.0-4.0 pg/mL). However, high levels of IFN-gamma (187-319 pg/mL) and TNF-alpha
(549-749 pg/mL) were detected in serum 24-36 hrs and 3.5-24 hrs post reaction,
respectively. In contrast, levels of IL-4 were undetected (<1.0 pg/mL) in serum
at all time points. CONCLUSIONS: Exposure to the larvae of the sycamore tussock
moth, Halysidota harrisii Walsh, 1864 may result in increased cytokine levels and
blood CD16/56(+) NK precursor cells.
PMID- 22096355
TI - Current perspectives on the role of IL-17 in autoimmune disease.
AB - Until recently, autoimmune diseases had been categorized as either Th1- or Th2
mediated diseases. However, the discovery of a novel subset of helper T cells
producing interleukin (IL)-17, ie, Th17 cells, changed this paradigm. Currently,
IL-17 and Th17 cells are implicated in many autoimmune diseases, such as
rheumatoid arthritis, psoriasis, multiple sclerosis, and inflammatory bowel
diseases. Such conclusions were initially drawn from observations in animal
models of autoimmune diseases, and accumulating data from clinical research also
support the involvement of IL-17 in human diseases as well. Reagents targeting
Th17-related molecules have been under clinical investigation for some diseases
but have not always been effective in controlling disease activity. Consistent
with this, it has become evident that there are substantial differences in the
development of Th17 cells and in the way they function in autoimmune diseases
between humans and experimental animals. Thus, further investigation is needed
before we can draw any conclusions about the importance of IL-17 and Th17 cells
in human autoimmune diseases.
PMID- 22096357
TI - Emerging therapies for treatment of multiple sclerosis.
AB - In the last decade, a new armamentarium of immune-based therapies have been
developed and tested in patients with multiple sclerosis. Some of these therapies
are showing a high level of efficacy, with an acceptable adverse effect profile.
Because present therapies have significant limitations in slowing disease
progression, require injections, are sometimes associated with significant side
effects of immunosuppression, and do little to reverse disability, identifying
more effective treatments is an important goal for clinical research in multiple
sclerosis. However, in order to improve our current approach to disease-modifying
therapies, it is imperative to promote the development of individualized therapy
strategies.
PMID- 22096359
TI - Inflammatory cytokines and atrial fibrillation: current and prospective views.
AB - Atrial fibrillation (AF) is the most common sustained arrhythmia and a
challenging clinical problem encountered in daily clinical practice. There is an
increasing body of evidence linking inflammation to a broad spectrum of
cardiovascular conditions including AF. Historical evidence supports an
association between AF and inflammation and is consistent with the association of
AF with inflammatory conditions of the heart, such as myocarditis and
pericarditis. AF has been associated with myocardial oxidative stress, and
antioxidant agents have demonstrated antiarrhythmic benefit in humans. Increased
plasma interleukin (IL)-6, C-reactive protein (CRP), and plasma viscosity support
the existence of an inflammatory state among "typical" populations with chronic
AF. These indexes of inflammation are related to the prothrombotic state and may
be linked to the clinical characteristics of the patients (underlying vascular
disease and comorbidities), rather than simply to the presence of AF itself. It
has been suggested that inflammation may have a role in the development of atrial
arrhythmias after cardiac surgery, and that a genetic predisposition to develop
postoperative complications exists. Cytokines can have a prognostic significance;
IL-6 levels, CRP, and other cytokines may have prognostic value in AF. Cytokine
lowering therapies, statins, angiotensin converting enzyme inhibitors and other
anti-inflammatory agents may have a role in the treatment of AF. The present
article provides an overview of the evidence linking inflammatory cytokines to AF
and their therapeutic and prognostic implications.
PMID- 22096360
TI - Prostate cancer cells undergoing ER stress in vitro and in vivo activate
transcription of pro-inflammatory cytokines.
AB - BACKGROUND: Several micro-environmental and cell-intrinsic stimuli cause tumor
cells to undergo endoplasmic reticulum (ER) stress in vivo. The occurrence of an
ER stress response has been associated with tumor progression and angiogenesis.
Recently, we found that pharmacological induction of ER stress in B lymphoma
cells upregulates the transcription of several pro-inflammatory cytokines.
RESULTS: Here, we show that transgenic adenocarcinoma of the mouse prostate
(TRAMP) C1 murine prostate cancer cells induced to undergo ER stress in vitro
activate the transcription of interleukin 6 (IL-6), interleukin 23p19 (IL-23p19),
and tumor necrosis factor alpha (TNF-alpha). Furthermore we show that TRAMP C1
tumors growing in vivo spontaneously experience ER stress and that transcription
of IL-6, IL-23p19, and TNF-alpha correlates with the in vivo ER stress response.
CONCLUSIONS: These results suggest that an ER stress response in prostate cancer
cells activates a program of pro-inflammatory cytokine transcription. A possible
implication of this finding is that cancer cells may use the ER stress response
to modify their microenvironment.
PMID- 22096358
TI - Potential of anti-inflammatory treatment for cystic fibrosis lung disease.
AB - Cystic fibrosis (CF) is the most common life-shortening genetic disorder in
Caucasians. With improved diagnosis and treatment, survival has steadily
increased. Unfortunately, the overwhelming majority of patients still die from
respiratory failure caused by structural damage resulting from airway
obstruction, recurrent infection, and inflammation. Here, we discuss the role of
inflammation and the development of anti-inflammatory therapies to treat CF lung
disease. The inflammatory host response is the least addressed component of CF
airway disease at this time. Current challenges in both preclinical and clinical
investigation make the identification of suitable anti-inflammatory drugs more
difficult. Despite this, many researchers are making significant progress toward
this goal and the CF research community has reason to believe that new therapies
will emerge from these efforts.
PMID- 22096362
TI - Deletion of PPAR-gamma in immune cells enhances susceptibility to antiglomerular
basement membrane disease.
AB - Activation of the nuclear hormone receptor peroxisome proliferator-activated
receptor gamma (PPAR-gamma) has been shown to be immunoregulatory in autoimmune
diseases by inhibiting production of a number of inflammatory mediators. We
investigated whether PPAR-gamma gene deletion in hematopoietic cells would alter
disease pathogenesis in the antiglomerular basement membrane (anti-GBM) mouse
model. PPAR-gamma(+/+) and PPAR-gamma(-/-) mice were immunized with rabbit
antimouse GBM antibodies and lipopolysaccharide and evaluated for two weeks.
Although both the PPAR-gamma(+/+) and PPAR-gamma(-/-) mice had IgG deposition in
the glomerulus and showed proteinuria two weeks after injection, glomerular and
tubulointerstitial disease in PPAR-gamma(-/-) mice were significantly more severe
compared with the PPAR-gamma(+/+) animals. We observed that the PPAR-gamma(-/-)
mice had decreased CD4(+)CD25(+) regulatory T cells and an increased
CD8(+):CD4(+) ratio as compared with the PPAR-gamma(+/+) mice, suggesting that
PPAR-gamma has a role in the regulation of T cells. Furthermore, plasma
interleukin-6 levels were significantly increased in the PPAR-gamma(-/-) mice at
two weeks as compared with the PPAR-gamma(+/+) animals. Taken together, these
studies show that the lack of PPAR-gamma expression enhances inflammatory renal
disease in the anti-GBM antibody-induced glomerulonephritis mouse model and
suggests targeting PPAR-gamma may have therapeutic efficacy.
PMID- 22096361
TI - The effect of smoking on the symptoms and progression of multiple sclerosis: a
review.
AB - Multiple sclerosis (MS) is a chronic inflammatory neurodegenerative disorder of
the central nervous system with characteristic demyelinating lesions and axonal
loss. MS accounts for the most common cause of neurological disability in young
adults in the Western world. The clinical manifestations and the course of MS are
highly variable. The early stage of the disease is usually characterized by
attacks of neurological dysfunction with complete or incomplete recovery,
however, with time disability accumulates in many patients. MS is believed to
result from an interplay between susceptibility genes and environmental factors,
one of which is smoking. Smoking, a worldwide epidemic, can be regarded as an
important risk factor for MS particularly because of its modifiable nature in the
quest to prevent or temper the disease course in MS as well as providing possible
insights into MS pathogenesis. There are also reports that smoking may influence
the symptoms and disease progression in patients with MS. The purpose of this
article is to review the effects of smoking on MS symptoms and progression. We
conclude that (1) although there are some early reports on worsening of MS
symptoms by smoking, the existing evidence is insufficient to thoroughly assess
the effects of smoking on the myriad of MS symptoms and (2) smoking seems to
adversely influence disease progression in MS patients. We also discuss the
potential biological mechanisms linking smoking and MS.
PMID- 22096363
TI - Current and emerging strategies for the treatment of acute pericarditis: a
systematic review.
AB - Pericarditis is a common disorder that has multiple causes and presents in
various primary-care and secondary-care settings. It is diagnosed in 0.1% of all
hospital admissions and in 5% of emergency room visits for chest pain. Despite
the advance of new diagnostic techniques, pericarditis is most commonly
idiopathic, and radiation therapy, cardiac surgery, and percutaneous procedures
have become important causes. Pericarditis is frequently benign and self
limiting. Nonsteroidal anti-inflammatory agents remain the first-line treatment
for uncomplicated cases. Integrated use of new imaging methods facilitates
accurate detection and management of complications such as pericardial effusion
or constriction. In this article, we perform a systematic review on the etiology,
clinical presentation, diagnostic evaluation, and management of acute
pericarditis. We summarize current evidence on contemporary and emerging
treatment strategies.
PMID- 22096365
TI - Low intracellular ATP levels exacerbate carcinogen-induced inflammatory stress
response and inhibit in vitro tubulogenesis in human brain endothelial cells.
AB - Solid tumor development requires angiogenesis and is correlated to the expression
of inflammatory markers through cellular metabolic and energetic adaptation.
While high glycolysis rates enable the cancer cell compartment to generate
adenosine triphosphate (ATP), very little is known about the impact of low
intracellular ATP concentrations within the vascular endothelial cell
compartment, which is responsible for tumor angiogenesis. Here, we investigated
the effect of 2-deoxy-D-glucose (2-DG), a glucose analog that inhibits glycolysis
through intracellular ATP depletion, on human brain microvascular endothelial
cell (HBMEC) angiogenic properties. While preformed capillaries remained
unaffected, we found that in vitro tubulogenesis was dose-dependently decreased
by 2-DG and that this correlated with reduced intracellular ATP levels.
Procarcinogenic signaling was induced with phorbol 12-myristate 13-acetate (PMA)
and found to trigger the proinflammatory marker cyclooxygenase-2 (COX-2) and
endoplasmic reticulum (ER) stress marker GRP78 expression, whose inductions were
potentiated when PMA was combined with 2-DG treatment. Inversely, PMA-induced
matrix-metalloproteinase-9 (MMP-9) gene expression and protein secretion were
abrogated in the presence of 2-DG, and this can be partially explained by reduced
nuclear factor-kappaB signaling. Collectively, we provide evidence for an
intracellular ATP requirement in order for tubulogenesis to occur, and we link
increases in ER stress to inflammation. A better understanding of the metabolic
adaptations of the vascular endothelial cells that mediate tumor vascularization
will help the development of new drugs and therapies.
PMID- 22096366
TI - Immunology of fibrotic lung disease: managing infections whilst preventing
autoimmunity?
AB - Interstitial lung disease (ILD) and lung fibrosis are characterized by different
grades of fibrosis and inflammation. Persistent low-grade inflammation is
believed to play a major pathogenic role, leading to an imbalance of cytokines,
growth factors, and tissue proteinases. Recruited monocytes and macrophages play
a pivotal role through their cytokine expression and possibly differentiation
into fibrocytes, pericytes, or myofibroblasts. Atypical bacterial infections can
cause ILD, although not usually in the form of usual interstitial pneumonia. On
the other hand, bacterial colonization is frequently encountered in patients with
chronic fibrotic lung disorders, and patients regularly undergo antibacterial
treatment. As demonstrated in patients with diffuse panbronchiolitis and other
chronic respiratory disorders, treatment with macrolides can be beneficial. This
is partly explained by their antimicrobial effects but, for macrolides,
immunomodulatory properties have been identified which might also be beneficial
in patients with ILD or lung fibrosis. This article reviews the immunology of
lung fibrogenesis and putative implications of macrolides for reinstallation of
tolerance.
PMID- 22096364
TI - Current and emerging strategies for the treatment and management of systemic
lupus erythematosus based on molecular signatures of acute and chronic
inflammation.
AB - Lupus is a chronic, systemic inflammatory condition in which eicosanoids,
cytokines, nitric oxide (NO), a deranged immune system, and genetics play a
significant role. Our studies revealed that an imbalance in the pro- and
antioxidants and NO and an alteration in the metabolism of essential fatty acids
exist in lupus. The current strategy of management includes administration of
nonsteroidal anti-inflammatory drugs such as hydroxychloroquine and
immunosuppressive drugs such as corticosteroids. Investigational drugs include
the following: 1) belimumab, a fully human monoclonal antibody that specifically
recognizes and inhibits the biological activity of B-lymphocyte stimulator, also
known as B-cell-activation factor of the TNF family; 2) stem cell
transplantation; 3) rituximab, a chimeric monoclonal antibody against CD20, which
is primarily found on the surface of B-cells and can therefore destroy B-cells;
and 4) IL-27, which has potent anti-inflammatory actions. Our studies showed that
a regimen of corticosteroids and cyclophosphamide, and methods designed to
enhance endothelial NO synthesis and augment antioxidant defenses, led to
induction of long-lasting remission of the disease. These results suggest that
methods designed to modulate molecular signatures of the disease process and
suppress inflammation could be of significant benefit in lupus. Some of these
strategies could be vagal nerve stimulation, glucose-insulin infusion, and
administration of lipoxins, resolvins, protectins, and nitrolipids by themselves
or their stable synthetic analogs that are known to suppress inflammation and
help in the resolution and healing of the inflammation-induced damage. These
strategies are likely to be useful not only in lupus but also in other
conditions, such as rheumatoid arthritis, scleroderma, ischemia-reperfusion
injury to the myocardium, ischemic heart disease, and sepsis.
PMID- 22096367
TI - Effects of SU5416 and a vascular endothelial growth factor neutralizing antibody
on wear debris-induced inflammatory osteolysis in a mouse model.
AB - BACKGROUND: The development of highly vascularized and inflammatory
periprosthetic tissue characterizes the progress of aseptic loosening, a major
complication of joint arthroplasty. Vascular endothelial growth factor (VEGF) is
an important cell signaling protein involved in angiogenesis. The purpose of this
study was to investigate whether R2/Fc (a VEGF neutralizing antibody) and SU5416
(a VEGF receptor II [Flk-1] inhibitor) could ameliorate particle-induced
inflammatory osteolysis in a mouse model. METHODS: Ultrahigh molecular weight
polyethylene (UHMWPE) particles were introduced into established air pouches in
BALB/c mice, followed by implantation of calvaria bone from syngeneic
littermates. Drug treatment was started 2 weeks after bone implantation, and mice
without drug treatment were included as controls. Pouch tissues were harvested 4
weeks after bone implantation for molecular and histological analysis, and
implanted bone degradation was analyzed by microcomputed tomography. RESULTS:
Exposure to UHMWPE particles induced inflammatory osteolysis, which was
associated with increased expression of VEGF/Flt-1 proteins. Treatment with R2/Fc
significantly improved UHMWPE particle-induced inflammatory osteolysis, and
reduced the expression of VEGF/Flt-1 proteins. However, SU5416 treatment showed
no effect on UHMWPE particle-induced inflammatory osteolysis. CONCLUSION: Our
findings indicate that VEGF signaling exerts a regulatory effect on the
development of UHMWPE-induced inflammatory osteolysis, through its unique Flt-1,
rather than Flk-1, receptor located on monocyte/macrophage cell lineages. These
data provide a biological rationale for a VEGF/Flt-1-targeted treatment strategy,
especially during the early stages of the wear debris-induced inflammatory
response.
PMID- 22096368
TI - The role of the NLRP3 inflammasome in gout.
AB - Gout is an inflammatory arthritis characterized by abrupt self-limiting attacks
of inflammation caused by precipitation of monosodium urate crystals (MSU) in the
joint. Recent studies suggest that orchestration of the MSU-induced inflammatory
response is dependent on the proinflammatory cytokine IL-1beta, underlined by
promising results in early IL-1 inhibitor trials in gout patients. This IL-1
dependent innate inflammatory phenotype, which is observed in a number of
diseases in addition to gout, is now understood to rely on the formation of the
macromolecular NLRP3 inflammasome complex in response to the MSU 'danger signal'.
This review focuses on our current understanding of the NLRP3 inflammasome and
its critical role in MSU-crystal induced inflammatory gout attacks. It also
discusses the management of treatment-resistant acute and chronic tophaceous gout
with IL-1 inhibitors; early clinical studies of rilonacept (IL-1 Trap),
canakinumab (monoclonal anti-IL-1beta antibody), and anakinra have all
demonstrated treatment efficacy in such patients.
PMID- 22096369
TI - Increased leptin/leptin receptor pathway affects systemic and airway inflammation
in COPD former smokers.
AB - BACKGROUND: Leptin, a hormone produced mainly by adipose tissue, regulates food
intake and energy expenditure. It is involved in inflammatory diseases such as
chronic obstructive pulmonary disease (COPD) and its deficiency is associated
with increased susceptibility to the infection. The leptin receptor is expressed
in the lung and in the neutrophils. METHODS: We measured the levels of leptin,
tumor necrosis factor alpha (TNF-alpha) and soluble form of intercellular
adhesion molecule-1 (sICAM-1) in sputum and plasma from 27 smoker and former
smoker patients with stable COPD using ELISA methods. Further we analyzed leptin
and its receptor expression in sputum cells from 16 COPD patients using
immunocytochemistry. RESULTS: In plasma of COPD patients, leptin was inversely
correlated with TNF-alpha and positively correlated with the patient weight,
whereas the levels of sICAM-1 were positively correlated with TNF-alpha. In
sputum of COPD patients leptin levels were correlated with forced expiratory
volume in 1 second/forced vitality capacity. Additionally, increased levels of
sputum leptin and TNF-alpha were observed in COPD former smokers rather than
smokers. Further the expression of leptin receptor in sputum neutrophils was
significantly higher in COPD former smokers than in smokers, and the expression
of leptin and its receptor was positively correlated in neutrophils of COPD
former smokers. CONCLUSION: Our findings suggest a role of leptin in the local
and systemic inflammation of COPD and, taking into account the involvement of
neutrophils in this inflammatory disease, describe a novel aspect of the
leptin/leptin receptor pathway in the regulation of host defense after smoking
cessation.
PMID- 22096370
TI - The effect of disease activity on body composition and resting energy expenditure
in patients with rheumatoid arthritis.
AB - INTRODUCTION: Cachexia is associated with rheumatoid arthritis (RA), but whether
it is attributable primarily to reduced dietary intake or increased metabolism is
unclear, as is the association with inflammation. To examine whether rheumatoid
cachexia is related to increased energy expenditure, reduced food intake, or an
inflammatory cytokine response we undertook a prospective, longitudinal study of
patients with RA, during periods of relative relapse and remission of
inflammation. METHODS: Sixteen patients admitted to hospital with a flare of RA
were assessed clinically to determine disease activity and were re-examined 6
weeks later. Their fat-free mass (FFM), dietary intake, resting energy
expenditure (REE), and plasma concentrations of interleukin-6 (IL-6) were also
measured. Data were compared with those from 16 healthy, age- and sex-matched
controls. RESULTS: At baseline the body weight, body mass index, and FFM of
patients with RA were significantly lower than those of controls. Disease
activity scores of patients (6.39 +/- 0.8) were reduced when the patients were re
examined 6 weeks later (5.23 +/- 1.26) and FFM was no longer statistically
different from that of controls (visit 1 = 25.8 +/- 10.1 and visit 2 = 26.8 +/-
9.5 versus controls = 32.3 +/- 10.9). There were no differences in food intake
between patients and controls or between patients studied at the 2 time points,
but REE was greater in patients after correcting for FMM (visit 1 = 62.2 +/-
24.7, visit 2 = 59.7 +/- 26.3 versus controls = 46.0 +/- 13.7). Plasma IL-6
concentrations were significantly higher in patients than controls. Although IL-6
was not significantly correlated with REE, lower REE measurements were not
observed when the plasma IL-6 concentration increased. CONCLUSION: Reduced FFM in
patients with RA is not attributable to reduced food intake. Energy expenditure
is greater in patients when corrected for FFM, particularly in patients with
acute flares of disease activity. Although clearly not the only factor involved,
increased production of IL-6 may contribute to increasing REE.
PMID- 22096372
TI - Apolipoprotein A-I and A-I mimetic peptides: a role in atherosclerosis.
AB - Cardiovascular disease remains a major cause of morbidity and mortality in the
westernized world. Atherosclerosis is the underlying cause of most cardiovascular
diseases. Atherosclerosis is a slowly evolving chronic inflammatory disorder
involving the intima of large and medium sized arteries that is initiated in
response to high plasma lipid levels, especially LDL. Cells of both the innate
and adaptive immunity are involved in this chronic inflammation. Although high
plasma LDL levels are a major contributor to most stages of the evolution of
atherosclerosis, HDL and its major protein apoA-I possess properties that
attenuate and may even reverse atherosclerosis. Two major functions are the
ability to induce the efflux of cholesterol from cells, particularly lipid-loaded
macrophages, in the artery wall for transfer to the liver, a process referred to
as reverse cholesterol transport, and the ability to attenuate the pro
inflammatory properties of LDL. The removal of cellular cholesterol from lipid
loaded macrophages may also be anti-inflammatory. One of the most promising
therapies to enhance the anti-atherogenic, anti-inflammatory properties of HDL is
apoA-I mimetic peptides. Several of these peptides have been shown to promote
cellular cholesterol efflux, attenuate the production of pro-inflammatory
cytokines by macrophages, and to attenuate the pro-inflammatory properties of
LDL. This latter effect may be related to their high affinity for oxidized lipids
present in LDL. This review discusses the functional properties of the peptides
and their effect on experimental atherosclerosis and the results of initial
clinical studies in humans.
PMID- 22096373
TI - Cyclophilin A cooperates with MIP-2 to augment neutrophil migration.
AB - BACKGROUND: Chemokines contribute to inflammatory responses by inducing leukocyte
migration and extravasation. In addition, chemoattractants other than classical
chemokines can also be present. Many chemokines have been demonstrated to
cooperate, leading to an augmentation in leukocyte recruitment and providing a
potential role for the presence of multiple chemoattractants. Extracellular
cyclophilins are a group of alternative chemotactic factors, which can be highly
elevated during various inflammatory responses and, as we have previously shown,
can contribute significantly to neutrophil recruitment in an animal model of
acute lung inflammation. In the current studies we investigated whether the most
abundant extracellular cyclophilin, CypA, has the capacity to function in
partnership with 2 classical chemokines known to be secreted in the same model,
macrophage inflammatory protein (MIP)-2/CXCL2 and keratinocyte chemoattractant
(KC)/CXCL1. METHODS: Neutrophil migration in response to combinations of CypA and
MIP-2 or CypA and KC was measured by in vitro chemotaxis assays. Biochemical
responses of neutrophils incubated with the combinations of chemoattractants were
determined by changes in chemokine receptor internalization and actin
polymerization measured by flow cytometry, and changes in intracellular calcium
mobilization measured with a calcium sensitive fluorochrome. RESULTS: A
combination of CypA and MIP-2, but not KC, augmented neutrophil migration. Based
on the level of augmentation, the cooperation between CypA and MIP-2 appeared to
be synergistic. Evidence that CypA and MIP-2 cooperate at the biochemical level
was demonstrated by increases in receptor internalization, calcium mobilization,
and actin polymerization. CONCLUSION: These findings provide evidence for the
capacity of extracellular cyclophilins to interact with classical chemokines,
resulting in greater and more efficient leukocyte recruitment.
PMID- 22096371
TI - Role of the transient receptor potential vanilloid 1 in inflammation and sepsis.
AB - The transient receptor potential vanilloid 1 (TRPV1) is a thermoreceptor that
responds to noxious temperatures, as well as to chemical agonists, such as
vanilloids and protons. In addition, its channel activity is notably potentiated
by proinflammatory mediators released upon tissue damage. The TRPV1 contribution
to sensory neuron sensitization by proalgesic agents has signaled this receptor
as a prime target for analgesic and anti-inflammatory drug intervention. However,
TRPV1 antagonists have notably failed in clinical and preclinical studies because
of their unwanted side effects. Recent reports have unveiled previously
unrecognized anti-inflammatory and protective functions of TRPV1 in several
diseases. For instance, this channel has been suggested to play an anti
inflammatory role in sepsis. Therefore, the use of potent TRPV1 antagonists as a
general strategy to treat inflammation must be cautiously considered, given the
deleterious effects that may arise from inhibiting the population of channels
that have a protective function. The use of TRPV1 antagonists may be limited to
treating those pathologies where enhanced receptor activity contributes to the
inflamed state. Alternatively, therapeutic paradigms, such as reduction of
inflammatory-mediated increase of receptor expression in the cell surface, may be
a better strategy to prevent abrogation of the TRPV1 subpopulation involved in
anti-inflammatory and protective processes.
PMID- 22096375
TI - Inflammatory cytokines regulate endothelial cell survival and tissue repair
functions via NF-kappaB signaling.
AB - Inflammation contributes to the development of fibrotic and malignant diseases.
We assessed the ability of inflammatory cytokines to modulate endothelial cell
survival and functions related to tissue repair/remodeling. Treatment with
interleukin (IL)-1beta or tumor necrosis factor (TNF)-alpha (2 ng/mL) led to
human pulmonary artery endothelial cells becoming spindle-shaped fibroblast-like
cells. However, immunoblot and DNA microarray showed no change in most
endothelial and mesenchymal markers. In the presence of IL-1beta or TNF-alpha,
cells were resistant to apoptosis induced by deprivation of serum and growth
factor, and were more migratory. In addition, cells treated with IL-1beta or TNF
alpha contracted collagen gels more robustly. In contrast, transforming growth
factor-beta1 did not induce these responses. RNA interference targeting nuclear
factor (NF)-kappaB p65 blocked the effects of IL-1beta or TNF-alpha on cell
morphologic change, survival, migration, and collagen gel contraction. These
results suggest that endothelial cells may contribute to tissue repair/remodeling
via the NF-kappaB signaling in a milieu of airway inflammation.
PMID- 22096376
TI - Profile of darunavir in the management of treatment-experienced HIV patients.
AB - Darunavir (formerly TMC114) is a second-generation, sulfonamide-based,
peptidomimetic protease inhibitor (PI) with a modified 3-dimensional structure
enabling more efficient binding to HIV protease. It has become an important drug,
in combination with low-dose ritonavir boosting, in the treatment of both
antiretroviral-naive and multiclass-experienced patients. Growing data now exist
suggesting it possesses a high barrier to resistance and requires multiple PI
mutations in order to suffer reduced virological potency.
PMID- 22096377
TI - Role of darunavir in the management of HIV infection.
AB - There is an ongoing need for potent antiretroviral therapies to deal with the
increasing pool of treatment-experienced patients with multiple drug resistance.
The last few years have seen the arrival of 2 new and very potent protease
inhibitors - darunavir and tipranavir - alongside 2 whole new classes of anti-HIV
agents - the integrase inhibitors and chemokine receptor CCR5 antagonists. This
review focuses on the role of darunavir in managing HIV infection, with an
emphasis on darunavir's exceptional resistance profile and related clinical
effectiveness, pharmacokinetics, tolerability and toxicity data. Darunavir in
combination with the pharmacokinetic booster ritonavir has proved to be very
effective in the treatment of highly treatment-experienced HIV patients with
multiple drug resistance. The favorable tolerability and toxicity profile
alongside the drug's high genetic barrier to the development of resistance
prompted approval of darunavir for HIV-treatment naive patients. Furthermore, the
paradigm of treating HIV with a combination of anti-HIV agents is currently being
challenged by ongoing darunavir monotherapy trials and these preliminary data
will be discussed.
PMID- 22096374
TI - Vascular involvement in systemic sclerosis (scleroderma).
AB - Systemic sclerosis (SSc) is an acquired multiorgan connective tissue disease with
variable mortality and morbidity dictated by clinical subset type. The etiology
of the basic disease and pathogenesis of the systemic autoimmunity, fibrosis, and
fibroproliferative vasculopathy are unknown and debated. In this review, the
spectrum of vascular abnormalities and the options currently available to treat
the vascular manifestations of SSc are discussed. Also discussed is how the
hallmark pathologies (ie, how autoimmunity, vasculopathy, and fibrosis of the
disease) might be effected and interconnected with modulatory input from
lysophospholipids, sphingosine 1-phosphate, and lysophosphatidic acid.
PMID- 22096379
TI - The prevalence of human immunodeficiency virus infection among TB patients in
Port Harcourt Nigeria.
AB - The joint statement by the American Thoracic Society, Centers for Disease Control
and Prevention, and Infectious Diseases Society of America recommends that all
patients with tuberculosis (TB) undergo testing for human immunodeficiency virus
(HIV) infection after counseling. In this study, we investigated the prevalence
of HIV infection among 120 patients diagnosed with microbiologically proven TB
aged 18 to 54 years with a mean age of 39.5 years (standard deviation 6.75). The
subjects studied were 36 male (30%) and 84 females (70%). Enzyme-linked
immunosorbent assay methods were used to screen for HIV infection among the
subjects. Of the 120 TB patients tested 30 (25%) were positive for HIV infection.
The prevalence of HIV was higher in females 24 (80%) compared to males 6 (20%)
and among singles (66.7%) compared to married subjects (33.3%) (chi(2) = 83.5 and
chi(2) = 126.2, respectively P = 0.001). HIV-1 was the predominant viral subtype.
HIV prevalence was significantly higher in subjects in the 38-47 year and 28-37
year age groups (both 40%) followed by the 18-28 year age group (20%) (chi(2) =
42.6, P = 0.05). The mean CD4 lymphocyte count of the HIV-infected TB subjects
was significantly lower (195 +/- 40.5 cells/MUL) compared to the non-HIV infected
(288 +/- 35.25 cells/MUL P = 0.01). This study has shown a high prevalence of HIV
among TB patients. Reactivation of TB among people living with HIV can be reduced
by TB preventive therapy and by universal access to antiretroviral therapy.
PMID- 22096378
TI - Pharmacologic and nonpharmacologic options for the management of HIV infection
during pregnancy.
AB - Over the past decade, significant advances have been made in the treatment of HIV
1 infection using both pharmacologic and nonpharmacologic strategies to prevent
mother-to-child transmission (MTCT). Optimal prevention of the MTCT of HIV
requires antiretroviral drugs (ARV) during pregnancy, during labor, and to the
infant. ARVs reduce viral replication, lowering maternal plasma viral load and
thus the likelihood of MTCT. Postexposure prophylaxis of ARV agents in newborns
protect against infection following potential exposure to maternal HIV during
birth. In general, the choice of an ARV for treatment of HIV-infected women
during pregnancy is complicated by the need to consider the effectiveness of the
therapy for the maternal disease as well as the teratogenic or teratotoxic
potential of these drugs. Clinicians managing HIV in pregnancy need to discuss
the potential risks and benefits of available therapy options so that mothers can
make informed decisions in choosing the best treatment regimen for themselves and
for their children.
PMID- 22096380
TI - Ethanol suppression of peripheral blood mononuclear cell trafficking across brain
endothelial cells in immunodeficiency virus infection.
AB - Earlier studies suggested that the combination of alcohol use and
immunodeficiency virus infection resulted in more severe neurologic disease than
either condition individually. These deleterious interactions could be due to
increased immune cell and virus trafficking or may result from interactions
between ethanol and human immunodeficiency virus (HIV)-associated toxicity within
the brain. To determine the extent to which increased trafficking played a role,
we examined the effect of ethanol on the migration of different peripheral blood
mononuclear cell (PBMCs) subsets across a brain endothelial cell monolayer. We
utilized combinations of feline brain endothelial cells with astrocytes, and/or
microglia with either acute exposure to 0.08 g/dL ethanol, a combination of
ethanol and feline immunodeficiency virus (FIV), or FIV alone. Adherence of PBMCs
to endothelium was increased in all combinations of cells with the addition of
ethanol. Despite increased PBMC adhesion with ethanol treatment, transmigration
of B cells, monocytes, CD4 T cells and CD8 T cells was not increased and was
actually decreased in the presence of astrocytes. Expression of three common
adhesion molecules, intercellular adhesion molecule-1 (ICAM1), ICAM2, and
vascular cell adhesion molecule, was unchanged or slightly decreased by ethanol.
This indicated that although adherence is increased by ethanol it is not due to
an increased expression of adhesion molecules. RANTES, MIP1alpha, MIP1beta, and
MCP-1 mRNA expression was also studied in brain endothelial cells, astrocytes and
microglia by reverse transcriptase-polymerase chain reaction. Ethanol treatment
of astrocytes resulted in modest changes of message while FIV caused 7-92-fold
increases. The combination of ethanol and FIV reversed the large increase in
RANTES and MIP1alpha message in astrocytes but increased MIP1beta and MCP to 20
38-fold over control cells. Thus, modest concentrations of alcohol do not
directly influence immune cell trafficking at the endothelium but may exert more
complex effects on chemokine expression from astrocytes when combined with FIV.
PMID- 22096381
TI - Effect of once-daily FDC treatment era on initiation of cART.
AB - OBJECTIVES: Combination antiretroviral therapy (cART) is associated with
increased survival among HIV-infected persons. Yet, no research to date has
examined whether introduction of once-daily fixed-dosed combinations (FDC)
affects the likelihood of cART initiation. We aimed to determine whether
implementation of once-daily FDC regimens was associated with changes to cART
initiation. We also identified clinical, treatment regimen, and provider
characteristics possibly associated with cART initiation. STUDY DESIGN:
Retrospective observational analysis. METHODS: We queried electronic medical
records between July 1999-June 2006 to identify incident cases of detectable HIV
infection in antiretroviral-naive adults. Cox regression with time-dependent
covariates was used to examine the effects of once-daily FDC era, clinical,
provider, and treatment regimen characteristics on cART initiation. RESULTS: Once
daily FDC availability did not change the likelihood of cART initiation, but
other characteristics were associated with an increased likelihood: AIDS
diagnosis, above-median daily pill consumption, and 16+ yrs of physician HIV
experience. Decreased likelihood of cART initiation was associated with CD4 201
350 cells/MUL, HIV RNA < 100,000 copies/mL, and with CD4 > 350 cells/MUL (any HIV
RNA level), compared to CD4 <= 200 cells/MUL. CONCLUSION: Availability of once
daily FDC-based regimens did not affect likelihood of cART initiation. Patient
clinical characteristics appear to be more important predictors of cART
initiation.
PMID- 22096382
TI - Is it safe? Talking to teens with HIV/AIDS about death and dying: a 3-month
evaluation of Family Centered Advance Care (FACE) planning - anxiety, depression,
quality of life.
AB - PURPOSE: To determine the safety of engaging HIV-positive (HIV+) adolescents in a
Family Centered Advance Care (FACE) planning intervention. PATIENTS AND METHODS:
We conducted a 2-armed, randomized controlled clinical trial in 2 hospital-based
outpatient clinics from 2006-2008 with HIV+ adolescents and their surrogates (n =
76). Three 60-90 minutes sessions were conducted weekly. FACE intervention groups
received: Lyon FCACP Survey((c)), the Respecting Choices((r)) interview, and
completion of The Five Wishes((c)). The Healthy Living Control (HLC) received:
Developmental History, Healthy Tips, Future Planning (vocational, school or
vocational rehabilitation). Three-month post-intervention outcomes were:
completion of advance directive (Five Wishes((c))); psychological adjustment
(Beck Depression, Anxiety Inventories); quality of life (PedsQL(TM)); and HIV
symptoms (General Health Self-Assessment). RESULTS: Adolescents had a mean age,
16 years; 40% male; 92% African-American; 68% with perinatally acquired HIV, 29%
had AIDS diagnosis. FACE participants completed advance directives more than
controls, using time matched comparison (P < 0.001). Neither anxiety, nor
depression, increased at clinically or statistically significant levels post
intervention. FACE adolescents maintained quality of life. FACE families
perceived their adolescents as worsening in their school (P = 0.018) and
emotional (P = 0.029) quality of life at 3 months, compared with controls.
CONCLUSIONS: Participating in advance care planning did not unduly distress HIV+
adolescents.
PMID- 22096383
TI - Novel mechanisms of central nervous system damage in HIV infection.
AB - Human immunodeficiency virus-1 infection of the central nervous system is an
early event after primary infection, resulting in motor and cognitive defects in
a significant number of individuals despite successful antiretroviral therapy.
The pathology of the infected brain is characterized by enhanced leukocyte
infiltration, microglial activation and nodules, aberrant expression of
inflammatory factors, neuronal dysregulation and loss, and blood-brain barrier
disruption. Months to years following the primary infection, these central
nervous system insults result in a spectrum of motor and cognitive dysfunction,
ranging from mild impairment to frank dementia. The mechanisms that mediate
impairment are still not fully defined. In this review we discuss the cellular
and molecular mechanisms that facilitate impairment and new data that implicate
intercellular communication systems, gap junctions and tunneling nanotubes, as
mediators of human immunodeficiency virus-1 toxicity and infection within the
central nervous system. These data suggest potential targets for novel
therapeutics.
PMID- 22096384
TI - Optimizing management of treatment-naive and treatment-experienced HIV+ patients:
the role of maraviroc.
AB - Maraviroc is the first CCR5 antagonist approved for the treatment of HIV-1
infection. It specifically inhibits the replication of R5 viruses by blocking
viral entry. HIV-1 tropism can be estimated accurately and predict viral response
to maraviroc. Genotypic tools are increasingly replacing phenotypic assays in
most places. The favorable pharmacokinetic properties and the good safety profile
of maraviroc may support an earlier use of the drug in HIV-1 infection, as well
as favor its consideration as part of switch strategies in patients under
suppressive antiret-roviral regimens containing less-well-tolerated drugs.
Moreover, a particular immune benefit of maraviroc might encourage its use as
part of intensification strategies in HIV-infected patients with impaired CD4
gains despite prolonged suppression of HIV replication with antiretroviral
therapy. However, the long-term consequences of using maraviroc must be carefully
checked, given its particular mechanism of action, blocking a physiologic cell
receptor.
PMID- 22096385
TI - Novel strategies in the use of lopinavir/ritonavir for the treatment of HIV
infection in children.
AB - Lopinavir/ritonavir (LPV/r) is considered by many as the first choice protease
inhibitor (PI) for children. This co-formulation avoids the need for children to
take ritonavir separately to "boost" the levels of lopinavir. LPV/r has high
virologic potency, an excellent toxicity profile and a high barrier to the
development of viral resistance. However, LPV/r has poor tolerability of the oral
suspension (due to the poor taste of ritonavir), difficult dosing requirements
and metabolic side effects, especially hyperlipidemia. The new tablet low-dose
formulation (100/25 mg) may allow more convenient antiretroviral treatment in
children. Novel strategies of LPV/r in childhood could maximize its advantages.
For example, infants infected with HIV despite single dose Nevirapine after birth
need effective combination antiretroviral treatment. This can be given using a
higher dose of LPV/r with therapeutic drug monitoring. Other novel uses include
once daily LPV/r regimens in older children and adolescents and lower doses of
LPV/r in certain populations, which may decrease hyperlipidemia. Heavily pre
treated children might benefit from a double PI/r regimen which includes LPV/r.
The high potency of LPV/r needs to be balanced with convenient regimens, to
enhance adherence and decrease toxicity whenever possible. The aim of this review
is to discuss the rationale behind these novel strategies of LPV/r use in
pediatric antiretroviral treatment as well as their results and limitations.
PMID- 22096386
TI - Adherence to antiretroviral therapy in Nigeria: an overview of research studies
and implications for policy and practice.
AB - Both Human Immunodeficiency Virus (HIV) infection and AIDS remain major public
health crises in Nigeria, a country which harbors more people living with
HIV/AIDS than any country in the world, with the exception of South Africa and
India. In response to the HIV pandemic, global and international health
initiatives have targeted several countries, including Nigeria, for the expansion
of antiretroviral therapy (ART) programs for the increasing number of affected
patients. The success of these expanded ART initiatives depends on the treated
individual's continual adherence to antiretroviral (ARV) drugs. Thirteen peer
reviewed studies concerning adherence to ART in Nigeria were reviewed with very
few pediatric and adolescent studies being found. Methodologies of adherence
measurement were analyzed and reasons for nonadherence were identified in the
geopolitical zones in the federal republic of Nigeria. The results of the
literature review indicate that adherence to ART is mixed (both high and low
adherence) with patient self-recall identified as the common method of
assessment. The most common reasons identified for patient nonadherence include
the cost of therapy (even when the drugs are heavily subsidized), medication side
effects, nonavailability of ARV drugs, and the stigma of taking the drugs. This
manuscript highlights the policy and practice implications from these studies and
provides recommendations for future ART program management.
PMID- 22096387
TI - Predictors of frequency of condom use and attitudes among sexually active female
military personnel in Nigeria.
AB - BACKGROUND: Despite awareness of condom efficacy, in protecting against both
human immunodeficiency virus/sexually transmitted diseases (HIV/STDs) and
unintended pregnancy; some females find it difficult to use or permit condom use
consistently because of the power imbalances or other dynamics operating in their
relationships with males. The purpose of this study was to determine the factors
that predict the frequency of condom use and attitudes among sexually active
female military personnel in Nigeria. METHODS: This study used a cross-sectional
design in which a total of 346 responses were obtained from consenting female
military personnel in two cantonments in Southwestern Nigeria between 2006 and
2008. The study instrument was designed to assess HIV/acquired immunodeficiency
syndrome (AIDS) knowledge (HAK), HIV risk behaviors (HRB), alcohol and drug use,
condom attitudes and barriers (CAS) condom use self-efficacy (CUS) and social
support to condom use (SSC). The sociodemographic characteristics of participants
were also captured. Univariate analysis and multivariable logistic regression
were used for modeling the predictors of condom use. RESULTS: The results showed
that 63% of the respondents reported using condoms always, 26% sometimes used
condoms and 11% never used condoms during a sexual encounter in the past three
months. Univariate analysis revealed that significant associations existed
between CAB (P < 0.05), HRB (P < 0.01) and SSC (P < 0.01) with the frequency of
condom use. The following sociodemographic variables: age, marital status, number
of children, employment status and type of sexual relationship were also
significantly (P <= 0.05) associated with consistent condom use in the study
group. Multivariate analysis indicated that marital status, type of relationship
and CAB were the only significant predictors (r(2) = 0.37; P <= 0.05) of condom
use behaviors after adjusting for all other factors in the model. CONCLUSIONS:
Findings indicate that consistent condom use could be enhanced through gender
specific intervention programs that incorporate the predictor variables
identified. These are likely to be successful in decreasing sexual risk behaviors
in the subpopulation.
PMID- 22096388
TI - Optimal management of oropharyngeal and esophageal candidiasis in patients living
with HIV infection.
AB - Mucocutaneous candidiasis is frequently one of the first signs of human
immunodeficiency virus (HIV) infection. Over 90% of patients with AIDS will
develop oropharyngeal candidiasis (OPC) at some time during their illness.
Although numerous antifungal agents are available, azoles, both topical
(clotrimazole) and systemic (fluconazole, itraconazole, voriconazole,
posaconazole) have replaced older topical antifungals (gentian violet and
nystatin) in the management of oropharyngeal candidiasis in these patients. The
systemic azoles, are generally safe and effective agents in HIV-infected patients
with oropharyngeal candidiasis. A constant concern in these patients is relapse,
which is dependent on the degree of immunosuppression commonly seen after topical
therapy, rather than with systemic azole therapy. Candida esophagitis (CE) is
also an important concern since it occurs in more than 10% of patients with AIDS
and can lead to a decrease in oral intake and associated weight loss. Fluconazole
has become the most widely used antifungal in the management of mucosal
candidiasis. However, itraconazole and posaconazole have similar clinical
response rates as fluconazole and are also effective alternative agents. In
patients with fluconazole-refractory mucosal candidiasis, treatment options now
include itraconazole solution, voriconazole, posaconazole, and the newer
echinocandins (caspofungin, micafungin, and anidulafungin).
PMID- 22096390
TI - Management of Pneumocystis Jirovecii pneumonia in HIV infected patients: current
options, challenges and future directions.
AB - The discovery of the Human Immunodeficiency Virus (HIV) was led by the merge of
clustered cases of Pneumocystis jirovecii Pneumonia (PCP) in otherwise healthy
people in the early 80's.1,2 In the face of sophisticated treatment now available
for HIV infection, life expectancy approaches normal limits. It has dramatically
changed the natural course of HIV from a nearly fatal infection to a chronic
disease.3-5 However, PCP still remains a relatively common presentation of
uncontrolled HIV. Despite the knowledge and advances gained in the prevention and
management of PCP infection, it continues to have high morbidity and mortality
rates. Trimethoprim-sulfamethoxazole (TMP-SMZ) remains as the recommended first
line treatment. Alternatives include pentamidine, dapsone plus trimethoprim,
clindamycin administered with primaquine, and atovaquone. For optimal management,
clinicians need to be familiar with the advantages and disadvantages of the
available drugs. The parameters used to classify severity of infection are also
important, as it is well known that the adjunctive use of steroids in moderate to
severe cases have been shown to significantly improve outcome. Evolving
management practices, such as the successful institution of early antiretroviral
therapy, may further enhance overall survival rates.
PMID- 22096391
TI - An update on the use of Atripla in the treatment of HIV in the United States.
AB - Atripla((r)) (Gilead Sciences Inc, Foster City, CA, USA and Bristol-Myers Squibb,
New York City, NY, USA) is a coformulated single pill composed of efavirenz,
emtricitabine, and tenofovir disoproxil, intended as a once-daily potent
combination antiretroviral therapeutic agent. Its efficacy is equivalent to the 3
component drugs taken in a combination as single medications. The coformulated
antiretroviral regimen can be quite effective in patients whose human
immunodeficiency virus is sensitive to all 3 components of Atripla. However,
women at risk of pregnancy, already pregnant, or nursing mothers should not take
Atripla, due to the teratogenic potential of the efavirenz moiety. Adverse
effects are similar to those seen with the constituent medications, including
potential central nervous system effects and renal toxicity. Since its US Food
and Drug administration approval, prescriptions for Atripla have increased
steadily.
PMID- 22096389
TI - HIV reservoirs in vivo and new strategies for possible eradication of HIV from
the reservoir sites.
AB - Even though the treatment of human immunodeficiency virus (HIV)-infected
individuals with highly active antiretroviral therapy (HAART) provides a complete
control of plasma viremia to below detectable levels (<40 copies/mL plasma),
there is an unequal distribution of all antiretroviral drugs across diverse
cellular and anatomic compartments in vivo. The main consequence of this is the
acquisition of resistance by HIV to all known classes of currently prescribed
antiretroviral drugs and the establishment of HIV reservoirs in vivo. HIV has a
distinct advantage of surviving in the host via both pre-and postintegration
latency. The postintegration latency is caused by inert and metabolically
inactive provirus, which cannot be accessed either by the immune system or the
therapeutics. This integrated provirus provides HIV with a safe haven in the host
where it is incessantly challenged by its immune selection pressure and also by
HAART. Thus, the provirus is one of the strategies for viral concealment in the
host and the provirus can be rekindled, through unknown stimuli, to create
progeny for productive infection of the host. Thus, the reservoir establishment
remains the biggest impediment to HIV eradication from the host. This review
provides an overview of HIV reservoir sites and discusses both the virtues and
problems associated with therapies/strategies targeting these reservoir sites in
vivo.
PMID- 22096392
TI - Role of etravirine in the management of treatment-experienced patients with human
immunodeficiency virus type 1.
AB - Etravirine is an oral diarylpyrimidine compound, a second-generation human
immunodeficiency virus type 1 (HIV-1) non-nucleoside reverse transcriptase
inhibitor (NNRTI) with expanded antiviral activity against NNRTI-resistant HIV-1,
to be used in combination therapy for treatment-experienced patients. Compared
with first-generation NNRTIs, etravirine has a high genetic barrier to
resistance, and is better tolerated without the neuropsychiatric and hepatic side
effects of efavirenz and nevirapine, respectively. Its safety profile is
comparable to placebo with the exception of rash, which has been mild and self
limited in the great majority of patients. In phase III clinical trials among
treatment-experienced patients harboring NNRTI-resistant HIV-1, etravirine in
combination with an optimized background regimen (OBR) that included ritonavir
boosted darunavir demonstrated superior antiviral activity than the control OBR.
In addition, patients on the etravirine arm had fewer AIDS-defining conditions,
hospitalizations, and lower mortality compared with the OBR control arm.
PMID- 22096393
TI - Profile of maraviroc: a CCR5 antagonist in the management of treatment
experienced HIV patients.
AB - Maraviroc is the first and, so far, the only licensed representative of the class
of chemokine receptor type 5 (CCR5) inhibitors used for the treatment of human
immunodeficiency virus (HIV) infection. Its safety and efficacy were demonstrated
in several clinical trials, and its use was approved in 2007 by the responsible
authorities. Some specific issues are correlated with maraviroc and its use. It
is the only drug in the antiretroviral armamentarium, which does not interact
with the viral enzymes but with a human receptor. Hence, it is able to be long
term effective only if the infecting virus uses, exclusively, the CCR5 receptor.
Occurrence and detection of the CCR5 tropism are some of the great challenges of
maraviroc use in treatment-experienced patients. Although up to 80% of naive
patients harbor CCR5-tropic virus, the occurrence of CXCR4 or other tropisms
increases with the duration of HIV infection and treatment. Nonetheless,
maraviroc is a potent medication for eligible patients and helps to improve the
outcome of antiretroviral treatment (ART) of HIV infection.
PMID- 22096394
TI - Long-term treatment of patients with HIV-1: the role of atazanavir.
AB - BACKGROUND: The introduction of highly-active antiretroviral therapy (HAART)
remains a major milestone in the management of HIV-infected patients. Protease
inhibitors (PI) are commonly used as part of triple combinations, given that to
antiviral potency, better tolerance and convenience has been achieved in recent
years. OBJECTIVE: To summarize and update evidence-based information about
atazanavir (ATV) on initial, simplification, and rescue interventions in HIV
patients. METHODS: Review of observational and randomized trials reported in
medical conferences, peer-reviewed journals, and treatment guidelines. RESULTS:
ATV is a second-generation PI, which has shown across studies potent antiviral
activity and high genetic barrier, both in HAART-naive patients or after
virological failure. Indulgent metabolic profile, in terms of insulin glucose and
lipid levels, adds value to this drug for the long-term management of HIV
infection.
PMID- 22096396
TI - Human immunodeficiency virus prevalence in an unbooked obstetric population in
the Niger Delta.
AB - Despite recent advances in the prevention of transmission of human
immunodeficiency virus (HIV) infection from mother to child during pregnancy,
infants continue to be born and infected with HIV, particularly in Africa. This
study was undertaken to determine the seroprevalence of HIV infection among
unbooked pregnant women in the Niger Delta of Nigeria. One hundred and eighteen
consecutively recruited unbooked subjects presenting to the isolation ward at the
University of Port Harcourt Teaching Hospital were screened for HIV. Among the
118 subjects studied, 30 (25.4%) were positive for HIV. HIV-1 was the predominant
viral strain. Gestational age of subjects at presentation was 28-40 weeks and
mean age was 35.04 +/- 8.06 years. The majority of subjects were primigravidas 66
(55.9%), while 52 (44.1%) were multigravidas. The prevalence of HIV was
significantly higher among unbooked pregnant women with less formal education: 14
(11.9%) compared with 9 (7.6%), 5 (4.2%), and 2 (1.7%) for those with primary,
secondary, and tertiary education, respectively (P = 0.01). Among the
occupational groups, the prevalence of HIV was significantly higher among traders
14 (11.9%) than in career women 5 (4.2%, P = 0.04). Multigravid women were more
susceptible to HIV infection 17 (14.4%) than primigravid women. Perinatal
mortality and emergency cesarean section was high among unbooked pregnant women.
The prevalence of HIV observed amongst unbooked antenatal subjects in this study
is significantly higher than those of booked patients in previous studies. These
findings are very pertinent to health care delivery, because this pool of
unbooked patients may not be benefiting from the Prevention of Maternal to Child
Transmission program, thus increasing the pediatric HIV burden in our
environment.
PMID- 22096397
TI - Effect of HIV infection on time to recovery from an acute manic episode.
AB - INTRODUCTION: Understanding factors affecting the time to recovery from acute
mania is critical in the management of manic syndromes. The aim of this study was
to determine the effect of HIV infection on time to recovery from acute mania.
METHODS: We performed a retrospective study in which medical charts of
individuals who were treated for acute mania were reviewed. Survival analysis
with Cox regression models were used to compare time to recovery from an acute
manic episode between human immunodeficiency virus (HIV)-positive individuals and
HIV-negative individuals. RESULTS: Median survival time was one week for HIV
positive individuals and more than four weeks for HIV-negative individuals
(chi(2) = 18.4, P value = 0.000). HIV infection was the only marginally
significant independent predictor of survival probability on the acute admission
ward (hazards ratio 2.87, P = 0.06). CONCLUSION: Acute mania in HIV-infected
persons responds faster to psychotropic drugs compared with that in HIV-negative
persons.
PMID- 22096395
TI - New and emerging agents in the management of lipodystrophy in HIV-infected
patients.
AB - Lipodystrophy remains a major long-term complication in human immunodeficiency
virus-infected patients under antiretroviral (ARV) therapy. Patients may present
with lipoatrophy or lipohypertrophy or both. The choice of treatments to improve
fat redistribution depends on the form of lipodystrophy and its duration.
Measures known to improve lipoatrophy are switches in ARV therapy (stavudine or
zidovudine to abacavir or tenofovir) and filling interventions. Pioglitazone may
be added to these measures, although any benefits appear small. Uridine and
leptin were found to be disappointing so far. Regarding lipohypertrophy, diet and
exercise, recombinant human growth hormone, and metformin may reduce visceral
fat, but may worsen subcutaneous lipoatrophy. Surgical therapy may be required.
Attractive pharmacologic treatments include growth hormone-releasing factor and
leptin. Adiponectin and adiponectin receptors are promising therapeutic targets
to explore.
PMID- 22096398
TI - The effect of aging, nutrition, and exercise during HIV infection.
AB - Medical advances continue to change the face of human immunodeficiency virus-
acquired immunodeficiency syndrome (HIV/AIDS). As life expectancy increases, the
number of people living with HIV rises, presenting new challenges for the
management of a chronic condition. Aging, nutrition, and physical activity can
influence outcomes in other chronic conditions, and emerging data show that each
of these factors can impact viral replication and the immune system in HIV. HIV
infection results in a decline of the immune system through the depletion of CD4+
T cells. From initial infection, viral replication is a continuous phenomenon.
Immunosenescence, a hallmark of aging, results in an increased susceptibility to
infections secondary to a delayed immune response, and this phenomenon may be
increased in HIV-infected patients. Optimal nutrition is an important adjunct in
the clinical care of patients with HIV. Nutritional interventions may improve the
quality and span of life and symptom management, support the effectiveness of
medications, and improve the patient's resistance to infections and other disease
complications by altering immunity. Moderate physical activity can improve many
immune parameters, reduce the risk of acute infection, and combat metabolic
abnormalities. As people with HIV age, alternative therapies such as nutrition
and physical activity may complement medical management.
PMID- 22096399
TI - Stromal cell-derived factor 1 polymorphism in patients infected with HIV and
implications for AIDS progression in Tunisia.
AB - BACKGROUND: An interesting finding in the epidemiology of human immunodeficiency
virus (HIV) infection is that certain mutations in genes coding for chemokines,
and their receptors and ligands, may confer resistance or susceptibility to HIV-1
infection and acquired immunodeficiency syndrome (AIDS) progression. The mutation
most frequently studied is stromal cell-derived factor (SDF)1-3'A, a single
nucleotide polymorphism in the 3' untranslated region at the 801 position of the
SDF1 gene, which seems to be associated with susceptibility or resistance to
diseases, including AIDS. We examined the frequency of the above polymorphisms in
the Tunisian population, and evaluated their contribution to a protective genetic
background against HIV infection and progression. METHODS AND MATERIALS: One
hundred forty blood samples from HIV-infected patients from the Cellular
Immunology Research Laboratory at the National Blood Transfusion Center were
compared with those of 164 random blood donors from the same center. Genotyping
was initially performed by polymerase chain reaction (PCR) analysis. SDF1 PCR
product genomic regions were further subjected to restriction fragment length
polymorphism analysis for genotype determination. Screening for the SDF1
polymorphism in the HIV-infected population yielded 56 heterozygous (40%), 52
mutation homozygous (37.1%), and 32 wild-type homozygous (22.8%) subjects. In
contrast, in our healthy population, we found 70/164 heterozygous (42.6%), nine
mutation homozygous (5.4%), and 85 wild-type homozygous (51.8%) subjects. The
allele frequencies in the HIV-infected and healthy populations were f(SD1 3'A) =
57.1%, f(SDF1) = 42.8%, f(SDF1 3'A) = 26.8%, and f(SDF1) = 73.1%, respectively.
The allelic and genotypic frequencies of the SDF1 3'A in our population show
significantly higher distribution profiles compared with those observed in other
Caucasian, European, and African American populations. Our results were examined
by chi(2) test and appear to confirm an association between polymorphism and AIDS
progression. A higher odds ratio (>1) was found for the SDF1-3'A allele than for
the wild-type allele (<1). CONCLUSION: This result seems to confirm that the SDF1
3'A allele is associated with acceleration and progression from HIV infection to
AIDS in the Tunisian population.
PMID- 22096400
TI - Atherosclerosis: pathogenesis and increased occurrence in individuals with HIV
and Mycobacterium tuberculosis infection.
AB - Atherosclerosis is a leading cause of coronary heart disease and stroke. Since
1981, more than 980,000 cases of AIDS have been reported in the United States.
According to the Centers for Disease Control, more than 1 million Americans may
be infected with HIV. By killing or damaging CD4+ T cells of the body's immune
system, HIV progressively destroys the body's ability to fight infections. People
diagnosed with AIDS often suffer from life-threatening diseases caused by
opportunistic infections such as tuberculosis. HIV-infected individuals have
increased risks for atherosclerosis. This review summarizes the effects of
oxidized low density lipoproteins in impairing macrophage functions in
individuals with atherosclerosis (with and without HIV infection) thereby
enhancing the susceptibility to Mycobacterium tuberculosis infection.
PMID- 22096401
TI - Management of chronic diarrhea in HIV-infected patients: current treatment
options, challenges and future directions.
AB - Diarrhea is a common clinical manifestation of HIV infection regardless of
whether the patients have AIDS. HIV and malnutrition tend to occur in the same
populations, the underprivileged and resource-poor. Malnutrition increases
severity and mortality of infection. Occurrence of chronic diarrhea in HIV
infected patients, gut status and pathogenic agents, nutritional status and the
crucial role of nutrition are reviewed. Bovine colostrum-based food can be useful
for managing chronic diarrhea in HIV-infected patients, enhancing both
nutritional and immunological status.
PMID- 22096402
TI - Impact of comorbidities and drug therapy on development of renal impairment in a
predominantly African American and Hispanic HIV clinic population.
AB - PURPOSE: Renal impairment in human immunodeficiency virus (HIV)-infected patients
could potentially be caused by many factors. HIV-related renal impairment risks
have been little studied in African Americans and Hispanics. We investigated the
impact of HIV itself, highly active antiretroviral therapy (HAART),
comorbidities, and non-HIV-related drug treatment on glomerular filtration rate
in a predominantly African American/Hispanic HIV-infected population who had
received HAART for at least one year. This study was a retrospective electronic
medical record database evaluation of renal impairment risks in a largely African
American/Hispanic HIV population obtaining medical care at an HIV clinic in
Dallas, Texas. METHODS: Proportional hazards models were used to investigate an
association between an estimated glomerular filtration rate decrease >25% from
baseline (ie, renal impairment) and demographics,
antiretroviral/nonantiretroviral medications, comorbidities (hypertension,
diabetes mellitus, hepatitis C virus [HCV] infection, hepatitis B virus [HBV]
infection), CD4+ counts, viral load, and duration patients were monitored at the
clinic (time on study). RESULTS: In total, 323 patients were evaluated: 82%
males; 61% African American/12% Hispanic/19% Caucasian; mean age 37.9 years
(standard deviation [SD] 8.5); 6% HBV-positive; 34% HCV-positive; 29%
hypertensive; 3% diabetic; 52% tenofovir-treated; mean weight 75.4 kg (SD, 15.4);
mean estimated glomerular filtration 114.5 mL/min/1.73 m(2) (SD, 36.7) using the
Modification of Diet in Renal Disease (MDRD) calculation method; mean creatinine
clearance (from which estimated glomerular filtration was extrapolated) by the
Cockcroft-Gault calculation method 120.6 mL/min/1.73 m(2) (SD, 41.2); mean time
on study 2.7 years (SD, 1.0 year). An estimated glomerular filtration rate
decrease of >25% from baseline was significantly associated with time on study (P
= 0.0017; hazards ratio [HR] = 0.999) and hypertension (HR = 1.706; P = 0.0158)
by the MDRD method, and with age (HR = 1.039; P = 0.0077), weight (HR = 0.987; P
= 0.0023), and time on study (HR = 0.999; P = 0.0043) by extrapolation of
Cockcroft-Gault creatinine clearance calculation. No specific HAART agent was
associated with significant renal impairment risk by the definition used in this
study. CONCLUSION: This retrospective database study showed time on study,
hypertension, weight, and age to be the only significant predictors of an
estimated glomerular filtration rate decrease >25% from baseline.
PMID- 22096403
TI - Antiretroviral therapy initiated soon after HIV diagnosis as standard care:
potential to save lives?
AB - In 2008, an estimated 33.4 million people were infected with human
immunodeficiency virus (HIV) and ~4 million people were receiving antiretroviral
therapy (ART). However, in 2007, an estimated 6.7 million people were in need of
ART under the current World Health Organization guidelines, and 2.7 million more
people became infected with HIV. Most of those not currently eligible for ART
will become eligible within the next decade, making the current treatment
strategy unsustainable. The development of cheaper, less toxic, and more potent
antiretrovirals over the past decade has made it possible to consider novel
strategies of arresting the HIV/AIDS epidemic. Evidence is growing that ART can
be used to prevent HIV transmission and that earlier initiation of treatment is
beneficial for those infected with HIV. A mathematical model predicts that by
testing whole communities annually and treating all who are infected immediately,
up to 7.2 million AIDS-related deaths could be prevented in the next 40 years,
long-term funding required to fight the HIV epidemic could be reduced, and, most
importantly, control of the HIV/ AIDS epidemic could be regained within 1-2 years
of full-scale implementation of the strategy. We discuss the development of the
concept of ART for the prevention of HIV transmission and the modeled impact that
a test-and-treat strategy could have on the HIV epidemic, and consequently argue
that a field trial should be carried out to confirm model parameters, highlight
any practical problems, and test the model's predictions.
PMID- 22096405
TI - Safety, efficacy, and pharmacokinetics of rilpivirine: systematic review with an
emphasis on resource-limited settings.
AB - The vast majority of people living with human immunodeficiency virus
(HIV)/acquired immune deficiency syndrome reside in the developing world, in
settings characterized by limited health budgets, critical shortages of doctors,
limited laboratory monitoring, a substantial burden of HIV in children, and high
rates of coinfection, in particular tuberculosis. Therefore, the extent to which
new antiretrovirals will contribute to improvements in the management of HIV
globally will depend to a large extent on their affordability, ease of use, low
toxicity profile, availability as pediatric formulations, and compatibility with
tuberculosis and other common drugs. We undertook a systematic review of the
available evidence regarding drug interactions, and the efficacy and safety of
rilpivirine (also known as TMC-278), and assessed our findings in view of the
needs and constraints of resource-limited settings. The main pharmacokinetic
interactions relevant to HIV management reported to date include reduced
bioavailability of rilpivirine when coadministered with rifampicin, rifabutin or
acid suppressing agents, and reduced bioavailability of ketoconazole. Potential
recommendations for dose adjustment to compensate for these interactions have not
been elaborated. Trials comparing rilpivirine and efavirenz found similar
outcomes up to 96 weeks in intent-to-treat analysis; failure of rilpivirine was
mainly virological, whereas failure among those exposed to efavirenz was mainly
related to the occurrence of adverse events. Around half of the patients who fail
rilpivirine develop non-nucleoside reverse transcriptase inhibitor resistance
mutations. The incidence of Grade 2-4 events was lower for rilpivirine compared
with efavirenz. Grade 3-4 adverse events potentially related to the drugs were
infrequent and statistically similar for both drugs. No dose-response
relationship was observed for efficacy or safety, and the lowest dose (25 mg) was
selected for further clinical development. The potential low cost and dose of the
active pharmaceutical ingredient means that rilpivirine can potentially be
manufactured at a low price. Moreover, its long half-life suggests the potential
for monthly dosing via nonoral routes, with promising early results from studies
of a long-acting injectable formulation. These characteristics make rilpivirine
an attractive drug for resource-limited settings. Future research should assess
the potential to improve robustness and assess the clinical significance of
interaction with antituberculosis drugs.
PMID- 22096404
TI - Antimicrobial therapy for the treatment of opportunistic infections in HIV/AIDS
patients: a critical appraisal.
AB - The widespread use of antiretroviral therapy (ART) has entirely changed the
management of human immunodeficiency virus (HIV) infection and dramatically
reduced the rates of opportunistic infections (OI). However, OI continue to cause
significant morbidity and mortality in both developed countries, where
presentation with advanced HIV infection is common, and also in developing
countries where ART is less widely available. Evidence to direct OI guidelines is
partly limited by the fact that many large-scale studies date from the pre-ART
era and more recent studies are sometimes poorly powered due to the falling rates
of OI. Treatment of OI is now known to be as much about antimicrobials as about
immune reconstitution with ART, and recent studies help guide the timing of
initiation of ART in different infections. OI have also become complicated by the
immune reconstitution inflammatory syndrome phenomenon which may occur once
successful immune recovery begins. Trimethoprim-sulfamethoxazole has long been
one of the most important antibiotics in the treatment and prevention of OI and
remains paramount. It has a broad spectrum of activity against Pneumocystis
jiroveci, toxoplasmosis, and bacterial infections and has an important role to
play in preventing life-threatening OI. New advances in treating OI are coming
from a variety of quarters: in cytomegalovirus eye disease, the use of oral
rather than intravenous drugs is changing the face of therapy; in cryptococcal
meningitis, improved drug formulations and combination therapy is improving
clearance rates and reducing drug toxicities; and in gut disease, the possibility
of rapid immune restitution with ART is replacing the need for antimicrobials
against cryptosporidia and microsporidia.
PMID- 22096406
TI - Strategies to improve HIV treatment adherence in developed countries: clinical
management at the individual level.
AB - REMARKABLE ADVANCES IN THE TREATMENT OF HUMAN IMMUNODEFICIENCY VIRUS (HIV)
DISEASE HAVE BEEN BLUNTED BY WIDESPREAD SUBOPTIMAL ADHERENCE (IE, NONADHERENCE),
WHICH HAS EMERGED AS A MAJOR BARRIER TO ACHIEVING THE PRIMARY GOAL OF
ANTIRETROVIRAL (ARV) THERAPY: suppression of HIV viral load. Nonsuppressed HIV
viral load is associated with drug resistance, increased morbidity and mortality,
and a higher risk of person-to-person HIV transmission. For HIV-infected
individuals who are failing HIV treatment due to nonadherence, becoming adherent
is a life-saving behavior change. However, overcoming nonadherence is one of the
most daunting challenges in the successful management of HIV disease. The purpose
of this paper is to provide clinicians with a better understanding of
nonadherence to ARV treatment and to review the various factors that have been
associated with either adherence or nonadherence. Strategies are presented that
may help the nonadherent individual become ready to take HIV medications as
prescribed.
PMID- 22096407
TI - Characteristics of foot fractures in HIV-infected patients previously treated
with tenofovir versus non-tenofovir-containing highly active antiretroviral
therapy.
AB - In a retrospective case series study, medical records were evaluated for all male
patients infected with human immunodeficiency virus (HIV) diagnosed over a one
year period with foot fractures (n = 30) confirmed by magnetic resonance imaging
at a Los Angeles outpatient private practice rheumatology clinic. Proportionally
more patients had received tenofovir prefracture (17 [57%]) than those who had
not (13 [43%]). At fracture diagnosis, these two groups were similar in median
age (49 versus 48 years), HIV-1 RNA (both 1.7 log(10) copies/mL), CD4 count (300
versus 364/mm(3)), time between HIV diagnosis and foot fracture (both 17 years),
family history of degenerative bone disease (24% versus 23%), prevalence of
malabsorption syndrome, renal failure, calcium deficiency, or vitamin D
deficiency, and concurrent use of bisphosphonates, calcitonin, and diuretics.
However, more tenofovir-treated patients had osteoporosis (35% versus 8%), stress
type fractures (53% versus 31%), concurrent fractures (12% versus 0%), wasting
syndrome (29% versus 15%), truncal obesity (18% versus 8%), smoked cigarettes
(more than one pack/day for more than one year; 35% versus 8%), dual energy X-ray
absorptiometry (DEXA) T scores < -2.4 (denoting osteoporosis) at the femur (24%
versus 9%) and spine (47% versus 36%), and had received protease inhibitors (71%
versus 46%), non-nucleoside reverse transcriptase inhibitors (24% versus 0%),
prednisone (24% versus 0%), testosterone (47% versus 23%), and teriparatide (29%
versus 8%). Median time from tenofovir initiation until fracture was 2.57 (range
1.17-5.69) years. In conclusion, more foot fractures were observed in tenofovir
treated patients than in non-tenofovir-treated patients with HIV infection.
Comorbidities and/or coadministered drugs may have been contributory.
PMID- 22096408
TI - Participation of women in HIV clinical trials: the IPEC-FIOCRUZ experience.
AB - BACKGROUND: Fifty percent of people living with human immunodeficiency virus
(HIV) or acquired immunodeficiency syndrome (AIDS) worldwide are female. In
Brazil, for example, 240,000 women are infected with HIV, rates of infection in
women have increased over the last two decades, and addressing HIV prevention and
treatment for women at risk for, or living with, HIV/AIDS remains a challenge. To
better address the needs of women living with HIV in Brazil, the Instituto de
Pesquisa Clinica Evandro Chagas - Fundacao Oswaldo Cruz (IPEC-FIOCRUZ) HIV
Women's Cohort was established in 1996 to study the natural history of women
seeking HIV care. This analysis describes the characteristics of women in the
cohort who participated in HIV clinical trials between 1999 and 2008. METHODS: A
total of 736 Women's Cohort participants were in active follow-up and 665
participants from the Women's Cohort were included in univariable and
multivariable analyses to determine socioeconomic and sociodemographic factors
associated with women's participation in HIV clinical trials at our site.
RESULTS: Of the complete cohort, 23% participated in a clinical trial between
January 1999 and July 2008. Odds of participation decreased for women who were
younger than 35 years old, currently employed, had an HIV-positive sexual
partner, and/or who reported a lifetime history of illicit drug use.
Alternatively, the odds of participation increased for women who had more than 8
years of formal education, were living independently, and/or were married or
cohabitating. CONCLUSION: The rate of participation in HIV clinical trials by
women in the IPEC-Fiocruz Cohort was similar to other published cohorts, but
identification of local risk factors and barriers to participation remains
important. Our analysis offers a novel description of the factors associated with
participation in HIV clinical trials among women in care at IPEC-FIOCRUZ in Rio
de Janeiro, Brazil.
PMID- 22096409
TI - Growth hormone and tesamorelin in the management of HIV-associated lipodystrophy.
AB - HIV-infected patients on highly active antiretroviral therapy (HAART) develop a
complex of body composition changes known, including peripheral fat loss
(lipoatrophy) and central fat accumulation (lipohypertrophy). These changes may
cause significant patient distress, which could in turn interfere with adherence
to antiretroviral therapy. Treatment options - including antiretroviral switch,
insulin sensitizers, and surgical approaches - have been associated with limited
success and potential complications. The observation that low growth hormone
levels are associated with central fat accumulation among HIV patients has led to
the development of tesamorelin (a growth hormone releasing hormone analog) for
the management of central fat accumulation. Randomized controlled trials have
shown that administration of tesamorelin is safe and effective in reducing
central fat accumulation among HIV-infected patients. This effect is transient,
however, and its association with improved cardiovascular risk remains unclear.
PMID- 22096410
TI - Role of raltegravir in the management of HIV-1 infection.
AB - The development of multiple agents with potent antiretroviral activity against
HIV has ushered in a new age of optimism in the management of patients infected
with the virus. However, the viruses' dynamic ability to develop resistance
against these agents necessitates the investigation of novel targets for viral
suppression. Raltegravir represents a first-in-class agent targeting the HIV
integrase enzyme, which is responsible for integration of virally encoded DNA
into the host genome. Over the last 5 years, clinical trials data has
demonstrated an increasing role for raltegravir in the management of both
treatment-experienced and treatment-naive HIV-1-infected patients. This review
focuses on the evidence supporting raltegravir's efficacy in an array of clinical
settings. Other HIV-1 integrase inhibitors in development are also briefly
discussed.
PMID- 22096411
TI - HIV-related risk perception among female sex workers in Nigeria.
AB - BACKGROUND: Over one-third of sex workers in Nigeria are infected with human
immunodeficiency virus (HIV), yet there is a lack of understanding of sex
workers' own perception of sexual risk-taking. Applying the theory of cognitive
dissonance, this paper examined the personal HIV risk perception of brothel-based
sex workers. METHODS: The study is based on 24 focus group discussions held among
brothel-based sex workers in four geographically and culturally dispersed cities
in Nigeria. RESULTS: It was found that sex workers underestimated their risk of
infection and rationalized, defended, or justified their behaviors, a typical
psychological response to worry, threat, and anxiety arising from the apparent
discrepancies between beliefs and behaviors. To reduce dissonance, many sex
workers had a strong belief in fatalism, predestination, and faith-based
invulnerability to HIV infection. Many believed that one will not die of acquired
immune deficiency syndrome if it is not ordained by God. The sex workers also had
a high level of HIV-related stigma. CONCLUSION: From these findings, most sex
workers considered risk reduction and in particular condom use as far beyond
their control or even unnecessary, as a result of their strong beliefs in
fatalism and predestination. Therefore, one critical area of intervention is the
need to assist sex workers to develop accurate means of assessing their personal
vulnerability and self-appraisal of HIV-related risk.
PMID- 22096412
TI - Seroprevalence of Toxoplasma gondii IgG antibody in HIV-infected patients at the
Lagos University Teaching Hospital.
AB - BACKGROUND: Toxoplasmosis is caused by infection with a ubiquitous intracellular
protozoan parasite, Toxoplasma gondii. With the advent of the HIV pandemic in
Nigeria, toxoplasmic encephalitis has become one of the more frequent
opportunistic infections and the most commonly implicated cause of focal brain
lesions complicating the course of AIDS. OBJECTIVES: This study was conducted to
compare the pattern of seroprevalence of T. gondii (Toxo-IgG) antibodies among
HIV-infected persons presenting with neurological complications and those
without. MATERIALS AND METHODS: Plasma specimens collected from 380 subjects were
tested for Toxo- IgG antibodies by enzyme immunoassay technique and CD4
estimation by flow cytometry. Close-ended questionnaires were applied to all
respondents to collect relevant data, with ethical approval from the hospital
ethical committee. Plasma was obtained from two study groups comprising 300 HIV
positive respondents without neurological presentations, and 80 HIV-positive
respondents with neurological complications. RESULTS: Seroprevalence of Toxo-IgG
antibodies was 58% in the HIV-positive study group without neurological
complications (of these, 79.2% were males and 38.5% were females) and 40% in the
study group with neurological complications (46.2% of these were males and 28.6%
were females). The overall seroprevalence of Toxo-IgG antibodies among the HIV
positive respondents (with and without neurological complications) was 54.2% (206
of 380). Seroprevalence of Toxo-IgG antibodies was lowest among the educated
subjects (19% of the respondents with tertiary education) and among females in
both study groups. A higher proportion of the subjects with neurological
complications had CD4 cell count <100 cells/MUL compared with respondents without
neurological defects (39% vs 22.7%; P = 0.000), but the seroprevalence of Toxo
IgG antibodies was higher in subjects without neurological complications (45% vs
31.3%; P = 0.000). CONCLUSION: Toxoplasmosis, though an important opportunistic
infection in our environment, may not account for the majority of neurological
complications observed in patients with HIV infection in our center.
PMID- 22096413
TI - Brief Interventions for Tobacco Users: Using the Internet to Train Healthcare
Providers.
AB - One fifth of Americans smoke; many have no plans to quit. Motivational
Interviewing (MI) is an effective approach to intervention with precontemplative
smokers, yet a substantial number of healthcare practitioners lack training in
this approach. Two interactive online tutorials were developed to teach
practitioners to deliver brief tobacco cessation interventions grounded in the MI
approach. The tutorials emphasized the unique aspects of working with
precontemplative smokers, incorporating audio and video examples of best
practices, interactive exercises, targeted feedback, and practice opportunities.
One hundred and fifty-two healthcare providers-in-training were randomly assigned
to use the online tutorials or to read training material that was matched for
content. A virtual standardized patient evaluation was given before and after the
training. Both groups improved their scores from pre- to posttest; however, the
tutorial group scored significantly better than the reading group at posttest.
The results of this study demonstrate the promise of interactive online tutorials
as an efficient and effective way to deliver clinical education.
PMID- 22096415
TI - Orthodontic considerations for the patient wearing a Milwaukee Brace.
PMID- 22096414
TI - The pathomechanical etiology of post-traumatic osteoarthritis following
intraarticular fractures.
AB - Many intra-articular fracture patients eventually experience significant
functional deficits, pain, and stiffness from post-traumatic osteoarthritis
(PTOA). Over the last several decades, continued refinement of surgical
reconstruction techniques has failed to markedly improve patient outcomes. New
treatment paradigms are needed - ideally, bio/pharmaceutical. Progress in that
direction has been impeded because the pathomechanical etiology of PTOA
development is poorly understood. In particular, the relative roles and
pathomechanisms of acute joint injury (from the initial trauma) versus chronic
contact stress elevation (from residual incongruity) are unknown, primarily
because there have been no objective methods for reliably quantifying either of
these insult entities. Over the past decade, novel enabling technologies have
been developed that provide objective biomechanical indices of injury severity
and of chronic contact stress challenge to fractured joint surfaces. The severity
of the initial joint injury is indexed primarily on the basis of the energy
released in fracture, obtained from validated digital image analysis of CT scans.
Chronic contact stress elevations are indexed by patient-specific finite element
stress analysis, using models derived from post-reduction CT scans. These new
measures, conceived in the laboratory, have been taken through the stage of
validation, and then have been applied in studies of intra-articular fracture
patients, to relate these biomechanical indices of cartilage insult to the
incidence and severity of PTOA This body of work has provided a novel framework
for developing and testing new approaches to forestall PTOA following intra
articular fractures.
PMID- 22096416
TI - The Ponseti method in Latin America: initial impact and barriers to its diffusion
and implementation.
AB - The Ponseti method for correcting clubfoot is a safe, effective, and minimally
invasive treatment that has recently been implemented in Latin America. This
study evaluates the initial impact and unique barriers to the diffusion of the
Ponseti method throughout this region. Structured interviews were conducted with
30 physicians practicing the Ponseti method in three socioeconomically diverse
countries: Chile, Peru and Guatemala. Since learning the Ponseti method, these
physicians have treated approximately 1,740 clubfoot patients, with an estimated
1,705 (98%) patients treated using the Ponseti method, and 35 (2%) patients
treated using surgical techniques. The barriers were classified into the
following themes: physician education, health care system of the country, culture
and beliefs of patients, physical distance and transport, financial barriers for
patients, and parental compliance with the method. The results yielded several
common barriers throughout Latin America including lack of physician education,
physical distance to the treatment centers, and financial barriers for patients.
Information from this study can be used to inform, and to implement and evaluate
specific strategies to improve the diffusion of the Ponseti method for treating
clubfoot throughout Latin America.
PMID- 22096417
TI - Diffusion of innovation: enhancing the dissemination of the Ponseti method in
Latin America through virtual forums.
AB - This ethnographic study evaluated the use of low-bandwidth web-conferencing to
enhance diffusion of a specific best practice, the Ponseti method to treat
clubfoot, in three economically diverse countries in Latin America. A "Ponseti
Virtual Forum" (PVF) was organized in Guatemala, Peru and Chile to examine the
influences of economic level and telecommunication infrastructure on the
effectiveness of tins approach. Across the three countries, a total of 14
different sites participated in the PVFs. Thirty-three Ponseti-trained
practitioners were interviewed before and after each PVF, which included
interactions with a Spanish-speaking Ponseti method expert. Semi-structured
interviews, observations, and IP address data were triangulated and analyzed. The
results demonstrated that 100% of the practitioners rated the sessions as very
useful and that they would use this approach again. The largest obstacles to
using PVFs were financial (7 out of 9 practitioners) in Guatemala; a lack of
equipment and network access (6 out of 11) in Peru; and the organization and
implementation of the conferences themselves (7 out of 9) in Chile. This study
illustrates the usefulness of Ponseti Virtual Forums in Latin America. Health
officials in Peru are currently developing a large-scale information session for
traumatologists about the Ponseti method, while practitioners in Guatemala and
Chile are organizing monthly scholarly meetings for physicians in remote areas.
This initial feedback suggests that low-bandwidth web-conferencing can be an
important vehicle for the dissemination of best practices, such as the Ponseti
method, in developing countries.
PMID- 22096418
TI - Ponseti Brasil: a national program to eradicate neglected clubfoot - preliminary
results.
AB - BACKGROUND: After hearing about the reproducible and excellent results of the
Ponseti method for clubfoot treatment, a group of Brazilian orthopaedic surgeons
organized and participated in a standardized national program to teach the
Ponseti technique in 21 different cities across Brazil. METHODS: A total of 21
Ponseti symposiums were organized in a standard fashion from January, 2007 to
December, 2008. They consisted of a two-day program with lectures, hands-on cast
application, and discussion of local clinical cases presented by orthopaedic
surgeons. Thirteen Brazilian orthopaedic surgeons, who had been trained by the
University of Iowa or centers recognized by them, taught the method. Financial
support for travel was provided by an English charity: La Vida (Vital Investment
for Developing Aid in Latin America). The physicians who attended the symposiums
answered questionnaires before and after the training. RESULTS: About 7% of the
8000 orthopaedic surgeons in Brazil (556 orthopaedic surgeons) were trained.
These orthopaedic surgeons stated that they had treated about 4905 babies in the
previous year via other methods, including extensive surgery. Seventeen percent
of the surgeons did not know about the Ponseti technique at the start of the
symposium. Eighty-eight percent reported they felt able to treat children with
the Ponseti technique after the symposium. Ninety-four percent of respondents
reported that the symposium changed their way of treating clubfoot CONCLUSIONS:
These Ponseti symposiums brought about an exchange of medical information and
empowered the participants. This program is a good educational tool which can be
used in eradicating neglected clubfoot in Brazil.
PMID- 22096419
TI - Comparison of hospital costs and duration of treatment with two different
clubfoot protocols.
PMID- 22096420
TI - Reliability of various observers in determining common radiographic parameters of
adult hip structural anatomy.
AB - BACKGROUND: Radiographic evaluation of the hip is extremely important in the
diagnosis and treatment decisionmaking process for pre-arthritic hip disease.
Many different radiographic measurements have been described as indicators of
underlying structural hip deformity. The purpose of this study was to determine
the interobserver and intraobserver reliability of various musculoskeletal
physicians in performing selected measurements of adult structural hip anatomy.
METHODS: A blinded review of 45 sets of radiographs from patients with
developmental dysplasia, femoro-acetabular impingement, and normal anatomy was
performed. Data points included the lateral center-edge angle (LCEA), vertical
center-anterior angle (VCA), head-neck offset ratio (UNO), alpha angle, Tonnis
angle, Tonnis osteoarthritis grade and a radiographic diagnosis. One orthopaedic
fellow, two orthopaedic residents, and two attending musculoskeletal physiatrists
analyzed radiographs on two separate occasions. One sports medicine orthopaedic
attending physician completed a single analysis of the image sets. Intraobserver
and interobserver reliability was established using intra-class correlation
coefficients (ICC) for continuous variables. Agreement regarding categorical
variables was performed using the kappa coefficient RESULTS: Excellent
intraobserver reliability was found for the following: LCEA (ICC = 0.88), VCA
(0.88), Tonnis angle (0.83), HNO on the frog lateral (0.78), alpha angle on the
frog lateral (0.76), HNO on the cross-table lateral (0.75), and angle alpha on
the cross-table lateral (0.76). Intraobserver reliability for osteoarthritis
grade was poor (weighted kappa = 0.57). For all data points, interobserver
reliability was considerably worse, with 95% confidence intervals spanning below
0.55. CONCLUSIONS: While the described measurements of adult structural hip
anatomy provide excellent reliability for a given reader, these measurements are
less reliable across readers. Taken in isolation, these measurements, as
performed by observers with varied clinical experience and clinical backgrounds,
are limited in determining a consistent radiographic diagnosis.
PMID- 22096421
TI - Concomitant infection and local metal reaction in patients undergoing revision of
metal on metal total hip arthroplasty.
AB - Total hip arthroplasty (THA) with conventional polyethylene bearings is
traditionally the standard operative treatment for endstage arthritis of the hip.
This design has excellent survivorship in most populations, with a low occurrence
of infection and other associated complications. Due to concern over increased
wear in younger, more active populations, other bearing surfaces have been
evaluated, particularly metal-on-metal with wear rates theorized to be lower than
conventional THA. Unique to metal-on-metal THA, however, is the possibility of
local soft tissue reactions that can mimic infection, making proper diagnosis and
treatment difficult. We present a case series of nine hips in eight patients
undergoing revision of metal-on-metal THA for local soft tissue reactions, three
of which were also found to be concomitantly infected. The laboratory and hip
aspirate data described show significant overlap between the infected and non
infected cases. Care must be taken when evaluating patients with failed metal-on
metal THA as there may be an increased incidence of co-infection in this group of
patients.
PMID- 22096422
TI - Demographic and comorbid disparities based on payer type in a total joint
arthroplasty cohort: implications in a changing health care arena.
AB - INTRODUCTION: The purpose of this study was to compare differences in
demographic, functional, access to care, and comorbidity data between a Medicaid
and Iowa Care (state Medicaid) insured patient cohort and Medicare and a
Commercial Payer patient cohort undergoing lower extremity total joint
arthroplasty (TJA). MATERIAL & METHODS: A retrospective review of 874 primary
TKAs and THAs by a single surgeon at an academic institution between January,
2004 and June, 2008 was performed. Data on the primary insurance payer was used
to stratify the cohort into two groups; Medicaid and Iowa Care (state Medicaid)
insured and Medicare and commercial payer. Demographic, functional, access to
care, and comorbidity data obtained from a standard preoperative survey were
compared. RESULTS: Of 874 primary TKAs and THAs, 18.3 % of patients were Medicaid
and Iowa Care insured, while 81.7 % were insured by Medicare and commercial
payer. Average age was 53.7 and 62.3 respectively, while average BMI was 35.2 and
32.9 respectively. The Medicaid and Iowa Care group was found to be 3 times more
likely to smoke tobacco (25.2% v. 8.3%). Preoperative WOMAC Function scores were
33.9 and 46.8, respectively. Self reported diabetes was used as a general
surrogate for health comorbidities and occurred in 12.3 % and 11.5%,
respectively. Distance traveled was used as a general surrogate for access to
care with averages of 92.5 miles and 62.8 miles, respectively. CONCLUSION: The
Medicaid and Iowa Care (state Medicaid) group had significantly higher rates of
smoking, were significantly younger, and had significantly lower WOMAC scores
(p<0.05) preoperatively. BMI comparison showed a trend to greater obesity in the
Medicaid and Iowa Care cohort (p=0.056). Diabetes rates were comparable between
the two cohorts. Medicaid and Iowa Care patients traveled 29.7 miles farther,
suggesting they had less access to local orthopaedic care. There are major
differences in comorbidities and patient demographics between payer types.
PMID- 22096423
TI - Hinged cast brace for persistent flexion contracture following total knee
replacement.
AB - The reported incidence of persistent knee flexion contracture following total
knee arthroplasty (TKA) has varied from 1-15 percent Various treatment modalities
have been described in attempts to manage this often difficult problem. This
paper describes a novel method of treatment by using a hinged cast brace
(previously reported for treatment of femur fractures and knee contractures
secondary to hemophilia and cerebral palsy) for use in patients with symptomatic
knee flexion contractures. Application of this cast brace with frequent
adjustment (every three to four days, initially) toward full extension can often
improve knee extension, after physical therapy and other modalities such as
extension-assist braces have failed. Care must be taken in the application and
use of this device which utilizes frequent manipulations to reduce and maintain
the knee flexion angle. We report two clinical cases in which this protocol was
effectively used in decreasing symptomatic knee flexion contractures.
PMID- 22096424
TI - Range of motion and patient satisfaction with traditional and high-flexion
rotating-platform knees.
AB - Although a high degree of flexion is necessary for some activities of daily
living, most total knee arthroplasty implants are designed to provide only up to
120 degrees of flexion. Some new designs claim to provide greater flexion. In
this retrospective study, we evaluated the Sigma rotating-platform high-flex knee
against the traditional Sigma rotating-platform knee (DePuy, Warsaw, IN). There
were 153 knees evaluated. We matched the subjects primarily on preoperative
flexion and had 64 matched pairs. We also evaluated the knees based on their
preoperative flexion, either <120 degrees or >=120 degrees . In comparing the
two implants, there were no significant differences in the patients' overall
satisfaction, flexion gained or lost, or the need for further surgery. This study
was approved by an institutional review board.
PMID- 22096425
TI - Efficacy and cost-effectiveness of a blood salvage system in primary total knee
arthroplasty--a retrospective match-controlled chart review.
AB - We retrospectively reviewed the clinical and cost effectiveness of the OrthoPAT
blood salvage system (Haemonetics Corp., Braintree, MA) following total knee
arthroplasty (TKA). Two-hundred-and-two patients who received the OrthoPAT system
were matched to 202 controls. A second match was performed for subjects weighing
<75 kg. For all matched subjects, no significant difference in allogeneic blood
transfusion (ABT) rate was found between the control and study groups (p=0.55).
In the subjects <75 kg, use of the OrthoPAT system almost halved the incidence of
ABT; however, in this small population the result was not statistically
significant (p=0.10). Blood management costs for study patients were
significantly higher than those of the control group in both the total matched
pairs and those <75 kg (p< 0.0001 and p= 0.05, respectively).
PMID- 22096426
TI - Assessment of walking pattern pre and post peri-acetabular osteotomy.
AB - BACKGROUND: Adult hip dysplasia (AHD) is a common etiology of hip pain in the
young adult. Patients with adult hip dysplasia may present with hip pain and
early degenerative changes resulting from elevated cumulative hip-contact stress.
While there are numerous studies using radiographic parameters coupled with
general and disease-specific health status measures to demonstrate that
periacetabular osteotomy improves the orientation of the acetabulum, decreases
pain and improves function, to our knowledge there is only one study that
utilized gait analysis to demonstrate an objective functional alteration. The
purpose of the present study was to prospectively evaluate the walking pattern
and assess the activity level of patients undergoing periacetabular osteotomy for
symptomatic adult hip dysplasia. METHODS: Institutional review board approval was
obtained for collection and review of data on 55 patients who underwent
periacetabular osteotomy at one institution by the senior author (TM) between the
years 2007-2009. Walking pattern characteristics were assessed including
velocity, cadence, stride length of the affected side, and percent of single-limb
support on the affected limb using GaitRite(r) walking pattern analysis. Activity
was assessed as average steps/day over a consecutive seven-day period. As a
secondary analysis, the disease-specific and generalized health status outcome
measures of all patients who underwent periacetabular osteotomy were reviewed.
RESULTS: At an average of 11.5 months post periacetabular osteotomy the walking
patterns of 27 patients were available for review. Several trends were observed,
including an approximate 5% increase in walking velocity (118 cm/sec to 125
cm/sec), and a 4.5 % increase in stride length (132 cm to 138 cm, p=0.01). At a
mean 9.5 months following surgery, 26 patients reported an 8.75% decrease in
average steps taken daily (4598 steps/day to 4196 steps/day). A significant
improvement in SF-36 PC scores (p<0.01), the WOMAC hip pain and function scores
(p<0.01) and the HHS (p<0.01) was noted during the same period. CONCLUSION: At an
average of 11.5 months following periacetabular osteotomy for the treatment of
symptomatic hip dysplasia, a trend toward increased walking velocity and a
significant increase in stride length was noted. A significant improvement in
pain relief as well as improved physical function was observed in the short term.
Subgroup analysis of patients without pre-existing osteoarthritis (as compared to
those with pre-existing osteoarthrosis) revealed increased walking velocity,
stride length of the affected limb, and percent of gait cycle in single support
on the affected limb following periacetabular osteotomy. Further prospective
studies are needed to fully clarify the long-term impact of the periacetabular
osteotomy on patients with symptomatic hip dysplasia.
PMID- 22096427
TI - Quantifying the effects of extensor mechanism medializatlon procedures using MRI:
a cadaver-based study.
AB - BACKGROUND: Patellofemoral joint kinematics are dependent on a variety of
anatomical features. One of the most common causes of patellar instability is
malalignment of the quadriceps extensor mechanism. The Southwick-Fulkerson
osteotomy focuses on correcting malalignment of the quadriceps extensor mechanism
through medialization of the tibial tubercle. MRI, in conjunction with
established patellofemoral indices, allows quantitative evaluation of the
patellofemoral joint during active quadriceps extension both pre- and
postoperatively. PURPOSE: This study aims to quantitatively evaluate the effects
of extensor mechanism medialization procedures using established patellofemoral
indices in order to establish a relationship between tubercle transfer distance
and patellar tracking. It is believed that a 15mm medial transfer of the tibial
tubercle will produce statistically significant changes in patellar tracking when
evaluated during active quadriceps contraction. METHODS: Four fresh-frozen
cadavers underwent a modified Fulkerson osteotomy. The central quadriceps tendon
was identified and traction was applied with a vector parallel to the femoral
diaphysis using sutures, to simulate active quadriceps contraction. MRI images
were obtained following Omm (control) and 15mm tibial tubercle medialization.
Each knee was evaluated at 30, 20, 10, and 0 degrees of flexion. RESULTS:
Quantitative evaluation of patellar tracking during active quadriceps contraction
detected significant changes in patellar translation following 15mm medial
transfer of the tibial tubercle as compared to the control. The significantly
reduced indices suggest reduced patellar lateralization and improved patellar
tracking during the critical range of motion from 30 to 0 degrees of flexion.
CONCLUSIONS: The results of this study indicate that significant quantitative
changes in patellar tracking occur following 15mm tibial tubercle medialization
when evaluated during active quadriceps contraction using MRI in conjunction with
established patellofemoral indices. These findings suggest that quantitative
evaluation of patellar tracking may be a valuable pre- and postoperative tool
when coupled with qualitative clinical findings.
PMID- 22096428
TI - Integrating carthage-specific T1rho MRI into knee clinic diagnostic imaging.
AB - With a rise in post-traumatic osteoarthritis, OA no longer is considered just a
disease of aging. The 'gold standard' for OA diagnosis has long been planar
radiographs for visualizing osteophytes, joint space narrowing and sclerotic
changes. A typical magnetic resonance imaging (MRI) protocol will acquire proton
density, T1, T2, and fat suppressed images that give a comprehensive picture of
morphologic changes associated with injury and subsequent degenerative processes.
However, the earliest events of cartilage degeneration occur within the tissue,
before measureable changes in morphology. MRI methods have been proposed to
display and quantify changes in composition and integrity of such elements of
cartilage extracellular matrix as collagen and proteoglycan (PG) content in vivo.
T1rho the spin-lattice relaxation time in the rotating frame, has come to the
forefront for visualizing water proton-PG interactions in articular cartilage.
The purpose of this T1rho MRI study was to define an objective femoral condyle
specific registration method, in which zone-dependent cartilage compositional
changes could be assessed from the bone outward through the existing cartilage,
at pre-ACL reconstruction and subsequent follow-up times, when the loss of
thickness to surface-down cartilage erosion might occur later in the OA
pathogenesis. Additionally, this study explores the effects of reducing the
number of spin-lock times on the absolute T1rho relaxation times; a major
parameter in expanding T1rho coverage to the whole joint while satisfying
clinical imaging time and specific absorption rate (SAR) safety constraints. The
developed image analysis tools serve as the first step toward quantitative
functional assessment of cartilage health with noninvasive T1rho MRI, which has
the potential to become an important new tool for the early diagnosis of
cartilage degeneration following ACL trauma.
PMID- 22096429
TI - Digital soft tissue trauma: a concise primer of soft tissue reconstruction of
traumatic hand injuries.
PMID- 22096430
TI - Arthroscopic subacromial decompression: acromioplasty versus bursectomy alone-
does it really matter? A systematic review.
AB - BACKGROUND: Subacromial impingement is a common disorder mat in some cases
results in surgical management. Arthroscopic subacromial bursectomy alone or in
combination with acromioplasty are treatment options when non-operative measures
fail. METHODS: A systematic review of all level-I and level-II studies regarding
subacromial bursectomy and acromioplasty for impingement was performed. Medline
publications were reviewed for appropriate studies. RESULTS: A total of six
studies that met inclusion criteria were identified. However, only one randomized
study was identified that directly compared the treatments in question.
Additionally, only one prospective study of subacromial bursectomy was
identified. A comparison of similar outcome measures revealed bursectomy alone
provided similar results to bursectomy with acromioplasty. DISCUSSION: Limited
high-level studies are available regarding arthroscopic treatment of subacromial
impingement. Data available currently suggests that bursectomy alone provides
similar outcomes to bursectomy with acromioplasty.
PMID- 22096432
TI - The value of physical examination in conjunction with a survey for identifying
youth pitchers with arm pain.
AB - OBJECTIVE: The purpose of this study is to evaluate the effectiveness of a
screening survey in identifying injuries in youth baseball pitchers. It is
hypothesized that a standalone survey is unlikely to give a complete picture and
that an additional physical examination is necessary to identify all injuries.
METHODS: Seventy-seven youth baseball players who pitched in the last 12 months
completed the survey. Players underwent physical examination if they reported a
history of time-loss injury (16 players) or if they had any current complaints of
pain without a history of time-loss injury (22 players). RESULTS: This screening
protocol resulted in positive physical examination findings in 37.6% of all 77
players. This included a rate of 56.3% of pitchers with a positive time-loss
injury history and 90.9% of pitchers with a negative time-loss injury, but
positive complaint of pain. The most common complaint in both groups was elbow
tenderness with the most common location being the medial epicondyle. CONCLUSION:
While the survey was effective at identifying time-loss injuries, it may neglect
more mild injuries, underestimating the percentage of players with pain and
positive physical examination findings. The high frequency of positive
examination findings in athletes without a history of time-loss injury demands
further investigation.
PMID- 22096431
TI - Mechanical behavior of carpal tunnel subsynovial connective tissue under
compression.
AB - Subsynovial connective tissue (SSCT) is a fluid-permeated loose connective tissue
that occupies the majority of the space in the carpal tunnel not occupied by the
digital flexor tendons or the median nerve. It is arranged in layers around these
more discrete structures, presumably to assist with tendon gliding. As a result
of this arrangement, the compressive behavior and the fluid permeability of this
tissue may substantially affect the stresses in the median nerve resulting from
contact with its neighboring tendons or with the walls of the tunnel itself.
These stresses may contribute to damage of the median nerve and the development
of carpal tunnel syndrome. In this study, the fluid permeability and the
compressive behavior of the SSCT were investigated to better understand the
mechanics of this tissue and how it may mediate mechanical insult to the median
nerve. A custom experimental apparatus was built to allow simultaneous
measurement of tissue compression and fluid flow. Using Darcy's law, the average
SSCT fluid permeability was 8.78*10(15) m(4)/Ns. The compressive behavior of the
SSCT demonstrated time dependence, with an initial modulus of 395kPa gradually
decreasing to a value of 285kPa. These baseline tissue data may serve as a
mechanical norm (toward which pathological tissue might be returned,
therapeutically) and may serve as essential properties to include in future
mechanical models of the carpal tunnel.
PMID- 22096433
TI - Conical, radiographic, and patient-reported results of surface replacing proximal
interphalangeal joint arthroplasty of the hand.
AB - The purpose of this study was to evaluate the one-year clinical, radiologic and
patient-reported results of surface-replacing proximal interphalangeal joint
arthroplasty (SR-PIP) of the hand. Fifteen patients with 18 joints underwent the
procedure, and nine patients with 11 joints had follow-up of at least one year's
duration. Of these joints, six had a diagnosis of osteoarthritis with no history
of trauma, three had post-traumatic arthritis, one had psoriatic arthritis, and
one had erosive arthritis. The mean clinical follow-up was at 3.3 years, and the
mean radiographic follow-up was at 3.1 years. The average post-operative gain in
range of motion at the PIP joint was 28 degrees and was statistically
significant. Six patients completed self-reported questionnaires at a mean of 4.8
years post-operatively. The mean Disabilities of the Arm, Shoulder and Hand
(DASH) score post-operatively was 17, and the Michigan Hand Questionnaire (MHQ)
score for overall satisfaction was 70. There were three complications but only
one reoperation. Seven of 11 joints showed some evidence of subsidence on follow
up radiographic examination. However, no joints were revised secondary to
loosening. Longer follow-up is needed to determine if this observable radiologic
subsidence leads to symptomatic loosening of the implant.
PMID- 22096434
TI - Extremity sarcoma surgery in younger children: ten years of patients ten years
and under.
AB - Sarcoma surgeons face unique challenges in younger patients with significant
skeletal growth remaining. The heightened concerns regarding radiation in the
very young and the drastic changes expected in the lengths and cross-sectional
areas of bones affect the decision-making for both soft-tissue and bone sarcomas
in this population. Nonetheless, there is sparse literature focused on sarcoma
surgery in this age group. The records of one tertiary regional sarcoma treatment
program were reviewed to identify all patients ten years old or younger at the
time of local control surgery for limb or limb-girdle sarcomas. Demographic
information, diagnosis, surgery performed, complications, and general outcomes
were gleaned from the medical records. 43 patients were identified, including 15
with osteosarcomas, 11 Ewing's sarcoma family tumors, five rhabdomyosarcomas, and
two synovial sarcomas, among others. Location of tumors varied widely, but
demonstrated a predilection for the upper extremity more than is typical in
adolescents with the same tumor types. Survival was favorable overall, with only
five patients dying from disease. Most patients continued to function well at
latest follow-up, but 16 experienced additional surgical interventions following
the index procedure. Sarcoma surgery in the younger growing child presents
challenges for the surgeon, patient, and parents, but is usually successful in
the long-term.
PMID- 22096435
TI - Chondrosarcoma of the spine: a series of 16 cases and a review of the literature.
AB - Only a few major studies of chondrosarcoma of the mobile spine have been
reported. These studies have shown that spinal chondrosarcomas require complete
surgical resection and are notoriously resistant to chemotherapy and radiation.
We present 16 cases of chondrosarcoma of the mobile spine diagnosed at a median
age of 54.5 (range 20 - 79) years. Diagnosis and treatment studies were based on
both CT scans and MRI. Fifteen of our 16 patients had low-grade (grade 1-2)
chondrosarcomas. All patients were treated with surgical resection. Fourteen
patients had total resection while two patients had subtotal resection. The two
patients who had subtotal resection died of their disease. Five of the fourteen
patients who had total resection also died. The mean interval to death was 3.6
years. This study confirms that although chondrosarcomas of the spine are low
grade, they are dangerous neoplasms. Even with complete resection, they have a
high rate of recurrence and metastasis.
PMID- 22096436
TI - Patterns of improvement following oncologic reconstructrion compared to total
knee arthroplasty and revision knee arthroplasty.
AB - Limb salvage surgery for primary malignant bone tumors of the lower limbs
requires complete resection of the tumor, followed by a reconstruction to restore
function. In contrast to the abundant information on total knee arthroplasty,
data on the recovery pattern of limb salvage surgery is largely limited. With the
aim of guiding patient expectations and optimizing care, we retrospectively
compared the clinical outcomes among patients following oncologic knee
reconstruction, primary total knee arthroplasty, and revision total knee
arthroplasty. From January, 2001 to June, 2009, we identified a cohort of 503
primary total knee arthroplasties, 55 revision knee arthroplasties, and 15
oncologic reconstructions. Outcomes were assessed by the validated Short Form-36
(SF-36) health questionnaire. We found that oncologic patients significantly
improved their Physical Component Score at one and minimum two-year follow up
compared to baseline (p< 0.05) with the majority of improvement (90%) made within
the first year following surgery. This is a similar pattern to that observed
following primary and revision total knee arthroplasty.
PMID- 22096437
TI - A randomized, prospective study comparing intertrochanteric hip fracture fixation
with the dynamic hip screw and the dynamic helical hip system in a community
practice.
AB - OBJECTIVE: To evaluate the clinical performance of the Dynamic Helical Hip System
(DHHS) spiral blade relative to the Dynamic Hip Screw (DHS) lag screw. DESIGN:
Randomized prospective study. SETTING: One level-2 trauma center and one level-3
trauma center. PATIENTS: Fifty-one consecutive patients were recruited into the
trial. Inclusion criteria included patients over 50 years of age with AO/OTA 31A1
or 31A2 fracture. INTERVENTION: Surgeries were performed by one of 15
participating community orthopaedic surgeons. The patients were randomized to
either a DHHS or DHS implant. Follow-up occurred at two weeks and six weeks and
then at six-week intervals until healing occurred. MAIN OUTCOME MEASURES: Primary
outcome variables included sliding of die implant on the final AP radiographs,
failure by cut-out and implant failure. RESULTS: There were 24 patients in the
DHS group and 27 in the DHHS group. There was no difference in age, gender, ASA
score, fracture classification or in the quality of reduction measured on the
immediate postoperative radiographs (p=0.28) between the two groups. The tip apex
distance was 18.7 mm in the DHHS group and 18.5 mm in the DHS group (p=0.40). The
DHHS group had average blade sliding of 7.4 mm while the DHS group had an average
lag-screw sliding of 7.7 (p=0.45). The DHHS group had two failures by central
protrusion of the blade through the femoral head without significant varus
collapse or superior migration. One was revised to a DHS and healed, the other
was revised to a proximal femoral locking plate, which also failed and eventually
required revision to a total hip arthroplasty. Investigation of the implants post
failure showed evidence of binding of the blade shaft in the barrel as a
mechanism of failure in both cases. No DHS implants cut out in this series,
although one patient was revised to a total hip arthroplasty for symptomatic
segmental osteonecrosis. CONCLUSION: Both implants performed well in a majority
of cases. The higher incidence of failure in the DHHS group is concerning,
despite the low numbers. The mechanism of failure of the DHHS implant left
adequate bone stock for attempts at revision fixation.
PMID- 22096438
TI - Children hospitalized with lower extremity fractures in the United States in
2006: a population-based approach.
AB - OBJECTIVE: The purpose of this study was to examine the demographic and
hospitalization characteristics of children hospitalized with lower extremity
fractures in the United States in 2006. METHODS: Children aged 0 to 20 years with
a diagnosis of lower extremity fracture in the 2006 Healthcare Cost and
Utilization Project Kids' Inpatient Database (KID) were included. Lower extremity
fractures were defined by International Classification of Diseases, 9th Revision,
Clinical Modification codes 820-829 under "Injury and Poisoning (800-999)."
Patient demographic and hospitalization-related data were analyzed by chi-square
testing and unbalanced analysis of variance. RESULTS: There were more boys than
girls with lower extremity fractures and 53% had private insurance as their
primary payer. About one half of the children were between the ages of 13 and 20
years, but all ages were represented from age 0 to 20. White children accounted
for 56%. Urban hospitalizations accounted for 93% of cases and 66 percent of
admissions were to teaching hospitals. All patients had an average length of stay
(LOS) 4.04 days, and infant patients had the longest average LOS of 5.46 days.
The average number of diagnoses per patient was 3.07, and the average number of
procedures per patient was 2.21. The average charge per discharge was $35,236,
and the oldest patients had the largest average charge of $41,907. The average
number of comorbidities increased with increasing patient age. There was a 55.6%
greater mortality risk in non-teaching hospitals than in teaching hospitals and
there was at least ten times the mortality risk in rural hospitals than in urban
hospitals. CONCLUSIONS: This study provides an understanding of the demographic
and hospitalization characteristics of children with lower extremity fractures in
the United States in 2006. This information may be useful in implementing
measures to help prevent similar injuries in the future. Further research is
required to determine causality of the associations found including increased
mortality risk for this population at rural and non-teaching hospitals.
PMID- 22096439
TI - Gluteal compartment syndrome and superior gluteal artery injury as a result of
simple hip dislocation: a case report.
AB - Gluteal compartment syndrome as a result of hematoma from a ruptured superior
gluteal artery is exceedingly rare; to date, one similar case in a pelvic
fracture model has been reported. We report a case of acute gluteal compartment
syndrome from a ruptured superior gluteal artery resulting from a simple
posterior hip dislocation in an otherwise healthy young male. Timely surgical
exploration, evacuation of the hematoma, and achievement of hemostasis allowed
for an excellent outcome at follow-up. We review the gluteal compartments as well
as treatment protocols for this injury.
PMID- 22096440
TI - Treatment of posttraumatic labral interposition with surgical hip dislocation and
labral repair.
AB - We report a case of a 12-year-old male with delayed presentation of a spontaneous
incongruous reduction of a hip dislocation due to labral-chondral acetabular rim
fragment entrapment The patient was treated with a staged hip arthroscopy and
subsequent surgical dislocation and open repair. At two-year follow-up, the
patient had an excellent clinical and radiographic outcome.
PMID- 22096441
TI - Open pelvic fractures: the University of Tennessee Medical Center at Knoxville
experience over ten years.
AB - INTRODUCTION: Open fractures of the pelvis remain a devastating injury with a
high mortality and morbidity. Such injuries require an aggressive treatment plan
and the coordination of trauma and orthopaedic surgeons to achieve the best
outcomes. We report our experience at the University of Tennessee Medical Center
at Knoxville with open pelvic fractures over the last ten years. METHODS: After
IRB and institutional approval, we reviewed patients admitted with a diagnosis of
open fracture of the pelvis from 1999 to 2009. Demographic and admission data
were recorded in the trauma registry (TRACS) of the Level I Trauma Center,
serving the 1.2 million people living in the regions of east Tennessee, western
North Carolina and southeastern Kentucky. Data on fractures were obtained from
review of the medical records and radiographs within the chart. RESULTS: There
were 3053 pelvic fractures from January 1999 to December 2009. There were 231
deaths in this group (6%) and ages ranged from 18 to 89 years old and Injury
Severity Scores ranged from 4 to 75, with a mean of 18.3. Seventy five percent of
patients were able to be discharged home. Fifty-two fractures were open. There
were 43 men and the mean age was 39 years old. Average ISS was 23 and ranged from
5 to 50. There were 10 deaths (19%) and eight patients underwent
angioembolization for control of bleeding (3 deaths). Motorcycle crashes were the
most frequent cause of an open fracture, with lateral compression injuries
representing 71%. A defined algorithm for fracture management has been in place
and employed to assure adequate resuscitation and fracture care and is presented.
DISCUSSION: Open pelvic fractures are usually the result of a high energy
transfer, and convey a high morbidity and mortality. A defined resuscitation and
fixation strategy improves outcome from historical reports. Injuries from
penetrating mechanisms are associated with less morbidity and lower mortality.
PMID- 22096442
TI - Proximal junctional kyphosis in adult reconstructive spine surgery results from
incomplete restoration of the lumbar lordosis relative to the magnitude of the
thoracic kyphosis.
AB - BACKGROUND: PROXIMAL JUNCTIONAL KYPHOSIS (PJK) IS DEFINED AS: 1) Proximal
junction sagittal Cobb angle >>=10 degrees , and 2) Proximal junction sagittal
Cobb angle of at least 10 degrees greater than the pre-operative measurement PJK
is a common complication which develops in 39% of adults following surgery for
spinal deformity. The pathogenesis, risk factors and prevention of this
complication are unclear. METHODS: Of 54 consecutive adults treated with spinal
deformity surgery (age>=59.3+/-10.1 years), 19 of 54 (35%) developed PJK. The
average follow-up was 26.8 months (range 12 - 42). Radiographic parameters were
measured at the pre-operative, early postoperative (4-6 weeks), and final follow
up visits. Sagittal alignment was measured by the ratio between the C7-plumbline
and the sacral-femoral distance. Binary logistic regression model with predictor
variables included: Age, BMI, C7-plumbline, and whether lumbar lordosis, thoracic
kyphosis and sacral slope were present RESULTS: Patients who developed PJK and
those without PJK presented with comparable age, BMI, pelvic incidence and
sagittal imbalance before surgery. They also presented with comparable sacral
slope and lumbar lordosis. The average magnitude of thoracic kyphosis was
significantly larger than the lumbar lordosis in the proximal junctional kyphosis
group, both at baseline and in the early postoperative period, as represented by
[(-lumbar )lordosis - (thoracic kyphosis)]; no- PJK versus PJK; 6.6 degrees +/
23.2 degrees versus -6.6 degrees +/-14.2 degrees ; p>=0.012. This was not
effectively addressed with surgery in the PJK group [(-LL-TK): 6.2 degrees +/
13.1 degrees vs. -5.2 degrees +/-9.6 degrees ; p>=0.004]. This group also
presented with signs of pelvic retroversion with a sacral slope of 29.3 degrees
+/-8.2 degrees pre-operatively that was unchanged after surgery (30.4 degrees +/
8.5 degrees postoperatively). Logistic regression determined that the magnitude
of thoracic kyphosis and sagittal balance (C7-plumbline) was the most important
predictor of proximal junctional kyphosis. CONCLUSIONS: Proximal junctional
kyphosis developed in those patients where the thoracic kyphosis remained greater
in magnitude relative to the lumbar lordosis, and where the sagittal balance
seemed corrected, but part of thise correction was secondary to pelvic
retroversion. LEVEL OF EVIDENCE: Prognostic case-control study - Level III.
PMID- 22096443
TI - Cervical laminoplasty construct stability: an experimental and finite element
investigation.
AB - STUDY DESIGN: Experimental and finite element investigation of cervical
laminoplasty. OBJECTIVE: To determine the stability of the construct post
cervical laminoplasty. SUMMARY OF BACKGROUND DATA: Cervical laminoplasty is a
widely used technique to widen the spinal canal dimensions without permanently
removing the dorsal elements of the cervical spine. Although various laminoplasty
procedures have been developed recently, the use of mini-plates to hold the
lamina open and prevent restenosis of the spinal cord is a fairly new method and
has not been thoroughly investigated. METHODS: Biomechanical compression tests
and finite element analyses were performed in this study. Sixteen cervical
vertebrae (C3 - C6) were isolated from six cadaveric cervical spines (age at
death 68 to 91 years; mean 85 years) and were used for compression tests. Out of
the 16 vertebrae, four were without any surgical intervention and the remaining
12 were implanted with one of the two laminoplasty plates: open door (OD) graft.
Each vertebra was randomly assigned to one of the three groups: OD plate (6),
graft plate (6) or intact vertebrae (4). The intact and implanted vertebrae were
potted and loaded to failure. Cross-head displacements and the corresponding
reaction force throughout the test were recorded to determine the failure loads.
A finite element model of the C5 cervical vertebra was created to accommodate the
laminoplasty implants. Experimental loading and boundary conditions were
simulated and the stress distribution in the lamina was predicted in response to
the compressive loads. RESULTS: A substantial increase in the sagittal canal
diameter (27%-33%) and the spinal canal area (31.2%-47%) was observed at all
levels. The strength of the implanted specimens was considerably decreased (by
six to eight times) as compared to the intact specimens. CONCLUSION:
Experimentally obtained data can be combined with mathematical models, such as
finite element models, to accurately predict the biomechanical behavior (stresses
and strains) of implants and the posterior bone which may not be possible by the
use of any other method.
PMID- 22096444
TI - Cervical synovial cyst: case report.
AB - A 47-year-old female school teacher with a six-week history of left-sided
scapular and arm pain is presented. We report her evaluation and treatment
Although lumbar degenerative synovial cysts have been reported over 200 times in
the literature,6 cervical synovial cysts are much more rare. This case reports a
cervicothoracic junction degenerative synovial cyst presenting as radiculopathy.
PMID- 22096445
TI - Early spondylodiscitis presenting with single vertebral body involvement: a
report of two cases.
AB - Infectious spondylodiscitis is an uncommon disease with increasing incidence that
typically presents with abnormalities in two adjacent vertebral bodies and the
intervening disk. We describe two cases that initially presented with imaging
abnormalities in only a single vertebral body. Both patients had a history of
lumbar back pain and elevated inflammatory markers, but the lack of classical
spondylodiscitis imaging findings led to diagnostic delay and confusion. It is
likely that the incidence of atypical presentations of spondylodiscitis will
increase as the disease incidence increases and imaging is performed at an
earlier stage. It is important to recognize the disease early because early
diagnosis is the key to preventing serious complications like epidural abscess
and spinal cord compression.
PMID- 22096446
TI - Ulnar nerve component to innervation of thumb carpometacarpal joint.
AB - PURPOSE: Thumb carpometacarpal (CMC) joint arthritis is one of the most common
problems addressed by hand surgeons. The gold standard of treatment for thumb CMC
joint arthritis is trapeziectomy, ligament reconstruction and tendon
interposition. Denervation of the thumb CMC joint is not currently used to treat
arthritis in this joint due to the failure of the procedure to yield significant
symptomatic relief. The failure of denervation is puzzling, given that past
anatomic studies show the radial nerve is the major innervation of the thumb CMC
joint with the lateral antebrachial nerve and the median nerve also innervating
this joint. Although no anatomic study has ever shown that the ulnar nerve
innervates the CMC joint, due to both the failure of denervation and the success
of arthroscopic thermal ablation, we suspect that previous anatomic studies may
have overlooked innervation of the thumb CMC joint via the ulnar nerve. METHODS:
We dissected 19 formalin-preserved cadaveric hand-to-mid-forearm specimens. The
radial, median and ulnar nerves were identified in the proximal forearm and then
followed distally. Any branch heading toward the radial side of the hand were
followed to see if they innervated the thumb CMC joint. RESULTS: Eleven specimens
(58%) had superficial radial nerve innervation to the thumb CMC joint. Nine
specimens (47%) had median nerve innervation from the motor branch. Nine
specimens (47%) had ulnar nerve innervation from the motor branch. CONCLUSIONS:
We believe this is the first study to demonstrate that the ulnar nerve innervates
the thumb CMC joint This finding may explain the poor results seen in earlier
attempts at denervation of the thumb CMC, but the more favorable results with
techniques such as arthroscopy with thermal ablation.
PMID- 22096447
TI - The course of the distal saphenous nerve: a cadaveric investigation and clinical
implications.
AB - INTRODUCTION: Injury to the saphenous nerve at the ankle has been described as a
complication resulting from incision and dissection over the distal tibia and
medial malleolus. However, the exact course and location of the distal saphenous
nerve is not well described in the literature. The purpose of this study was to
determine the distal limit of the saphenous nerve and its anatomic relationship
to commonly identified orthopaedic landmarks and surgical incisions. METHODS:
Sixteen cadaveric ankles were examined at the level of the distal tibia medial
malleolus. An incision was made along the medial aspect of the lower extremity
from the knee to the hallux to follow the course and branches of the saphenous
nerve under direct visualization. We recorded the shortest distance from the most
distal visualized portion of the saphenous nerve to the tip of the medial
malleolus, to the antero-medial arthroscopic portal site, and to the tibialis
anterior tendon. RESULTS: The saphenous nerve runs posterior to the greater
saphenous vein in the leg and divides into an anterior and posterior branch
approximately 3 cm proximal to the tip of the medial malleolus. These branches
terminate in the integument proximal to the tip of the medial malleolus, while
the vein continues into the foot. The anterior branch ends at the anterior aspect
of the medial malleolus near the posterior edge of the greater saphenous vein.
The posterior branch ends near the posterior aspect of the medial malleolus. The
average distance from the distal-most visualized aspect of the saphenous nerve to
the tip of the medial malleolus measured 8mm +/-; 5mm; from the nerve to the
medial arthroscopic portal measured 14mm +/-2mm; and from the nerve to the
tibialis anterior measured 16mm +/-3mm. In only one case (of 16) was there an
identifiable branch of the saphenous nerve extending to the foot and in this
specimen it extended to the first metatarsophalangeal joint. The first
metatarsophalangeal joint was innervated by the superficial peroneal nerve in all
cases. Small variations were also noted. DISCUSSION AND CONCLUSIONS: This study
highlights the proximity of the distal saphenous nerve to common landmarks in
orthopaedic surgery. This has important clinical implications in ankle
arthroscopy, tarsal tunnel syndrome, fixation of distal tibia medial malleolar
fractures, and other procedures centered about the medial malleolus. While the
distal course of the saphenous nerve is generally predictable, variations exist
and thus the orthopaedic surgeon must operate cautiously to prevent iatrogenic
injury. To avoid saphenous nerve injury, incisions should stay distal to the tip
of the medial malleolus. The medial arthroscopic portal should be more than one
centimeter from the anterior aspect of the medial malleolus which will also avoid
the greater saphenous vein. Incision over the anterior tibialis tendon should
stay within one centimeter of the medial edge of the tendon.
PMID- 22096448
TI - A brief (and somewhat personal) history of the orthopaedic clinic at the
University of Iowa Hospitals and Clinics, 1978-2011.
PMID- 22096449
TI - Predictive measures of a resident's performance on written Orthopaedic Board
scores.
AB - OBJECTIVE: Residency programs are continually attempting to predict the
performance of both current and potential residents. Previous studies have
supported the use of USMLE Steps 1 and 2 as predictors of Orthopaedic In-Training
Examination (OITE) and eventual American Board of Orthopaedic Surgery success,
while others show no significant correlation. A strong performance on OITE
examinations does correlate with strong residency performance, and some believe
OITE scores are good predictors of future written board success. The current
study was designed to examine potential differences in resident assessment
measures and their predictive value for written boards. DESIGN/METHODS: A
retrospective review of resident performance data was performed for the past 10
years. Personalized information was removed by the residency coordinator. USMLE
Step 1, USMLE Step 2, Orthopaedic In-Training Examination (from first to fifth
years of training), and written orthopaedic specialty board scores were
collected. Subsequently, the residents were separated into two groups, those
scoring above the 35(th) percentile on written boards and those scoring below.
Data were analyzed using correlation and regression analyses to compare and
contrast the scores across all tests. RESULTS: A significant difference was seen
between the groups in regard to USMLE scores for both Step 1 and 2. Also, a
significant difference was found between OITE scores for both the second and
fifth years. Positive correlations were found for USMLE Step 1, Step 2, OITE 2
and OITE 5 when compared to performance on written boards. One resident initially
failed written boards, but passed on the second attempt This resident
consistently scored in the 20(th) and 30(th) percentiles on the in-training
examinations. CONCLUSIONS: USMLE Step 1 and 2 scores along with OITE scores are
helpful in gauging an orthopaedic resident's performance on written boards. Lower
USMLE scores along with consistently low OITE scores likely identify residents at
risk of failing their written boards. Close monitoring of the annual OITE scores
is recommended and may be useful to identify struggling residents. Future work
involving multiple institutions is warranted and would ensure applicability of
our findings to other orthopedic residency programs.
PMID- 22096450
TI - Grammatical form and semantic context in verb learning.
AB - Decades of research have documented that young word learners have more difficulty
learning verbs than nouns. Nonetheless, recent evidence has uncovered conditions
under which children as young as 24 months succeed. Here, we focus in on the kind
of linguistic information that undergirds 24-month-olds' success. We introduced
24-month-olds to novel words (either nouns or verbs) as they watched dynamic
scenes (e.g., a man waving a balloon); the novel words were presented in semantic
contexts that were either rich (e.g., The man is pilking a balloon), or more
sparse (e.g., He's pilking it). Toddlers successfully learned nouns in both the
semantically rich and sparse contexts, but learned verbs only in the rich
context. This documents that to learn the meaning of a novel verb, English
acquiring toddlers take advantage of the semantically rich information provided
in lexicalized noun phrases. Implications for cross-linguistic theories of
acquisition are discussed.
PMID- 22096451
TI - Microscopic Symmetry Imposed by Rotational Symmetry Boundary Conditions in
Molecular Dynamics Simulation.
AB - A large number of viral capsids, as well as other macromolecular assemblies, have
icosahedral structure or structures with other rotational symmetries. This
symmetry can be exploited during molecular dynamics (MD) to model in effect the
full viral capsid using only a subset of primary atoms plus copies of image atoms
generated from rotational symmetry boundary conditions (RSBC). A pure rotational
symmetry operation results in both primary and image atoms at short range, and
within nonbonded interaction distance of each other, so that nonbonded
interactions can not be specified by the minimum image convention and explicit
treatment of image atoms is required. As such an unavoidable consequence of RSBC
is that the enumeration of nonbonded interactions in regions surrounding certain
rotational axes must include both a primary atom and its copied image atom,
thereby imposing microscopic symmetry for some forces. We examined the
possibility of artifacts arising from this imposed microscopic symmetry of RSBC
using two simulation systems: a water shell and human rhinovirus 14 (HRV14)
capsid with explicit water. The primary unit was a pentamer of the icosahedron,
which has the advantage of direct comparison of icosahedrally equivalent spatial
regions, for example regions near a 2-fold symmetry axis with imposed symmetry
and a 2-fold axis without imposed symmetry. Analysis of structural and dynamic
properties of water molecules and protein atoms found similar behavior near
symmetry axes with imposed symmetry and where the minimum image convention fails
compared with that in other regions in the simulation system, even though an
excluded volume effect was detected for water molecules near the axes with
imposed symmetry. These results validate the use of RSBC for icosahedral viral
capsids or other rotationally symmetric systems.
PMID- 22096453
TI - Trade-offs and constraints in allosteric sensing.
AB - Sensing extracellular changes initiates signal transduction and is the first
stage of cellular decision-making. Yet relatively little is known about why one
form of sensing biochemistry has been selected over another. To gain insight into
this question, we studied the sensing characteristics of one of the biochemically
simplest of sensors: the allosteric transcription factor. Such proteins, common
in microbes, directly transduce the detection of a sensed molecule to changes in
gene regulation. Using the Monod-Wyman-Changeux model, we determined six sensing
characteristics--the dynamic range, the Hill number, the intrinsic noise, the
information transfer capacity, the static gain, and the mean response time--as a
function of the biochemical parameters of individual sensors and of the number of
sensors. We found that specifying one characteristic strongly constrains others.
For example, a high dynamic range implies a high Hill number and a high capacity,
and vice versa. Perhaps surprisingly, these constraints are so strong that most
of the space of characteristics is inaccessible given biophysically plausible
ranges of parameter values. Within our approximations, we can calculate the
probability distribution of the numbers of input molecules that maximizes
information transfer and show that a population of one hundred allosteric
transcription factors can in principle distinguish between more than four bands
of input concentrations. Our results imply that allosteric sensors are unlikely
to have been selected for high performance in one sensing characteristic but for
a compromise in the performance of many.
PMID- 22096452
TI - Neural dynamics as sampling: a model for stochastic computation in recurrent
networks of spiking neurons.
AB - The organization of computations in networks of spiking neurons in the brain is
still largely unknown, in particular in view of the inherently stochastic
features of their firing activity and the experimentally observed trial-to-trial
variability of neural systems in the brain. In principle there exists a powerful
computational framework for stochastic computations, probabilistic inference by
sampling, which can explain a large number of macroscopic experimental data in
neuroscience and cognitive science. But it has turned out to be surprisingly
difficult to create a link between these abstract models for stochastic
computations and more detailed models of the dynamics of networks of spiking
neurons. Here we create such a link and show that under some conditions the
stochastic firing activity of networks of spiking neurons can be interpreted as
probabilistic inference via Markov chain Monte Carlo (MCMC) sampling. Since
common methods for MCMC sampling in distributed systems, such as Gibbs sampling,
are inconsistent with the dynamics of spiking neurons, we introduce a different
approach based on non-reversible Markov chains that is able to reflect inherent
temporal processes of spiking neuronal activity through a suitable choice of
random variables. We propose a neural network model and show by a rigorous
theoretical analysis that its neural activity implements MCMC sampling of a given
distribution, both for the case of discrete and continuous time. This provides a
step towards closing the gap between abstract functional models of cortical
computation and more detailed models of networks of spiking neurons.
PMID- 22096454
TI - Hypertension in Cardiovascular and Kidney Disease.
AB - The relationship between hypertension and chronic kidney disease (CKD) is
bidirectional in nature and, generally, management strategies for cardiovascular
risk reduction also attenuate progression of CKD. Prevalent hypertension
increases with diminishing kidney function, and the management strategy changes
with level of kidney function. In this review, we will examine the evidence for
management of hypertension, as a modifiable risk factor for cardiovascular
disease in CKD, and the impact of this management on progression of CKD.
PMID- 22096455
TI - Possible Mechanisms of Local Tissue Renin-Angiotensin System Activation in the
Cardiorenal Metabolic Syndrome and Type 2 Diabetes Mellitus.
AB - The role of local tissue renin-angiotensin system (tRAS) activation in the
cardiorenal metabolic syndrome (CRS) and type 2 diabetes mellitus (T2DM) is not
well understood. To this point, we posit that early redox stress-mediated injury
to tissues and organs via accumulation of excessive reactive oxygen species (ROS)
and associated wound healing responses might serve as a paradigm to better
understand how tRAS is involved. There are at least five common categories
responsible for generating ROS that may result in a positive feedback ROS-tRAS
axis. These mechanisms include metabolic substrate excess, hormonal excess,
hypoxia-ischemia/reperfusion, trauma, and inflammation. Because ROS are toxic to
proteins, lipids, and nucleic acids they may be the primary instigator, serving
as the injury nidus to initiate the wound healing process. Insulin resistance is
central to the development of the CRS and T2DM, and there are now thought to be
four major organ systems important in their development. In states of
overnutrition and tRAS activation, adipose tissue, skeletal muscle (SkM), islet
tissues, and liver (the quadrumvirate) are individually and synergistically
related to the development of insulin resistance, CRS, and T2DM. The obesity
epidemic is thought to be the driving force behind the CRS and T2DM, which
results in the impairment of multiple end-organs, including the cardiovascular
system, pancreas, kidney, retina, liver, adipose tissue, SkM, and nervous system.
A better understanding of the complex mechanisms leading to local tRAS activation
and increases in tissue ROS may lead to new therapies emphasizing global risk
reduction of ROS resulting in decreased morbidity and mortality.
PMID- 22096457
TI - Prevalence of Subclinical Hypothyroidism in Patients with End-Stage Renal Disease
and the Role of Serum Albumin: A Cross-Sectional Study from South India.
AB - BACKGROUND/AIM: Subclinical hypothyroidism (SCH) and end-stage renal disease
(ESRD) are independent risk factors for cardiovascular mortality. We aimed to
study the prevalence of SCH in ESRD patients and assessed its associated risk
factors. METHODS: This cross-sectional study was conducted at 2 tertiary-care
centers in Chennai, India, over a 3-year period. The study group comprised 137
patients with ESRD on thrice weekly regular maintenance hemodialysis. Free
thyroxine (FT(4)) and thyroid-stimulating hormone (TSH) were measured using an
electrochemiluminescence immunoassay. SCH was defined as TSH ranging between 4.5
and 10 mIU/l with normal FT(4) (0.93-1.7 ng/dl). Patients with overt
hypothyroidism, SCH and overt hyperthyroidism, those on medications affecting
thyroid function and pregnant women were excluded from the study. RESULTS: Of
137 ESRD patients (mean age: 43 +/- 13.38 years), 107 were males (78.1%), 45
diabetics (32.8%), 127 hypertensives (92.7%), and 38 smokers (27.7%). Prevalence
of SCH was 24.8%. In unadjusted (OR: 3.37, 95% CI: 1.91-5.21) and adjusted (for
age, gender, HbA(1C), and albumin/creatinine ratio; OR: 3.11, 95% CI: 2.15-4.98)
logistic regression analysis, serum albumin was significantly associated with
SCH. Further, multiple linear regression identified that for every 1 g/dl drop in
serum albumin TSH increased by 4.61 mIU/l (95% CI: 2.75-5.92). CONCLUSION: We
observed a high prevalence of SCH in our ESRD patients. Also, serum albumin was
significantly associated with SCH in our study.
PMID- 22096456
TI - Prenatal Programming and Epigenetics in the Genesis of the Cardiorenal Syndrome.
AB - The presence of a group of interacting maladaptive factors, including
hypertension, insulin resistance, metabolic dyslipidemia, obesity, and
microalbuminuria and/or reduced renal function, collectively constitutes the
cardiorenal metabolic syndrome (CRS). Nutritional and other environmental cues
during fetal development can permanently affect the composition, homeostatic
systems, and functions of multiple organs and systems; this process has been
referred to as 'programming'. Since the original formulation of the notion that
low birth weight is a proxy for 'prenatal programming' of adult hypertension and
cardiovascular disease, evidence has also emerged for programming of kidney
disease, insulin resistance, obesity, metabolic dyslipidemia, and other chronic
diseases. The programming concept was initially predicated on the notion that in
utero growth restriction due to famine was responsible for increased
hypertension, and cardiovascular and renal diseases. On the other hand, we are
now more commonly exposed to increasing rates of maternal obesity. The current
review will discuss the overarching role of maternal overnutrition, as well as
fetal undernutrition, in epigenetic programming in relation to the pathogenesis
of the CRS in children and adults.
PMID- 22096459
TI - Membrane flow patterns in multicomponent giant vesicles induced by alternating
electric fields?Electronic supplementary information (ESI) available: Vesicle
preparation procedure, numerical calculations and confocal microscopy movies of
domain motion. See DOI: 10.1039/b811876kClick here for additional data file.Click
here for additional data file.Click here for additional data file.Click here for
additional data file.Click here for additional data file.
AB - Alternating electric (AC) fields induce circular patterns of lipid transport in
membranes of giant vesicles. The flow is visualized by fluorescently labelled
lipid domains.
PMID- 22096458
TI - Phosphate Metabolism in Cardiorenal Metabolic Disease.
AB - Hyperphosphatemia is a major risk factor for cardiovascular disease,
abnormalities of mineral metabolism and bone disease, and the progression of
renal insufficiency in patients with chronic renal disease. In early renal
disease, serum phosphate levels are maintained within the 'normal laboratory
range' by compensatory increases in phosphaturic hormones such as fibroblast
growth factor-23 (FGF-23). An important co-factor for FGF-23 is Klotho; a
deficiency in Klotho plays an important role in the pathogenesis of
hyperphosphatemia, renal tubulointerstitial disease, and parathyroid and bone
abnormalities. Clinical hyperphosphatemia occurs when these phosphaturic
mechanisms cannot counterbalance nephron loss. Hyperphosphatemia is associated
with calcific uremic arteriolopathy and uremic cardiomyopathy, which may explain,
in part, the epidemiologic connections between phosphate excess and
cardiovascular disease. However, no clinical trials have been conducted to
establish a causal relationship, and large, randomized trials with hard endpoints
are urgently needed to prove or disprove the benefits and risks of therapy. In
summary, hyperphosphatemia accelerates renal tubulointerstitial disease, renal
osteodystrophy, as well as cardiovascular disease, and it is an important
mortality risk factor in patients with chronic kidney disease.
PMID- 22096460
TI - Three new Editors!
PMID- 22096461
TI - Spontaneous neck haematoma.
AB - A 66-year-old Caucasian woman presented with right-sided neck swelling and
worsening pain for 5 days. There was no history of trauma, cough or excessive
muscular strain. She was not on any anticoagulants or antiplatelet drugs. On
initial examination, a 5*4 cm swelling was seen on the trapezius in the posterior
triangle of the right side of the neck. The swelling was tender, firm and not
mobile on palpation. A CT scan of the neck showed resolving haematoma 3 cm deep
to the right trapezius muscle at the level of the hyoid bone. She was treated as
an outpatient with analgesics. A repeat CT scan after 4 weeks showed complete
resolution of the haematoma. A Medline literature search did not reveal any
reported cases of spontaneous haematoma in the posterior triangle of the neck
without any precipitating factor.
PMID- 22096462
TI - Primary orbital yolk sac tumour in a 14-year-old girl.
AB - Yolk sac tumour is a common malignant germ cell tumour, which usually occurs in
the testes or ovaries of infants. It can occasionally arise from a number of
extragonadal sites. Extragonadal germ cell tumours of the head and neck region
account for 5% of all benign and malignant germ cell tumours. The orbit is an
extremely unusual location for development of primary yolk sac tumour. The
present report concerns the case of a yolk sac tumour in a 14-year-old girl,
arising as a primary tumour of the orbit with invasion of periorbital and
intracranial spaces.
PMID- 22096463
TI - Serous ovarian carcinoma in pregnancy.
AB - The diagnosis of ovarian carcinoma in pregnancy is rare (incidence of 0.018
0.073/1000 pregnancies). Its rarity is reflected by a paucity of cases reported
in the literature. The present report concerns a case of advanced serous ovarian
carcinoma in a full-term pregnancy. This was an incidental finding discovered
during an emergency caesarean section for presumed fetal distress. The majority
of ovarian carcinomas diagnosed in pregnancy present at early stages, are
associated with a good prognosis and are non-epithelial type tumours. Advanced
epithelial ovarian carcinoma diagnosed in pregnancy however is associated with a
poor prognosis. Case reporting should certainly contain detailed information on
clinicopathological variables and treatment regimens. Longer-term maternal and
neonatal outcomes are more difficult to substantiate in case reporting. The
authors therefore feel that data centralisation would be beneficial in
identifying optimal management strategies in these rare tumours and in other
malignant tumours diagnosed and treated during pregnancy.
PMID- 22096464
TI - Combined penetrating injury of the perineum and abdominal viscera.
AB - This is a rare presentation. A farmer aged 52 years old was brought to the
emergency service of Government District (Doon) Hospital in the late evening
having significant bleeding per rectum. He had injured his perineum on a sharp
wooden stick during a fall near a tube well. The wooden stick had been extracted
by his relatives, which had resulted in profuse bleeding. The patient was managed
with intravenous crystalloids and rushed to the operating theatre for examination
under analgesia. Blood transfusion was arranged and the wound explored under
general anaesthesia. The patient had unusual associated visceral injuries as well
as sphincter, rectum, urinary bladder, ileal loop and mesentery injuries. The
injured bowel, mesentery, urinary bladder and rectum were repaired with diversion
of the upper pelvic colon. In addition, debridement of the perineal wound with
rectal sphincter repair was performed and the presacral space drained. The
colostomy was closed after 3 months, and the patient survived and is continent.
PMID- 22096465
TI - Cardiac sarcoidosis mimicking arrhythmogenic right ventricular dysplasia.
AB - Isolated manifestation of sarcoidosis in the heart is very rare. The present work
describes the case of a 41-year-old woman with ventricular tachycardia and severe
symptoms of heart failure in June 2006. Clinical, MRI and echocardiographic
findings revealed the diagnosis of an arrhythmogenic right ventricular dysplasia.
Due to the severe progression of the disease, cardiac transplantation was
performed in August 2007. Histopathological examination of the explanted heart,
however, revealed numerous non-necrotising granulomas with giant cells,
lymphocytic infiltration and interstitial fibrosis, finally confirming the
diagnosis of a myocardial sarcoidosis.
PMID- 22096466
TI - Intracamaral bevacizumab in neovascular glaucoma with scleromalasia and retinal
detachment.
PMID- 22096467
TI - Suspension airbags: a potential danger.
PMID- 22096468
TI - A fortunate escape from a broken heart: contained ventricular rupture forming a
pseudoaneurysm.
PMID- 22096469
TI - Acute pancreatitis following paracetamol overdose.
AB - A 17-year-old woman presented with acute abdominal pain and vomiting 3 h after
she attempted to commit suicide by ingesting 30*500 mg paracetamol tablets. The
woman was found to have a raised amylase level, and a CT scan confirmed the
diagnosis of acute pancreatitis. According to the Naranjo adverse drug reaction
probability scale, it is likely that the pancreatitis was induced by the
paracetamol ingestion. A literature search reported 36 cases of pancreatitis
following excessive doses of paracetamol, however this possible drug reaction is
not widely recognised and not documented in the British National Formulary (BNF)
list of possible adverse reactions from paracetamol. Being aware of the
possibility that abdominal pain following paracetamol overdose may be a
manifestation of pancreatitis can help the early detection and initiation of
treatment for pancreatitis.
PMID- 22096470
TI - Pacemaker endocarditis: an important clinical entity.
AB - Pacemaker endocarditis remains a rare but potentially life threatening
complication of pacemaker implantation. This case illustrates a rare cause of
pacemaker endocarditis, Serratia marcescens, the management difficulties that can
be faced with such organisms, and the potential indolent nature of pacemaker lead
associated endocarditis. A review of the current data for pacemaker endocarditis
management suggests that treatment with antimicrobials alone is unlikely to be
curative and explantation of the device is recommended in all cases of confirmed
pacemaker endocarditis (by echocardiography, in correlation with the patient's
clinical condition and inflammatory markers).
PMID- 22096471
TI - The purple urine bag syndrome.
PMID- 22096472
TI - "An unfortunate trip": small bowel perforation in a patient with an undiagnosed
inguinal hernia following minor blunt trauma.
AB - Small bowel perforation due to blunt abdominal trauma associated with inguinal
hernia is uncommon. An 80-year-old woman presented to the emergency department
following a simple fall and had developed a traumatic perforation of the proximal
ileum likely secondary to an undiagnosed inguinal hernia. Following this minor
blunt trauma she recovered after an emergency laparotomy and bowel resection.
PMID- 22096473
TI - Spontaneous pneumothorax in the third trimester of pregnancy.
AB - The present report concerns a young woman previously diagnosed as having
childhood asthma who presented with a secondary spontaneous pneumothorax during
the third trimester of pregnancy; at term a caesarean section was recommended for
safety reasons. Post partum a severe fixed ventilatory defect unresponsive to
inhaled bronchodilator and a short oral course of steroids ruled out asthma.
Diffuse bronchiectasis was found on her chest CT scan, although this was not
evident clinically. Known aetiologies for diffuse bronchiectasis (cystic
fibrosis, anti-alpha1 antitrypsin deficiency, rheumatic diseases, mycobacterial
infections, childhood infections and immune deficiencies) were ruled out.
Therefore it is believed her bronchiectasis was idiopathic or congenital. No
recommendations from recent guidelines on how to manage labour in a woman after a
spontaneous pneumothorax could be found. However, a literature search revealed
that pregnant women usually experience primary pneumothorax and may continue in
natural labour; however, it is unknown how best to manage a woman with secondary
spontaneous pneumothorax.
PMID- 22096474
TI - In-cell click labelling of small molecules to determine subcellular localisation.
AB - Small molecule fluorometric boron dipyrromethene probes were developed to bind
hepatitis C virus-encoded NS5A protein and aid subcellular distribution studies.
These molecules did not co-locate with NS5A, therefore alternative 'silent' azide
reporters were used to obtain a more relevant picture of their distribution.
Following pre-incubation with replicon cells, click chemistry was used to append
a fluorophore to the azide that confirmed the co-localisation of the small
molecule with the NS5A protein, thus providing greater insight into the antiviral
mode of action of this chemotype. ELECTRONIC SUPPLEMENTARY MATERIAL: The online
version of this article (doi:10.1007/s12154-010-0047-1) contains supplementary
material, which is available to authorized users.
PMID- 22096475
TI - Job Strain, Workplace Discrimination, and Hypertension among Older Workers: The
Health and Retirement Study.
AB - Job strain has been associated with hypertension among younger workers; however,
whether this relationship persists among older workers, particularly older
racial/ethnic minorities, is unresolved. This study evaluated whether job strain
and workplace discrimination are associated with hypertension and poor blood
pressure control among older workers and whether these relationships vary by
gender and race/ethnicity. Data were drawn from the Health and Retirement Study,
and analysis was restricted to employed participants with complete information on
job strain and blood pressure (N = 3,794). In adjusted models, high job strain
was associated with lower likelihood of hypertension (odds ratio (OR): 0.75, 95%
confidence interval (CI): 0.63, 0.89) relative to low job strain. Stratified
analyses indicated this association was only significant among white (OR: 0.71,
95% CI: 0.58, 0.86) and male (OR: 0.61, 95% CI: 0.47, 0.79) workers. High job
strain was not significantly associated with hypertension among African American
(OR: 1.14, 95% CI: 0.63, 2.07) or Hispanic (OR: 0.56, 95% CI: 0.29, 1.09)
workers. Workplace discrimination was not associated with hypertension among any
group. Neither job strain nor discrimination was associated with poor blood
pressure control. These findings suggest that persistence in work characterized
by high job strain in later life may signal resilience to the influence of work
related stressors on health. Future research efforts should examine the factors
that contribute to gender and racial differences in these relationships.
PMID- 22096476
TI - Down-regulation of EBV-LMP1 radio-sensitizes nasal pharyngeal carcinoma cells via
NF-kappaB regulated ATM expression.
AB - BACKGROUND: The latent membrane protein 1 (LMP1) encoded by EBV is expressed in
the majority of EBV-associated human malignancies and has been suggested to be
one of the major oncogenic factors in EBV-mediated carcinogenesis. In previous
studies we experimentally demonstrated that down-regulation of LMP1 expression by
DNAzymes could increase radiosensitivity both in cells and in a xenograft NPC
model in mice. RESULTS: In this study we explored the molecular mechanisms
underlying the radiosensitization caused by the down-regulation of LMP1 in
nasopharyngeal carcinoma. It was confirmed that LMP1 could up-regulate ATM
expression in NPCs. Bioinformatic analysis of the ATM ptomoter region revealed
three tentative binding sites for NF-kappaB. By using a specific inhibitor of NF
kappaB signaling and the dominant negative mutant of IkappaB, it was shown that
the ATM expression in CNE1-LMP1 cells could be efficiently suppressed. Inhibition
of LMP1 expression by the DNAzyme led to attenuation of the NF-kappaB DNA binding
activity. We further showed that the silence of ATM expression by ATM-targeted
siRNA could enhance the radiosensitivity in LMP1 positive NPC cells. CONCLUSIONS:
Together, our results indicate that ATM expression can be regulated by LMP1 via
the NF-kappaB pathways through direct promoter binding, which resulted in the
change of radiosensitivity in NPCs.
PMID- 22096477
TI - Improved NYVAC-based vaccine vectors.
AB - While as yet there is no vaccine against HIV/AIDS, the results of the phase III
Thai trial (RV144) have been encouraging and suggest that further improvements of
the prime/boost vaccine combination of a poxvirus and protein are needed. With
this aim, in this investigation we have generated derivatives of the candidate
vaccinia virus vaccine vector NYVAC with potentially improved functions. This has
been achieved by the re-incorporation into the virus genome of two host range
genes, K1L and C7L, in conjunction with the removal of the immunomodulatory viral
molecule B19, an antagonist of type I interferon action. These novel virus
vectors, referred to as NYVAC-C-KC and NYVAC-C-KC-DeltaB19R, have acquired
relevant biological characteristics, giving higher levels of antigen expression
in infected cells, replication-competency in human keratinocytes and dermal
fibroblasts, activation of selective host cell signal transduction pathways, and
limited virus spread in tissues. Importantly, these replication-competent viruses
have been demonstrated to maintain a highly attenuated phenotype.
PMID- 22096478
TI - Conjunctival reconstruction with progenitor cell-derived autologous epidermal
sheets in rhesus monkey.
AB - Severe ocular surface diseases are some of the most challenging problems that the
clinician faces today. Conventional management is generally unsatisfactory, and
the long-term ocular consequences of these conditions are devastating. It is
significantly important to find a substitute for conjunctival epithelial cells.
This study was to explore the possibility of progenitor cell-derived epidermal
sheets on denuded amniotic membrane to reconstruct ocular surface of conjunctiva
damaged monkeys. We isolated epidermal progenitor cells of rhesus monkeys by type
IV collagen adhesion, and then expanded progenitor cell-derived epidermal sheets
on denuded amniotic membrane ex vivo. At 3 weeks after the conjunctiva injury,
the damaged ocular surface of four monkeys was surgically reconstructed by
transplanting the autologous cultivated epidermal progenitor cells. At 2 weeks
after surgery, transplants were removed and examined with Hematoxylin-eosin
staining, Periodic acid Schiff staining, immunofluorescent staining, scanning and
transmission electron microscopy. Histological examination of transplanted sheets
revealed that the cell sheets were healthy alive, adhered well to the denuded
amniotic membrane, and had several layers of epithelial cells. Electron
microscopy showed that the epithelial cells were very similar in appearance to
those of normal conjunctival epithelium, even without goblet cell detected.
Epithelial cells of transplants had numerous desmosomal junctions and were
attached to the amniotic membrane with hemidesmosomes. Immunohistochemistry
confirmed the presence of the conjunctival specific markers, mucin 4 and keratin
4, in the transplanted epidermal progenitor cells. In conclusion, our present
study successfully reconstructed conjunctiva with autologous transplantation of
progenitor cell-derived epidermal sheets on denuded AM in conjunctival damaged
monkeys, which is the first step toward assessing the use of autologous
transplantation of progenitor cells of nonocular surface origin. Epidermal
progenitor cells could be provided as a new substitute for conjunctival
epithelial cells to overcome the problems of autologous conjunctiva shortage.
PMID- 22096479
TI - Multiple sites in alphaB-crystallin modulate its interactions with desmin
filaments assembled in vitro.
AB - The beta3- and beta8-strands and C-terminal residues 155-165 of alphaB-crystallin
were identified by pin arrays as interaction sites for various client proteins
including the intermediate filament protein desmin. Here we present data using 5
well-characterised alphaB-crystallin protein constructs with substituted beta3-
and beta8-strands and with the C-terminal residues 155-165 deleted to demonstrate
the importance of these sequences to the interaction of alphaB-crystallin with
desmin filaments. We used electron microscopy of negatively stained samples to
visualize increased interactions followed by sedimentation assays to quantify our
observations. A low-speed sedimentation assay measured the ability of alphaB
crystallin to prevent the self-association of desmin filaments. A high-speed
sedimentation assay measured alphaB-crystallin cosedimentation with desmin
filaments. Swapping the beta8-strand of alphaB-crystallin or deleting residues
155-165 increased the cosedimentation of alphaB-crystallin with desmin filaments,
but this coincided with increased filament-filament interactions. In contrast,
substitution of the beta3-strand with the equivalent alphaA-crystallin sequences
improved the ability of alphaB-crystallin to prevent desmin filament-filament
interactions with no significant change in its cosedimentation properties. These
data suggest that all three sequences (beta3-strand, beta8-strand and C-terminal
residues 155-165) contribute to the interaction of alphaB-crystallin with desmin
filaments. The data also suggest that the cosedimentation of alphaB-crystallin
with desmin filaments does not necessarily correlate with preventing desmin
filament-filament interactions. This important observation is relevant not only
to the formation of the protein aggregates that contain both desmin and alphaB
crystallin and typify desmin related myopathies, but also to the interaction of
alphaB-crystallin with other filamentous protein polymers.
PMID- 22096480
TI - Toll-like receptors 2 and 4 regulate the frequency of IFNgamma-producing CD4+ T
cells during pulmonary infection with Chlamydia pneumoniae.
AB - TLR2 and TLR4 are crucial for recognition of Chlamydia pneumoniae in vivo, since
infected TLR2/4 double-deficient mice are unable to control the infection as
evidenced by severe loss of body weight and progressive lethal pneumonia.
Unexpectedly, these mice display higher pulmonary levels of the protective
cytokine IFNgamma than wild type mice. We show here, that antigen-specific CD4(+)
T-cells are responsible for the observed IFNgamma-secretion in vivo and their
frequency is higher in TLR2/4 double-deficient than in wild type mice. The
capacity of TLR2/4 double-deficient dendritic cells to re-stimulate CD4(+) T
cells did not differ from wild type dendritic cells. However, the frequency of
CD4(+)CD25(+)Foxp3(+) T-cells was considerably higher in wild type compared to
TLR2/4 double-deficient mice and was inversely related to the number of IFNgamma
secreting CD4(+) effector T-cells. Despite increased IFNgamma-levels, at least
one IFNgamma-mediated response, protective NO-secretion, could not be induced in
the absence of TLR2 and 4. In summary, CD4(+)CD25(+)Foxp3(+) regulatory T-cells
fail to expand in the absence of TLR2 and TLR4 during pulmonary infection with C.
pneumoniae, which in turn enhances the frequency of CD4(+)IFNgamma(+) effector T
cells. Failure of IFNgamma to induce NO in TLR2/4 double-deficient cells
represents one possible mechanism why TLR2/4 double-deficient mice are unable to
control pneumonia caused by C. pneumoniae and succumb to the infection.
PMID- 22096481
TI - Nogo-receptors NgR1 and NgR2 do not mediate regulation of CD4 T helper responses
and CNS repair in experimental autoimmune encephalomyelitis.
AB - Myelin-associated inhibition of axonal regrowth after injury is considered one
important factor that contributes to regeneration failure in the adult central
nervous system (CNS). Blocking strategies targeting this pathway have been
successfully applied in several nerve injury models, including experimental
autoimmune encephalomyelitis (EAE), suggesting myelin-associated inhibitors
(MAIs) and functionally related molecules as targets to enhance regeneration in
multiple sclerosis. NgR1 and NgR2 were identified as interaction partners for the
myelin proteins Nogo-A, MAG and OMgp and are probably mediating their growth
inhibitory effects on axons, although the in vivo relevance of this pathway is
currently under debate. Recently, alternative functions of MAIs and NgRs in the
regulation of immune cell migration and T cell differentiation have been
described. Whether and to what extent NgR1 and NgR2 are contributing to Nogo and
MAG-related inhibition of neuroregeneration or immunomodulation during EAE is
currently unknown. Here we show that genetic deletion of both receptors does not
promote functional recovery during EAE and that NgR1 and NgR2-mediated signals
play a minor role in the development of CNS inflammation. Induction of EAE in
Ngr1/2-double mutant mice resulted in indifferent disease course and tissue
damage when compared to WT controls. Further, the development of encephalitogenic
CD4(+) Th1 and Th17 responses was unchanged. However, we observed a slightly
increased leukocyte infiltration into the CNS in the absence of NgR1 and NgR2,
indicating that NgRs might be involved in the regulation of immune cell migration
in the CNS. Our study demonstrates the urgent need for a more detailed knowledge
on the multifunctional roles of ligands and receptors involved in CNS
regeneration failure.
PMID- 22096482
TI - An efficient vector system to modify cells genetically.
AB - The transfer of foreign genes into mammalian cells has been essential for
understanding the functions of genes and mechanisms of genetic diseases, for the
production of coding proteins and for gene therapy applications. Currently, the
identification and selection of cells that have received transferred genetic
material can be accomplished by methods, including drug selection, reporter
enzyme detection and GFP imaging. These methods may confer antibiotic resistance,
or be disruptive, or require special equipment. In this study, we labeled
genetically modified cells with a cell surface biotinylation tag by co
transfecting cells with BirA, a biotin ligase. The modified cells can be quickly
isolated for downstream applications using a simple streptavidin bead method.
This system can also be used to screen cells expressing two sets of genes from
separate vectors.
PMID- 22096483
TI - Versican G3 domain modulates breast cancer cell apoptosis: a mechanism for breast
cancer cell response to chemotherapy and EGFR therapy.
AB - Overexpression of EGFR and versican has been reported in association with breast
cancers. Considered oncogenic, these molecules may be attractive therapeutic
targets. Possessing anti-apoptotic and drug resistant properties, overexpression
of these molecules is accompanied by selective sensitization to the process of
apoptosis. In this study, we exogenously expressed a versican G3 construct in
breast cancer cell lines and analyzed the effects of G3 on cell viability in
fetal bovine serum free conditioned media and evaluated the effects of apoptotic
agent C2-ceramide, and chemotherapeutic agents including Docetaxel, Doxorubicin,
and Epirubicin. Versican G3 domain enhanced tumor cell resistance to apoptosis
when cultured in serum free medium, Doxorubicin, or Epirubicin by up-regulating
pERK and GSK-3beta (S9P). However, it could be prevented by selective EGFR
inhibitor AG 1478 and selective MEK inhibitor PD 98059. Both AG 1478 and PD 98059
enhanced expression of pSAPK/JNK, while selective JNK inhibitor SP 600125
enhanced expression of GSK-3beta (S9P). Versican G3 promoted cell apoptosis
induced by C2-ceramide or Docetaxel by enhancing expression of pSAPK/JNK and
decreasing expression of GSK-3beta (S9P), an observation blocked by AG 1478 or SP
6000125. Inhibition of endogenous versican expression by siRNA or reduction of
versican G3's expression by linking G3 with 3'UTR prevented G3 modulated cell
apoptosis. The dual roles of G3 in modulating breast cancer cell resistance to
chemotherapeutic agents may in part explain a potential mechanism for breast
cancer cell resistance to chemotherapy and EGFR therapy. The apoptotic effects of
chemotherapeutics depend upon the activation and balance of down stream signals
in the EGFR pathway. GSK-3beta (S9P) appears to function as a key checkpoint in
this balance of apoptosis and anti-apoptosis. Investigation and potential
consideration of targeting GSK-3beta (S9P) merits further study.
PMID- 22096484
TI - Transcriptome of small regulatory RNAs in the development of the zoonotic
parasite Trichinella spiralis.
AB - BACKGROUND: Trichinella spiralis is a parasite with unique features. It is a
multicellular organism but with an intracellular parasitization and development
stage. T. spiralis is the helminthic pathogen that causes zoonotic trichinellosis
and afflicts more than 10 million people worldwide, whereas the parasite's
biology, especially the developmental regulation is largely unknown. In other
organisms, small non-coding RNAs, such as microRNAs (miRNA) and small interfering
RNAs (siRNA) execute post-transcriptional regulation by translational repression
or mRNA degradation, and a large number of miRNAs have been identified in diverse
species. In T. spiralis, the profile of small non-coding RNAs and their function
remains poorly understood. METHODOLOGY AND PRINCIPAL FINDINGS: Here, the
transcriptional profiles of miRNA and siRNA in three developmental stages of T.
spiralis in the rat host were investigated, and compared by high-throughput cDNA
sequencing technique ("RNA-seq"). 5,443,641 unique sequence tags were obtained.
Of these, 21 represented conserved miRNAs related to 13 previously identified
metazoan miRNA families and 213 were novel miRNAs so far unique to T. spiralis.
Some of these miRNAs exhibited stage-specific expression. Expression of miRNAs
was confirmed in three stages of the life cycle by qRT-PCR and northern blot
analysis. In addition, endogenous siRNAs (endo-siRNAs) were found mainly derived
from natural antisense transcripts (NAT) and transposable elements (TE) in the
parasite. CONCLUSIONS AND SIGNIFICANCE: We provide evidence for the presence of
miRNAs and endo-siRNAs in T. spiralis. The miRNAs accounted for the major
proportion of the small regulatory RNA population of T. spiralis, while fewer
endogenous siRNAs were found. The finding of stage-specific expression patterns
of the miRNAs in different developmental stages of T. spiralis suggests that
miRNAs may play important roles in parasite development. Our data provide a basis
for further understanding of the molecular regulation and functional evolution of
miRNAs in parasitic nematodes.
PMID- 22096485
TI - Enhanced activity of meprin-alpha, a pro-migratory and pro-angiogenic protease,
in colorectal cancer.
AB - Meprin-alpha is a metalloprotease overexpressed in cancer cells, leading to the
accumulation of this protease in a subset of colorectal tumors. The impact of
increased meprin-alpha levels on tumor progression is not known. We investigated
the effect of this protease on cell migration and angiogenesis in vitro and
studied the expression of meprin-alpha mRNA, protein and proteolytic activity in
primary tumors at progressive stages and in liver metastases of patients with
colorectal cancer, as well as inhibitory activity towards meprin-alpha in sera of
cancer patient as compared to healthy controls. We found that the hepatocyte
growth factor (HGF)-induced migratory response of meprin-transfected epithelial
cells was increased compared to wild-type cells in the presence of plasminogen,
and that the angiogenic response in organ-cultured rat aortic explants was
enhanced in the presence of exogenous human meprin-alpha. In patients, meprin
alpha mRNA was expressed in colonic adenomas, primary tumors UICC (International
Union Against Cancer) stage I, II, III and IV, as well as in liver metastases. In
contrast, the corresponding protein accumulated only in primary tumors and liver
metastases, but not in adenomas. However, liver metastases lacked meprin-alpha
activity despite increased expression of the corresponding protein, which
correlated with inefficient zymogen activation. Sera from cancer patients
exhibited reduced meprin-alpha inhibition compared to healthy controls. In
conclusion, meprin-alpha activity is regulated differently in primary tumors and
metastases, leading to high proteolytic activity in primary tumors and low
activity in liver metastases. By virtue of its pro-migratory and pro-angiogenic
activity, meprin-alpha may promote tumor progression in colorectal cancer.
PMID- 22096486
TI - The automatic conservative: ideology-based attentional asymmetries in the
processing of valenced information.
AB - Research has widely explored the differences between conservatives and liberals,
and it has been also recently demonstrated that conservatives display different
reactions toward valenced stimuli. However, previous studies have not yet fully
illuminated the cognitive underpinnings of these differences. In the current
work, we argued that political ideology is related to selective attention
processes, so that negative stimuli are more likely to automatically grab the
attention of conservatives as compared to liberals. In Experiment 1, we
demonstrated that negative (vs. positive) information impaired the performance of
conservatives, more than liberals, in an Emotional Stroop Task. This finding was
confirmed in Experiment 2 and in Experiment 3 employing a Dot-Probe Task,
demonstrating that threatening stimuli were more likely to attract the attention
of conservatives. Overall, results support the conclusion that people embracing
conservative views of the world display an automatic selective attention for
negative stimuli.
PMID- 22096487
TI - A vicious cycle: a cross-sectional study of canine tail-chasing and human
responses to it, using a free video-sharing website.
AB - Tail-chasing is widely celebrated as normal canine behaviour in cultural
references. However, all previous scientific studies of tail-chasing or
'spinning' have comprised small clinical populations of dogs with neurological,
compulsive or other pathological conditions; most were ultimately euthanased.
Thus, there is great disparity between scientific and public information on tail
chasing. I gathered data on the first large (n = 400), non-clinical tail-chasing
population, made possible through a vast, free, online video repository,
YouTubeTM. The demographics of this online population are described and
discussed. Approximately one third of tail-chasing dogs showed clinical signs,
including habitual (daily or 'all the time') or perseverative (difficult to
distract) performance of the behaviour. These signs were observed across diverse
breeds. Clinical signs appeared virtually unrecognised by the video owners and
commenting viewers; laughter was recorded in 55% of videos, encouragement in 43%,
and the commonest viewer descriptors were that the behaviour was 'funny' (46%) or
'cute' (42%). Habitual tail-chasers had 6.5+/-2.3 times the odds of being
described as 'Stupid' than other dogs, and perseverative dogs were 6.8+/-2.1
times more frequently described as 'Funny' than distractible ones were. Compared
with breed- and age-matched control videos, tail-chasing videos were
significantly more often indoors and with a computer/television screen switched
on. These findings highlight that tail-chasing is sometimes pathological, but can
remain untreated, or even be encouraged, because of an assumption that it is
'normal' dog behaviour. The enormous viewing figures that YouTubeTM attracts
(mean+/-s.e. = 863+/-197 viewings per tail-chasing video) suggest that this
perception will be further reinforced, without effective intervention.
PMID- 22096488
TI - The age-related risk of co-existing meningitis in children with urinary tract
infection.
AB - OBJECTIVE: The primary aim of this study was to determine age-stratified rates of
co-existing bacterial meningitis in children with urinary tract infection (UTI).
The secondary aims of this study were to determine the causative pathogens of
UTI, and the clinical features and outcome of children with co-existing
meningitis. METHODS: Analysis of data collected over a nine-year period at a
tertiary pediatric hospital in Australia. STUDY POPULATION: children below 16
years of age with culture-confirmed UTI and a paired CSF sample. RESULTS: A total
of 748 episodes in 735 cases were included in the final analysis. The commonest
pathogens causing UTI were Escherichia coli (67.4%), Enterococcus faecalis
(8.4%), Klebsiella oxytoca (3.5%) and Klebsiella pneumoniae (3.5%). Only two
(1.2%; 95% CI: 0.15-4.36%) of 163 neonates (between 0 and 28 days of age) with
UTI had co-existing meningitis. Both presented with pyrexia, irritability and
lethargy, and recovered uneventfully with antibiotic treatment. There were no
cases of co-existing meningitis among 499 infants (between 29 days and 12 months
of age) with UTI (95% CI: 0.00-0.74%), or any of the 86 children aged 12 months
or over (95% CI: 0.00-4.20%). CONCLUSIONS: These findings indicate that
clinicians should have a low threshold to perform a lumbar puncture in neonates
with UTI, as the risk of co-existing meningitis is not insignificant in this age
group. In contrast, beyond the neonatal period, the risk is small and a more
selective approach is warranted.
PMID- 22096489
TI - Comparative effects of fructose and glucose on lipogenic gene expression and
intermediary metabolism in HepG2 liver cells.
AB - Consumption of large amounts of fructose or sucrose increases lipogenesis and
circulating triglycerides in humans. Although the underlying molecular mechanisms
responsible for this effect are not completely understood, it is possible that as
reported for rodents, high fructose exposure increases expression of the
lipogenic enzymes fatty acid synthase (FAS) and acetyl-CoA carboxylase (ACC-1) in
human liver. Since activation of the hexosamine biosynthesis pathway (HBP) is
associated with increases in the expression of FAS and ACC-1, it raises the
possibility that HBP-related metabolites would contribute to any increase in
hepatic expression of these enzymes following fructose exposure. Thus, we
compared lipogenic gene expression in human-derived HepG2 cells after incubation
in culture medium containing glucose alone or glucose plus 5 mM fructose, using
the HBP precursor 10 mM glucosamine (GlcN) as a positive control. Cellular
metabolite profiling was conducted to analyze differences between glucose and
fructose metabolism. Despite evidence for the active uptake and metabolism of
fructose by HepG2 cells, expression of FAS or ACC-1 did not increase in these
cells compared with those incubated with glucose alone. Levels of UDP-N
acetylglucosamine (UDP-GlcNAc), the end-product of the HBP, did not differ
significantly between the glucose and fructose conditions. Exposure to 10 mM GlcN
for 10 minutes to 24 hours resulted in 8-fold elevated levels of intracellular
UDP-GlcNAc (P<0.001), as well as a 74-126% increase in FAS (P<0.05) and 49-95%
increase in ACC-1 (P<0.01) expression above controls. It is concluded that in
HepG2 liver cells cultured under standard conditions, sustained exposure to
fructose does not result in an activation of the HBP or increased lipogenic gene
expression. Should this scenario manifest in human liver in vivo, it would
suggest that high fructose consumption promotes triglyceride synthesis primarily
through its action to provide lipid precursor carbon and not by activating
lipogenic gene expression.
PMID- 22096490
TI - NASA-approved rotary bioreactor enhances proliferation of human epidermal stem
cells and supports formation of 3D epidermis-like structure.
AB - The skin is susceptible to different injuries and diseases. One major obstacle in
skin tissue engineering is how to develop functional three-dimensional (3D)
substitute for damaged skin. Previous studies have proved a 3D dynamic simulated
microgravity (SMG) culture system as a "stimulatory" environment for the
proliferation and differentiation of stem cells. Here, we employed the NASA
approved rotary bioreactor to investigate the proliferation and differentiation
of human epidermal stem cells (hEpSCs). hEpSCs were isolated from children
foreskins and enriched by collecting epidermal stem cell colonies. Cytodex-3
micro-carriers and hEpSCs were co-cultured in the rotary bioreactor and 6-well
dish for 15 days. The result showed that hEpSCs cultured in rotary bioreactor
exhibited enhanced proliferation and viability surpassing those cultured in
static conditions. Additionally, immunostaining analysis confirmed higher
percentage of ki67 positive cells in rotary bioreactor compared with the static
culture. In contrast, comparing with static culture, cells in the rotary
bioreactor displayed a low expression of involucrin at day 10. Histological
analysis revealed that cells cultured in rotary bioreactor aggregated on the
micro-carriers and formed multilayer 3D epidermis structures. In conclusion, our
research suggests that NASA-approved rotary bioreactor can support the
proliferation of hEpSCs and provide a strategy to form multilayer epidermis
structure.
PMID- 22096491
TI - Facial attractiveness ratings from video-clips and static images tell the same
story.
AB - Most of what we know about what makes a face attractive and why we have the
preferences we do is based on attractiveness ratings of static images of faces,
usually photographs. However, several reports that such ratings fail to correlate
significantly with ratings made to dynamic video clips, which provide richer
samples of appearance, challenge the validity of this literature. Here, we tested
the validity of attractiveness ratings made to static images, using a substantial
sample of male faces. We found that these ratings agreed very strongly with
ratings made to videos of these men, despite the presence of much more
information in the videos (multiple views, neutral and smiling expressions and
speech-related movements). Not surprisingly, given this high agreement, the
components of video-attractiveness were also very similar to those reported
previously for static-attractiveness. Specifically, averageness, symmetry and
masculinity were all significant components of attractiveness rated from videos.
Finally, regression analyses yielded very similar effects of attractiveness on
success in obtaining sexual partners, whether attractiveness was rated from
videos or static images. These results validate the widespread use of
attractiveness ratings made to static images in evolutionary and social
psychological research. We speculate that this validity may stem from our
tendency to make rapid and robust judgements of attractiveness.
PMID- 22096492
TI - Maternal synchronization of gestational length and lung maturation.
AB - Among all mammals, fetal growth and organ maturation must be precisely
synchronized with gestational length to optimize survival at birth. Lack of
pulmonary maturation is the major cause of infant mortality in preterm birth.
Whether fetal or maternal genotypes influence the close relationship between the
length of gestation and lung function at birth is unknown. Structural and
biochemical indicators of pulmonary maturity were measured in two mouse strains
whose gestational length differed by one day. Shorter gestation in C57BL/6J mice
was associated with advanced morphological and biochemical pulmonary development
and better perinatal survival when compared to A/J pups born prematurely. After
ovarian transplantation, A/J pups were born early in C57BL/6J dams and survived
after birth, consistent with maternal control gestational length. Expression of
genes critical for perinatal lung function was assessed in A/J pups born after
ovarian transfer. A subset of mRNAs important for perinatal respiratory
adaptation was selectively induced in the A/J pups born after ovarian transfer.
mRNAs precociously induced after ovarian transfer indicated an important role for
the transcription factors C/EBPalpha and CREB in maternally induced lung
maturation. We conclude that fetal lung maturation is determined by both fetal
and maternal genotypes. Ovarian transfer experiments demonstrated that maternal
genotype determines the timing of birth and can influence fetal lung growth and
maturation to ensure perinatal survival.
PMID- 22096493
TI - Nuclease modulates biofilm formation in community-associated methicillin
resistant Staphylococcus aureus.
AB - Community-associated methicillin-resistant Staphylococcus aureus (CA-MRSA) is an
emerging contributor to biofilm-related infections. We recently reported that
strains lacking sigma factor B (sigB) in the USA300 lineage of CA-MRSA are unable
to develop a biofilm. Interestingly, when spent media from a USA300 sigB mutant
was incubated with other S. aureus strains, biofilm formation was inhibited.
Following fractionation and mass spectrometry analysis, the major anti-biofilm
factor identified in the spent media was secreted thermonuclease (Nuc).
Considering reports that extracellular DNA (eDNA) is an important component of
the biofilm matrix, we investigated the regulation and role of Nuc in USA300. The
expression of the nuc gene was increased in a sigB mutant, repressed by glucose
supplementation, and was unaffected by the agr quorum-sensing system. A FRET
assay for Nuc activity was developed and confirmed the regulatory results. A
USA300 nuc mutant was constructed and displayed an enhanced biofilm-forming
capacity, and the nuc mutant also accumulated more high molecular weight eDNA
than the WT and regulatory mutant strains. Inactivation of nuc in the USA300 sigB
mutant background partially repaired the sigB biofilm-negative phenotype,
suggesting that nuc expression contributes to the inability of the mutant to form
biofilm. To test the generality of the nuc mutant biofilm phenotypes, the
mutation was introduced into other S. aureus genetic backgrounds and similar
increases in biofilm formation were observed. Finally, using multiple S. aureus
strains and regulatory mutants, an inverse correlation between Nuc activity and
biofilm formation was demonstrated. Altogether, our findings confirm the
important role for eDNA in the S. aureus biofilm matrix and indicates Nuc is a
regulator of biofilm formation.
PMID- 22096494
TI - A novel, functional and replicable risk gene region for alcohol dependence
identified by genome-wide association study.
AB - Several genome-wide association studies (GWASs) reported tens of risk genes for
alcohol dependence, but most of them have not been replicated or confirmed by
functional studies. The present study used a GWAS to search for novel, functional
and replicable risk gene regions for alcohol dependence. Associations of all top
ranked SNPs identified in a discovery sample of 681 African-American (AA) cases
with alcohol dependence and 508 AA controls were retested in a primary
replication sample of 1,409 European-American (EA) cases and 1,518 EA controls.
The replicable associations were then subjected to secondary replication in a
sample of 6,438 Australian family subjects. A functional expression quantitative
trait locus (eQTL) analysis of these replicable risk SNPs was followed-up in
order to explore their cis-acting regulatory effects on gene expression. We found
that within a 90 Mb region around PHF3-PTP4A1 locus in AAs, a linkage
disequilibrium (LD) block in PHF3-PTP4A1 formed the only peak associated with
alcohol dependence at p<10(-4). Within this block, 30 SNPs associated with
alcohol dependence in AAs (1.6*10(-5)<=p<=0.050) were replicated in EAs (1.3*10(
3)<=p<=0.038), and 18 of them were also replicated in Australians (1.8*10(
3)<=p<=0.048). Most of these risk SNPs had strong cis-acting regulatory effects
on PHF3-PTP4A1 mRNA expression across three HapMap samples. The distributions of
log(p) values for association and functional signals throughout this LD block
were highly consistent across AAs, EAs, Australians and three HapMap samples. We
conclude that the PHF3-PTP4A1 region appears to harbor a causal locus for alcohol
dependence, and proteins encoded by PHF3 and/or PTP4A1 might play a functional
role in the disorder.
PMID- 22096495
TI - Competing conservation objectives for predators and prey: estimating killer whale
prey requirements for Chinook salmon.
AB - Ecosystem-based management (EBM) of marine resources attempts to conserve
interacting species. In contrast to single-species fisheries management, EBM aims
to identify and resolve conflicting objectives for different species. Such a
conflict may be emerging in the northeastern Pacific for southern resident killer
whales (Orcinus orca) and their primary prey, Chinook salmon (Oncorhynchus
tshawytscha). Both species have at-risk conservation status and transboundary
(Canada-US) ranges. We modeled individual killer whale prey requirements from
feeding and growth records of captive killer whales and morphometric data from
historic live-capture fishery and whaling records worldwide. The models, combined
with caloric value of salmon, and demographic and diet data for wild killer
whales, allow us to predict salmon quantities needed to maintain and recover this
killer whale population, which numbered 87 individuals in 2009. Our analyses
provide new information on cost of lactation and new parameter estimates for
other killer whale populations globally. Prey requirements of southern resident
killer whales are difficult to reconcile with fisheries and conservation
objectives for Chinook salmon, because the number of fish required is large
relative to annual returns and fishery catches. For instance, a U.S. recovery
goal (2.3% annual population growth of killer whales over 28 years) implies a 75%
increase in energetic requirements. Reducing salmon fisheries may serve as a
temporary mitigation measure to allow time for management actions to improve
salmon productivity to take effect. As ecosystem-based fishery management becomes
more prevalent, trade-offs between conservation objectives for predators and prey
will become increasingly necessary. Our approach offers scenarios to compare
relative influence of various sources of uncertainty on the resulting consumption
estimates to prioritise future research efforts, and a general approach for
assessing the extent of conflict between conservation objectives for threatened
or protected wildlife where the interaction between affected species can be
quantified.
PMID- 22096496
TI - Effect of transmission reduction by insecticide-treated bednets (ITNs) on
antimalarial drug resistance in western Kenya.
AB - Despite the clear public health benefit of insecticide-treated bednets (ITNs),
the impact of malaria transmission-reduction by vector control on the spread of
drug resistance is not well understood. In the present study, the effect of
sustained transmission reduction by ITNs on the prevalence of Plasmodium
falciparum gene mutations associated with resistance to the antimalarial drugs
sulfadoxine-pyrimethamine (SP) and chloroquine (CQ) in children under the age of
five years was investigated during an ITN trial in Asembo area, western Kenya.
During the ITN trial, the national first line antimalarial treatment changed from
CQ to SP. Smear-positive samples collected from cross sectional surveys prior to
ITN introduction (baseline, n = 250) and five years post-ITN intervention (year 5
survey, n = 242) were genotyped for single nucleotide polymorphisms (SNPs) at
dhfr-51, 59, 108, 164 and dhps-437, 540 (SP resistance), and pfcrt-76 and pfmdr1
86 (CQ resistance). The association between the drug resistance mutations and
epidemiological variables was evaluated. There were significant increases in the
prevalence of SP dhps mutations and the dhfr/dhps quintuple mutant, and a
significant reduction in the proportion of mixed infections detected at dhfr-51,
59 and dhps-437, 540 SNPs from baseline to the year 5 survey. There was no change
in the high prevalence of pfcrt-76 and pfmdr1-86 mutations. Multivariable
regression analysis further showed that current antifolate use and year of survey
were significantly associated with more SP drug resistance mutations. These
results suggest that increased antifolate drug use due to drug policy change
likely led to the high prevalence of SP mutations 5 years post-ITN intervention
and reduced transmission had no apparent effect on the existing high prevalence
of CQ mutations. There is no evidence from the current study that sustained
transmission reduction by ITNs reduces the prevalence of genes associated with
malaria drug resistance.
PMID- 22096497
TI - Modulation of metabolism and switching to biofilm prevail over exopolysaccharide
production in the response of Rhizobium alamii to cadmium.
AB - Heavy metals such as cadmium (Cd(2+)) affect microbial metabolic processes.
Consequently, bacteria adapt by adjusting their cellular machinery. We have
investigated the dose-dependent growth effects of Cd(2+) on Rhizobium alamii, an
exopolysaccharide (EPS)-producing bacterium that forms a biofilm on plant roots.
Adsorption isotherms show that the EPS of R. alamii binds cadmium in competition
with calcium. A metabonomics approach based on ion cyclotron resonance Fourier
transform mass spectrometry has showed that cadmium alters mainly the bacterial
metabolism in pathways implying sugars, purine, phosphate, calcium signalling and
cell respiration. We determined the influence of EPS on the bacterium response to
cadmium, using a mutant of R. alamii impaired in EPS production (MSDeltaGT).
Cadmium dose-dependent effects on the bacterial growth were not significantly
different between the R. alamii wild type (wt) and MSDeltaGT strains. Although
cadmium did not modify the quantity of EPS isolated from R. alamii, it triggered
the formation of biofilm vs planktonic cells, both by R. alamii wt and by
MSDeltaGT. Thus, it appears that cadmium toxicity could be managed by switching
to a biofilm way of life, rather than producing EPS. We conclude that modulations
of the bacterial metabolism and switching to biofilms prevails in the adaptation
of R. alamii to cadmium. These results are original with regard to the
conventional role attributed to EPS in a biofilm matrix, and the bacterial
response to cadmium.
PMID- 22096498
TI - A thermostable beta-glucuronidase obtained by directed evolution as a reporter
gene in transgenic plants.
AB - A beta-glucuronidase variant, GUS-TR3337, that was obtained by directed evolution
exhibited higher thermostability than the wild-type enzyme, GUS-WT. In this
study, the utility of GUS-TR337 as an improved reporter was evaluated. The
corresponding gus-tr3337 and gus-wt genes were independently cloned in a plant
expression vector and introduced into Arabidopsis thaliana. With 4-MUG as a
substrate, plants containing the gus-wt gene showed no detectable beta
glucuronidase activity after exposure to 60 degrees C for 10 min, while those
hosting the gus-tr3337 gene retained 70% or 50% activity after exposure to 80
degrees C for 10 min or 30 min, respectively. Similarly, in vivo beta
glucuronidase activity could be demonstrated by using X-GLUC as a substrate in
transgenic Arabidopsis plants hosting the gus-tr3337 gene that were exposed to 80
degrees C for up to 30 min. Thus, the thermostability of GUS-TR3337 can be
exploited to distinguish between endogenous and transgenic beta-glucuronidase
activity, which is a welcome improvement in its use as a reporter.
PMID- 22096499
TI - Honey bee dopamine and octopamine receptors linked to intracellular calcium
signaling have a close phylogenetic and pharmacological relationship.
AB - BACKGROUND: Three dopamine receptor genes have been identified that are highly
conserved among arthropod species. One of these genes, referred to in honey bees
as Amdop2, shows a close phylogenetic relationship to the a-adrenergic-like
octopamine receptor family. In this study we examined in parallel the functional
and pharmacological properties of AmDOP2 and the honey bee octopamine receptor,
AmOA1. For comparison, pharmacological properties of the honey bee dopamine
receptors AmDOP1 and AmDOP3, and the tyramine receptor AmTYR1, were also
examined. METHODOLOGY/PRINCIPAL FINDINGS: Using HEK293 cells heterologously
expressing honey bee biogenic amine receptors, we found that activation of AmDOP2
receptors, like AmOA1 receptors, initiates a rapid increase in intracellular
calcium levels. We found no evidence of calcium signaling via AmDOP1, AmDOP3 or
AmTYR1 receptors. AmDOP2- and AmOA1-mediated increases in intracellular calcium
were inhibited by 10 uM edelfosine indicating a requirement for phospholipase C
beta activity in this signaling pathway. Edelfosine treatment had no effect on
AmDOP2- or AmOA1-mediated increases in intracellular cAMP. The synthetic
compounds mianserin and epinastine, like cis-(Z)-flupentixol and spiperone, were
found to have significant antagonist activity on AmDOP2 receptors. All 4
compounds were effective antagonists also on AmOA1 receptors. Analysis of
putative ligand binding sites offers a possible explanation for why epinastine
acts as an antagonist at AmDOP2 receptors, but fails to block responses mediated
via AmDOP1. CONCLUSIONS/SIGNIFICANCE: Our results indicate that AmDOP2, like
AmOA1, is coupled not only to cAMP, but also to calcium-signalling and moreover,
that the two signalling pathways are independent upstream of phospholipase C-beta
activity. The striking similarity between the pharmacological properties of these
2 receptors suggests an underlying conservation of structural properties related
to receptor function. Taken together, these results strongly support phylogenetic
analyses indicating that the AmDOP2 and AmOA1 receptor genes are immediate
paralogs.
PMID- 22096500
TI - Optimization of fermentation conditions and rheological properties of
exopolysaccharide produced by deep-sea bacterium Zunongwangia profunda SM-A87.
AB - Zunongwangia profunda SM-A87 isolated from deep-sea sediment can secrete large
quantity of exopolysaccharide (EPS). Response surface methodology was applied to
optimize the culture conditions for EPS production. Single-factor experiment
showed that lactose was the best carbon source. Based on the Plackett-Burman
design, lactose, peptone and temperature were selected as significant variables,
which were further optimized by the steepest ascent (descent) method and central
composite design. The optimal culture conditions for EPS production and broth
viscosity were determined as 32.21 g/L lactose, 8.87 g/L peptone and an
incubation temperature of 9.8 degrees C. Under these conditions, the maximum EPS
yield and broth viscosity were 8.90 g/L and 6551 mPa*s, respectively, which is
the first report of such high yield of EPS from a marine bacterium. The aqueous
solution of the EPS displayed high viscosity, interesting shearing thinning
property and great tolerance to high temperature, a wide range of pH, and high
salinity.
PMID- 22096501
TI - Plant DNA barcodes can accurately estimate species richness in poorly known
floras.
AB - BACKGROUND: Widespread uptake of DNA barcoding technology for vascular plants has
been slow due to the relatively poor resolution of species discrimination (~70%)
and low sequencing and amplification success of one of the two official barcoding
loci, matK. Studies to date have mostly focused on finding a solution to these
intrinsic limitations of the markers, rather than posing questions that can
maximize the utility of DNA barcodes for plants with the current technology.
METHODOLOGY/PRINCIPAL FINDINGS: Here we test the ability of plant DNA barcodes
using the two official barcoding loci, rbcLa and matK, plus an alternative
barcoding locus, trnH-psbA, to estimate the species diversity of trees in a
tropical rainforest plot. Species discrimination accuracy was similar to findings
from previous studies but species richness estimation accuracy proved higher, up
to 89%. All combinations which included the trnH-psbA locus performed better at
both species discrimination and richness estimation than matK, which showed
little enhanced species discriminatory power when concatenated with rbcLa. The
utility of the trnH-psbA locus is limited however, by the occurrence of
intraspecific variation observed in some angiosperm families to occur as an
inversion that obscures the monophyly of species. CONCLUSIONS/SIGNIFICANCE: We
demonstrate for the first time, using a case study, the potential of plant DNA
barcodes for the rapid estimation of species richness in taxonomically poorly
known areas or cryptic populations revealing a powerful new tool for rapid
biodiversity assessment. The combination of the rbcLa and trnH-psbA loci
performed better for this purpose than any two-locus combination that included
matK. We show that although DNA barcodes fail to discriminate all species of
plants, new perspectives and methods on biodiversity value and quantification may
overshadow some of these shortcomings by applying barcode data in new ways.
PMID- 22096502
TI - KIAA0101 is overexpressed, and promotes growth and invasion in adrenal cancer.
AB - BACKGROUND: KIAA0101 is a proliferating cell nuclear antigen-associated factor
that is overexpressed in some human malignancies. Adrenocortical neoplasm is one
of the most common human neoplasms for which the molecular causes are poorly
understood. Moreover, it is difficult to distinguish between localized benign and
malignant adrenocortical tumors. For these reasons, we studied the expression,
function and possible mechanism of dysregulation of KIAA0101 in human
adrenocortical neoplasm. METHODOLOGY/PRINCIPAL FINDINGS: KIAA0101 mRNA and
protein expression levels were determined in 112 adrenocortical tissue samples
(21 normal adrenal cortex, 80 benign adrenocortical tumors, and 11 adrenocortical
carcinoma (ACC). SiRNA knockdown was used to determine the functional role of
KIAA0101 on cell proliferation, cell cycle, apoptosis, soft agar anchorage
independent growth and invasion in the ACC cell line, NCI-H295R. In addition, we
explored the mechanism of KIAA0101 dysregulation by examining the mutational
status. KIAA0101 mRNA (9.7 fold) and protein expression were significantly higher
in ACC (p<0.0001). KIAA0101 had sparse protein expression in only a few normal
adrenal cortex samples, which was confined to adrenocortical progenitor cells.
KIAA0101 expression levels were 84% accurate for distinguishing between ACC and
normal and benign adrenocortical tumor samples. Knockdown of KIAA0101 gene
expression significantly decreased anchorage independent growth by 80% and
invasion by 60% (p = 0.001; p = 0.006). We found no mutations in KIAA0101 in ACC.
CONCLUSIONS/SIGNIFICANCE: KIAA0101 is overexpressed in ACC. Our data supports
that KIAA0101 is a marker of cellular proliferation, promotes growth and
invasion, and is a good diagnostic marker for distinguishing benign from
malignant adrenocortical neoplasm.
PMID- 22096503
TI - Role of SPI-1 secreted effectors in acute bovine response to Salmonella enterica
Serovar Typhimurium: a systems biology analysis approach.
AB - Salmonella enterica Serovar Typhimurium (S. Typhimurium) causes enterocolitis
with diarrhea and polymorphonuclear cell (PMN) influx into the intestinal mucosa
in humans and calves. The Salmonella Type III Secretion System (T3SS) encoded at
Pathogenicity Island I translocates Salmonella effector proteins SipA, SopA,
SopB, SopD, and SopE2 into epithelial cells and is required for induction of
diarrhea. These effector proteins act together to induce intestinal fluid
secretion and transcription of C-X-C chemokines, recruiting PMNs to the infection
site. While individual molecular interactions of the effectors with cultured host
cells have been characterized, their combined role in intestinal fluid secretion
and inflammation is less understood. We hypothesized that comparison of the
bovine intestinal mucosal response to wild type Salmonella and a SipA, SopABDE2
effector mutant relative to uninfected bovine ileum would reveal heretofore
unidentified diarrhea-associated host cellular pathways. To determine the
coordinated effects of these virulence factors, a bovine ligated ileal loop model
was used to measure responses to wild type S. Typhimurium (WT) and a DeltasipA,
sopABDE2 mutant (MUT) across 12 hours of infection using a bovine microarray.
Data were analyzed using standard microarray analysis and a dynamic bayesian
network modeling approach (DBN). Both analytical methods confirmed increased
expression of immune response genes to Salmonella infection and novel gene
expression. Gene expression changes mapped to 219 molecular interaction pathways
and 1620 gene ontology groups. Bayesian network modeling identified effects of
infection on several interrelated signaling pathways including MAPK,
Phosphatidylinositol, mTOR, Calcium, Toll-like Receptor, CCR3, Wnt, TGF-beta, and
Regulation of Actin Cytoskeleton and Apoptosis that were used to model of host
pathogen interactions. Comparison of WT and MUT demonstrated significantly
different patterns of host response at early time points of infection (15
minutes, 30 minutes and one hour) within phosphatidylinositol, CCR3, Wnt, and TGF
beta signaling pathways and the regulation of actin cytoskeleton pathway.
PMID- 22096504
TI - A genome-wide survey on basic helix-loop-helix transcription factors in giant
panda.
AB - The giant panda (Ailuropoda melanoleuca) is a critically endangered mammalian
species. Studies on functions of regulatory proteins involved in developmental
processes would facilitate understanding of specific behavior in giant panda. The
basic helix-loop-helix (bHLH) proteins play essential roles in a wide range of
developmental processes in higher organisms. bHLH family members have been
identified in over 20 organisms, including fruit fly, zebrafish, mouse and human.
Our present study identified 107 bHLH family members being encoded in giant panda
genome. Phylogenetic analyses revealed that they belong to 44 bHLH families with
46, 25, 15, 4, 11 and 3 members in group A, B, C, D, E and F, respectively, while
the remaining 3 members were assigned into "orphan". Compared to mouse, the giant
panda does not encode seven bHLH proteins namely Beta3a, Mesp2, Sclerax, S-Myc,
Hes5 (or Hes6), EBF4 and Orphan 1. These results provide useful background
information for future studies on structure and function of bHLH proteins in the
regulation of giant panda development.
PMID- 22096505
TI - A novel Rho-like protein TbRHP is involved in spindle formation and mitosis in
trypanosomes.
AB - BACKGROUND: In animals and fungi Rho subfamily small GTPases are involved in
signal transduction, cytoskeletal function and cellular proliferation. These
organisms typically possess multiple Rho paralogues and numerous downstream
effectors, consistent with the highly complex contributions of Rho proteins to
cellular physiology. By contrast, trypanosomatids have a much simpler Rho
signaling system, and the Trypanosoma brucei genome contains only a single
divergent Rho-related gene, TbRHP (Tb927.10.6240). Further, only a single RhoGAP
like protein (Tb09.160.4180) is annotated, contrasting with the >70 Rho GAP
proteins from Homo sapiens. We wished to establish the function(s) of TbRHP and
if Tb09.160.4180 is a potential GAP for this protein. METHODS/FINDINGS: TbRHP
represents an evolutionarily restricted member of the Rho GTPase clade and is
likely trypanosomatid restricted. TbRHP is expressed in both mammalian and insect
dwelling stages of T. brucei and presents with a diffuse cytoplasmic location and
is excluded from the nucleus. RNAi ablation of TbRHP results in major cell cycle
defects and accumulation of multi-nucleated cells, coinciding with a loss of
detectable mitotic spindles. Using yeast two hybrid analysis we find that TbRHP
interacts with both Tb11.01.3180 (TbRACK), a homolog of Rho-kinase, and the sole
trypanosome RhoGAP protein Tb09.160.4180, which is related to human OCRL.
CONCLUSIONS: Despite minimization of the Rho pathway, TbRHP retains an important
role in spindle formation, and hence mitosis, in trypanosomes. TbRHP is a partner
for TbRACK and an OCRL-related trypanosome Rho-GAP.
PMID- 22096506
TI - Cooperation between referees and authors increases peer review accuracy.
AB - Peer review is fundamentally a cooperative process between scientists in a
community who agree to review each other's work in an unbiased fashion. Peer
review is the foundation for decisions concerning publication in journals,
awarding of grants, and academic promotion. Here we perform a laboratory study of
open and closed peer review based on an online game. We show that when reviewer
behavior was made public under open review, reviewers were rewarded for
refereeing and formed significantly more cooperative interactions (13% increase
in cooperation, P = 0.018). We also show that referees and authors who
participated in cooperative interactions had an 11% higher reviewing accuracy
rate (P = 0.016). Our results suggest that increasing cooperation in the peer
review process can lead to a decreased risk of reviewing errors.
PMID- 22096507
TI - Plasmodium falciparum parasites are killed by a transition state analogue of
purine nucleoside phosphorylase in a primate animal model.
AB - Plasmodium falciparum causes most of the one million annual deaths from malaria.
Drug resistance is widespread and novel agents against new targets are needed to
support combination-therapy approaches promoted by the World Health Organization.
Plasmodium species are purine auxotrophs. Blocking purine nucleoside
phosphorylase (PNP) kills cultured parasites by purine starvation. DADMe
Immucillin-G (BCX4945) is a transition state analogue of human and Plasmodium
PNPs, binding with picomolar affinity. Here, we test BCX4945 in Aotus primates,
an animal model for Plasmodium falciparum infections. Oral administration of
BCX4945 for seven days results in parasite clearance and recrudescence in
otherwise lethal infections of P. falciparum in Aotus monkeys. The molecular
action of BCX4945 is demonstrated in crystal structures of human and P.
falciparum PNPs. Metabolite analysis demonstrates that PNP blockade inhibits
purine salvage and polyamine synthesis in the parasites. The efficacy, oral
availability, chemical stability, unique mechanism of action and low toxicity of
BCX4945 demonstrate potential for combination therapies with this novel
antimalarial agent.
PMID- 22096508
TI - Variation in effects of non-Hodgkin lymphoma risk factors according to the human
leukocyte antigen (HLA)-DRB1*01:01 allele and ancestral haplotype 8.1.
AB - Genetic variations in human leukocyte antigens (HLA) are critical in host
responses to infections, transplantation, and immunological diseases. We
previously identified associations with non-Hodgkin lymphoma (NHL) and the HLA
DRB1*01:01 allele and extended ancestral haplotype (AH) 8.1 (HLA-A*01-B*08-DR*03
TNF-308A). To illuminate how HLA alleles and haplotypes may influence NHL
etiology, we examined potential interactions between HLA-DRB1*01:01 and AH 8.1,
and a wide range of NHL risk factors among 685 NHL cases and 646 controls from a
United States population-based case-control study. We calculated odds ratios and
95% confidence intervals by HLA allele or haplotype status, adjusted for sex,
age, race and study center for NHL and two major subtypes using polychotomous
unconditional logistic regression models. The previously reported elevation in
NHL risk associated with exposures to termite treatment and polychlorinated
biphenyls were restricted to individuals who did not possess HLA-DRB1*01:01.
Previous associations for NHL and DLBCL with decreased sun exposure, higher BMI,
and autoimmune conditions were statistically significant only among those with AH
8.1, and null among those without AH 8.1. Our results suggest that NHL risk
factors vary in their association based on HLA-DRB1*01:01 and AH 8.1 status. Our
results further suggest that certain NHL risk factors may act through a common
mechanism to alter NHL risk. Finally, control participants with either HLA
DRB1*01:01 or AH 8.1 reported having a family history of NHL twice as likely as
those who did not have either allele or haplotype, providing the first empirical
evidence that HLA associations may explain some of the well-established
relationship between family history and NHL risk.
PMID- 22096509
TI - Immune response and mitochondrial metabolism are commonly deregulated in DMD and
aging skeletal muscle.
AB - Duchenne Muscular Dystrophy (DMD) is a complex process involving multiple
pathways downstream of the primary genetic insult leading to fatal muscle
degeneration. Aging muscle is a multifactorial neuromuscular process
characterized by impaired muscle regeneration leading to progressive atrophy. We
hypothesized that these chronic atrophying situations may share specific myogenic
adaptative responses at transcriptional level according to tissue remodeling.
Muscle biopsies from four young DMD and four AGED subjects were referred to a
group of seven muscle biopsies from young subjects without any neuromuscular
disorder and explored through a dedicated expression microarray. We identified
528 differentially expressed genes (out of 2,745 analyzed), of which 328 could be
validated by an exhaustive meta-analysis of public microarray datasets referring
to DMD and Aging in skeletal muscle. Among the 328 validated co-expressed genes,
50% had the same expression profile in both groups and corresponded to
immune/fibrosis responses and mitochondrial metabolism. Generalizing these
observed meta-signatures with large compendia of public datasets reinforced our
results as they could be also identified in other pathological processes and in
diverse physiological conditions. Focusing on the common gene signatures in these
two atrophying conditions, we observed enrichment in motifs for candidate
transcription factors that may coordinate either the immune/fibrosis responses
(ETS1, IRF1, NF1) or the mitochondrial metabolism (ESRRA). Deregulation in their
expression could be responsible, at least in part, for the same transcriptome
changes initiating the chronic muscle atrophy. This study suggests that distinct
pathophysiological processes may share common gene responses and pathways related
to specific transcription factors.
PMID- 22096511
TI - A new basal sauropodomorph (Dinosauria: Saurischia) from Quebrada del Barro
Formation (Marayes-El Carrizal Basin), northwestern Argentina.
AB - BACKGROUND: Argentinean basal sauropodomorphs are known by several specimens from
different basins; Ischigualasto, El Tranquilo, and Mogna. The Argentinean record
is diverse and includes some of the most primitive known sauropodomorphs such as
Panphagia and Chromogisaurus, as well as more derived forms, including several
massospondylids. Until now, the Massospondylidae were the group of basal
sauropodomorphs most widely spread around Pangea with a record in almost all
continents, mostly from the southern hemisphere, including the only record from
Antarctica. METHODOLOGY/PRINCIPAL FINDING: We describe here a new basal
sauropodomorph, Leyesaurus marayensis gen. et sp. nov., from the Quebrada del
Barro Formation, an Upper Triassic-Lower Jurassic unit that crops out in
northwestern Argentina. The new taxon is represented by a partial articulated
skeleton that includes the skull, vertebral column, scapular and pelvic girdles,
and hindlimb. Leyesaurus is diagnosed by a set of unique features, such as a
sharply acute angle (50 degrees) formed by the ascending process of the maxilla
and the alveolar margin, a straight ascending process of the maxilla with a
longitudinal ridge on its lateral surface, noticeably bulging labial side of the
maxillary teeth, greatly elongated cervical vertebrae, and proximal articular
surface of metatarsal III that is shelf-like and medially deflected. Phylogenetic
analysis recovers Leyesaurus as a basal sauropodomorph, sister taxon of
Adeopapposaurus within the Massospondylidae. Moreover, the results suggest that
massospondylids achieved a higher diversity than previously thought.
CONCLUSIONS/SIGNIFICANCE: Our phylogenetic results differ with respect to
previous analyses by rejecting the massospondylid affinities of some taxa from
the northern hemisphere (e.g., Seitaad, Sarahsaurus). As a result, the new taxon
Leyesaurus, coupled with other recent discoveries, suggests that the diversity of
massospondylids in the southern hemisphere was higher than in other regions of
Pangea. Finally, the close affinities of Leyesaurus with the Lower Jurassic
Massospondylus suggest a younger age for the Quebrada del Barro Formation than
previously postulated.
PMID- 22096510
TI - Association of six single nucleotide polymorphisms with gestational diabetes
mellitus in a Chinese population.
AB - BACKGROUND: To investigate whether the candidate genes that confer susceptibility
to type 2 diabetes mellitus are also correlated with gestational diabetes
mellitus (GDM) in pregnant Chinese women. METHODOLOGY/PRINCIPAL FINDINGS: In this
study, 1764 unrelated pregnant women were recruited, of which 725 women had GDM
and 1039 served as controls. Six single nucleotide polymorphisms (rs7754840 in
CDKAL1, rs391300 in SRR, rs2383208 in CDKN2A/2B, rs4402960 in IGF2BP2, rs10830963
in MTNR1B, rs4607517 in GCK) were genotyped using TaqMan allelic discrimination
assays. The genotype and allele distributions of each SNP between the GDM cases
and controls and the combined effects of alleles for the risk of developing GDM
were analyzed. We found that the rs4402960, rs2383208 and rs391300 were
statistically associated with GDM (OR = 1.207, 95%CI = 1.029-1.417, p = 0.021; OR
= 1.242, 95%CI = 1.077-1.432, p = 0.003; OR = 1.202, 95%CI = 1.020-1.416, P =
0.028, respectively). In addition, the effect was greater under a recessive model
in rs391300 (OR = 1.820, 95%CI = 1.226-2.701, p = 0.003). Meanwhile, the joint
effect of these three loci indicated an additive effect of multiple alleles on
the risk of developing GDM with an OR of 1.196 per allele (p = 1.08*10(-4)). We
also found that the risk alleles of rs2383208 (b = -0.085, p = 0.003), rs4402960
(b = -0.057, p = 0.046) and rs10830963 (b = -0.096, p = 0.001) were associated
with HOMA-B, while rs7754840 was associated with decrease in insulin AUC during a
100 g OGTT given at the time of GDM diagnosis (b = -0.080, p = 0.007).
CONCLUSIONS/SIGNIFICANCE: Several risk alleles of type 2 diabetes were associated
with GDM in pregnant Chinese women. The effects of these SNPs on GDM might be
through the impairment of beta cell function and these risk loci contributed
additively to the disease.
PMID- 22096512
TI - Identification of residues in the heme domain of soluble guanylyl cyclase that
are important for basal and stimulated catalytic activity.
AB - Nitric oxide signals through activation of soluble guanylyl cyclase (sGC), a heme
containing heterodimer. NO binds to the heme domain located in the N-terminal
part of the beta subunit of sGC resulting in increased production of cGMP in the
catalytic domain located at the C-terminal part of sGC. Little is known about the
mechanism by which the NO signaling is propagated from the receptor domain (heme
domain) to the effector domain (catalytic domain), in particular events
subsequent to the breakage of the bond between the heme iron and Histidine 105
(H105) of the beta subunit. Our modeling of the heme-binding domain as well as
previous homologous heme domain structures in different states point to two
regions that could be critical for propagation of the NO activation signal.
Structure-based mutational analysis of these regions revealed that residues T110
and R116 in the alphaF helix-beta1 strand, and residues I41 and R40 in the alphaB
alphaC loop mediate propagation of activation between the heme domain and the
catalytic domain. Biochemical analysis of these heme mutants allows refinement of
the map of the residues that are critical for heme stability and propagation of
the NO/YC-1 activation signal in sGC.
PMID- 22096513
TI - Physical fitness and telomere length in patients with coronary heart disease:
findings from the Heart and Soul Study.
AB - BACKGROUND: Short telomere length (TL) is an independent predictor of mortality
in patients with coronary heart disease (CHD). However, the relationship between
physical fitness and TL has not been explored in these patients. METHODS: In a
cross sectional study of 944 outpatients with stable CHD, we performed exercise
treadmill testing, assessed self-reported physical activity, and measured
leukocyte TL using a quantitative PCR assay. We used generalized linear models to
calculate mean TL (T/S ratio), and logistic regression models to compare the
proportion of patients with short TL (defined as the lowest quartile), among
participants with low, medium and high physical fitness, based on metabolic
equivalent tasks achieved (METs). RESULTS: 229 participants had low physical
fitness (<5 METS), 334 had moderate physical fitness (5-7 METS), and 381 had high
physical fitness (>7 METS). Mean +/- T/S ratio ranged from 0.86+/-0.21 (5349+/
3781 base pairs) in those with low physical fitness to 0.95+/-0.23 (5566+/-3829
base pairs) in those with high physical fitness (p<.001). This association
remained strong after adjustment for numerous patient characteristics, including
measures of cardiac disease severity and physical inactivity (p = 0.005).
Compared with participants with high physical fitness, those with low physical
fitness had 2-fold greater odds of having TL in the lowest quartile (OR 2.39, 95%
CI 1.60-3.55; p<.001). This association was similar after multivariable
adjustment (OR 1.94, 95%CI, 1.18-3.20; p = 0.009). Self-reported physical
inactivity was associated with shorter TL in unadjusted analyses, but not after
multivariable adjustment. CONCLUSIONS: We found that worse objectively-assessed
physical fitness is associated with shorter leukocyte telomere length in patients
with CHD. The clinical implications of this association deserve further study.
PMID- 22096514
TI - Transgenic mice over-expressing ET-1 in the endothelial cells develop systemic
hypertension with altered vascular reactivity.
AB - Endothelin-1 (ET-1) is a potent vasoconstrictor involved in the regulation of
vascular tone and implicated in hypertension. However, the role of small blood
vessels endothelial ET-1 in hypertension remains unclear. The present study
investigated the effect of chronic over-expression of endothelial ET-1 on
arterial blood pressure and vascular reactivity using transgenic mice approach.
Transgenic mice (TET-1) with endothelial ET-1 over-expression showed increased in
ET-1 level in the endothelial cells of small pulmonary blood vessels. Although
TET-1 mice appeared normal, they developed mild hypertension which was normalized
by the ET(A) receptor (BQ123) but not by ET(B) receptor (BQ788) antagonist. Tail
cuff measurements showed a significant elevation of systolic and mean blood
pressure in conscious TET-1 mice. The mice also exhibited left ventricular
hypertrophy and left axis deviation in electrocardiogram, suggesting an increased
peripheral resistance. The ionic concentrations in the urine and serum were
normal in 8-week old TET-1 mice, indicating that the systemic hypertension was
independent of renal function, although, higher serum urea levels suggested the
occurrence of kidney dysfunction. The vascular reactivity of the aorta and the
mesenteric artery was altered in the TET-1 mice indicating that chronic
endothelial ET-1 up-regulation leads to vascular tone imbalance in both conduit
and resistance arteries. These findings provide evidence for the role of spatial
expression of ET-1 in the endothelium contributing to mild hypertension was
mediated by ET(A) receptors. The results also suggest that chronic endothelial ET
1 over-expression affects both cardiac and vascular functions, which, at least in
part, causes blood pressure elevation.
PMID- 22096515
TI - Conflict between genetic and phenotypic differentiation: the evolutionary history
of a 'lost and rediscovered' shorebird.
AB - Understanding and resolving conflicts between phenotypic and genetic
differentiation is central to evolutionary research. While phenotypically
monomorphic species may exhibit deep genetic divergences, some morphologically
distinct taxa lack notable genetic differentiation. Here we conduct a molecular
investigation of an enigmatic shorebird with a convoluted taxonomic history, the
White-faced Plover (Charadrius alexandrinus dealbatus), widely regarded as a
subspecies of the Kentish Plover (C. alexandrinus). Described as distinct in
1863, its name was consistently misapplied in subsequent decades until taxonomic
clarification ensued in 2008. Using a recently proposed test of species
delimitation, we reconfirm the phenotypic distinctness of dealbatus. We then
compare three mitochondrial and seven nuclear DNA markers among 278 samples of
dealbatus and alexandrinus from across their breeding range and four other
closely related plovers. We fail to find any population genetic differentiation
between dealbatus and alexandrinus, whereas the other species are deeply diverged
at the study loci. Kentish Plovers join a small but growing list of species for
which low levels of genetic differentiation are accompanied by the presence of
strong phenotypic divergence, suggesting that diagnostic phenotypic characters
may be encoded by few genes that are difficult to detect. Alternatively, gene
expression differences may be crucial in producing different phenotypes whereas
neutral differentiation may be lagging behind.
PMID- 22096516
TI - Differential immediate and sustained memory enhancing effects of alpha7 nicotinic
receptor agonists and allosteric modulators in rats.
AB - The alpha7 nicotinic acetylcholine receptor (nAChR) is a potential target for the
treatment of cognitive deficits in patients with schizophrenia, ADHD and
Alzheimer's disease. Here we test the hypothesis that upregulation of alpha7
nAChR levels underlies the enhanced and sustained procognitive effect of repeated
administration of alpha7 nAChR agonists. We further compare the effect of
agonists to that of alpha7 nAChR positive allosteric modulators (PAMs), which do
not induce upregulation of the alpha7 nAChR. Using the social discrimination test
as a measure of short-term memory, we show that the alpha7 nAChR agonist A-582941
improves short-term memory immediately after repeated (7* daily), but not a
single administration. The alpha7 nAChR PAMs PNU-120596 and AVL-3288 do not
affect short-term memory immediately after a single or repeated administration.
This demonstrates a fundamental difference in the behavioral effects of agonists
and PAMs that may be relevant for clinical development. Importantly, A-582941 and
AVL-3288 increase short-term memory 24 hrs after repeated, but not a single,
administration, suggesting that repeated administration of both agonists and PAMs
may produce sustained effects on cognitive performance. Subsequent [(125)I]
bungarotoxin autoradiography revealed no direct correlation between alpha7 nAChR
levels in frontal cortical or hippocampal brain regions and short-term memory
with either compound. Additionally, repeated treatment with A-582941 did not
affect mRNA expression of RIC-3 or the lynx-like gene products lynx1, lynx2,
PSCA, or Ly6H, which are known to affect nAChR function. In conclusion, both
alpha7 nAChR agonists and PAMs exhibit sustained pro-cognitive effects after
repeated administration, and altered levels of the alpha7 nAChR per se, or that
of endogenous regulators of nAChR function, are likely not the major cause of
this effect.
PMID- 22096517
TI - Noise pollution filters bird communities based on vocal frequency.
AB - BACKGROUND: Human-generated noise pollution now permeates natural habitats
worldwide, presenting evolutionarily novel acoustic conditions unprecedented to
most landscapes. These acoustics not only harm humans, but threaten wildlife, and
especially birds, via changes to species densities, foraging behavior,
reproductive success, and predator-prey interactions. Explanations for negative
effects of noise on birds include disruption of acoustic communication through
energetic masking, potentially forcing species that rely upon acoustic
communication to abandon otherwise suitable areas. However, this hypothesis has
not been adequately tested because confounding stimuli often co-vary with noise
and are difficult to separate from noise exposure. METHODOLOGY/PRINCIPAL
FINDINGS: Using a natural experiment that controls for confounding stimuli, we
evaluate whether species vocal features or urban-tolerance classifications
explain their responses to noise measured through habitat use. Two data sets
representing nesting and abundance responses reveal that noise filters bird
communities nonrandomly. Signal duration and urban tolerance failed to explain
species-specific responses, but birds with low-frequency signals that are more
susceptible to masking from noise avoided noisy areas and birds with higher
frequency vocalizations remained. Signal frequency was also negatively correlated
with body mass, suggesting that larger birds may be more sensitive to noise due
to the link between body size and vocal frequency. CONCLUSIONS/SIGNIFICANCE: Our
findings suggest that acoustic masking by noise may be a strong selective force
shaping the ecology of birds worldwide. Larger birds with lower frequency signals
may be excluded from noisy areas, whereas smaller species persist via
transmission of higher frequency signals. We discuss our findings as they relate
to interspecific relationships among body size, vocal amplitude and frequency and
suggest that they are immediately relevant to the global problem of increases in
noise by providing critical insight as to which species traits influence
tolerance of these novel acoustics.
PMID- 22096518
TI - Abnormal cognition, sleep, EEG and brain metabolism in a novel knock-in Alzheimer
mouse, PLB1.
AB - Late-stage neuropathological hallmarks of Alzheimer's disease (AD) are beta
amyloid (betaA) and hyperphosphorylated tau peptides, aggregated into plaques and
tangles, respectively. Corresponding phenotypes have been mimicked in existing
transgenic mice, however, the translational value of aggressive over-expression
has recently been questioned. As controlled gene expression may offer animal
models with better predictive validity, we set out to design a transgenic mouse
model that circumvents complications arising from pronuclear injection and
massive over-expression, by targeted insertion of human mutated amyloid and tau
transgenes, under the forebrain- and neurone-specific CaMKIIalpha promoter,
termed PLB1(Double). Crossing with an existing presenilin 1 line resulted in
PLB1(Triple) mice. PLB1(Triple) mice presented with stable gene expression and
age-related pathology of intra-neuronal amyloid and hyperphosphorylated tau in
hippocampus and cortex from 6 months onwards. At this early stage, pre-clinical
(18)FDG PET/CT imaging revealed cortical hypometabolism with increased metabolic
activity in basal forebrain and ventral midbrain. Quantitative EEG analyses
yielded heightened delta power during wakefulness and REM sleep, and time in
wakefulness was already reliably enhanced at 6 months of age. These anomalies
were paralleled by impairments in long-term and short-term hippocampal plasticity
and preceded cognitive deficits in recognition memory, spatial learning, and
sleep fragmentation all emerging at ~12 months. These data suggest that prodromal
AD phenotypes can be successfully modelled in transgenic mice devoid of
fibrillary plaque or tangle development. PLB1(Triple) mice progress from a mild
(MCI-like) state to a more comprehensive AD-relevant phenotype, which are
accessible using translational tools such as wireless EEG and microPET/CT.
PMID- 22096519
TI - Evidence for thalamic involvement in the thermal grill illusion: an FMRI study.
AB - BACKGROUND: Perceptual illusions play an important role in untangling neural
mechanisms underlying conscious phenomena. The thermal grill illusion (TGI) has
been suggested as a promising model for exploring percepts involved in
neuropathic pain, such as cold-allodynia (pain arising from contact with
innocuous cold). The TGI is an unpleasant/painful sensation from touching
juxtapositioned bars of cold and warm innocuous temperatures. AIM: To develop an
MRI-compatible TGI-unit and explore the supraspinal correlates of the illusion,
using fMRI, in a group of healthy volunteers. METHODS: We constructed a TGI
thermode allowing the rapid presentation of warm(41 degrees C), cold(18 degrees
C) and interleaved(41 degrees C+18 degrees C = TGI) temperatures in an fMRI
environment. Twenty volunteers were tested. The affective-motivational
("unpleasantness") and sensory-disciminatory ("pain-intensity") dimensions of
each respective stimulus were rated. Functional images were analyzed at a
corrected alpha-level <0.05. RESULTS: The TGI was rated as significantly more
unpleasant and painful than stimulation with each of its constituent
temperatures. Also, the TGI was rated as significantly more unpleasant than
painful. Thermal stimulation versus neutral baseline revealed bilateral
activations of the anterior insulae and fronto-parietal regions. Unlike its
constituent temperatures the TGI displayed a strong activation of the right
(contralateral) thalamus. Exploratory contrasts at a slightly more liberal
threshold-level also revealed a TGI-activation of the right mid/anterior insula,
correlating with ratings of unpleasantness (rho = 0.31). CONCLUSION/SIGNIFICANCE:
To the best of our knowledge, this is the first fMRI-study of the TGI. The
activation of the anterior insula is consistent with this region's putative role
in processing of homeostatically relevant feeling-states. Our results constitute
the first neurophysiologic evidence of thalamic involvement in the TGI. Similar
thalamic activity has previously been observed during evoked cold-allodynia in
patients with central neuropathic pain. Our results further the understanding of
the supraspinal correlates of the TGI-phenomenon and pave the way for future
inquiries into if and how it may relate to neuropathic pain.
PMID- 22096520
TI - Percutaneous cryoablation of pulmonary metastases from colorectal cancer.
AB - OBJECTIVE: To evaluate the safety and efficacy of cryoablation for metastatic
lung tumors from colorectal cancer. METHODS: The procedures were performed on 24
patients (36-82 years of age, with a median age of 62; 17 male patients, 7 female
patients) for 55 metastatic tumors in the lung, during 30 sessions. The
procedural safety, local progression free interval, and overall survival were
assessed by follow-up computed tomographic scanning performed every 3-4 months.
RESULTS: The major complications were pneumothorax, 19 sessions (63%), pleural
effusion, 21 sessions (70%), transient and self-limiting hemoptysis, 13 sessions
(43%) and tract seeding, 1 session (3%). The 1- and 3-year local progression free
intervals were 90.8% and 59%, respectively. The 3-years local progression free
intervals of tumors <=15 mm in diameter was 79.8% and that of tumors >15 mm was
28.6% (p = 0.001; log-rank test). The 1- and 3-year overall survival rates were
91% and 59.6%, respectively. CONCLUSION: The results indicated that percutaneous
cryoablation is a feasible treatment option. The local progression free interval
was satisfactory at least for tumors that were <=15 mm in diameter.
PMID- 22096521
TI - Anti-transforming growth factor beta antibody treatment rescues bone loss and
prevents breast cancer metastasis to bone.
AB - Breast cancer often metastasizes to bone causing osteolytic bone resorption which
releases active TGFbeta. Because TGFbeta favors progression of breast cancer
metastasis to bone, we hypothesized that treatment using anti-TGFbeta antibody
may reduce tumor burden and rescue tumor-associated bone loss in metastatic
breast cancer. In this study we have tested the efficacy of an anti-TGFbeta
antibody 1D11 preventing breast cancer bone metastasis. We have used two
preclinical breast cancer bone metastasis models, in which either human breast
cancer cells or murine mammary tumor cells were injected in host mice via left
cardiac ventricle. Using several in vivo, in vitro and ex vivo assays, we have
demonstrated that anti-TGFbeta antibody treatment have significantly reduced
tumor burden in the bone along with a statistically significant threefold
reduction in osteolytic lesion number and tenfold reduction in osteolytic lesion
area. A decrease in osteoclast numbers (p = 0.027) in vivo and osteoclastogenesis
ex vivo were also observed. Most importantly, in tumor-bearing mice, anti-TGFbeta
treatment resulted in a twofold increase in bone volume (p<0.01). In addition,
treatment with anti-TGFbeta antibody increased the mineral-to-collagen ratio in
vivo, a reflection of improved tissue level properties. Moreover, anti-TGFbeta
antibody directly increased mineralized matrix formation in calverial osteoblast
(p = 0.005), suggesting a direct beneficial role of anti-TGFbeta antibody
treatment on osteoblasts. Data presented here demonstrate that anti-TGFbeta
treatment may offer a novel therapeutic option for tumor-induced bone disease and
has the dual potential for simultaneously decreasing tumor burden and rescue bone
loss in breast cancer to bone metastases. This approach of intervention has the
potential to reduce skeletal related events (SREs) in breast cancer survivors.
PMID- 22096522
TI - Cognitive control and individual differences in economic ultimatum decision
making.
AB - Much publicity has been given to the fact that people's economic decisions often
deviate from the rational predictions of standard economic models. In the classic
ultimatum game, for example, most people turn down financial gains by rejecting
unequal monetary splits. The present study points to neglected individual
differences in this debate. After participants played the ultimatum game we
tested for individual differences in cognitive control capacity of the most and
least economic responders. The key finding was that people who were higher in
cognitive control, as measured by behavioral (Go/No-Go performance) and neural
(No-Go N2 amplitude) markers, did tend to behave more in line with the standard
models and showed increased acceptance of unequal splits. Hence, the cognitively
highest scoring decision-makers were more likely to maximize their monetary
payoffs and adhere to the standard economic predictions. Findings question
popular claims with respect to the rejection of standard economic models and the
irrationality of human economic decision-making.
PMID- 22096523
TI - Cost-effectiveness of Internet-based self-management compared with usual care in
asthma.
AB - BACKGROUND: Effectiveness of Internet-based self-management in patients with
asthma has been shown, but its cost-effectiveness is unknown. We conducted a cost
effectiveness analysis of Internet-based asthma self-management compared with
usual care. METHODOLOGY AND PRINCIPAL FINDINGS: Cost-effectiveness analysis
alongside a randomized controlled trial, with 12 months follow-up. Patients were
aged 18 to 50 year and had physician diagnosed asthma. The Internet-based self
management program involved weekly on-line monitoring of asthma control with self
treatment advice, remote Web communications, and Internet-based information. We
determined quality adjusted life years (QALYs) as measured by the EuroQol-5D and
costs for health care use and absenteeism. We performed a detailed cost price
analysis for the primary intervention. QALYs did not statistically significantly
differ between the Internet group and usual care: difference 0.024 (95% CI,
0.016 to 0.065). Costs of the Internet-based intervention were $254 (95% CI, $243
to $265) during the period of 1 year. From a societal perspective, the cost
difference was $641 (95% CI, $-1957 to $3240). From a health care perspective,
the cost difference was $37 (95% CI, $-874 to $950). At a willingness-to-pay of
$50,000 per QALY, the probability that Internet-based self-management was cost
effective compared to usual care was 62% and 82% from a societal and health care
perspective, respectively. CONCLUSIONS: Internet-based self-management of asthma
can be as effective as current asthma care and costs are similar. TRIAL
REGISTRATION: Current Controlled Trials ISRCTN79864465.
PMID- 22096524
TI - Evidence for more than one Parkinson's disease-associated variant within the HLA
region.
AB - Parkinson's disease (PD) was recently found to be associated with HLA in a genome
wide association study (GWAS). Follow-up GWAS's replicated the PD-HLA association
but their top hits differ. Do the different hits tag the same locus or is there
more than one PD-associated variant within HLA? We show that the top GWAS hits
are not correlated with each other (0.00<=r(2)<=0.15). Using our GWAS (2000
cases, 1986 controls) we conducted step-wise conditional analysis on 107 SNPs
with P<10(-3) for PD-association; 103 dropped-out, four remained significant.
Each SNP, when conditioned on the other three, yielded P(SNP1) = 5*10(-4),
P(SNP2) = 5*10(-4), P(SNP3) = 4*10(-3) and P(SNP4) = 0.025. The four SNPs were
not correlated (0.01<=r(2)<=0.20). Haplotype analysis (excluding rare SNP2)
revealed increasing PD risk with increasing risk alleles from OR = 1.27, P =
5*10(-3) for one risk allele to OR = 1.65, P = 4*10(-8) for three. Using
additional 843 cases and 856 controls we replicated the independent effects of
SNP1 (P(conditioned-on-SNP4) = 0.04) and SNP4 (P(conditioned-on-SNP1) = 0.04);
SNP2 and SNP3 could not be replicated. In pooled GWAS and replication, SNP1 had
OR(conditioned-on-SNP4) = 1.23, P(conditioned-on-SNP4) = 6*10(-7); SNP4 had
OR(conditioned-on-SNP1) = 1.18, P(conditioned-on-SNP1) = 3*10(-3); and the
haplotype with both risk alleles had OR = 1.48, P = 2*10(-12). Genotypic OR
increased with the number of risk alleles an individual possessed up to OR =
1.94, P = 2*10(-11) for individuals who were homozygous for the risk allele at
both SNP1 and SNP4. SNP1 is a variant in HLA-DRA and is associated with HLA-DRA,
DRB5 and DQA2 gene expression. SNP4 is correlated (r(2) = 0.95) with variants
that are associated with HLA-DQA2 expression, and with the top HLA SNP from the
IPDGC GWAS (r(2) = 0.60). Our findings suggest more than one PD-HLA association;
either different alleles of the same gene, or separate loci.
PMID- 22096525
TI - Effect of protein kinase C delta (PKC-delta) inhibition on the transcriptome of
normal and systemic sclerosis human dermal fibroblasts in vitro.
AB - Previous studies demonstrated that protein kinase C- delta (PKC-delta) inhibition
with the selective inhibitor, rottlerin, resulted in potent downregulation of
type I collagen expression and production in normal human dermal fibroblasts and
abrogated the exaggerated type I collagen production and expression in
fibroblasts cultured from affected skin from patients with the fibrosing disorder
systemic sclerosis (SSc). To elucidate the mechanisms involved in the ability of
PKC-delta to regulate collagen production in fibroblasts, we examined the effects
of PKC-delta inhibition on the transcriptome of normal and SSc human dermal
fibroblasts. Normal and SSc human dermal fibroblasts were incubated with
rottlerin (5 uM), and their gene expression was analyzed by microarrays. Pathway
analysis and gene ontology analysis of differentially expressed genes in each
comparison were performed. Identification of significantly overrepresented
transcriptional regulatory elements (TREs) was performed using the Promoter
Analysis and Interaction Network Toolset (PAINT) program. PKC-delta activity was
also inhibited using RNA interference (siRNA) and by treating fibroblasts with a
specific PKC-delta inhibitory cell permeable peptide. Differential gene
expression of 20 genes was confirmed using real time PCR. PKC-delta inhibition
caused a profound change in the transcriptome of normal and SSc human dermal
fibroblasts in vitro. Pathway and gene ontology analysis identified multiple
cellular and organismal pathways affected by PKC-delta inhibition. Furthermore,
both pathway and PAINT analyses indicated that the transcription factor NFkappaB
played an important role in the transcriptome changes induced by PKC-delta
inhibition. Multiple genes involved in the degradation of the extracellular
matrix components were significantly reduced in SSc fibroblasts and their
expression was increased by PKC-delta inhibition. These results indicate that
isoform-specific inhibition of PKC-delta profibrotic effects may represent a
novel therapeutic approach for SSc and other fibrotic diseases.
PMID- 22096526
TI - Bmi1 is expressed in postnatal myogenic satellite cells, controls their
maintenance and plays an essential role in repeated muscle regeneration.
AB - Satellite cells are the resident stem cell population of the adult mammalian
skeletal muscle and they play a crucial role in its homeostasis and in its
regenerative capacity after injury. We show here that the Polycomb group (PcG)
gene Bmi1 is expressed in both the Pax7 positive (+)/Myf5 negative (-) stem cell
population as well as the Pax7+/Myf5+ committed myogenic progenitor population.
Depletion of Pax7+/Myf5- satellite cells with reciprocal increase in Pax7+/Myf5+
as well as MyoD positive (+) cells is seen in Bmi1-/- mice leading to reduced
postnatal muscle fiber size and impaired regeneration upon injury. Bmi1-/-
satellite cells have a reduced proliferative capacity and fail to re-enter the
cell cycle when stimulated by high serum conditions in vitro, in keeping with a
cell intrinsic defect. Thus, both the in vivo and in vitro results suggest that
Bmi1 plays a crucial role in the maintenance of the stem cell pool in postnatal
skeletal muscle and is essential for efficient muscle regeneration after injury
especially after repeated muscle injury.
PMID- 22096528
TI - Structural-functional characterization and physiological significance of
ferredoxin-NADP reductase from Xanthomonas axonopodis pv. citri.
AB - Xanthomonas axonopodis pv. citri is a phytopathogen bacterium that causes severe
citrus canker disease. Similar to other phytopathogens, after infection by this
bacterium, plants trigger a defense mechanism that produces reactive oxygen
species. Ferredoxin-NADP(+) reductases (FNRs) are redox flavoenzymes that
participate in several metabolic functions, including the response to reactive
oxygen species. Xanthomonas axonopodis pv. citri has a gene (fpr) that encodes
for a FNR (Xac-FNR) that belongs to the subclass I bacterial FNRs. The aim of
this work was to search for the physiological role of this enzyme and to
characterize its structural and functional properties. The functionality of Xac
FNR was tested by cross-complementation of a FNR knockout Escherichia coli
strain, which exhibit high susceptibility to agents that produce an abnormal
accumulation of (*)O(2)(-). Xac-FNR was able to substitute for the FNR in E. coli
in its antioxidant role. The expression of fpr in X. axonopodis pv. citri was
assessed using semiquantitative RT-PCR and Western blot analysis. A 2.2-fold
induction was observed in the presence of the superoxide-generating agents methyl
viologen and 2,3-dimethoxy-1,4-naphthoquinone. Structural and functional studies
showed that Xac-FNR displayed different functional features from other subclass I
bacterial FNRs. Our analyses suggest that these differences may be due to the
unusual carboxy-terminal region. We propose a further classification of subclass
I bacterial FNRs, which is useful to determine the nature of their ferredoxin
redox partners. Using sequence analysis, we identified a ferredoxin (XAC1762) as
a potential substrate of Xac-FNR. The purified ferredoxin protein displayed the
typical broad UV-visible spectrum of [4Fe-4S] clusters and was able to function
as substrate of Xac-FNR in the cytochrome c reductase activity. Our results
suggest that Xac-FNR is involved in the oxidative stress response of Xanthomonas
axonopodis pv. citri and performs its biological function most likely through the
interaction with ferredoxin XAC1762.
PMID- 22096527
TI - Whole-genome comparison of two Campylobacter jejuni isolates of the same sequence
type reveals multiple loci of different ancestral lineage.
AB - Campylobacter jejuni ST-474 is the most important human enteric pathogen in New
Zealand, and yet this genotype is rarely found elsewhere in the world. Insight
into the evolution of this organism was gained by a whole genome comparison of
two ST-474, flaA SVR-14 isolates and other available C. jejuni isolates and
genomes. The two isolates were collected from different sources, human (H22082)
and retail poultry (P110b), at the same time and from the same geographical
location. Solexa sequencing of each isolate resulted in ~1.659 Mb (H22082) and
~1.656 Mb (P110b) of assembled sequences within 28 (H22082) and 29 (P110b)
contigs. We analysed 1502 genes for which we had sequences within both ST-474
isolates and within at least one of 11 C. jejuni reference genomes. Although
94.5% of genes were identical between the two ST-474 isolates, we identified 83
genes that differed by at least one nucleotide, including 55 genes with non
synonymous substitutions. These covered 101 kb and contained 672 point
differences. We inferred that 22 (3.3%) of these differences were due to mutation
and 650 (96.7%) were imported via recombination. Our analysis estimated 38
recombinant breakpoints within these 83 genes, which correspond to recombination
events affecting at least 19 loci regions and gives a tract length estimate of ~2
kb. This includes a ~12 kb region displaying non-homologous recombination in one
of the ST-474 genomes, with the insertion of two genes, including ykgC, a
putative oxidoreductase, and a conserved hypothetical protein of unknown
function. Furthermore, our analysis indicates that the source of this recombined
DNA is more likely to have come from C. jejuni strains that are more closely
related to ST-474. This suggests that the rates of recombination and mutation are
similar in order of magnitude, but that recombination has been much more
important for generating divergence between the two ST-474 isolates.
PMID- 22096529
TI - Resource wars and conflict ivory: the impact of civil conflict on elephants in
the Democratic Republic of Congo--the case of the Okapi Reserve.
AB - Human conflict generally has substantial negative impacts on wildlife and
conservation. The recent civil war (1995-2006) in the Democratic Republic of
Congo (DRC) resulted in a significant loss of wildlife, including elephants, due
to institutional collapse, lawlessness and unbridled exploitation of natural
resources such as minerals, wood, ivory and bushmeat. We used data from distance
sampling surveys conducted before and after the war in a protected forest, the
Okapi Faunal Reserve, to document changes in elephant abundance and distribution.
We employed Generalized Additive Models to relate changes in elephant
distribution to human and environmental factors. Populations declined by nearly
fifty percent coinciding with a major increase in elephant poaching as indicated
by reports of ivory trade during the war. Our results suggest that humans
influenced elephant distribution far more than habitat, both before and after the
war, but post-war models explained more of the variation. Elephant abundance
declined more, closer to the park boundary and to areas of intense human
activity. After the war, elephant densities were relatively higher in the centre
of the park where they were better protected, suggesting that this area may have
acted as a refuge. In other sites in Eastern DRC, where no protection was
provided, elephants were even more decimated. Post-war dynamics, such as weakened
institutions, human movements and availability of weapons, continue to affect
elephants. Survival of remaining populations and recovery will be determined by
these persistent factors and by new threats associated with growing human
populations and exploitation of natural resources. Prioritizing wildlife
protection, curbing illegal trade in ivory and bushmeat, and strengthening
national institutions and organizations in charge of conservation will be crucial
to counter these threats.
PMID- 22096530
TI - Insufficiently defined genetic background confounds phenotypes in transgenic
studies as exemplified by malaria infection in Tlr9 knockout mice.
AB - The use of genetically modified mice, i.e. transgenic as well as gene knockout
(KO) and knock-in mice, has become an established tool to study gene function in
many animal models for human diseases. However, a gene functions in a particular
genomic context. This implies the importance of a well-defined homogenous genetic
background for the analysis and interpretation of phenotypes associated with
genetic mutations. By studying a Plasmodium chabaudi chabaudi AS (PcAS) malaria
infection in mice bearing a TLR9 null mutation, we found an increased
susceptibility to infection, i.e. higher parasitemia levels and increased
mortality. However, this was not triggered by the deficient TLR9 gene itself.
Instead, this disease phenotype was dependent on the heterogeneous genetic
background of the mice, which appeared insufficiently defined as determined by
single nucleotide polymorphism (SNP) analysis. Hence, it is of critical
importance to study gene KO phenotypes on a homogenous genetic background
identical to that of their wild type (WT) control counterparts. In particular, to
avoid problems related to an insufficiently defined genetic background, we
advocate that for each study involving genetically modified mice, at least a
detailed description of the origin and genetic background of both the WT control
and the altered strain of mice is essential.
PMID- 22096531
TI - Loss of sphingosine kinase 1/S1P signaling impairs cell growth and survival of
neurons and progenitor cells in the developing sensory ganglia.
AB - BACKGROUND: Lysophospholipids such as lysophosphatidic acid (LPA) and sphingosine
1-phosphate (S1P) are important signaling molecules that can regulate a wide
range of cellular responses. We discovered that Sphingosine kinase 1 (Sphk1), a
key enzyme that converts sphingosine to S1P, is expressed in neurons and
progenitor cells in nascent trigeminal and dorsal root ganglia during mouse
embryogenesis. METHODS AND FINDINGS: Sphk1 null mouse embryos do not display
overt deficits owing to compensation by Sphk2. Thus, we analyzed embryos that are
deficient in both Sphk1 and Sphk2 (which essentially eliminates S1P function) in
order to investigate the role(s) of Sphk1 during sensory ganglia formation. While
animals lacking 1-3 alleles of Sphk1 and Sphk2 had no obvious phenotype, embryos
without both genes displayed clear developmental defects. The complete absence of
Sphk1 and Sphk2 resulted in trigeminal and dorsal root ganglia with fewer neurons
and progenitor cells. The profound loss in cell number could be attributed to a
decrease in cell proliferation as well as an increase in apoptosis. Furthermore,
Sphk1/2 double mutants displayed an overall reduction in other sphingolipids as
well as an imbalance of S1P/sphingosine and S1P/ceramide ratio, thereby favoring
cell death and reducing cell growth. CONCLUSIONS: Together, these results provide
strong in vivo evidence that sphingosine kinase/S1P signaling plays an important
role in regulating early events during development of sensory ganglia.
PMID- 22096532
TI - A convenient model of severe, high incidence autoimmune gastritis caused by
polyclonal effector T cells and without perturbation of regulatory T cells.
AB - Autoimmune gastritis results from the breakdown of T cell tolerance to the
gastric H(+)/K(+) ATPase. The gastric H(+)/K(+) ATPase is responsible for the
acidification of gastric juice and consists of an alpha subunit (H/Kalpha) and a
beta subunit (H/Kbeta). Here we show that CD4(+) T cells from H/Kalpha-deficient
mice (H/Kalpha(-/-)) are highly pathogenic and autoimmune gastritis can be
induced in sublethally irradiated wildtype mice by adoptive transfer of
unfractionated CD4(+) T cells from H/Kalpha(-/-) mice. All recipient mice
consistently developed the most severe form of autoimmune gastritis 8 weeks after
the transfer, featuring hypertrophy of the gastric mucosa, complete depletion of
the parietal and zymogenic cells, and presence of autoantibodies to H(+)/K(+)
ATPase in the serum. Furthermore, we demonstrated that the disease significantly
affected stomach weight and stomach pH of recipient mice. Depletion of parietal
cells in this disease model required the presence of both H/Kalpha and H/Kbeta
since transfer of H/Kalpha(-/-) CD4(+) T cells did not result in depletion of
parietal cells in H/Kalpha(-/-) or H/Kbeta(-/-) recipient mice. The consistency
of disease severity, the use of polyclonal T cells and a specific T cell response
to the gastric autoantigen make this an ideal disease model for the study of many
aspects of organ-specific autoimmunity including prevention and treatment of the
disease.
PMID- 22096533
TI - Justice blocks and predictability of U.S. Supreme Court votes.
AB - Successful attempts to predict judges' votes shed light into how legal decisions
are made and, ultimately, into the behavior and evolution of the judiciary. Here,
we investigate to what extent it is possible to make predictions of a justice's
vote based on the other justices' votes in the same case. For our predictions, we
use models and methods that have been developed to uncover hidden associations
between actors in complex social networks. We show that these methods are more
accurate at predicting justice's votes than forecasts made by legal experts and
by algorithms that take into consideration the content of the cases. We argue
that, within our framework, high predictability is a quantitative proxy for
stable justice (and case) blocks, which probably reflect stable a priori
attitudes toward the law. We find that U.S. Supreme Court justice votes are more
predictable than one would expect from an ideal court composed of perfectly
independent justices. Deviations from ideal behavior are most apparent in divided
5-4 decisions, where justice blocks seem to be most stable. Moreover, we find
evidence that justice predictability decreased during the 50-year period spanning
from the Warren Court to the Rehnquist Court, and that aggregate court
predictability has been significantly lower during Democratic presidencies. More
broadly, our results show that it is possible to use methods developed for the
analysis of complex social networks to quantitatively investigate historical
questions related to political decision-making.
PMID- 22096534
TI - Moth wing scales slightly increase the absorbance of bat echolocation calls.
AB - Coevolutionary arms races between predators and prey can lead to a diverse range
of foraging and defense strategies, such as countermeasures between nocturnal
insects and echolocating bats. Here, we show how the fine structure of wing
scales may help moths by slightly increasing sound absorbance at frequencies
typically used in bat echolocation. Using four widespread species of moths and
butterflies, we found that moth scales are composed of honeycomb-like hollows
similar to sound-absorbing material, but these were absent from butterfly scales.
Micro-reverberation chamber experiments revealed that moth wings were more
absorbent at the frequencies emitted by many echolocating bats (40-60 kHz) than
butterfly wings. Furthermore, moth wings lost absorbance at these frequencies
when scales were removed, which suggests that some moths have evolved stealth
tactics to reduce their conspicuousness to echolocating bats. Although the
benefits to moths are relatively small in terms of reducing their target
strengths, scales may nonetheless confer survival advantages by reducing the
detection distances of moths by bats by 5-6%.
PMID- 22096535
TI - Kidney bean: a major sensitizer among legumes in asthma and rhinitis patients
from India.
AB - BACKGROUND: The prevalence of IgE mediated food allergies has increased over the
last two decades. Food allergy has been reported to be fatal in highly sensitive
individuals. Legumes are important food allergens but their prevalence may vary
among different populations. The present study identifies sensitization to common
legumes among Indian population, characterizes allergens of kidney bean and
establishes its cross reactivity with other legumes. METHODOLOGY: Patients (n =
355) with history of legume allergy were skin prick tested (SPT) with 10 legumes.
Specific IgE (sIgE) and total IgE were estimated in sera by enzyme-linked
immunosorbent assay. Characterization of kidney bean allergens and their cross
reactivity was investigated by immunobiochemical methods. Identification of major
allergens of kidney bean was carried out by mass spectrometry. PRINCIPAL
FINDINGS: Kidney bean exhibited sensitization in 78 (22.0%) patients followed by
chickpea 65 (18.0%) and peanut 53 (15%). SPT positive patients depicted
significantly elevated sIgE levels against different legumes (r = 0.85,
p<0.0001). Sera from 30 kidney bean sensitive individuals exhibited basophil
histamine release (16-54%) which significantly correlated with their SPT (r =
0.83, p<0.0001) and sIgE (r = 0.99, p<0.0001). Kidney bean showed eight major
allergens of 58, 50, 45, 42, 40, 37, 34 and 18 kDa on immunoblot and required
67.3+/-2.51 ng of homologous protein for 50% IgE inhibition. Inhibition assays
revealed extensive cross reactivity among kidney bean, peanut, black gram and
pigeon pea. nLC-MS/MS analysis identified four allergens of kidney bean showing
significant matches with known proteins namely lectin (phytohemagglutinin),
phaseolin, alpha-amylase inhibitor precursor and group 3 late embryogenesis
abundant protein. CONCLUSION/SIGNIFICANCE: Among legumes, kidney bean followed by
chick pea and peanut are the major allergic triggers in asthma and rhinitis
patients in India. Kidney bean showed eight major allergens and cross reacted
with other legumes. A combination of SPT, sIgE and histamine release assay is
helpful in allergy diagnosis.
PMID- 22096536
TI - Xenopus reduced folate carrier regulates neural crest development epigenetically.
AB - Folic acid deficiency during pregnancy causes birth neurocristopathic
malformations resulting from aberrant development of neural crest cells. The
Reduced folate carrier (RFC) is a membrane-bound receptor for facilitating
transfer of reduced folate into the cells. RFC knockout mice are embryonic lethal
and develop multiple malformations, including neurocristopathies. Here we show
that XRFC is specifically expressed in neural crest tissues in Xenopus embryos
and knockdown of XRFC by specific morpholino results in severe
neurocristopathies. Inhibition of RFC blocked the expression of a series of
neural crest marker genes while overexpression of RFC or injection of 5
methyltetrahydrofolate expanded the neural crest territories. In animal cap
assays, knockdown of RFC dramatically reduced the mono- and trimethyl-Histone3-K4
levels and co-injection of the lysine methyltransferase hMLL1 largely rescued the
XRFC morpholino phenotype. Our data revealed that the RFC mediated folate
metabolic pathway likely potentiates neural crest gene expression through
epigenetic modifications.
PMID- 22096537
TI - Functional organization of hsp70 cluster in camel (Camelus dromedarius) and other
mammals.
AB - Heat shock protein 70 (Hsp70) is a molecular chaperone providing tolerance to
heat and other challenges at the cellular and organismal levels. We sequenced a
genomic cluster containing three hsp70 family genes linked with major
histocompatibility complex (MHC) class III region from an extremely heat tolerant
animal, camel (Camelus dromedarius). Two hsp70 family genes comprising the
cluster contain heat shock elements (HSEs), while the third gene lacks HSEs and
should not be induced by heat shock. Comparison of the camel hsp70 cluster with
the corresponding regions from several mammalian species revealed similar
organization of genes forming the cluster. Specifically, the two heat inducible
hsp70 genes are arranged in tandem, while the third constitutively expressed
hsp70 family member is present in inverted orientation. Comparison of regulatory
regions of hsp70 genes from camel and other mammals demonstrates that
transcription factor matches with highest significance are located in the highly
conserved 250-bp upstream region and correspond to HSEs followed by NF-Y and Sp1
binding sites. The high degree of sequence conservation leaves little room for
putative camel-specific regulatory elements. Surprisingly, RT-PCR and 5'/3'-RACE
analysis demonstrated that all three hsp70 genes are expressed in camel's muscle
and blood cells not only after heat shock, but under normal physiological
conditions as well, and may account for tolerance of camel cells to extreme
environmental conditions. A high degree of evolutionary conservation observed for
the hsp70 cluster always linked with MHC locus in mammals suggests an important
role of such organization for coordinated functioning of these vital genes.
PMID- 22096538
TI - Distinct expression patterns of CD69 in mucosal and systemic lymphoid tissues in
primary SIV infection of rhesus macaques.
AB - Although the intestinal tract plays a major role in early human immunodeficiency
virus (HIV) infection, the role of immune activation and viral replication in
intestinal tissues is not completely understood. Further, increasing evidence
suggests the early leukocyte activation antigen CD69 may be involved in the
development or regulation of important T cell subsets, as well as a major
regulatory molecule of immune responses. Using the simian immunodeficiency virus
(SIV) rhesus macaque model, we compared expression of CD69 on T cells from the
intestine, spleen, lymph nodes, and blood of normal and SIV-infected macaques
throughout infection. In uninfected macaques, the majority of intestinal lamina
propria CD4+ T cells had a memory (CD95+) phenotype and co-expressed CD69, and
essentially all intestinal CCR5+ cells co-expressed CD69. In contrast, systemic
lymphoid tissues had far fewer CD69+ T cells, and many had a naive phenotype.
Further, marked, selective depletion of intestinal CD4+CD69+ T cells occurred in
early SIV infection, and this depletion persisted throughout infection. Markedly
increased levels of CD8+CD69+ T cells were detected after SIV infection in
virtually all tissues, including the intestine. Further, confocal microscopy
demonstrated selective, productive infection of CD3+CD69+ T cells in the
intestine in early infection. Combined, these results indicate CD69+CD4+ T cells
are a major early target for viral infection, and their rapid loss by direct
infection may have profound effects on intestinal immune regulation in HIV
infected patients.
PMID- 22096539
TI - A secreted form of the asialoglycoprotein receptor, sH2a, as a novel potential
noninvasive marker for liver fibrosis.
AB - BACKGROUND AND AIM: The human asialoglycoprotein receptor is a membrane
heterooligomer expressed exclusively in hepatocytes. A soluble secreted form,
sH2a, arises, not by shedding at the cell surface, but by intracellular cleavage
of its membrane-bound precursor, which is encoded by an alternatively spliced
form of the receptor H2 subunit. Here we determined and report that sH2a, present
at constant levels in serum from healthy individuals is altered upon liver
fibrosis, reflecting the status of hepatocyte function. METHODS: We measured sH2a
levels in serum using a monoclonal antibody and an ELISA assay that we developed,
comparing with routine liver function markers. We compared blindly pretreatment
serum samples from a cohort of 44 hepatitis C patients, which had METAVIR-scored
biopsies, with 28 healthy individuals. RESULTS: sH2a levels varied minimally for
the healthy individuals (150+/-21 ng/ml), whereas the levels deviated from this
normal range increasingly in correlation with fibrosis stage. A simple algorithm
combining sH2a levels with those of alanine aminotransferase allowed prediction
of fibrosis stage, with a very high area under the ROC curve of 0.86.
CONCLUSIONS: sH2a has the potential to be a uniquely sensitive and specific novel
marker for liver fibrosis and function.
PMID- 22096540
TI - The evaluation of the oxidative stress parameters in patients with primary angle
closure glaucoma.
AB - OBJECTIVE: To clarify the presence of oxidative stress in patients with primary
angle-closure glaucoma (PACG) and to investigate the relationship between
oxidative stress and PACG. METHODS: Fifty patients with primary angle-closure
glaucoma and fifty healthy controls of matched age and gender were included in
the study prospectively. Serum samples were obtained to detect the oxidation
degradation products malondialdehyde (MDA), conjugated diene (CD), 4
hydroxynonenal (4-HNE), advanced oxidation protein products (AOPP), protein
carbonyl (PC), ischemia-modified albumin (IMA) and 8-hydroxydeoxyguanosin (8
OHdG). RESULTS: The concentration of MDA and CD in PACG patients was
significantly higher than those of the control subjects (P<0.05, P<0.01). The
serum 4-HNE concentrations were increased in PACG patients, but the differences
with those of the healthy controls were not statistically significant. Compared
to normal subjects, there was significant higher in serum AOPP and PC in PACG
patients (P<0.01). PACG patients had higher levels of 8-OHdG in serum with
respect to the comparative group of normal subjects (P<0.01). When plasma IMA
levels in the PACG group were compared with those in the control group,
significant increases in IMA were observed in the former (P<0.05). CONCLUSIONS:
Our study demonstrated that IMA is a new biomarker available for assessing
oxidative stress in PCAG. Oxidative stress is an important risk factor in the
development of primary angle-closure glaucoma. Increased levels of oxidative
stress products may be associated with primary angle-closure glaucoma.
PMID- 22096541
TI - Phosphatidylinositol (4,5) bisphosphate controls T cell activation by regulating
T cell rigidity and organization.
AB - Here we investigate the role of Phosphatidylinositol (4,5) bisphosphate (PIP(2))
in the physiological activation of primary murine T cells by antigen presenting
cells (APC) by addressing two principal challenges in PIP(2) biology. First,
PIP(2) is a regulator of cytoskeletal dynamics and a substrate for second
messenger generation. The relative importance of these two processes needs to be
determined. Second, PIP(2) is turned over by multiple biosynthetic and
metabolizing enzymes. The joint effect of these enzymes on PIP(2) distributions
needs to be determined with resolution in time and space. We found that T cells
express four isoforms of the principal PIP(2)-generating enzyme
phosphatidylinositol 4-phosphate 5-kinase (PIP5K) with distinct spatial and
temporal characteristics. In the context of a larger systems analysis of T cell
signaling, these data identify the T cell/APC interface and the T cell distal
pole as sites of differential PIP(2) turnover. Overexpression of different PIP5K
isoforms, as corroborated by knock down and PIP(2) blockade, yielded an increase
in PIP(2) levels combined with isoform-specific changes in the spatiotemporal
distributions of accessible PIP(2). It rigidified the T cell, likely by impairing
the inactivation of Ezrin Moesin Radixin, delayed and diminished the clustering
of the T cell receptor at the cellular interface, reduced the efficiency of T
cell proximal signaling and IL-2 secretion. These effects were consistently more
severe for distal PIP5K isoforms. Thus spatially constrained cytoskeletal roles
of PIP(2) in the control of T cell rigidity and spatiotemporal organization
dominate the effects of PIP(2) on T cell activation.
PMID- 22096542
TI - An antibody to de-N-acetyl sialic acid containing-polysialic acid identifies an
intracellular antigen and induces apoptosis in human cancer cell lines.
AB - Polysialic acid (PSA), an alpha2,8-linked homopolymer of N-acetylneuraminic acid
(Neu5Ac), is developmentally regulated and its expression is thought to be
restricted to a few tissues in adults. Recently, we showed that two human
pathogens expressed a derivative of PSA containing de-N-acetyl sialic acid
residues (NeuPSA). Here we show that an epitope identified by the anti-NeuPSA
monoclonal antibody, SEAM 3 (SEAM 3-reactive antigen or S3RA), is expressed in
human melanomas, and also intracellularly in a human melanoma cell line (SK-MEL
28), a human T cell leukemia cell line (Jurkat), and two neuroblastoma cell lines
(CHP-134 and SH-SY5Y). SEAM 3 binding induced apoptosis in the four cell lines
tested. The unusual intracellular distribution of S3RA was similar to that
described for the PSA polysialyltransferases, STX and PST, which are also
expressed in the four cell lines used here. Interestingly, suppression of PST
mRNA expression by transfection of SK-MEL-28 cells with PST-specific short
interfering RNA (siRNA) resulted in decreased SEAM 3 binding. The results suggest
further studies of the utility of antibodies such as SEAM 3 as therapeutic agents
for certain malignancies.
PMID- 22096543
TI - Dengue reporter virus particles for measuring neutralizing antibodies against
each of the four dengue serotypes.
AB - The lack of reliable, high-throughput tools for characterizing anti-dengue virus
(DENV) antibodies in large numbers of serum samples has been an obstacle in
understanding the impact of neutralizing antibodies on disease progression and
vaccine efficacy. A reporter system using pseudoinfectious DENV reporter virus
particles (RVPs) was previously developed by others to facilitate the genetic
manipulation and biological characterization of DENV virions. In the current
study, we demonstrate the diagnostic utility of DENV RVPs for measuring
neutralizing antibodies in human serum samples against all four DENV serotypes,
with attention to the suitability of DENV RVPs for large-scale, long-term
studies. DENV RVPs used against human sera yielded serotype-specific responses
and reproducible neutralization titers that were in statistical agreement with
Plaque Reduction Neutralization Test (PRNT) results. DENV RVPs were also used to
measure neutralization titers against the four DENV serotypes in a panel of human
sera from a clinical study of dengue patients. The high-throughput capability,
stability, rapidity, and reproducibility of assays using DENV RVPs offer
advantages for detecting immune responses that can be applied to large-scale
clinical studies of DENV infection and vaccination.
PMID- 22096544
TI - Protective role of Ashwagandha leaf extract and its component withanone on
scopolamine-induced changes in the brain and brain-derived cells.
AB - BACKGROUND: Scopolamine is a well-known cholinergic antagonist that causes
amnesia in human and animal models. Scopolamine-induced amnesia in rodent models
has been widely used to understand the molecular, biochemical, behavioral
changes, and to delineate therapeutic targets of memory impairment. Although this
has been linked to the decrease in central cholinergic neuronal activity
following the blockade of muscarinic receptors, the underlying molecular and
cellular mechanism(s) particularly the effect on neuroplasticity remains elusive.
In the present study, we have investigated (i) the effects of scopolamine on the
molecules involved in neuronal and glial plasticity both in vivo and in vitro and
(ii) their recovery by alcoholic extract of Ashwagandha leaves (i-Extract).
METHODOLOGY/PRINCIPAL FINDINGS: As a drug model, scopolamine hydrobromide was
administered intraperitoneally to mice and its effect on the brain function was
determined by molecular analyses. The results showed that the scopolamine caused
downregulation of the expression of BDNF and GFAP in dose and time dependent
manner, and these effects were markedly attenuated in response to i-Extract
treatment. Similar to our observations in animal model system, we found that the
scopolamine induced cytotoxicity in IMR32 neuronal and C6 glioma cells. It was
associated with downregulation of neuronal cell markers NF-H, MAP2, PSD-95, GAP
43 and glial cell marker GFAP and with upregulation of DNA damage--gammaH2AX and
oxidative stress--ROS markers. Furthermore, these molecules showed recovery when
cells were treated with i-Extract or its purified component, withanone.
CONCLUSION: Our study suggested that besides cholinergic blockade, scopolamine
induced memory loss may be associated with oxidative stress and Ashwagandha i
Extract, and withanone may serve as potential preventive and therapeutic agents
for neurodegenerative disorders and hence warrant further molecular analyses.
PMID- 22096545
TI - Acidocalcisomes as calcium- and polyphosphate-storage compartments during
embryogenesis of the insect Rhodnius prolixus Stahl.
AB - BACKGROUND: The yolk of insect eggs is a cellular domain specialized in the
storage of reserve components for embryo development. The reserve macromolecules
are stored in different organelles and their interactions with the embryo cells
are mostly unknown. Acidocalcisomes are lysosome-related organelles characterized
by their acidic nature, high electron density and large content of polyphosphate
bound to several cations. In this work, we report the presence of acidocalcisome
like organelles in eggs of the insect vector Rhodnius prolixus.
METHODOLOGY/PRINCIPAL FINDINGS: Characterization of the elemental composition of
electron-dense vesicles by electron probe X-ray microanalysis revealed a
composition similar to that previously described for acidocalcisomes. Following
subcellular fractionation experiments, fractions enriched in acidocalcisomes were
obtained and characterized. Immunofluorescence showed that polyphosphate polymers
and the vacuolar proton translocating pyrophosphatase (V-H(+)-PPase, considered
as a marker for acidocalcisomes) are found in the same vesicles and that these
organelles are mainly localized in the egg cortex. Polyphosphate quantification
showed that acidocalcisomes contain a significant amount of polyphosphate
detected at day-0 eggs. Elemental analyses of the egg fractions showed that
24.5+/-0.65% of the egg calcium are also stored in such organelles. During
embryogenesis, incubation of acidocalcisomes with acridine orange showed that
these organelles are acidified at day-3 (coinciding with the period of yolk
mobilization) and polyphosphate quantification showed that the levels of
polyphosphate tend to decrease during early embryogenesis, being approximately
30% lower at day-3 compared to day-0 eggs. CONCLUSIONS: We found that
acidocalcisomes are present in the eggs and are the main storage compartments of
polyphosphate and calcium in the egg yolk. As such components have been shown to
be involved in a series of dynamic events that may control embryo growth, results
reveal the potential involvement of a novel organelle in the storage and
mobilization of inorganic elements to the embryo cells.
PMID- 22096546
TI - Suppression of expression of heat shock protein 70 by gefitinib and its
contribution to pulmonary fibrosis.
AB - Drug-induced interstitial lung disease (ILD), particularly pulmonary fibrosis, is
of serious clinical concern. Gefitinib, a tyrosine kinase inhibitor of the
epidermal growth factor receptor (EGFR), is beneficial as a drug for treating non
small cell lung cancer; however, this drug induces ILD and the molecular
mechanisms underpinning this condition remain unclear. We recently reported that
expression of heat shock protein 70 (HSP70) protects against bleomycin-induced
pulmonary fibrosis, an animal model of pulmonary fibrosis. In this study, we have
examined the effects of drugs known to induce ILD clinically on the expression of
HSP70 in cultured lung epithelial cells and have found that gefitinib has a
suppressive effect. Results of a luciferase reporter assay, pulse-labelling
analysis of protein and experiments using an inhibitor of translation or
transcription suggest that gefitinib suppresses the expression of HSP70 at the
level of translation. Furthermore, the results of experiments with siRNA for
Dicer1, an enzyme responsible for synthesis of microRNA, and real-time RT-PCR
analysis suggest that some microRNAs are involved in the gefitinib-induced
translational inhibition of HSP70. Mutations in the EGFR affect the concentration
of gefitinib required for suppressing the expression of HSP70. These results
suggest that gefitinib suppresses the translation of HSP70 through an EGFR- and
microRNA-mediated mechanism. In vivo, while oral administration of gefitinib
suppressed the pulmonary expression of HSP70 and exacerbated bleomycin-induced
pulmonary fibrosis in wild-type mice, these effects were not as distinct in
transgenic mice expressing HSP70. Furthermore, oral co-administration of
geranylgeranylacetone (GGA), an inducer of HSP70, suppressed gefitinib-induced
exacerbation of bleomycin-induced pulmonary fibrosis. Taken together, these
findings suggest that gefitinib-induced exacerbation of bleomycin-induced
pulmonary fibrosis is mediated by suppression of pulmonary expression of HSP70
and that an inducer of HSP70 expression, such as GGA, may be therapeutically
beneficial for the treatment of gefitinib-induced pulmonary fibrosis.
PMID- 22096547
TI - Antibiotic resistance gene abundances correlate with metal and geochemical
conditions in archived Scottish soils.
AB - The vast majority of antibiotic resistant genes (ARG) acquired by human pathogens
have originated from the natural environment. Therefore, understanding factors
that influence intrinsic levels of ARG in the environment could be
epidemiologically significant. The selection for metal resistance often promotes
AR in exposed organisms; however, the relationship between metal levels in nature
and the intrinsic presence of ARG has not been fully assessed. Here, we
quantified, using qPCR, the abundance of eleven ARG and compared their levels
with geochemical conditions in randomly selected soils from a Scottish archive.
Many ARG positively correlated with soil copper levels, with approximately half
being highly significant (p<0.05); whereas chromium, nickel, lead, and iron also
significantly correlated with specific ARG. Results show that geochemical metal
conditions innately influence the potential for AR in soil. We suggest soil
geochemical data might be used to estimate baseline gene presence on local,
regional and global scales within epidemiological risk studies related to AR
transmission from the environment.
PMID- 22096548
TI - In vitro recombination of non-homologous genes can result in gene fusions that
confer a switching phenotype to cells.
AB - Regulation of protein activity is central to the complexity of life. The ability
to regulate protein activity through exogenously added molecules has
biotechnological/biomedical applications and offers tools for basic science. Such
regulation can be achieved by establishing a means to modulate the specific
activity of the protein (i.e. allostery). An alternative strategy for
intracellular regulation of protein activity is to control the amount of protein
through effects on its production, accumulation, and degradation. We have
previously demonstrated that the non-homologous recombination of the genes
encoding maltose binding protein (MBP) and TEM1 beta-lactamase (BLA) can result
in fusion proteins in which beta-lactamase enzyme activity is allosterically
regulated by maltose. Here, through use of a two-tiered genetic selection scheme,
we demonstrate that such recombination can result in genes that confer maltose
dependent resistance to beta-lactam even though they do not encode allosteric
enzymes. These 'phenotypic switch' genes encode fusion proteins whose
accumulation is a result of a specific interaction with maltose. Phenotypic
switches represent an important class of proteins for basic science and
biotechnological applications in vivo.
PMID- 22096549
TI - The structural basis of localizing polo-like kinase to the flagellum attachment
zone in Trypanosoma brucei.
AB - The polo-like kinase in the deep branching eukaryote Trypanosoma brucei (TbPlk)
has many unique features. Unlike all the other polo-like kinases known to
associate with the nucleus and controlling both mitosis and cytokinesis, TbPlk
localizes to the flagellum attachment zone (FAZ) and regulates only cytokinesis
in T. brucei. TbPlk was, however, previously found capable of complementing all
the multiple Plk (Cdc5) functions in Saccharomyces cerevisiae, indicating that it
has acquired all the functions of Cdc5. In the present study, Cdc5 tagged with an
enhanced yellow fluorescence protein (EYFP) localized exclusively in the FAZ of
T. brucei, suggesting that the unusual localization and limited function of TbPlk
are probably attributed to the particular environment in T. brucei cells.
Structural basis for the FAZ localization of TbPlk was further investigated with
TbPlk and TbPlk mutants tagged with EYFP and expressed in T. brucei. The results
indicated that a kinase-inactive mutant N169A and a TbPlk mutant with the entire
kinase domain (KD) deleted both localized to the FAZ. Substantial association
with FAZ was also maintained when one of the two polo-boxes (PB1 or 2) or the
linker region between them was deleted from TbPlk. But a deletion of both polo
boxes led to a complete exclusion of the protein from FAZ. All the deletion
mutants retained the kinase activity, further indicating that the TbPlk kinase
function does not play a role for FAZ localization. The two polo boxes in TbPlk
are most likely instrumental in localizing the protein to FAZ through potential
interactions with certain FAZ structural component(s). A putative cryptic
bipartite nuclear targeting signal was identified in TbPlk, which was capable of
directing TbPlk into the nucleus when either the kinase activity was lost or the
PB1 was deleted from the protein.
PMID- 22096550
TI - Temperature-dependence of Weibel-Palade body exocytosis and cell surface
dispersal of von Willebrand factor and its propolypeptide.
AB - BACKGROUND: Weibel-Palade bodies (WPB) are endothelial cell (EC) specific
secretory organelles containing Von Willebrand factor (VWF). The temperature
dependence of Ca(2+)-driven WPB exocytosis is not known, although indirect
evidence suggests that WPB exocytosis may occur at very low temperatures. Here we
quantitatively analyse the temperature-dependence of Ca(2+)-driven WPB exocytosis
and release of secreted VWF from the cell surface of ECs using fluorescence
microscopy of cultured human ECs containing fluorescent WPBs. PRINCIPAL FINDINGS:
Ca(2+)-driven WPB exocytosis occurred at all temperatures studied (7-37 degrees
C). The kinetics and extent of WPB exocytosis were strongly temperature
dependent: Delays in exocytosis increased from 0.92 s at 37 degrees C to 134.2 s
at 7 degrees C, the maximum rate of WPB fusion decreased from 10.0+/-2.2 s(-1)
(37 degrees C) to 0.80+/-0.14 s(-1) (7 degrees C) and the fractional extent of
degranulation of WPBs in each cell from 67+/-3% (37 degrees C) to 3.6+/-1.3% (7
degrees C). A discrepancy was found between the reduction in Ca(2+)-driven VWF
secretion and WPB exocytosis at reduced temperature; at 17 degrees C VWF
secretion was reduced by 95% but WPB exocytosis by 75-80%. This discrepancy
arises because VWF dispersal from sites of WPB exocytosis is largely prevented at
low temperature. In contrast VWF-propolypeptide (proregion) dispersal from WPBs,
although slowed, was complete within 60-120 s. Novel antibodies to the cleaved
and processed proregion were characterised and used to show that secreted
proregion more accurately reports the secretion of WPBs at sub-physiological
temperatures than assay of VWF itself. CONCLUSIONS: We report the first
quantitative analysis of the temperature-dependence of WPB exocytosis. We provide
evidence; by comparison of biochemical data for VWF or proregion secretion with
direct analysis of WPB exocytosis at reduced temperature, that proregion is a
more reliable marker for WPB exocytosis at reduced temperature, where VWF-EC
adhesion is increased.
PMID- 22096551
TI - Risk behaviors and reasons for not getting tested for HIV among men who have sex
with men: an online survey in Peru.
AB - BACKGROUND: Men who have sex with men (MSM) account for the greatest burden of
the HIV epidemic in Peru. Given that MSM are frequent users of the Internet,
understanding the risk behaviors and the reasons for not getting tested among MSM
who surf the Internet may improve the tailoring of future online behavioral
interventions. METHODS: From October 2007 to April 2008, we conducted an online
survey among users of seven Peruvian gay websites. RESULTS: We received 1,481
surveys, 1,301 of which were included in the analysis. The median age of the
participants was 22.5 years (range 12-71), 67% were homosexual, and the remainder
was bisexual. Of survey respondents, 49.4% had never been tested for HIV and only
11.3% were contacted in-person during the last year by peer health educators from
the Peruvian Ministry of Health and NGOs. Additionally, 50.8% had unprotected
anal or vaginal sex at last intercourse, and a significant percentage reported a
condom broken (22.1%), slipped (16.4%) or sexual intercourse initiated without
wearing a condom (39.1%). The most common reasons for not getting tested for HIV
among high-risk MSM were "I fear the consequences of a positive test result" (n =
55, 34.4%), and "I don't know where I can get tested" (n = 50, 31.3%).
CONCLUSIONS: A small percentage of Peruvian MSM who answered our online survey,
were reached by traditional peer-based education programs. Given that among high
risk MSM, fear of a positive test result and lack of awareness of places where to
get tested are the most important reasons for not taking an HIV test, Internet
interventions aimed at motivating HIV testing should work to reduce fear of
testing and increase awareness of places that offer free HIV testing services to
MSM.
PMID- 22096552
TI - Next generation sequencing-based analysis of repetitive DNA in the model
dioecious [corrected] plant Silene latifolia.
AB - BACKGROUND: Silene latifolia is a dioecious [corrected] plant with well
distinguished X and Y chromosomes that is used as a model to study sex
determination and sex chromosome evolution in plants. However, efficient
utilization of this species has been hampered by the lack of large-scale
sequencing resources and detailed analysis of its genome composition, especially
with respect to repetitive DNA, which makes up the majority of the genome.
METHODOLOGY/PRINCIPAL FINDINGS: We performed low-pass 454 sequencing followed by
similarity-based clustering of 454 reads in order to identify and characterize
sequences of all major groups of S. latifolia repeats. Illumina sequencing data
from male and female genomes were also generated and employed to quantify the
genomic proportions of individual repeat families. The majority of identified
repeats belonged to LTR-retrotransposons, constituting about 50% of genomic DNA,
with Ty3/gypsy elements being more frequent than Ty1/copia. While there were
differences between the male and female genome in the abundance of several repeat
families, their overall repeat composition was highly similar. Specific
localization patterns on sex chromosomes were found for several satellite repeats
using in situ hybridization with probes based on k-mer frequency analysis of
Illumina sequencing data. CONCLUSIONS/SIGNIFICANCE: This study provides
comprehensive information about the sequence composition and abundance of repeats
representing over 60% of the S. latifolia genome. The results revealed generally
low divergence in repeat composition between the sex chromosomes, which is
consistent with their relatively recent origin. In addition, the study generated
various data resources that are available for future exploration of the S.
latifolia genome.
PMID- 22096553
TI - Internal colonization of Salmonella enterica serovar Typhimurium in tomato
plants.
AB - Several Salmonella enterica outbreaks have been traced back to contaminated
tomatoes. In this study, the internalization of S. enterica Typhimurium via
tomato leaves was investigated as affected by surfactants and bacterial rdar
morphotype, which was reported to be important for the environmental persistence
and attachment of Salmonella to plants. Surfactants, especially Silwet L-77,
promoted ingress and survival of S. enterica Typhimurium in tomato leaves. In
each of two experiments, 84 tomato plants were inoculated two to four times
before fruiting with GFP-labeled S. enterica Typhimurium strain MAE110 (with rdar
morphotype) or MAE119 (without rdar). For each inoculation, single leaflets were
dipped in 10(9) CFU/ml Salmonella suspension with Silwet L-77. Inoculated and
adjacent leaflets were tested for Salmonella survival for 3 weeks after each
inoculation. The surface and pulp of ripe fruits produced on these plants were
also examined for Salmonella. Populations of both Salmonella strains in
inoculated leaflets decreased during 2 weeks after inoculation but remained
unchanged (at about 10(4) CFU/g) in week 3. Populations of MAE110 were
significantly higher (P<0.05) than those of MAE119 from day 3 after inoculation.
In the first year, nine fruits collected from one of the 42 MAE119 inoculated
plants were positive for S. enterica Typhimurium. In the second year, Salmonella
was detected in adjacent non-inoculated leaves of eight tomato plants (five
inoculated with strain MAE110). The pulp of 12 fruits from two plants inoculated
with MAE110 was Salmonella positive (about 10(6) CFU/g). Internalization was
confirmed by fluorescence and confocal laser microscopy. For the first time,
convincing evidence is presented that S. enterica can move inside tomato plants
grown in natural field soil and colonize fruits at high levels without inducing
any symptoms, except for a slight reduction in plant growth.
PMID- 22096554
TI - Origins and evolution of the HET-s prion-forming protein: searching for other
amyloid-forming solenoids.
AB - The HET-s prion-forming domain from the filamentous fungus Podospora anserina is
gaining considerable interest since it yielded the first well-defined atomic
structure of a functional amyloid fibril. This structure has been identified as a
left-handed beta solenoid with a triangular hydrophobic core. To delineate the
origins of the HET-s prion-forming protein and to discover other amyloid-forming
proteins, we searched for all homologs of the HET-s protein in a database of
protein domains and fungal genomes, using a combined application of HMM, psi
blast and pGenThreader techniques, and performed a comparative evolutionary
analysis of the N-terminal alpha-helical domain and the C-terminal prion-forming
domain of HET-s. By assessing the tandem evolution of both domains, we observed
that the prion-forming domain is restricted to Sordariomycetes, with a marginal
additional sequence homolog in Arthroderma otae as a likely case of horizontal
transfer. This suggests innovation and rapid evolution of the solenoid fold in
the Sordariomycetes clade. In contrast, the N-terminal domain evolves at a slower
rate (in Sordariomycetes) and spans many diverse clades of fungi. We performed a
full three-dimensional protein threading analysis on all identified HET-s
homologs against the HET-s solenoid fold, and present detailed structural
annotations for identified structural homologs to the prion-forming domain. An
analysis of the physicochemical characteristics in our set of structural models
indicates that the HET-s solenoid shape can be readily adopted in these homologs,
but that they are all less optimized for fibril formation than the P. anserina
HET-s sequence itself, due chiefly to the presence of fewer asparagine ladders
and salt bridges. Our combined structural and evolutionary analysis suggests that
the HET-s shape has "limited scope" for amyloidosis across the wider protein
universe, compared to the 'generic' left-handed beta helix. We discuss the
implications of our findings on future identification of amyloid-forming proteins
sharing the solenoid fold.
PMID- 22096555
TI - Avian conservation practices strengthen ecosystem services in California
vineyards.
AB - Insectivorous Western Bluebirds (Sialia mexicana) occupy vineyard nest boxes
established by California winegrape growers who want to encourage avian
conservation. Experimentally, the provision of available nest sites serves as an
alternative to exclosure methods for isolating the potential ecosystem services
provided by foraging birds. We compared the abundance and species richness of
avian foragers and removal rates of sentinel prey in treatments with songbird
nest boxes and controls without nest boxes. The average species richness of avian
insectivores increased by over 50 percent compared to controls. Insectivorous
bird density nearly quadrupled, primarily due to a tenfold increase in Western
Bluebird abundance. In contrast, there was no significant difference in the
abundance of omnivorous or granivorous bird species some of which
opportunistically forage on grapes. In a sentinel prey experiment, 2.4 times more
live beet armyworms (Spodoptera exigua) were removed in the nest box treatment
than in the control. As an estimate of the maximum foraging services provided by
insectivorous birds, we found that larval removal rates measured immediately
below occupied boxes averaged 3.5 times greater than in the control. Consequently
the presence of Western Bluebirds in vineyard nest boxes strengthened ecosystem
services to winegrape growers, illustrating a benefit of agroecological
conservation practices. Predator addition and sentinel prey experiments lack some
disadvantages of predator exclusion experiments and were robust methodologies for
detecting ecosystem services.
PMID- 22096556
TI - Advances in ITP--therapy and quality of life--a patient survey.
AB - BACKGROUND: Current guidelines recommend glucocorticoids and splenectomy as
standard 1(st) and 2(nd) line treatments for chronic immune thrombocytopenia
(ITP). We sought to find out how German ITP-patients are treated with respect to
these guidelines. METHODS: Members of a patient support association >=18 years
with a self-reported history of chronic ITP>12 months were surveyed with a web
based questionnaire. RESULTS: 122 questionnaires were evaluated. 70% of patients
had chronic ITP for more than 5 years and 20% an average platelet count of
<=30.10(9)/L. 41% of the patients reported haematomas or petechiae more than once
or twice and up to 12 times or more per year and 17% oropharyngeal and nasal
bleeds. 11% had been admitted to hospital during the last 12 months. 88% had
received or currently receive glucocorticoids, 27% were splenectomised. IVIG had
been given to 55%, rituximab to 22%, anti-D to 12%, ciclosporin to 7%, while
complementary and alternative medical treatments had been used by 36%. 50 women
responded to questions concerning pregnancy. 14 (28%) had been advised not to
become pregnant. 23 reported pregnancies and 10 (44%) required treatment for
their ITP during pregnancy. CONCLUSION: Glucocorticoids are the most common
therapy for chronic ITP but complementary and alternative treatments already come
second and less than 1/3 of patients are splenectomised. This and the frequent
use of complementary medicines suggests patients' dissatisfaction with
conventional approaches. Many patients receive off-label therapies. There is a
major need for adequate counselling and care for pregnant ITP-patients.
PMID- 22096557
TI - IL-2 stimulated but not unstimulated NK cells induce selective disappearance of
peripheral blood cells: concomitant results to a phase I/II study.
AB - In an ongoing clinical phase I/II study, 16 pediatric patients suffering from
high risk leukemia/tumors received highly purified donor natural killer (NK) cell
immunotherapy (NK-DLI) at day (+3) +40 and +100 post haploidentical stem cell
transplantation. However, literature about the influence of NK-DLI on recipient's
immune system is scarce. Here we present concomitant results of a noninvasive in
vivo monitoring approach of recipient's peripheral blood (PB) cells after
transfer of either unstimulated (NK-DLI(unstim)) or IL-2 (1000 U/ml, 9-14 days)
activated NK cells (NK-DLI(IL-2 stim)) along with their ex vivo secreted
cytokine/chemokines. We performed phenotypical and functional characterizations
of the NK-DLIs, detailed flow cytometric analyses of various PB cells and
comprehensive cytokine/chemokine arrays before and after NK-DLI. Patients of both
groups were comparable with regard to remission status, immune reconstitution,
donor chimerism, KIR mismatching, stem cell and NK-DLI dose. Only after NK-DLI(IL
2 stim) was a rapid, almost complete loss of CD56(bright)CD16(dim/-) immune
regulatory and CD56(dim)CD16(+) cytotoxic NK cells, monocytes, dendritic cells
and eosinophils from PB circulation seen 10 min after infusion, while neutrophils
significantly increased. The reduction of NK cells was due to both, a decrease in
patients' own CD69(-) NCR(low)CD62L(+) NK cells as well as to a diminishing of
the transferred cells from the NK-DLI(IL-2 stim) with the CD56(bright)CD16(+/
)CD69(+)NCR(high)CD62L(-) phenotype. All cell counts recovered within the next 24
h. Transfer of NK-DLI(IL-2 stim) translated into significantly increased levels
of various cytokines/chemokines (i.e. IFN-gamma, IL-6, MIP-1beta) in patients'
PB. Those remained stable for at least 1 h, presumably leading to endothelial
activation, leukocyte adhesion and/or extravasation. In contrast, NK-DLI(unstim)
did not cause any of the observed effects. In conclusion, we assume that the
adoptive transfer of NK-DLI(IL-2 stim) under the influence of ex vivo and in vivo
secreted cytokines/chemokines may promote NK cell trafficking and therefore might
enhance efficacy of immunotherapy.
PMID- 22096558
TI - Characterization of the response of primary cells relevant to dialysis-related
amyloidosis to beta2-microglobulin monomer and fibrils.
AB - The formation of insoluble amyloid fibrils is associated with an array of
devastating human diseases. Dialysis-related amyloidosis (DRA) is a severe
complication of hemodialysis that results in the progressive destruction of the
bones and joints. Elevated concentrations of beta(2)-microglobulin (beta(2)m) in
the serum of subjects on hemodialysis promote the formation of amyloid fibrils in
the osteoarticular tissues, but the cellular basis for the destruction of these
tissues in DRA is poorly understood. In this study we performed a systematic
analysis of the interaction of monomeric and fibrillar beta(2)m with primary
human cells of the types present in the synovial joints of subjects with DRA.
Building upon observations that macrophages infiltrate beta(2)m amyloid deposits
in vivo we demonstrate that monocytes, the precursors of macrophages, cannot
degrade beta(2)m fibrils, and that both monomeric beta(2)m and fibrillar beta(2)m
are cytotoxic to these cells. beta(2)m fibrils also impair the formation of bone
resorbing osteoclasts from monocytes and reduce the viability of osteoblasts, the
cell type that produces bone. As a consequence, we predict that beta(2)m amyloid
will disrupt the remodelling of the bone, which is critical for the maintenance
of this tissue. Moreover, we show that beta(2)m fibrils reduce the viability of
chondrocytes, rationalizing the loss of cartilage in DRA. Together, our
observations demonstrate that beta(2)m cytotoxicity has multiple cellular targets
in the osteoarticular tissues and is likely to be a key factor in the bone and
joint destruction characteristic of DRA.
PMID- 22096560
TI - Predator-prey dynamics driven by feedback between functionally diverse trophic
levels.
AB - Neglecting the naturally existing functional diversity of communities and the
resulting potential to respond to altered conditions may strongly reduce the
realism and predictive power of ecological models. We therefore propose and study
a predator-prey model that describes mutual feedback via species shifts in both
predator and prey, using a dynamic trait approach. Species compositions of the
two trophic levels were described by mean functional traits--prey edibility and
predator food-selectivity--and functional diversities by the variances. Altered
edibility triggered shifts in food-selectivity so that consumers continuously
respond to the present prey composition, and vice versa. This trait-mediated
feedback mechanism resulted in a complex dynamic behavior with ongoing
oscillations in the mean trait values, reflecting continuous reorganization of
the trophic levels. The feedback was only possible if sufficient functional
diversity was present in both trophic levels. Functional diversity was internally
maintained on the prey level as no niche existed in our system, which was ideal
under any composition of the predator level due to the trade-offs between
edibility, growth and carrying capacity. The predators were only subject to one
trade-off between food-selectivity and grazing ability and in the absence of
immigration, one predator type became abundant, i.e., functional diversity
declined to zero. In the lack of functional diversity the system showed the same
dynamics as conventional models of predator-prey interactions ignoring the
potential for shifts in species composition. This way, our study identified the
crucial role of trade-offs and their shape in physiological and ecological traits
for preserving diversity.
PMID- 22096559
TI - Molecular basis of virulence in Staphylococcus aureus mastitis.
AB - BACKGROUND: S. aureus is one of the main pathogens involved in ruminant mastitis
worldwide. The severity of staphylococcal infection is highly variable, ranging
from subclinical to gangrenous mastitis. This work represents an in-depth
characterization of S. aureus mastitis isolates to identify bacterial factors
involved in severity of mastitis infection. METHODOLOGY/PRINCIPAL FINDINGS: We
employed genomic, transcriptomic and proteomic approaches to comprehensively
compare two clonally related S. aureus strains that reproducibly induce severe
(strain O11) and milder (strain O46) mastitis in ewes. Variation in the content
of mobile genetic elements, iron acquisition and metabolism, transcriptional
regulation and exoprotein production was observed. In particular, O11 produced
relatively high levels of exoproteins, including toxins and proteases known to be
important in virulence. A characteristic we observed in other S. aureus strains
isolated from clinical mastitis cases. CONCLUSIONS/SIGNIFICANCE: Our data are
consistent with a dose-dependant role of some staphylococcal factors in the
hypervirulence of strains isolated from severe mastitis. Mobile genetic elements,
transcriptional regulators, exoproteins and iron acquisition pathways constitute
good targets for further research to define the underlying mechanisms of mastitis
severity.
PMID- 22096561
TI - Decreased glomerular filtration rate is associated with mortality and
cardiovascular events in patients with hypertension: a prospective study.
AB - BACKGROUND: Few studies reported the associations between decreased glomerular
filtration rate (GFR) and mortality, coronary heart disease (CHD), and stroke in
hypertensive patients. We aim to assess the associations between GFR and
mortality, CHD, and stroke in hypertensive patients and to evaluate whether low
GFR can improve the prediction of these outcomes in addition to conventional
cardiovascular risk factors. METHODS AND FINDINGS: This is an observational
prospective study and 3,711 eligible hypertensive patients aged >=5 years from
rural areas of China were used for the present analysis. The associations between
eGFR and outcomes, followed by a median of 4.9 years, were evaluated using Cox
proportional hazards models adjusting for other potential confounders. Low eGFR
was independently associated with risk of all-cause mortality, cardiovascular
mortality, and incident stroke [multivariable adjusted hazard ratios (95%
confidence intervals) for eGFR <60 ml/min/1.73 m(2) relative to eGFR >=90
ml/min/1.73 m(2) were 1.824 (1.047-3.365), 2.371 (1.109-5.068), and 2.493 (1.193
5.212), respectively]. We found no independent association between eGFR and the
risk of CHD. For 4-year all-cause and cardiovascular mortality, integrated
discrimination improvement (IDI) was positive when eGFR were added to traditional
risk factors (1.51%, P = 0.016, and 1.99%, P = 0.017, respectively). For stroke
and CHD events, net reclassification improvements (NRI) were 5.9% (P = 0.012) and
1.8% (P = 0.083) for eGFR, respectively. CONCLUSIONS: We have established an
inversely independent association between eGFR and all-cause mortality,
cardiovascular mortality, and stroke in hypertensive patients in rural areas of
China. Further, addition of eGFR significantly improved the prediction of 4-year
mortality and stroke over and above that of conventional risk factors. We
recommend that eGFR be incorporated into prognostic assessment for patients with
hypertension in rural areas of China. LIMITATIONS: We did not have sufficient
information on atrial fibrillation to control for the potential covariate. These
associations should be further confirmed in future.
PMID- 22096562
TI - The role of proline rich tyrosine kinase 2 (Pyk2) on cisplatin resistance in
hepatocellular carcinoma.
AB - AIMS: We previously demonstrated Proline rich tyrosine kinase 2 (Pyk2) plays
important roles in regulating tumor progression, migration and invasion in
hepatocellular carcinoma (HCC). In this study, we aimed to examine the role of
proline rich tyrosine kinase 2 (Pyk2) on cisplatin resistance in HCC and to
explore its underlying molecular mechanism. METHODOLOGY/PRINCIPAL FINDINGS:
Stable transfectants either overexpressing or suppressing Pyk2 were established
in different HCC cell lines. MTT, colony formation and Annexin-V assays were
employed to examine their in vitro responses to cisplatin. Xenograft ectopic and
orthotopic nude mice models were generated to investigate the in vivo responses
of them to cisplatin treatment. cDNA microarray was performed to identify Pyk2
induced genes which were further validated by quantitative real-time RT-PCR using
clinical HCC samples. In vitro functional study demonstrated that Pyk2
overexpressing HCC transfectants exhibited relatively lower cytotoxicity, higher
colony-forming ability and lower apoptosis to cisplatin compared with the control
transfectants. Moreover, Pyk2 overexpressing HCC transfectants had a higher
survival rate under cisplatin treatment by up-regulation of AKT phosphorylation.
In vivo xenograft nude mice model demonstrated that Pyk2-overexpressing
transfectants developed higher tolerance to cisplatin treatment together with
less tumor necrosis and apoptosis. cDNA microarray analysis revealed that there
were more than 4,000 genes differentially expressed upon overexpression of Pyk2.
Several upregulated genes were found to be involved in drug resistance and
invasion in cancers. Among them, the expression profiles of MDR1, GAGE1, STAT1
and MAP7 were significantly associated with the expression of Pyk2 in clinical
HCC samples. CONCLUSIONS: Our results may suggest a new evidence of Pyk2 on
promoting cisplatin resistance of HCC cells through preventing cell apoptosis,
activation of AKT pathway and upregulation of drug resistant genes.
PMID- 22096563
TI - Large-scale protein-protein interaction analysis in Arabidopsis mesophyll
protoplasts by split firefly luciferase complementation.
AB - Protein-protein interactions (PPIs) constitute the regulatory network that
coordinates diverse cellular functions. There are growing needs in plant research
for creating protein interaction maps behind complex cellular processes and at a
systems biology level. However, only a few approaches have been successfully used
for large-scale surveys of PPIs in plants, each having advantages and
disadvantages. Here we present split firefly luciferase complementation (SFLC) as
a highly sensitive and noninvasive technique for in planta PPI investigation. In
this assay, the separate halves of a firefly luciferase can come into close
proximity and transiently restore its catalytic activity only when their fusion
partners, namely the two proteins of interest, interact with each other. This
assay was conferred with quantitativeness and high throughput potential when the
Arabidopsis mesophyll protoplast system and a microplate luminometer were
employed for protein expression and luciferase measurement, respectively. Using
the SFLC assay, we could monitor the dynamics of rapamycin-induced and ascomycin
disrupted interaction between Arabidopsis FRB and human FKBP proteins in a near
real-time manner. As a proof of concept for large-scale PPI survey, we further
applied the SFLC assay to testing 132 binary PPIs among 8 auxin response factors
(ARFs) and 12 Aux/IAA proteins from Arabidopsis. Our results demonstrated that
the SFLC assay is ideal for in vivo quantitative PPI analysis in plant cells and
is particularly powerful for large-scale binary PPI screens.
PMID- 22096564
TI - Defining the role of essential genes in human disease.
AB - A greater understanding of the causes of human disease can come from identifying
characteristics that are specific to disease genes. However, a full understanding
of the contribution of essential genes to human disease is lacking, due to the
premise that these genes tend to cause developmental abnormalities rather than
adult disease. We tested the hypothesis that human orthologs of mouse essential
genes are associated with a variety of human diseases, rather than only those
related to miscarriage and birth defects. We segregated human disease genes
according to whether the knockout phenotype of their mouse ortholog was lethal or
viable, defining those with orthologs producing lethal knockouts as essential
disease genes. We show that the human orthologs of mouse essential genes are
associated with a wide spectrum of diseases affecting diverse physiological
systems. Notably, human disease genes with essential mouse orthologs are over
represented among disease genes associated with cancer, suggesting links between
adult cellular abnormalities and developmental functions. The proteins encoded by
essential genes are highly connected in protein-protein interaction networks,
which we find correlates with an over-representation of nuclear proteins amongst
essential disease genes. Disease genes associated with essential orthologs also
are more likely than those with non-essential orthologs to contribute to disease
through an autosomal dominant inheritance pattern, suggesting that these diseases
may actually result from semi-dominant mutant alleles. Overall, we have described
attributes found in disease genes according to the essentiality status of their
mouse orthologs. These findings demonstrate that disease genes do occupy highly
connected positions in protein-protein interaction networks, and that due to the
complexity of disease-associated alleles, essential genes cannot be ignored as
candidates for causing diverse human diseases.
PMID- 22096565
TI - IRF8 governs expression of genes involved in innate and adaptive immunity in
human and mouse germinal center B cells.
AB - IRF8 (Interferon Regulatory Factor 8) is a transcription factor expressed
throughout B cell differentiation except for mature plasma cells. Previous
studies showed it is part of the transcriptional network governing B cell
specification and commitment in the bone marrow, regulates the distribution of
mature B cells into the splenic follicular and marginal zone compartments, and is
expressed at highest levels in germinal center (GC) B cells. Here, we
investigated the transcriptional programs and signaling pathways affected by IRF8
in human and mouse GC B cells as defined by ChIP-chip analyses and
transcriptional profiling. We show that IRF8 binds a large number of genes by
targeting two distinct motifs, half of which are also targeted by PU.1. Over 70%
of the binding sites localized to proximal and distal promoter regions with ~25%
being intragenic. There was significant enrichment among targeted genes for those
involved in innate and adaptive immunity with over 30% previously defined as
interferon stimulated genes. We also showed that IRF8 target genes contributes to
multiple aspects of the biology of mature B cells including critical components
of the molecular crosstalk among GC B cells, T follicular helper cells, and
follicular dendritic cells.
PMID- 22096566
TI - Distribution and characterization of progenitor cells within the human filum
terminale.
AB - BACKGROUND: Filum terminale (FT) is a structure that is intimately associated
with conus medullaris, the most caudal part of the spinal cord. It is well
documented that certain regions of the adult human central nervous system
contains undifferentiated, progenitor cells or multipotent precursors. The
primary objective of this study was to describe the distribution and progenitor
features of this cell population in humans, and to confirm their ability to
differentiate within the neuroectodermal lineage. METHODOLOGY/PRINCIPAL FINDINGS:
We demonstrate that neural stem/progenitor cells are present in FT obtained from
patients treated for tethered cord. When human or rat FT-derived cells were
cultured in defined medium, they proliferated and formed neurospheres in 13 out
of 21 individuals. Cells expressing Sox2 and Musashi-1 were found to outline the
central canal, and also to be distributed in islets throughout the whole FT.
Following plating, the cells developed antigen profiles characteristic of
astrocytes (GFAP) and neurons (beta-III-tubulin). Addition of PDGF-BB directed
the cells towards a neuronal fate. Moreover, the cells obtained from young donors
shows higher capacity for proliferation and are easier to expand than cells
derived from older donors. CONCLUSION/SIGNIFICANCE: The identification of bona
fide neural progenitor cells in FT suggests a possible role for progenitor cells
in this extension of conus medullaris and may provide an additional source of
such cells for possible therapeutic purposes. Filum terminale, human, progenitor
cells, neuron, astrocytes, spinal cord.
PMID- 22096567
TI - Mutagen-specific mutation signature determines global microRNA binding.
AB - Micro-RNAs (miRNAs) are small non-coding RNAs that regulate gene products at the
post-transcriptional level. It is thought that loss of cell regulation by miRNAs
supports cancer development. Based on whole genome sequencing of a melanoma
tumor, we predict, using three different computational algorithms, that the
melanoma somatic mutations globally reduce binding of miRNAs to the mutated
3'UTRs. This phenomenon reflects the nature of the characteristic UV-induced
mutation, C-to-T. Furthermore, we show that seed regions are enriched with
Guanine, thus rendering miRNAs prone to reduced binding to UV-mutated 3'UTRs.
Accordingly, mutation patterns in non UV-induced malignancies e.g. lung cancer
and leukemia do not yield similar predictions. It is suggested that UV-induced
disruption of miRNA-mediated gene regulation plays a carcinogenic role.
Remarkably, dark-skinned populations have significantly higher GC content in
3'UTR SNPs than light-skinned populations, which implies on evolutionary pressure
to preserve regulation by trans-acting oligonucleotides under conditions with
excess UV radiation.
PMID- 22096568
TI - Construction and characterization of single-chain variable fragment antibody
library derived from germline rearranged immunoglobulin variable genes.
AB - Antibody repertoires for library construction are conventionally harvested from
mRNAs of immune cells. To examine whether germline rearranged immunoglobulin (Ig)
variable region genes could be used as source of antibody repertoire, an
immunized phage-displayed scFv library was prepared using splenocytic genomic DNA
as template. In addition, a novel frame-shifting PCR (fsPCR) step was introduced
to rescue stop codon and to enhance diversity of the complementarity-determining
region 3 (CDR3). The germline scFv library was initially characterized against
the hapten antigen phenyloxazolone (phOx). Sequence analysis of the phOx
selective scFvs indicated that the CDRs consisted of novel as well as conserved
motifs. In order to illustrate that the diversity of CDR3 was increased by the
fsPCR step, a second scFv library was constructed using a single scFv clone L3G7C
as a template. Despite showing similar binding characteristics towards phOx, the
scFv clones that were obtained from the L3G7C-derived antibody library gave a
lower non-specific binding than that of the parental L3G7C clone. To determine
whether germline library represented the endogenous immune status, specific scFv
clones for nucleocapsid (N) protein of SARS-associated coronavirus (SCoV) were
obtained both from naive and immunized germline scFv libraries. Both libraries
yielded specific anti-N scFvs that exhibited similar binding characteristics
towards recombinant N protein, except the immunized library gave a larger number
of specific anti-N scFv, and clones with identical nucleotide sequences were
found. In conclusion, highly diversified antibody library can be efficiently
constructed using germline rearranged immunoglobulin variable genes as source of
antibody repertoires and fsPCR to diversify the CDR3.
PMID- 22096569
TI - Ku70 alleviates neurodegeneration in Drosophila models of Huntington's disease.
AB - DNA damage accumulates in genome DNA during the long life of neurons, thus DNA
damage repair is indispensable to keep normal functions of neurons. We previously
reported that Ku70, a critical molecule for DNA double strand break (DSB) repair,
is involved in the pathology of Huntington's disease (HD). Mutant huntingtin
(Htt) impaired Ku70 function via direct interaction, and Ku70 supplementation
recovered phenotypes of a mouse HD model. In this study, we generate multiple
Drosophila HD models that express mutant huntingtin (Htt) in eye or motor neuron
by different drivers and show various phenotypes. In such fly models, Ku70 co
expression recovers lifespan, locomotive activity and eye degeneration. In
contrast, Ku70 reduction by heterozygous null mutation or siRNA-mediated knock
down accelerates lifespan shortening and locomotion disability. These results
collectively support that Ku70 is a critical mediator of the HD pathology and a
candidate therapeutic target in HD.
PMID- 22096570
TI - Primary cilium depletion typifies cutaneous melanoma in situ and malignant
melanoma.
AB - Cutaneous melanoma is a lethal malignancy that arises spontaneously or via in
situ precursor neoplasms. While melanoma in situ and locally invasive malignant
melanoma can be cured surgically, these lesions can sometimes be difficult to
distinguish from melanocytic nevi. Thus, the identification of histolopathologic
or molecular features that distinguish these biologically distinct lesions would
represent an important advance. To this end, we determined the abundance of
melanocytic primary cilia in a series of 62 cases composed of typical cutaneous
melanocytic nevi, melanoma in situ, invasive melanoma, and metastatic melanoma.
Primary cilia are sensory organelles that modulate developmental and adaptive
signaling and notably, are substantially depleted from the neoplastic epithelium
of pancreatic carcinoma at a stage equivalent to melanoma in situ. In this
series, we find that while nearly all melanocytes in 22 melanocytic nevi
possessed a primary cilium, a near-complete loss of this organelle was observed
in 16 cases of melanoma in situ, in 16 unequivocal primary invasive melanomas,
and in 8 metastatic tumors, each associated with a cutaneous primary lesion.
These findings suggest that the primary cilium may be used to segregate cutaneous
invasive melanoma and melanoma in situ from melanocytic nevi. Moreover, they
place the loss of an organelle known to regulate oncogenic signaling at an early
stage of melanoma development.
PMID- 22096571
TI - DNA replication timing is maintained genome-wide in primary human myoblasts
independent of D4Z4 contraction in FSH muscular dystrophy.
AB - Facioscapulohumeral muscular dystrophy (FSHD) is linked to contraction of an
array of tandem 3.3-kb repeats (D4Z4) at 4q35.2 from 11-100 copies to 1-10
copies. The extent to which D4Z4 contraction at 4q35.2 affects overall 4q35.2
chromatin organization remains unclear. Because DNA replication timing is highly
predictive of long-range chromatin interactions, we generated genome-wide
replication-timing profiles for FSHD and control myogenic precursor cells. We
compared non-immortalized myoblasts from four FSHD patients and three control
individuals to each other and to a variety of other human cell types. This study
also represents the first genome-wide comparison of replication timing profiles
in non-immortalized human cell cultures. Myoblasts from both control and FSHD
individuals all shared a myoblast-specific replication profile. In contrast, male
and female individuals were readily distinguished by monoallelic differences in
replication timing at DXZ4 and other regions across the X chromosome affected by
X inactivation. We conclude that replication timing is a robust cell-type
specific feature that is unaffected by FSHD-related D4Z4 contraction.
PMID- 22096572
TI - Fucoidan extract induces apoptosis in MCF-7 cells via a mechanism involving the
ROS-dependent JNK activation and mitochondria-mediated pathways.
AB - BACKGROUND: Fucoidan extract (FE), an enzymatically digested compound with a low
molecular weight, is extracted from brown seaweed. As a natural compound with
various actions, FE is attractive, especially in Asian countries, for improving
the therapeutic efficacy and safety of cancer treatment. The present study was
carried out to investigate the anti-tumor properties of FE in human carcinoma
cells and further examine the underlying mechanisms of its activities.
METHODOLOGY/PRINCIPAL FINDING: FE inhibits the growth of MCF-7, MDA-MB-231, HeLa,
and HT1080 cells. FE-mediated apoptosis in MCF-7 cancer cells is accompanied by
DNA fragmentation, nuclear condensation, and phosphatidylserine exposure. FE
induces mitochondrial membrane permeabilization (MMP) through loss of
mitochondrial membrane potential (DeltaPsim) and regulation of the expression of
Bcl-2 family members. Release of apoptosis-inducing factor (AIF) and cytochrome c
precedes MMP. AIF release causes DNA fragmentation, the final stage of apoptosis,
via a caspase-independent mitochondrial pathway. Additionally, FE was found to
induce phosphorylation of c-Jun N-terminal kinase (JNK), p38, and extracellular
signal-regulated kinase (ERK) 1/2, and apoptosis was found to be attenuated by
inhibition of JNK. Furthermore, FE-mediated apoptosis was found to involve the
generation of reactive oxygen species (ROS), which are responsible for the
decrease of DeltaPsim and phosphorylation of JNK, p38, and ERK1/2 kinases.
CONCLUSIONS/SIGNIFICANCE: These data suggest that FE activates a caspase
independent apoptotic pathway in MCF-7 cancer cells through activation of ROS
mediated MAP kinases and regulation of the Bcl-2 family protein-mediated
mitochondrial pathway. They also provide evidence that FE deserves further
investigation as a natural anticancer and cancer preventive agent.
PMID- 22096573
TI - Semaphorin 3A contributes to distal pulmonary epithelial cell differentiation and
lung morphogenesis.
AB - RATIONALE: Semaphorin 3A (Sema3A) is a neural guidance cue that also mediates
cell migration, proliferation and apoptosis, and inhibits branching
morphogenesis. Because we have shown that genetic deletion of neuropilin-1, which
encodes an obligatory Sema3A co-receptor, influences airspace remodeling in the
smoke-exposed adult lung, we sought to determine whether genetic deletion of
Sema3A altered distal lung structure. METHODS: To determine whether loss of
Sema3A signaling influenced distal lung morphology, we compared pulmonary
histology, distal epithelial cell morphology and maturation, and the balance
between lung cell proliferation and death, in lungs from mice with a targeted
genetic deletion of Sema3A (Sema3A(-/-)) and wild-type (Sema3A(+/+)) littermate
controls. RESULTS: Genetic deletion of Sema3A resulted in significant perinatal
lethality. At E17.5, lungs from Sema3A(-/-) mice had thickened septae and reduced
airspace size. Distal lung epithelial cells had increased intracellular glycogen
pools and small multivesicular and lamellar bodies with atypical ultrastructure,
as well as reduced expression of type I alveolar epithelial cell markers.
Alveolarization was markedly attenuated in lungs from the rare Sema3A(-/-) mice
that survived the immediate perinatal period. Furthermore, Sema3A deletion was
linked with enhanced postnatal alveolar septal cell death. CONCLUSIONS: These
data suggest that Sema3A modulates distal pulmonary epithelial cell development
and alveolar septation. Defining how Sema3A influences structural plasticity of
the developing lung is a critical first step for determining if this pathway can
be exploited to develop innovative strategies for repair after acute or chronic
lung injury.
PMID- 22096574
TI - The colony-stimulating factor-1 (CSF-1) receptor sustains ERK1/2 activation and
proliferation in breast cancer cell lines.
AB - Breast cancer is the second leading cause of cancer-related deaths in western
countries. Colony-Stimulating Factor-1 (CSF-1) and its receptor (CSF-1R) regulate
macrophage and osteoclast production, trophoblast implantation and mammary gland
development. The expression of CSF-1R and/or CSF-1 strongly correlates with poor
prognosis in several human epithelial tumors, including breast carcinomas. We
demonstrate that CSF-1 and CSF-1R are expressed, although at different levels, in
16/17 breast cancer cell lines tested with no differences among molecular
subtypes. The role of CSF-1/CSF-1R in the proliferation of breast cancer cells
was then studied in MDAMB468 and SKBR3 cells belonging to different subtypes. CSF
1 administration induced ERK1/2 phosphorylation and enhanced cell proliferation
in both cell lines. Furthermore, the inhibition of CSF-1/CSF-1R signaling, by CSF
1R siRNA or imatinib treatment, impaired CSF-1 induced ERK1/2 activation and cell
proliferation. We also demonstrate that c-Jun, cyclin D1 and c-Myc, known for
their involvement in cell proliferation, are downstream CSF-1R in breast cancer
cells. The presence of a proliferative CSF-1/CSF-1R autocrine loop involving
ERK1/2 was also found. The wide expression of the CSF-1/CSF-1R pair across breast
cancer cell subtypes supports CSF-1/CSF-1R targeting in breast cancer therapy.
PMID- 22096575
TI - SERCA2 regulates non-CF and CF airway epithelial cell response to ozone.
AB - Calcium mobilization can regulate a wide range of essential functions of
respiratory epithelium, including ion transport, ciliary beat frequency, and
secretion of mucus, all of which are modified in cystic fibrosis (CF). SERCA2, an
important controller of calcium signaling, is deficient in CF epithelium. We
conducted this study to determine whether SERCA2 deficiency can modulate airway
epithelial responses to environmental oxidants such as ozone. This could
contribute to the pathogenesis of pulmonary exacerbations, which are important
and frequent clinical events in CF. To address this, we used air-liquid interface
(ALI) cultures of non-CF and CF cell lines, as well as differentiated cultures of
cells derived from non-CF and CF patients. We found that ozone exposure caused
enhanced membrane damage, mitochondrial dysfunction and apoptotic cell death in
CF airway epithelial cell lines relative to non-CF. Ozone exposure caused
increased proinflammatory cytokine production in CF airway epithelial cell lines.
Elevated proinflammatory cytokine production also was observed in shRNA-mediated
SERCA2 knockdown cells. Overexpression of SERCA2 reversed ozone-induced
proinflammatory cytokine production. Ozone-induced proinflammatory cytokine
production was NF-kappaB- dependent. In a stable NF-kappaB reporter cell line,
SERCA2 inhibition and knockdown both upregulated cytomix-induced NF-kappaB
activity, indicating importance of SERCA2 in modulating NF-kappaB activity. In
this system, increased NF-kappaB activity was also accompanied by increased IL-8
production. Ozone also induced NF-kappaB activity and IL-8 release, an effect
that was greater in SERCA2-silenced NF-kappaB-reporter cells. SERCA2
overexpression reversed cytomix-induced increased IL-8 release and total nuclear
p65 in CFTR-deficient (16HBE-AS) cells. These studies suggest that SERCA2 is an
important regulator of the proinflammatory response of airway epithelial cells
and could be a potential therapeutic target.
PMID- 22096576
TI - Estrogen receptor alpha expression in podocytes mediates protection against
apoptosis in-vitro and in-vivo.
AB - CONTEXT/OBJECTIVE: Epidemiological studies have demonstrated that women have a
significantly better prognosis in chronic renal diseases compared to men. This
suggests critical influences of gender hormones on glomerular structure and
function. We examined potential direct protective effects of estradiol on
podocytes. METHODS: Expression of estrogen receptor alpha (ERalpha) was examined
in podocytes in vitro and in vivo. Receptor localization was shown using Western
blot of separated nuclear and cytoplasmatic protein fractions. Podocytes were
treated with Puromycin aminonucleoside (PAN, apoptosis induction), estradiol, or
both in combination. Apoptotic cells were detected with Hoechst nuclear staining
and Annexin-FITC flow cytometry. To visualize mitochondrial membrane potential
depolarization as an indicator for apoptosis, cells were stained with tetramethyl
rhodamine methylester (TMRM). Estradiol-induced phosphorylation of ERK1/2 and p38
MAPK was examined by Western blot. Glomeruli of ERalpha knock-out mice and wild
type controls were analysed by histomorphometry and immunohistochemistry.
RESULTS: ERalpha was consistently expressed in human and murine podocytes.
Estradiol stimulated ERalpha protein expression, reduced PAN-induced apoptosis in
vitro by 26.5+/-24.6% or 56.6+/-5.9% (flow cytometry or Hoechst-staining,
respectively; both p<0.05), and restored PAN-induced mitochondrial membrane
potential depolarization. Estradiol enhanced ERK1/2 phosphorylation. In ERalpha
knockout mice, podocyte number was reduced compared to controls (female/male:
80/86 vs. 132/135 podocytes per glomerulus, p<0.05). Podocyte volume was enhanced
in ERalpha knockout mice (female/male: 429/371 um(3) vs. 264/223 um(3) in
controls, p<0.05). Tgfbeta1 and collagen type IV expression were increased in
knockout mice, indicating glomerular damage. CONCLUSIONS: Podocytes express
ERalpha, whose activation leads to a significant protection against
experimentally induced apoptosis. Possible underlying mechanisms include
stabilization of mitochondrial membrane potential and activation of MAPK
signalling. Characteristic morphological changes indicating glomerulopathy in
ERalpha knock-out mice support the in vivo relevance of the ERalpha for podocyte
viability and function. Thus, our findings provide a novel model for the
protective influence of female gender on chronic glomerular diseases.
PMID- 22096578
TI - Fish geometry and electric organ discharge determine functional organization of
the electrosensory epithelium.
AB - Active electroreception in Gymnotus omarorum is a sensory modality that perceives
the changes that nearby objects cause in a self generated electric field. The
field is emitted as repetitive stereotyped pulses that stimulate skin
electroreceptors. Differently from mormyriformes electric fish, gymnotiformes
have an electric organ distributed along a large portion of the body, which fires
sequentially. As a consequence shape and amplitude of both, the electric field
generated and the image of objects, change during the electric pulse. To study
how G. omarorum constructs a perceptual representation, we developed a
computational model that allows the determination of the self-generated field and
the electric image. We verify and use the model as a tool to explore image
formation in diverse experimental circumstances. We show how the electric images
of objects change in shape as a function of time and position, relative to the
fish's body. We propose a theoretical framework about the organization of the
different perceptive tasks made by electroreception: 1) At the head region, where
the electrosensory mosaic presents an electric fovea, the field polarizing nearby
objects is coherent and collimated. This favors the high resolution sampling of
images of small objects and perception of electric color. Besides, the high
sensitivity of the fovea allows the detection and tracking of large faraway
objects in rostral regions. 2) In the trunk and tail region a multiplicity of
sources illuminate different regions of the object, allowing the characterization
of the shape and position of a large object. In this region, electroreceptors are
of a unique type and capacitive detection should be based in the pattern of the
afferents response. 3) Far from the fish, active electroreception is not possible
but the collimated field is suitable to be used for electrocommunication and
detection of large objects at the sides and caudally.
PMID- 22096577
TI - Defects in the medial entorhinal cortex and dentate gyrus in the mouse model of
Sanfilippo syndrome type B.
AB - Sanfilippo syndrome type B (MPS IIIB) is characterized by profound mental
retardation in childhood, dementia and death in late adolescence; it is caused by
deficiency of alpha-N-acetylglucosaminidase and resulting lysosomal storage of
heparan sulfate. A mouse model, generated by homologous recombination of the
Naglu gene, was used to study pathological changes in the brain. We found earlier
that neurons in the medial entorhinal cortex (MEC) and the dentate gyrus showed a
number of secondary defects, including the presence of hyperphosphorylated tau
(Ptau) detected with antibodies raised against Ptau in Alzheimer disease brain.
By further use of immunohistochemistry, we now show staining in neurons of the
same area for beta amyloid, extending the resemblance to Alzheimer disease. Ptau
inclusions in the dentate gyrus of MPS IIIB mice were reduced in number when the
mice were administered LiCl, a specific inhibitor of Gsk3beta. Additional
proteins found elevated in MEC include proteins involved in autophagy and the
heparan sulfate proteoglycans, glypicans 1 and 5, the latter closely related to
the primary defect. The level of secondary accumulations was associated with
elevation of glypican, as seen by comparing brains of mice at different ages or
with different mucopolysaccharide storage diseases. The MEC of an MPS IIIA mouse
had the same intense immunostaining for glypican 1 and other markers as MPS IIIB,
while MEC of MPS I and MPS II mice had weak staining, and MEC of an MPS VI mouse
had no staining at all for the same proteins. A considerable amount of glypican
was found in MEC of MPS IIIB mice outside of lysosomes. We propose that it is the
extralysosomal glypican that would be harmful to neurons, because its heparan
sulfate branches could potentiate the formation of Ptau and beta amyloid
aggregates, which would be toxic as well as difficult to degrade.
PMID- 22096580
TI - Astrovirus infection in hospitalized infants with severe combined
immunodeficiency after allogeneic hematopoietic stem cell transplantation.
AB - Infants with severe primary combined immunodeficiency (SCID) and children post
allogeneic hematopoietic stem cell transplantation (HSCT) are extremely
susceptible to unusual infections. The lack of generic tools to detect disease
causing viruses among more than 200 potential human viral pathogens represents a
major challenge to clinicians and virologists. We investigated retrospectively
the causes of a fatal disseminated viral infection with meningoencephalitis in an
infant with gamma C-SCID and of chronic gastroenteritis in 2 other infants
admitted for HSCT during the same time period. Analysis was undertaken by
combining cell culture, electron microscopy and sequence-independent single
primer amplification (SISPA) techniques. Caco-2 cells inoculated with fecal
samples developed a cytopathic effect and non-enveloped viral particles in
infected cells were detected by electron microscopy. SISPA led to the
identification of astrovirus as the pathogen. Both sequencing of the capsid gene
and the pattern of infection suggested nosocomial transmission from a chronically
excreting index case to 2 other patients leading to fatal infection in 1 and to
transient disease in the others. Virus-specific, real-time reverse transcription
polymerase chain reaction was then performed on different stored samples to
assess the extent of infection. Infection was associated with viremia in 2 cases
and contributed to death in 1. At autopsy, viral RNA was detected in the brain
and different other organs, while immunochemistry confirmed infection of
gastrointestinal tissues. This report illustrates the usefulness of the combined
use of classical virology procedures and modern molecular tools for the diagnosis
of unexpected infections. It illustrates that astrovirus has the potential to
cause severe disseminated lethal infection in highly immunocompromised pediatric
patients.
PMID- 22096579
TI - A role for the ubiquitin ligase Nedd4 in membrane sorting of LAPTM4 proteins.
AB - BACKGROUND: The lysosome associated protein transmembrane (LAPTM) family is
comprised of three members: LAPTM5, LAPTM4a and LAPTM4b, with the latter
previously shown to be overexpressed in numerous cancers. While we had
demonstrated earlier the requirement of the E3 ubiquitin ligase Nedd4 for LAPTM5
sorting to lysosomes, the regulation of sorting of LAPTM4 proteins is less clear.
METHODOLOGY/PRINCIPAL FINDINGS: Here we show that LAPTM4a and LAPTM4b are
localized to the lysosome, but unique to LAPTM4b, a fraction of it is present at
the plasma membrane and its overexpression induces the formation of actin-based
membrane protrusions. We demonstrate that LAPTM4s, like LAPTM5, are able to co
immunoprecipitate with the E3 ubiquitin ligase Nedd4, an interaction that is
dependent on LAPTM4 PY motifs and plays a role in membrane sorting. Accordingly,
in Nedd4 knockout mouse embryonic fibroblasts (MEFs), LAPTM4a and LAPTM4b show
reduced lysosomal localization. Moreover, lack of PY motifs leads to enhanced
missorting of LAPTM4b to the plasma membrane instead of the lysosome.
CONCLUSIONS/SIGNIFICANCE: These results suggest that while some requisites of
LAPTM5 lysosomal sorting are conserved among LAPTM4 proteins, LAPTM4a and LAPTM4b
have also developed distinct sorting requirements.
PMID- 22096581
TI - Metabolic patterns and biotransformation activities of resveratrol in human
glioblastoma cells: relevance with therapeutic efficacies.
AB - BACKGROUND: Trans-resveratrol rather than its biotransformed monosulfate
metabolite exerts anti-medulloblastoma effects by suppressing STAT3 activation.
Nevertheless, its effects on human glioblastoma cells are variable due to certain
unknown reason(s). METHODOLOGY/PRINCIPAL FINDINGS: Citing resveratrol-sensitive
UW228-3 medulloblastoma cell line and primarily cultured rat brain cells/PBCs as
controls, the effect of resveratrol on LN-18 human glioblastoma cells and its
relevance with metabolic pattern(s), brain-associated sulfotransferase/SULT
expression and the statuses of STAT3 signaling and protein inhibitor of activated
STAT3 (PIAS3) were elucidated by multiple experimental approaches. Meanwhile, the
expression patterns of three SULTs (SULT1A1, 1C2 and 4A1) in human glioblastoma
tumors were profiled immunohistochemically. The results revealed that 100 uM
resveratrol-treated LN-18 generated the same metabolites as UW228-3 cells, while
additional metabolite in molecular weight of 403.0992 in negative ion mode was
found in PBCs. Neither growth arrest nor apoptosis was found in resveratrol
treated LN-18 and PBC cells. Upon resveratrol treatment, the levels of SULT1A1,
1C2 and 4A1 expression in LN-18 cells were more up-regulated than that expressed
in UW228-3 cells and close to the levels in PBCs. Immunohistochemical staining
showed that 42.0%, 27.1% and 19.6% of 149 glioblastoma cases produced similar
SULT1A1, 1C2 and 4A1 levels as that of tumor-surrounding tissues. Unlike the
situation in UW228-3 cells, STAT3 signaling remained activated and its protein
inhibitor PIAS3 was restricted in the cytosol of resveratrol-treated LN-18 cells.
No nuclear translocation of STAT3 and PIAS3 was observed in resveratrol-treated
PBCs. Treatment with STAT3 chemical inhibitor, AG490, committed majority of LN-18
and UW228-3 cells but not PBCs to apoptosis within 48 hours.
CONCLUSIONS/SIGNIFICANCE: LN-18 glioblastoma cells are insensitive to resveratrol
due to the more inducible brain-associated SULT expression, insufficiency of
resveratrol to suppress activated STAT3 signaling and the lack of PIAS3 nuclear
translocation. The findings from PBCs suggest that an effective anticancer dose
of resveratrol exerts little side effect on normal brain cells.
PMID- 22096582
TI - Quantifying killing of orangutans and human-orangutan conflict in Kalimantan,
Indonesia.
AB - Human-orangutan conflict and hunting are thought to pose a serious threat to
orangutan existence in Kalimantan, the Indonesian part of Borneo. No data existed
prior to the present study to substantiate these threats. We investigated the
rates, spatial distribution and causes of conflict and hunting through an
interview-based survey in the orangutan's range in Kalimantan, Indonesia. Between
April 2008 and September 2009, we interviewed 6983 respondents in 687 villages to
obtain socio-economic information, assess knowledge of local wildlife in general
and orangutan encounters specifically, and to query respondents about their
knowledge on orangutan conflicts and killing, and relevant laws. This survey
revealed estimated killing rates of between 750 and 1800 animals killed in the
last year, and between 1950 and 3100 animals killed per year on average within
the lifetime of the survey respondents. These killing rates are higher than
previously thought and are high enough to pose a serious threat to the continued
existence of orangutans in Kalimantan. Importantly, the study contributes to our
understanding of the spatial variation in threats, and the underlying causes of
those threats, which can be used to facilitate the development of targeted
conservation management.
PMID- 22096583
TI - Arctic Ocean microbial community structure before and after the 2007 record sea
ice minimum.
AB - Increasing global temperatures are having a profound impact in the Arctic,
including the dramatic loss of multiyear sea ice in 2007 that has continued to
the present. The majority of life in the Arctic is microbial and the consequences
of climate-mediated changes on microbial marine food webs, which are responsible
for biogeochemical cycling and support higher trophic levels, are unknown. We
examined microbial communities over time by using high-throughput sequencing of
microbial DNA collected between 2003 and 2010 from the subsurface chlorophyll
maximum (SCM) layer of the Beaufort Sea (Canadian Arctic). We found that overall
this layer has freshened and concentrations of nitrate, the limiting nutrient for
photosynthetic production in Arctic seas, have decreased. We compared microbial
communities from before and after the record September 2007 sea ice minimum and
detected significant differences in communities from all three domains of life.
In particular, there were significant changes in species composition of Eukarya,
with ciliates becoming more common and heterotrophic marine stramenopiles (MASTs)
accounting for a smaller proportion of sequences retrieved after 2007. Within the
Archaea, Marine Group I Thaumarchaeota, which earlier represented up to 60% of
the Archaea sequences in this layer, have declined to <10%. Bacterial communities
overall were less diverse after 2007, with a significant decrease of the
Bacteroidetes. These significant shifts suggest that the microbial food webs are
sensitive to physical oceanographic changes such as those occurring in the
Canadian Arctic over the past decade.
PMID- 22096584
TI - Mild functional differences of dynamin 2 mutations associated to centronuclear
myopathy and Charcot-Marie Tooth peripheral neuropathy.
AB - The large GTPase dynamin 2 is a key player in membrane and cytoskeletal dynamics
mutated in centronuclear myopathy (CNM) and Charcot-Marie Tooth (CMT) neuropathy,
two discrete dominant neuromuscular disorders affecting skeletal muscle and
peripheral nerves respectively. The molecular basis for the tissue-specific
phenotypes observed and the physiopathological mechanisms linked to dynamin 2
mutations are not well established. In this study, we have analyzed the impact of
CNM and CMT implicated dynamin 2 mutants using ectopic expression of four CNM and
two CMT mutations, and patient fibroblasts harboring two dynamin 2 CNM mutations
in established cellular processes of dynamin 2 action. Wild type and CMT mutants
were seen in association with microtubules whereas CNM mutants lacked
microtubules association and did not disrupt interphase microtubules dynamics.
Most dynamin 2 mutants partially decreased clathrin-mediated endocytosis when
ectopically expressed in cultured cells; however, experiments in patient
fibroblasts suggested that endocytosis is overall not defective. Furthermore, CNM
mutants were seen in association with enlarged clathrin stained structures
whereas the CMT mutant constructs were associated with clathrin structures that
appeared clustered, similar to the structures observed in Dnm1 and Dnm2 double
knock-out cells. Other roles of dynamin 2 including its interaction with BIN1
(amphiphysin 2), and its function in Golgi maintenance and centrosome cohesion
were not significantly altered. Taken together, these mild functional defects are
suggestive of differences between CMT and CNM disease-causing dynamin 2 mutants
and suggest that a slight impairment in clathrin-mediated pathways may accumulate
over time to foster the respective human diseases.
PMID- 22096585
TI - Up-regulation of A1M/alpha1-microglobulin in skin by heme and reactive oxygen
species gives protection from oxidative damage.
AB - During bleeding the skin is subjected to oxidative insults from free heme and
radicals, generated from extracellular hemoglobin. The lipocalin alpha(1)
microglobulin (A1M) was recently shown to have reductase properties, reducing
heme-proteins and other substrates, and to scavenge heme and radicals. We
investigated the expression and localization of A1M in skin and the possible role
of A1M in the protection of skin tissue from damage induced by heme and reactive
oxygen species. Skin explants, keratinocyte cultures and purified collagen I were
exposed to heme, reactive oxygen species, and/or A1M and investigated by
biochemical methods and electron microscopy. The results demonstrate that A1M is
localized ubiquitously in the dermal and epidermal layers, and that the A1M-gene
is expressed in keratinocytes and up-regulated after exposure to heme and
reactive oxygen species. A1M inhibited the heme- and reactive oxygen species
induced ultrastructural damage, up-regulation of antioxidation and cell cycle
regulatory genes, and protein carbonyl formation in skin and keratinocytes.
Finally, A1M bound to purified collagen I (K(d) = 0.96*10(-6) M) and could
inhibit and repair the destruction of collagen fibrils by heme and reactive
oxygen species. The results suggest that A1M may have a physiological role in
protection of skin cells and matrix against oxidative damage following bleeding.
PMID- 22096586
TI - Comparing the MRI appearance of the lymph nodes and spleen in wild-type and
immuno-deficient mouse strains.
AB - The goal of this study was to investigate the normal MRI appearance of lymphoid
organs in immuno-competent and immuno-deficient mice commonly used in research.
Four mice from each of four different mouse strains (nude, NOG, C57BL/6, CB-17
SCID (SCID)) were imaged weekly for one month. Images were acquired with a 3D
balanced steady state free precession (bSSFP) sequence. The volume of the lymph
nodes and spleens were measured from MR images. In images of nude and SCID mice,
lymph nodes sometimes contained a hyperintense region visible on MRI images.
Volumes of the nodes were highly variable in nude mice. Nodes in SCID mice were
smaller than in nude or C57Bl/6 mice (p<0.0001). Lymph node volumes changed
slightly over time in all strains. The spleens of C57Bl/6 and nude mice were
similar in size and appearance. Spleens of SCID and NOG mice were significantly
smaller (p<0.0001) and abnormal in appearance. The MRI appearance of the normal
lymph nodes and spleen varies considerably in the various mouse strains examined
in this study. This is important to recognize in order to avoid the
misinterpretation of MRI findings as abnormal when these strains are used in MRI
imaging studies.
PMID- 22096587
TI - Atypical scrapie isolates involve a uniform prion species with a complex
molecular signature.
AB - The pathobiology of atypical scrapie, a prion disease affecting sheep and goats,
is still poorly understood. In a previous study, we demonstrated that atypical
scrapie affecting small ruminants in Switzerland differs in the neuroanatomical
distribution of the pathological prion protein (PrP(d)). To investigate whether
these differences depend on host-related vs. pathogen-related factors, we
transmitted atypical scrapie to transgenic mice over-expressing the ovine prion
protein (tg338). The clinical, neuropathological, and molecular phenotype of
tg338 mice is similar between mice carrying the Swiss atypical scrapie isolates
and the Nor98, an atypical scrapie isolate from Norway. Together with published
data, our results suggest that atypical scrapie is caused by a uniform type of
prion, and that the observed phenotypic differences in small ruminants are likely
host-dependant. Strikingly, by using a refined SDS-PAGE technique, we established
that the prominent proteinase K-resistant prion protein fragment in atypical
scrapie consists of two separate, unglycosylated peptides with molecular masses
of roughly 5 and 8 kDa. These findings show similarities to those for other prion
diseases in animals and humans, and lay the groundwork for future comparative
research.
PMID- 22096588
TI - Infections in infants during the first 12 months of life: role of placental
malaria and environmental factors.
AB - BACKGROUND: The association between placental malaria (PM) and first peripheral
parasitaemias in early infancy was assessed in Tori Bossito, a rural area of
Benin with a careful attention on transmission factors at an individual level.
METHODOLOGY: Statistical analysis was performed on 550 infants followed weekly
from birth to 12 months. Malaria transmission was assessed by anopheles human
landing catches every 6 weeks in 36 sampling houses and season defined by
rainfall. Each child was located by GPS and assigned to the closest anopheles
sampling house. Data were analysed by survival Cox models, stratified on the
possession of insecticide-treated mosquito nets (ITNs) at enrolment. PRINCIPAL
FINDINGS: Among infants sleeping in a house with an ITN, PM was found to be
highly associated to first malaria infections, after adjusting on season, number
of anopheles, antenatal care (ANC) visits and maternal severe anaemia. Infants
born from a malaria infected placenta had a 2.13 fold increased risk to present a
first malaria infection than those born from a non infected placenta ([1.24
3.67], p<0.01) when sleeping in a house with an ITN. The risk to present a first
malaria infection was increased by 3.2 to 6.5, according to the level of
anopheles exposure (moderate or high levels, compared to the absence of
anopheles). CONCLUSIONS: First malaria infections in early childhood can be
attributed simultaneously to both PM and high levels of exposure to infected
anopheles. Protective measures as Intermittent Preventive Treatment during
pregnancy (IPTp) and ITNs, targeted on both mothers and infants should be
reinforced, as well as the research on new drugs and insecticides. In parallel,
investigations on placental malaria have to be strengthened to better understand
the mechanisms involved, and thus to protect adequately the infants high risk
group.
PMID- 22096590
TI - Amoeboid cells use protrusions for walking, gliding and swimming.
AB - Amoeboid cells crawl using pseudopods, which are convex extensions of the cell
surface. In many laboratory experiments, cells move on a smooth substrate, but in
the wild cells may experience obstacles of other cells or dead material, or may
even move in liquid. To understand how cells cope with heterogeneous environments
we have investigated the pseudopod life cycle of wild type and mutant cells
moving on a substrate and when suspended in liquid. We show that the same
pseudopod cycle can provide three types of movement that we address as walking,
gliding and swimming. In walking, the extending pseudopod will adhere firmly to
the substrate, which allows cells to generate forces to bypass obstacles. Mutant
cells with compromised adhesion can move much faster than wild type cells on a
smooth substrate (gliding), but cannot move effectively against obstacles that
provide resistance. In a liquid, when swimming, the extending pseudopods convert
to side-bumps that move rapidly to the rear of the cells. Calculations suggest
that these bumps provide sufficient drag force to mediate the observed forward
swimming of the cell.
PMID- 22096589
TI - Yes-associated protein expression in head and neck squamous cell carcinoma nodal
metastasis.
AB - INTRODUCTION: Yes-associated protein (YAP) is considered an oncogene found
amplified in multiple tumors, including head and neck squamous cell carcinoma
(HNSCC). However, the role for YAP expression in HNSCC is not understood. Based
on the central role of YAP in the hippo pathway, we tested if YAP was associated
with the stage of HNSCC progression and metastatic potential. METHODS: To
determine the expression of YAP in human benign and HNSCC tissue specimens,
immunohistochemical analyses were performed in whole tissue samples and tissue
microarrays. The expression of YAP in tissues of microarray was first associated
with clinic-pathologic factors and results verified in samples from whole tissue
sections. To investigate the role of YAP and p63 in regulating HNSCC epithelial
to mesenchymal transition, epithelial and mesenchymal markers were assayed in
Fadu and SCC-25 cells, HNSCC cells with endogenously elevated YAP expression and
siRNA-mediated expression knockdown. RESULTS: Analysis of human HNSCC tissues
suggested YAP expression was elevated in tumors compared to benign tissues and
specifically localized at the tumor invasive front (p value < 0.05). But, indexed
YAP expression was lower with greater tumor grade (p value = 0.02). In
contrast, p63 expression was primarily elevated in high-grade tumors.
Interestingly, both YAP and p63 was strongly expressed at the tumor invasive
front and in metastatic HNSCC. Strikingly, we demonstrated YAP expression in the
primary HNSCC tumor was associated with nodal metastasis in univariate analysis
(p value = 0.02). However, the knockdown of YAP in Fadu and SCC-25 cell lines
was not associated with changes in epithelial to mesenchymal transdifferentiation
or p63 expression. CONCLUSION: Together, YAP expression, in combination with p63
can facilitate identification of HNSCC tumors from hyperplastic and benign
tissues and the metastatic function of YAP in HNSCC may not be a result of
epithelia to mesenchymal transdifferentiation.
PMID- 22096591
TI - A graphical user interface for a method to infer kinetics and network
architecture (MIKANA).
AB - One of the main challenges in the biomedical sciences is the determination of
reaction mechanisms that constitute a biochemical pathway. During the last
decades, advances have been made in building complex diagrams showing the static
interactions of proteins. The challenge for systems biologists is to build
realistic models of the dynamical behavior of reactants, intermediates and
products. For this purpose, several methods have been recently proposed to deduce
the reaction mechanisms or to estimate the kinetic parameters of the elementary
reactions that constitute the pathway. One such method is MIKANA: Method to Infer
Kinetics And Network Architecture. MIKANA is a computational method to infer both
reaction mechanisms and estimate the kinetic parameters of biochemical pathways
from time course data. To make it available to the scientific community, we
developed a Graphical User Interface (GUI) for MIKANA. Among other features, the
GUI validates and processes an input time course data, displays the inferred
reactions, generates the differential equations for the chemical species in the
pathway and plots the prediction curves on top of the input time course data. We
also added a new feature to MIKANA that allows the user to exclude a priori known
reactions from the inferred mechanism. This addition improves the performance of
the method. In this article, we illustrate the GUI for MIKANA with three
examples: an irreversible Michaelis-Menten reaction mechanism; the interaction
map of chemical species of the muscle glycolytic pathway; and the glycolytic
pathway of Lactococcus lactis. We also describe the code and methods in
sufficient detail to allow researchers to further develop the code or reproduce
the experiments described. The code for MIKANA is open source, free for academic
and non-academic use and is available for download (Information S1).
PMID- 22096592
TI - Prenatal stress induces long-term effects in cell turnover in the hippocampus
hypothalamus-pituitary axis in adult male rats.
AB - Subchronic gestational stress leads to permanent modifications in the hippocampus
hypothalamus-pituitary-adrenal axis of offspring probably due to the increase in
circulating glucocorticoids known to affect prenatal programming. The aim of this
study was to investigate whether cell turnover is affected in the hippocampus
hypothalamus-pituitary axis by subchronic prenatal stress and the intracellular
mechanisms involved. Restraint stress was performed in pregnant rats during the
last week of gestation (45 minutes; 3 times/day). Only male offspring were used
for this study and were sacrificed at 6 months of age. In prenatally stressed
adults a decrease in markers of cell death and proliferation was observed in the
hippocampus, hypothalamus and pituitary. This was associated with an increase in
insulin-like growth factor-I mRNA levels, phosphorylation of CREB and calpastatin
levels and inhibition of calpain -2 and caspase -8 activation. Levels of the anti
apoptotic protein Bcl-2 were increased and levels of the pro-apoptotic factor p53
were reduced. In conclusion, prenatal restraint stress induces a long-term
decrease in cell turnover in the hippocampus-hypothalamus-pituitary axis that
might be at least partly mediated by an autocrine-paracrine IGF-I effect. These
changes could condition the response of this axis to future physiological and
pathophysiological situations.
PMID- 22096593
TI - Gene expression profile change and associated physiological and pathological
effects in mouse liver induced by fasting and refeeding.
AB - Food availability regulates basal metabolism and progression of many diseases,
and liver plays an important role in these processes. The effects of food
availability on digital gene expression profile, physiological and pathological
functions in liver are yet to be further elucidated. In this study, we applied
high-throughput sequencing technology to detect digital gene expression profile
of mouse liver in fed, fasted and refed states. Totally 12162 genes were
detected, and 2305 genes were significantly regulated by food availability.
Biological process and pathway analysis showed that fasting mainly affected lipid
and carboxylic acid metabolic processes in liver. Moreover, the genes regulated
by fasting and refeeding in liver were mainly enriched in lipid metabolic process
or fatty acid metabolism. Network analysis demonstrated that fasting mainly
regulated Drug Metabolism, Small Molecule Biochemistry and Endocrine System
Development and Function, and the networks including Lipid Metabolism, Small
Molecule Biochemistry and Gene Expression were affected by refeeding. In
addition, FunDo analysis showed that liver cancer and diabetes mellitus were most
likely to be affected by food availability. This study provides the digital gene
expression profile of mouse liver regulated by food availability, and
demonstrates the main biological processes, pathways, gene networks and potential
hepatic diseases regulated by fasting and refeeding. These results show that food
availability mainly regulates hepatic lipid metabolism and is highly correlated
with liver-related diseases including liver cancer and diabetes.
PMID- 22096595
TI - Potential accumulative effect of the herbicide glyphosate on glyphosate-tolerant
maize rhizobacterial communities over a three-year cultivation period.
AB - BACKGROUND: Glyphosate is a herbicide that is liable to be used in the extensive
cultivation of glyphosate-tolerant cultivars. The potential accumulation of the
relative effect of glyphosate on the rhizobacterial communities of glyphosate
tolerant maize has been monitored over a period of three years.
METHODOLOGY/PRINCIPAL FINDINGS: The composition of rhizobacterial communities is
known to vary with soil texture, hence, the analyses have been performed in two
agricultural fields with a different soil texture. The accumulative effects of
glyphosate have been monitored by means of high throughput DNA pyrosequencing of
the bacterial DNA coding for the 16S rRNA hypervariable V6 region from
rhizobacterial communities. The relative composition of the rhizobacterial
communities does vary in each field over the three-year period. The overall
distribution of the bacterial phyla seems to change from one year to the next
similarly in the untreated and glyphosate-treated soils in both fields. The two
methods used to estimate bacterial diversity offered consistent results and are
equally suitable for diversity assessment. CONCLUSIONS/SIGNIFICANCE: The
glyphosate treatment during the three-year period of seasonal cultivation in two
different fields did not seem to significantly change the maize rhizobacterial
communities when compared to those of the untreated soil. This may be
particularly relevant with respect to a potential authorisation to cultivate
glyphosate-tolerant maize in the European Union.
PMID- 22096594
TI - Comprehensive analysis of tissue preservation and recording quality from chronic
multielectrode implants.
AB - Multielectrodes have been used with great success to simultaneously record the
activity of neuronal populations in awake, behaving animals. In particular, there
is great promise in the use of this technique to allow the control of
neuroprosthetic devices by human patients. However, it is crucial to fully
characterize the tissue response to the chronic implants in animal models ahead
of the initiation of human clinical trials. Here we evaluated the effects of
unilateral multielectrode implants on the motor cortex of rats weekly recorded
for 1-6 months using several histological methods to assess metabolic markers,
inflammatory response, immediate-early gene (IEG) expression, cytoskeletal
integrity and apoptotic profiles. We also investigated the correlations between
each of these features and firing rates, to estimate the impact of post-implant
time on neuronal recordings. Overall, limited neuronal loss and glial activation
were observed on the implanted sites. Reactivity to enzymatic metabolic markers
and IEG expression were not significantly different between implanted and non
implanted hemispheres. Multielectrode recordings remained viable for up to 6
months after implantation, and firing rates correlated well to the histochemical
and immunohistochemical markers. Altogether, our results indicate that chronic
tungsten multielectrode implants do not substantially alter the histological and
functional integrity of target sites in the cerebral cortex.
PMID- 22096597
TI - Average rank-based score to measure deregulation of molecular pathway gene sets.
AB - BACKGROUND: Deregulation of biological pathways has been shown to be involved in
the turmorigenesis of a variety of cancers. The co-regulation of pathways in
tumor and normal tissues has not been studied in a systematic manner. RESULTS: In
this study we propose a novel statistic named AR-score (average rank based score)
to measure pathway activities based on microarray gene expression profiles. We
calculate and compare the AR-scores of pathways in microarray datasets containing
expression profiles for a wide range of cancer types as well as the corresponding
normal tissues. We find that many pathways undergo significant activity changes
in tumors with respect to normal tissues. AR-scores for a small subset of
pathways are capable of distinguishing tumor from normal tissues or classifying
tumor subtypes. In normal tissues many pathways are highly correlated in their
activities, whereas their correlations reduce significantly in tumors and cancer
cell lines. The co-expression of genes in the same pathways was also
significantly perturbed in tumors. CONCLUSIONS: The co-regulation of genes in the
same pathways and co-regulation of different pathways are significantly perturbed
in tumors versus normal tissues. Our method provides a useful tool for better
understanding the mechanistic changes in tumors, which can also be used for
exploring other biological problems.
PMID- 22096596
TI - RGS9 knockout causes a short delay in light responses of ON-bipolar cells.
AB - RGS9 and R9AP are components of the photoreceptor-specific GTPase activating
complex responsible for rapid inactivation of the G protein, transducin, in the
course of photoresponse recovery from excitation. The amount of this complex in
photoreceptors is strictly dependent on the expression level of R9AP;
consequently, the knockouts of either RGS9 or R9AP cause comparable delays in
photoresponse recovery. While RGS9 is believed to be present only in rods and
cones, R9AP is also expressed in dendritic tips of ON-bipolar cells, which
receive synaptic inputs from photoreceptors. Recent studies demonstrated that
knockouts of R9AP and its binding partner in ON-bipolar cells, RGS11, cause a
small delay in ON-bipolar cell light responses manifested as a delayed onset of
electroretinography b-waves. This led the authors to suggest that R9AP and RGS11
participate in regulating the kinetics of light responses in these cells. Here we
report the surprising finding that a nearly identical b-wave delay is observed in
RGS9 knockout mice. Given the exclusive localization of RGS9 in photoreceptors,
this result argues for a presynaptic origin of the b-wave delay in this case and
perhaps in the case of the R9AP knockout as well, since R9AP is expressed in both
photoreceptors and ON-bipolar cells. We also conducted a detailed analysis of the
b-wave rising phase kinetics in both knockout animal types and found that,
despite a delayed b-wave onset, the slope of the light response is unaffected or
increased, dependent on the light stimulus intensity. This result is inconsistent
with a slowdown of response propagation in ON-bipolar cells caused by the R9AP
knockout, further arguing against the postsynaptic nature of the delayed b-wave
phenotype in RGS9 and R9AP knockout mice.
PMID- 22096598
TI - Signs of selective pressure on genetic variants affecting human height.
AB - Many decades of scientific investigation have proved the role of selective
pressure in Homo Sapiens at least at the level of individual genes or loci.
Nevertheless, there are examples of polygenic traits that are bound to be under
selection, but studies devoted to apply population genetics methods to unveil
such occurrence are still lacking. Stature provides a relevant example of well
studied polygenic trait for which is now available a genome-wide association
study which has identified the genes involved in this trait, and which is known
to be under selection. We studied the behavior of F(ST) in a simulated toy model
to detect population differentiation on a generic polygenic phenotype under
selection. The simulations showed that the set of alleles involved in the trait
has a higher mean F(ST) value than those undergoing genetic drift only. In view
of this we looked for an increase in the mean F(ST) value of the 180 variants
associated to human height. For this set of alleles we found F(ST) to be
significantly higher than the genomic background (p = 0.0356). On the basis of a
statistical analysis we excluded that the increase was just due to the presence
of outliers. We also proved as marginal the role played by local adaptation
phenomena, even on different phenotypes in linkage disequilibrium with genetic
variants involved in height. The increase of F(ST) for the set of alleles
involved in a polygenic trait seems to provide an example of symmetry breaking
phenomenon concerning the population differentiation. The splitting in the allele
frequencies would be driven by the initial conditions in the population dynamics
which are stochastically modified by events like drift, bottlenecks, etc, and
other stochastic events like the born of new mutations.
PMID- 22096599
TI - A non-verbal Turing test: differentiating mind from machine in gaze-based social
interaction.
AB - In social interaction, gaze behavior provides important signals that have a
significant impact on our perception of others. Previous investigations, however,
have relied on paradigms in which participants are passive observers of other
persons' gazes and do not adjust their gaze behavior as is the case in real-life
social encounters. We used an interactive eye-tracking paradigm that allows
participants to interact with an anthropomorphic virtual character whose gaze
behavior is responsive to where the participant looks on the stimulus screen in
real time. The character's gaze reactions were systematically varied along a
continuum from a maximal probability of gaze aversion to a maximal probability of
gaze-following during brief interactions, thereby varying contingency and
congruency of the reactions. We investigated how these variations influenced
whether participants believed that the character was controlled by another person
(i.e., a confederate) or a computer program. In a series of experiments, the
human confederate was either introduced as naive to the task, cooperative, or
competitive. Results demonstrate that the ascription of humanness increases with
higher congruency of gaze reactions when participants are interacting with a
naive partner. In contrast, humanness ascription is driven by the degree of
contingency irrespective of congruency when the confederate was introduced as
cooperative. Conversely, during interaction with a competitive confederate,
judgments were neither based on congruency nor on contingency. These results
offer important insights into what renders the experience of an interaction truly
social: Humans appear to have a default expectation of reciprocation that can be
influenced drastically by the presumed disposition of the interactor to either
cooperate or compete.
PMID- 22096600
TI - Cell motility dynamics: a novel segmentation algorithm to quantify multi-cellular
bright field microscopy images.
AB - Confocal microscopy analysis of fluorescence and morphology is becoming the
standard tool in cell biology and molecular imaging. Accurate quantification
algorithms are required to enhance the understanding of different biological
phenomena. We present a novel approach based on image-segmentation of multi
cellular regions in bright field images demonstrating enhanced quantitative
analyses and better understanding of cell motility. We present MultiCellSeg, a
segmentation algorithm to separate between multi-cellular and background regions
for bright field images, which is based on classification of local patches within
an image: a cascade of Support Vector Machines (SVMs) is applied using basic
image features. Post processing includes additional classification and graph-cut
segmentation to reclassify erroneous regions and refine the segmentation. This
approach leads to a parameter-free and robust algorithm. Comparison to an
alternative algorithm on wound healing assay images demonstrates its superiority.
The proposed approach was used to evaluate common cell migration models such as
wound healing and scatter assay. It was applied to quantify the acceleration
effect of Hepatocyte growth factor/scatter factor (HGF/SF) on healing rate in a
time lapse confocal microscopy wound healing assay and demonstrated that the
healing rate is linear in both treated and untreated cells, and that HGF/SF
accelerates the healing rate by approximately two-fold. A novel fully automated,
accurate, zero-parameters method to classify and score scatter-assay images was
developed and demonstrated that multi-cellular texture is an excellent descriptor
to measure HGF/SF-induced cell scattering. We show that exploitation of textural
information from differential interference contrast (DIC) images on the multi
cellular level can prove beneficial for the analyses of wound healing and scatter
assays. The proposed approach is generic and can be used alone or alongside
traditional fluorescence single-cell processing to perform objective, accurate
quantitative analyses for various biological applications.
PMID- 22096601
TI - Cystathionine beta-synthase deficiency causes fat loss in mice.
AB - Cystathionine beta synthase (CBS) is the rate-limiting enzyme responsible for the
de novo synthesis of cysteine. Patients with CBS deficiency have greatly elevated
plasma total homocysteine (tHcy), decreased levels of plasma total cysteine
(tCys), and often a marfanoid appearance characterized by thinness and low body
mass index (BMI). Here, we characterize the growth and body mass characteristics
of CBS deficient TgI278T Cbs(-/-) mice and show that these animals have
significantly decreased fat mass and tCys compared to heterozygous sibling mice.
The decrease in fat mass is accompanied by a 34% decrease in liver glutathione
(GSH) along with a significant decrease in liver mRNA and protein for the
critical fat biosynthesizing enzyme Stearoyl CoA desaturase-1 (Scd-1). Because
plasma tCys has been positively associated with fat mass in humans, we tested the
hypothesis that decreased tCys in TgI278T Cbs(-/-) mice was the cause of the lean
phenotype by placing the animals on water supplemented with N-acetyl cysteine
(NAC) from birth to 240 days of age. Although NAC treatment in TgI278T Cbs(-/-)
mice caused significant increase in serum tCys and liver GSH, there was no
increase in body fat content or in liver Scd-1 levels. Our results show that lack
of CBS activity causes loss of fat mass, and that this effect appears to be
independent of low serum tCys.
PMID- 22096602
TI - The PHD finger of human UHRF1 reveals a new subgroup of unmethylated histone H3
tail readers.
AB - The human UHRF1 protein (ubiquitin-like containing PHD and RING finger domains 1)
has emerged as a potential cancer target due to its implication in cell cycle
regulation, maintenance of DNA methylation after replication and heterochromatin
formation. UHRF1 functions as an adaptor protein that binds to histones and
recruits histone modifying enzymes, like HDAC1 or G9a, which exert their action
on chromatin. In this work, we show the binding specificity of the PHD finger of
human UHRF1 (huUHRF1-PHD) towards unmodified histone H3 N-terminal tail using
native gel electrophoresis and isothermal titration calorimetry. We report the
molecular basis of this interaction by determining the crystal structure of
huUHRF1-PHD in complex with the histone H3 N-terminal tail. The structure reveals
a new mode of histone recognition involving an extra conserved zinc finger
preceding the conventional PHD finger region. This additional zinc finger forms
part of a large surface cavity that accommodates the side chain of the histone H3
lysine K4 (H3K4) regardless of its methylation state. Mutation of Q330, which
specifically interacts with H3K4, to alanine has no effect on the binding,
suggesting a loose interaction between huUHRF1-PHD and H3K4. On the other hand,
the recognition appears to rely on histone H3R2, which fits snugly into a groove
on the protein and makes tight interactions with the conserved aspartates D334
and D337. Indeed, a mutation of the former aspartate disrupts the formation of
the complex, while mutating the latter decreases the binding affinity nine-fold.
PMID- 22096603
TI - Antibiotics shaping bacterial genome: deletion of an IS91 flanked virulence
determinant upon exposure to subinhibitory antibiotic concentrations.
AB - The nucleoid-associated proteins Hha and YdgT repress the expression of the toxin
alpha-hemolysin. An Escherichia coli mutant lacking these proteins overexpresses
the toxin alpha-hemolysin encoded in the multicopy recombinant plasmid pANN202
312R. Unexpectedly, we could observe that this mutant generated clones that no
further produced hemolysin (Hly(-)). Generation of Hly(-) clones was dependent
upon the presence in the culture medium of the antibiotic kanamycin (km), a
marker of the hha allele (hha::Tn5). Detailed analysis of different Hly(-) clones
evidenced that recombination between partial IS91 sequences that flank the hly
operon had occurred. A fluctuation test evidenced that the presence of km in the
culture medium was underlying the generation of these clones. A decrease of the
km concentration from 25 mg/l to 12.5 mg/l abolished the appearance of Hly(-)
derivatives. We considered as a working hypothesis that, when producing high
levels of the toxin (combination of the hha ydgT mutations with the presence of
the multicopy hemolytic plasmid pANN202-312R), the concentration of km of 25 mg/l
resulted subinhibitory and stimulated the recombination between adjacent IS91
flanking sequences. To further test this hypothesis, we analyzed the effect of
subinhibitory km concentrations in the wild type E. coli strain MG1655 harboring
the parental low copy number plasmid pHly152. At a km concentration of 5 mg/l,
subinhibitory for strain MG1655 (pHly152), generation of Hly(-) clones could be
readily detected. Similar results were also obtained when, instead of km,
ampicillin was used. IS91 is flanking several virulence determinants in different
enteric bacterial pathogenic strains from E. coli and Shigella. The results
presented here evidence that stress generated by exposure to subinhibitory
antibiotic concentrations may result in rearrangements of the bacterial genome.
Whereas some of these rearrangements may be deleterious, others may generate
genotypes with increased virulence, which may resume infection.
PMID- 22096604
TI - New insights into the structure of (1->3,1->6)-beta-D-glucan side chains in the
Candida glabrata cell wall.
AB - beta-Glucan is a (1->3)-beta-linked glucose polymer with (1->6)-beta-linked side
chains and a major component of fungal cell walls. beta-Glucans provide
structural integrity to the fungal cell wall. The nature of the (1-6)-beta-linked
side chain structure of fungal (1->3,1->6)-beta-D-glucans has been very difficult
to elucidate. Herein, we report the first detailed structural characterization of
the (1->6)-beta-linked side chains of Candida glabrata using high-field NMR. The
(1->6)-beta-linked side chains have an average length of 4 to 5 repeat units
spaced every 21 repeat units along the (1->3)-linked polymer backbone. Computer
modeling suggests that the side chains have a bent curve structure that allows
for a flexible interconnection with parallel (1->3)-beta-D-glucan polymers,
and/or as a point of attachment for proteins. Based on these observations we
propose new approaches to how (1->6)-beta-linked side chains interconnect with
neighboring glucan polymers in a manner that maximizes fungal cell wall strength,
while also allowing for flexibility, or plasticity.
PMID- 22096605
TI - Interleukin-6 synthesis in human chondrocytes is regulated via the antagonistic
actions of prostaglandin (PG)E2 and 15-deoxy-Delta(12,14)-PGJ2.
AB - BACKGROUND: Elevated levels of interleukin-6 (IL-6), prostaglandin (PG)E(2),
PGD(2) and its dehydration end product 15-deoxy-Delta(12,14)-PGJ(2) (15d-PGJ(2))
have been detected in joint synovial fluids from patients with rheumatoid
arthritis (RA). PGE(2) directly stimulates IL-6 production in human articular
chondrocytes. However, the effects of PGD(2) and 15d-PGJ(2) in the absence or
presence of PGE(2) on IL-6 synthesis in human chondrocytes have yet to be
determined. It is believed that dysregulated overproduction of IL-6 is
responsible for the systemic inflammatory manifestations and abnormal laboratory
findings in RA patients. METHODOLOGY/PRINCIPAL FINDINGS: Using the T/C-28a2
chondrocyte cell line as a model system, we report that exogenous PGE(2) and
PGD(2)/15d-PGJ(2) exert antagonistic effects on IL-6 synthesis in human T/C-28a2
chondrocytes. Using a synthesis of sophisticated molecular biology techniques, we
determined that PGE(2) stimulates Toll-like receptor 4 (TLR4) synthesis, which is
in turn responsible for the activation of the ERK1/2, PI3K/Akt and PKA/CREB
pathways that phosphorylate the NF-kappaB p65 subunit leading to NF-kappaB
activation. Binding of the activated NF-kappaB p65 subunit to IL-6 promoter
induces IL-6 synthesis in human T/C28a2 chondrocytes. PGD(2) or 15d-PGJ(2)
concurrently downregulates TLR4 and upregulates caveolin-1, which in turn inhibit
the PGE(2)-dependent ERK1/2, PI3-K and PKA activation, and ultimately with NF
kappaB-dependent IL-6 synthesis in chondrocytes. CONCLUSIONS/SIGNIFICANCE: We
have delineated the signaling cascade by which PGE(2) and PGD(2)/15d-PGJ(2) exert
opposing effects on IL-6 synthesis in human chondrocytes. Elucidation of the
molecular pathway of IL-6 synthesis and secretion by chondrocytes will provide
insights for developing strategies to reduce inflammation and pain in RA
patients.
PMID- 22096606
TI - The repetitive cytoskeletal protein H49 of Trypanosoma cruzi is a calpain-like
protein located at the flagellum attachment zone.
AB - BACKGROUND: Trypanosoma cruzi has a single flagellum attached to the cell body by
a network of specialized cytoskeletal and membranous connections called the
flagellum attachment zone. Previously, we isolated a DNA fragment (clone H49)
which encodes tandemly arranged repeats of 68 amino acids associated with a high
molecular weight cytoskeletal protein. In the current study, the genomic
complexity of H49 and its relationships to the T. cruzi calpain-like cysteine
peptidase family, comprising active calpains and calpain-like proteins, is
addressed. Immunofluorescence analysis and biochemical fractionation were used to
demonstrate the cellular location of H49 proteins. METHODS AND FINDINGS: All of
H49 repeats are associated with calpain-like sequences. Sequence analysis
demonstrated that this protein, now termed H49/calpain, consists of an amino
terminal catalytic cysteine protease domain II, followed by a large region of 68
amino acid repeats tandemly arranged and a carboxy-terminal segment carrying the
protease domains II and III. The H49/calpains can be classified as calpain-like
proteins as the cysteine protease catalytic triad has been partially conserved in
these proteins. The H49/calpains repeats share less than 60% identity with other
calpain-like proteins in Leishmania and T. brucei, and there is no immunological
cross reaction among them. It is suggested that the expansion of H49/calpain
repeats only occurred in T. cruzi after separation of a T. cruzi ancestor from
other trypanosomatid lineages. Immunofluorescence and immunoblotting experiments
demonstrated that H49/calpain is located along the flagellum attachment zone
adjacent to the cell body. CONCLUSIONS: H49/calpain contains large central region
composed of 68-amino acid repeats tandemly arranged. They can be classified as
calpain-like proteins as the cysteine protease catalytic triad is partially
conserved in these proteins. H49/calpains could have a structural role, namely
that of ensuring that the cell body remains attached to the flagellum by
connecting the subpellicular microtubule array to it.
PMID- 22096607
TI - p21-Activated kinase 1 (Pak1) phosphorylates BAD directly at serine 111 in vitro
and indirectly through Raf-1 at serine 112.
AB - BACKGROUND: Cell survival depends on the balance between protective and apoptotic
signals. When the balance of signals tips towards apoptosis, cells undergo
programmed cell death. This balance has profound implications in diseases
including cancer. Oncogenes and tumor suppressors are mutated to promote cell
survival during tumor development, and many chemotherapeutic drugs kill tumor
cells by stimulating apoptosis. BAD is a pro-apoptotic member of the Bcl-2 family
of proteins, which can be phosphorylated on numerous sites to modulate binding to
Bcl-2 and 14-3-3 proteins and inhibit its pro-apoptotic activities. One of the
critical phosphorylation sites is the serine 112 (S112), which can be
phosphorylated by several kinases including Pak1. METHODOLOGY/PRINCIPAL FINDINGS:
We mapped the Pak phosphorylation sites by making serine to alanine mutations in
BAD and testing them as substrates in in vitro kinase assays. We found that the
primary phosphorylation site is not S112 but serine 111 (S111), a site that is
sometimes found phosphorylated in vivo. In transfection assays of HEK293T cells,
we showed that Pak1 required Raf-1 to stimulate phosphorylation on S112. Mutating
either S111 or S112 to alanine enhanced binding to Bcl-2, but the double mutant
S111/112A bound better to Bcl-2. Moreover, BAD phosphorylation at S111 was
observed in several other cell lines, and treating one of them with the Pak1
inhibitor 2,2'-Dihydroxy-1,1'-dinaphthyldisulfide (IPA-3) reduced phosphorylation
primarily at S112 and to a smaller extent at S111, while Raf inhibitors only
reduced phosphorylation at S112. CONCLUSION/SIGNIFICANCE: Together, these
findings demonstrate that Pak1 phosphorylates BAD directly at S111, but
phosphorylated S112 through Raf-1. These two sites of BAD serve as redundant
regulatory sites for Bcl-2 binding.
PMID- 22096608
TI - Post-traumatic stress disorder (PTSD) symptoms predict delay to hospital in
patients with acute coronary syndrome.
AB - BACKGROUND: Increased delay to hospital presentation with acute coronary syndrome
(ACS) is associated with poor outcomes. While demographic factors associated with
this delay have been well described, scarce data are available on the role of
modifiable factors, such as psychosocial disorders, on pre-hospital delay.
Patients with symptoms of post-traumatic stress disorder (PTSD) often avoid
stressful situations and may delay presenting for care when they experience
cardiac symptoms. It is unknown, however, whether PTSD symptoms negatively impact
the time to presentation during an ACS. METHODS: We assessed the relationship
between PTSD symptoms and pre-hospital delay in 241 adults with an ACS in the
ongoing Prescription Use, Lifestyle, Stress Evaluation (PULSE) study. RESULTS:
Overall, 66% of patients were male; 40% were Hispanic or Latino. The mean age was
61.9+/-11.6 years old. PTSD symptoms were present in 17.8% of patients. Pre
hospital delay was longer for patients with PTSD symptoms compared to those
without [geometric mean: 25.8 hours (95% CI 13.8-44.8) vs. 10.7 hours (95% CI 8.3
13.8)]; P = 0.005. After multivariable adjustment for age, sex, ethnicity,
depression, left ventricular ejection fraction and history of myocardial
infarction, the mean pre-hospital delay was 173% (95% CI: 36%-450%) longer for
patients with versus without PTSD symptoms. CONCLUSION: Among patients presenting
with an ACS, PTSD symptoms were independently associated with longer pre-hospital
delays. Future studies of pre-hospital delay should examine the mechanisms
underlying this association.
PMID- 22096609
TI - The effects of warming and nitrogen addition on soil nitrogen cycling in a
temperate grassland, northeastern China.
AB - BACKGROUND: Both climate warming and atmospheric nitrogen (N) deposition are
predicted to affect soil N cycling in terrestrial biomes over the next century.
However, the interactive effects of warming and N deposition on soil N
mineralization in temperate grasslands are poorly understood.
METHODOLOGY/PRINCIPAL FINDINGS: A field manipulation experiment was conducted to
examine the effects of warming and N addition on soil N cycling in a temperate
grassland of northeastern China from 2007 to 2009. Soil samples were incubated at
a constant temperature and moisture, from samples collected in the field. The
results showed that both warming and N addition significantly stimulated soil net
N mineralization rate and net nitrification rate. Combined warming and N addition
caused an interactive effect on N mineralization, which could be explained by the
relative shift of soil microbial community structure because of fungal biomass
increase and strong plant uptake of added N due to warming. Irrespective of
strong intra- and inter-annual variations in soil N mineralization, the responses
of N mineralization to warming and N addition did not change during the three
growing seasons, suggesting independence of warming and N responses of N
mineralization from precipitation variations in the temperate grassland.
CONCLUSIONS/SIGNIFICANCE: Interactions between climate warming and N deposition
on soil N cycling were significant. These findings will improve our understanding
on the response of soil N cycling to the simultaneous climate change drivers in
temperate grassland ecosystem.
PMID- 22096610
TI - Evaluation of approaches to identify the targets of cellular immunity on a
proteome-wide scale.
AB - BACKGROUND: Vaccine development against malaria and other complex diseases
remains a challenge for the scientific community. The recent elucidation of the
genome, proteome and transcriptome of many of these complex pathogens provides
the basis for rational vaccine design by identifying, on a proteome-wide scale,
novel target antigens that are recognized by T cells and antibodies from exposed
individuals. However, there is currently no algorithm to effectively identify
important target antigens from genome sequence data; this is especially
challenging for T cell targets. Furthermore, for some of these pathogens, such as
Plasmodium, protein expression using conventional platforms has been problematic
but cell-free in vitro transcription translation (IVTT) strategies have recently
proved successful. Herein, we report a novel approach for proteome-wide scale
identification of the antigenic targets of T cell responses using IVTT products.
PRINCIPAL FINDINGS: We conducted a series of in vitro and in vivo experiments
using IVTT proteins either unpurified, absorbed to carboxylated polybeads, or
affinity purified through nickel resin or magnetic beads. In vitro studies in
humans using CMV, EBV, and Influenza A virus proteins showed antigen-specific
cytokine production in ELIspot and Cytometric Bead Array assays with cells
stimulated with purified or unpurified IVTT antigens. In vitro and in vivo
studies in mice immunized with the Plasmodium yoelii circumsporozoite DNA vaccine
with or without IVTT protein boost showed antigen-specific cytokine production
using purified IVTT antigens only. Overall, the nickel resin method of IVTT
antigen purification proved optimal in both human and murine systems.
CONCLUSIONS: This work provides proof of concept for the potential of high
throughput approaches to identify T cell targets of complex parasitic, viral or
bacterial pathogens from genomic sequence data, for rational vaccine development
against emerging and re-emerging diseases that pose a threat to public health.
PMID- 22096611
TI - Bridging the gap between preclinical and clinical microbicide trials: blind
evaluation of candidate gels in murine models of efficacy and safety.
AB - BACKGROUND: Despite significant protection in preclinical studies, cellulose
sulfate (CS) failed to protect women against HIV-1/2 and was associated with a
trend toward increased HIV-1 acquisition in one of the clinical trials. These
results highlight the need for preclinical tests more predictive of clinical
outcomes. The objective of this study was to test coded vaginal gels, including
CS, in murine models of safety and efficacy to determine the models' utility for
evaluating future products. METHODS: Four coded formulations, including 6% CS, 2%
PRO 2000 and two placebo gels, were administered intravaginally to
medroxyprogesterone-treated mice and their ability to prevent genital herpes
(efficacy) or to alter the susceptibility to low dose HSV challenge (safety) was
determined. Nonoyxnol-9 served as a positive toxicity control. RESULTS: CS and
PRO 2000 significantly protected mice from genital herpes following infection
with a laboratory or clinical isolate of HSV-2 introduced in buffer (p<0.001).
However, protection was reduced when virus was introduced in seminal plasma.
Moreover, mice were significantly more susceptible to infection with low doses of
HSV-2 when challenged 12 h after the 7th daily dose of CS or nonoxynol-9
(p<0.05). The increased susceptibility was associated with alterations in
epithelial architecture. CONCLUSIONS: CS prevented genital herpes when present at
the time of viral challenge, but increased the rate of infection when gel was
applied daily for 7 days with a vaginal wash prior to viral inoculation. The
findings presumably reflect altered epithelial architecture, which may have
contributed to the trend towards increased HIV observed clinically.
PMID- 22096612
TI - Dynamic microtubules promote synaptic NMDA receptor-dependent spine enlargement.
AB - Most excitatory synaptic terminals in the brain impinge on dendritic spines. We
and others have recently shown that dynamic microtubules (MTs) enter spines from
the dendritic shaft. However, a direct role for MTs in long-lasting spine
plasticity has yet to be demonstrated and it remains unclear whether MT-spine
invasions are directly influenced by synaptic activity. Lasting changes in spine
morphology and synaptic strength can be triggered by activation of synaptic NMDA
receptors (NMDARs) and are associated with learning and memory processes. To
determine whether MTs are involved in NMDAR-dependent spine plasticity, we imaged
MT dynamics and spine morphology in live mouse hippocampal pyramidal neurons
before and after acute activation of synaptic NMDARs. Synaptic NMDAR activation
promoted MT-spine invasions and lasting increases in spine size, with invaded
spines exhibiting significantly faster and more growth than non-invaded spines.
Even individual MT invasions triggered rapid increases in spine size that
persisted longer following NMDAR activation. Inhibition of either NMDARs or
dynamic MTs blocked NMDAR-dependent spine growth. Together these results
demonstrate for the first time that MT-spine invasions are positively regulated
by signaling through synaptic NMDARs, and contribute to long-lasting structural
changes in targeted spines.
PMID- 22096613
TI - Android fat depot is more closely associated with metabolic syndrome than
abdominal visceral fat in elderly people.
AB - BACKGROUND: Fat accumulation in android compartments may confer increased
metabolic risk. The incremental utility of measuring regional fat deposition in
association with metabolic syndrome (MS) has not been well described particularly
in an elderly population. METHODS AND FINDINGS: As part of the Korean
Longitudinal Study on Health and Aging, which is a community-based cohort study
of people aged more than 65 years, subjects (287 male, 75.9+/-8.6 years and 278
female, 76.0+/-8.8 years) with regional body composition data using Dual energy X
ray absorptiometry for android/gynoid area, computed tomography for
visceral/subcutaneous adipose tissue (VAT/SAT), and cardiometabolic markers
including adiponectin and high-sensitivity CRP were enrolled. We investigated the
relationship between regional body composition and MS in multivariate regression
models. Mean VAT and SAT area was 131.4+/-65.5 cm(2) and 126.9+/-55.2 cm(2) in
men (P = 0.045) and 120.0+/-46.7 cm(2) and 211.8+/-65.9 cm(2) in women (P<0.01).
Mean android and gynoid fat amount was 1.8+/-0.8 kg and 2.5+/-0.8 kg in men and
2.0+/-0.6 kg and 3.3+/-0.8 kg in women, respectively (both P<0.01). VAT area and
android fat amount was strongly correlated with most metabolic risk factors
compared to SAT or gynoid fat. Furthermore, android fat amount was significantly
associated with clustering of MS components after adjustment for multiple
parameters including age, gender, adiponectin, hsCRP, a surrogate marker of
insulin resistance, whole body fat mass and VAT area. CONCLUSIONS: Our findings
are consistent with the hypothesized role of android fat as a pathogenic fat
depot in the MS. Measurement of android fat may provide a more complete
understanding of metabolic risk associated with variations in fat distribution.
PMID- 22096614
TI - Comparison of peritoneal adhesion formation in bowel retraction by cotton towels
versus the silicone lap pak device in a rabbit model.
AB - OBJECTIVE: Manipulation of cotton operating room towels within the abdominal
cavity in open abdominal surgery has been associated with the formation of
peritoneal adhesions. In a rabbit model, the use of standard cotton operating
room towels is compared to the Lap Pak, a silicone bowel-packing device, to
determine the potential for reducing the risk of adhesions. METHODS: Thirty
rabbits were randomly assigned to 3 groups. The rabbits underwent a sham surgery
with incision only (n = 10), placement of operating room towels (n = 10), or
placement of a Lap Pak (n = 10). After 14 days, the rabbits were sacrificed and
the peritoneal cavity explored for adhesions. The number, tenacity, ease of
dissection, and density of adhesions were recorded, and the adhesions
quantitatively graded using a Modified Hopkins Adhesion scoring system. RESULTS:
The operating room towel group had an average adhesion score of 2.5, and 8 (80%)
rabbits developed adhesions. The sham group had an average adhesion score of 0.3
and one rabbit (10%) developed adhesions. The Lap Pak group had an average
adhesion score of 0.2 and 1 rabbit (10%) developed adhesions. The frequency and
severity of adhesions in the operating room towel group were significantly
greater from that of the baseline sham group. There was no significant difference
between the Lap Pak and sham groups. CONCLUSIONS: In this rabbit laparotomy
model, the use of the Lap Pak to retract the bowels resulted in significantly
fewer adhesions compared to cotton operating room towels. Lap Pak may be
beneficial for bowel packing in general abdominal surgeries.
PMID- 22096615
TI - Flexor pollicis longus tendon rupture after volar plating of a distal radius
fracture: pronator quadratus plate coverage may not adequately protect tendons.
AB - OBJECTIVE: The senior author previously reported a case of rupture of the flexor
policis longus tendon after volar plating of a distal radius fracture. We
hypothesized that restoration of the pronator quadratus to its native position
after plating might prevent this problem. METHODS: The authors report a new case
of irritation of the flexor policis longus 2.5 years after volar plating of a
distal radius fracture. The plate was in good contact with the bone, and the
pronator quadratus had been restored to its native position. Despite this, there
was a partial-thickness laceration of the tendon over the plate and a defect in
the pronator quadratus muscle which had been between the plate and the tendon.
RESULTS: The patient was treated with removal of the plate and repair of the
tendon. She never lost function of the flexor policis longus tendon and has full
function of her hand. CONCLUSIONS: The authors believe that restoration of the
pronator quadratus to its native position after volar plating of a distal radius
fracture does protect the overlying flexor tendons. Even with this step, tendon
irritation can still occur. The authors advise their patients at the time of
discharge from initial treatment of their distal radius fracture to be vigilant
for any evidence of flexor tendon irritation and to return for evaluation if they
have any suspicion of this.
PMID- 22096616
TI - Nitazoxanide Disrupts Membrane Potential and Intrabacterial pH Homeostasis of
Mycobacterium tuberculosis.
AB - Nitazoxanide (Alinia((r))), a nitro-thiazolyl antiparasitic drug, kills diverse
microorganisms by unknown mechanisms. Here we identified two actions of
nitazoxanide against Mycobacterium tuberculosis (Mtb): disruption of Mtb's
membrane potential and pH homeostasis. Both actions were shared by a structurally
related anti-mycobacterial compound, niclosamide. Reactive nitrogen intermediates
were reported to synergize with nitazoxanide and its deacetylated derivative
tizoxanide in killing Mtb. Herein, however, we could not attribute this to
increased uptake of nitazoxanide or tizoxanide as monitored by targeted
metabolomics, nor to increased impact of nitazoxanide on Mtb's membrane potential
or intrabacterial pH. Thus, further mechanisms of action of nitazoxanide or
tizoxanide may await discovery. The multiple mechanisms of action may contribute
to Mtb's ultra-low frequency of resistance against nitazoxanide.
PMID- 22096617
TI - CONTINUOUS REPOPULATION OF LYMPHOCYTE SUBSETS IN TRANSPLANTED MYCOBACTERIAL
GRANULOMAS.
AB - Granulomas are the interface between host and mycobacteria, and are crucial for
the surivival of both species. While macrophages are the main cellular component
of these lesions, different lymphocyte subpopulations within the lesions also
play important roles. Lymphocytes are continuously recruited into these
inflammatory lesions via local vessels to replace cells that are either dying or
leaving; however, their rate of replacement is not known. Using a model of
granuloma transplantation and fluorescently labeled cellular compartments we
report that, depending on the subpopulation, 10-80%, of cells in the granuloma
are replaced within one week after transplantation. CD4(+) T cells specific for
Mycobacterium antigen entered transplanted granulomas at a higher frequency than
Foxp3(+) CD4(+) T cells by one week. Interestingly, a small number of T
lymphocytes migrated out of the granuloma to secondary lymphoid organs. The
mechanisms that define the differences in recruitment and efflux behind each
subpopulation requires further studies. Ultimately, a better understanding of
lymphoid traffic may provide new ways to modulate, regulate, and treat
granulomatous diseases.
PMID- 22096619
TI - Laparoscopic removal of pelvic hydatid cysts in young female: a case report.
AB - Hydatid disease is a zoonotic infection caused by larval stages of dog tapeworms
belonging to the genus Echinococcus (family taeniidae) and is also referred to as
echinococcosis. Human cystic echinococcosis caused by E. granulosus is the most
common presentation and probably accounts for more than 95% of the estimated 2-3
million annual worldwide cases. The liver (70-80%) and lungs (15-25%) are the
most frequent locations for echinococcal cysts. The diagnosis is made through the
combined assessment of clinical, radiological, and laboratory findings. The
treatment is mainly surgical, and, with appropriate diagnosis and treatment,
prognosis is good. With advances and increasing experience in laparoscopic
surgery, many more attempts have been made to offer the advantage of such a
procedure to these patients (Chowbey et al. (2003)).
PMID- 22096618
TI - Delineating chromosomal breakpoints in radiation-induced papillary thyroid
cancer.
AB - Recurrent translocations are well known hallmarks of many human solid tumors and
hematological disorders, where patient- and breakpoint-specific information may
facilitate prognostication and individualized therapy. In thyroid carcinomas, the
proto-oncogenes RET and NTRK1 are often found to be activated through chromosomal
rearrangements. However, many sporadic tumors and papillary thyroid carcinomas
(PTCs) arising in patients with a history of exposure to elevated levels of
ionizing irradiation do not carry these known abnormalities. We developed a rapid
scheme to screen tumor cell metaphase spreads and identify candidate genes of
tumorigenesis and neoplastic progression for subsequent functional studies. Using
a series of overnight fluorescence in situ hybridization (FISH) experiments with
pools comprised of bacterial artificial chromosome (BAC) clones, it now becomes
possible to rapidly refine breakpoint maps and, within one week, progress from
the low resolution Spectral Karyotyping (SKY) maps or Giemsa-banding (G-banding)
karyotypes to fully integrated, high resolution physical maps including a list of
candiate genes in the critical regions.
PMID- 22096620
TI - Single-port Laparoscopic Reversal of Hartmann's Procedure: Technique and Results.
AB - In general, reversal of Hartmann's procedure is associated with a high morbidity
and therefore leads to a low rate of intestinal restoration. Reversal of
Hartmann's procedure has to be seen as a complex abdominal operation with the
same possible complications as in other colorectal resections. By using the
laparoscopic technique, operative access trauma by laparotomy can be minimized.
After introducing single-port access into laparoscopic surgery beginning with
cholecystectomies and sigmoid resections, we started with the first single-port
laparoscopic reversal of Hartmann's procedure in January 2010. After excision of
the colostoma, mobilization, and reponing into the abdominal cavity, the single
port trocar was placed at the stoma incision without any extra scar. We
investigated whether the single-port laparoscopic reversal is as safely feasible
as the "conventional" laparoscopic procedure. Till December 2010, single-port
reversal operation was performed in 8 patients 2-4 months after Hartmann's
procedure because of complicated diverticulitis. No conversion to "conventional"
laparoscopic or open procedure was necessary in 1 patient one extra 5 mm trocar
was used. The average operation time was 74 min. Except for one wound
complication, the postoperative course was uncomplicated. The patients were
discharged after 4 to 8 postoperative days. Single-port reversal of Hartmann's
procedure has showed as a new method for minimizing the access trauma even
further than "conventional" laparoscopic surgery.
PMID- 22096621
TI - The arthroscopic ulnohumeral arthroplasty: from mini-open to arthroscopic
surgery.
AB - In cubarthritis-osteoarthritis of the elbow-surgical procedures may be considered
to debride the elbow joint to reduce pain, to increase mobility, and to postpone
joint replacement surgery. The ulnohumeral arthroplasty as described by
Outerbridge and Kashiwagi was originally introduced to debride both anterior and
posterior elbow compartments through a direct posterior mini-open approach. To
achieve this, a distal humeral fenestration throughout the humeral fossa is
performed. Although with an elbow arthroscopy, a technique that was obviously
developed later on, all compartments can be easily visualized. The arthroscopic
fenestration of the humerus preserves its advantages, with good clinical results
focused on pain relief and gaining mobility. On top, future elbow joint locking
based on degenerative loose bodies can be prevented. Therefore, this surgery is
often done in young, more active patients and even in sportsmen. These patients,
however, need to be prompted to restrict loading on the elbow in the immediate
postoperative period, because the elbow is biomechanically weakened and may be
prone to a fracture. However, both outcome and postoperative rehabilitation are
promising and the arthroscopic Outerbridge procedure is a reliable procedure with
an easy rehabilitation. Therefore, the threshold is relatively low in early
cubarthritis and recurrent locking of the elbow. In this paper, we present a
literature review and the author's experience and own research on the Outerbridge
procedure.
PMID- 22096622
TI - 5'CAG and 5'CTG Repeats Create Differential Impediment to the Progression of a
Minimal Reconstituted T4 Replisome Depending on the Concentration of dNTPs.
AB - Instability of repetitive sequences originates from strand misalignment during
repair or replicative DNA synthesis. To investigate the activity of reconstituted
T4 replisomes across trinucleotide repeats (TNRs) during leading strand DNA
synthesis, we developed a method to build replication miniforks containing a TNR
unit of defined sequence and length. Each minifork consists of three strands,
primer, leading strand template, and lagging strand template with a 5' single
stranded (ss) tail. Each strand is prepared independently, and the minifork is
assembled by hybridization of the three strands. Using these miniforks and a
minimal reconstituted T4 replisome, we show that during leading strand DNA
synthesis, the dNTP concentration dictates which strand of the structure-forming
5'CAG/5'CTG repeat creates the strongest impediment to the minimal replication
complex. We discuss this result in the light of the known fluctuation of dNTP
concentration during the cell cycle and cell growth and the known concentration
balance among individual dNTPs.
PMID- 22096623
TI - Serial Assessment of Cardiac Function during and following Mitoxantrone Infusion
in 30 Consecutive Patients with Multiple Sclerosis.
AB - Immunosuppressive therapy is an established therapeutic option in patients
suffering from multiple sclerosis (MS). In an open nonrandomized study we
serially assessed cardiac function in 30 consecutive patients with MS before,
during, and after mitoxantrone therapy. Mitoxantrone (12 mg/m(2)) was
administered intravenously at 3-month intervals. Before each infusion, cardiac
function was assessed by history taking, resting electrocardiogram, and
echocardiography. Whereas no patient experienced clinical signs of heart failure,
left ventricular pump function decreased continuously during mitoxantrone therapy
and did not recover after cessation. The presented data suggest a dose-dependent
and long-lasting toxic cardiac effect of low-dose mitoxantrone therapy in MS.
PMID- 22096624
TI - Phosphorylated neurofilament heavy chain correlations to visual function, optical
coherence tomography, and treatment.
AB - Objective. To correlate visual and neurologic clinical scores and treatment of
optic neuritis and multiple sclerosis (MS) patients with assays of serum
phosphorylated neurofilament heavy chain (pNF-H) and optical coherence tomography
(OCT) measurements of axonal loss. Design/Methods. The Optic Neuritis Treatment
Trial (ONTT) randomized 457 patients with acute optic neuritis to intravenous
methylprednisolone (IVMP) followed by oral prednisone, oral prednisone or placebo
treatment arms. We quantified serum pNF-H levels in 175 ONTT patients 5 years
after study entry. We performed OCT measurements of macular volume and the
retinal nerve fiber layer (RNFL) in a subset of 51 patients at year 15. Results.
Elevated pNF-H levels at year 5 correlated to poorer visual function at study
entry. Lower 15 year macular volumes and RNFL thickness correlated better with
follow-up than with baseline visual function measures. With IVMP treatment, 15
year RNFL differences of the fellow eye (FE) minus the affected eye (SE)
RNFLFEmSE correlated with five-year pNF-H levels. PNF-H was reduced by half with
IVMP relative to placebo or by 40% relative to prednisone. Conclusions/Relevance.
Acute optic neuritis patients who have more severe visual loss during initial
presentation have a higher incidence of axonal loss that was slightly suppressed
with IVMP treatment.
PMID- 22096625
TI - Internet usage by patients with multiple sclerosis: implications to participatory
medicine and personalized healthcare.
AB - Online health information and services for patients were suggested to improve
symptom management and treatment adherence, thereby contributing to healthcare
optimization. This paper aimed to characterize multiple sclerosis (MS) patients
Internet usage. Information regarding browsing habits, Internet reliability, and
the medical team's attitude to information collected online was obtained by
questionnaires from MS patients. Data was compared between nonbrowsers, browsers
on MS topics, and browsers on non-MS topics only. From the 96 patients recruited,
61 (63.5%) performed MS-related searches. The most viewed topics were
"understanding the disease" and "treatments". Patients reported that the
information helped coping with MS and assured them of the appropriateness of
their therapy. Shorter disease duration was correlated with higher Internet
activity. Disabled patients were more interested in online interaction with
specialists and support groups. This paper suggests that MS patients benefit from
online information, and it emphasizes the importance of resources tailored to
patients needs.
PMID- 22096626
TI - Evaluating the use of optical coherence tomography in optic neuritis.
AB - Optic neuritis (ON) is an inflammatory optic nerve injury, which is strongly
associated with multiple sclerosis (MS). Axonal damage in the optic nerve
manifests as retinal nerve fiber layer (RNFL) deficits, which can be readily
quantified with optical coherence tomography (OCT). The RNFL represents the most
proximal region of the afferent visual pathway; and, as such, is a unique region
of the central nervous system (CNS) because it lacks myelin. Changes in retinal
integrity can be correlated with reliable and quantifiable visual outcomes to
provide a structural-functional paradigm of CNS injury. Because the eye provides
a unique "view" into the effects of CNS inflammation, the ON "system model" may
provide greater understanding about disease mechanisms, which underpin disability
in MS. This review addresses the applications of OCT in study of ON patients,
with specific reference to the published reports to date. The future role of OCT
is discussed, both in terms of the potential gains and certain challenges
associated with this evolving technology.
PMID- 22096627
TI - CCR5Delta32 Polymorphism Associated with a Slower Rate Disease Progression in a
Cohort of RR-MS Sicilian Patients.
AB - Multiple sclerosis (MS) disease is carried through inflammatory and degenerative
stages. Based on clinical feaures, it can be subdivided into three groups:
relapsing-remitting MS, secondary progressive MS, and primary progressive MS.
Multiple sclerosis has a multifactorial etiology with an interplay of genetic
predisposition, environmental factors, and autoimmune inflammatory mechanism in
which play a key role CC-chemokines and its receptors. In this paper, we studied
the frequency of CCR5 gene Delta32 allele in a cohort of Sicilian RR-MS patients
comparing with general Sicilian population. Also, we evaluate the association
between this commonly polymorphism and disability development and age of disease
onset in the same cohort. Our results show that presence of CCR5Delta32 is
significantly associated with expanded disability status scale score (EDSS) but
not with age of disease onset.
PMID- 22096628
TI - Serum metabolic profile in multiple sclerosis patients.
AB - Multiple sclerosis (MS) is a progressive demyelinating process considered as an
autoimmune disease, although the causes of this pathology have not been yet fully
established. Similarly to other neurodegenerations, MS is characterized by a
series of biochemical changes affecting to different extent neuronal functions;
great attention has been given to oxidative/nitrosative stress and to alterations
in mitochondrial functions. According to previous data, MS patients show
significant changes in the circulating concentrations of different metabolites,
although it is still unclear whether uric acid undergoes to decrease, increase,
or no change under this pathological condition. In this study, we report the
serum metabolic profile in terms of purines, pyrimidines, creatinine,
malondialdehyde, ascorbic acid, nitrite, and nitrate in a group of 170 MS
patients. The results show increase in circulating uric acid and other oxypurines
(hypoxanthine and xanthine), as well as in uridine and beta-pseudouridine. The
concomitant increase in circulating creatinine, malondialdehyde, nitrite, and
nitrate, and decrease in ascorbic acid, demonstrates that MS induces alteration
in energy metabolism and in oxidants/antioxidants balance that can be monitored
in serum of MS patients.
PMID- 22096629
TI - Varicella zoster virus and relapsing remitting multiple sclerosis.
AB - Multiple sclerosis (MS) is an immune-mediated disorder; however, little is known
about the triggering factors of the abnormal immune response. Different viruses
from the herpes family have been mentioned as potential participants. Here, we
review the evidences that support the association of varicella zoster virus (VZV)
with MS. Epidemiological studies from geographical areas, where incidence of MS
has increased in recent decades, pointed out a high frequency of varicella and
zoster in the clinical antecedents of MS patients, and also laboratory
investigations have found large quantities of DNA from VZV in leucocytes and
cerebrospinal fluid of MS patients restricted to the ephemeral period of MS
relapse, followed by disappearance of the virus during remission. The above
observations and the peculiar features of VZV, mainly characterized by its
neurotropism and long periods of latency followed by viral reactivation, support
the idea on the participation of VZV in the etiology of MS. However, as with
reports from studies with other viruses, particularly Epstein Barr virus,
conflicting results on confirmatory studies about the presence of viral gene
products in brain tissue indicate the need for further research on the potential
participation of VZV in the etiology of MS.
PMID- 22096630
TI - Repeated intrathecal triamcinolone acetonide administration in progressive
multiple sclerosis: a review.
AB - At the present time, anti-inflammatory, immunomodulatory, or immunosuppressive
treatments of multiple sclerosis (MS) are mainly effective in the early phases of
the disease but are of less advantage in progressive phases. Current therapeutic
strategies of both primary and secondary progressive MS are rare. One alternative
may be intrathecal application of triamcinolone acetonide (TCA). Number of papers
deal with advantages and disadvantages of intrathecal administration in MS.
Former trials lacked detailed selection of MS patients, with small sample sizes,
low steroid dosages, and only a small number of intrathecal administration of
short acting steroids. The present paper summarizes recent trials performed
following a different treatment regime. They were conducted in patients with
progressive MS suffering mainly from spinal symptoms and documented a significant
improvement of EDSS and walking distance (WD). Intrathecal TCA administration is
a proposal to take into account as one therapy option in patients with a
progressive clinical course and predominantly spinal symptoms.
PMID- 22096631
TI - Consensus Guidelines for CSF and Blood Biobanking for CNS Biomarker Studies.
AB - There is a long history of research into body fluid biomarkers in
neurodegenerative and neuroinflammatory diseases. However, only a few biomarkers
in cerebrospinal fluid (CSF) are being used in clinical practice. Anti-aquaporin
4 antibodies in serum are currently useful for the diagnosis of neuromyelitis
optica (NMO), but we could expect novel CSF biomarkers that help define prognosis
and response to treatment for this disease. One of the most critical factors in
biomarker research is the inadequate powering of studies performed by single
centers. Collaboration between investigators is needed to establish large
biobanks of well-defined samples. A key issue in collaboration is to establish
standardized protocols for biobanking to ensure that the statistical power gained
by increasing the numbers of CSF samples is not compromised by pre-analytical
factors. Here, consensus guidelines for CSF collection and biobanking are
presented, based on the guidelines that have been published by the BioMS-eu
network for CSF biomarker research. We focussed on CSF collection procedures, pre
analytical factors and high quality clinical and paraclinical information.
Importantly, the biobanking protocols are applicable for CSF biobanks for
research targeting any neurological disease.
PMID- 22096632
TI - The impact of comorbid depression on adherence to therapy for multiple sclerosis.
AB - Objective. Examine the impact of comorbid depression on adherence to disease
modifying therapy (DMT) for multiple sclerosis (MS). Methods. A retrospective
database was used to identify patients with MS treated with a DMT. Patients with
MS and comorbid depression were matched to patients with MS only. Adherence to
DMT was proxied by the medication possession ratio (MPR) and multivariate
regressions were used to examine the association between comorbid depression and
adherence to DMT. Results. Patients with comorbid depression had a 10 point lower
MPR (P < 0.01) and were less likely to achieve a MPR of at least 80% (odds ratio
(OR) = 0.55; 95% confidence interval (CI) 0.42-0.74) than those without
depression. While treatment with an antidepressant generally had no significant
impact on the likelihood of achieving an MPR threshold of 80% (OR = 1.32; 95% CI
0.50-3.48), adherence to antidepressant therapy guidelines were associated with
improved adherence to DMT therapy. Conclusions. MS patients with comorbid
depression were approximately half as likely to be adherent to their DMT relative
to patients with MS without depression. Although treatment with antidepressant
therapy generally did not improve the likelihood of adherence, treatment with
antidepressants for at least 6 months was associated with better adherence to
DMT.
PMID- 22096633
TI - Exploring the Association between Retinal Nerve Fiber Layer Thickness and Initial
Magnetic Resonance Imaging Findings in Patients with Acute Optic Neuritis.
AB - Background. Recent studies have shown that OCT-measured retinal nerve fiber layer
(RNFL) values may represent a marker for axonal damage in the anterior visual
pathway of optic neuritis (ON) and multiple sclerosis (MS) patients. The goal of
this study was to determine the link between RNFL values and initial magnetic
resonance imaging (MRI) evidence of central nervous system (CNS) inflammation in
patients with acute ON. Methods. Fifty patients who experienced ON as a
clinically isolated syndrome (CIS) were followed for a mean period of 34 months
with OCT testing. RNFL values in affected (ON) eyes and clinically unaffected
(non-ON) eyes were compared between patients with MRI evidence of white matter
lesions and those with normal baseline MRI findings, over a two year period.
Findings. Twenty-one patients (42%) developed clinically definite MS (CDMS)
during the study. After two years, temporal RNFL values were thinner (P = .07) in
ON patients with MRI lesions at baseline, but the results were not significant.
Conclusions. There is no association between RNFL values and baseline MRI status
in ON patients at risk for future CDMS over a two year period.
PMID- 22096634
TI - Corpus callosum microstructural changes correlate with cognitive dysfunction in
early stages of relapsing-remitting multiple sclerosis: axial and radial
diffusivities approach.
AB - The corpus callosum is the largest fiber bundle in the central nervous system and
it takes part in several cognitive pathways. It can be affected by multiple
sclerosis (MS) early in the disease. DTI is capable of infering the
microstructural organization of the white matter. The vectorial analysis of the
DTI offers the more specific indices of axial diffusivity (AD) and radial
diffusivity (RD), which have shown to be useful to discriminate myelin damage
from axon loss, respectively. This study presents DTI results (mean diffusivity
(MD), fractional anisotropy (FA), RD, and AD) of 23 relapsing-remitting MS
patients and its correlation with cognitive performance. There were 47.8% of
cognitive impaired patients (MS CI). We found signs of demyelination, reflected
by increased RD, and incipient axon loss, reflected by AD increase, which was
slightly higher in the MS CI. The cognitive changes correlated with the DTI
parameters, suggesting that loss of complexity in CC connections can impair
neural conduction. Thus, cognitive impairment can be related to callosal
disconnection, and DTI can be a promising tool to evaluate those changes.
PMID- 22096635
TI - Neurofilament proteins as body fluid biomarkers of neurodegeneration in multiple
sclerosis.
AB - Biomarkers of axonal degeneration have the potential to improve our capacity to
predict and monitor neurological outcome in multiple sclerosis (MS) patients.
Neurofilament proteins, one of the major proteins expressed within neurons and
axons, have been detected in cerebrospinal fluid and blood samples from MS
patients and are now being actively investigated for their utility as prognostic
indicators of disease progression in MS. In this paper, we summarize the current
literature on neurofilament structure, assembly, and degeneration and discuss
their potential utility as biomarkers for monitoring neurological decline in MS.
We also discuss the need to further develop sensitive methods for assaying
neurofilaments in blood to improve clinical applicability.
PMID- 22096636
TI - Potential impact of B cells on T cell function in multiple sclerosis.
AB - Multiple sclerosis is a chronic debilitating autoimmune disease of the central
nervous system. The contribution of B cells in the pathoetiology of MS has
recently been highlighted by the emergence of rituximab, an anti-CD20 monoclonal
antibody that specifically depletes B cells, as a potent immunomodulatory therapy
for the treatment of MS. However, a clearer understanding of the impact B cells
have on the neuro-inflammatory component of MS pathogenesis is needed in order to
develop novel therapeutics whose affects on B cells would be beneficial and not
harmful. Since T cells are known mediators of the pathology of MS, the goal of
this review is to summarize what is known about the interactions between B cells
and T cells, and how current and emerging immunotherapies may impact B-T cell
interactions in MS.
PMID- 22096637
TI - Multiple sclerosis: a disorder of altered T-cell homeostasis.
AB - Uncertainty exists as to whether similar or different mechanisms contribute to
the pathogenesis of different subtypes of multiple sclerosis (MS). Detailed
analysis of naive T cell homeostasis shows that patients with relapsing-remitting
MS (RRMS) and with primary progressive MS (PPMS) have early-onset thymic
involution that causes reduced thymic output. The reduced thymic output leads to
secondary peripheral homeostatic alterations in naive CD4 T-cells, which closely
mimic T-cell alterations observed in an experimental animal model of diabetes
mellitus. Homeostatic T-cell receptor (TCR) signalling and proliferation of naive
T cells are induced by self-peptides. Consequently, the findings of increased TCR
signalling of naive CD4 T-cells, without increased proliferation, in PPMS, and
the increased homeostatic proliferation of naive CD4 T-cells in RRMS favour the
development of autoimmunity. Thus, it seems highly likely that peripheral T-cell
alterations secondary to a thymic abnormality contribute to the pathogenesis of
both MS subtypes.
PMID- 22096638
TI - Optical coherence tomography in multiple sclerosis and neuromyelitis optica: an
update.
AB - Optical coherence tomography (OCT) uses light interference patterns to produce a
cross-sectional image of the retina. It is capable of measuring the unmyelinated
axons of the retinal ganglionar cells as they converge on the optic disc. In a
disease like multiple sclerosis (MS), in which axonal loss has been identified as
an important cause of sustained disability, it may prove an invaluable tool. OCT
has demonstrated that axonal loss occurs after each episode of optic neuritis and
that the degree of axonal loss is correlated to visual outcomes. Furthermore,
axonal loss occurs in MS even in the absence of inflammatory episodes, and the
degree of this loss is correlated with the duration of the disease process, with
more thinning as the disease advances and in progressive forms. Thus, OCT retinal
nerve fiber layer measurements may represent an objective outcome measure with
which to evaluate the effect of treatment.
PMID- 22096639
TI - Reduced ErbB4 Expression in Immune Cells of Patients with Relapsing Remitting
Multiple Sclerosis.
AB - Background. There is an insufficient remyelination in the lesions of multiple
sclerosis (MS). One of the factor that was found to promote remyelination is
neuregulin-1 which is the ligand of ErbB4. Immune cells have been implicated in
neurogenesis and oligodendrogenesis. Aims. We studied the expression of ErbB4 in
the immune cells of patients with relapsing remitting (RR) multiple sclerosis
(MS) and healthy controls. Methods. ErB4 expression in immune cells was studied
by flow cytometry without stimulation or with stimulation with anti-CD3 and anti
CD28 monoclonal antibodies or in the presence of interferon-g or TNF-alpha as
well as by immunoprecipitation and Western blot, and its mRNA was studied by real
time PCR. Results. We found reduced levels of ErbB4 in the total PBMCs and in T
cells, monocytes, and B cells of RR MS patients. Similarly, the ErbB4 RNA levels
were reduced in the immune cells of patients with RR-MS. Stimulation via CD3 and
CD28 significantly upregulated the expression of ErbB4 on immune cells healthy
individuals. This effect was weaker in the patients group. Conclusion. ErbB4 may
play a role in the proliferation of oligodendrocyte progenitor cells,
differentiation of oligodendrocytes, and remyelination, and, therefore, the
reduced ErbB4 expression in immune cells of patients with RR-MS may contribute to
insufficient remyelination that occurs in the disease.
PMID- 22096640
TI - Iron and neurodegeneration in multiple sclerosis.
AB - Increased iron deposition might be implicated in multiple sclerosis (MS). Recent
development of MRI enabled to determine brain iron levels in a quantitative
manner, which has put more interest on studying the role of iron in MS. Evidence
for abnormal iron homeostasis in MS comes also from analyses of iron and iron
related proteins in CSF and blood and postmortem MS brain sections. However, it
is not yet clear if iron accumulation is implicated in MS pathology or merely
reflects an epiphenomenon. Further interest has been generated by the idea of
chronic cerebrospinal venous insufficiency that might be associated with brain
iron accumulation due to a reduction in venous outflow, but its existence and
etiologic role in MS are currently controversially debated. In future studies,
combined approaches applying quantitative MRI together with CSF and serum
analyses of iron and iron-related proteins in a clinical followup setting might
help to elucidate the implication of iron accumulation in MS.
PMID- 22096641
TI - When to initiate disease-modifying drugs for relapsing remitting multiple
sclerosis in adults?
AB - For patients with Relapsing Remitting Multiple Scierosis Beta Interfaerons and
Glatiramer Acetate were the first to be licensed for treatment. This review deals
with one major question: when to initiate therapy? Through exploring the unique
characteristics of the disease and treatement we suggest an approach that should
be helpful in the process of decision-making.
PMID- 22096643
TI - Hyperacute corticosteroid treatment of optic neuritis at the onset of pain may
prevent visual loss: a case series.
AB - Aim. To show that high-dose corticosteroids may prevent visual loss in patients
with optic neuritis (ON) treated at the prodromal, hyperacute, phase of
retrobulbar pain. Method. Prospective case series: patients were recruited with a
history of ON associated with pain. The patients were advised to report
immediately to the investigators should the pain recur in either eye. Where
possible, orbital magnetic resonance imaging (MRI) was performed to confirm a
recurrence of ON and treatment with high-dose corticosteroids was commenced.
Visual function and the patient's subjective account were monitored. Results.
Eight patients (including cases of MS, CRION and NMO) presented in the hyperacute
phase. MRI confirmed optic nerve inflammation in 5/5. Treatment was commenced
immediately, and, in all cases, no visual loss ensued. Conclusion. MRI can be
used to confirm acute optic neuritis prior to visual loss in the hyperacute
phase. We suggest that treatment with high-dose corticosteroids may abort the
attack and prevent loss of vision in patients with ON who are treated at the
onset of pain. This has potential implications for the management of acute ON and
also for our understanding of the pathogenesis and potential therapeutic targets
in the neuroinflammatory conditions associated with ON.
PMID- 22096644
TI - Blood and CSF Biomarker Dynamics in Multiple Sclerosis: Implications for Data
Interpretation.
AB - Background. Disability in multiple sclerosis (MS) is related to neuroaxonal
degeneration. A reliable blood biomarker for neuroaxonal degeneration is needed.
Objectives. To explore the relationship between cerebrospinal fluid (CSF) and
serum concentrations of a protein biomarker for neuroaxonal degeneration, the
neurofilaments heavy chain (NfH). Methods. An exploratory cross-sectional (n =
51) and longitudinal (n = 34) study on cerebrospinal fluid (CSF) and serum NfH
phosphoform levels in patients with MS. The expanded disability status scale
(EDSS), CSF, and serum levels of NfH-SMI34 and NfH-SMI35 were quantified at
baseline. Disability progression was assessed at 3-year followup. Results. At
baseline, patients with primary progressive MS (PPMS, EDSS 6) and secondary
progressive MS (SPMS, EDSS 6) were more disabled compared to patients with
relapsing remitting MS (RRMS, EDSS 2, P < .0001). Serum and CSF NfH phosphoform
levels were not correlated. Baseline serum levels of the NfH-SMI34 were
significantly (P < .05) higher in patients with PPMS (2.05 ng/mL) compared to
SPMS (0.03 ng/mL) and RRMS (1.56 ng/mL). In SPMS higher serum than CSF NfH-SMI34
levels predicted disability progression from baseline (DeltaEDSS 2, P < .05). In
RRMS higher CSF than serum NfH-SMI35 levels predicted disability progression
(DeltaEDSS 2, P < .05). Conclusion. Serum and CSF NfH-SMI34 and NfH-SMI35 levels
did not correlate with each other in MS. The quantitative relationship of CSF and
serum NfH levels suggests that neuroaxonal degeneration of the central nervous
system is the likely cause for disability progression in RRMS. In more severely
disabled patients with PP/SPMS, subtle pathology of the peripheral nervous system
cannot be excluded as an alternative source for blood NfH levels. Therefore, the
interpretation of blood protein biomarker data in diseases of the central nervous
system (CNS) should consider the possibility that pathology of the peripheral
nervous system (PNS) may influence the results.
PMID- 22096645
TI - Restless legs syndrome presenting as an acute exacerbation of multiple sclerosis.
AB - Restless legs syndrome is common in patients with multiple sclerosis but has not
been reported as occurring due to an acute, inflammatory, demyelinating attack.
Restless legs syndrome is known to be related to low brain iron levels. Multiple
sclerosis has been associated with the abnormal accumulation of iron in the
chronic, progressive phase of axonal degeneration. Iron deficiency may play a
role in demyelination. This suggests that restless legs syndrome may be caused by
the inflammatory, demyelinating component of multiple sclerosis rather than
axonal degeneration. The author presents a case of self-limited restless legs
syndrome occurring as an acute attack of multiple sclerosis, supporting the
notion that inflammatory demyelination is the underlying pathophysiology of
restless legs syndrome in multiple sclerosis.
PMID- 22096642
TI - Cerebrospinal fluid and blood biomarkers of neuroaxonal damage in multiple
sclerosis.
AB - Following emerging evidence that neurodegenerative processes in multiple
sclerosis (MS) are present from its early stages, an intensive scientific
interest has been directed to biomarkers of neuro-axonal damage in body fluids of
MS patients. Recent research has introduced new candidate biomarkers but also
elucidated pathogenetic and clinical relevance of the well-known ones. This paper
reviews the existing data on blood and cerebrospinal fluid biomarkers of
neuroaxonal damage in MS and highlights their relation to clinical parameters, as
well as their potential predictive value to estimate future disease course,
disability, and treatment response. Strategies for future research in this field
are suggested.
PMID- 22096646
TI - Response to interferon-Beta treatment in afro-caribbeans with multiple sclerosis.
AB - Background. Multiple sclerosis (MS) patients of African ancestry have a more
aggressive disease course than white patients and could be resistant to
interferon-beta (INFB). Methods. We studied the impact of INFB in treatment-naive
Afro-Caribbean (AC) with clinically definite MS using our European Database for
Multiple Sclerosis (EDMUS) (2003-2010). Main outcome measures were annual relapse
rate after 2 years of treatment, proportion of exacerbation-free subjects 48
weeks after initiating INFB, and time to first relapse. Results. 76 AC-MS
(59F/17M) were identified. Annual relapse rate of 1.29 decreased to 0.83 (-35.6%)
after 2 years of treatment. The proportion of relapse-free patients at 48 weeks
was 46.2%. Median time to first relapse was 52 weeks. Conclusion. INFB is not
strong enough to control AC-MS patients in many cases which is problematic in a
population of worse MS prognosis.
PMID- 22096647
TI - An NTCP Analysis of Urethral Complications from Low Doserate Mono- and Bi
Radionuclide Brachytherapy.
AB - Urethral NTCP has been determined for three prostates implanted with seeds based
on (125)I (145 Gy), (103)Pd (125 Gy), (131)Cs (115 Gy), (103)Pd-(125)I (145 Gy),
or (103)Pd-(131)Cs (115 Gy or 130 Gy). First, DU(20), meaning that 20% of the
urhral volume receive a dose of at least DU(20), is converted into an I-125 LDR
equivalent DU(20) in order to use the urethral NTCP model. Second, the
propagation of uncertainties through the steps in the NTCP calculation was
assessed in order to identify the parameters responsible for large data
uncertainties. Two sets of radiobiological parameters were studied. The NTCP
results all fall in the 19%-23% range and are associated with large
uncertainties, making the comparison difficult. Depending on the dataset chosen,
the ranking of NTCP values among the six seed implants studied changes. Moreover,
the large uncertainties on the fitting parameters of the urethral NTCP model
result in large uncertainty on the NTCP value. In conclusion, the use of NTCP
model for permanent brachytherapy is feasible but it is essential that the
uncertainties on the parameters in the model be reduced.
PMID- 22096648
TI - Comments on the extraperitoneal approach for standard laparoscopic radical
prostatectomy: what is gained and what is lost.
AB - Laparoscopic extraperitoneal radical prostatectomy (LERP) is considered the
standard care treatment option for the management of localized and locally
advanced prostatic cancer (PCa) in many institutes worldwide. In this work, the
main advantages and disadvantages of LERP approach are reviewed with regard to
its outcomes, the complication management, the learning curve, and the extend of
pelvic lymph node dissection (PLND). It is concluded that LERP demonstrates
comparable cancer control, urinary continence, and potency outcomes with the open
and the robot-assisted radical prostatectomy, while offering advantages in
complication management in comparison to the transperitoneal approach. Learning
curve of LERP is considered long and stiff and significantly affects
perioperative outcomes and morbidity, cancer control, and functional results.
Thus, close mentoring especially in the beginning of the learning curve is
advised. Finally, LERP still has a role in the limited or modified PLND offered
in intermediate risk PCa patients.
PMID- 22096649
TI - Pathology update for urologists.
PMID- 22096650
TI - Racial/Ethnic patterns in prostate cancer outcomes in an active surveillance
cohort.
AB - Introduction. Concern regarding overtreatment of prostate cancer (CaP) is leading
to increased attention on active surveillance (AS). This study examined CaP
survivors on AS and compared secondary treatment patterns and overall survival by
race/ethnicity. Methods. The study population consisted of CaP patients self
classified as black or white followed on AS in the Center for Prostate Disease
Research (CPDR) multicenter national database between 1989 and 2008. Secondary
treatment included radical prostatectomy (RP), external beam radiation therapy or
brachytherapy (EBRT-Br), and hormone therapy (HT). Secondary treatment patterns
and overall survival were compared by race/ethnicity. Results. Among 886 eligible
patients, 21% were black. Despite racial differences in risk characteristics and
secondary treatment patterns, overall survival was comparable across race. RP
following AS was associated with the longest overall survival. Conclusion. Racial
disparity in overall survival was not observed in this military health care
beneficiary cohort with an equal access to health care.
PMID- 22096651
TI - First danish single-institution experience with radical prostatectomy:
biochemical outcome in 1200 consecutive patients.
AB - Radical retropubic prostatectomy (RRP) as intended curative therapy for patients
with clinically localized prostate cancer (PC) was initiated in 1995 in Denmark.
This paper reports single-institution results from the first 1200 consecutive
patients operated during a 15-year period. Median age at surgery was 63 years.
Median PSA was 9 ng/mL. Palpable tumors (<=cT2) were present in 48% of patients.
Gleason score at biopsy was <=7 for 85% of patients. In sixty-five percent of
patients, histopathology revealed localized PCa after RRP. Positive surgical
margins were found in 39.2% of the cases. Biochemical recurrence (BR) occurred
for 214 (18%) of patients. The estimated biochemical recurrence free survival
(BRFS) was 71.7% and 63.2% after 5 and 10 years, respectively. When patients were
stratified according to the D'Amico criteria, BRFS after 10 years was 75.3%,
59.7%, and 39.3% for low-, medium- and high-risk patients, respectively. In
univariate analysis, clinical stage, PSA at diagnosis and type of surgery were
significant predictors of BR. In multivariate analysis, Gleason score > 7, PSA >
10, and higher clinical stage were significant predictors of BR. Early Danish
results in a population not subjected to screening demonstrate BRFS rates
comparable with earlier reports from the prescreening era.
PMID- 22096652
TI - The Metabolic Syndrome and Biochemical Recurrence following Radical
Prostatectomy.
AB - Metabolic syndrome refers to a set of conditions that increases the risk of
cardiovascular disease and has been associated with an increased risk of prostate
cancer, particularly among African American men. This study aimed to estimate the
association of metabolic syndrome with biochemical recurrence (BCR) in a racially
diverse population. Among 383 radical prostatectomy patients, 67 patients had
documented biochemical recurrence. Hypertension was significantly, positively
associated with the rate of BCR (hazard ratio (HR) = 2.1; 95% CI = 1.1, 3.8).
There were distinct racial differences in the prevalence of individual metabolic
syndrome components; however, the observed associations with BCR did not differ
appreciably by race. We conclude that hypertension may contribute to a poorer
prognosis in surgically treated prostate cancer patients. Our findings suggest
that targeting components of the metabolic syndrome which are potentially
modifiable through lifestyle interventions may be a viable strategy to reduce
risk of BCR in prostate cancer.
PMID- 22096653
TI - Bevacizumab and weekly docetaxel in patients with metastatic castrate-resistant
prostate cancer previously exposed to docetaxel.
AB - Background. The aim of this paper was to evaluate the activity and tolerability
of docetaxel (D) and bevacizumab (Bev) in patients with metastatic castrate
resistant prostate cancer (CRPC) previously exposed to D. Methods. Treatment
consisted of D 30 mg/m(2) i.v. for four consecutive weekly administrations
followed by a 2-week rest interval, in addition to Bev 5 mg/kg i.v. every 2
weeks. Results. Forty-three patients were enrolled: a PSA response was observed
in 27 patients (62.7%, 95% CI: 0.41 to 0.91), and a palliative response was
achieved in 31 patients (72.1%, 95%CI: 0.48 to 1.02). After a median followup of
11.3 months, only five patients had died. The regimen was generally well
tolerated. Conclusion. Weekly D + biweekly Bev seems to be an effective and well
tolerated treatment option for patients with metastatic CRPC previously exposed
to D-based chemotherapy.
PMID- 22096654
TI - Specimen orientation by marking the peripheral end: (potential) clinical
advantages in prostate biopsy.
AB - The aim of this paper is to identify advantages that could be obtained by
orientation of the biopsy specimen using the marking technique. We reviewed our
experience (4,500 cases) and the published literature. The peripheral (proximal)
end of the fresh specimen is marked with ink soon after needle delivering in a
few minutes. It is performed easily in association with pre-embedding method.
Five potential clinical advantages were identified: (1) tumor localization, (2)
atypical lesions localization and planning rebiopsy strategy, (3) planning
surgical strategy, (4) selection criteria for focal therapy and active
surveillance, and (5) cost reduction. Peripheral end marking is low cost, easy
and reproducible. It drives several potential advantages in cancer diagnosis or
isolated atypical lesions, in particular, spatial localization within the biopsy
(transition versus peripheral zone, anterior versus posterior, subcapsular versus
intraparenchima, and extraprostatic extension) should be easy and reliable. We
can add a new pathological parameter: pathological orientation or biopsy
polarity.
PMID- 22096655
TI - Using molecular markers to help predict who will fail after radical
prostatectomy.
AB - Recent phase III trial data clearly demonstrate that adjuvant therapy can reduce
recurrence and increase survival after prostatectomy for prostate cancer. There
is great interest in being able to accurately predict who is at risk of failure
to avoid treating those who may not benefit. The standard markers consisting of
prostate specific antigen (PSA), Gleason score, and pathological stage are not
very specific, so there is an unmet need for other markers to aid in prognostic
stratification. Numerous studies have been conducted with various markers and
more recently gene signatures, but it is unclear whether any of them are really
useful. We conducted a comprehensive review of the literature to determine the
current status of molecular markers in predicting outcome after radical
prostatectomy.
PMID- 22096656
TI - Proliferative tumor doubling times of prostatic carcinoma.
AB - Prostate cancer (PCa) has a variable biology ranging from latent cancer to
extremely aggressive tumors. Proliferative activities of cancers may indicate
their biological potential. A flow cytometric assay to calculate maximum
proliferative doubling times (T(max)) of PCa in radical prostatectomy specimens
after preoperative in vivo bromodeoxyuridine (BrdU) infusion is presented. Only
4/17 specimens had tumors large enough for flow cytometric analysis. The T(max)
of tumors was similar and ranged from 0.6 to 3.6 months. Tumors had calculated
doubling times 2- to 25-fold faster than their matched normal tissue. Variations
in labeling index and T(max) were observed within a tumor as well as between
different Gleason grades. The observed PSA doubling times (PSA-DT) ranged from
18.4 to 32.0 months, considerably slower than the corresponding T(max) of tumors
involved. While lack of data for apoptotic rates is a limitation, apparent
biological differences between latent versus aggressive PCa may be attributable
to variations in apoptotic rates of these tumors rather than their cell
proliferative rates.
PMID- 22096657
TI - Systematic analysis of transrectal prostate biopsies using an ink method and
specific histopathologic protocol: a prospective study.
AB - Background. Transrectal prostate biopsy is the standard protocol for the
screening for prostate cancer. It helps to locate prostatic adenocarcinoma and
plan treatment. However, the increasing number of prostate biopsies leads to
considerably greater costs for the pathology laboratories. In this study, we
compare the traditional method with an ink method in combination with a
systematic histopathologic protocol. Methods. Two hundred consecutive transrectal
prostate biopsy specimens were received from the radiology department. They were
separated into two groups: one hundred were processed as six different specimens
in the usual manner. The other one hundred were submitted in six containers, the
apex, base, and middle section of which were stained different colours. The
samples subject to the ink method were embedded in paraffin and placed in two
cassettes which were sectioned using a specific protocol. Results. The
comparative study of the nonink and ink methods for histopathologic diagnosis
showed no statistical differences as far as diagnostic categories were concerned
(P value < .005). The number of PIN diagnoses increased when the ink method was
used, but no statistical differences were found. The ink method led to a cost
reduction of 48.86%. Conclusions. Our ink method combined with a specific
histopathologic protocol provided the same diagnostic quality, tumor location
information as the traditional method, and lower pathology expenses.
PMID- 22096658
TI - ER and PI3K independently modulate endocrine resistance in ER-positive breast
cancer.
AB - Endocrine therapy-resistant estrogen receptor-positive (ER(+)) breast cancer is
the most common cause of breast cancer death. Miller and colleagues demonstrate
that ligand-independent ER activity promotes the growth of breast cancer cells
through CDK4/E2F. As an independent event, the phosphatidylinositol 3-kinase
(PI3K) pathway is also upregulated in endocrine therapy-resistant cells.
Promising preclinical evidence by several groups for the combination of an
inhibitor of ligand-independent ER, fulvestrant, with PI3K inhibition, has led to
the activation of trials evaluating this concept.
PMID- 22096660
TI - Are the American Psychological Association's Detainee Interrogation Policies
Ethical and Effective?: Key Claims, Documents, and Results.
AB - After 9-11, the United States began interrogating detainees at settings such as
Abu Ghraib, Bagram, and Guantanamo. The American Psychological Association (APA)
supported psychologists' involvement in interrogations, adopted formal policies,
and made an array of public assurances. This article's purpose is to highlight
key APA decisions, policies, procedures, documents, and public statements in
urgent need of rethinking and to suggest questions that may be useful in a
serious assessment, such as, "However well intended, were APA's interrogation
policies ethically sound?"; "Were they valid, realistic, and able to achieve
their purpose?"; "Were other approaches available that would address
interrogation issues more directly, comprehensively, and actively, that were more
ethically and scientifically based, and that would have had a greater likelihood
of success?"; and "Should APA continue to endorse its post-9-11 detainee
interrogation policies?"
PMID- 22096661
TI - Time for a new approach to case reports.
PMID- 22096662
TI - H1N1 infection in emergency surgery: A cautionary tale.
AB - Pandemic 2009 influenza A H1N1 has spread rapidly since its first report in
Mexico in March 2009. This is the first influenza pandemic in over 40 years and
it atypically affects previously healthy young adults, with higher rates of
morbidity and mortality. The medical literature has been inundated with reports
of H1N1 infection, the majority found in critical care and internal medicine
journals with a relative paucity in the surgical literature. Despite this, it
remains an important entity that can impact greatly on acute surgical
emergencies. We present a case of previously healthy 31-year-old male who
underwent open appendectomy. His post-operative recovery was complicated by acute
respiratory distress syndrome secondary to H1N1 infection. This case report
highlights the impact that H1N1 virus can have on acute surgical emergencies and
how it can complicate the post-operative course.
PMID- 22096659
TI - The emergence of lncRNAs in cancer biology.
AB - The discovery of numerous noncoding RNA (ncRNA) transcripts in species from yeast
to mammals has dramatically altered our understanding of cell biology, especially
the biology of diseases such as cancer. In humans, the identification of abundant
long ncRNA (lncRNA) >200 bp has catalyzed their characterization as critical
components of cancer biology. Recently, roles for lncRNAs as drivers of tumor
suppressive and oncogenic functions have appeared in prevalent cancer types, such
as breast and prostate cancer. In this review, we highlight the emerging impact
of ncRNAs in cancer research, with a particular focus on the mechanisms and
functions of lncRNAs.
PMID- 22096663
TI - No more abdominal hysterectomy for myomata using a new minimally-invasive
technique.
AB - To perform hysterectomy in uterus myomatosus, there are several surgical
techniques. For a uterine weight of >1000 g, after a caesarean section and in
nullipara per vaginam, the most common surgical technique for hysterectomy in
patients is hysterectomy per laparotomiam. A new endoscopical technique developed
to treat such patients and to avoid laparotomy is described in this case report:
the laparoscopic combined hysterectomy (LACH) using the change-over technique.
Adhesiolysis, preparation of the ureters and the bladder and morcellation of the
uterus of 2480 g were performed minimally-invasive in two steps, from one side of
the patient with a change-over of the OP-team to the other side of the patient.
The cervix was removed per vaginam.
PMID- 22096664
TI - Actinomycosis of the colon with invasion of the abdominal wall: An uncommon
presentation of a colonic tumour.
AB - Actinomycosis is an uncommon chronic suppurative infectious disease that is
caused by Actinomycetes organisms, which are gram-positive, microaerophilic,
anaerobic bacteria. The most common type causing disease in humans is Actinomyces
israelii. This organism is a commensal of the human mouth and is seldom
pathogenic. When it does cause disease, however, three main clinical types of
involvement are recognized including cervico-facial, thoracic and abdominal
actinomycosis.Herein, we present the case of a 79-year-old male patient who
underwent surgical exploration following presentation with abdominal pain and an
abdominal mass, initially thought to be a malignancy. Pathologic examination
confirmed this as a case of abdominal actinomycosis. This diagnosis should always
be included in the differential diagnosis of patients who present with an
infiltrative abdominal mass.
PMID- 22096665
TI - Popliteal artery entrapment syndrome.
AB - Popliteal artery entrapment syndrome is a rare abnormality of the anatomical
relationship between the popliteal artery and adjacent muscles or fibrous bands
in the popliteal fossa. The following is a case report of a 19 year old female,
in whom popliteal artery entrapment syndrome was diagnosed, and successfully
treated surgically. A review of literature is also presented and provides details
on how PAES is classified, diagnosed both clinically and radiologically, and
treated surgically.
PMID- 22096666
TI - Coexistence of colonic carcinoma, renal cell carcinoma and gastrointestinal
stromal tumour-A case report.
AB - The occurrence of synchronous primary neoplasms remains an issue of great
interest to surgeons and oncologists in particular, and the medical field in
general. The question of common genetic pathways in the pathogenesis of such
neoplasms is always raised when such associations are seen-whether metachronously
or synchronously. The possibility of the coexistence of multiple tumours in the
same patient must be taken into consideration when preparing patients for
operation and a thorough search of the intraperitoneal organs for such
coexistence remains important.A case of synchronously resected caecal carcinoma,
jejunal gastrointestinal stromal tumour and renal cell carcinoma is presented
here, along with a literature review on synchronous tumour resection.
PMID- 22096667
TI - A case of a paraduodenal hernia.
AB - Paraduodenal hernias are rare hernias that result from error of rotation of the
mid-gut. It can be discovered incidentally at laparotomy, seen on radiological
imagining or infrequently cause intestinal obstruction. We report a case of a
left paraduodenal hernia diagnosed intraoperatively after being operated on in
the emergency setting for generalized peritonitis. He required resection of
multiple loops of small bowel with primary anastomosis. The mouth of the sac was
obliterated by suture apposition to the posterior wall. The patient was
discharged on day 4 after an uneventful recovery.
PMID- 22096668
TI - Scrotal calcinosis: A case report.
AB - Scrotal calcinosis (SC) was a rare and benign condition characterized by multiple
calcific substances deposits occurring in scrotum and formed nodules and lumps
within scrotal skin. A case of a 49-year-old male patient with a 7-year history
of scrotal calcinosis was reported. Histopathological findings had not showed
evidences of epithelial structures. In our case, no evidence of cystic structure
was found around calcified materials. It was indicated that SC might be
idiopathic.
PMID- 22096669
TI - Castleman's disease-A case report.
AB - Castleman's disease is a rare clinicopathological entity characterized by non
neoplastic lymph node hypertrophy. Its incidence and etiology is unknown. It has
been found in association with Kaposi's sarcoma thus necessitating investigations
for HIV. Although its localized variety is benign other types can be multifocal
with adverse systemic manifestations. A case report of a 42 yrs old female with a
slowly enlarging highly vascular mass in the left iliac fossa is presented which
lead to a histological diagnosis of this rare condition (see picture).
Furthermore its clinical features, its types, relevant investigations and current
treatment modalities are discussed.
PMID- 22096670
TI - Colonic obstruction secondary to incarcerated Spigelian hernia in a severely
obese patient.
AB - Spigelian hernia is a rare hernia of the ventral abdominal wall accounting for 1
2% of all hernias. Incarceration of a Spigelian hernia has been reported in 17
24% of the cases. We herein describe an extremely rare case of a colonic
obstruction secondary to an incarcerated Spigelian hernia in a severely obese
patient. Physical examination was inconclusive and diagnosis was established by
computed tomography scans. The patient underwent an open intraperitoneal mesh
repair. A high level of suspicion and awareness is required as clinical findings
of a Spigelian hernia are often nonspecific especially in obese patients.
Computed tomography scan provides detailed information for the surgical planning.
Open mesh repair is safe in the emergent surgical intervention of a complicated
Spigelian hernia in severely obese patients.
PMID- 22096671
TI - Adrenocortical oncocytoma: Review of imaging and histopathological implications.
AB - Oncocytomas are rare tumours of the adrenal glands whose malignant potential is
difficult to assess with certainty. We report a case of an adrenal oncocytoma and
present a review of the literature particularly with regards to the radiological
and histopathological features and their impact on the management.Adrenal
oncocytomas are usually identified incidentally on imaging and can achieve large
sizes. They should be considered in the differential diagnosis of any large upper
abdominal lesion including those apparently arising from the liver as in this
case report. MRI scan appears to be the ideal imaging modality to characterise
such lesions. There seems to be little benefit in biopsying these masses and
surgery remains the most optimal management. It remains difficult to predict
metastatic behaviour based on histological findings and so long term surveillance
is advisable.
PMID- 22096672
TI - Adenoid cystic carcinoma of the base of the tongue: Late metastasis to the
pancreas.
AB - Adenoid cystic carcinoma (ACC) is a relatively rare epithelial tumor of the
salivary glands. We present a 64-year-old gentleman with ACC of the tongue who
following resection and radiotherapy, presented 10 years later with a lung
metastasis and underwent operative intervention and further radiotherapy. Five
years later he presented with obstructive jaundice found to be metastatic ACC. We
believe this to be the first report of an ACC metastasizing to the pancreas.
PMID- 22096673
TI - The sentinel placement of an open abdomen negative pressure unit.
AB - The open abdomen is a common condition after a trauma necessitating celiotomy
with the inability to close the fascia either due to damage control surgery or
abdominal compartment syndrome. Traditionally the open abdomen has been
approached with the use of the open abdomen temporary abdominal closure (Barker
Vacuum Pack Dressing). More recently there has been the addition of the ABTheraTM
open abdomen negative pressure unit introduced by KCI. Our case report is based
on the first patient to have placement of the ABTheraTM device.
PMID- 22096674
TI - Ovarian teratoma presenting as small bowel obstruction in an elderly lady-A case
report.
AB - Teratomas of the ovary are of the mature or immature type. The mature variety is
called dermoid cysts, which is the most frequent benign germ cell tumour of the
ovary in the reproductive age group. They are usually asymptomatic until they
reach a significant dimension.(1) Pressure effect, torsion and rupture of an
ovarian cyst may present as an acute abdomen. A case is presented where an
elderly lady presented with small bowel obstruction due to a very large, non
adherent to the intestine, dermoid cyst.
PMID- 22096675
TI - Laparoscopic port site Richter's hernia - An important lesson learnt.
AB - INTRODUCTION: We report a case of small bowel obstruction with strangulation
caused by a port site hernia following a laparoscopic appendicectomy and the
successful management of the problem by employing a laparoscopy assisted
technique. The aim of this report is to emphasize the importance of fascial
closures of trocar sites in order to significantly decrease postoperative
morbidity. CASE REPORT: A 31 years old female presented with a classic clinical
picture of acute appendicitis. She underwent an uneventful laparoscopic
appendicectomy. A 12 mm trocar was used at the umbilical port. On Postoperative
day three, the patient developed abdominal distension, crampy abdominal pain,
nausea and bilious vomiting. Her white cell count increased to 16,500/mm(3), and
CRP was 145. X-ray abdomen showed dilated small bowel with multiple air fluid
levels. CT scan showed a herniated loop of small bowel into the trocar site with
small bowel obstruction. Laparoscopy was done to confirm the Richter's hernia
into trocar site with small bowel obstruction. The bowel loop could not be
reduced laparoscopically. Limited exploration of the trocar site confirmed
findings with necrosis of the antimesenteric portion of the small bowel. A
limited bowel resection and anastomosis was performed. The patient had an
uneventful recovery. CONCLUSION: Most port site hernias present within 10 days of
the primary procedures, delayed hernias have been reported. CT scan is a helpful
adjunct to differentiate port site hematoma from incarcerated small bowel. The
knowledge of such a complication and its early diagnosis are important to avoid
complications.
PMID- 22096676
TI - Suspected oesophageal cancer in a 33 year old lady.
AB - A previously healthy 33 year old lady presented with acute dysphagia with
endoscopic and CT features of oesophageal carcinoma. Endoscopic ultrasound (EUS)
revealed a large subcarinal lymph node compressing at the mid-oesophagus. Fine
needle aspiration (FNA) showed a single well-formed epithelioid granuloma with no
evidence of malignancy. Molecular analysis showed the aspirate to be positive for
Mycobacterium tuberculosis. She continues to improve with standard anti-TB
medication without surgery.This is a rare case of acute dysphagia secondary to
primary tuberculous mediastinal lymphadenopathy. EUS and FNA have completely
altered the clinical management of this lady.
PMID- 22096677
TI - Endometriosis of the appendix: Report of three cases.
AB - Endometriosis of the appendix is an entity of extragonadal endometriosis. It
commonly affects women in the childbearing age with a wide spectrum of clinical
manifestations. Women can present with symptoms mimicking acute appendicitis or
chronic pelvic pain. The surgical management varies from simple appendectomy to
right hemicolectomy depending on the clinical findings. We report 3 cases of
endometriosis of the appendix presenting with appendiceal intussusception. The
surgical literature is reviewed and current surgical management is discussed.
PMID- 22096678
TI - Ileorectal fistula due to a rectal cancer-A case report.
AB - A 51-year-old man was seen at our hospital because of diarrhea. Barium enema and
colonoscopy revealed a cancer in the lower rectum and fistula formation from the
site to ileum. Resection of the rectal cancer and ileorectal fistula was
performed. Histologically, the resected lesion was mucinous adenocarcinoma with
contiguous invasion from the rectum to the ileum. The patient is alive with no
sign of recurrence 120 months after operation. Fistula formation between the
colon and other gastrointestinal tract organs is very rare, especially for rectal
cancer. Fistula-forming colorectal cancers are rarely found to have metastatic
lesions in the liver, peritoneum and lymph nodes despite their invasive behavior;
accordingly, curative resection involving partial resection of the intestine with
fistula is expected.
PMID- 22096679
TI - Clinical manifestation of RCC leptomeningealcarcinomatosis-A case study.
AB - Whilst tumour spread to the meninges is not uncommon, occurring in up to 20% of
various other tumours, leptomeningeal carcinoma from a primary renal cell
carcinoma (RCC) is rare. To date, we are aware of only 3 other reported cases. We
describe a 45-year-old man who underwent a radical nephrectomy for a large renal
cell carcinoma of clear cell subtype. 1 month post nephrectomy, he was re
admitted with vague neurological symptoms and was subsequently found to have
malignant cells in his CSF. He deteriorated rapidly and was deceased within 10
days post admission.
PMID- 22096680
TI - Intussusception of the appendix secondary to mucinous cystadenoma: A rare cause
of abdominal pain.
AB - A 35-year-old woman was seen as an outpatient with a 3-month history of pain in
the right iliac fossa. A CT scan of her abdomen revealed the presence of a
mucocele of the appendix. Intra-operatively, an appendico-appendicular
intussusception was found. Histology confirmed the presence of a mucinous
cystadenoma with the presence of acellular mucin on the serosal surface of the
appendix. This association has rarely been described in the literature. Prompt
surgical intervention is advocated to prevent the subsequent development of
pseudomyxoma peritonei. We present a case of intussusception of the appendix with
a mucinous cystadenoma as its lead point.
PMID- 22096681
TI - Bilateral ovarian fibrothecoma. An uncommon cause of a large pelvic mass.
AB - Ovarian fibrothecomas are uncommon tumors of gonadal stromal cell origin. They
account for 3-4% of all ovarian tumors and in 90% of the cases are unilateral.
Here, we describe a rare case of a bilateral ovarian fibrothecoma in a
postmenopausal woman who presented with a large pelvic mass and metrorrhagia.
Diagnostic evaluation and surgical management are discussed along with a brief
review of the literature. Although rare, ovarian fibrothecoma should be
considered in patients presenting with a large pelvic mass and postmenopausal
bleeding. Radical surgery is the preferred management strategy for postmenopausal
women with bilateral ovarian fibrothecomas and is associated with a good
prognosis.
PMID- 22096682
TI - Simple repair of a giant inguinoscrotal hernia.
AB - We present a case of a giant inguinoscrotal hernia that extended almost to the
patient's knees. Operative repair was through a standard transverse inguinal
incision. No debulking or abdominal enlargement procedure had to be performed.
The repair was done with a tension-free, onlay, prosthetic mesh repair.
PMID- 22096683
TI - Spinal schwannomatosis in the absence of neurofibromatosis: A very rare
condition.
AB - Schwannomatosis is defined as an extremely rare tumors syndrome characterized by
the presence of multiple schwannomas in the absence of typical signs of NF1 and
NF2 syndromes. The genetic and molecular analysis performed on these tumors makes
it possible to name schwannomatosis as distinct clinical and genetic syndrome.
The treatment in the case of symptomatic lesions is surgical removal; if the
lesions are asymptomatic it is better to perform serial MRI studies. Given the
high incidence of developing additional lesions in patients with schwannomatosis,
it remains imperative to perform serial brain and spinal cord MRI studies during
follow-up. The differential diagnosis is important including clinical and
radiological criteria plus molecular genetic analysis of tumor cells and
lymphocyte DNA. We report a rare case of spinal schwannomatosis in which genetic
analysis performed on surgical samples showed two different mutations in the
cells of the two lesions.
PMID- 22096684
TI - Colocutaneous fistula secondary to amoebiasis.
AB - Here we present an interesting and extremely rare case of a 66 year old male who
developed a colocutaneous fistula secondary to amoebiasis. The patient presented
with an acute history of right lower abdominal pain, weight loss and a palpable
mass. A CT scan demonstrated a fluid filled cavity in the right iliac fossa
consistent with an appendiceal abscess which was drained under radiological
guidance. However, following drainage his symptoms remained requiring open
surgical drainage, and a controlled caecostomy was performed due to a small
caecal perforation. Despite appropriate conservative therapy he failed to
progress, and developed localised sepsis in the right iliac fossa with a
colocutaneous fistula, requiring a formal right hemicolectomy. The histological
examination confirmed the presence of abundant trophozoites of Entamoeba
histolytica.We highlight the fact that in the modern age of immigration and long
distance travel, it will become increasingly likely that the so-called 'tropical'
diseases will present throughout the world. This case also highlights the need to
keep an open mind in cases that do not progress as expected, and to react
accordingly to any unusual developments.
PMID- 22096685
TI - Sternum resection and reconstruction for metastatic renal cell cancer.
AB - A 62-year-old male with bilateral renal cell carcinomas underwent bilateral
nephrectomies. Following which he continued hemodialysis. He was admitted to our
hospital with a small sternal mass present for 10 months. The operation consisted
of sternectomy with right 4th costal cartilage resection and sternal
reconstruction with Prolene mesh and methylmethacrylate. Postoperative course was
uneventful and his respirations were normal without paradoxical movement of the
thorax or hypoxemia. He is currently well and being followed up as an out
patient.
PMID- 22096686
TI - Platypnea orthodeoxia syndrome and bronchopleural fistula following right
pneumonectomy: The first case of double misfortune following pneumonectomy.
AB - We report a case of post-pneumonectomy right to left shunting via patent foramen
ovale (PFO) and bronchopleural fistula (BPF). Although the latter complication is
well-known following pneumonectomy, the former is quite rare. In terms of post
pneumonectomy complications, no case has been reported, in which right to left
shunting via PFO and BPF were synchronous. Low awareness of post-pneumonectomy
PFO often results in delay of the appropriate management, like in our experience.
The rarity and the complexity of our case as well as literature review of the
post-pneumonectomy right to left shunting via PFO are summarized.With our case of
post-pneumonectomy right to left shunting via PFO and BPF reviewed, we would like
to show the rarity of our case and to enlighten all of the thoracic surgeons for
early detection of this hemodynamic complication following pneumonectomy.
PMID- 22096687
TI - An unusual groin exploration: De Garengeot's hernia.
AB - De Garengeot's hernia is a rare surgical phenomenon and describes the presence of
the vermiform appendix within a femoral hernia. We describe a case of acute
appendicitis mimicking an irreducible femoral hernia and reiterate key operative
techniques necessary to prevent post-operative morbidity associated with this
pathology.
PMID- 22096688
TI - Disconnected subduroperitoneal shunt catheter induces silent bowel perforation:
An unusual complication.
AB - We report a case of silent bowel perforation by a fractured subduroperitoneal
shunt after surgical shunt revision. A 93-year-old bedridden man experienced
transanal prolapse of a shunt catheter after defecation. Upon arrival, the
patient showed no fever or acute abdominal signs. Abdominal computed tomography
(CT) showed an abandoned shunt catheter in the lower abdomen with rectum
perforation. The disconnected catheter was successfully removed from the anus by
digital rectal maneuver, and no peritonitis or other complication developed
afterward. Such broken shunts no longer provide drainage function, and also pose
a risk of migrating into the hollow viscera. Therefore, even in the absence of
overt peritoneal signs, disconnected catheters should be removed by laparoscopic
or minimal surgery to prevent possible development of this unusual complication.
Instances of the rare complication are reviewed, and pathogenesis and treatment
of the condition are discussed.
PMID- 22096689
TI - Arteriovenous malformation: An unusual cause of rectus sheath hematoma, following
laparoscopic cholecystectomy.
AB - Rectus sheath hematoma (RSH) is an accumulation of blood in the rectus abdominis
muscle sheath, secondary to several conditions which may cause the epigastric
vessel rupture or muscular tear, but mostly affecting patients undergoing
anticoagulation therapy.We present a rare case of a 67-year-old woman who
underwent laparoscopic cholecystectomy and developed RSH on the 12th
postoperative day. The patient was under anticoagulation therapy with
acenocoumarole due to mitral valve replacement. The bleeding source was an
arteriovenous malformation (AVM) rupture as indicated by the angiogram images and
it was embolized succefully. The patient was discharged seven days later.
PMID- 22096690
TI - Case report of a symptomatic giant renal oncocytoma.
AB - Renal oncocytomas are benign tumours, often asymptomatic, and picked incidentally
on radiological imaging. We present a case report of a symptomatic giant renal
oncocytoma in a 61-year old man having lower back/right flank pain. A large right
renal mass was identified on abdominal CT scan. Radiological features were not
sufficient to differentiate this lesion from renal cancer. Right radical
nephrectomy was performed. Typical features of oncocytoma, without evidence of
malignancy, were seen on histological examination of the specimen. In this
report, we discuss literature review of radiological, genetic, and pathological
characteristics of renal oncocytoma.
PMID- 22096691
TI - Intramuscular cavernous haemangioma of the triceps.
AB - Haemangiomas are one of the most common soft tissue tumours comprising 7% of all
benign tumours. Vascular malformations are often confused with haemangiomas. The
etiology is unknown. They are common in infancy and childhood and females are
more commonly affected. These tumours may be superficial or deep, and deeply
seated lesions, are difficult to diagnose clinically and hence require
radiographic assessment. Deep-seated haemangiomas are usually intramuscular,
although intra-articular synovial haemangiomas also occur. The commonest anatomic
site is the lower limb.Despite their vascular origin, haemangiomas do not
metastasize or undergo malignant transformation. Many treatment modalities for
the symptomatic haemangioma are available but surgical excision is the preferred
treatment. We present an unusual case of a dumb-bell intramuscular haemangioma
involving the triceps and extending into the cubital tunnel of the elbow,
distinguish between haemangiomas and vascular malformations and emphasize the
importance of surgical technique in ensuring ulnar nerve safety.
PMID- 22096692
TI - Sublingual-plunging ranula as a complication of supraomohyoid neck dissection.
AB - Ranulas are rare cystic lesions resulting from damage or rupture of one or more
of the ducts of the sublingual gland, that lead to mucus extravasation or
dilatation of the gland's duct. Extravasation cysts are more common than
retention cysts. We present a case of a 45-year-old male with a squamous cell
carcinoma of the ventral surface of the tongue that was treated with excision of
the oral lesion and bilateral supraomohyoid neck dissection without supplementary
radiotherapy. A left myocutaneous platysma flap was raised for defect closure.
Ten months postoperatively he presented complaining of swelling of the right
submandibular region. The diagnosis, based on his medical anamnesis and the CT
imaging, was a sublingual-plunging ranula. It is postulated that the ranula
resulted from damage to the ducts of the sublingual gland during selective neck
dissection. One year postoperatively there are no signs of recurrence either of
the ranula or of the cancer. We suggest that sublingual gland excision and
intraoral cyst marsupialization is a logical treatment for sublingual-plunging
ranulas.
PMID- 22096693
TI - Myofibroblastoma of the breast: Case report and literature review.
AB - Myofibroblastoma of the breast is a rare benign mesenchymal tumor. The literature
describes relatively few cases of this type of tumor. We report on a new case of
myofibroblastoma in a 65-year old man successfully managed at our institution.
The purpose of this case report is to highlight characteristics and differential
diagnosis of this rare neoplasm.
PMID- 22096694
TI - Metastatic hernial sac tumor in a patient with FUO.
AB - The presence of primary or metastatic cancer within a hernia sac is uncommon,
which occurs in fewer than 0.5% of all surgically excised sacs (1). This article
demonstrates a case of a metastatic pancreatic cancer, one of which presented as
an inguinal hernia with fever of unknown origin (FUO). A 44-year-old male
presented with a history of FUO and a painful inguinal hernia. Inguinal canal
exploration revealed a mass like lesion in the sac without any correlation to
abdominopelvic viscera. Postoperative evaluations confirmed moderately
differentiated metastatic adenocarcinoma from pancreatic origin.
PMID- 22096695
TI - Ovarian hydatid cyst: A case report.
AB - Discovering an hydatid cyst in pelvic region, especially as primary localization,
is a rare event; as a matter of fact according to data provided by literature the
incidence is between 0.2 and 2.25%. The ovarian involvement is often secondary to
a cyst's dissemination localized in a different site. When possible the optimal
treatment is represented by radical laparotomic cystectomy. We report a case of
an old woman affected by this pathology that we have treated with a cyst's
marsupialization after a draining and irrigation of cyst cavity with hypertonic
saline solutions.
PMID- 22096696
TI - A case of primary malignant fibrous histiocytoma of the duodenum.
AB - BACKGROUND: Primary malignant fibrous histiocytoma (MFH) of the duodenum is rare
and a distinct clinical entity. CASE REPORT: A 55-year-old man presented with a
history of upper gastrointestinal bleeding, vomiting and decreased body weight
over the past 2 months. Abdominal exam showed an epigastric mass of 10 cm. An
upper gastrointestinal endoscopy documented a tumor in the third part of
duodenum. The histopathological examination of biopsy has concluded a MFH.
Abdominal CT revealed a large and heterogeneous mass of 10 cm in the third part
of the duodenum. The intervention was conducted by way of a bi-subcostal
laparotomy. Exploration of the tumor revealed involvement of the third part of
duodenum. This lesion adhered and invaded the inferior vena cava. A palliative
procedure using a gastro-entero-anastomosis was carried out with uneventful
postoperative course. Neither adjuvant chemotherapy nor radiotherapy were
conducted. The patient died four months following his operation. CONCLUSION: The
biological behavior of malignat fibrous histiocytomas is extremely aggressive and
mainly conditioned by size and histological grading. The treatment of choice,
whenever possible, is based on early and complete surgical excision of the tumor.
PMID- 22096697
TI - Bilateral DCIS following gynecomastia surgery. Role of nipple sparing mastectomy.
A case report and review of literature.
AB - Bilateral ductal carcinoma in situ of breast is a very rare disease in men.
Ductal carcinoma in situ (DCIS) is an abnormal proliferation that involves the
ductal epithelium and it has the potential of evolving into an invasive tumour.
Gynaecomastia (female like breast in men) is a benign condition though it is
associated with a reported 3% incidence of unilateral invasive breast cancer.(2)
Synchronous bilateral breast cancer in association with gynaecomastia is
exceptionally rare. The recommended treatment for DCIS in male is mastectomy. So
far only 2 cases of bilateral DCIS in male patients has been reported in the
literature treated with skin and nipple sparing mastectomies. We report another
case of synchronous bilateral DCIS in a male treated with skin and nipple sparing
mastectomies. A 44 year-old man with history of long-standing gynecomastia. He
had no identifiable risk factor for the development of cancer. His pre operative
assessment of breast including mammograms was normal. He underwent bilateral
subcutaneous mastectomies, with subsequent incidental diagnosis of synchronous
bilateral ductal carcinoma in situ. The case was discussed in multidisciplinary
team meeting and the need for further surgery was felt including excision of
nipple areola complex. However considering patient wishes, cosmetic outcome and
recent literature it was decided to preserve nipple areola complex (NAC) with
regular follow up evaluation. Our patient at completion of 18 months of treatment
is doing well with no signs of local recurrence.
PMID- 22096698
TI - A submucosal fecal mass as the complication of stapled hemorrhoidopexy: A case
report.
AB - Despite the early encouraging results and safety profile of hemorrhoidopexy,
several serious complications have been reported including rectal perforation,
retroperitoneal sepsis, pelvic sepsis and rectovaginal fistulas. The recent
article is the report of the case of a 30 year old woman, with a submucosal mass
which was palpable in the anterior rectum. She had undergone a stapled
hemorrhoidopexy due to a 2nd degree internal prolapsed hemorrhoid three years
previously. Operation was planned to identify the nature of the mass and a
cylindrical impacted 4 cm * 2 cm fecal mass was excised. The recent finding seems
to be the first one being reported in this issue.
PMID- 22096699
TI - Malignant fibrous histiocytoma of rectum: Report of a case.
AB - Malignant fibrous histiocytoma (MFH) is the most common soft tissue sarcoma of
adults, mostly distributed in the thigh, buttock and groin (46%) and presents
rarely in the gastrointestinal tract, classified as gastrointestinal stromal
tumors (GIST). MFH is regarded as a diagnosis of exclusion, essentially
synonymous with an undifferentiated pleomorphic sarcoma. The recent report
presents an 80-year-old man with clinical manifestations of rectal bleeding and
final diagnosis of MFH of rectum. It seems that radical resection and adjuvant
radiation is important in the management strategy of a patient with such a rare
rectal tumor.
PMID- 22096700
TI - Gastrointestinal stromal tumor of the stomach in a child with a 3-year follow-up
period-Case report.
AB - We report a case of a nine-year-old boy with a 4-week history of general fatigue,
loss of appetite, vomits and hematemesis. Laboratory evaluation revealed a
hemoglobin level of 4.4 g/dl. After a transfusion of packed red blood cells the
patient underwent an esophagogastroduodenoscopy, which showed a smooth, rounded 6
8 cm submucosal lesion with a central depression with ulceration and active
bleeding in the cardia extending to the fundus.Computed tomography (CT) of the
chest, abdomen and pelvis showed a large mass originating from the gastric wall
but not infiltrating surrounding organs, approximately 8.0 cm * 7.0 cm * 5 cm.
Despite the tumor size, no metastases were diagnosed. The patient underwent a
total gastrectomy in an en-bloc resection including the distal part of the
esophagus (3 cm) and omentum with oncologic margins. Reconstruction was performed
with a mediastinal end-to-side esophago-jejunal anastomosis. Immunehistochemic
confirmed GIST. He remains well without evidence of disease after 36 months of
follow-up with a multiprofessional team.
PMID- 22096701
TI - Ileocolic intussusception due to intestinal metastatic melanoma. Case report and
review of the literature.
AB - The small intestine is a frequent site of melanoma metastases and the most common
cause of secondary intestinal tumors. Even though, its presentation with
intestinal obstruction due to intussusception is very rare. We present a 47-year
old woman with a medical history of facial melanoma operated 17 years ago and
recently diagnosed of cervical recurrence who complained of abdominal pain of one
week duration accompanied with vomiting and abdominal distension. Computed
tomography (CT) scan revealed marked distension of the small intestine with
features suggesting intussusception of the distal ileum. At laparoscopic
exploration a massive ileocolic intussusception was found with invagination of
the last 60 cm of ileum inside the cecum and ascending colon. Surgical reduction
revealed a tumor of approximately 2 cm in the distal end of the intussuscepted
intestine acting as the lead point. Resection of non-viable ileum along with the
tumor and end-to-end anastomosis was performed. Many other lesions of smaller
size were found distantly in the proximal small bowel but were not treated. The
patient had a full recovery and was discharged three days after surgery.
Pathological examination showed metastatic melanoma and a positron emission
tomography (PET) scan confirmed disseminated disease with brain metastasis. The
patient died three months after surgery. Intestinal occlusion due to metastatic
disease is a rare condition but should be taken into account particularly in
patients with history of cancer. Surgical intervention with a mini-invasive
laparoscopic approach is feasible. Intestinal resection and anastomosis is
mandatory for either curative or palliative intentions providing a satisfactory
treatment.
PMID- 22096702
TI - Primary hydatid cyst of pancreas with acute pancreatitis.
AB - CONTEXT: Primary hydatid disease of the pancreas is very rare and even rarer to
cause pancreatitis. CASE REPORT: We report the case of a 20-year-old man who
presented with abdominal pain and an epigastric mass. A diagnosis of a pancreatic
hydatid cyst was established by ultrasonography and CT scan before surgery. The
treatment consisted of laparoscopic cyst evacuation with omentoplasty. The
recovery was uneventful and the patient has remained symptom free so far.
CONCLUSIONS: Hydatid disease should be considered in the differential diagnosis
of all cystic masses in the pancreas, especially in the geographical regions
where the disease is endemic.
PMID- 22096703
TI - The use of minimally invasive surgery in the management of idiopathic omental
torsion: The diagnostic and therapeutic role of laparoscopy.
AB - Omental torsion is an unusual and infrequently encountered cause of acute
abdominal pain in adults. Computed tomography (CT) is a useful adjunct to
clinical history and examination in establishing the diagnosis; however,
definitive diagnosis is frequently established at the time of exploratory
surgery. Treatment may be conservative or operative, with laparoscopic resection
the surgical approach of choice. We report the case of a 60-year-old man who
presented with a 3-day history of severe right-sided abdominal pain. Abdominal CT
scan revealed a right upper quadrant mass with a whirl-like appearance,
suspicious for omental infarction. Diagnostic laparoscopy was undertaken, the
diagnosis confirmed and the diseased omentum resected. The patient was discharged
the following day and made an uncomplicated recovery.
PMID- 22096704
TI - Intrapancreatic accessory spleen: A case report and review of the literature.
AB - We present the case of a 26 year old male who was found to have a mass in the
tail of the pancreas on an ultrasound scan. The lesion was suspicious for a non
functioning pancreatic neuroendocrine tumour (PNET) and so he underwent distal
pancreatectomy. Pathology revealed this to be an intrapancreatic accessory spleen
(IPAS). This is a rare entity, and the literature on this subject is reviewed. A
lesion in the pancreas that enhances in a manner similar to the spleen, whether
the contrast is used in the setting of a Contrast Enhanced Ultrasound, a contrast
enhanced CT scan, or a gadolinium enhanced MRI scan, is suggestive of IPAS.
Nonetheless, the majority of these rare lesions are likely to be surgically
excised rather than observed due to the similar appearance to PNET.
PMID- 22096705
TI - Use of omental pedicles in mycotic abdominal aortic aneurysm repair.
AB - We report a case of a sixty year old man with a mycotic infra-renal abdominal
aortic aneurysm complicated by a left psoas abscess. After treatment with
parenteral antibiotics he underwent early aortic reconstruction with an in-situ
prosthetic graft wrapped in an omental pedicle. Mycotic abdominal aortic
aneurysms can be treated in this way despite the potential for graft infection
from persisting retroperitoneal sepsis.
PMID- 22096706
TI - Malignant fibrous histiocytoma of the mandible and the infratemporal fossa-A case
report.
AB - Malignant fibrous histiocytoma is a sarcoma which commonly occurs in the soft
tissues, joints and tendons of the extremities of adults but its occurrence in
the oral and maxillofacial region is very rare. We present a rare case of
malignant fibrous histiocytoma of the mandible with recurrence in the
infratemporal fossa after surgery and radiotherapy. Patient underwent second
surgery and complete tumor excision with minimal loss of function was achieved.
Patient survived 8 years after surgery and died of natural cause.
PMID- 22096707
TI - Diagnosis and management of idiopathic omental infarction: A case report.
AB - A 32 year old man presented to casualty on three occasions in the space of four
days, with intermittent, worsening abdominal pain. These symptoms imitated other
commoner causes of acute abdomen and the site of onset changed, both factors
delaying diagnosis. In due course, computer tomography imaging established
findings indicative of omental infarction. Patient was discharged from hospital
nine days later, having made a satisfactory recovery following successful
conservative treatment. In this report, we evaluate the merits of a similar
approach in future instances.
PMID- 22096708
TI - Petersen's space hernia: A rare but expanding diagnosis.
AB - BACKGROUND: Petersen's space hernia is caused by the herniation of intestinal
loops through the defect between the small bowel limbs, the transverse mesocolon
and the retroperitoneum, after any type of gastrojejunostomy. The laparoscopic
approach facilitates the occurrence of this type of hernia, due to the lack of
post-operative adhesions which prevent bowel motility and hence, herniation. CASE
REPORT: We report the case of a 46 year-old male submitted to an open antrectomy
and vagotomy with a Roux-en-Y reconstruction six-years before, for the treatment
of bleeding gastric ulcer.He presented with epigastric abdominal pain radiating
to the back and alimentary vomiting with a 3 days evolution, with an episode of
hematemesis 2 h before admission. His abdomen was bloated and tender at the
epigastric region. The laboratory exams revealed mild leucocytosis and CRP
elevation with normal pancreatic tests. The abdominal CT scan revealed an
intestinal occlusion. An exploratory laparotomy was performed, disclosing an
incarcerated Petersen space hernia of the common limb, with obstruction and
dilatation of the biliary limb. CONCLUSION: The knowledge of this anatomic post
operative defect and a low threshold for diagnosis are crucial to its management,
since its nonspecific clinical and laboratory findings. Early operative
intervention is warranted in order to avoid the severe complications of bowel
necrosis.
PMID- 22096709
TI - Infection of an esophageal cyst following endoscopic fine-needle aspiration.
AB - In this report, we describe an unusual presentation of an esophageal cyst.
Esophageal cysts are generally benign and are frequently asymptomatic until
progressive enlargement leads to symptoms of obstruction. Incidental discovery
usually warrants excision. In the described case, a patient presented with signs
of enlargement and concerns for infection after an attempted endoscopic biopsy of
the lesion. After admission and initial management with antibiotics she was taken
to the operating room for resection via a thoracotomy. We review the literature
and underscore the conventional practice of operative management of esophageal
cysts without the use of invasive diagnostic evaluations.
PMID- 22096710
TI - Platypnea-orthodeoxia due to fat embolism.
AB - Platypnea-orthodeoxia is an uncommon syndrome that is characterized by dyspnea
relieved by recumbency and deoxygenation following a change from a recumbent to
an upright posture. We herein describe a case of platypnea-orthodeoxia in a 75
year-old man after a surgical restoration of a bitrochanteric fracture of his
right femur. However, in this extremely rare case, none of the current known
anatomical or functional conditions which can cause platypnea-orthodeoxia had
been diagnosed. We strongly believe that this syndrome could be also the result
of fat embolism.
PMID- 22096711
TI - Perineal scar endometriosis ten years after Miles' procedure for rectal cancer:
Case report and review of the literature.
AB - Endometriosis within a perineal scar after a Miles' procedure has not been
previously reported in literature. We report a case of a 35-year-old-female who
was treated 10 years before at the same institution for a low rectal cancer that
presents with two discrete subcutaneous bulges within her perineal wound. Since
the patient was asymptomatic and the complete work up for recurrent disease
showed no evidence of malignancy, first line therapy was conservative. After two
pregnancies and a caesarean section, the patient presented at our observation
with enlarged and tender perineal nodules. The patient was treated with a wide
excision of the perineal scar en-bloc with the nodules. Final pathology report
was consistent with scar endometriosis.
PMID- 22096712
TI - Dieulafoy lesion endoscopically rubber banded, with further severe haematemesis
requiring emergency laparotomy - Case report.
AB - Dieulafoy lesion is a rare cause of massive gastrointestinal haemorrhage that can
be fatal. We report a case of a sixty-year-old lady who presented to the
emergency department with haematemesis and melaena. During
oesophagogastroduodenoscopy (OGD), an active bleeding vessel was seen on the
lesser curvature of the stomach, near the gastro-oesophageal junction and a
diagnosis of Dieulafoy's lesion made. The lesion was managed with the application
of two rubber bands. Our patient re-presented to the emergency department ten
days later with severe haematemesis requiring an emergency laparotomy.A search of
the entire English literature using PubMed with the phrase 'Dieulafoy' has been
performed. Papers were reviewed in relation to management of this lesion with
rubber banding via endoscopy. The current available haemostatic methods are
described.
PMID- 22096713
TI - Is laparoscopic cholecystectomy safe for acute cholecystitis in the presence of
ventriculo-peritoneal shunt?
AB - INTRODUCTION: In patients with ventriculo-peritoneal shunts, laparoscopic
procedures were previously contraindicated for the potential risks of elevating
intra-cranial pressure resulting from increased intra-abdominal pressure and
shunt malfunction/infection. PRESENTATION OF CASE: Here we present a case of a
patient with ventriculo-peritoneal shunt who successfully and uneventfully
underwent laparoscopic cholecystectomy for acute cholecystitis without any shunt
manipulation or intra-cranial pressure monitoring. DISCUSSION: Several methods
have been suggested to decrease the risks of increased intra-cranial pressure
during laparoscopic cholecystectomy in patients with ventriculo-peritoneal
shunts, but have not been routinely used. CONCLUSION: Standard technique
laparoscopic cholecystectomy can be safely used to manage patients with VP shunts
presenting with acute gall bladder disease.
PMID- 22096714
TI - Traumatic mesenteric cyst after blunt abdominal trauma.
AB - Mesenteric cysts are rare abdominal tumors of unclear histologic origin, usually
asymptomatic. Post-traumatic mesenteric cyst usually results as a consequence of
a mesenteric lymphangitic rupture or a hematoma followed by absorption and cystic
degeneration. The preoperative histological and radiological diagnosis is
difficult. We present the case of a 45-year-old male patient with sizable,
palpable abdominal tumor, the gradual swelling of which the patient himself
combined with the blunt abdominal trauma he acquired from an opponent's knee in a
football game 5 months ago.
PMID- 22096715
TI - Idiopathic spontaneous haemoperitoneum due to a ruptured middle colic artery
aneurysm.
AB - INTRODUCTION: Idiopathic spontaneous intra-abdominal haemorrhage is a rare, but
challenging condition, associated with high mortality if not managed
appropriately. The preoperative diagnosis is difficult, despite the recent
advances in imaging. We present the clinical manifestations of this condition, as
well as the available diagnostic and therapeutic modalities. PRESENTATION OF
CASE: We report a case of a spontaneously ruptured dissecting aneurysm of the
middle colic artery, which was managed with an emergency laparotomy and
aneurysmatectomy. Interestingly, no evidence of vasculitis, infection or collagen
disease was discovered during the histopathology examination of the specimen.
DISCUSSION: The treatment of idiopathic spontaneous intra-abdominal haemorrhage
revolves around patient resuscitation and management of the source of bleeding.
In case of a ruptured aneurysm of the middle colic artery, the surgical
management includes emergency laparotomy, arterial ligation and resection of the
aneurysm. Transarterial embolisation has been suggested as a safe and less
invasive alternative approach. CONCLUSION: A ruptured middle colic artery
aneurysm should be included in the differential diagnosis of any unexplained
intra-abdominal haemorrhage. Aneurysmatectomy is the treatment of choice, with
radiologic interventional techniques gaining ground in the management of this
entity.
PMID- 22096716
TI - Spontaneous biliary peritonitis, or delayed bile leak?
AB - INTRODUCTION: Spontaneous biliary peritonitis is a rare cause of acute abdominal
pain. Whilst usually of extrahepatic origin, here we describe an even rarer
perforation of an intrahepatic duct. PRESENTATION OF CASE: A 31 year old woman
presented with acute onset epigastric abdominal pain on a background of
cholecystectomy four years prior. Laparoscopy demonstrated bilious fluid but
failed to identify the site of bile leak. Subsequent ERCP localised the leak to
an anomalous radical of the right hepatic duct. DISCUSSION: Spontaneous biliary
peritonitis is a rare diagnosis. Most cases are extrahepatic and the pathogenesis
of intrahepatic ductal rupture has been difficult to define. Whilst acute bile
leak is a recognised complication of cholecystectomy, bile leak four years
following operation has not been described previously. CONCLUSION: Spontaneous
biliary peritonitis remains as a rare cause of acute abdominal pain. Within the
context of cholecystectomy, the surgeon should always be alert to the possibility
of delayed bile leak.
PMID- 22096717
TI - Utility of preoperative visualization for intrapulmonary sequestration in video
assisted thoracoscopic surgery.
AB - We herein report the case of a 59-year-old male suffering from severe cough. The
patient had previously experienced several episodes of pneumonia beginning in
childhood. A three dimensional multidetector computed tomography (3D-MDCT) scan
revealed pulmonary sequestration with a left gastric artery blood supply, and
clearly revealed the other pulmonary vessels. The patient underwent video
assisted thoracoscopic surgery, in which the anomalous tissue was resected
safely. Preoperative visualization of the vessels may be helpful for the safe
treatment of a pulmonary sequestration, and the VATS approach is a suitable
operation under corrective surgical planning.
PMID- 22096718
TI - Transabdominal laparoscopic adrenalectomy of a large adrenal lipoma: A case
report and review of literature.
AB - The exponential increase in use of computer tomography (CT) and magnetic
resonance imaging (MRI) has lead to a significant increase in the detection of
asymptomatic adrenal masses. The prevalence of adrenal "incidentalomas" is
approximately 4-10%. We present a case of a 55-year-old male with a large right
adrenal mass that was followed by serial computer tomography scans and multiple
non-diagnostic core biopsies. Due to the large size of the mass and unknown
pathology, the patient underwent laparoscopic adrenalectomy. The patient's post
operative course was uneventful. Pathology revealed a very unusual finding, a
large adrenal lipoma. Adrenal lipomas are rare, benign, non-functioning tumor
like lesions that occur with a relative frequency of only 0-11%. Over the past
decade approximately 10 cases have been reported in literature. We review the
literature of the current diagnostic and surgical treatment of adrenal lipomas
(Pubmed and Cochrane from 1992-current).
PMID- 22096719
TI - Bilateral symmetrical periprosthetic (mirror) fractures of knee fixed with dual
plating technique.
AB - INTRODUCTION: Periprosthetic fracture following total knee arthroplasty is a
potentially serious condition. Here we report a case of bilaterally symmetrical
(mirror) fracture of supracondylar area following trivial trauma. PRESENTATION OF
CASE: Both fractures were OTA 33A2 and according to Rorabeck classification they
were type II. Both fractures were fixed by dual plating technique using non
locking plates. Intra operative fracture site biopsy revealed marked osteopenia
and hence the patient was treated for osteoporosis. DISCUSSION: Both fractures
united well at 14 weeks. At final follow up of 6 years there were no radiological
signs of implant loosening and the patient was able to walk without any aids and
had a range of 80 degrees and 60 degrees flexion in the right and left knees
respectively. CONCLUSION: We conclude that in the pre locking plate's era such
difficult case has been successfully managed by dual plating technique.
PMID- 22096720
TI - Inferior epigastric artery false aneurysm following incisional hernia repair.
AB - INTRODUCTION: We report a case of IEA false aneurysm following a mesh repair of a
large incisional hernia. We emphasize the importance to consider the diagnosis to
help avoid inappropriate interventions which could increase patient morbidity.
CASE REPORT: A 68-year-old male patient, who 4 weeks previously had had a mesh
repair of a large incisional hernia, presented with a painful left iliac fossa
swelling. This was found to be an IEA false aneurysm. This was treated
successfully with percutaneous thrombin injection. CONCLUSIONS: We feel an
inferior epigastric artery false aneurysm must be included in the differential
diagnosis when investigating the cause of any lateral swelling following
incisional hernia repair. This would help reduce the chance of a missed diagnosis
and avoid any inappropriate interventions which may cause increased patient
morbidity.
PMID- 22096721
TI - Sigmoid endometriosis and a diagnostic dilemma - A case report and literature
review.
AB - INTRODUCTION: Intestinal endometriosis is often an infrequently considered
diagnosis in female of childbearing age by general surgeon. There is a delay in
diagnosis because of constellation of symptoms and lack of specific diagnostic
modalities. Patients suffer from intestinal endometriosis for many years before
they are diagnosed. Often, such patients are labelled with irritable bowel
syndrome. Intestinal endometriosis has a diagnostic time delay of 8-11 years due
to its non-specific clinical features and multi-system involvement. PRESENTATION
OF CASE: Our patient was a 32 years old Caucasian female who was referred to us
with features of intestinal obstruction. Despite repeated clinical assessments
and use of different diagnostic modalities the diagnosis was still inconclusive
even after 21 days of her first presentation to primary care physician. She had
an exploratory laparotomy, sigmoid colectomy, and Hartmann's procedure with a
temporary colostomy with us. Histopathology confirmed endometriosis and also
showed melanosis coli. She was referred to the gynaecological team for review and
follow up. DISCUSSION: Intestinal endometriosis should be considered as a
differential diagnosis in female patients of childbearing age group presenting
with non-specific gastrointestinal signs and symptoms. Our patient manifested
intestinal endometriosis and melanosis coli on histopathology suggesting symptoms
of long duration. CONCLUSION: Bowel endometriosis is a less considered and often
ignored differential diagnosis in acute and chronic abdomen. This condition has
considerable effect on patient's health both physically and psychologically.
PMID- 22096722
TI - A rare case of segmental small bowel pneumatosis intestinalis: A case report.
AB - INTRODUCTION: Pneumatosis intestinalis is a rare condition affecting 0.03% of the
population. It has a myriad of aetiological causes and hence presentation can
vary immensely. The management of symptomatic pneumatosis intestinalis in an
acute and outpatient setting remains a challenge to both physicians and surgeons.
CASE PRESENTATION: We present a case of a 79 year old who presented in a
gastroenterology outpatients department with a history suggestive of intermittent
small bowel obstruction associated with abdominal pain aggravated by eating and
posture. He was found to have signs suggestive of Marfan's syndrome. Computed
tomography demonstrated extensive pneumatosis intestinalis of the small bowel.
Due to deterioration in symptoms, an exploratory laparotomy was performed
demonstrating segmental small bowel pneumatosis intestinalis secondary to a
hypermobile mesentery. CONCLUSION: This case highlights the importance of both
surgical and gastroenterology expertise in successfully managing symptomatic
pneumatosis intestinalis.
PMID- 22096723
TI - Post-biopsy renal allograft compartment syndrome: Addressing the problem,
illustrated with a case report.
AB - INTRODUCTION: Renal allograft compartment syndrome (RACS) has recently been
coined to describe early allograft dysfunction secondary to raised pressure in
the retroperitoneal space. This may be caused by direct compression of the renal
vessels or by a diffuse renal parenchymal compression. Herein, we report a renal
allograft compartment syndrome secondary to a needle core transplant biopsy and
discuss the management strategies in line with an updated literature review.
PRESENTATION OF CASE: A retrospective case-note review was carried out where a 45
year-old male had a transplant renal biopsy at 4-weeks after transplant for
raising creatinine. Following biopsy patient developed abdominal discomfort and
had haematuria. DISCUSSION: Doppler ultrasound scanning of graft demonstrated
good perfusion but a small haematoma (2 * 2 * 2 cm) in the upper pole of the
kidney at the site of the biopsy. Patient was thereafter assessed conservatively
with serial ultrasound monitoring. After 24 h, significant deterioration of graft
function was observed. The third scan, demonstrated reversed flow in diastole in
the upper pole of the kidney with a resistive index of 1.0 in the main renal
vessel. With the above findings the kidney transplant was explored immediately
and the transplant released from a 300 ml of liquefied haematoma, which was under
considerable pressure. In the next 24-h, the patient showed an immediate return
of graft function. CONCLUSION: We recommend sequential ultrasound Doppler
scanning as an invaluable tool to help identify early RACS. The surgical
exploration and adequate heamostasis with surgical glue should be sought out in
all RACS.
PMID- 22096724
TI - Giant celiac artery aneurysm: Treatment by transcatheter coil embolization.
AB - Celiac artery aneurysms (CAA) are one of the rarest forms of visceral artery
aneurysms. Most patients are a symptomatic at the time of diagnosis and aneurysms
are detected incidentally during diagnostic imaging for other diseases. We
present the case of a 42-year-old man who had an asymptomatic giant CAA detected
incidentally by an abdominal ultrasound investigating an abdominal pain. A
contrast enhanced computed tomography angiogram (CTA) revealed a large CAA
measuring 7.1 cm * 4.3 cm with extensive collaterals from the superior mesenteric
artery (SMA). The aneurysm sac was mostly filled with thrombus with the celiac
artery branches occluded. Pre-procedural angiography and transcatheter
embolization procedures were performed at the same session. Endovascular
exclusion was performed by transcatheter coil embolization and packing of the
aneurysm sack. Technical success was achieved by the absence of flow in the
aneurysm, and preservation of the native circulation on angiograms obtained just
after the transcatheter coil embolization procedure. One week postembolization, a
CTA confirmed thrombosis of the aneurysm. The patient returned for a follow-up
CTA 3, 6, 12 and 48 months after embolization. The aneurysm was thrombosed and
the patient remained a symptomatic. The surgical mode of treatment of CAA is
increasingly being replaced by endovascular embolization because of the lower
morbidity and mortality and high success rate. The accepted endovascular approach
is by coil embolization of the aneurysmal lumen, the proximal and distal
aneurysmal neck, or both.
PMID- 22096725
TI - Primary squamous cell carcinoma of breast with ipsilateral axillary lymph node
metastasis: An unusual case.
AB - INTRODUCTION: Pure squamous cell carcinoma of the breast [SCCB] is rare.
PRESENTATION OF CASE: We report a case of primary squamous cell carcinoma of
breast with ipsilateral axillary lymph node metastasis in a 58year old woman.
DISCUSSION: It is a breast carcinoma entirely composed of metaplastic squamous
cells that may be keratinized, non-keratinized or spindled. The pure squamous
cell carcinoma usually present with central cystic cavity, which we found in our
case, also supported by immunohistochemical evidence. CONCLUSION: Although a rare
breast cancer subtype, SCCB is of considerable interest due to its pathological
heterogeneity and differences in clinical behavior and less reported occurrence
of nodal metastasis.
PMID- 22096726
TI - Inguinal herniae: Valuable clues to concurrent abdominal pathology: A series of
case studies describing unusual findings in 'routine' hernia operations which
demonstrate the need for thorough surgical training.
AB - INTRODUCTION: The case series presented here demonstrates that the pathology
encountered during inguinal hernia repair can often provide clues to concurrent
pathology; the well trained surgeon's broader medical knowledge can lead to
earlier diagnosis. PRESENTATION OF CASES: The case series examines four cases of
men presenting with inguinal hernias, who were found to have concurrent abdominal
pathology after further investigation of the intraoperative findings of the
surgeon. DISCUSSION: Operating surgeons not only require the necessary surgical
skills to deal with the unexpected, but must also rely on their ability to think
laterally when interpreting atypical incidental findings during 'routine'
procedures. CONCLUSION: Experience and knowledge gained through a surgeon's
career is essential to enable them to correctly interpret their intraoperative
findings and potentially diagnose concurrent pathology. The authors believe that
surgical care practitioners, trained in just 2 years, would lack these essential
skills.
PMID- 22096727
TI - Facial infiltrating lipomatosis: A case report and review of literature.
AB - Infiltrating lipomatosis of the face has been described as a congenital disorder
in which mature lipocytes invade adjacent tissues in the facial region. The
presentation is always unilateral with hypertrophy of hard and soft structures on
the affected side of the face. We present a case of a 27-year-old female who
reported with a complaint of recurrent unilateral facial swelling with history of
two previous resections, the histopathology or details of these surgeries were
not available. The patient underwent resection of tumour and the histopathology
confirmed it to be infiltrating lipomatosis. The surgery resulted in a definite
improvement in the facial asymmetry and the patient is being closely followed up
with no evidence of recurrence. The pathogenesis of the condition is unclear,
though it has been postulated that the condition is at one end of a spectrum of
overgrowth syndromes with classic Proteus syndrome on the other extreme.
Management of this condition involves resection of the tumour which in most cases
is subtotal to reduce the risk of damage to facial nerve. There is a controversy
regarding both timing and extent of resection in the literature and we think the
subtotal resection of tumour in an adolescent or older patient can give good
aesthetic outcome without compromising facial nerve function. However, the
patients should be informed about high rate of recurrence and increase risk of
complications with any subsequent surgery.
PMID- 22096728
TI - Long term survival after right hemicolectomy and pancreatoduodenectomy for
locally advanced colonic cancer: Case report.
AB - Locally advanced colorectal tumors represent about 5-22% of all colorectal
cancers at the time of presentation. Specifically in the case of right colon
cancer, the percentage of adjacent structure involvement ranges between 11% and
28%. Organs that are most frequently invaded by right colonic tumors are the
duodenum and the pancreatic head. We report the case of a 36-year old man with
locally advanced right colonic cancer, invading the head of the pancreas and the
superior mesenteric vein, who was successfully treated in our department with
right hemicolectomy, pancreatoduodenectomy and short resection of the superior
mesenteric vein with an end-to-end anastomosis, and remains alive and well, free
of disease, nine years after the operation.
PMID- 22096729
TI - Bilateral recurrent wrist flexor tenosynovitis and rice body formation in a
patient with sero-negative rheumatoid arthritis: A case report and review of
literature.
AB - INTRODUCTION: Rice body formation has been traditionally observed in the joint
and tendon sheaths of patients with tuberculosis. Few case reports exist that
describe rice body formation in patients with rheumatoid arthritis. We describe a
case report of bilateral recurrent wrist flexor tenosynovitis with rice body
formation in a patient with sero-negative rheumatoid arthritis. PRESENTATION OF
CASE: This case report describes a 72 year old lady presenting with severe
bilateral, flexor tenosynovitis of the wrists. Ultrasonography revealed
significant echogenic fluid on the palmer aspect of wrist joint surrounding
flexor tendons with intact neurovascular bundles and no bony erosion. Laboratory
tests demonstrated elevated erythrocyte sedimentation rate (50 mm/h) and negative
rheumatoid factor. A sequential subtotal flexor tenosynovectomy was carried out
with decompression of the carpal tunnel. During the operation, multiple rice
bodies among the flexor tendons with adherent synovitis were found. Histology
revealed disrupted synovial tissue containing several areas of fibrinoid
necrosis, bounded by a layer of vaguely pallisaded histiocytes but no epitheloid
granulomata or germinal centre. A revision surgery with debulking of the fibro
osseous canal was undertaken following recurrence. The patient presently has
complete resolution of symptoms at one year follow-up. DISCUSSION: The combined
clinical, laboratory, ultrasound and histology findings of the patient indicated
that the cause of the rice body formation was due to a sero-negative arthritis
rather than tuberculosis. CONCLUSION: Rice body formation can be caused by sero
negative arthritis. Bilateral wrist flexor tensosynovitis can recur within five
months of a previous synovectomy in a patient with sero-negative arthritis.
PMID- 22096730
TI - Incarcerated spontaneous transdiaphragmatic intercostal hernia.
AB - INTRODUCTION: Prolapse of abdominal viscera into the thoracic subcutis through
the chest wall is known as transdiaphragmatic intercostal hernia (TIH). Herein,
we present the first case of spontaneous TIH presenting as a thoracoabdominal
emergency. PRESENTATION OF CASE: A 78-year-old male presented with acute left
thoracoabdominal pain following a sudden bulge at the left posterolateral chest
wall corresponding to a partially reducible soft tissue mass with ecchymosis at
the overlying skin. Paroxysmal cough during the last four days was also reported
along with a prolonged daily application of a special tight abdominal belt that
used while milking sheep. CT-scan of the abdomen showed intrathoracic proptosis
of the splenic flexure through a defect of the left hemidiaphragm and
subcutaneous prolapse of the herniated colon through the 7th intercostal space.
On laparotomy, the herniated colon showed signs of ischemic necrosis leading to
segmental colectomy followed by repair of the diaphragmatic defect. DISCUSSION:
The clinical diagnosis of spontaneous TIH demands very high index of suspicion
and thorough patient's history. In this case the daily elevation of the
intraabdominal pressure due to an abdominal milking belt might have caused
gradual slimming and loosening of the diaphragm and the intercostals muscles
rendering them vulnerable to sudden increases of the thoracoabdominal pressure
due to violent coughing. Such a hypothesis is reasonable in the absence of
traumatic injury in this patient. CONCLUSION: Spontaneous TIH should be suspected
in patients presenting with a sudden palpable chest wall bulge and associated
thoracoabdominal symptoms in the absence of preceding injury.
PMID- 22096731
TI - A perforated diverticulum in Cushing's disease.
AB - We report a case of perforated colonic diverticulum in Cushing's disease.
Although perforated diverticuli have been described in patients with Cushing's
syndrome secondary to exogenous glucocorticoids, this complication has not been
described in patients with Cushing's disease. Patients with hypercortisolism,
from either exogenous or endogenous sources, should be monitored for diverticular
perforation.
PMID- 22096732
TI - Small bowel perforation secondary to accidental dental plate ingestion.
AB - The majority of ingested foreign bodies will pass through the gastrointestinal
tract without incident, with less than 1% of cases resulting in complications.
Herein we present a case of small bowel perforation secondary to the accidental
ingestion of a dental plate. A diagnosis of perforation was made by CT imaging,
but the exact cause could only be determined after resection of the affected
bowel and histo-pathological examination. We re-iterate the importance of
accurate and thorough history taking in patients with possible foreign body
ingestion.
PMID- 22096733
TI - The adverse consequences of pyoderma gangrenosum in a 13 year old child.
AB - INTRODUCTION: Pyoderma gangrenosum (PG) is an uncommon, but serious, non
infectious, neutrophilic dermatosis that causes cutaneous necrosis with a
characteristically rapid evolution. PRESENTATION OF CASE: A 13 year-old girl was
admitted with a postoperative infected wound, which was surgically debrided. A
new more aggressive lesion on the left upper extremity led the patient to the
intensive care unit. Clinical diagnosis of pyoderma gangrenosum was introduced
with a crucial delay. An immediate clinical improvement after immunosuppressive
therapy with systemic corticosteroids and cyclosporine was observed. The
extensive cutaneous deficits were covered with keratinocyte cultured cells with
an aesthetically good outcome. DISCUSSION: Diagnosis of PG in young children is
very difficult, especially without dermatological evaluation. This deforming
ulcerative skin disease is probably a result of altered immunologic reactivity.
Its early recognition may prevent unnecessary surgical treatment which leads to
dangerous complications. CONCLUSION: To our knowledge this is the first case of
PG with such a widespread distribution reported in a child, as a consequence of
iatrogenic pathergy.
PMID- 22096734
TI - Colon cancer presenting with polymyositis-A case report.
AB - INTRODUCTION: Colorectal cancer most often presents with a change in bowel habit,
weight loss or with bleeding per rectum. Much less commonly, colorectal cancer
may present as part of a paraneoplastic syndrome. Polymyositis is a rare disease
most often considered a complement-mediated idiopathic inflammatory myopathy
manifested by proximal muscle weakness. However, polymyositis may also be part of
a paraneoplastic syndrome associated with an underlying malignancy. The
relationship between polymyositis and malignancy is well known, but it has been
suggested that tumours of the large bowel are rarely complicated by myositis.
PRESENTATION OF CASE: The authors describe a case report of an 82-year-old
gentleman with a presumed musculoskeletal or neurological deficit who was
subsequently diagnosed with metastatic colon cancer in the setting of progressive
fatigue and muscle weakness. Concurrently, we review the current literature
looking at the relationship between cancer and polymyositis. DISCUSSION:
Colorectal cancer rarely presents with symptoms such as muscle weakness, however
it is important to be aware of the possibility of an underlying malignancy when
seeing patients with symptoms which are suggestive of polymyositis. CONCLUSION:
The diagnosis of polymyositis in the elderly population should raise suspicion of
an underlying malignancy.
PMID- 22096736
TI - Massive per rectal bleeding following blunt abdominal trauma: First presentation
of Crohn's disease.
AB - INTRODUCTION: Inflammatory bowel disease is a chronic and relatively common
disorder with heterogeneous presentation. Peak incidence occurs in the second and
third decades of life. We present a patient with Crohn's disease whose first
presentation was profuse bleeding/rectum following blunt abdominal trauma.
PRESENTATION OF CASE: A 29 year old previously healthy man presented one hour
after sustaining relatively mild abdominal trauma, due to fall onto the ball
during a rugby match. He complained of abdominal pain and one episode of large
fresh rectal bleeding. He was pale and distressed with hypotension, tachycardia
and abdominal guarding & fresh blood on digital rectal examination. With a
provisional diagnosis of intestinal injury he was taken to theatre. Right hemi
colectomy was done for a thickened and inflamed segment of distal ileum, a large
adjacent mesenteric haematoma & mesenteric lymph nodes and blood in distal bowel.
Histology confirmed the features of Crohn's disease. DISCUSSION: Crohn's disease
is unusual cause of massive lower gastrointestinal bleeding occurring in 0.9-6%
of patients. Rectal bleeding associated with diarrhoea is relatively more common
than massive bleeding. The presence of Crohn's disease in young patients
presenting like this is unlikely to be suspected and diagnosis could only be made
after laparotomy.
PMID- 22096735
TI - Giant gallstone: A case report.
AB - INTRODUCTION: There is a high incidence of gallstones in the Chilean population.
PRESENTATION OF CASE: We report on a 57-year-old man who complained of abdominal
pain in the right upper quadrant. Abdominal ultrasound indicated acute
cholecystitis and a single, extremely large pear-shaped gallstone (16.8 cm long,
and 7.8 cm at its widest point and 4.1 cm at its narrowest point). Its fresh
weight (at operation) was 278.0 g and, after 4 years, its dry weight was 259.5 g.
Emergency classical cholecystectomy was carried out successfully. DISCUSSION AND
CONCLUSION: We have been unable to find a report of a larger gallstone in the
English or Spanish language medical literature.
PMID- 22096737
TI - A giant adrenal cyst difficult to diagnose except by surgery.
AB - INTRODUCTION: Adrenal cysts represent rare clinical entities. Although surgical
indications are well defined, pitfalls arise from the failure to establish an
accurate preoperative diagnosis. Cystic lesions of other abdominal organs
especially the pancreas complicate the diagnostic field. PRESENTATION OF CASE: We
present the case of a giant adrenal cyst in a young female causing diagnostic
dilemma. Imaging studies revealed a large cystic lesion of uncertain origin
located between the spleen and the tail of the pancreas. It was decided to
perform a laparotomy which confirmed the presence of an adrenal cyst and
enucleation of the cyst was performed. Examination at one year confirmed no
complications. DISCUSSION: Adrenal cysts should always be included in the
differential diagnosis of cystic abdominal lesions. CONCLUSION: When the
preoperative diagnosis is uncertain, surgical intervention can be both diagnostic
and therapeutic.
PMID- 22096738
TI - Unilateral borderzone infarction in a young polytrauma patient.
AB - Although post-traumatic cerebral infarction is a known complication of
craniocerebral trauma, borderzone infarction (BZI) after multiple traumas in
young adults are uncommon and published data on this are extremely scant. We
present an unusual occurrence of unilateral borderzone infarction in a 21-year
old male who sustained multiple traumas in a traffic accident, which included
mild head injury, blunt cardiac injury, right traumatic hemopneumothorax, and
three long bone open fractures. Initial head scan revealed mild brain edema, and
the BZI was uncovered by a repeated brain CT scan since the patient had a delayed
neurological deficit which was incompatible for the injury severity of the head.
Comprehensive work-up was performed and a narrowed right internal carotid artery
was disclosed by CT angiography. Although unilateral BZI is extremely rare in
young patients, emergency physicians should still keep in mind to warrant serial
examinations for BZI and early recognition to provide appropriate treatment.
PMID- 22096739
TI - Primary malignant melanoma presenting as superior mediastinal mass.
AB - Malignant melanoma accounts for 1.5% of all cancers, and arises from a
preexisting nevus in 40% of cases. Skin is the most common site for primary
malignant melanoma. We present an extremely rare case of primary malignant
melanoma presenting as a superior mediastinal mass.
PMID- 22096740
TI - Duplication of vas deferens-A rare anomaly with review of literature.
AB - INTRODUCTION: Isolated duplication of vas deferens is a rare anomaly with only
eleven cases reported in medical literature. Unawareness regarding this rare
anomaly can lead to inadvertent injury to the vas during inguinal hernia surgery
or failure of vasectomy procedure. PRESENTATION OF CASE: A 27-year-old gentleman
was diagnosed with isolated duplication of vas during left sided open inguinal
hernia surgery. He had no other genito-urinary anomaly. Patient had an uneventful
recovery from surgery. CONCLUSION: It is a rare anomaly and unawareness regarding
this condition can lead to catastrophic consequences during inguinal hernia and
vasectomy surgeries.
PMID- 22096741
TI - Epigastirc hernia presenting as a giant abdominal interparietal hernia.
AB - INTRODUCTION: Epigastric hernia is a rare form of ventral abdominal hernia. When
neglected it can attain an impressive size causing it un-aesthetic effect in
addition to diagnostic difficulties. PRESENTATION OF CASE: Presented is a 60-year
old female farmer with a 10-year history of a voluminous mass in the anterior
abdominal wall. DISCUSSION: Surgery revealed an epigastric hernia presenting as
an interparietal hernia. The hernia was an omentocele with a grossly distended
hernia sac filled with about 2.5 l of serous fluid. No similar case has been
found in the medical literature. CONCLUSION: Epigastric hernia can attain
voluminous dimensions and present as a non-inguinal interparietal hernia.
PMID- 22096742
TI - Early cystic duct carcinoma of new classification.
AB - INTRODUCTION: Classically defined cystic duct carcinoma is extremely rare owing
to its strict diagnostic criteria, which are not suitable in actual clinical
settings. Recently, several new classifications of cystic duct carcinoma were
reported, which defined it as a tumor with its center located in the cystic duct.
On the other hand, the incidence of cystic duct carcinoma, based on the new
classifications, is not rare, but all reported cases are advanced. PRESENTATION
OF CASE: A 77-year-old man with dilatation of the common bile duct, a stricture
at the level of the cystic duct junction, and a filling defect of contrast medium
into cystic duct in endoscopic retrograde cholangiopancreatography was diagnosed
with cystic duct carcinoma. Radical cholecystectomy with bile duct resection was
performed. In the resected specimen, we found that a 2 cm tumor whose center was
located in the cystic duct and vertically limited to the mucosal layer.
Horizontally, the tumor was superficially spread in the gallbladder, which were
also limited to the mucosal layer. DISCUSSION: Here we report a first case of
early cystic duct carcinoma diagnosed according to a new classification that had
spread superficially into the gallbladder. When treating an early cystic duct
carcinoma, it is important to note that even localized carcinoma can potentially
invade into adjacent organs or metastasize to regional lymph nodes due to the
location of cystic duct. CONCLUSION: It is suggested that perform radical
resection such as cholecystectomy with gallbladder fossa resection, extrahepatic
bile duct resection and regional lymphadenectomy is the treatment of choice.
PMID- 22096743
TI - A rare complication of acute appendicitis: Superior mesenteric vein thrombosis.
AB - INTRODUCTION: Very few cases of superior mesenteric vein thrombosis have been
reported as a complication of appendicitis. In these handful of cases, the
thrombosis was identified early with computerised tomography scan and patients
have been managed conservatively with antibiotics and anticoagulation.
PRESENTATION OF CASE: A 45-year-old gentleman presented to the emergency
department with a 13-day history of right lower quadrant abdominal pain and
rigors. CT scan of the abdomen revealed an appendicular mass and superior
mesenteric vein thrombosis. The patient was initially managed by anticoagulation
and antibiotics for two weeks and was discharged after satisfactory clinical
improvement. He represented after discharge for increased severity of his
abdominal pain and abnormal inflammatory markers. Emergency open appendicectomy
for complicated appendicitis was performed and his postoperative course was
unremarkable. He was discharged on the 7th postoperative day with
anticoagulation. Outpatient review at two months was unremarkable. DISCUSSION:
Acute appendicitis is a common surgical presentation where history and
examination is key in clinching the diagnosis. Inflammatory markers and imaging
modalities such as a CT scan can be helpful. Although surgical intervention is
the mainstay of treatment, conservative management with antibiotics is an option
if the diagnosis of appendicitis is equivocal. Recent evidence has revealed that
elective appendicectomy is not necessary upon successful conservative management.
Acute appendicitis can lead to local perforations and abscesses. Complications
such as thrombosis in the superior mesenteric vein are rare and can be managed
successfully with anticoagulants. CONCLUSION: Although this is a rare
complication of appendicitis, the case was managed successfully with a
conservative approach. This is inline with the general consensus which is to
treat superior mesenteric vein thrombosis secondary to appendicitis
conservatively unless the patient deteriorates.
PMID- 22096744
TI - Extragastrointestinal Stromal Tumor (EGIST) in the abdominal wall: Case report
and literature review.
AB - INTRODUCTION: Gastro Intestinal Stromal Tumor (GIST) is the most common
mesenchymal tumor of the gastrointestinal tract (GI). GIST that arises primarily
outside the GI tract is termed Extragastrointestinal Stromal Tumor (EGIST). To
the best of our knowledge, few cases of EGIST in the abdominal wall were
reported. PRESENTATION OF CASE: We present a rare case of EGIST in the abdominal
wall of a 57 year-old female patient. The asymptomatic tumor was located in the
superior aspect of the left rectus abdominis muscle, measured 5.4 * cm 5.3 * cm
6.9 cm and was well circumscribed. Histological examination showed an epithelioid
cell morphology. The mitotic count was 7/50 HPFs. Immunohistochemistry showed
diffuse strong CD117 positivity, focal positivity for S100. The tumor was excised
and the margins were free of malignancy. The patient was doing well
postoperatively and was discharged on STI-571 regimen. DISCUSSION: Although GIST
is the most common mesenchymal tumor of the gastrointestinal tract, a case with
EGIST in the abdominal wall is rare. Positive immunohistochemical staining for
CD117 is a defining feature of GISTs. A great percentage of EGISTs represent a
metastasis from a primary GIST. In our case, the clinical and diagnostic work-up
have been proved it to be an EGIST. CONCLUSION: The existing data on EGIST is
insufficient to make a final conclusion regarding the malignant potential and
clinicopathological factors of EGISTs that determine patient prognosis. Thus a
follow-up for a long period is required. EGISTs should be kept in mind in the
differential diagnosis for patients presenting with solid mass of the abdominal
wall.
PMID- 22096745
TI - Angiodysplasia of the gallbladder.
AB - INTRODUCTION: Angiodysplasia is a term used to describe distinct mucosal vascular
ectasias found mainly in the gastrointestinal tract. Angiodysplasia of the
gallbladder is exceedingly rare. PRESENTATION OF CASE: We encountered a patient
who presented with biliary colic and subsequently underwent an elective
laparoscopic cholecystectomy. The angiodysplasia of the gallbladder was found
incidentally on histopathological examination of the excised gallbladder.
DISCUSSION: Review of the literature showed only one other reported case of
angiodysplasia of the gallbladder. The condition may be found incidentally after
histopathological examination of the gallbladder removed for gallstone; or it may
present with haemobilia. CONCLUSION: We presented an extremely rare case of
angiodysplasia of the gallbladder, which was found incidentally after
histopathological examination of the gallbladder removed for gallstone.
Angiodysplasia of the gallbladder has the potential to bleed. Laparoscopic
cholecystectomy is effective in providing a definitive cure.
PMID- 22096746
TI - Angiolymphoid hyperplasia with eosinophilia (epithelioid hemangioma) of the face:
An unusual presentation.
AB - Angiolymphoid hyperplasia with eosinophilia (ALHE) is a rare entity that usually
occurs as 0.5-3 cm pink to brown nodules in the skin surface and subcutaneous
tissues of the head & neck. Here we report an unusual occurrence in size and
appearance of ALHE in the tissues of the cheek of an adult Asian male.
PMID- 22096747
TI - Iatrogenic liver trauma managed with mesh-wrapping and ligation of portal vein
branch: A case report.
AB - INTRODUCTION: Liver trauma is a critical condition that requires swift
multidisciplinary approach. In complex hepatic injuries perihepatic packing is an
established life-saving procedure. The aim of this study is to evaluate and
highlight the value of absorbable mesh wrapping of the injured liver, combined
with ipsilateral ligation of portal vein branch. CASE PRESENTATION: An 82-year
old patient underwent an open cholocystectomy, for gallbladder empyema. The
second postoperative day he was re-operated on due to active hemorrhage. The
bleeding was controlled by suturing the bed of the gallbladder fossa. During this
maneuver a portal vein branch was torn resulting in a rapidly expanding
subcapsular liver hematoma which led to the formation of two deep lacerations on
the liver parenchyma. This life-threatening condition was treated by wrapping an
absorbable mesh around the right liver lobe and subsequently ligating the right
portal vein branch extrahepaticaly. CONCLUSION: Mesh wrapping of the fragmented
liver with absorbable mesh constitutes a safe and effective method, in treating
grade IV and V liver injuries, especially when combined with ipsilateral ligation
of the bleeding vessel.
PMID- 22096748
TI - Vanek's tumour mimicking an acute appendicitis.
AB - INTRODUCTION: Vanek's tumour or Inflammatory Fibroid Polyp (IFP) are rare, benign
localised lesions originating from the sub-mucosa of the gastrointestinal tract
(GI) tract.(1) They have been widely reported as occurring within the stomach,
Duodenum, Jejunum and Ileum, more rarely (<1%) in the caecum or appendix.
PRESENTATION OF CASE: We present a case of a 28-year-old lady who presented with
a 2-day history of right iliac fossa pain, nausea and low-grade fever.
Subsequent, ultrasonography (USS) of the abdomen demonstrated an inflamed tubular
structure originating from caecum with fluid in the pelvis mimicking an acute
appendicitis. Next to normal appendix an inflammatory polypoid mass was
identified and on histological examination confirmed to be an IFP (Vanek's
tumour). DISCUSSION: Right Iliac Fossa (RIF) pain with suspected appendicitis is
one of the most common presentations in any acute surgical unit. In young women
of childbearing age, the differential diagnosis can be varied and vast. The
surgical management of IFP (Vanek's tumour) in such cases ranges from limited
resection and caecectomy to limited right hemicolectomy. CONCLUSION: To date, the
exact histiogenesis of these tumours remains unclear and requires a high level of
intra-operative suspicion. According to our search such presentation is not
reported.
PMID- 22096749
TI - Middle-preserving pancreatectomy for synchronous ampullary carcinoma and solid
pseudopapillary tumor of distal pancreas.
AB - INTRODUCTION: Total pancreatectomy is the treatment of choice for multicentric
diseases involving the pancreas. Middle-preserving pancreatectomy is a recently
reported alternative procedure when the pancreatic body is spared from disease.
PRESENTATION OF CASE: We report a 63-year old lady who underwent a combined
Whipple's operation and distal splenopancreatectomy for her synchronous ampullary
carcinoma and solid-pseudopapillary tumor of the distal pancreas. DISCUSSION: For
multiple tumors of the pancreas, the choice of surgery should be based on the
nature of pathology and follow the principle of oncological resection.
CONCLUSION: Middle-preserving pancreatectomy is a safe and feasible option for
patient with multicentric or synchronous pancreatic pathologies.
PMID- 22096750
TI - Infertility and chylous ascites? A case report.
AB - INTRODUCTION: Chylous ascites is defined by an accumulation of chylous fluid in
the peritoneal cavity and it clinically appears as a milky fluid in which
laboratory examination reveals triglycerides, cholesterol, and sometimes
chylomicrons and lymphocytes. PRESENTATION OF CASE: We report the first case of
primary chylous ascites observed during laparoscopy for unexplained secondary
infertility. DISCUSSION: Chylous ascites has never been linked to fertility but
bathes all internal reproductive organs surfaces and is considered a
communication mean between ovaries. CONCLUSION: Despite a lack of evidence, the
question of peritoneal fluid role remains in infertility.
PMID- 22096751
TI - Short report of an unusual ballistic trauma.
AB - INTRODUCTION: Portable firearms have a relevant medico-legal interest, being a
major cause of injury. Bullet entry wounds generally have a particular
appearance, including contusion, skin introflection, and simple or excoriated
ecchymosis. The skin wound is typically a hole with frayed margins, whose
diameter is smaller than that of the bullet. PRESENTATION OF CASE: We report the
case of a 19-year-old man with ballistic trauma. Examination of the patient's
lesions indicated that the bullet had entered from the left mandibular
parasymphysis, creating a small hole without the typical bullet wipe and
blackening. Subsequently, the bullet seemed to have fractured the left chin
region immediately below the lower alveolar process, and it finally stopped in
the submandibular area in the suprahyoid region of the neck. DISCUSSION: This
case is peculiar because the distinctive features of a firearm injury were
absent; the lack of bleeding and edema made the case difficult to interpret
without additional diagnostic investigations. CONCLUSION: Ballistic trauma can
manifest in different ways; therefore, internal trauma should be suspected even
in the absence of clear external signs. This case report shows how an unusual
bullet entry hole can mask quite serious injuries.
PMID- 22096752
TI - Closed reduction of radius refracture: A case report.
AB - INTRODUCTION: Refractures of the radius and ulna in the paediatric patient with
flexible intramedullary nails in situ are known to occur. There are no formal
guidelines currently in the literature to guide the management of such fractures.
PRESENTATION OF CASE: A 10-year-old Caucasian girl, sustained a closed refracture
of the radius at the same level, with the flexible intramedullary nails in situ
to treat her recent ulna and radius fractures. DISCUSSION: We proposed a new non
invasive way of reducing and maintaining such fractures without removal of the
bent nail completely. CONCLUSION: This method does not significantly reduce the
mechanical strength of the nail, as we do not advocate applying an external
lateral force, which would compromise nail mechanical strength and eventually
lead to breakage of the nail in situ.
PMID- 22096753
TI - Robotic redo fundoplication for incompetent wrapping after antireflux surgery: A
case report.
AB - INTRODUCTION: Incidence of gastroesophageal reflux disease (GERD) is high.
antireflux surgery with specific indications could be an option. Nissen
fundoplication is the most popular surgical procedure for GERD, and recent
results using laparoscopy have reported excellent short- and mid-term results.
Regarding surgical outcome of antireflux surgery, the rate of complications has
been reported as below 2.4%, but rare cases still require reoperation.
PRESENTATION OF CASE: A 53-year old male patient underwent laparoscopic Nissen
fundoplication three years ago owing to gastroesophageal reflux disease (GERD)
troubled by dysphagia and heartburn However, despite undergoing surgery, his
symptoms did not show improvement .A robotic redo fundoplication was planned. The
patient recovered uneventfully, and the esophagography on postoperative day four
revealed improvement of previous upward contrast reflux and distension of the
distal esophagus during swallowing had disappeared. Dysphagia and heartburn had
still not occurred at one year follow-up. DISCUSSION: Redo antireflux surgery for
postoperative stricture is not an easy procedure due to postoperative adhesion
and anatomical change. Robotic surgery may be more helpful for precise dissection
of the adhesion site by a previous operation and robotic suturing for re
fundoplication was more effective. CONCLUSION: Re-do fundoplication using a
robot, which is a complicated procedure compared with primary anti-reflux surgery
would be a general procedure in the near future.
PMID- 22096754
TI - Early intervention in intersigmoid hernia may prevent bowel resection-A case
report.
AB - INTRODUCTION: Intersigmoid hernia is a rare internal hernia presenting with
symptoms of bowel obstruction. Preoperative diagnosis is uncommon but
computerised tomography (CT) may show signs to suggest internal hernia.
PRESENTATION OF CASE: A 63-year-old female presented with abdominal pain,
vomiting and absolute constipation. Examination revealed a tense distended
abdomen. A plain abdominal radiograph showed features of small bowel obstruction.
Conservative management was initiated without success and a CT scan was performed
which showed a dilated distal oesophagus, stomach and small bowel with a non
dilated length of distal ileum and large bowel. Internal hernia was suggested as
a possible cause and the patient underwent a laparotomy where a loop of small
bowel was found to be strangulated and gangrenous within the intersigmoid fossa.
The gangrenous bowel was resected, an end-to-end anastamosis was performed and
the fossa was closed. The patient made an uneventful recovery. DISCUSSION:
Hernias of the sigmoid mesocolon account for 6% of internal hernias with internal
hernias themselves causing between 0.2 and 4.1% of intestinal obstruction. This
report presents a case of intersigmoid hernia, a rare internal hernia which
should be suspected in patients presenting with acute obstruction, no past
surgical history and no external hernia. Patients with these symptoms should
receive an urgent CT scan to facilitate early surgery and minimise strangulation
and prevent bowel resection. CONCLUSION: Intersigmoid hernia presents with acute
obstruction, no past surgical history and no external hernia. Urgent CT scanning
and early surgery may minimise strangulation, conserve bowel and reduce patient
morbidity and mortality.
PMID- 22096755
TI - Successful treatment of ventilator dependent emphysema with Chartis treatment
planning and endobronchial valves.
AB - INTRODUCTION: Emphysema is a leading cause of disability and death. Patients who
require ventilator support as a result of respiratory failure have limited
treatment options. We report a successful outcome for a ventilator dependent
patient in whom endobronchial valves were inserted into lobes assessed as being
without collateral ventilation. PRESENTATION OF CASE: A 54 year old male patient
had been ventilator dependent for two months due to respiratory insufficiency
from emphysema. Prior to admission, FEV(1) was 0.89L (25% predicted) and RV was
4.5L (205% predicted). CT scan showed destruction of right lower and middle lobes
and left lower lobe. Chartis assessment showed the absence of collateral
ventilation. Zephyr endobronchial valves were placed in left and right lower
lobes. Lung volume reduction bilaterally was confirmed on chest X-ray and CT scan
the following day. On day three, ventilator support was discontinued and there
was no requirement for supplementary oxygen. At 30 days post procedure, the RV
reduced to 3.2L (142% predicted) and the FEV(1) increased to 1.32L (38%
predicted). DISCUSSION: Hyperinflation in emphysema compromises lung function.
For this ventilator dependent patient, there were no other treatment options.
Endoscopic lung volume reduction was successfully achieved by bilateral lower
lobe placement of Zephyr endobronchial valves with a prior assessment using
Chartis to determine the absence of collateral flow in the targeted lobes. The
patient achieved an impressive 48% improvement in FEV(1) and a 29% reduction in
RV. CONCLUSION: Endoscopic lung volume reduction assisted by Chartis to plan
treatment resulted in a clinical and a health-economic benefit.
PMID- 22096756
TI - Endometriosis in the canal of Nuck hydrocele: An unusual presentation.
AB - The authors describe an unusual rare presentation of endometriosis in a
hydrocoele of the canal of Nuck. A 43-year-old lady presented with a swelling in
her right groin associated with mild discomfort. Examination revealed a cystic
swelling in the groin for which she underwent an exploration and excision of the
swelling. Surgery revealed a hydrocele of the canal of Nuck which was confirmed
histologically. The unusual presentation of endometriosis in the sac was
confirmed immunocytochemically.
PMID- 22096757
TI - A pseudo-TEP repair of an incarcerated obturator hernia.
AB - INTRODUCTION: Obturator hernia (OH) is a rare condition and difficult to
diagnose. While they account for as few as 0.073% of all hernias, mortality can
be as high as 70%. The typical clinical presentation for OH is small bowel
obstruction. Computed tomography is the diagnostic tool of choice. Surgical
repair is mandatory in virtually all cases of OH and traditionally consists of
performing an exploratory laparotomy. PRESENTATION OF CASE: A 90-year-old female
was admitted to our surgical service with signs of small bowel obstruction and a
CT scan revealing incarcerated fatty tissue and small bowel within a left OH.
DISCUSSION: The role of laparoscopic surgery in the management of OH has been
limited to elective repairs; most reports detail that the OH was found
serendipitously during laparoscopic inguinal hernia operations or other pelvic
procedures. A few reports describe the use of laparoscopy to treat OH associated
with bowel obstruction in an emergency setting using a TAPP approach. A strict
TEP hernia repair is not indicated for all patients with OH, and should rarely be
performed in emergency situations given its limitation to assess or resect bowel
if necessary. In selected cases, a formal exploratory laparoscopy that is
negative for compromised bowel can be safely followed by a TEP repair using the
same umbilical access as shown in our patient. CONCLUSION: A 90-year-old female
with a small bowel obstruction related to an incarcerated OH was treated
effectively with an extraperitoneal laparoscopic approach.
PMID- 22096758
TI - Intraabdominal desmoplastic small round cell tumor: Report of a case and
literature review.
AB - INTRODUCTION: Desmoplastic small round cell tumor is a rare malignancy with poor
prognosis that predominantly affects young males. Its etiopathogenesis is still
unknown and diagnosis can be achieved only by immunohistochemistry and
cytogenetic studies. Due to our limited knowledge of the pathologic and clinical
nature of this disease, there is no clear consensus regarding the optimal
therapeutic procedures for treating this neoplasm. A high degree of care and
improvements in diagnostic capabilities are required in order to identify this
entity and avoid misdiagnosis. CASE PRESENTATION: We report a new case of a 29
year-old male who proceeded to our Emergency Department complaining about non
specific abdominal pain. Physical examination revealed no abnormalities except
for a palpable mass in the lower abdomen and a diffuse abdominal pain. Computed
Tomography scan showed enlarged paraortic and mesenteric lymphadenopathy,
thickness of the small bowel wall and dispersed masses intraperitoneally. He
underwent an exploratory laparotomy and the resultant biopsy revealed
desmoplastic small round cell tumor. DISCUSSION: Diagnosis of desmoplastic small
round cell tumor can easily be missed because it presents with few early warning
symptoms and signs, while the routine blood tests are within normal limits.
CONCLUSION: A high degree of suspicion, a thorough physical examination, a full
imaging check and an aggressive therapeutic approach are required in order to
identify this disease and fight for a better quality of life for these patients.
In addition we make a review of the literature in an effort to clarify the
epidemiological, clinical and pathological aspects of this entity.
PMID- 22096759
TI - Total neopharyngeal stenosis following pharyngolaryngo-oesophagectomy with
gastric interposition: Successful recanalisation using a transcervical
radiologically guided technique.
AB - INTRODUCTION: Pharyngo-oesophageal stricturing is common following treatment of
head and neck cancers. Absolute dysphagia secondary to total stenosis, although
rare, is particularly debilitating and presents a significant therapeutic
challenge. We present a strategy for managing total neopharyngeal stenosis
following pharyngolaryngo-oesophagectomy (PLOG). PRESENTATION OF CASE: A 71-year
old female developed total neopharyngeal stenosis following PLOG with gastric
interposition for squamous cell carcinoma of the proximal cervical
oesophagus/post-cricoid. A transcervical, percutaneous, radiologically guided
procedure was performed to restore lumenal patency, which enabled resumption of
oral feeding. DISCUSSION: Established treatments for pharyngo-oesophageal
strictures are frequently limited by complications in patients with complex
strictures or total stenoses. Whilst several interventions have been described,
recent interest has focussed on combined antegrade/retrograde endoscopic
procedures dilating a pre-existing gastrostomy site for access. This was not
possible in our patient due to the surgically altered anatomy which posed a
unique therapeutic challenge. CONCLUSION: This is the first reported
percutaneous, transcervical, radiologically guided technique to treat
neopharyngeal stenosis following PLOG. It demonstrates a novel and efficacious
approach which may be considered in the management of this rare but significant
complication.
PMID- 22096760
TI - Case report and literature review: Metastatic lobular carcinoma of the breast an
unusual presentation.
AB - INTRODUCTION: Invasive lobular carcinoma is the second most common type of
invasive breast carcinoma (between 5% and 15%). The incidence of invasive lobular
carcinoma has been increasing while the incidence of invasive duct carcinoma has
not changed in the last two decades. This increase is postulated to be secondary
to an increased use of combined replacement hormonal therapy. Patients with
invasive lobular carcinoma tend to be slightly older than those with non-lobular
invasive carcinoma with a reported mean age of 57 years compared to 64 years. On
mammography, architectural distortion is more common and microcalcifications less
common with invasive lobular carcinoma than invasive ductal carcinoma. The
incidence of extrahepatic gastrointestinal (GI) tract metastases observed in
autopsy studies varies in the literature from 6% to 18% with the most commonly
affected organ being the stomach, followed by colon and rectum. Gastric lesions
seem to be slightly more frequent, compared to colorectal lesions (6-18% compared
to 8-12%, respectively). PRESENTATION OF CASE: We present the case of a 70-year
old woman who was referred to our institution with a concurrent gastric and
rectal cancer that on further evaluation was diagnosed as metastatic invasive
lobular carcinoma of the breast. She has a stage IV clinical T3N1M1 left breast
invasive lobular carcinoma (ER positive at 250, PR negative, HER-2/neu 1+
negative) with biopsy proven metastases to left axillary lymph nodes, gastric
mucosa, peritoneum, rectal mass, and bone who presented with a partial large
bowel obstruction. She is currently being treated with weekly intravenous
paclitaxel, bevacizumab that was added after her third cycle, and she is also
receiving monthly zoledronic acid. She is currently undergoing her 12-month of
treatment and is tolerating it well. Discussion Breast cancer is the most common
site-specific cancer in women and is the leading cause of death from cancer for
women aged 20-59 years. It accounts for 26% of all newly diagnosed cancers in
females and is responsible for 15% of the cancer-related deaths in women.(9)
Breast cancer is one of the most common malignancies that metastasize to the GI
tract, along with melanoma, ovarian and bladder cancer. CONCLUSION: We present
one of the first reports of metastatic lobular breast cancer presenting as a
synchronous rectal and gastric tumors. Metastatic lobular carcinoma of the breast
is a rare entity with a wide range of clinical presentations. A high level of
suspicion, repetition of endoscopic procedures, and a detailed pathological
analysis is necessary for early diagnosis, which might help to avoid surgical
treatment due to incorrect diagnosis. Patients with a history of breast cancer
who present with new gastrointestinal lesions should have these lesions evaluated
for evidence of metastasis through histopathologic evaluation and
immunohistochemical analysis. Differentiating between a primary GI lesion and
metastatic breast cancer will allow initiation of appropriate treatment and help
prevent unnecessary operations.
PMID- 22096761
TI - Adrenal pseudocyst: Diagnosis and laparoscopic management - A case report.
AB - Cysts of the adrenal gland are rare and are usually discovered incidentally.
Large adrenal cysts can however present with severe abdominal pain and can be
complicated by haemorrhage, rupture or infection. Adrenal pseudocysts appear to
result from haemorrhage within a normal adrenal gland and can expand to
accommodate massive amounts of fluid.We report the case of a 39-year-old woman
who presented with worsening right upper quadrant pain. An ultrasound scan of the
abdomen confirmed a large 29 cm * 20 cm * 17 cm cyst that appeared to originate
in the upper pole of the right kidney causing displacement of the liver and right
kidney.Following complete aspiration the cyst re-accumulated and an MRI scan
demonstrated a thickened and irregular cyst wall with haemorrhagic fluid.
Laparoscopic right adrenalectomy was performed and the histopathological
diagnosis was confirmed as an adrenal pseudocyst.
PMID- 22096762
TI - Bilateral persistent sciatic arteries complicated with chronic lower limb
ischemia.
AB - INTRODUCTION: Persistent sciatic artery (PSA) is a rare vascular anomaly
associated with a higher rate of aneurysm formation or thromboembolic
complications causing lower extremity ischemia. PRESENTATION OF CASE: A 15-year
old female patient with bilateral PSA presented with lower extremity ischemia.
Considering the age and symptoms of the patient, we did not perform any
intervention, but continued surveillance with duplex ultrasonography in case of
the high incidence of aneurysmal formation or thromboembolic event. DISCUSSION:
Epidemiology, development, anatomical structure, diagnosis and treatments of PSAs
are discussed. CONCLUSION: PSAs, are prone to early atheromatous degeneration and
aneurysm formation. Treatment of a PSA mainly dependent on the symptoms is either
by surgical procedures or by endovascular interventions.
PMID- 22096763
TI - Lingual thyroid: A case report.
AB - INTRODUCTION: Lingual thyroid (LT) gland is a rare clinical entity which was
found to occur due to the failure of the thyroid gland to descend to its normal
cervical location during embryogenesis. The presence of an ectopic thyroid gland
located at the base of the tongue may present with symptoms like dysphagia,
dysphonia, upper airway obstruction or even hemorrhage at any time from infancy
through adulthood. PRESENTATION OF CASE: We are presenting a case of 5-year-old
girl who presented with lingual thyroid, treated with Suppression treatment
followed by elective surgical resection. DISCUSSION: Incidence of ectopic lingual
thyroid gland is reported as 1:100,000. It is more common in females. Most of
presentations due to oropharyngeal obstruction, including dysphagia, dyspnea and
dysphonia. Investigations include thyroid function tests, neck US, Technetium
scanning and C.T. CONCLUSION: Lingual thyroid is a rare anomaly. Dysphagia and
dysphonia are common presenting symptoms. Pathogenesis of this ectopic is
unknown. Different types of surgical approaches have been described in the
management.
PMID- 22096764
TI - Diagnostic and therapeutic value of laparoscopy for small bowel blunt injuries: A
case report.
AB - INTRODUCTION: Small bowel injuries after blunt abdominal trauma represent both a
diagnostic and a therapeutic challenge. Early diagnosis and prompt treatment are
necessary in order to avoid a dangerous diagnostic delay. Laparoscopy can
represent a diagnostic and therapeutic tool in patients with uncertain clinical
symptoms. PRESENTATION OF THE CASE: We report the case of a 25-year-old man,
haemodynamically stable, admitted for acute abdominal pain a few hours after a
physical assault. Giving the persistence of the abdominal pain and the presence
of free fluids at the computed tomography examination, an exploratory laparoscopy
was performed. DISCUSSION: At the laparoscopic exploration, an isolated small
bowel perforation was found, 60 cm distal from the ligament of Treitz. The injury
was repaired by laparoscopic suturing and the patient was discharged home at
postoperative day 3 after an uneventful postoperative course. CONCLUSIONS:
Laparoscopy represents a valuable tool for patients with small bowel blunt
injuries allowing a timely diagnosis and a prompt treatment.
PMID- 22096765
TI - Our philosophy.
PMID- 22096766
TI - Whats new in critical illness and injury science ? Mapping and tracking glucose
levels in critical patients.
PMID- 22096767
TI - The glucogram: A new quantitative tool for glycemic analysis in the surgical
intensive care unit.
AB - BACKGROUND: Glycemic control is an important aspect of patient care in the
surgical intensive care unit (SICU). This is a pilot study of a novel glycemic
analysis tool - the glucogram. We hypothesize that the glucogram may be helpful
in quantifying the clinical significance of acute hyperglycemic states (AHS) and
in describing glycemic variability (GV) in critically ill patients. MATERIALS AND
METHODS: Serial glucose measurements were analyzed in SICU patients with lengths
of stay (LOS) >30 days. Glucose data were formatted into 12-hour epochs and
graphically analyzed using stochastic and momentum indicators. Recorded clinical
events were classified as major or minor (control). Examples of major events
include cardiogenic shock, acute respiratory failure, major hemorrhage,
infection/sepsis, etc. Examples of minor (control) events include non-emergent
bedside procedures, blood transfusion given to a hemodynamically stable patient,
etc. Positive/negative indicator status was then correlated with AHS and
associated clinical events. The conjunction of positive indicator/major clinical
event or negative indicator/minor clinical event was defined as clinical "match".
GV was determined by averaging glucose fluctuations (maximal - minimal value
within each 12-hour epoch) over time. In addition, event-specific glucose
excursion (ESGE) associated with each positive indicator/AHS match (final minus
initial value for each occurrence) was calculated. Descriptive statistics,
sensitivity/specificity determination, and student's t-test were used in data
analysis. RESULTS: Glycemic and clinical data were reviewed for 11 patients (mean
SICU LOS 74.5 days; 7 men/4 women; mean age 54.9 years; APACHE II of 17.7 +/-
6.44; mortality 36%). A total of 4354 glucose data points (1254 epochs) were
analyzed. There were 354 major clinical events and 93 minor (control) events. The
glucogram identified AHS/indicator/clinical event "matches" with overall
sensitivity of 84% and specificity of 65%. We noted that while the mean GV was
greater for non-survivors than for survivors (19.3 mg/dL vs. 10.3 mg/dL, P =
0.02), there was no difference in mean ESGE between survivors (154.7) and non
survivors (160.8, P = 0.67). CONCLUSIONS: The glucogram was able to quantify the
correlation between AHS and major clinical events with a sensitivity of 84% and a
specificity of 65%. In addition, mean GV was nearly two times higher for non
survivors. The glucogram may be useful both clinically (i.e., in the electronic
ICU or other "early warning" systems) and as a research tool (i.e., in model
development and standardization). Results of this study provide a foundation for
further, larger-scale, multi-parametric, prospective evaluations of the
glucogram.
PMID- 22096768
TI - Resource utilization in the management of traumatic brain injury patients in a
critical care unit: An audit from a rural set-up of a developing country.
AB - BACKGROUND: Neurosurgical patients including patients with severe head injury are
at risk of developing respiratory complications. These can adversely affect the
outcome and can result in poor survival. Many studies confirm that tracheostomy
is a safe, effective method of airway management for patients with severe head,
facial and multisystem organ trauma. AIMS: To know the indications for performing
early tracheostomy and its outcome. SETTINGS AND DESIGN: Retrospective data
analysis. MATERIALS AND METHODS: The present study is a retrospective analysis of
all patients who were admitted with the diagnosis of head injury between January
2007 and December 2009 and underwent tracheostomy at a rural tertiary care trauma
center of Central India. RESULTS: During the study period, a total of 40 patients
with head injury underwent tracheostomy. All the patients sustained head injury
in road traffic accidents. The mean age of the patients was 37.6 years (range 14
75 years, standard deviation 14 +/- 14.9 years). Maximum number of patients were
in their third decade of life, followed by those in the fifth and fourth decades.
There were 36 males and 4 females. Tracheostomy was performed in 30 patients with
severe head injury, 9 patients with moderate head injury and in only one case of
mild head injury as the patient had multiple facial injuries compromising the
airway. CONCLUSIONS: Neurocritical care is a relatively new field in India, and
the facilities for critical neurosurgical patients are available only in a very
few tertiary care centers mainly serving the urban areas. In the present study,
we discuss our limited experience with tracheostomy in patients with head injury
while facing the challenge of limited resources.
PMID- 22096769
TI - Risk factors for aminoglycoside-associated nephrotoxicity in surgical intensive
care unit patients.
AB - BACKGROUND: Aminoglycosides are commonly used antibiotics in the intensive care
unit (ICU), but are associated with nephrotoxicity. This study evaluated the
development of aminoglycoside-associated nephrotoxicity (AAN) in a single
surgical intensive care unit. MATERIALS AND METHODS: Adult patients in our
surgical ICU who received more than two doses of aminoglycosides were
retrospectively reviewed for demographics, serum creatinine, receipt of
nephrotoxins [angiotensin converting enzyme (ACE) inhibitors, angiotensin-II
receptor blockers, diuretics, non-steroidal anti-inflammatory drugs,
cyclosporine, tacrolimus, vasopressors, vancomycin and intravenous iodinated
contrast] and the need for dialysis. AAN was defined as an increase in serum
creatinine >0.5 mg/dL on at least 2 consecutive days. Univariate and multiple
regression analyses were performed. RESULTS: Sixty-one patients (43 males)
receiving aminoglycoside were evaluated. Mean age, weight, initial serum
creatinine, and duration of aminoglycoside therapy were 58.7 (+/-15) years, 83.3
(+/-24.4) kg, 0.9 (+/-0.5) mg/dL, and 4 (+/-2.3) days, respectively. Thirty-one
(51%) aminoglycoside recipients also received additional nephrotoxins. Seven
aminoglycoside recipients (11.5%) developed AAN, four of whom required dialysis
and all had received additional nephrotoxins. Only concurrent use of vasopressors
(P = 0.041) and vancomycin (P = 0.002) were statistically associated with AAN.
Receipt of vasopressors or vancomycin were independent predictors of acute kidney
insufficiency (AKI) with odds ratios of 19.9 (95% CI: 1.6-245, P = 0.019) and
49.8 (95% CI: 4.1-602, P = 0.002), respectively. Four patients (6.6%) required
dialysis. CONCLUSIONS: In critically ill surgical patients receiving
aminoglycosides, AAN occurred in 11.5% of the patients. Concurrent use of
aminoglycosides with other nephrotoxins increased the risk of AAN.
PMID- 22096770
TI - Trauma care today, what's new?
AB - Injury is the fourth leading cause of death in the US, and the leading cause of
death in younger age. Trauma is primarily a disease of the young and accounts for
more years of productive life lost than any other illness. Consequently, almost
every health care provider encounters trauma patients from time to time. Many of
these patients are critically ill and pose several challenges in the acute phase,
including airway and ventilation, fluid management, intracranial pressure
control, etc. In the last decade, several strategies and treatment options have
been studied in trauma care along with improvement in technologies. In this
review, we will discuss a few of the new developments and updates in trauma care.
PMID- 22096771
TI - Perioperative management of traumatic brain injury.
AB - Traumatic brain injury (TBI) is a major public health problem and the leading
cause of death and disability worldwide. Despite the modern diagnosis and
treatment, the prognosis for patients with TBI remains poor. While severity of
primary injury is the major factor determining the outcomes, the secondary injury
caused by physiological insults such as hypotension, hypoxemia, hypercarbia,
hypocarbia, hyperglycemia and hypoglycemia, etc. that develop over time after the
onset of the initial injury, causes further damage to brain tissue, worsening the
outcome in TBI. Perioperative period may be particularly important in the course
of TBI management. While surgery and anesthesia may predispose the patients to
new onset secondary injuries which may contribute adversely to outcomes, the
perioperative period is also an opportunity to detect and correct the undiagnosed
pre-existing secondary insults, to prevent against new secondary insults and is a
potential window to initiate interventions that may improve outcome of TBI. For
this review, extensive Pubmed and Medline search on various aspects of
perioperative management of TBI was performed, followed by review of research
focusing on intraoperative and perioperative period. While the research focusing
specifically on the intraoperative and immediate perioperative TBI management is
limited, clinical management continues to be based largely on physiological
optimization and recommendations of Brain Trauma Foundation guidelines. This
review is focused on the perioperative management of TBI, with particular
emphasis on recent developments.
PMID- 22096772
TI - Anesthetic considerations in acute spinal cord trauma.
AB - Patients with actual or potential spinal cord injury (SCI) are frequently seen at
adult trauma centers, and a large number of these patients require operative
intervention. All polytrauma patients should be assumed to have an SCI until
proven otherwise. Pre-hospital providers should take adequate measures to
immobilize the spine for all trauma patients at the site of the accident.
Stabilization of the spine facilitates the treatment of other major injuries both
in and outside the hospital. The presiding goal of perioperative management is to
prevent iatrogenic deterioration of existing injury and limit the development of
secondary injury whilst providing overall organ support, which may be adversely
affected by the injury. This review article explores the anesthetic implications
of the patient with acute SCI. A comprehensive literature search of Medline,
Embase, Cochrane database of systematic reviews, conference proceedings and
internet sites for relevant literature was performed. Reference lists of relevant
published articles were also examined. Searches were carried out in October 2010
and there were no restrictions by study design or country of origin. Publication
date of included studies was limited to 1990-2010.
PMID- 22096773
TI - Advances in prehospital trauma care.
AB - Prehospital trauma care developed over the last decades parallel in many
countries. Most of the prehospital emergency medical systems relied on input or
experiences from military medicine and were often modeled after the existing
military procedures. Some systems were initially developed with the trauma
patient in mind, while other systems were tailored for medical, especially
cardiovascular, emergencies. The key components to successful prehospital trauma
care are the well-known ABCs of trauma care: Airway, Breathing, Circulation.
Establishing and securing the airway, ventilation, fluid resuscitation, and in
addition, the quick transport to the best-suited trauma center represent the
pillars of trauma care in the field. While ABC in trauma care has neither been
challenged nor changed, new techniques, tools and procedures have been developed
to make it easier for the prehospital provider to achieve these goals in the
prehospital setting and thus improve the outcome of trauma patients.
PMID- 22096774
TI - Trends in trauma transfusion.
AB - Trauma is the leading cause of death in young adults and acute blood loss
contributes to a large portion of mortality in the early post-trauma period. The
recognition of lethal triad of coagulopathy, hypothermia and acidosis has led to
the concepts of damage control surgery and resuscitation. Recent experience with
managing polytrauma victims from the Iraq and Afghanistan wars has led to a few
significant changes in clinical practice. Simultaneously, transfusion practices
in the civilian settings have also been extensively studied retrospectively and
prospectively in the last decade. Early treatment of coagulopathy with a high
ratio of fresh frozen plasma and platelets to packed red blood cells
(FFP:platelet:RBC), prevention and early correction of hypothermia and acidosis,
monitoring of hemostasis using point of care tests like thromoboelastometry, use
of recombinant activated factor VII, antifibrinolytic drugs like tranexamic acid
are just some of the emerging trends. Further studies, especially in the civilian
trauma centers, are needed to confirm the lessons learned in the military
environment. Identification of patients likely to need massive transfusion
followed by immediate preventive and therapeutic interventions to prevent the
development of coagulopathy could help in reducing the morbidity and mortality
associated with uncontrolled hemorrhage in trauma patients.
PMID- 22096775
TI - Current trends and update on injury prevention.
AB - Injuries are a major and growing public health problem, a leading cause of death
and disabilities among people aged 1-44 years around the world. Each year, 5.8
million people die from injuries, accounting for 10% of the world's deaths. Road
traffic injuries (RTIs), self-inflicted injuries and violence are the top three
leading causes of all injury deaths, while RTIs, falls and drowning are the top
three leading causes of unintentional injury death. In many high-income
countries, trends of injury death have been decreasing as a result of prevention
measures. In contrast, trends in low- and middle-income countries have been
rising. In this article, we review the prevention strategies for RTIs, violence,
falls and drowning developed over decades to disseminate the knowledge and inform
health care providers, especially acute care physicians, about the importance of
injury prevention.
PMID- 22096777
TI - Acute ischemic colitis secondary to air embolism after diving.
AB - Ischemic colitis (IC) secondary to air embolism from decompression sickness or
barotrauma during diving is an extremely rare condition. After extensive review
of the available literature, we found that there has been only one reported case
of IC secondary to air embolism from diving. Although air embolization from
diving and the various medical complications that follow have been well
documented, the clinical manifestation of IC from an air embolism during diving
is very rare and thus far unstudied. Common symptoms of IC include abdominal
pain, bloody or non-bloody diarrhea or nausea or vomiting or any combination.
Emergency physicians and Critical Care specialists should consider IC as a
potential diagnosis for a patient with the above-mentioned symptoms and a history
of recent diving. We report a case of IC from air embolism after a routine dive
to 75 feet below sea level in a 53-year-old White female who presented to a
community Emergency Department complaining of a 2-day history of diffuse
abdominal pain and nausea. She was diagnosed by colonoscopy with biopsies and
treated conservatively with antibiotics, bowel rest, and a slow advancement in
diet.
PMID- 22096776
TI - Damage control in the injured patient.
AB - The damage control concept is an essential component in the management of
severely injured patients. The principles in sequence are as follows: (1)
abbreviated surgical procedures limited to haemorrhage and contamination control;
(2) correction of physiological derangements; (3) definitive surgical procedures.
Although originally described in the management of major abdominal injuries, the
concept has been extended to include thoracic, vascular, orthopedic, and
neurosurgical procedures, as well as anesthesia and resuscitative strategies.
PMID- 22096778
TI - Treatment of an intraoral bleeding in hemophilic patient with a thermoplastic
palatal stent - A novel approach.
AB - This is a case report of a 13-year old child diagnosed with hemophilia A. He
reported with a bleeding wound in the middle part of the hard palate, due to
trauma from a lead pencil. An intraoral palatal stent was planned to provide
continuous pressure, stabilize the clot and allow local delivery of hemostatic
agent. The stent was fabricated with a thermoplastic silicone rubber (biostar)
under vacuum. The hemostatic agent used was one 500 mg capsule of tranxemic acid
that was crushed and applied as a paste every 6 hourly. The patient was admitted
under supervision for 3 days, where Recombinant factor VIII (rFVIII) intravenous
infusion of 15-25 U/kg twice a day was given. Complete healing was observed in
the traumatized area within 10 days. This article emphasizes on prenatal
diagnosis, carrier screening and counseling of parents born with hemophilic
children.
PMID- 22096779
TI - Propofol infusion syndrome in a super morbidly obese patient (BMI = 75).
AB - Propofol infusion syndrome (PRIS) is a rare but often fatal complication as a
result of large doses of propofol infusion (4-5 mg/kg/hr) for a prolonged period
(>48 h). It has been reported in both children and adults. Besides large doses of
propofol infusion, the risk factors include young age, acute neurological injury,
low carbohydrate and high fat intake, exogenous administration of corticosteroid
and catecholamine, critical illness, and inborn errors of mitochondrial fatty
acid oxidation. PRIS manifestation include presence of metabolic acidosis with a
base deficit of more than 10 mmol/l at least on one occasion, rhabdomyolysis or
myoglobinuria, acute renal failure, sudden onset of bradycardia resistant to
treatment, myocardial failure, and lipemic plasma. The pathophysiology of PRIS
may be either direct mitochondrial respiratory chain inhibition or impaired
mitochondrial fatty acid metabolism mediated by propofol. We report a case of
supermorbidly obese patient who received propofol infusion by total body weight
instead of actual body weight and developed PRIS.
PMID- 22096780
TI - Unwashed doctors.
PMID- 22096781
TI - Direct hapten-linked competitive inhibition enzyme-linked immunosorbent assay
(CIELISA) for the detection of O-pinacolyl methylphosphonic acid.
AB - Immunoassay detection of O-pinacolyl methylphosphonic acid (PMPA) employing
direct coating of N-2-aminoethyl-O-pinacolyl methylphosphonate (hapten B) on
microtiter plates is reported. Coating was achieved by covalently linking hapten
B to a glutaraldehyde (GA) polymer network directly bound to the polystyrene (PS)
surface of a standard 96-well microtiter plate. 4-(2-(O-Pinacolylmethylphosphoryl
amino)ethyl amino)-4-oxobutanoic acid (hapten A)-ovalbumin (OVA) conjugate served
as the coating antigen for comparison with direct hapten B-coated plates in the
CIELISA format. The developed assay employing direct hapten B coated plates
demonstrated enhanced sensitivity with the IC(50) value for PMPA being 0.027 MUg
mL(-1). The assay could detect PMPA even at the concentration of 0.006 MUg mL(
1). The mean recovery of standard PMPA (spiked in water) was found to be 83.7%.
PMID- 22096782
TI - HIV epidemic among U.S black women increasing at disturbing pace. 'The statistics
are mind-boggling'.
PMID- 22096783
TI - Mother-daughter power in DC program for girls. Focus on self-empowerment.
PMID- 22096784
TI - MSM of color need HIV men's health leadership. Their infection rates are up.
PMID- 22096785
TI - Cephalosporin-resistant gonorrhea: it's just a matter of time.
PMID- 22096787
TI - Research explores at-home HIV testing.
PMID- 22096788
TI - New condoms eyed for men, women.
PMID- 22096786
TI - HIV prevention in serodiscordant pair.
PMID- 22096789
TI - Populations at greatest risk.
PMID- 22096790
TI - Funding. AIDS response by donor government declines.
PMID- 22096791
TI - Research. Partner abuse increases infection risk in black women, teenagers.
PMID- 22096792
TI - Research. Symptom-based screening could reduce MSM infections.
PMID- 22096793
TI - Study finds HIV spreading to Pakistan's general population.
PMID- 22096794
TI - CDC prevention programs tailored to women of color.
PMID- 22096795
TI - Benefits. Veteran could not show he contracted HIV while in service.
PMID- 22096796
TI - Employment. Worker with HIV could not support emotional distress claims.
PMID- 22096797
TI - Plan administrator had reasons to terminate man's benefits.
PMID- 22096798
TI - ADA. Worker failed to show he could perform functions of job.
PMID- 22096799
TI - Findings of research misconduct.
PMID- 22096800
TI - [Progression of surgical treatment].
PMID- 22096801
TI - [Progression of chemotherapy].
PMID- 22096802
TI - International study of a continence device with 12-hour wear times.
AB - This international, phase III, 215-day, open-label, non-randomized, multicentre
study assessed the safety and efficacy of 12-hour wear times with a novel
colostomy continence control device in participants with end colostomies. Study
stages were usual pouching (21 days), wafer transition (14 days), device 'ramp
up' for 4, 6, and 8 hours (21 days), and 12-hour device wear (159 days). Of the
78 participants enrolled, 66 wore at least one device, and 45 completed
treatment. Median device wear time was 11 hours per day during the final stage.
Adverse events were reported by 12%, 7%, and 56% of participants during the usual
pouching stage (0.01 events per participant per day), wafer transition stage, and
device-wear stages (0.01 events per participant per day), respectively. No
detrimental effects were seen on stoma vascularity or the normal gastrointestinal
flora. The daily leakage-free rate was 0.89 for any leakage and 0.93 for type 2
leakage (e.g. not contained under the wafer). Most participants (87%) rated the
ability of the device to restore continence as 'good' or 'very good'. Overall,
the study showed that the continence control device was safe and effective for
wear times up to 12 hours per day in colostomy patients.
PMID- 22096803
TI - Peristomal skin irritation and the use of a silicone-based barrier film.
AB - Maintaining skin integrity is vital to ensure good adhesion of the stoma pouch,
and to avoid discomfort for the person with a stoma, ensuring a good quality of
life. However, there are many risk factors associated with potential peristomal
skin soreness, and a large number of research studies have highlighted the vast
number of patients who actually experience these problems (Mahjoubi, 2005;
Ratliff et al, 2005; Herlufsen et al, 2006; Lynch et al, 2008; Meisner and
Balleby, 2008). Clinical nurse specialists in stoma care are responsible for
ensuring that all patients are fully assessed, and also that patients are given
appropriate evidence-based care to avoid peristomal skin irritation, where
possible. This article will discuss the common causes of peristomal skin
breakdown, the tools available to assist with assessment, and how to best treat
peristomal skin irritation.
PMID- 22096804
TI - Improving patient care after stoma reversal.
AB - This article will examine the current service provision for patients who have had
a temporary stoma reversal. Findings of a patient survey will be presented,
highlighting the support and information received by 27 patients following a
stoma reversal operation. Associated literature (Camilleri-Brennan and Steele,
2000; Sailer at al, 2000; Desnoo and Faithfull, 2006; Siassi et al, 2008; Chow et
al, 2009) has confirmed a high likelihood of altered bowel function after stoma
reversal, but makes less reference to the care that these patients require during
the follow-up period. It is recommended that colorectal teams discuss the care
implications for patients requiring stoma reversal, and appraise their care
delivery to ensure patient needs are met.
PMID- 22096805
TI - Second primary peristomal carcinoma: a case study.
AB - Literature has highlighted that peristomal skin problems are common among
ostomists (Williams et al, 2010); however, peristoma metastases are considered to
be very rare. Peristomal metastases tend to manifest as cutaneous or subcutaneous
nodules, or as a rash on the anterior abdominal wall (Lyon and Smith, 2001), and
are therefore easily mistaken for a more common stoma complication. The role of
the specialist nurse in stoma care is crucial to recognizin unusual skin lesions
and referring appropriately for further assessmet and treatment. Highlighting the
need for education and sharing of clinical skills, this article explores the care
of a patient who was diagnosed with a second primary peristomal adenocarinoma.
The patient has consented to the use of this case study, and, to maintain
confidentiality, his name has been changed.
PMID- 22096806
TI - Matching the skin barrier to the skin type.
AB - Peristomal skin problems are thought to be common (Herlufsson et al, 2006;
Williams et al, 2010), and can interfere with the security of stoma products.
Stoma patients are reliant on the integrity of their peristomal skin to maintain
a normal lifestyle. Bekkers et al (1996) highlighted that, if the peristomal skin
becomes damaged, it not only affects the person physically, but also
psychologically, ultimately prolonging rehabilitation and adaptation to the
stoma. Therefore, it can be concluded that maintaining skin integrity is a basic
and essential skill in ensuring good stoma management. This article explores the
assessment of four stoma patients, highlighting the importance of matching their
skin type with their skin barrier for optimum skin protection. The patients have
kindly agreed for their case studies to be published as a means of informing
others. All names have been changed in line with Nursing and Midwifery Council
(2010) guidelines to maintain patient confidentiality. This article was
originally presented at the World Council of Enterostomal Therapists' (WCET)
annual conference in 2010, receiving first prize at poster presentations.
PMID- 22096807
TI - Acknowledge your true value as a specialist nurse.
PMID- 22096808
TI - No clinical relevance of approved animal experiments after seventeen years.
PMID- 22096809
TI - SATIS ethics ranking of universities in Germany regarding animal use in
education.
PMID- 22096810
TI - The composition and morphology of yeast nuclear scaffolds.
AB - The yeast nuclear scaffold has been shown to bind with high affinity to genomic
sequences that permit autonomous DNA replication of plasmids (ARS elements). We
describe here conditions for the isolation of a histone-free nuclear
substructure, the nuclear scaffold, from Saccharomyces cerevisiae. We examine the
protein composition of this structure,and the conditions under which
topoisomerase II, the nuclear factor RAP-1 and RNA polymerase II co-fractionate
with the scaffold. We find that exposure of nuclei to a combined metal and heat
treatment (0.5mM Cu(2) +, 37 degree centigrade prior to detergent extraction is
required for effective stabilization of these proteins with the scaffold.
Electron microscopy of the residual nuclei extracted with non-ionic detergents
shows the absence of a typical peripheral lamina structure.
PMID- 22096811
TI - Glutamine requirement for aerial mycelium growth in Neurospora crassa.
AB - Five amino acids are accumulated during vegetative growth of Neurospora crassa,
particularly.during the prestationary growth phase. Alanine, glutamine,
glutamate, arginine and ornithine.comprised over 80% of the total amino acid pool
in the mycelium. Amino acid pools of different amino acid auxotrophs were
followed during the partial transformation of a mycelial mat into an aerial
mycelium. The mycelial mat under starvation and in direct contact with air
rapidly formed aerial mycelium, which produced thereafter a burst of conidia.
During this process,glutamine and alanine in the mycelial mat were consumed more
rapidly than other amino acids;in the growing aerial mycelium, glutamate and
glutamine were particularly accumulated. Of the amino acids that were initially
accumulated in the mycelial mat, only a high glutamine pool was required for
aerial mycelium growth induced by starvation. This requirement for glutamine
could not be satisfied by a mixture of the amino compounds that are synthesized
via glutamine amidotransferase reactions. It is proposed that glutamine serves as
a nitrogen carrier from the mycelial mat to the growing aerial mycelium.
PMID- 22096812
TI - Glutamine metabolism during aerial mycelium growth of Neurospora crassa.
AB - During vegetative growth, glutamine is accumulated in the mycelium of Neurospora
crassa. This high pool of glutamine seems to be required for aerial mycelium
growth. Enzymes responsible for the synthesis and catabolism of glutamine were
measured before and during the partial transformation of a mycelial mat into
aerial mycelium. In the transforming mycelial mat,considerable activities of the
biosynthetic NADP-glutamate dehydrogenase and glutamine synthetase (predominantly
beta polypeptide) and also some activity of glutamate synthase were observed. In
the aerial mycelium, glutamine synthetase (predominantly beta polypeptide) was
detected, but very low activities of NADP-glutamate dehydrogenase and glutamate
mycelium could derive from glutamine. No glutaminase activity could be detected.
It is suggested that glutamate is formed through the activities of the glutamine
transaminase-omega -amidase pathway and another transaminase. High activities of
glutamine and alanine transaminases were observed in the aerial mycelium. These
results are discussed in terms of the possible role of glutamine as a nitrogen
carrier from the mycelium to the growing aerial hyphae.
PMID- 22096813
TI - NZNO is in good heart and health.
PMID- 22096814
TI - Cultivating empathy--nursing's core.
PMID- 22096815
TI - Ens part of perioperative team too.
PMID- 22096816
TI - Experiencing family-centred care.
PMID- 22096817
TI - Nursing practising certificate restored.
PMID- 22096819
TI - Working to close the gaps in health equity and access.
PMID- 22096818
TI - Recording Canterbury nurses' history.
PMID- 22096820
TI - Supporting nurses to provide primary mental health care.
PMID- 22096821
TI - Treating adolescent depression with cognitive behaviour therapy.
PMID- 22096823
TI - Political neutrality must not be used to stifle debate.
PMID- 22096822
TI - Blood and hypertension: the damage of too much pressure.
PMID- 22096824
TI - Nurses' contribution following an emergency.
PMID- 22096825
TI - Time to rethink health spending.
PMID- 22096826
TI - Giving evidence: a gruelling process.
PMID- 22096827
TI - UK's obesity epidemic 'cannot be fixed with a one-size-fits-all' solution.
PMID- 22096829
TI - Public health White Paper and transfer from NHS trusts to local authorities.
PMID- 22096828
TI - Doubts about policy, the will to fight and union support.
PMID- 22096830
TI - SRE for primary children. Resources for the delivery of sex and relationship
education.
PMID- 22096831
TI - Charities: cuts and crisis.
PMID- 22096832
TI - The TwF project: promoting bilingualism for public health in Wales.
AB - The Twf project was established in Wales in 2001 with the overall aim to increase
the transfer of the Welsh language within the family. The project has two main
strategies, which are to work with midwives and health visitors in order that
they can inform parents of the advantages of raising children bilingually, and to
raise awareness among parents-to-be, parents and the general public of the
advantages of raising children bilingually. The project is funded by the Welsh
government through the Welsh Language Board, and its community workers provide
health visitors, midwives and other partners within their areas with Twf
resources and information about relevant research, and visit antenatal and
postnatal clinics on a regular basis to talk to parents. Where a particular need
is identified, the Twf worker runs groups for new parents and parents-to-be to
help them to speak Welsh to the baby through interesting activities, such as baby
massage, singing and stories.
PMID- 22096833
TI - Childcare support at nursery schools in Japan: current services and future needs.
AB - This study aimed to survey childcare support being undertaken by nursery school
teachers in Japan and to identify the theories and skills necessary for nursery
school teachers to carry out childcare support in the future. In 2007, a postal
questionnaires was sent to 1200 teachers at 850 nursery schools, with responses
from 712 teachers from 456 nursery schools. In terms of knowledge and skills
considered necessary by nursery school teachers in local childcare support
centers, 'knowledge and skills of giving advice' was the most common response,
followed by 'understanding of children's growth and development'. Nursery school
teachers need to receive sufficient education before and after graduation to gain
these skills. It is also clear that there is a need for nursery school teachers
to make use of their expertise by co-ordinating collaboration among professionals
and in the community.
PMID- 22096834
TI - Brutal and negligent? 19th century factory mothers and child care.
AB - This paper aims to highlight some working class women's childcare practices in
northern industrial areas of Britain during the latter half of the 19th century.
It aims to challenge the commonly held belief that 19th century northern working
class factory mothers were irresponsive and neglectful toward their infants,
thereby fuelling the high northern infant mortality rate. It will do this by
showing that factory mothers were responsible and responsive toward their infants
despite being thwarted by the working patterns of industrialisation. It begins by
outlining the arguments made by historians that northern working class women were
neglectful toward their children. Then key areas such as the working patterns of
waged factory mothers will be illustrated to show the agency and determination of
19th century working class women to provide their infants with good care.
Reassessment of these historical childcare practices can provide a springboard by
which today's health professionals can endeavour to maintain accurate and fair
perspectives about the childcare practices of today's women of low socio-economic
status.
PMID- 22096835
TI - Lactational amenorrhoea method contraception: improving knowledge.
AB - Lactational amenorrhoea method (LAM) is a reliable form of contraception for up
to six months following childbirth, provided the mother's periods have not
returned and she is breastfeeding exclusively with sufficient feeds and no dummy
or bottle use. This paper considers how LAM works and how it may be supported in
practice, and provides a local example involving the use of information provision
and training to overcome barriers to its understanding and use. An information
leaflet was produced based on national guidance and with input from local
practitioners and women. Brief training was also used to help ensure that women
receive consistent and up-to-date information from health professionals.
PMID- 22096836
TI - Sport in the park.
PMID- 22096837
TI - Baby Friendly education.
PMID- 22096838
TI - Flu fighter: push to vaccinate more NHS staff in the community.
PMID- 22096839
TI - Theft and embezzlement: 10 steps to prevent it.
PMID- 22096840
TI - Patient reviews on the Internet.
PMID- 22096841
TI - Uplifting one generation to the next.
PMID- 22096842
TI - Workers' compensation overview.
PMID- 22096843
TI - Web browsers.
PMID- 22096845
TI - Di-ag-KNOW-sis.
PMID- 22096844
TI - It must be in the genes! Interview by Ernest Scheerer, Jr.
PMID- 22096846
TI - Its not a crisis.
PMID- 22096848
TI - [The interventions effect-assessment of the workers exposed to N, N
dimethylformamide by percutaneous in a synthetic leather factory].
AB - OBJECTIVE: To assess the effects of interventions on synthetic leather workers
exposed to N, N-dimethylformamide (DMF) by skin. METHODS: Twenty-six workers
exposed to DMF were recruited. The level of DMF in ambient or handwash solution
and N-methylformamide (NMF) in end-shift urine samples were detected before
interventions and after interventions for six months. RESULTS: After
interventions the levels of DMF in ambient reduced 52.7% from (63.27 +/- 52.67)
mg/m3 to (29.95 +/- 23.79) mg/m3. The levels of NMF in urine samples reduced
17.9% from (2.07 +/- 0.32) mg/g Cr to (1.70 +/- 0.29) mg/g Cr (P < 0.01). The
mean level of DMF in handwash solution reduced 53.4% from 0.88 +/- 0.40 mg to
0.41 +/- 0.81 mg. CONCLUSION: This study showed that the multi-intervention
measures (engineering control, personal protection and health promotion) should
be used for the synthetic leather workers occupationally exposed to DMF.
PMID- 22096847
TI - [Association between polymorphisms of metabolic genes and telomere length in
workers exposed to polycyclic aromatic hydrocarbon].
AB - OBJECTIVE: To investigate the association between the polymorphisms of metabolic
genes and telomere length of genomic DNA in peripheral blood of workers exposed
to polycyclic aromatic hydrocarbons (PAHs). METHODS: One hundred and forty five
coke-oven workers exposed to PAHs and sixty eight non-exposed medical staffs were
recruited in this study. Urinary 1-hydroxypyrene (1-OHP) served as the internal
exposure dose of PAHs for all subjects. Relative telomere length (RTL) of genomic
DNA in peripheral blood was used as telomere length and measured by real-time
PCR. Polymorphisms of metabolic genes were detected by PCR-based methods.
RESULTS: Compared with control group, the exposure group shown a decreased RTL
(1.10 +/- 0.75 vs 1.43 +/- 1.06, P < 0.05). In the coke-oven workers, after
adjusting the sex, age, cigarettes per day and urinary 1-OHP, RTL (1.25 +/- 0.93)
of workers with CT genotype at the CYP1A1 3801 T > C was significantly longer
than that (0.93 +/- 0.51) of workers with TT genotype (P < 0.05). RTL (0.90 +/-
0.58) of individuals with the Tyr/His genotype at mEH Tyr113His was significantly
shorter than that (1.24 +/- 0.90) of individuals with the Tyr/Tyr genotype (P <
0.05). RTL (1.02 +/- 0.64) of individuals with the CT genotype at AHR rs10250822
was significantly shorter than that (1.36 +/- 1.14) of individuals with the CC
genotype (P < 0.05). RTL (0.93 +/- 0.54) of individuals with the AT genotype at
AHR rs10247158 was significantly shorter than that (1.19 +/- 0.84) of individuals
with the AA genotype (P < 0.05). CONCLUSION: The results of present study
suggested that PAHs exposure could induce the shorted RTL, CYP1A1, mEH, AHR
polymorphisms might influence the change of telomere length of genomic DNA in
peripheral blood of workers exposed to PAHs.
PMID- 22096849
TI - [The effects of the cadmium chloride on the DNA damage and the expression level
of gadd gene in HepG2 cell line].
AB - OBJECTIVE: To investigate the effects of the cadmium chloride on the DNA damage
and the expression of the gadd153 and gadd45beta promoter and mRNA in HepG2
cells. METHODS: DNA damage induced by cadmium chloride was detected by comet
assay. The plasmids (pGADD153-Luc and pG45-Luc) containing DNA damage and repair
inducible gene 153 and 45 (gadd153 and gadd45beta) promoter and luciferase and
gadd45beta reporter gene were constructed. The activity of gadd153 and gadd45beta
promoter were represented by the luciferase activity, the inducible luciferase
activities was detected by bioluminescence. The expression of gadd153 and
gadd45beta mRNA was detected by RT-PCR. RESULTS: The results of comet assay
indicated that Olive Tail Moment induced by the cadmium chloride increased
significantly at the dose of 100, 300 micromol/L, compared with the control (P <
0.05). The luciferase activity analysis showed that the expression levels of
gadd153 promoter increased significantly in 1, 5, 10 micromol/L treatment group,
compared with the control (P < 0.05). The expression levels of gadd45beta
promoter in 5, 10 micromol/L treatment group were significantly higher than that
in control group (P < 0.05). The expression levels of gadd153 mRNA induced by
cadmium chloride at the doses of 1, 5, 10 micromol/L and the expression levels of
gadd45beta mRNA induced at the doses of 5, 10 micromol/L were significantly
higher than thoae in control group (P < 0.05). CONCLUSION: The cadmium chloride
can induce the DNA damage and increase the expression levels of the gadd153 and
gadd45beta promoters in HepG2 cells.
PMID- 22096850
TI - [Influence of 1, 2-dichloroethane on open field behavior and levels of
neurotransmitters in brain of mice].
AB - OBJECTIVE: To explore the effects of 1,2-dichloroethane (1,2-DCE) on the behavior
and the brain neurotransmitter levels in mice. METHODS: Thirty mice were randomly
divided into four groups, which were control group and groups of low, middle and
high exposure (225, 450 and 900 mg/m3) to 1,2-DCE for 10 days (3.5 h a day) by
inhalation. After the last exposure, the open field test was performed
immediately. After exposure all mice were killed and the brain tissues were taken
up rapidly. The levels of aspartate (Asp), glutamate (Glu) and gamma-aminobutyric
acid (GABA) in the brain were detected by high performance liquid chromatography
(HPLC). RESULTS: Levels of Asp and Glu in all exposure groups increased with
doses. As compared to the control group, levels of Glu in all exposure groups
increased significantly (P < 0.05). Levels of GABA in the low exposure group were
significantly lower than those in control group, but those in the high exposure
group were significantly higher than those in control group. The results of the
open field test showed that effect of low exposure to 1,2-DCE on the behavior was
stimulant, but the high exposure to 1,2-DCE inhibited behavior of exploration,
excitement and sport. CONCLUSIONS: Subacute exposure to 1,2-DCE could result in
the change of amino acid neurotransmitter content and ratio in the brain, thereby
change the behavior of mice appeared, which might be the mechanism of
neurotoxicity caused by 1,2-DCE in part.
PMID- 22096852
TI - [Effects of n-hexane exposure on human serum myelin basic proteins].
AB - OBJECTIVE: To explore the effects of n-hexane on expression of serum myelin
proteins (MBP) in workers occupationally exposed to n-hexane. METHODS: In this
study, 269 workers exposed to n-hexane for more than one year and 104 subjects
not exposed to n-hexane served as the exposure group and the control group,
respectively. The urinary 2,5-hexanedione levels in all subjects were detected.
On the basis of urinary 2,5-hexanedione levels, the exposure group was divided
into the high exposure sub-group and low exposure sub-group. The serum myelin
basic protein (MBP) levels were measured by ELISA kit. RESULTS: The mean
concentration of urinary 2,5-hexanedione in the exposed group was (3.10 +/- 1.35)
mg/L. The concentration of urinary 2,5-hexanedione in the control group was
undetectable. The levels of serum MBP in the high exposure sub-group and low
exposure sub-group were (2.43 +/- 0.24) and (1.62 +/- 0.23) microg/L,
respectively, which were significantly higher than that (0.78 +/- 0.12) microg/L
in the controls (P < 0.01). Pearson correlation analysis showed the positive
correlation between serum MBP levels and urinary 2,5-hexanedione levels (r =
0.781, P < 0.01). CONCLUSION: The results of present study showed that the serum
MBP levels of workers occupationally exposed to n-hexane significantly elevated,
and the serum MBP can serve as the effective biomarker of n-hexane exposure.
PMID- 22096851
TI - [Effects of Xue-Bi-Jing combined with forsythia suspension on the liver gene
expression levels of rats with sepsis model].
AB - OBJECTIVE: To study the effects of "XUE BI JING plus LIANQIAO" injection on gene
expression levels of rats with sepsis model. METHODS: One hundred and twenty rats
were randomly divided into sham operation group, sepsis model group, Te-neng
group and "XUE BI JING plus forsythia suspension" group. The sepsis model of rats
was prepared by "CLP" method. Tai neng group was treated by peritoneal injection
Imipenem/ Cilastatin (0.18 g/kg); "XUE BI JING plus LIANQIAO" group was treated
by peritoneal injection Imipenem/ Cilastatin (0.18 g/kg) plus "xue-bi-jing" (10
ml/kg) and "liang ge san" (18 g/200 g) by intragastric administration 2 times a
day; the sham operation group and model group were treated by peritoneal
injection of normal saline (10 ml/kg). The survival rates at 48h and 72h were
observed for all groups. The gene expression levels of livers in all groups were
detected by BiostarR-40s chip. The NCBI database was used to inquest Gene
function and class. RESULTS: The survival rates at 48h and 72h in "XUE BI JING+
forsythia suspension" group were 83.3% and 76.7% which were significantly higher
than those (30.0% and 16.7%) in sepsis model group and those (60.0% and 33.3%) in
Te-neng group (P < 0.01). Model group/control group have 305 differential
expression genes with 159 up-regulation genes and 146 down-regulation genes. Tai
neng group/model group have 386 differential expression genes with 206 up
regulation genes and 180 down-regulation genes. "XUE BI JING plus forsythia
suspension" group/model group have 342 differential expression genes with 102 up
regulation genes and 240 downregulation genes. The genes with up-regulation in
model group/ control group and with down-regulation in"XUE BI JING plus forsythia
suspension" group/model group were 24. The genes with down-regulation in the
model group/ sham operation group and with up-regulation in "XUE BI JING plus
forsythia suspension"group/model group were 16. CONCLUSION: "XUE BI JING plus
forsythia suspension" can reduce the mortality of rats with sepsis, which could
be due to the expression of relative regulation genes.
PMID- 22096853
TI - [Current situation of occupational health and medicine research capability in
China].
PMID- 22096854
TI - [Analysis of the mental health status of female migrant workers in electronic
industry].
PMID- 22096856
TI - [Changes of brain oxidative stress induced by nano-alumina in ICR mice].
AB - OBJECTIVE: To investigate the brain oxidative stress injury induced by nano
alumina particles in ICR mice. METHODS: Sixty male ICR mice were randomly divided
into 6 groups: control group, solvent control group, 100 mg/kg micro-alumina
particles group, 3 groups exposed to nano-alumina particles at the doses of 50,
100 and 200 mg/kg. The mice were exposed by nasal drip for 30 days. Then levels
of malondialdehyde (MDA), glutathione (GSH), superoxide dismutase (SOD), catalase
(CAT) and glutathione peroxidase (GSH-PX) in brain tissues of mice were detected.
RESULTS: There was no difference of SOD activity in mouse brain between control
group [(17.32 +/- 6.23)U/gHb] and 50 mg/kg nano-alumina particles group [(17.89
+/- 1.82) U/gHb]. The SOD activity [(4.93 +/- 2.30)U/gHb] in 200 mg/kg nano
alumina particles group was significantly lower than that in control group (P <
0.05). The MDA levels in 3 nano-alumina particles groups were (0.76 +/- 0.13),
(1.00 +/- 0.30) and (1.16 +/- 0.39)nmol/ml, respectively, which were
significantly higher than that [( 0.24 +/- 0.09)nmol/ml] in control group (P <
0.05). The GSH levels in 3 nano-alumina particles groups were (0.72 +/- 0.08),
(0.55 +/- 0.19) and (0.61 +/- 0.20)mg/gpro, respectively, which were
significantly lower than that [(1.55 +/- 0.34)mg/gpro]] in control group (P <
0.05). The CAT activity in 50 and 100 mg/kg nano-alumina particles groups were
(10.40 +/- 3.84) and (10.40 +/- 2.00)U/mgpro, respectively, which were
significantly higher than that [(5.79 +/- 0.96) U/mgpro] in control group (P <
0.05). The CAT activity [(3.25 +/- 1.04)U/mgpro] in 200 mg/kg nano-alumina
particles group was significantly lower than that in control group (P < 0.05 ).
CONCLUSION: Nano-alumina particles can induce the oxidative stress damage in
brain tissues of mice.
PMID- 22096855
TI - [Study on alternative methods for the acute oral toxicity in detection of
chemicals].
AB - OBJECTIVE: To evaluate three alternative methods for LD50 test-Fixed Dose
Procedure (FDP), the Acute Toxic Class Method (ATC) and Up and Down Procedure
(UDP). METHODS: Female SD rats (8-12 weeks of age, 160-200 g) were used. Three
alternative methods from OECD were applied to assess 22 chemicals (10 cosmetic
raw materials and 12 raw materials of personal and home care products). The
toxicity ranking for tested chemicals was established according to Globally
Harmonized System (GSH). The results LD50 test were compared for the consistency
and correlation between alternative methods and traditional test. RESULTS: For
cosmetic raw materials, the concordance rate of the three alternative methods was
80% (8/10); for raw material of personal and home care products, the concordance
rates of FDP, ATC and UDP was 91.7% (11/12), 75.0% (9/12) and 83.0% (10/12),
respectively. The number of animals required in three alternative methods was
significantly lower than that in traditional test (P < 0.05), but the time
required in three alternative methods was significantly higher than that in
traditional test (P < 0.05). CONCLUSIONS: High consistency and correlation were
found between each alternative method and LD50 test. FDP may be more potential
when applied to assess acute oral toxicity of cosmetic raw materials.
PMID- 22096857
TI - [Effects of paraquat on the learning and memory ability in developing mice].
AB - OBJECTIVE: To explore the damages of paraquat to the learning and memory ability
of developing mice and explore the possible mechanism involving oxidative stress.
METHODS: Eighty healthy Kunming mice in aged 21 days were divided into 4 groups
randomly: a control group (distilled water) and three paraquat treatment groups.
The doses of paraquat were 0.89, 2.67 and 8mg/kg body weight, respectively.
Paraquat was administered orally in doses of 0.1 ml/10 g body weight,
respectively, once a day and for 28 consecutive days. The Morris water maze test
and the shuttling and avoid dark box test were used to detect the learning and
memory abilities of mice. The levels of MDA and the activities of SOD and GSH-PX
were detected according to the commercial kits manual using a microplate reader.
RESULTS: Morris water maze test showed that the escape latency of mice after
paraquat treatment (57.98 +/- 2.78, 62.35 +/- 3.18, 85.57 +/- 5.10) were
significantly increase compared with the control (21.74 +/- 1.36), respectively
(P < 0.05). There were good dose-response relationship (R = 0.8629, P < 0.05).
The shuttling and avoid dark box test showed that initiative avoidance latency of
mice after paraquat treatment (5.56 +/- 0.29, 6.08 +/- 0.22, 8.32 +/- 0.38) were
significantly increase compared with the control (3.50 +/- 0.13), respectively (P
< 0.05). There were good dose-response relationship (R = 0.9579, P < 0.05). The
levels of MDA in serum of mice in paraquat treatment groups (2.67 and 8 mg/kg)
(24.76 +/- 1.76, 31.10 +/- 4.57) and in hippocampus of mice in each paraquat
treatment groups were significantly increase compared with the control (serum:
16.38 +/- 6.26, hippocampus: 1.93 +/- 0.39) (P < 0.05, respectively). The
activities of SOD in serum and hippocampus of mice in each paraquat treatment
groups were significantly decrease compared with the control (serum: 213.25 +/-
6.78, hippocampus: 197.36 +/- 6.37) (P < 0.05, respectively). The activities of
GSH-PX in serum and hippocampus of mice in each paraquat treatment groups were
significantly decrease compared with the control (serum: 583.47 +/- 11.23,
hippocampus: 412.38 +/- 13.16) (P < 0.05, respectively). CONCLUSION: Paraquat can
induce the oxidative damage in hippocampus, and then influence the learning and
memory abilities of developing mice.
PMID- 22096858
TI - [The assessment of hemoperfusion for the treatment of acute methamidophos
poisoning].
AB - OBJECTIVE: To explore the effect of hemoperfusion(HP) about the patients of
methamidophos poisoning. METHODS: On the basis of comprehensive treatment,15
cases of severe acute methamidophos poisoning patients were treated with HP,
Blood samples were collected at 7 time points, before and 5, 15, 30, 45, 60mins
following the beginning and the end of hemoperfusion. Blood samples were used for
measuring the concentration of methamidophos and perfusion devices were used for
measuring the volume of methamidophos adsorbed by the device after hemoperfusion.
RESULTS: 15 patients live in 12 cases, 3 cases of death. HP (former) blood
Cholinesterase vigor were 662.60 + 632.05, HP (after) blood cholinesterase vigor
were 2577.52 + 920.38 IU/L; The difference of blood Cholinesterase vigor between
the before and after HP was statistically significant (P < 0.01). The patients'
methamidophos concentration of blood when HP treated 45, 60, 120 min were
respectively (851 + 672), (680 + 529), (587 + 520) microg /ml, there were
significantly lower than that the patients' methamidophos concentration of blood
who were before HP (1659 + 1105) microg/ml, a statistically significant
difference (P < 0.01). CONCLUSION: HP can be cut down obviously methamidophos
poisoning patients serum concentrations of toxic, the experimental method
directly prove the clinical application of carbon HP can really adsorption
methamidophos.
PMID- 22096859
TI - [Acute poisoning of the clinical analysis of p-nitroaniline].
PMID- 22096860
TI - [The clinical analysis of pesticide poisoning by injection: a report of 10 case].
PMID- 22096861
TI - [Clinical report of occupational acute dimethylformamide poisoning].
PMID- 22096862
TI - [Development of determination of germanium hydride in the air of workplace by
atomic fluorescence].
AB - OBJECTIVE: An atomic fluorescence (AFS) method was developed to determine
germanium hydride in the air of workplace. METHOD: Germanium hydride in the air
of workplace was collected by charcoal tube, and desorbed by nitric acid followed
filtration with 0.22 microm cellulose filter, the AFS was used to determine
Germanium in the desorbed solution. RESULTS: The linear was good at the range of
0.85-300 microg/L with the correlation coefficient of 0.9993; the LOD and LOQ
were 0.51 microg/L and 0.000 17 mg/m3, respectively. The recovery was ranged from
90% to 106%, the RSD of intra- and inter- precision were 3.3%-5.9% and 3.7%-6.3%.
CONCLUSION: The linear range, sensitivity and precision of the method were all
satisfied for the determination of germanium hydride in the air of workplace.
PMID- 22096863
TI - [Determination of diborane in the air of workplace by ICP-AES].
AB - OBJECTIVE: A sampling method was established to collect diborane in the air of
workplace and an ICP-AES method was developed to determine the Boron in desorbed
solution. METHOD: Diborane in the air of workplace was collected by solid sorbent
tube filled with oxidant impregnated activated carbon. The adsorbed diborane was
desorbed into 3% H2O2 aqueous, and then the desorbed Boron was determined by ICP
AES. RESULTS: The sampling efficiency of this method was 99.6% with the
desorption efficiency of diborane with 5.660 microg and 56.6 microg spiked were
90.9% and 99.5%, respectively. Both the intra-and inter-precision RSD were less
than 8%. The standard curve of this method ranged from 0.1 to 10.0 microg/ml
(Boron), and the LOD and LOQ were 0.011 mg/m3 and 0.035 mg/m3 (15L samples)
respectively. CONCLUSION: The method established was suitable for diborane
sampling and determination in the air of workplace.
PMID- 22096864
TI - [Determination of four acids in the air of workplace by gas chromatography].
AB - OBJECTIVE: Four kinds of acids (acetic acid, propionic acid, acrylic acid and
methylacrylic acid) in the air in a workplace were quantitatively determined by
gas chromatography synchronously. METHODS: Four acids in the air were adsorbed by
silica gel tube sampling and solvent desorption using acetone, then analyzed by
GC with FFAP capillary column. RESULTS: To acetic acid, propionic acid, acrylic
acid and methylacrylic acid, the linear regression equations were respectively y
= -4.3+1.46x (r = 0.999), y = 0.4+2.37x (r = 0.999), y = 10.4+1.73x (r = 0.999)
and y = -2.3+3.21x (r = 0.999). The detection limits were respectively 3.4
microg/mL, 2.1 microg/mL, 2.9 microg/mL and 1.6 microg/mL. The average desorption
efficiencies were respectively 92.2%-92.8%, 94.1%-97.4%, 94.8%-95.4% and 94.1%
98.3%. The relative standard deviations were 1.1%-4.0%, 1.2%-7.8%, 0.9%-4.0% and
1.6%-4.8%. CONCLUSION: The method is suitable to determine four kinds of acids in
the air in a workplace.
PMID- 22096865
TI - [Capillary gas chromatography internal standard method for the determination of
urinary 2,5-hexadione].
PMID- 22096866
TI - [6486 cases of medicine poisoning].
PMID- 22096867
TI - [Clinical significance on MicroScan Rapid plus series using various antibiotic
resistant bacteria].
AB - MicroScan Rapid plus Neg II Series and MicroScan Rapid plus Pos Series by Siemens
Healthcare Diagnostics K.K. are the panels which enable to measure identification
and antimicrobial susceptibility testing quickly and we have confirmed that it is
useful for detecting drug resistance bacteria. As the identification result of
comparing Rapid plus series with the current panel by using 143 strains of
various drug resistance bacteria, Gram positive cocci was 87. 7%, glucose
fermenter was 100% and glucose non-fermenter was 77.3 in Gram negative bacilli.
On the evaluation of antimicrobial susceptibility testing, Rapid plus series, in
comparison with the current panel, confirmed the lower tendency of MIC value on
some drugs, but it basically presented the good concordance rate. In terms of the
reporting time of antimicrobial agent, non-fermenter or MRCNS reported the result
as needed after 8 hours and it took a little longer time for the report of
antimicrobial agent. On the other hand, 80% or higher of antimicrobial agent on
panel was reported for intestinal bacteria in 4.5 hours and for MRSA in 6.5
hours. It enabled to report the testing result on the same day. Due to the
results above, Rapid plus series was highly valued on the usability, such as the
early detection of drug resistance bacteria and the selection of therapeutic
agents.
PMID- 22096868
TI - [Evaluation of measurement method of a bacterial sepsis marker, procalcitonin].
AB - Procalcitonin (PCT) is a novel biomarker for diagnosis and severity evaluation of
bacterial sepsis. PCT measurement methods provided by Wako Pure Chemical
Industries, Ltd. include a fully automated chemiluminescent immunoassay system
SphereLight Wako and fully automated immunoanalyzer microTASWako i30 for a
quantitative measurement, and immunochromatographic assay method, B R A H M S PCT
Q kit. This time, basic performance of SphereLight Wako and microTASWako i30 was
evaluated as quantitative determination methods for PCT. The lower limit of
detection for the both methods was 0.02 ng/ml. Correlation coefficients of 0.993
to 0.997 indicated good correlation between the two methods. The both methods
allow quick and easy measurement of PCT, therefore they are helpful for diagnosis
and severity evaluation of bacterial sepsis.
PMID- 22096869
TI - [Pediatric heart surgery in developing countries. Twenty years experience of La
Chaine de L'espoir (Chain of Hope)].
AB - Because of their high incidence, cardiac disorders in children are now a public
health issue. These children require multidisciplinary management, but surgery is
sometimes unavoidable. The two most frequent types of cardiac disorder in
children are congenital defects and rheumatic valve disease. La Chaine de
l'Espoir (Chain of Hope) is present in more than 30 countries in Africa, Asia and
the Middle East. Due to the socio-economic context and lack of technical
resources, pediatric heart surgery has several particular characteristics in
developing countries. Infants rarely undergo cardiac surgery, given the
complexity of their management and particularly the need for intensive care.
Another specificity is the need to limit treatment to reparatory or conservative
methods. Surgery is rarely attempted in terminally ill patients. Costs must be
kept to a minimum, and this is why we have optedfor local manufacture of mitral
rings, led by Daniel Roux. After 20 years of practice we have found that regional
hospitals are the smallest structures that can offer acceptable results in terms
of patient care and professional training
PMID- 22096870
TI - [Preventing complications of cardiac malformations in poor countries].
AB - Natural selection and therapeutic efficiency limit the type of cardiac
malformations that/can be treated in poor countries. Most of the patients studied
here are those with left-to-right shunts (arterial, atrial and, especially,
ventricular), right-to-left shunts associated with a ventricular septal defect
(tetralogy of Fallot) or an atrial septal defect (trilogy of Fallot), and
stenosis of the pulmonary or aortic valves. Early diagnosis is crucial, but this
will require a new health policy involving specially trained nurses or
technicians equipped with cheap portable echo-Doppler machines to examine babies'
hearts. We then analyze the follow-up and medical treatment of these common
cardiac disorders and the need for "national" centers for open- and closed-heart
surgery and catheterization. We feel we have achieved our training goal when a
center is able to close a VSD in a 6-month-old baby with a low rate of morbidity
and mortality.
PMID- 22096871
TI - [Acquired childhood heart diseases in developing countries].
AB - In developing countries rheumatic valve disease is the most frequently acquired
cardiac disorder observed during childhood. Any valve may be affected but the
mitral valve is the predominant site. Echocardiography has a key role in the
diagnosis and treatment of these disorders. Severe rheumatic valve disease
carries a high risk of morbidity and mortality. Available surgical treatments
include prosthetic valve replacement and conservative surgery, which is
preferable when feasible. Percutaneous mitral commissurotomy is currently the
treatment of choice for mitral stenosis. Preventive strategies are needed to
eliminate rheumatic fever and the valve disorders it can cause.
PMID- 22096872
TI - [Kidney transplantation-dedication to Rene Kuss and Jean Hamburger].
PMID- 22096873
TI - [History of medical progress in renal transplantation: a review of 3,000
consecutive kidney grafts at Bicetre-Kremlin, Bicetre University Hospital -
France].
AB - Major medical progress has been made in the field of renal transplantation over
the last 40 years, thanks to advances in areas such as metabolism, immunology,
therapeutics, and pathology. This progress has been accompanied by important
changes in French legislation that governs organ harvest and transplantation, as
well as the institutions that regulate organ allocation. Patient and graft
survival have both increased markedly, although long-term improvements have been
somewhat offset by complications, including adverse effects of immunosuppression.
On average recipients are older than in the past and some recipients are now
dying from age-related comorbidities despite having functional grafts.
PMID- 22096874
TI - [Surgical view of a series of 3,000 kidney transplantations].
AB - This article reviews a series of 3,000 consecutive kidney transplantations.
Vascular and urinary complications occurred in respectively 7.4 % and 9.8 % of
recipients. Arterial and venous thrombosis and urinary fistulas were the most
serious complications. The frequency of arterial stenosis fell from 18.8 % to 8.9
% when the artery was implanted in the external rather than the internal iliac
artery. The frequency of artery stenosis fell from 15.9 % to 9.5 % when the right
renal vein was extended by using the transected cadaver vena cava. Urinary
fistulas were an early complication, but their incidence fellfrom 6.6 % to 2.8 %
with the use of JJstents. Urinary stenosis remained frequent (5.4 %) despite the
use of JJstents. Surgical and endoscopic treatment of renal artery stenosis gave
very similar success rates (82 %), indicating that dilation of a stenosed artery
is a good option when medical treatment fails. Endourological treatment of
urinary complications was successful on 64 % of fistulas and 46 % of stenoses.
The results of this series and an analysis of the literature show the importance
of improving the organ harvest technique and reducing the cold ischemia time,
which is the main reason for delayed recovery of graft function.
PMID- 22096875
TI - [Meeting the needs of families of patients in intensive care units].
AB - As patients in intensive care units (ICUs) are not always able to decide for
themselves, their family must receive thorough information on the diagnosis,
prognosis and available treatments (unless the patient has expressed his/her
opposition). It is crucial to provide this information before requesting the
family's involvement. Together, the family and ICU physicians can ensure that the
patient receives the most appropriate care. Next-of-kin may either be called on
to make decisions (as surrogates) or simply to offer their considered opinion.
Good communication and information are a source of comfort and empowerment for
the family, especially in end-of-life settings. Finally, we examine areas
warrantingfurther research on the family-physician relationship in ICUs.
PMID- 22096876
TI - [Psychiatric issues during and after intensive care (ICU) stays].
AB - Stays in intensive care units (ICUs) are a source of psychological and physical
stress, sometimes resulting in psychological disorders that may persist after ICU
discharge. ICU stressors include exhaustion, drug-induced sleep privation,
intubation, pain, noise, and a disrupted light-dark cycle. Patients remember
traumatic experiences, such as a fear of being killed or abandoned, nightmares,
and panic attacks. Depression is frequent but difficult to detect. Psychiatric
disorders such as delirium and confusion (hallucinations, agitation, stupor)
occur in almost half of all ICU patients. Simple measures can reduce the risk of
such disorders, including noise reduction, less intense lighting (especially at
night), individual rooms, visible clocks to reduce confusion, frequent family
visits, verbal contact, reassurance, and anxiolytics. Anxiety and depression are
frequent after ICU stays, and may be mixed with post-traumatic stress disorder
(PTSD), which can include fear, feelings of horror, helplessness, avoidance,
neurovegetative symptoms, and intrusive thoughts. New techniques are being tested
to prevent these disorders, such as logbooksfor families and team members to note
events during and after the ICU stay, and end-of-stay psychological OK?
consultations for both the patient and the family.
PMID- 22096877
TI - [Burn out syndrome among critical care workers].
AB - Burnout syndrome (BOS) is a psychological state resulting from prolonged exposure
to job stressors. Because intensive care units (ICUs) are characterized by a high
level of work-related stress, we reviewed the available literature on BOS among
ICU-healthcare workers. Recent studies suggest that severe BOS (measured with the
Maslach Burnout Inventory) is present in about half of all critical care
physicians and one-third of critical care nurses. Interestingly, the determinants
of BOS difer between the two groups of caregivers. Intensivists with severe BOS
tend to be those with a large number of working hours (number of night shifts,
and time since last vacation), whereas severe BOS among ICU nurses is mainly
related to ICU organization and end-of-life care policy. ICU conflicts were
independent predictors of severe BOS in both groups. Recent studies also identify
potential preventive measures, such as ICU working groups, better communication
during end-of-life care, and prevention and management of ICU conflicts.
PMID- 22096879
TI - US death rates from myeloproliferative neoplasms, and implications for cancer
surveillance.
AB - Myeloproliferative neoplasms (MPN) may contribute to death from other causes due
to complications and sequelae of MPN or its treatment. The present study found
that the US death rate for MPN (polycythemia vera, essential
thrombocythemia/thrombocytosis, and "chronic myeloproliferative disease") in 2005
2006 was twice as high using all causes of the death on the death certificate
(6,488 deaths, age-standardized rate 1.06 per 100,000 per year) vs only the
underlying cause (3,303 deaths, age-standardized rate 0.54 per 100,000 per year).
This finding was consistent by age, gender, race (African American/black and
white) and Hispanic ethnicity. Thus, surveillance of trends in MPN-related
mortality rates in the population needs to take into account all causes of death
on the death certificate, because MPN contributes to death from other causes such
as thrombosis. MPN is a major cause of splanchnic vein thrombosis (SVT), and
under-ascertainment of MPN-related deaths was suggested by the small proportion
(3%) of all 848 US deaths in 2005-2006 with SVT coded as any cause of death that
also had MPN coded. Increased clinical testing of peripheral blood for specific
acquired genetic mutations, known since 2005 as being common in certain MPN and
also in SVT, could lead to increased numbers of deaths certified as MPN-related
and also incident cases of MPN reported to central cancer registries.
PMID- 22096878
TI - Quality of cancer registry data: findings from CDC-NPCR's Breast and Prostate
Cancer Data Quality and Patterns of Care Study.
AB - BACKGROUND: The Breast and Prostate Cancer Data Quality and Patterns of Care (POC
BP) Study enabled a reabstraction study of the quality of population-based,
central cancer registry data on the characteristics and initial treatment of
breast cancer in females and prostate cancer in the United States. METHODS:
Stratified random samples of 9,103 female breast cancers and 8,995 prostate
cancers were available for the analysis, using the independently reabstracted
data as the gold standard to compute measurements of agreement. RESULTS: A slight
majority (53% [8/15]) of the cancer site and treatment combinations showed kappa
statistics > or = 0.60 and percent agreements, sensitivities, and predictive
values positive > or = 80%: surgery and radiation for the 2 cancers, radiation
completed and chemotherapy for breast cancer, and radiation modality and hormone
therapy for prostate cancer. The qualities of the Collaborative Stage (CS) site
specific factors and derived variables for the 2 cancers were inconsistent, which
confirmed the need to evaluate the recently-implemented CS algorithm. CONCLUSION:
The data quality analysis from POC-BP underscores the importance of examining the
quality of specific data variables by cancer site, thereby highlighting those
variables for which data collection procedures could be improved.
PMID- 22096880
TI - Analyzing quality of colorectal cancer care through registry statistics: a small
community hospital example.
AB - As the quantity of elderly Americans requiring oncologic care grows, and as
cancer treatment and medicine become more advanced, assessing quality of cancer
care becomes a necessary and advantageous practice for any facility.' Such
analysis is especially practical in small community hospitals, which may not have
the resources of their larger academic counterparts to ensure that the care being
provided is current and competitive in terms of both technique and outcome. This
study is a comparison of the colorectal cancer care at one such center, Falmouth
Community Hospital (FCH)--located in Falmouth, Massachusetts, about an hour and a
half away from the nearest metropolitan center--to the care provided at a major
nearby Boston Tertiary Center (BTC) and at teaching and research facilities
across New England and the United States. The metrics used to measure performance
encompass both outcome (survival rate data) as well as technique, including
quality of surgery (number of lymph nodes removed) and the administration of
adjuvant treatments, chemotherapy, and radiation therapy, as per national
guidelines. All data for comparison between FCH and BTC were culled from those
hospitals' tumor registries. Data for the comparison between FCH and national
tertiary/referral centers were taken from the American College of Surgeons'
Commission on Cancer, namely National Cancer Data Base (NCDB) statistics,
Hospital Benchmark Reports and Practice Profile Reports. The results showed that,
while patients at FCH were diagnosed at both a higher age and at a more advanced
stage of colorectal cancer than their BTC counterparts, FCH stands up favorably
to BTC and other large centers in terms of the metrics referenced above. Quality
assessment such as the analysis conducted here can be used at other community
facilities to spotlight, and ultimately eliminate, deficiencies in cancer
programs.
PMID- 22096881
TI - Textbook development at the National Cancer Registrars Association (NCRA).
PMID- 22096882
TI - The national transition from ICD-9 to ICD-10.
PMID- 22096883
TI - Interactive learning tool: site-specific schema crossword puzzles.
AB - Staying abreast of the TNM and Collaborative Staging updates can be overwhelming.
Reading voluminous amounts of study material may be the last task on a to-do list
for the busy cancer registrar. Crossword puzzles can provide an alternative,
interesting learning tool to support continuing education. Researching puzzle
clue answers serves as an interactive approach. Puzzles included in this article
are considered "informal" as their layout is not symmetrical, but the learning
value is not adversely affected. Try them out and see what you know, or don't
know. It can be fun!
PMID- 22096884
TI - Raising the bar: sprints or marathons?
PMID- 22096885
TI - [Advances in the studies of viral hepatitis and future prospectives].
PMID- 22096886
TI - [The strategy for hepatitis control in Japan].
PMID- 22096887
TI - [Hepatitis C virus infection in japan--epidemiology].
PMID- 22096888
TI - [Natural course of hepatitis C].
PMID- 22096889
TI - [Progress and perspective in HCV research].
PMID- 22096890
TI - [The origin and evolution of hepatitis C virus].
PMID- 22096891
TI - [Gene organization of hepatitis C virus and its function].
PMID- 22096892
TI - [Entry mechanisms of HCV].
PMID- 22096893
TI - [Mechanism of hepatitis C virus (HCV) RNA replication and HCV genetic
variability].
PMID- 22096894
TI - [Critical roles of lipids in the HCV life cycle].
PMID- 22096895
TI - [Mechanism of HCV-associated hepatocarcinogenesis].
PMID- 22096896
TI - [Hepatitis C virus culture and its application].
PMID- 22096897
TI - [HCV replication animal model].
PMID- 22096898
TI - [Genome-wide association study and clinical application].
PMID- 22096899
TI - [Immunopathogenesis of HCV infection].
PMID- 22096900
TI - [Mechanism of HCV persistence].
PMID- 22096901
TI - [The pathogenesis of hepatitis C virus induced by viral proteins].
PMID- 22096902
TI - [Functions of host factors regarding HCV pathogenicity].
PMID- 22096903
TI - [Clinical significance for serological markers of hepatitis virus C].
PMID- 22096904
TI - [Present status of transfusion-associated hepatitis C virus infection and
efficiency after implementation of nucleic acid amplification testing].
PMID- 22096905
TI - [Mother-to-infant transmission and intrafamilial transmission of hepatitis C
virus].
PMID- 22096906
TI - [In-hospital HCV infection and the countermeasures].
PMID- 22096907
TI - [Hepatitis C virus infection and insulin resistance].
PMID- 22096908
TI - [HCV infection and lipid abnormalities].
PMID- 22096909
TI - [HCV infection and iron dysmetabolism].
PMID- 22096910
TI - [HCV and oxidative stress].
PMID- 22096911
TI - [Treatment for chronic hepatitis with normal serum ALT].
PMID- 22096912
TI - [Epidemiology, diagnosis and treatment for acute hepatitis C in Japan].
PMID- 22096913
TI - [Pathology of chronic viral hepatitis C].
PMID- 22096914
TI - [Development of anti viral therapy for chronic hepatitis type C].
PMID- 22096915
TI - [Treatment strategy for chronic hepatitis C in Japan].
PMID- 22096916
TI - [Indication of monotherapy with pegylated interferon or interferon for patient
with HCV in Japan].
PMID- 22096917
TI - [Combination therapy of PEG-interferon and ribavirin for chronic hepatitis C in
Japan].
PMID- 22096918
TI - [Improved virologic response in chronic hepatitis C treated with peginterferon,
ribavirin and add-on drug].
PMID- 22096919
TI - [Splenectomy before antiviral therapy for patients with chronic hepatitis C with
thrombocytopenia].
PMID- 22096920
TI - [Virus removal and eradication by double filtration plasmapheresis (DFPP)].
PMID- 22096921
TI - [Re-treatment for patients with chronic hepatitis C who previously failed to
peginterferon/ribavirin therapy].
PMID- 22096922
TI - [Side effects of interferon-based therapy for chronic hepatitis C virus infection
and clinical management of them].
PMID- 22096923
TI - [Treatment of elderly patients with chronic hepatitis C].
PMID- 22096924
TI - [Relation of IL28B gene polymorphism to chronic hepatitis C].
PMID- 22096925
TI - [Response to interferon therapy using mutations in the HCV-NS5A].
PMID- 22096926
TI - [Core amino acid substitutions of hepatitis C virus].
PMID- 22096927
TI - [Prediction of response to peginterferon and ribavirin therapy for chronic
hepatitis C analyzed by data mining method].
PMID- 22096928
TI - [Alternative therapies for hepatitis C: UDCA and SNMC].
PMID- 22096929
TI - [Iron reduction therapy by phlebotomy for chronic hepatitis C].
PMID- 22096930
TI - [Efficacy of the NS3-4A protease inhibitor telaprevir in patients with chronic
hepatitis C].
PMID- 22096931
TI - [New antivirals against hepatitis C virus(protease inhibitors, polymerase
inhibitors, and host factor targeting antivirals)].
PMID- 22096932
TI - [Present management on HCV infected hemodialyzed patients].
PMID- 22096933
TI - [Coinfection of HIV with HCV].
PMID- 22096934
TI - [Management of hepatitis C recurrence after liver transplantation].
PMID- 22096935
TI - [Extrahepatic manifestation associated with infection of hepatitis C virus and
its management].
PMID- 22096936
TI - [Development of hepatocellular carcinoma from chronic hepatitis C].
PMID- 22096937
TI - [Antiviral treatment reduces risk of hepatocellular carcinoma in patients with
hepatitis C virus-related chronic hepatitis and cirrhosis].
PMID- 22096938
TI - [Effects of interferon treatment on recurrence and survival after curative
treatment of hepatitis C virus-related hepatocellular carcinoma].
PMID- 22096939
TI - [Hepatitis B virus infection in Japan--epidemiology].
PMID- 22096940
TI - [Natural history of hepatitis B virus infection].
PMID- 22096941
TI - [Progress and perspective in HBV research].
PMID- 22096942
TI - [Structure and replication mechanisms of hepatitis B virus].
PMID- 22096943
TI - [Hepatitis B virus genotype and the mutation related to clinical outcome].
PMID- 22096944
TI - [Hepatocarcinogenesis by HBV].
PMID- 22096945
TI - [Hepatitis B virus (HBV) replication in animal models and their applications].
PMID- 22096946
TI - [Mechanisms of the immune response against HBV infection].
PMID- 22096947
TI - [The mechanisms of establishment of chronic infection of HBV].
PMID- 22096948
TI - [The mechanisms and clinical significance of seroconversion of HBsAg to anti
HBs].
PMID- 22096949
TI - [Markers of hepatitis B virus and clinical significances].
PMID- 22096950
TI - [Prevention of mother-to-child and intrafamilial transmission in hepatitis B
virus infection].
PMID- 22096951
TI - [The present situation of hepatitis B virus infection as a sexual transmitted
disease in Japan].
PMID- 22096952
TI - [Protection from HBV infection in medical institution].
PMID- 22096953
TI - [Indications and efficacy of hepatitis B vaccines (HB vaccines) and hepatitis B
immune globulin (HBIG): current situations and problems of hepatitis B virus
(HBV) infection-preventing strategies in Japan].
PMID- 22096954
TI - [Mechanisms of liver injury and viral regulation in hepatitis B].
PMID- 22096955
TI - [Clinical implication of hepatitis B virus genotype].
PMID- 22096956
TI - [HBeAg and HBV DNA in chronic hepatitis B].
PMID- 22096957
TI - [The clinical features of HBV asymptomatic carrier, and management].
PMID- 22096958
TI - [Epidemiology, diagnosis and treatment for acute hepatitis B].
PMID- 22096959
TI - [Demographic and clinical features, diagnosis and treatment of fulminant
hepatitis induced by HBV infection in Japan].
PMID- 22096960
TI - [Current status of chronic hepatitis B].
PMID- 22096961
TI - [Pathology of chronic hepatitis B].
PMID- 22096962
TI - [The factors associated with pathology, treatment and prognosis of chronic
hepatitis B].
PMID- 22096963
TI - [The past, present and future treatment for chronic hepatitis B].
PMID- 22096964
TI - [Treatment strategy for chronic hepatitis B in Japan].
PMID- 22096966
TI - [Entecavir].
PMID- 22096965
TI - [Interferon therapy for chronic hepatitis B].
PMID- 22096967
TI - [Lamivudine, adefovir dipivoxil].
PMID- 22096968
TI - [Sequential therapy for chronic hepatitis B].
PMID- 22096969
TI - [Immunotherapy for chronic hepatitis due to HBV].
PMID- 22096970
TI - [Treatment of difficult-to-treat chronic hepatitis B].
PMID- 22096971
TI - [New drugs of treatment of patients with chronic hepatitis B].
PMID- 22096972
TI - [Occult HBV infection].
PMID- 22096973
TI - [Prevention and management of hepatitis B virus reactivation during anticancer or
immunosuppressive therapy].
PMID- 22096974
TI - [Prophylaxis of hepatitis B viral re-infection after liver transplantation].
PMID- 22096975
TI - [Management of HIV and HBV co-infection].
PMID- 22096976
TI - [Hepatitis B virus associated chronic liver diseases and carcinogenesis].
PMID- 22096977
TI - [Prevention for the development of hepatitis B virus-related hepatocellular
carcinoma by anti-viral treatment].
PMID- 22096978
TI - [Efficacy of adjuvant treatment after curative treatment in patients with HBV
related hepatocellular carcinoma].
PMID- 22096979
TI - [Epidemiology and recent feature of hepatitis A in Japan].
PMID- 22096980
TI - [Virological and molecular characteristics of hepatitis A virus].
PMID- 22096981
TI - [Recent clinical characteristics of hepatitis A].
PMID- 22096982
TI - [Epidemiology and recent trend of hepatitis E in Japan].
PMID- 22096983
TI - [Virological characteristics of hepatitis E virus].
PMID- 22096984
TI - [Hepatitis E, diagnosis and treatment].
PMID- 22096985
TI - [Hepatitis D--overview].
PMID- 22096986
TI - [Molecular mechanisms regulating the activity of macrophages].
AB - This article reviews modern concepts of the most common types of macrophage
activation: classical, alternative, and type II. Molecular mechanisms of
induction and regulation of these three types of activation are discussed. Any
population of macrophages was shown to change its properties depending on its
microenvironment and concrete biological situation (the "functional plasticity of
macrophages"). Many intermediate states of macrophages were described along with
the most pronounced and well-known activation types (classical activation,
alternative activation, and type II activation). These intermediate states are
characterized by a variety of combinations of their biological properties,
including elements of the three afore mentioned types of activation. Macrophage
activity is regulated by a complex network of interrelated cascade mechanisms.
PMID- 22096987
TI - [Immunobiological properties of Yersinia pestis antigens].
AB - The present review contains information concerning immunobiological properties of
plague microbe antigens. All of the identified antigens are evaluated in relation
to pathogenicity of Yersinia pestis namely a resistance to phagocytosis,
toxicity, adhesiveness etc. as well as persistence ability and adaptation to
variable environment. In addition, the role of antigens in immunogenicity of
living plague microbe for experimental animals is considered. The data concerning
mechanisms of antigenic contribution to the development of adaptive immunity are
presented.
PMID- 22096988
TI - [Synthesis of biosynthetic precursors of red fluorescent proteins' chromophores].
AB - A method for the synthesis of 5-arylidene-3,5-dihydro-4H-imidazol-4-ones, the
corresponding chromophore of green fluorescent protein (GFP) with acylaminoalkyl
substituents at position 2 of imidazole core have been developed. These
structures represent biosynthetic precursors of the chromophores of red
fluorescent proteins. The method is based on masking of the dehydrotyrosine
fragment with beta-hydroxytyrosine moiety The key stages of the synthesis include
peptide coupling of beta-hydroxytyrosine with the N-acetylamino acid of choice,
unmasking of dehydrotyrosine by O-acylation with subsequent elimination, and
cyclization of the obtained derivatives of 3-acylaminocinnamic acid in basic
media.
PMID- 22096989
TI - [Proteolysis of semax analogues with different N-terminal amino acids by
aminopeptidases].
AB - Proteolysis of semax (Met-Glu-His-Phe-Pro-Gly-Pro, Sem) and its analogues
([Ala1]Sem, [Gly1]Sem, [Thr1]Sem, [Trp1]Sem) that are differ from semax in
substitution of N-terminal Met residue were studied. It is shown that such
replacement changes the rate of peptides degradation by N-aminopeptidases (EC
3.4.11.2, Sigma, Type VI, 9.2 units. Akt. / mg). [Ala1]Sem, [Gly1]Sem and
[Thr1]Sem semax analogues proved to be more stable to proteolysis than semax
(Sem), and their initial product of proteolysis is His-Phe-Pro-Gly-Pro (Sem-5).
For triptophan analogue both Glu-His-Phe-Pro-Gly-Pro (Sem-6) and Sem-5 product
are formed in similar quantities. It is found that all investigated analogues can
be used as inhibitors in Sem proteolysis.
PMID- 22096990
TI - Antimicrobial activity of marine sponge Clathria indica (Dendy, 1889).
AB - Sponges are sessile filter feeders that have developed efficient defense
mechanisms against foreign invaders such as viruses, bacteria or eukaryotic
organisms. Antimicrobial peptides are known as major components of the innate
immune defense system in marine invertebrates. The aim of the present work was to
study the antimicrobial properties of the Indian sponge Clathria indica with
special reference to the identification of antimicrobial peptides. Crude
methanolic extract and its chloroform, n-butanol and aqueous fractions were
tested against 16 human pathogens which include eleven bacteria with four of them
being multidrug resistant and five pathogenic fungi. All fractions showed
effective antibacterial activity against common and multidrug-resistant
Salmonella typhi and antifungal activity against C. albicans and C. neoformans.
However, they were ineffective against Escherichia coli, Pseudomonas aeruginosa,
Streptococcus pyogenes and Staphylococcus aureus. Chloroform fraction being the
most potent among the fractions tested on chemical investigation was indicative
of the presence of peptides as evidenced by ninhydrin positive spots on TLC and
presence of peptide bonds by NMR. Its ESI-MS showed presence of several peptides
in the range of m/z 850 to 980. Structure of three peptides has been tentatively
assigned by ESI-MS/MS or tandem mass analysis, on the basis of the amino acid
sequence established. The results clearly show that the sponge C. indica
represent an interesting source of marine invertebrates-derived antimicrobial
peptides in the development of new strategies to treat various infectious
diseases.
PMID- 22096991
TI - [Cloning, expression, isolation and properties of thymidine kinase herpes simplex
virus, strain L2].
AB - A thymidine kinase UL23 gene (EC 2.7.1.145) from an acyclovir-sensitive strain L2
of herpes simplex virus type 1 was cloned and expressed in E. coli. Enzyme was
purified by chromatography to a homogeneous state controlled by PAG
electrophoresis. The Michaelis constants for the reactions with thymidine and an
acyclovir were determined. It was found that enzyme phosphorilate some modified
nucleosides such as d2T, d4T, d2C, 3TC, FLT, BVDU, GCV. A comparison of the
purified enzyme properties and properties ofthymidine kinase of other strains of
herpes simplex virus, previously published was carried out. It is shown that
enzyme is inhibited by acyclovir H-phosphonate.
PMID- 22096992
TI - [A new method of producing biologically active nanocomplexes by non-covalent
conjugation of proteins with viral particles].
AB - Currently, a range of biologically active molecules have been attached to plant
and bacterial viras nanoscaffolds, yielding stable nanoparticles that display
multiple copies of the desired molecule. In this paper we propose a new method of
non-covalent attachment of peptides to the surface of virios. We have
demonstrated that this method is efficient in a model system that includes
tobacco mosaic virus particles, synthetic polycation (quaternized poly(4
vinylpyridine) carrying ethyl ethyl pendant radicals) and polypeptide of
interest. This principle of step-by-step binding to the surface of virions was
used for electrostatic association with hydrophilic fragment of influenza virus
haemagglutinin.
PMID- 22096993
TI - [Changing the protective properties of the receptor domain of protective antigen
anthrax exotoxin, depending on the orientation of the presentation on
nanoparticles].
AB - Polysterene particles bearing on its surface recombinant protein receptor domain
of protective antigen anthrax exotoxin, exposed in different orientations have
been constructed. Particles with exposed COOH region of receptor domain induced
the highest protective immunity in mice anthrax model (95%). We revealed that
immunization with these particles causes a specific induction of Th1-response,
characterized by increased levels ofcytokine TNF-alpha and IL-2.
PMID- 22096994
TI - [Myeloperoxidase-induced biodegradation of single-walled carbon nanotubes is
mediated by hypochlorite].
AB - Broad prospects for the use of single-walled carbon nanotubes (SWNTs) in medicine
and biotechnology raise the concerns about both their toxicity, and the
mechanisms of biodegradation and excretion from the body. SWNTs biodegradation as
a result of catalytic activity of myeloperoxidase (MPO) was shown in the isolated
MPO system as well as in the suspension of neutrophils [Kagan V.E., et al.,
2010]. In the present study we analyzed the ability of different MPO-produced
oxidants to participate in the modification and degradation of SWNTs. The
comparison of the ability of various peroxidases to degrade SWNTs in vitro
revealed that myeloperoxidase, due to its ability to produce hypochlorite, and
lactoperoxidase, due to its ability to produce hypobromite, are extremely
efficient in the degradation of carbon nanotubes. The biodegradation of SWNTs in
the model system can also be caused by free radicals generated as a result of
heme degradation and, to a lesser extent, by active oxoferryl intermediates of
peroxidases. Our experiments showed that in the presence of blood plasma,
peroxidase intermediates or free radical products of heme degradation were unable
to initiate biodegradation of carbon nanotubes, only the generation of
hypochlorite by MPO can cause the biodegradation of carbon nanotubes in vivo.
Titration of SWNTs suspension containing plasma with hypochlorite at high
concentrations resulted in the decrease in the optical absorbance of the
suspension indicating the degradation of nanotubes. Our results clearly indicate
that hypochlorite can serve as a main oxidizing agent which is able to modify and
degrade nanotubes in the sites of inflammation and in the phagosomes.
PMID- 22096995
TI - [Application of the duplex-specific nuclease for fast analysis of single
nucleotide polymorphisms and detection of target DNA in complex PCR products].
AB - We have developed a simple method for fast analysis of single nucleotide
polymorphisms and identification of target clones from cloned complex PCR
products. The method utilizes Kamchatka crab duplex-specific nuclease and
universal fluorescent probe and is alternative to laborious screening procedures
using radioactive probes, restriction analysis followed by gel electrophoresis or
expensive sequencing. The method efficacy was demonstrated in several model
experiments.
PMID- 22096996
TI - [DNA sequence-specific ligands: XIV. Synthesis of fluorescent biologicaly active
dimeric bisbenzimidazoles-DB(3, 4, 5, 7, 11)].
AB - Five fluorescent symmetric dimeric bisbenzimidazoles DB(n) have been synthesized
containing four 2,6-substited benzimidazole fragments and differ in length of
oligomethylene linker (n=3, 4, 5, 7, 11) between the two bisbenzimidazole blocks.
The ability of these dimeric bisbenzimidazoles to form complexes with double
stranded DNA (dsDNA) was shown by spectral methods. Upon binding to dsDNA DB(n)
are localized in the minor groove. The DNA-methyltransferase Dnmt3a inhibition
data are demonstrate the site-specific binding of dimeric bisbenzimidazoles DB(3)
and DB(11) with oligonucleotide duplex.
PMID- 22096997
TI - [Synthesis and antituberculosis activity of the derivatives of glycoside
steviolbioside from the plant Stevia rebaudiana and diterpenoid isosteviol
containing hydrazone, hydrazide and pyridinoyl moieties].
AB - Conjugates of antitubercular drug Isoniazid (hydrazide of isonicotinic acid),
nicotinic and alpha-picolinic acid hydrazides and glycoside steviolbioside from
the plant Stevia rebaudiana as well as the product of its acid hydrolysis,
diterpenoid isosteviol, were synthesized. Besides, isosteviol hydrazide and
hydrazone derivatives as well as conjugates containing two isosteviol moieties
connected by dihydrazide linker were also obtained. Both initial compounds and
their synthetic derivatives inhibit the growth of Mycobacterium tuberculosis
(H37Rv in vitro). The minimum concentration at which the growth of M.
tuberculosis was inhibited by 100% (MIC) for stevioside and steviolbioside equals
7.5 and 3.8 microg/mL, respectively. MIC values for conjugates of the hydrazides
of pyridine carbonic acids and steviolbioside as well as isosteviol are in the
ranges 5-10 and 10-20 microg/mL, respectively. Maximum inhibitory effect against
M. tuberculosis showed the conjugates of isosteviol and adipic acid dihydrazide
(MIC values ranged from 1.7 to 3.1 microg/mL). Antitubercular activity of the
compounds studied is higher than the activity of antitubercular drug Pyrizanamide
(MIC = 12.5-20 microg/mL) but lower than the activity of antitubercular drug
Isoniazid (MIC = 0.02-0.04 microg/mL).
PMID- 22096998
TI - [Synthesis of cationic ether glycerolipids with heterocyclic nitrogen containing
bases as polar domains].
AB - Synthesis of new antitumor ether glycerolipids with various heterocyclic nitrogen
containing bases as polar domains is described. We propose synthetic scheme for
cationic lipids containing aliphatic short-chain substituents in the heterocyclic
polar head.
PMID- 22096999
TI - [Two new asterosaponins from the antarctic starfish Diplasterias brucei.
Structures and cytotoxic activities].
AB - Two new asterosaponins, diplasteriosides A and B, with the same oligosaccharide
chains beta-D-Fucp-(1-->2)-beta-D-Galp-(1-->4)-[beta-D-Quip-(1-->2)]-beta-D-Quip
(1-->3)-beta-D-Quip-(1-->, linked to C6 of known genins, 3-O-sulfates of
thornasterols A and B, respectively, were isolated along with the previously
known asteriidoside A from the Antarctic starfish Diplasterias brucei. The
structures of new compounds were elucidated by spectroscopic methods (mainly 2D
NMR and mass spectrometry). The cytotoxicity of isolated asterosaponins against
human colon cancer cell line HCT-116, human breast cancer cell line T-47D, and
human melanoma cancer cell line RPMI-7951 was investigated.
PMID- 22097000
TI - [Synthesis of amino analogues of cholic acid].
AB - Amino analogues of cholic acid were synthesized by reduction of oximes with
combination of titanium(III) chloride and sodium cyanoborohydride.
PMID- 22097001
TI - Characterisation of the recalcitrant organic compounds in leachates formed during
the anaerobic biodegradation of waste.
AB - This study investigates the use of UV absorption and fluorescence spectroscopy to
assess the early development of recalcitrant organic compounds in leachates
formed during the anaerobic biodegradation of municipal solid waste. Biochemical
methane potential tests were carried out on fresh waste (FW) and composted waste
(CW) over a period of 150 days and leachates produced from the degradation of two
wastes were analysed for humic-like (H-L) and fulvic-like (F-L) structures by UV
spectroscopy and fluorescence excitation-emission-matrix analyses. During
anaerobic biodegradation, the synthesis and utilization of H-L and F-L structures
in the leachates over time was indicative of the generation of the recalcitrant
organic compounds. The results obtained from UV absorption and fluorescence
spectroscopy suggested that CW leachates resulted in a higher concentration and
more condensed form of recalcitrant H-L and F-L molecules than FW leachates.
These findings demonstrate how fluorescence and UV absorption spectroscopy can be
used as an indicator for monitoring the evolution of recalcitrant organic
compounds (H-L and F-L substances) in leachates formed at different stages of
waste biodegradation.
PMID- 22097002
TI - The feasibility of trace element supplementation for stable operation of wheat
stillage-fed biogas tank reactors.
AB - The aim of this study was to investigate the effect of trace element
supplementation on operation of wheat stillage-fed biogas tank reactors. The
stillage used was a residue from bio-ethanol production, containing high levels
of sulfate. In biogas production, high sulfate content has been associated with
poor process stability in terms of low methane production and accumulation of
process intermediates. However, the results of the present study show that this
problem can be overcome by trace element supplementations. Four lab-scale wheat
stillage-fed biogas tank reactors were operated for 345 days at a hydraulic
retention time of 20 days (37 degrees C). It was concluded that daily
supplementation with Co (0.5 mg L(-1)), Ni (0.2 mg L(-1)) and Fe (0.5 g L(-1))
were required for maintaining process stability at the organic loading rate of
4.0 g volatile solids L(-1) day(-1).
PMID- 22097003
TI - Evaluation of cattle bedding and grazing BMPs in an agricultural watershed in
Alberta.
AB - This paper highlights the environmental impacts of implementing beneficial
management practices to address cattle bedding and direct access to the creek in
a study watershed in southern Alberta, Canada. Approximately 35 cow-calf pairs
grazed 194 ha of grass forage and had direct access to the creek in the spring
and summer. During winter, the cattle were fed adjacent to the creek at an old
bedding site. The practice changes included off-stream watering, bedding site
relocation and fencing for rotational grazing. The cost was $15,225 and 60 h of
labour. Four years of data were used in a before-and-after experimental design to
evaluate the practice changes. After two years of post-implementation monitoring,
riparian assessments showed an increase in plant diversity, but no change in the
percent cover of the riparian species Salix exigua and Juncus balitus and a
decrease in Carex sp. (P < 0.05). Water quality monitoring showed a decrease in
the difference between upstream and downstream concentrations of total
phosphorus, total dissolved phosphorus, total nitrogen, organic nitrogen and
Escherichia coli (P < 0.10). These results showed that improved environmental
changes in riparian and water quality can be measured following the
implementation of beneficial management practices for cattle bedding and grazing.
PMID- 22097004
TI - Recovering phosphorus as struvite from the digested swine wastewater with bittern
as a magnesium source.
AB - Recovering nitrogen and phosphorus through struvite (MgNH4PO4 6H2O)
crystallization from swine wastewater has gained increasing interest. However,
swine wastewater contains complex compositions, which may hinder the formation of
struvite crystal and affect the purity of the precipitates by forming other
insoluble minerals. In this work, experiments were carried out to evaluate
struvite precipitation in the anaerobically digested swine wastewater, with
dosing bittern as a low-cost magnesium source. Exceeded 90% phosphate removal and
23-29% ammonium reduction were obtained. FTIR, XRD and mass balance analysis were
combined to analyze the species of precipitated minerals. Results showed that the
precipitates were struvite, mixed with amorphous calcium phosphate (ACP) and
brucite. The presence of Ca2+ diminished the percentage of struvite and gave rise
to ACP formation. Controlling pH below 9.5 and bittern dosage above 1% (w/w)
could inhibit ACP precipitation and harvest a highly pure struvite crystal
product.
PMID- 22097005
TI - Effect of xylose and nutrients concentration on ethanol production by a newly
isolated extreme thermophilic bacterium.
AB - An extreme thermophilic ethanol-producing strain was isolated from an ethanol
high-yielding mixed culture, originally isolated from a hydrogen producing
reactor operated at 70 degrees C. Ethanol yields were assessed with increasing
concentrations of xylose, up to 20 g/l. The ability of the strain to grow without
nutrient addition (yeast extract, peptone and vitamins) was also assessed. The
maximum ethanol yield achieved was 1.28 mol ethanol/mol xylose consumed (77% of
the theoretical yield), at 2 g/l of initial xylose concentration. The isolate was
able to grow and produce ethanol as the main fermentation product under most of
the conditions tested, including in media lacking vitamins, peptone and yeast
extract. The results indicate that this new organism is a promising candidate for
the development of a second generation bio-ethanol production process.
PMID- 22097006
TI - A sewer process model as planning and management tool--hydrogen sulfide
simulation at catchment scale.
AB - The collection system of a major city at the Persian Gulf was simulated for bulk
water hydrogen sulfide and the release of sewer gas to the urban atmosphere.
Geometry data on 870 km of sanitary sewer and data on dry weather flow entering
all nodes in the catchment was exported from a Mike Urban database and imported
to the sewer process model WATS. The process model then routed sewage and sewer
gas through the system and simulated relevant physical, chemical and biological
processes. In its non-calibrated state, the model was used as a planning tool to
identify problem areas and to identify locations to install monitoring equipment
and make preliminary choices for control strategies in terms of dosing of nitrate
and iron salts. The monitoring equipment consisted of flow meters, level gauges,
UV-Vis spectroscopes, and H2S gas sensors. Data from the first set of installed
monitoring equipment were applied to calibrate and validate the model. It was
illustrated how the calibrated model can be applied to assess compliance with
quantitative formulated service levels and to design control strategies in terms
of dosing of iron and nitrate salts.
PMID- 22097007
TI - Pulse shear stress for anaerobic membrane bioreactor fouling control.
AB - Increase of shear stress at membrane surfaces is a generally applied strategy to
minimize membrane fouling. It has been reported that a two-phase flow, better
known as slug flow, is an effective way to increase shear stress. Hence, slug
flow was introduced into an anaerobic membrane bioreactor for membrane fouling
control. Anaerobic suspended sludge was cultured in an anaerobic membrane
bioreactor (AMBR) operated with a side stream inside-out tubular membrane unit
applying sustainable flux flow regimes. The averaged particle diameter decreased
from 20 to 5 microm during operation of the AMBR. However, the COD removal
efficiency did not show any significant deterioration, whereas the specific
methanogenic activity (SMA) increased from 0.16 to 0.41 gCOD/g VSS/day.
Nevertheless, the imposed gas slug appeared to be insufficient for adequate
fouling control, resulting in rapidly increasing trans membrane pressures (TMP)
operating at a flux exceeding 16 L/m2/h. Addition of powdered activated carbon
(PAC) enhanced the effect of slug flow on membrane fouling. However, the combined
effect was still considered as not being significant. The tubular membrane was
subsequently equipped with inert inserts for creating a locally increased shear
stress for enhanced fouling control. Results show an increase in the membrane
flux from 16 L/m2/h to 34 L/m2/h after the inserts were mounted in the membrane
tube.
PMID- 22097008
TI - Dewatering sludge originating in water treatment works in reed bed systems.
AB - The dewatering process of the liquid water works sludge was examined in a trial
with a series of six trial beds, each 20 m2. These were monitored from April 2008
to June 2010. It is possible to get the vegetation to grow in ferric sludge
(approximately 300,000 mg Fe/kg dry solid, pH 7,7). It has not been necessary to
use fertilizer. The influence of the loading programs (15-50 kg dry
solid/m2/year) was tested with 1-5 days of loading and 35-55 days of rest. It is
possible to drain and treat ferric sludge. Generally the dewatering profile is a
peak with a maximum over 0.015-0.025 L/s/m2. The times for dewatering of 6-12 m3
are approximately 15 h and over 90% of the load is dewatered in that period. The
dry solid (0.16-0.20%) in the sludge has been concentrated approximately 200
times. The dewatering phase results in ferric sludge with 30-40% dry solid which
cracks up very quickly. The volume reduction is over 99%. The trend shows that
the main volume of reject water has a turbidity level below 5 NTU even in the
loading periods.
PMID- 22097009
TI - Continuous hydrogen and methane production in a two-stage cheese whey
fermentation system.
AB - The feasibility of integrating biological hydrogen and methane production in a
two-stage process using mixed cultures and cheese whey powder (CWP) as substrate
was studied. The effect of operational parameters such as hydraulic retention
time (HRT) and organic loading rate (OLR) on the volumetric hydrogen (VHPR) and
methane (VMPR) production rates was assessed. The highest VHPR was 28 L H2/L/d,
obtained during stable operation in a CSTR at HRT and OLR of 6 h and 142 g
lactose/ L/d, respectively. Moreover, hydrogen (13 L/L/d) was produced even at
HRT as low as 3.5 h and OLR of 163 g lactose/L/d, nonetheless, the reactor
operation was not stable. Regarding methane production in an UASB reactor, the
acidified effluent from the hydrogen-producing bioreactor was efficiently treated
obtaining COD removals above 90% at OLR and HRT of 20 g COD/L/d and 6 h,
respectively. The two-stage process for continuous production of hydrogen and
methane recovered over 70% of the energy present in the substrate. This study
demonstrated that hydrogen production can be efficiently coupled to methane
production in a two-stage system and that CWP is an adequate substrate for energy
production.
PMID- 22097010
TI - Enhancement of the conventional anaerobic digestion of sludge: comparison of four
different strategies.
AB - Anaerobic digestion (AD) is the preferred option to stabilize sludge. However,
the rate limiting step of solids hydrolysis makes it worth modifing the
conventional mesophilic AD in order to increase the performance of the digester.
The main strategies are to introduce a hydrolysis pre-treatment, or to modify the
digestion temperature. Among the different pre-treatment alternatives, the
thermal hydrolysis (TH) at 170 degrees C for 30 min, and the ultrasounds pre
treatment (US) at 30 kJ/kg TS were selected for the research, while for the non
conventional anaerobic digestion, the thermophilic (TAD) and the two-stage
temperature phased AD (TPAD) were considered. Four pilot plants were operated,
with the same configuration and size of anaerobic digester (200 L, continuously
fed). The biogas results show a general increase compared to the conventional
digestion, being the highest production per unit of digester for the process
combining the thermal pre-treatment and AD (1.4 L biogas/L digester day compared
to the value of 0.26 obtained in conventional digesters). The dewaterability of
the digestate became enhanced for processes TH + AD and TPAD when compared with
the conventional digestate, while it became worse for processes US + AD and TAD.
In all the research lines, the viscosity in the digester was smaller compared to
the conventional (which is a key factor for process performance and economics),
and both thermal pre-treatment and thermophilic digestion (TAD and TPAD) assure a
pathogen free digestate.
PMID- 22097011
TI - The impact of cyanobacteria on growth and death of opportunistic pathogenic
bacteria.
AB - Climate change may cause increased microbial growth in water sources and more
knowledge is required on how this may affect the hygienic water quality, i.e.,
whether increased occurrence of cyanobacteria and algae may stimulate the growth
rate of opportunistic pathogenic bacteria. Laboratory experiments were performed
to investigate if the presence of the cyanobacteria Anabanea lemmermannii and
Microcystis aeruginosa affected the survival and growth rate of the opportunistic
pathogenic bacteria Aeromonas hydrophila and Pseudomonas aeruginosa, and the
faecal indicators Escherichia coli and coliforms. Cyanobacteria were cultured in
bottles containing the nutrient-poor medium 02. Sewage, A. hydrophila or P.
aeruginosa was added to cyanobacterial cultures and the bacterial growth and
survival was followed. E. coli and coliforms from sewage died within few days and
the decay rate was not affected by the presence of cyanobacteria. The presence of
Anabaena stimulated the growth rate of P. aeruginosa, but had no effect on the
growth rate of A. hydrophila. Microcystis had no effect on the growth rate of P.
aeruginosa and an inhibiting effect on the growth rate of A. hydrophila.
PMID- 22097012
TI - Application of sequencing batch membrane bioreactors (SB-MBR) for the treatment
of municipal wastewater.
AB - Sequencing batch membrane bioreactors can be a good option in up-grading small
municipal plant and for industrial applications, maintaining some of the
advantages of both original technologies (effluent quality improvement,
flexibility and simplicity of realization, operation and control). In this study,
the effects of volumetric exchange ratio (VER) and aeration/filtration strategy
have been evaluated. Moreover, with the adoption of cycles shorter than 8 h, the
opportunity of further simplification of the membrane operation has been tested
by choosing a continuous filtration mode instead of the usual short cycle of
permeation/relaxation. Two lab-scales MBR equipped with Zenon hollow fiber
modules were fed on real primary effluent. For all tests, hydraulic retention
time of 10 h and sludge retention time of 60 days have been adopted. Different
cycles have been investigated, lasting between 1 and 8 h and all comprising an
anoxic phase to allow for denitrification. Operation at low VER resulted in
better effluent quality with no limitations to the denitrification phase. For VER
>33% a pre-aeration step was required before effluent withdrawal for optimal
ammonium removal. Moreover, VER appeared to have limited negative effect on
sludge concentration and yield, while the membrane cleaning frequency slightly
increased for increasing VER.
PMID- 22097013
TI - Three stages MBR (methanogenic, aerobic biofilm and membrane filtration) for the
treatment of low-strength wastewaters.
AB - The use of a new three stages MBR process with a first methanogenic UASB stage, a
second stage with aerobic biofilm growing on small carrier elements maintained in
suspension and third stage with membrane filtration module is presented. The
objective of the first methanogenic chamber is to diminish COD of the raw
wastewater, producing a biogas rich in methane, and decrease the sludge
production. In the second stage, the remaining soluble biodegradable COD is
oxidized by heterotrophs. In the third stage, the membrane modules could be
operated at higher fluxes than those reported for AnMBR systems, and similar to
those obtained in aerobic MBRs. In this sense, the concept of these three stages
MBR is to join the advantages of the methanogenic and aerobic membrane bioreactor
processes, by reducing energy requirements for aeration, producing biogas with
high methane percentage and a permeate with very low COD content. A synthetic
wastewater was fed to the three stages MBR. COD in the influent was between 200
and 1,200 mg/L, ammonium ranged from 10 to 35 mg/L and phosphorous concentration
was 8 mg/L. OLR in-between 1 and 3 kg COD/(m3 d) and a HRT of 13-21 h were
applied. Temperature was between 17.5 and 23.2 degrees C. During the whole
operating period the COD removal efficiencies were in the range of 90 and 96% of
which in between 40 and 80% was removed in the first methanogenic chamber. Biogas
production with methane content between 75 and 80% was observed. With regard to
membrane operation, average permeabilities around 150 L/(m2 h bar) were achieved,
operating with fluxes of 11-15 L/(m2 h).
PMID- 22097014
TI - Clonal variation in reproductive response to temperature by a potential bulking
control agent, Lecane inermis (Rotifera).
AB - The novel idea of using rotifers Lecane inermis (Rotifera, Monogononta) as a tool
to overcome activated sludge bulking generates an on-going need to study rotifer
biology. The results of biological research on rotifers can serve to improve the
method so that it can be most effective when applied in treatment plants. The aim
of this study was to test the effect of temperature on four selected rotifer
clones originating from different treatment plants. The rate of population
development from a single individual (parthenogenetic female) during a 10-day
experiment was measured at three temperatures: 8, 15 and 20 degrees C. The
temperatures used reflect the annual temperature distribution in the majority of
municipal wastewater treatment plants in the temperate zone. The growth rate
coefficient (r) and doubling time (tD) were calculated for each clone. Under the
most favourable conditions (20 degrees C), rvalues varied between 0.41 and 0.47 d
and doubling time between 1.5 and 1.7 d. At a temperature of 15 degrees C, the
doubling time was approximately two times longer (2.5-3.4 d). The strongest
intraspecific variations were observed at the lowest temperature of 8 degrees C.
At this temperature, one of the clones almost failed to proliferate, and another
exhibited a doubling time of 7.9 d. The doubling times were a few times greater
for the remaining two clones (60 d for Lk1, 33.3 d for Lk4). These results could
be very useful in predicting the chances that the rotifers would survive in a
biological reactor in a wastewater treatment plant at the temperatures used in
these reactors.
PMID- 22097015
TI - Kinetics of heterotrophic biomass and storage mechanism in wetland cores measured
by respirometry.
AB - Although oxygen uptake rate has been widely used in activated sludge for
measuring kinetic and stoichiometric parameters or for wastewater
characterization, its application in constructed wetlands (CWs) cores has been
recently proposed. The aim of this research is to estimate the kinetic and
stoichiometric parameters of the heterotrophic biomass in CW cores. Respirometric
tests were carried out with pure carbonaceous substrate and real wastewater.
Endogenous respiration was about 2 gO2 m(-3) h(-1) (per unit of bed volume),
while the kinetic parameters obtained for COD oxidation were very high (maximum
rate per unit of bed volume of 10.7-26.8 gCOD m(-3) h(-1)) which indicates high
biodegradation potential in fully aerobic environment. Regarding to
stoichiometric parameter, the maximum growth yield, Y(H), was 0.56-0.59
mgCOD/mgCOD, while the storage yield, Y(STO), was 0.75-0.77 mgCOD/mgCOD. The
storage mechanism was observed in CW cores during COD oxidation, which leads to
the transformation of the external soluble substrate in internal storage
products, probably as response to intermittent loads applied in CW systems,
transient concentrations of readily biodegradable substrate and alternance of
feast/famine periods.
PMID- 22097016
TI - A novel technology for on-site cupric oxide recovery from cupric chloride etchant
waste.
AB - For on-site copper recovery in print circuit board factories, we propose a novel
technology to obtain cupric oxide with a low content ratio of chloride from high
chloride concentration waste, such as cupric chloride etchant waste. Our
technology is designed to avoid formation of double salt and accumulation of
cupric hydroxide. In the proposed method, etchant waste mixed with hydrogen
peroxide solution is added to sodium hydroxide solution by stepwise addition. We
performed lab-scale experiments on the influence of reaction pH conditions on the
content ratio of chloride in recovering cupric oxide. The results show that
recycled cupric oxide tends to contain a lower content ratio of chloride under
higher starting temperatures and higher final pH conditions of the reaction. We
also confirmed the optimized conditions; the starting temperature of the sodium
hydroxide solution is higher than 70 degrees C, and the final pH of the reaction
is 11.5 to 12. Based on the optimized temperature and pH conditions, we also
performed a pilot trial to recover cupric oxide from real etchant waste. Then, we
successfully obtained cupric oxide with a content ratio of chloride in 80 mg
Cl/kg-CuO.
PMID- 22097017
TI - Synthesis of polyaspartic acid-aminobenzenesulfonic acid grafted copolymer and
its scale inhibition performance and dispersion capacity.
AB - Polysuccinimide (abridged as PSI) was synthesized by urea and maleic anhydride.
Aminobenzenesulfonic acid (ABSA) was introduced at different mole ratio to PSI to
generate polyaspartic acid (abridged as PASP)/ABSA graft copolymer. The scale
inhibition behavior of resultant PASP/ABSA copolymer was evaluated by using
static scale inhibition method. The transmittance of the supernatant of the
copolymer solution was measured to evaluate its dispersion ability for ferric
oxide. The corrosion inhibition performance of the copolymer for iron plates
immersed in the refined testing water (including 0.555 g of CaCl2 2H2O, 0.493 g
of MgSO4 7H2O, 50 mg PASP/ABSA graft copolymer and 0.168 g of NaCl) was tested.
It was found that PASP/ABSA copolymer was able to efficiently inhibit CaCO3 and
Ca3(PO4)2 scales and had good corrosion inhibition ability as well, and it also
had good dispersion ability for Fe2O3. Besides, the inhibition efficiency of
PASP/ABSA against CaCO3 and Ca3(PO4)2 scales and its dispersion capacity for
Fe2O3 was highly dependent on dosage. The reason may lie in that PASP/ABSA
copolymer simultaneously possesses carboxylic ion and sulfonic group which can
chelate Ca2+ to form stabilized and dissoluble chelates, resulting in increase of
solubility of calcium salts in water. Also it may lie in that the introduction of
acidic hydrophilic sulfonic group with a strong electrolytic capacity into PASP
molecule simultaneously enhances the dispersion of the inhibitor molecules and
hinders the formation of Ca3(PO4)2 scale.
PMID- 22097018
TI - The role of SSVF and SSHF beds in concentrated wastewater treatment, design
recommendation.
AB - The return flows of reject water from sewage sludge dewatering alter the
activated sludge process in a conventional WWTP and increase TN concentration in
the final effluent from WWTP. The objective of the investigation carried out was
to consider the application of multistage treatment wetland (MTW) for the
treatment of reject water from sewage sludge dewatering in a centrifuge (RWC).
This paper aims to present the design and performance of each stage of the
treatment as well as the efficiency of total MTW. The full scale pilot plant for
RWC, consisting of two vertical flow beds (SS VF) working in series, followed by
an horizontal flow bed (SS HF), was built in 2008. The applied configuration
ensured a very high removal efficiency of principal pollutant (COD - 76.0% and
NH4+-N - 93.6%). In the investigated facilities, the SS VF beds ensured an
effective removal of nitrogen compounds, especially NH4+-N, whereas the
decomposition of hardly degradable Org-N and COD took place in SS HF. This
research illustrates that the MTW could be successfully applied for the treatment
of RWC.
PMID- 22097019
TI - Life cycle assessment of an intensive sewage treatment plant in Barcelona (Spain)
with focus on energy aspects.
AB - Life Cycle Assessment was used to evaluate environmental impacts associated to a
full-scale wastewater treatment plant (WWTP) in Barcelona Metropolitan Area, with
a treatment capacity of 2 million population equivalent, focussing on energy
aspects and resources consumption. The wastewater line includes conventional pre
treatment, primary settler, activated sludge with nitrogen removal, and tertiary
treatment; and the sludge line consists of thickening, anaerobic digestion,
cogeneration, dewatering and thermal drying. Real site data were preferably
included in the inventory. Environmental impacts of the resulting impact
categories were determined by the CLM 2 baseline method. According to the
results, the combustion of natural gas in the cogeneration engine is responsible
for the main impact on Climate Change and Depletion of Abiotic Resources, while
the combustion of biogas in the cogeneration unit accounts for a minor part. The
results suggest that the environmental performance of the WWTP would be enhanced
by increasing biogas production through improved anaerobic digestion of sewage
sludge.
PMID- 22097020
TI - PLIO: a generic tool for real-time operational predictive optimal control of
water networks.
AB - This paper presents a generic tool, named PLIO, that allows to implement the real
time operational control of water networks. Control strategies are generated
using predictive optimal control techniques. This tool allows the flow management
in a large water supply and distribution system including reservoirs, open-flow
channels for water transport, water treatment plants, pressurized water pipe
networks, tanks, flow/pressure control elements and a telemetry/telecontrol
system. Predictive optimal control is used to generate flow control strategies
from the sources to the consumer areas to meet future demands with appropriate
pressure levels, optimizing operational goals such as network safety volumes and
flow control stability. PLIO allows to build the network model graphically and
then to automatically generate the model equations used by the predictive optimal
controller. Additionally, PLIO can work off-line (in simulation) and on-line (in
real-time mode). The case study of Santiago-Chile is presented to exemplify the
control results obtained using PLIO off-line (in simulation).
PMID- 22097021
TI - Treatment of wastewater from a cotton dyeing process with UV/H2O2 using a
photoreactor covered with reflective material.
AB - Wastewater containing several dyes, including sulfur black from the dyeing
process in a textile mill, was treated using a UV/H2O2 process. The wastewater
was characterized by a low BOD/COD ratio, intense color and high acute toxicity
to the algae species Pseudokirchneriella subcaptata. The influence of the pH and
H2O2 concentration on the treatment process was evaluated by a full factorial
design 22 with three replicates of the central experiment. The removal of
aromatic compounds and color was improved by an increase in the H2O2
concentration and a decrease in pH. The best results were obtained at pH 5.0 and
6 g L(-1). With these conditions and 120 min of UV irradiation, the removal of
the color, aromatic compounds and COD were 74.1, 55.1 and 44.8%, respectively.
Under the same conditions, but using a photoreactor covered with aluminum foil,
the removal of the color, aromatic compounds and COD were 92.0, 77.6 and 59.4%,
respectively. Moreover, the use of aluminum foil reduced the cost of the
treatment by 40.8%. These results suggest the potential application of reflective
materials as a photoreactor accessory to reduce electric energy consumption
during the UV/H2O2 process.
PMID- 22097022
TI - A feasibility study of magnetic separation of magnetic nanoparticle for forward
osmosis.
AB - It was recently reported that a UK company has developed a naturally non-toxic
magnetoferritin to act as a draw solute for drawing water in forward osmosis
process. The gist of this technology is the utilization of the magnetic
nanoparticle and high-gradient magnetic separation for draw solute separation and
reuse. However, any demonstration on this technology has not been reported yet.
In this study, a feasibility test of magnetic separation using magnetic
nanoparticle was therefore performed to investigate the possibility of magnetic
separation in water treatment such as desalination. Basically, a magnetic
separation system consisted of a column packed with a bed of magnetically
susceptible wools placed between the poles of electromagnet and Fe3O4 magnetic
nanoparticle was used as a model nanoparticle. The effect of nanoparticle size to
applied magnetic field in separation column was experimentally investigated and
the magnetic field distribution in a magnet gap and the magnetic field gradient
around stainless steel wool wire were analyzed through numerical simulation. The
amount of magnetic nanoparticle captured in the separator column increased as the
magnetic field strength and particle size increased. As a result, if magnetic
separation is intended to be used for draw solute separation and reuse, both
novel nanoparticle and large-scale high performance magnetic separator must be
developed.
PMID- 22097023
TI - High performance liquid chromatography-tandem mass spectrometry for rapid and
sensitive analysis of six polycyclic aromatic hydrocarbons in wastewater.
AB - A sensitive and fast method was developed to quantitatively analyse the six
polycyclic aromatic hydrocarbons (fluoranthene (FLT), benzo[b]fluoranthene (BbF),
benzo[k]fluoranthene (BkF), benzo[a] pyrene (Bap), benzo[ghi]perylene (BghiP) and
indeno[1,2,3-cd]pyrene (INPY)) by high performance liquid chromatography (UPLC)
coupling with tandem mass spectrometry (MS/MS). Chromatographic separation was
performed on a Waters Acquity UPLC BEHC18 column (1.7 microm, 2.1 mm x 50 mm). A
0.2 microm precolumn filter was used to protect the analytical column. Mobile
phase Awas acetonitrile containing 0.5% toluene. Mobile phase B was water.
Linearity of detection was in the range of 1-100 microg L(-1); LOD of 5 PAHs were
lower than 0.1 microg L(-1); LOQ were 0.2 microg L(-1) except for
benzo[k]fluoranthene. The LOD and the LOQ of benzo[k]fluoranthene were
respectively 0.1 microg L(-1) and 0.8 microg L(-1). Wastewater samples collected
from two wastewater treatment plants were determined using this method
respectively. Recovery of all compounds varied from 67.8 +/- 10.6% to 113.2 +/-
7.2%. In comparison with the existing methods, this rapid method saves time and
solvent and improves instrument sample throughput by 2-5 fold.
PMID- 22097024
TI - Micropollutants in stormwater runoff and combined sewer overflow in the
Copenhagen area, Denmark.
AB - Stormwater runoff contains a broad range of micropollutants. In Europe a number
of these substances are regulated through the Water Framework Directive, which
establishes Environmental Quality Standards (EQSs) for surface waters. Knowledge
about discharge of these substances through stormwater runoff and combined sewer
overflows (CSOs) is essential to ensure compliance with the EQSs. Results from a
screening campaign including more than 50 substances at four stormwater discharge
locations and one CSO in Copenhagen are reported here. Heavy metal concentrations
were detected at levels similar to earlier findings, e.g., with copper found at
concentrations up to 13 times greater than the Danish standard for surface
waters. The concentration of polyaromatic hydrocarbons (PAHs) exceeded the EQSs
by factors up to 500 times for stormwater and 2,000 times for the CSO. Glyphosate
was found in all samples whilst diuron, isoproturon, terbutylazine and MCPA were
found only in some of the samples. Diethylhexylphthalate (DEHP) was also found at
all five locations in concentrations exceeding the EQS. The results give a
valuable background for designing further monitoring programmes focusing on the
chemical status of surface waters in urban areas.
PMID- 22097025
TI - Restoration of stormwater retention capacity at the allotment-scale through a
novel economic instrument.
AB - Urbanisation results in changes to runoff behaviour which, if not addressed,
inevitably degrade receiving waters. To date, most stormwater management has
focussed on the streetscape and public open space. Given that much of the
catchment imperviousness is located on private land, we developed and tested a
novel economic instrument (a uniform price auction) for encouraging allotment
scale stormwater retention. We evaluated bids using an integrated environmental
benefit index (EBI), based on the ability of the proposed works to reduce runoff
frequency, pollutant loads and to reduce potable water demand. The uniform price
auction resulted in 1.4 ha of impervious areas being effectively 'disconnected'
from the stormwater system. The EBI provided an objective and transparent method
of comparing bids, which varied in the type of works proposed (e.g. rainwater
tank, rain-garden), the cost and the resulting environmental benefit. Whilst the
pilot auction was a success, the public subsidy of works undertaken was around
85%, meaning that property owners a relatively small private benefit in the
works. Future auction rounds will be revised to (i) test an EBI which is more
focussed on the protection of streams (assessing changes to runoff frequency,
baseflow volumes and water quality) and (ii) provide an auction process which is
simpler to understand, and provides greater practical support for landholders who
wish to undertake works.
PMID- 22097026
TI - Heavy metals, PAHs and toxicity in stormwater wet detention ponds.
AB - Concentrations of 6 different heavy metals and total polycyclic aromatic
hydrocarbons (PAHs) were determined in stormwater runoff and in the pond water of
two Danish wet detention ponds. The pond water samples were analyzed for toxic
effects, using the algae Selenastrum capricornutum as a test organism. Stormwater
and pond water from a catchment with light industry showed high levels of heavy
metals, especially zinc and copper. The pond water showed high toxic effects and
copper were found to be the main toxicant. Additionally, a large part of the
copper was suspected to be complex bound, reducing the potential toxicity of the
metal. Another catchment (residential) produced stormwater and pond water with
moderate concentration of heavy metals. The pond water occasionally showed toxic
effects but no correlation between heavy metals and toxicity was identified. PAHs
concentrations were for both catchments low and no correlations between PAH
concentrations in the pond and toxicity were found.
PMID- 22097027
TI - Proposal for identification methodology for urban agglomerations according to
directive 91/271/EEC on wastewater treatment.
AB - This paper discusses the problem of the identification and definition of the
urban agglomerations in accordance with Directive 91/271/EC. The aim of this
identification is to guarantee a satisfactory level of treatment for urban
wastewaters and the achievement of the quality objectives for water bodies. The
methodology employed, taking into account the existing planning tools in the
water service sector, has been based on official national census data, on the
Water Protection Plan, on the predictions of Water Service Plans prepared by each
Water Authority and on detailed indications provided by the Authorities
themselves. The proposed approach, obtained with geographic information systems
applications and the calculation of pollution loads, is described and tested in
the Veneto region, Italy. In the final part of the paper some considerations on
the results obtained are presented and discussed.
PMID- 22097028
TI - The use of CFD modelling to optimise measurement of overflow rates in a
downstream-controlled dual-overflow structure.
AB - The measurement of the flow through complex combined sewer overflow structures in
the frame of automated monitoring remains difficult. In this paper, a methodology
based on the use of computational fluid dynamics (CFD) modelling in order to
improve the instrumentation of a downstream-controlled dual-overflow structure is
presented. The dual-overflow structure is composed of two combined sewer
overflows (CSOs) connected by a rectangular channel and controlled by a
downstream gate located at the entry of the Meyzieu waste water treatment plant
(close to Lyon, France). The analysis of the CFD results provides: (i) a better
understanding of the interaction between the two CSOs--that means the hydraulic
operation, the hydrodynamic behaviour, the backflow effect--and (ii) an ability
to optimise the location of the water depth sensor. The measured water depth is
used to assess the overflow rate by means of a numerical relationship.
Uncertainties are also assessed.
PMID- 22097029
TI - The study of adsorption characteristics of electrospun polymer nanofibers for
benzenes in water.
AB - The adsorption properties of benzene, p-dichlorobenzene and nitrobenzene on
polymer nanofibers were studied. Compared with polyacrylonitrile nanofiber,
polystyrene (PS) nanofiber presented better adsorption performance. Langmuir and
Freundlich adsorption models were used for the mathematical description of
adsorption equilibria, and Freundlich isotherms fitted better. Kinetic studies
showed that the adsorption of PS nanofiber followed pseudo first-order model.
Various thermodynamic parameters such as standard free energy (delta G), enthalpy
(delta H) and entropy (delta S) were calculated for predicting the adsorption
nature of PS nanofiber for three benzenes, which indicated that the adsorption
was spontaneous and a physical process. The regeneration efficiency maintains
over 80% after five cycles of adsorption/desorption tests. It showed that PS
nanofibers are promising candidates for adsorption and removal of aromatic
hydrocarbons from water.
PMID- 22097030
TI - Methane conversion efficiency as a simple control parameter for an anaerobic
digester at high loading rates.
AB - The anaerobic digestion process is globally applied to the treatment of highly
concentrated wastes such as industrial and rural effluents, and sewage sludge.
However, it is known to be relatively unstable. When loaded with high
concentrations of organic material, unwanted volatile fatty acids (VFA) are often
produced rather than methane (CH4) gas which can lead to digester acidification
and failure. This study investigated digester behaviour under high loading rates,
testing the usefulness of stoichiometric methane conversion efficiency as a
digester control parameter at high loading rates. Our results show that, in
general, the CH4 production rate was proportional to the feed rate (loading
rate). However, at very high loading rates, the CH4 production rate was not
proportional to the increase in the feeding rate. Consequently, VFA accumulated
and the H2 partial pressure increased. The proportionality of the loading rate
and gas production rate is stoichiometrically expressed as the conversion
efficiency. We found that conversion efficiency was a useful indicator as an
early warning of digester imbalance. The digester remained stable at conversion
efficiencies above 75%. Dropping below 70% signified the onset of digester
failure. As loading rate and methane production data are readily available on
line in most anaerobic digestion plants, the conversion efficiency can be
monitored on-line and used as an efficient control technique to maintain safe
operation of anaerobic digesters at high loading rates.
PMID- 22097031
TI - Priority organic pollutants in the urban water cycle (Toulouse, France).
AB - Application of the European Water Framework Directive requires Member States to
have better understanding of the quality of surface waters in order to improve
knowledge of priority pollutants. Xenobiotics in urban receiving waters are an
emerging concern. This study proposes a screening campaign of nine molecular
species of xenobiotics in a separated sewer system. Five sites were investigated
over one year in Toulouse (France) using quantitative monitoring. For each
sample, polycyclic aromatic hydrocarbons, polychlorinated biphenyls,
nonylphenols, diethelhexylphthalate, linear alkylbenzene sulphonates, methyl tert
butylether, total hydrocarbons, estradiol and ethinylestradiol were analysed.
Ground, rain and roof collected water concentrations are similar to treated
wastewater levels. Run-off water was the most polluted of the five types
investigated, discharged into the aquatic environment. The wastewater treatment
plant reduced xenobiotic concentrations by 66% before discharge into the
environment. Regarding environmental quality standards, observed concentrations
in waters were in compliance with standards. The results show that xenobiotic
concentrations are variable over time and space in all urban water compartments.
PMID- 22097032
TI - Automatic characterisation of primary, secondary and mixed sludge inflow in terms
of the mathematical generalised sludge digester model.
AB - This paper presents the characterisation procedure of different types of sludge
generated in a wastewater treatment plant to be reproduced in a mathematical
model of the sludge digestion process. The automatic calibration method used is
based on an optimisation problem and uses a set of mathematical equations related
to the a priori knowledge of the sludge composition, the experimental
measurements applied to the real sludge, and the definition of the model
components. In this work, the potential of the characterisation methodology is
shown by means of a real example, taking into account that sludge is a very
complex matter to characterise and that the models for digestion also have a
considerable number of model components. The results obtained suit both the
previously reported characteristics of the primary, secondary and mixed sludge,
and the experimental measurements specially done for this work. These three types
of sludge have been successfully characterised to be used in complex mathematical
models.
PMID- 22097033
TI - Models for nitrification process design: one or two AOB populations?
AB - Models for engineering design of nitrifying systems use one ammonia oxidizer
biomass (AOB) state variable. A simple extension using two AOB populations allows
a more accurate prediction of nitrification systems at switching process
environments. These two AOB subpopulations are characterized by two different
sets of kinetic parameters. Selection pressure and competition between the two
functional AOB populations are determined by process conditions as demonstrated
by three case studies: Case study I describes dynamics of two AOB populations
showing different temperature sensitivities (modified Arrhenius term on growth
and decay) when bioaugmented from the warm sidestream treatment environment to
the cold mainstream and vice-versa. Case study II investigates competition
between fast growing micro-strategists and k-strategists adjusted to low ammonia
levels depending on the internal mixed liquor recycle rate (IMLR). Case study III
shows that AOB transferred from the waste activated sludge of an SBR to the
parallel continuous flow system with different decay kinetics can overgrow or
coexist with the original population.
PMID- 22097034
TI - Three years of operation of the urine diversion system at GTZ headquarters in
Germany: user opinions and maintenance challenges.
AB - In the main office building of GTZ in Eschborn, Germany a resource-oriented
sanitation system containing urine diversion (UD) toilets and waterless urinals
has been in operation since 2006. After 2.5 years of operating the system, a
first overall evaluation of the system in terms of its acceptance amongst the
users and the cleaning staff was conducted by carrying out two surveys and many
interviews. The overall result is that most of the users appreciate the
sanitation concept in theory but have problems with the technical design of the
particular type of UD flush toilets installed here. The survey results also gave
some directions towards which hygiene devices the users would appreciate in order
to overcome their reluctance to sit down on the toilet seat in public buildings
(the sitting being necessary for correct operation of the urine valve to separate
urine from flush water). Also, it is difficult to convince the cleaning and
facility maintenance staff of the necessity of special cleaning and preventative
maintenance routines. Hence, before such systems can be widely used, clear
cleaning routines and maintenance instruction are required as well as certain
technical modifications of this type of UD flush toilets to optimise the
urine/water separation and the flushing properties of the toilet.
PMID- 22097035
TI - Nitrogen removal and ammonia-oxidising bacteria in a vertical flow constructed
wetland treating inorganic wastewater.
AB - Nitrogen removal performance and the ammonia-oxidising bacterial (AOB) community
were assessed in the batch loaded 1.3 ha saturated surface vertical flow wetland
at CSBP Ltd, a fertiliser and chemical manufacturer located in Kwinana, Western
Australia. From September 2008 to October 2009 water quality was monitored and
sediment samples collected for bacterial analyses. During the period of study the
wetland received an average inflow of 1,109 m3/day with NH3-N = 40 mg/L and NO3-N
= 23 mg/L. Effluent NH3-N and NO3-N were on average 31 and 25 mg/L, respectively.
The overall NH3-N removal rate for the period was 1.2 g/m2/day indicating the
nitrifying capacity of the wetland. The structure of the AOB community was
analysed using group specific primers for the ammonia monooxygenase gene (amoA)
by terminal restriction fragment length polymorphism and by clone libraries to
identify key members. The majority of sequences obtained were most similar to
Nitrosomonas sp. while Nitrosospira sp. was less frequent. Another two vertical
flow wetlands, 0.8 ha each, were commissioned at CSBP in July 2009, since then
the wetland in this study has received nitrified effluent from these two new
cells.
PMID- 22097036
TI - Occurrence of hydrodynamic cavitation.
AB - In this paper, the conditions under which cavitation (or liquid film rupture) can
or cannot occur in thin layers of moving liquid are derived for three typical
cases. At the same time, expressions depending on geometrical and movement
parameters, where cavitation might start, are given. The results are obtained
using simple engineering terms, which can be used in cases whether it is
necessary to avoid cavitation or to induce it.
PMID- 22097037
TI - Spectrophotometric characterization of human impacted surface waters in the
Moselle watershed.
AB - In order to characterize the pollution discharged into the Moselle River and some
of its tributaries, spectroscopic techniques, namely UV-vis spectroscopy and
synchronous fluorescence spectroscopy, have been combined. UV-visible spectra
were analysed using the maximum of the second derivative at 225 nm (related to
nitrates), the SUVA254 and E2/E3 indices (related to the nature of organic
matter). Synchronous fluorescence spectra (delta lambda = 50 nm) presented
different shapes depending upon the type of pollution. The pollution results from
anthropogenic activities: untreated domestic sewage due to misconnections in a
periurban river, effluent from urban WWTPS, agricultural runoff (nitrates) in
several streams, discharge from a paper mill (humic-like substances due to wood
processing) and from steel mills (PAHs).
PMID- 22097038
TI - Grey water treatment in upflow anaerobic sludge blanket (UASB) reactor at
different temperatures.
AB - The treatment of grey water in two upflow anaerobic sludge blanket (UASB)
reactors, operated at different hydraulic retention times (HRTs) and
temperatures, was investigated. The first reactor (UASB-A) was operated at
ambient temperature (14-25 degrees C) and HRT of 20, 12 and 8 h, while the second
reactor (UASB-30) was operated at controlled temperature of 30 degrees C and HRT
of 16, 10 and 6 h. The two reactors were fed with grey water from 'Flintenbreite'
settlement in Luebeck, Germany. When the grey water was treated in the UASB
reactor at 30 degrees C, total chemical oxygen demand (CODt) removal of 52-64%
was achieved at HRT between 6 and 16 h, while at lower temperature lower removal
(31-41%) was obtained at HRT between 8 and 20 h. Total nitrogen and phosphorous
removal in the UASB reactors were limited (22-36 and 10-24%, respectively) at all
operational conditions. The results showed that at increasing temperature or
decreasing HRT of the reactors, maximum specific methanogenic activity of the
sludge in the reactors improved. As the UASB reactor showed a significantly
higher COD removal (31-64%) than the septic tank (11-14%) even at low
temperature, it is recommended to use UASB reactor instead of septic tank (the
most common system) for grey water pre-treatment. Based on the achieved results
and due to high peak flow factor, a HRT between 8 and 12 h can be considered the
suitable HRT for the UASB reactor treating grey water at temperature 20-30
degrees C, while a HRT of 12-24 h can be applied at temperature lower than 20
degrees C.
PMID- 22097039
TI - Urine nitrification and sewer discharge to realize in-sewer denitrification to
simplify sewage treatment in Hong Kong.
AB - The chemically enhanced primary treatment works in Hong Kong will be upgraded for
biological nitrogen removal. This study proposed a novel approach to waive the
upgrading by urine source-separation, onsite nitrification and discharge of
nitrified urine into sewers to achieve in-sewer denitrification. Human urine was
collected and a lab-scale experiment for full urine nitrification was conducted.
The results showed that full nitrification was achieved with alkaline addition.
Simulation of nitrified urine discharge into an 8-km pressure main in Hong Kong
was conducted with a quasi-2D dynamic sewer model developed from a previously
calibrated sewer biofilm model. It was assumed that 70% of the residents' urine
was collected and fully nitrified on-site. The simulation results revealed that
the proposed approach is effective in removal of nitrogen within the sewer, which
decreases ammonia-N at the sewer outlet to a level required for secondary
effluent discharge in Hong Kong.
PMID- 22097040
TI - Comparing the microbial characteristics of rainwater in two operating rainwater
tanks with different surface-to-volume ratios.
AB - In this study, the microbial characteristics of rainwater in two tanks with
different surface-to-volume ratios were investigated and compared to determine
how the internal design features of storage tanks affect water quality. The
particle and nutrient parameters of the rainwater, including turbidity, suspended
solids, total organic carbon, and total phosphate, were lower in Tank 2, which
had a surface-to-volume ratio 7.5 times greater than that of Tank 1. In addition,
although the rainwater was collected from the same catchment area, the water in
Tank 1 had greater numbers of bacteria, and the bacterial communities in the
water differed between the two storage tanks. It appears that the differences in
the inside surface structures of the rainwater tanks affected the microbial
ecosystems. Increasing the surface-to-volume ratio in rainwater tanks may affect
rainwater quality, because this extends the area for biofilm development. Further
study of the role of biofilm in rainwater tank is required precisely, and its
function needs to be considered in the design and management of rainwater tanks.
PMID- 22097041
TI - The significance of interactions between organic compounds on low pressure
membrane fouling.
AB - Fouling of hollow fibre microfiltration and ultrafiltration membranes by
solutions of pure organic compounds and mixtures of these compounds was studied
with a backwashable membrane filtration apparatus. Small molecular weight
compounds resulted in little fouling, while their polymeric analogues resulted in
more severe fouling. Neutrally charged dextran resulted in minor, irreversible
fouling, that was considered to be associated with blocking of small pores.
Cationically charged chitosan produced gross fouling for which the extent of
reversibility increased with salt addition. Anionically charged alginic acid
resulted in gross irreversible fouling, except when being filtered by a
hydrophilic membrane in the absence of calcium where a high degree of flux
recovery was observed. Calcium addition to the alginic acid solutions resulted in
gross fouling of all membranes and calcium bridging was considered to be
responsible for this behaviour. Greater fouling occurred on the hydrophilic
membrane compared to the hydrophobic membranes for bovine serum albumin (BSA)
solutions, and this was considered to be due to physical blocking of pores,
because addition of calcium resulted in lower flux declines. Addition of BSA and
calcium to alginic acid solutions resulted in lower flux recoveries for the
alginic acid system, consistent with the proposition that interactions between
polysaccharide and other compounds are required for irreversible fouling on
hydrophilic membranes.
PMID- 22097042
TI - Soil aquifer treatment using advanced primary effluent.
AB - Soil aquifer treatment (SAT) using primary effluent (PE) is an attractive option
for wastewater treatment and reuse in many developing countries with no or
minimal wastewater treatment. One of the main limitations of SAT of PE is rapid
clogging of the infiltration basin due to high suspended solid concentrations.
Some pre-treatment of PE before infiltration is likely to reduce this limitation,
improve performance of SAT and help to implement this technology effectively. The
effects of three pre-treatment options namely sedimentation (SED), coagulation
(COAG) and horizontal roughing filtration (HRF) on SAT were analyzed by
conducting laboratory-scale batch and soil column experiments. The sedimentation
and coagulation pre-treatments led to less head loss development and reduction of
clogging effect. The head loss development in soil column using PE + COAG and PE
+ SED was reduced by 85 and 72%, respectively, compared to PE alone without any
pretreatment. The overall dissolved organic carbon (DOC) removal of pre
treatments and soil column collectively were 34, 44, 51 and 43.5% for PE without
any pre-treatment, PE + SED, PE + COAG and PE + HRF, respectively. Coagulation
pre-treatment of PE was found to be the most effective option in terms of
suspended solids, DOC and nitrogen removal. Sedimentation pre-treatment of PE
could be attractive where land is relatively less expensive for the construction
of sedimentation basins.
PMID- 22097043
TI - Autogenerative high pressure digestion: anaerobic digestion and biogas upgrading
in a single step reactor system.
AB - Conventional anaerobic digestion is a widely applied technology to produce biogas
from organic wastes and residues. The biogas calorific value depends on the CH,
content which generally ranges between 55 and 65%. Biogas upgrading to so-called
'green gas', with natural gas quality, generally proceeds with add-on
technologies, applicable only for biogas flows > 100 m3/h. In the concept of
autogenerative high pressure digestion (AHPD), methanogenic biomass builds up
pressure inside the reactor. Since CO2 has a higher solubility than CH4, it will
proportion more to the liquid phase at higher pressures. Therefore, AHPD biogas
is characterised by a high CH4 content, reaching equilibrium values between 90
and 95% at a pressure of 3-90 bar. In addition, also H2S and NH3 are
theoretically more soluble in the bulk liquid than CO2. Moreover, the water
content of the already compressed biogas is calculated to have a dew point <--10
degrees C. Ideally, high-quality biogas can be directly used for electricity and
heat generation, or injected in a local natural gas distribution net. In the
present study, using sodium acetate as substrate and anaerobic granular sludge as
inoculum, batch-fed reactors showed a pressure increase up to 90 bars, the
maximum allowable value for our used reactors. However, the specific methanogenic
activity (SMA) of the sludge decreased on average by 30% compared to digestion at
ambient pressure (1 bar). Other results show no effect of pressure exposure on
the SMA assessed under atmospheric conditions. These first results show that the
proposed AHPD process is a highly promising technology for anaerobic digestion
and biogas upgrading in a single step reactor system.
PMID- 22097044
TI - Adsorption characteristics of methylene blue onto agricultural wastes lotus leaf
in bath and column modes.
AB - The adsorption potential of lotus leaf to remove methylene blue (MB) from aqueous
solution was investigated in batch and fixed-bed column experiments. Langmuir,
Freundlich, Temkin and Koble-Corrigan isotherm models were employed to discuss
the adsorption behavior. The results of analysis indicated that the equilibrium
data were perfectly represented by Temkin isotherm and the Langmuir saturation
adsorption capacity of lotus leaf was found to be 239.6 mg g(-1) at 303 K. In
fixed-bed column experiments, the effects of flow rate, influent concentration
and bed height on the breakthrough characteristics of adsorption were discussed.
The Thomas and the bed-depth/service time (BDST) models were applied to the
column experimental data to determine the characteristic parameters of the column
adsorption. The two models were found to be suitable to describe the dynamic
behavior of MB adsorbed onto the lotus leaf powder column.
PMID- 22097045
TI - Adsorption behavior of activated carbon derived from pyrolusite-modified sewage
sludge: equilibrium modeling, kinetic and thermodynamic studies.
AB - Activated carbon was developed from sewage sludge using pyrolusite as an
additive. It was demonstrated that the removal efficiency of two synthetic dyes
(Tracid orange GS and Direct fast turquoise blue GL) by the produced adsorbent
was up to 97.6%. The activated carbon with pyrolusite addition had 38.2% higher
surface area, 43.8% larger micropore and 54.4% larger mesopore production than
ordinary sludge-based activated carbons. Equilibrium adsorption isotherms and
kinetics were also investigated based on dyes adsorption tests. The experimental
data were analyzed by the Langmuir and Freundlich models of adsorption, and the
results fitted well to the Langmuir isotherm. The kinetic data have been analyzed
using pseudo-first-order, pseudo-second-order and intraparticle diffusion
equation. The experimental data fitted very well with pseudo-second-order kinetic
model. Activation energies for the adsorption processes ranged between 8.7 and
19.1 kJ mol 1. Thermodynamic parameters such as standard free energy (deltaG0),
standard enthalpy (deltaH0) and standard entropy (deltaS0) were evaluated. The
adsorption of these two dyes on the activated carbon was found to be a
spontaneous and endothermic process in nature.
PMID- 22097047
TI - The development of a code of practice for single house on-site wastewater
treatment in Ireland.
AB - The performance of six separate percolation areas was intensively monitored to
ascertain the attenuation effects of unsaturated subsoils with respect to on-site
wastewater effluent: three sites receiving septic tank effluent, the other three
sites receiving secondary treated effluent. The development of a biomat across
the percolation areas receiving secondary treated effluent was restricted on
these sites compared to those sites receiving septic tank effluent. This created
significant differences in terms of the hydraulic loading on the percolation
areas with implications for the transport and attenuation of indicator
microorganisms and nitrogen down through the subsoils and into the groundwater.
The results of this work have formed a large input into the production of a new
Code of Practice Wastewater Treatment and Disposal Systems Serving Single Houses.
This has led to changes in the design of on-site hydraulic loading from 180 L per
capita per day (L/c.d) down to 150 L/c.d. The range of acceptable subsoils
receiving septic tank effluent has narrowed for more highly permeable subsoils
following a series of tracer studies using bacteriophages. However, the range has
been extended for lower permeability subsoils (range 0.08 down to 0.06 m/d)
receiving secondary treated effluent in order to encourage the effluent to spread
further along the trenches. The maximum individual length of percolation trenches
receiving secondary effluent has also been reduced to 10 m to encourage
dispersion on a wider area. This paper thus highlights how research can directly
feed into a Code of Practice.
PMID- 22097046
TI - Mullite ceramic membranes for industrial oily wastewater treatment: experimental
and neural network modeling.
AB - In this paper, results of an experimental and modeling of separation of oil from
industrial oily wastewaters (desalter unit effluent of Seraje, Ghom gas wells,
Iran) with mullite ceramic membranes are presented. Mullite microfiltration
symmetric membranes were synthesized from kaolin clay and alpha-alumina powder.
The results show that the mullite ceramic membrane has a high total organic
carbon and chemical oxygen demand rejection (94 and 89%, respectively), a low
fouling resistance (30%) and a high final permeation flux (75 L/m2 h). Also, an
artificial neural network, a predictive tool for tracking the inputs and outputs
of a non-linear problem, is used to model the permeation flux decline during
microfiltration of oily wastewater. The aim was to predict the permeation flux as
a function of feed temperature, trans-membrane pressure, cross-flow velocity, oil
concentration and filtration time, using a feed-forward neural network. Finally
the structure of hidden layers and nodes in each layer with minimum error were
reported leading to a 4-15 structure which demonstrated good agreement with the
experimental measurements with an average error of less than 2%.
PMID- 22097048
TI - Determination of perfluorinated compounds (PFCs) in solid and liquid phase river
water samples in Chao Phraya River, Thailand.
AB - Perfluorinated compounds (PFCs), especially perfluorooctane sulfonate (PFOS) and
perfluorooctanoic acid (PFOA), are fully fluorinated organic compounds, which
have been used in many industrial applications. These chemicals have contaminated
surface water all over the world even in developing countries like Thailand. The
previous study showed the contamination in Chao Phraya River in 2006 and 2007.
The purposes of this field study were to determine the solid and liquid phase of
PFCs contamination in Chao Phraya River and to compare the changes of PFC
concentration in 2008. Surveys were conducted in the lower reach of Chao Phraya
River in the industrialized area. A solid phase extraction (SPE) coupled with
HPLC-ESI-MS/MS were used for the analysis for ten PFCs. Ten PFCs were analyzed to
identify the contamination in both solid and liquid phases. PFCs were detected in
both the solid and liquid phase in every sample. PFOA was the most dominant PFC
while PFPA and PFOS were also highly detected in most samples. The average
loadings of PFPA, PFOA and PFOS in Chao Phraya River were 94.3, 284.6 and 93.4
g/d, respectively. PFOS concentrations did not show differences between 2006 and
2008. However, PFOA concentrations were higher in 2008/5/26, while comparing
other samplings. The ratio of solid:liquid PFPA (2.1:1.0) [(ng/g)/(ng/L)] was
lower than PFOA (13.9:1.0) [(ng/g)/(ng/L)] and PFOS (17.6:1.0) [(ng/g)/(ng/L)].
The shorter chain (more hydrophilic) PFC was better to dissolve in water rather
than adsorb onto suspended solids. PFOS also showed more potential to attach in
the suspended solids than PFOA.
PMID- 22097049
TI - Recovery of phosphorus and aluminium from sewage sludge ash by a new wet chemical
elution process (SESAL-Phos-recovery process).
AB - The potential of a new wet chemical process for phosphorus and aluminium recovery
from sewage sludge ash by sequential elution with acidic and alkaline solutions
has been investigated: SESAL-Phos (sequential elution of sewage sludge ash for
aluminium and phosphorus recovery). Its most innovative aspect is an acidic pre
treatment step in which calcium is leached from the sewage sludge ash. Thus the
percentage of alkaline soluble aluminium phosphates is increased from 20 to 67%.
This aluminium phosphate is then dissolved in alkali. Subsequently, the dissolved
phosphorus is precipitated as calcium phosphate with low heavy metal content and
recovered from the alkaline solution. Dissolved aluminium is recovered and may be
reused as a precipitant in wastewater treatment plants.
PMID- 22097050
TI - Full scale evaluation of diffuser ageing with clean water oxygen transfer tests.
AB - Aeration is a crucial part of the biological wastewater treatment in activated
sludge systems and the main energy user of WWTPs. Approximately 50 to 60% of the
total energy consumption of a WWTP can be attributed to the aeration system. The
performance of the aeration system, and in the case of fine bubble diffused
aeration the diffuser performance, has a significant impact on the overall plant
efficiency. This paper seeks to isolate the changes of the diffuser performance
over time by eliminating all other influencing parameters like sludge retention
time, surfactants and reactor layout. To achieve this, different diffusers have
been installed and tested in parallel treatment trains in two WWTPs. The
diffusers have been performance tested in clean water tests under new conditions
and after one year of operation. A set of material property tests describing the
diffuser membrane quality was also performed. The results showed a significant
drop in the performance of the EPDM diffuser in the first year which resulted in
similar oxygen transfer efficiency around 16 g/m3/m for all tested systems. Even
though the tested silicone diffusers did not show a drop in performance they had
a low efficiency in the initial tests. The material properties indicate that the
EPDM performance loss is partly due to the washout of additives.
PMID- 22097051
TI - Chemical disinfection of Legionella in hot water systems biofilm: a pilot-scale 1
study.
AB - Legionella bacteria encounter optimum growing conditions in hot water systems and
cooling towers. A pilot-scale 1 unit was built in order to study the biofilm
disinfection. It consisted of two identical loops, one used as a control and the
other as a 'Test Loop'. A combination of a bio-detergent and a biocide (hydrogen
peroxide + peracetic acid) was applied in the Test Loop three times under the
same conditions at 100 and 1,000 mg/L with a contact time of 24 and 3-6 hours,
respectively. Each treatment test was preceded by a three week period of biofilm
re-colonization. Initial concentrations of culturable Legionella into biofilm
were close to 10(3) CFU/cm2. Results showed that culturable Legionella spp. in
biofilm were no longer detectable three days following each treatment.
evertheless, initial Legionella spp. concentrations were recovered 7 days after
the treatments (in two cases). Before the tests, Legionella spp. and L.
pneumophila PCR counts were both about 10(4) GU/cm2 in biofilm and they both
decreased by 1 to 2 log units 72 hours after each treatment. The three tests had
a good but transient efficiency on Legionella disinfection in biofilm.
PMID- 22097052
TI - Two-phase thermophilic anaerobic digestion process for biohythane production
treating biowaste: preliminary results.
AB - This paper deals with the optimization of a two-phase anaerobic process treating
biowaste for hydrogen and methane production. Neither physical nor chemical pre
treatments were used to optimize the process. The work was carried out at pilot
scale, using two CSTRs (200 and 380 L working volume respectively) both
maintained at thermophilic temperature (55 C) and fed semi-continuously with
biowaste. The experiment was divided into three periods; during the first two
periods the organic loading rate was maintained at 20 kg TVS/m3 d and the
hydraulic retention time was changed from 6.6 to 3.3 days, while in the last
period the digestate of the second reactor was recirculated to the first reactor
in order to buffer the system and control pH at levels around 5. The HRT was
maintained at 3.3 days and the OLR was decreased at 16.5 kg TVS/m3 d. The best
yield was obtained in the last period where a specific hydrogen production of
50.9 L/kg VSfed was reached, with a H2 content in biogas from the first reactor
of 36%. The methanogenic stage after the hydrogen conversion reached a specific
biogas production of 0.62 m3/kg VSfed and an overall organic removal above 70%,
without any stability problem. The overall biogas production was some 1.5 m3 per
day with a gas composition of 10% H2 and 50% CH4.
PMID- 22097053
TI - Climate adaptive urban planning and design with water in Dutch polders.
AB - The existing water management in Dutch polders is based on independent water
systems for each polder. These are featuring artificial stabilized ground and
surface water levels. As a result of the local climate the water levels in the
polders are not continuously at a constant level. To maintain a stable water
table in the polders, the surplus of relatively clean rainwater has to be pumped
away during the cold seasons into canals or rivers, which are located on a higher
level. During the summer relatively polluted water from these waterways is led
into the polders to top up the declining water levels. This procedure leads to
various problems regarding water quantity and water quality. The described
existing system is not adaptable to climate change and includes the risk of
flooding, particularly from torrential rain. Therefore it is crucial to develop,
preferably self-sufficient, rainwater management systems in the polders. They
should allow the fluctuation of the water levels inside the polders for seasonal
storage and flood control. The described concept is adopted in the present water
policy in the Netherlands as well as in research and recent urban development
projects in Dutch polders.
PMID- 22097054
TI - Characterization and kinetics of sulfide-oxidizing autotrophic denitrification in
batch reactors containing suspended and immobilized cells.
AB - Sulfide-oxidizing autotrophic denitrification is an advantageous alternative over
heterotrophic denitrification, and may have potential for nitrogen removal of low
strength wastewaters, such as anaerobically pre-treated domestic sewage. This
study evaluated the fundamentals and kinetics of this process in batch reactors
containing suspended and immobilized cells. Batch tests were performed for
different NOx-/S2- ratios and using nitrate and nitrite as electron acceptors.
Autotrophic denitrification was observed for both electron acceptors, and NOx-/S2
ratios defined whether sulfide oxidation was complete or not. Kinetic parameter
values obtained for nitrate were higher than for nitrite as electron acceptor.
Zero-order models were better adjusted to profiles obtained for suspended cell
reactors, whereas first-order models were more adequate for immobilized cell
reactors. However, in the latter, mass transfer physical phenomena had a
significant effect on kinetics based on biochemical reactions. Results showed
that sulfide-oxidizing autotrophic denitrification can be successfully
established for low-strength wastewaters and have potential for nitrogen removal
from anaerobically pre-treated domestic sewage.
PMID- 22097055
TI - Acute toxicity and inactivation tests of CO2 on invertebrates in drinking water
treatment systems.
AB - In addition to the esthetic problem caused by invertebrates, researchers are
recently starting to be more aware of their potential importance in terms of
public health. However, the inactivation methods of invertebrates which could
proliferate in drinking water treatment systems are not well developed. The
objective of this study is to assess the acute toxicity and inactivation effects
of CO2 on familiar invertebrates in water treatment processes. The results of
this study revealed that CO2 has a definite toxicity to familiar invertebrates.
The values of 24-h LC50 (median lethal concentration) were calculated for each
test with six groups of invertebrates. The toxicity of CO2 was higher with
increasing concentrations in solution but was lower with the increase in size of
the invertebrates. Above the concentration of 1,000 mg/L for the CO2 solution,
the 100% inactivation time of all the invertebrates was less than 5 s, and in 15
min, the inactivation ratio showed a gradient descent with a decline in
concentration. As seen for Mesocyclops thermocyclopoides, by dosing with a sodium
bicarbonate solution first and adding a dilute hydrochloric acid solution 5 min
later, it is possible to obtain a satisfactory inactivation effect in the GAC
(granular activated carbon) filters.
PMID- 22097056
TI - Rapid quantification of polyhydroxyalkanoates (PHA) concentration in activated
sludge with the fluorescent dye Nile blue A.
AB - The present study was conducted (1) to develop a rapid quantification method of
polyhydroxyalkanoates (PHA) concentration in activated sludge by Nile blue A
staining and fluorescence measurement and (2) to perform on-line monitoring of
PHA concentrations in activated sludge. Activated sludge samples collected from
laboratory scale sequencing batch reactors and full-scale wastewater treatment
plants were stained with Nile blue A and their fluorescence intensities were
determined. There was a high correlation (R2 > 0.97) between the fluorescence
intensities of Nile blue A and PHA concentrations in activated sludge determined
by gas chromatography. The Nile blue A staining and fluorescence measurement
method allows us to determine PHA concentrations in activated sludge within only
five minutes and up to 96 samples can be measured at once by using microplate
reader. On-line monitoring of PHA concentrations in activated sludge was achieved
by using a fluorometer equipped with a flow cell and the time point at which PHA
concentration in activated sludge reached the maximum level could be identified.
In addition, we examined the influence of pH, floc size and co-existing chemicals
in activated sludge suspension on the fluorescence intensities of Nile blue A.
PMID- 22097057
TI - Decolorization of anthraquinone dye Reactive Blue 19 by the combination of
persulfate and zero-valent iron.
AB - Decolorization of anthraquinone dye Reactive Blue 19 (RB19) with sulfate radicals
generated in situ from persulfate and zero-valent iron (ZVI) was investigated.
The effects of initial solution pH, initial concentration of RB19, ZVI and
persulfate, reaction temperature and common dissolved anions were studied. 100%
color removal efficiency and 54% TOC removal efficiency were achieved in 45 min
with an initial RB19 concentration of 0.1 mM under typical conditions (pH 7.0,
0.8 g L(-1) ZVI, 10 mM persulfate and 30 C). The decolorization efficiency of
RB19 increased with higher iron dosage, higher initial persulfate concentration,
and higher reaction temperature. It is also an acid driven process. The
decolorization process followed pseudo-first order kinetics and the activation
energy was 98.1 kJ mol-1. RB19 decolorization was inhibited by common dissolved
anions such as CL-, NO3-, H2PO4- and HCO3- since they reacted with sulfate
radicals that retarded the oxidation process. The experiment demonstrated that
the combination of persulfate and ZVI was a promising technology for the
decolorization of dye wastewater.
PMID- 22097058
TI - Impact of operating history on mixed culture fermentation microbial ecology and
product mixture.
AB - Mixed culture fermentation is an alternative to pure culture fermentation for
production of biofuels and valuable products. A glucose-fed, continuous reactor
was operated cyclically to a central pH of 5.5 from a number of precedent pHs,
from 4.5 to 7.5. At each pH, stable chemical production was reached after 2
retention times and was held for least 2 further retention times prior to the
next change. Bacterial groups were identified by phylogenetic analysis of 16S
rRNA gene clones. Bacterial community dynamics were monitored by terminal
restriction fragment length polymorphism. More ethanol was produced at high pH,
and more butyrate at lower pH. At pH 5.5, the product spectrum was not measurably
influenced by precedent pH but showed seemingly random changes. The impact of
precedent pH on community structure was more systematic, with clear indications
that when the pH was returned to 5.5, the bacterial group that was dominant at
the precedent pH remained at high abundance. This result is important, since it
indicates a decoupling between microbial function (as indicated by product
spectrum), and community structure. More work is needed to determine the
longevity of this hysteresis effect. There was evidence that groups retained
their ability to re-emerge even after times of low abundance.
PMID- 22097059
TI - Storm water infiltration in a monitored green roof for hydrologic restoration.
AB - The objectives of this study are to provide detailed information about green roof
performance in the Mediterranean climate (retained volume, peak flow reduction,
runoff delay) and to identify a suitable modelling approach for describing the
associated hydrologic response. Data collected during a 13-month monitoring
campaign and a seasonal monitoring campaign (September-December 2008) at the
green roof experimental site of the University of Genova (Italy) are presented
together with results obtained in quantifying the green roof hydrologic
performance. In order to examine the green roof hydrologic response, the SWMS_2D
model, that solves the Richards' equation for two-dimensional saturated
unsaturated water flow, has been implemented. Modelling results confirm the
suitability of the SWMS_2D model to properly describe the hydrologic response of
the green roofs. The model adequately reproduces the hydrographs; furthermore,
the predicted soil water content profile generally matches the observed values
along a vertical profile where measurements are available.
PMID- 22097060
TI - Process-based modelling of phosphorus removal in a novel constructed wetland
system using dewatered alum-sludge as substrate.
AB - A process-based model that can evaluate the transport and the fate of phosphorus
(P) in agricultural wastewater was developed for a novel 4-stage dewatered alum
sludge cakes (DASC) based constructed wetlands (CWs) system using STELLA software
(version 9.1.4). The model considered adsorption, plant and microbial uptakes as
the major forms of P involved in the transformation chains. The results were
obtained by experimental procedure through laboratory measurement, from
literature and/or calibration. The observed effluent P concentration in the CWs
ranged from 3.62 to 8.50 mg/L (stage 1), 2.00 to 4.45 mg/L (stage 2), 1.39 to
3.76 mg/L (stage 3) and 0.52 to 2.36 mg/L (stage 4), whereas the simulated values
ranged from 2.12 to 10.99 mg/L (stage 1), 1.32 to 5.65 mg/L (stage 2), 0.84 to
3.64 mg/L (stage 3) and 0.53 to 2.25 mg/L (stage 4), respectively. The simulated
and observed values of P removal in the CWs system were in good agreement. A mass
balance analysis was performed for all the major processes which resulted in a
major pathway of P removal through adsorption (64-75%, 58-66%, 57-63% and 49-58%)
followed by plant uptake (7-11%, 8-14%, 14-17% and 9-19%) and microbial uptake (3
7%, 3-5%, 9-12% and 7-12%) for stage 1, stage 2, stage 3 and stage 4,
respectively. Thus the mathematical model developed in this study could be used
to explain the removal processes and simulate the fate of P in the DASC-based CWS
system.
PMID- 22097061
TI - Security through diversity: moving from rhetoric to practice.
AB - In response to a range of contemporary urban water challenges, there is an
increasingly urgent need to change the way water is used in our cities. In
Australia, the 'Security through Diversity' policy has been introduced in a
number of cities to help facilitate a shift towards sustainable urban water
management. This qualitative case study research investigated the interpretation
and implementation of this radically different urban water policy approach across
the case study cities of Perth and Melbourne. To focus the research and allow for
more reliable comparative analysis across the cities, the introduction of
desalination and permanent water saving rules as new initiatives in these cities
were of particular interest. The research results are drawn from a synthesis of
over 65 semi-structured interviews with senior urban water practitioners in the
case study cities, and a content analysis of key policy and guidance documents,
as well as organizational literature where available. The key finding of this
research was that because of an entrenched technological paradigm, and the
difficulty in breaking this pattern of lock-in, practitioners are currently
interpreting and implementing Security through Diversity in a way that does not
fully realise the potential of this strategy. More specifically the interview
results revealed that the urban water practitioner community believed that the
introduction of seawater desalination would discharge their city's responsibility
for achieving Security through Diversity and that demand management initiatives,
while nice, are not essential to this policy position. Interestingly, despite
context evidence to the contrary, the practitioners believed supply and demand
planning to be an integrated practice within their city. The paper concludes by
offering some recommendations to facilitate a more comprehensive implementation
of Security through Diversity and outlines areas for possible future research.
PMID- 22097062
TI - Acidogenic fermentation of municipal solid waste and its application to bio
electricity production via microbial fuel cells (MfCs).
AB - Acidogenic fermentation of organic municipal solid waste (MSW) and the bio
electricity production potential from its volatile fatty acid (VFA)-rich leachate
using an air-cathode microbial fuel cell (MFC) was investigated in this study.
The acidogenic fermentation of 2 kg of MSW has been carried out in a 6 L
anaerobic leach-bed reactor (LBR) under mesophilic conditions (30 degrees C).
Total production of 92 g VFA expressed as chemical oxygen demand (COD) in 3 L
leachate mainly containing acetic, propionic, butyric, and valeric acids has been
achieved with manual leachate recirculation and without pH control in 74 days of
incubation. Leachate collected on day 32 was used as a feed to an air-cathode MFC
after being diluted and supplemented with NaCl or NaHCO3. The maximum power
density in the diluted leachate was only 5.9 W/m3, but reached up to 8.6 W/m3
upon the addition of 7 mmol/L NaCl. Increase in coulombic efficiency from 6 to
22% was also observed as a result of NaCl supplementation. On the other hand,
NaHCO3 addition did not improve the power output.
PMID- 22097064
TI - Determination of toxic heavy metals in sea water by FAAS after preconcentration
with a novel chelating resin.
AB - A solid phase extraction procedure was developed for preconcentration of toxic
heavy metals such as cadmium, cobalt, copper, manganese, lead and zinc in sea
water samples. A microcolumn packed with 6-[(4-hydroxyphenyl)diazenyl]naphthalene
2,3-diol-formaldehyde (HPDN-F) resin acts as a sorbent to retain the analyte ions
by forming metal chelates. The retained trace level metal was subsequently eluted
with 1 mol/L HCl and the acid eluent was analysed by Flame Atomic Absorption
Spectrophotometer (FAAS). The HPDN-F chelating resin and its metal chelates were
characterized by spectral and thermal analysis. The chelating property of the
HPDN-F resin towards divalent metal ions was studied as a function of pH and
preconcentration flow rate. The recoveries of cadmium, cobalt, copper, manganese,
lead and zinc under the optimum working conditions were above 95%. The relative
standard deviations were < 2%. The limits of detection were < 0.1 microg/L. The
method presented was applied for the determination of cadmium, cobalt, copper,
manganese, lead and zinc in sea water samples.
PMID- 22097063
TI - Post-treatment of biologically treated wastewater of agrochemical industry by
sulfated chitosan composite nanofiltration membrane.
AB - The objective of this study is to treat the biologically treated wastewater using
sulfated chitosan/ polyacrylonitrile (PAN) composite nanofiltration (NF) membrane
to improve agrochemical industry wastewater quality for reuse. Although
biological treatment is quite efficient, the wastewater does not meet the reuse
criteria. Hence, further treatment to improve the water quality is investigated.
Sulfated chitosan composite NF membranes, having a PAN ultrafiltration membrane
as the substrate, are prepared by coating and cross-linking methods. The effects
of membrane preparation conditions on the rejection and permeation performance of
the membranes are studied. The new membranes are characterized by NMR and
scanning electron micrograph. Wastewater from agrochemical industry contains high
concentrations of organic matter, color, heavy metals and other toxic substances.
The operating variables studied are applied pressure (3-15 atm) and feed flowrate
(4-16 L/min). It is found that the observed rejection (R(o)) increases with
increase in feed pressure at constant feed flowrate. The rejection of cations
follows the sequence: R(o)(Zn2+) > R(o)(Ni2+) > R(o)(CU2+) > R(o)(Cd2+) for
wastewater. It is observed that the order of solute rejection sequence is
inversely proportional to the diffusion coefficients.
PMID- 22097065
TI - Determination of processes affecting groundwater quality in the coastal aquifer
beneath Puri city, India: a multivariate statistical approach.
AB - Variability of groundwater quality parameters is linked to various processes such
as weathering, organic matter degradation, aerobic respiration, iron reduction,
mineral dissolution and precipitation, cation exchange and mixing of salt water
with fresh water. Multivariate statistical analyses such as principal component
analysis (PCA) and hierarchical cluster analysis (HCA) were applied to the
standardized data set of eleven groundwater quality parameters (i.e. pH, Ca2+,
Mg2+, Na+, K+, Fe3+, alkalinity, NO3-, Cl-, SO4(2-), TDS) collected during the
post-monsoon and the summer seasons in order to elicit hydrologic and
biogeochemical processes affecting water quality in the unconfined aquifer
beneath Puri city in eastern India. The application of PCA resulted in four
factors explaining 73% variance in post-monsoon and 81% variance in summer. The
HCA using Ward's method and squared Euclidean distance measure classified the
parameters into four clusters based on their similarities. PCA and HCA allowed
interpretation of processes. During both post-monsoon and summer seasons,
anthropogenic pollution and organic matter degradation/Fe(III) reduction were
found dominant due to contribution from on-site sanitation in septic tanks and
soak pits in the city. Cation exchange and mineral precipitation were possible
causes for increase in Na+ and decrease in Ca2+ concentration in summer. Fresh
water recharge during monsoon and Sea water intrusion in summer are attributed as
significant hydrologic processes to variations of the groundwater quality at the
study site.
PMID- 22097066
TI - Elimination and accumulation of polycyclic aromatic hydrocarbons in urban
stormwater wet detention ponds.
AB - The concentrations of polycyclic aromatic hydrocarbons (PAHS) in water and
sediments of seven wet detention ponds receiving urban stormwater were
investigated. The ponds comprised traditional wet detention ponds with a
permanent wet volume and a storage volume as well as ponds that were expanded
with sand filters and other means to improve the removal of micropollutants. The
concentrations of sigmaPAH in the sediments varied between 6 +/- 5 and 2,222 +/-
603 ng g(-1) dry weight (mean +/- standard deviation), and were highest in the
ponds with lower pond volume per catchment area and did not clearly reflect
different activities in the catchments. In general, the concentrations of PAHS in
the sediments decreased from inlet to outlet, especially in the systems with good
conditions for sedimentation such as systems with flow perpendicular sand dikes
and extensive submerged vegetation. High molecular weight PAHs were predominant
in the sediments indicating the pyrogenic origin of the PAHS. There was no
correlation between PAH species concentrations in water or sediments and their
hydrophobicity (log K(ow)). PAH concentrations in water fluctuated in response to
intensity and frequency of rain events, whereas concentrations in the sediments
integrated the pollutant load over time. Pond systems expanded with sand filters
and other technologies to enhance removal of micropollutants consistently had
concentrations of PAHS in the effluents below the detection level.
PMID- 22097067
TI - Total and hexavalent chromium removal in a subsurface horizontal flow (h-SSF)
constructed wetland operating as post-treatment of textile wastewater for water
reuse.
AB - In this study we investigated total and hexavalent chromium removal in an h-SSF
constructed wetland (CW) planted with Phragmites australis and operating as post
treatment of effluent wastewater from an activated sludge plant serving the
textile industrial district of Prato (Italy). Two measurement campaigns were
carried out in 2006 and 2008-2010 in which more than 950 inlet and outlet samples
were analyzed. When inlet and outlet concentrations were compared one to the
other, the latter were found to be significantly lower than the former (p <
0.001); during the entire period of investigation, removal of hexavalent chromium
equal to about 70% was achieved. Outlet concentrations ranged between values
lower than the quantification limit (0.5 microg L(-1)) and 4.5 microg L(-1), and
in all cases were therefore lower than the limit indicated for hexavalent
chromium in the Italian regulation for water reuse (5 microg L(-1)). The
comparison of the removal efficiencies achieved for hexavalent and trivalent
chromium during the two campaigns suggested that the removal of the former can be
sustained in the long term, while for the latter, the treatment efficiency is
more sensitive to the age of the CW, being that it is it based on trivalent
chromium retention in the reed bed.
PMID- 22097068
TI - Urban flood risk assessment using sewer flooding databases.
AB - Sustainable water management is a global challenge for the 21st century. One key
aspect remains protection against urban flooding. The main objective is to ensure
or maintain an adequate level of service for all inhabitants. However, level of
service is still difficult to assess and the high-risk locations difficult to
identify. In this article, we propose a methodology, which (i) allows water
managers to measure the service provided by the urban drainage system with regard
to protection against urban flooding; and (ii) helps stakeholders to determine
effective strategies for improving the service provided. One key aspect of this
work is to use a database of sewer flood event records to assess flood risk. Our
methodology helps urban water managers to assess the risk of sewer flooding; this
approach does not seek to predict flooding but rather to inform decision makers
on the current level of risk and on actions which need to be taken to reduce the
risk. This work is based on a comprehensive definition of risk, including
territorial vulnerability and perceptions of urban water stakeholders. This paper
presents the results and the methodological contributions from implementing the
methodology on two case studies: the cities of Lyon and Mulhouse.
PMID- 22097069
TI - Comparison of the photoconversion of para-chlorophenol under simulated sunlight
and UV irradiation in ice.
AB - The photochemistry of para-chlorophenol (4-CP) was studied under simulated
sunlight (lambda > 300 nm) and UV irradiation by using a 125 W high-pressure
mercury lamp with or without a hard glass as light source in an ice matrix. The
experiments were carried out in a photochemical cold chamber reactor at -14 to
12 degrees C. The photoconversion rate, photoproducts and photoconversion
mechanism of 4-CP were all inspected and compared. The results show that the 4-CP
photoconversion obeys the first order kinetic model and its photoconversion rate
is highly affected by the initial concentration of 4-CP, light intensity and
water quality. It is found that the conversion rate of 4-CP under UV irradiation
is higher than that under simulated sunlight irradiation. The intermediate
products of 4-CP were characterized by GC-MS, HPLC-ESI-MS and HPLC techniques and
the possible photoconversion mechanism was proposed accordingly. It is concluded
that the mechanism and photoproducts of 4-CP photolysis in ice are different from
those in water, and the photoproducts and photoconversion pathways of 4-CP in ice
varied with different light sources.
PMID- 22097070
TI - Relative kinetics of anaerobic digestion under thermophilic and mesophilic
conditions.
AB - With several advantages over the conventional mesophilic anaerobic digestion,
such as better sludge quality and higher biogas production, thermophilic
anaerobic digestion is regarded as a promising alternative for sludge digestion.
Primary and activated sludges are complex materials, and historically, analysis
of kinetics has been largely on whole sludge, without analysis of individual
components. This paper analyses relative digestion kinetics of pure substrates
designed to target main stages of sludge digestion under thermophilic and
mesophilic conditions. Hydrolysis rate of cellulose was significantly influenced
by temperature with hydrolysis coefficients of--at 55 degrees C (0.7 +/- 0.1 day(
1)), 60 degrees C (0.8 +/- 0.2 day(-1)), 65 degrees C (1.1 +/- 0.2 day(-1)) and
70 degrees C (1.2 +/- 0.2 day(-1)) over 38 degrees C (0.4 +/- 0.1 day(-1)). This
strongly follows the Arrhenius relationship, with an activation energy (E(A)) of
31 +/- 4 kJ mol(-1), corresponding to an increase of 1.5x for each 10 degrees C
of temperature increase. Glucose uptake was rapid with a wide variety of
fermentation products detected under mesophilic conditions, while uptake was
slower under thermophilic conditions with acetate and propionate being dominant
products. Propionate acetogenesis and acetate-utilizing methanogenesis kinetics
were not influenced by temperatures. Hydrolysis is widely regarded as a rate
limiting step in sludge digestion, thus improvements in hydrolysis rates as
measured during this study have the potential for significant improvements in
overall apparent sludge digestion rates.
PMID- 22097071
TI - Membrane treatment of liquid wastes from radiological decontamination operations.
AB - The paper focuses on the evaluation of membrane filtration for the treatment of
liquid radioactive streams generated in area decontamination operations. In this
work, semi-permeable membranes were demonstrated to be effective reducing the
volume of wastewater containing cesium and cobalt by two orders of a magnitude.
The efficiency of membrane separation was enhanced by employing additives that
enlarged the size of target radionuclide species and improved their rejection by
the membranes. This was achieved by chelation with synthetic water-soluble
polymers and by adsorption on micro particles of adsorbent coupled with micelle
formation. The effect of wastewater composition and that of the radionuclide
binding additives on the volume reduction was investigated. Membrane treatment is
expected to help simplify further processing and decrease disposal costs.
PMID- 22097072
TI - GIS and ordination techniques for studying influence of watershed characteristics
on river water quality.
AB - Landscape characteristics of twenty-eight sub-catchments within the Miyun
reservoir watershed in Miyun County, northeast Beijing of China were examined to
identify relationships with stream water chemistry. The influences of the entire
catchment and 300 m buffer zone on water quality were compared using multiple
regression analysis and redundancy analysis during three seasons. Results showed
that strong seasonal differences in nitrate, nitrite and ammonium are observed
whereas no difference in total phosphorus and conductivity. Landscape factors
were significantly correlated to stream water quality. Residential area and
stream density contributed markedly to river condition variability. Water quality
was better explained by interactions with the landscape during and after rainy
season. There was also a seasonal shift in the landscape factors that were the
dominant explanatory variables. The relationships between landscape attributes
and water quality on watershed scale were slightly different from those on
riparian scale; however, landscape attributes may have stronger influences on
water chemistry.
PMID- 22097073
TI - Reuse of treated wastewater and sewage sludge for fertilization and irrigation.
AB - The objective of the present work was to assess the short-term potential of
treated wastewater and sewage sludge for ornamental lawn fertilization and
irrigation. A field experiment was performed and the following treatments were
considered: sewage sludge application + irrigation with public water; sewage
sludge application + irrigation with treated wastewater; irrigation with public
water; irrigation with treated wastewater (TW). Irrigation with treated
wastewater showed a positive effect on lawn installation through higher growth of
grass (1,667 cm) and higher dry matter yield (18,147 g m(-2)). These results
represent a significant increase in the grass yield compared with public water
irrigation. The grass height (2,606 cm) and dry matter yield (23,177 g m(-2))
increased even more, when sewage sludge produced in the wastewater treatment
plant (WWTP) was applied to soil, which proves once more its benefits as an
organic fertilizer. At the end of the experiment, an increase of some soil
parameters (pH, electrical conductivity, organic matter, Ca2+, Na+, K+, Mg2+ and
NH4+) was observed, indicating that treated wastewater irrigation can cause a
soil sodization. This short-term study indicated that use of treated wastewater
and sewage sludge for ornamental lawn fertilization and irrigation is an
environmentally sustainable option for re-use of the WWTP by-products.
PMID- 22097074
TI - Assessing the role of biochemical methane potential tests in determining
anaerobic degradability rate and extent.
AB - The biodegradability and bioavailability of hydrolysis-limited substrates under
anaerobic (and aerobic) conditions can be represented by two key parameters-
degradability (f(d)), or the percentage that can be effectively be destroyed
during digestion, and first order hydrolysis coefficient (k(hyd)), or the speed
at which material breaks down. Biochemical methane potential (BMP) testing uses a
batch test (in triplicate), and by fitting against a first order model, can fit
both parameters in the same test. BMP testing is now being widely used for
anaerobic process feasibility and design purposes, and standardisation efforts
are ongoing. In this paper, we address a number of key issues relating to the
test method and its analysis. This includes proposal of a new fitting and
parameter estimation method, evaluation of the impact of inoculum to substrate
ratio on fitted parameters, and comparison to performance in continuous systems.
The new parameter estimation technique provides an estimate of parameter
uncertainty and correlation, and is clearly more suitable than model
transformation and linear regression. An inoculum volume ratio of at least 50%
(2:1 on VS basis) was required on a cellulose substrate to use methane production
as primary indicator, as found by comparing methane production and solubilisation
of cellulose. Finally, on a typical material, waste activated sludge, the batch
test was slightly conservative in terms of degradability and rate, indicating a
bias in the BMP test. The test is a cost-effective and capable method to evaluate
potential substrates, but it should be noted that it is generally conservative,
especially if sub-optimal inoculum is used.
PMID- 22097075
TI - Effect of phosphorus concentration on phosphorus removal and biomass.
AB - Membrane bioreactor (MBR) process was employed to study the effect of biological
phosphorus removal (bio-P removal) and P-content in treated sludge with increased
phosphorus concentration present in the wastewater. Further, the following four
test fractions of raw wastewaters was obtained having different P-concentrations
viz., run 1: P-20 mg/L, run 2: P-40 mg/L, run 3: P-60 mg/L, run 4: P-80 mg/L. The
effective P-removal obtained for these four test fractions were found to be 23.07
mg/L (98.17%), 41.35 mg/L (88.16%), 45.75 mg/L (72.04%) and 55.80 mg/L (66.82%)
respectively for run 1, 2, 3 and 4 fractions. Moreover, the similar increase in
phosphorous concentration i.e., from 20 to 80 mg/L caused an apparent increase in
total solid (TS) values from 7 to 8.3 g TS/L, whereas the total volatile solid
(TVS) content remained constant (i.e. 4.5 g TVS/L). These results inferred that
the proportion of TVS in the TS decreased from 70 to 55%. Moreover, by increasing
the initial P-concentration from 20 to 80 mg/L, the corresponding P-proportion of
excess sludge was increased from 2 to 6.2%.
PMID- 22097076
TI - Development of a GIS method to localize critical source areas of diffuse nitrate
pollution.
AB - The present study aimed at developing a universal method for the localization of
critical source areas (CSAs) of diffuse nitrate (NO3-) pollution in rural
catchments with low data availability. Based on existing methods, land use, soil,
slope, riparian buffer strips and distance to surface waters were identified as
the most relevant indicator parameters for diffuse agricultural NO3- pollution.
The five parameters were averaged in a GIS-overlay to localize areas with low,
medium and high risk of NO3- pollution. A first application of the GIS approach
to the Ic catchment in France, showed that identified CSAs were in good agreement
with results from river monitoring and numerical modelling. Additionally, the GIS
approach showed low sensitivity to single parameters, which makes it robust to
varying data availability. As a result, the tested GIS-approach provides a
promising, easy-to-use CSA identification concept, applicable for a wide range of
rural catchments.
PMID- 22097077
TI - Removal of methylene blue from water by gamma-MnO2.
AB - Methylene blue (MB) is a cationic dyestuff, which is particularly resistant to
biodegradation. The molecular sieved gamma-MnO2 was used as an adsorbent/oxidant
to remove the MB at room temperature and in visible light. The removal efficiency
was mainly evaluated by X-ray diffractometer (XRD), UV-Vis spectrometer (UV-Vis),
total organic carbon (TOC). The results revealed that the mechanisms of MB
removal by gamma-MnO2 are significantly influenced by the pH. In acidic
conditions, hypsochromic effects (i.e. blue shifts of UV-Vis spectra) resulting
from N-demethylation of the dimethylamino group in MB may occur concomitantly
with oxidative degradation by gamma-MnO2. However, the TOC in solution after
gamma-MnO2 treatment in acidic conditions did not show a dramatic decrease. At
near neutral pH conditions, there was almost no UV-Vis absorption for the MB
solution, however, only 50% TOC removal was observed. It indicated that MB was
not only adsorbed onto gamma-MnO2 but also partially oxidatively degraded to
other organic compounds which were colourless for UV-Vis.
PMID- 22097078
TI - Simultaneous domestic wastewater treatment and renewable energy production using
microbial fuel cells (MFCs).
AB - Microbial fuel cells (MFCS) can be used in wastewater treatment and to
simultaneously produce electricity (renewable energy). MFC technology has already
been applied successfully in lab-scale studies to treat domestic wastewater,
focussing on organic matter removal and energy production. However, domestic
wastewater also contains nitrogen that needs to be treated before being
discharged. The goal of this paper is to assess simultaneous domestic wastewater
treatment and energy production using an air-cathode MFC, paying special
attention to nitrogen compound transformations. An air-cathode MFC was designed
and run treating 1.39 L d(-1) of wastewater with an organic load rate of 7.2 kg
COD m(-3) d(-1) (80% removal efficiency) and producing 1.42 W m(-3). In terms of
nitrogen transformations, the study demonstrates that two different processes
took place in the MFC: physical-chemical and biological. Nitrogen loss was
observed increasing in line with the power produced. A low level of oxygen was
present in the anodic compartment, and ammonium was oxidised to nitrite and
nitrate.
PMID- 22097079
TI - Biofilm development during the start-up of a sulfate-reducing down-flow fluidized
bed reactor at different COD/SO4(2-) ratios and HRT.
AB - In sulfate-reducing reactors, it has been reported that the sulfate removal
efficiency increases when the COD/SO4(2-) ratio is increased. The start-up of a
down-flow fluidized bed reactor constitutes an important step to establish a
microbial community in the biofilm able to survive under the operational
bioreactor conditions in order to achieve effective removal of both sulfate and
organic matter. In this work the influence of COD/SO4(2-) ratio and HRT in the
development of a biofilm during reactor start-up (35 days) was studied. The
reactor was inoculated with 1.6 g VSS/L of granular sludge, ground low density
polyethylene was used as support material; the feed consisted of mineral medium
at pH 5.5 containing 1 g COD/L (acetate:lactate, 70:30) and sodium sulfate. Four
experiments were conducted at HRT of 1 or 2 days and COD/SO4(2-) ratio of 0.67 or
2.5. The results obtained indicated that a COD/SO4(2-) ratio of 2.5 and HRT 2
days allowed high sulfate and COD removal (66.1 and 69.8%, respectively), whereas
maximum amount of attached biomass (1.9 g SVI/L support) and highest sulfate
reducing biofilm activity (10.1 g COD-H2S/g VSS-d) was achieved at HRT of 1 day
and at COD/sulfate ratios of 0.67 and 2.5, respectively, which suggests that
suspended biomass also played a key role in the performance of the reactors.
PMID- 22097080
TI - Effect of operating temperature on performance of microbial fuel cell.
AB - The performance of dual chambered mediator-less microbial fuel cell (MFC)
operated under batch mode was evaluated under different operating temperatures,
ranging between 20 and 55 degrees C, with step increase in temperature of 5
degrees C. Synthetic wastewater with sucrose as carbon source having chemical
oxygen demand (COD) of 519-555 mg/L was used in the study. Temperature was a
crucial factor in the performance of MFCs for both COD removal and electricity
production. The MFC demonstrated highest COD removal efficiency of 84% and power
density normalized to the anode surface area of 34.38 mW/m2 at operating
temperature of 40 degrees C. Higher VSS to SS ratio was observed at the operating
temperature between 35 and 45 degrees C. Under different operating temperatures
the observed sludge yield was in the range of 0.05 to 0.14 g VSS/g COD removed.
The maximum Coulombic and energy efficiencies were obtained at 40 degrees C, with
values of 7.39 and 13.14%, respectively. Internal resistance of the MFC decreased
with increase in operating temperature. Maximum internal resistance of 1,150
omega was observed when the MFC was operated at 20 degrees C; whereas the minimum
internal resistance (552 omega) was observed at 55 degrees C.
PMID- 22097081
TI - Enhancement of a UASB-septic tank performance for decentralised treatment of
strong domestic sewage.
AB - The possibility of enhancing the process performance of the UASB-septic tank for
treating strong sewage in Palestine by means of inoculating the reactor with well
adapted anaerobic sludge and/or adding a packing media to the upper part of the
reactor, creating an anaerobic hybrid (AH)-septic tank, was investigated. To
achieve these objectives, two community onsite UASB-septic tank and AH-septic
tank were operated in parallel at 2 days HRT for around 8 months overlapping the
cold and hot periods of the year in Palestine. The achieved removal efficiencies
of CODtot in the UASB-septic tank and AH-septic tank during the first months of
operation, coinciding with the cold period and the subsequent hot period, were
respectively 50 (+/- 15)% and 48 (+/- 15)% and 66 (+/- 8)% and 55 (+/- 8)%. This
shows that the UASB-septic tank performed significantly better (p < 0.05) than
the AH-septic tank after rather long periods of operation. The difference in the
CODtot removal efficiency was mainly due to the better CODss removal efficiencies
in the UASB-septic tank. The removal efficiencies over the last 50 days of
operation for CODtot, CODsus, CODcol and CODdis were 70, 72, 77 and 55% and 53,
54, 78 and 45% for the UASB-septic tank and AH-septic tank, respectively.
Comparing the here achieved COD removal efficiencies with previously reported
efficiencies of UASB-septic tanks operated in Palestine shows that the reactor
performance in terms of COD removal and conversion, during the first 8 months of
operation, has improved substantially by being started with well adapted
anaerobic sludge, simulating and predicting long-term performance. Adding packing
media did not lead to an improvement.
PMID- 22097082
TI - Systematic evaluation of biofilm models for engineering practice: components and
critical assumptions.
AB - Biofilm models are valuable tools for the design and evaluation of biofilm-based
processes despite several uncertainties including the dynamics and rate of
biofilm detachment, concentration gradients external to the biofilm surface, and
undefined biofilm reactor model calibration protocol. The present investigation
serves to (1) systematically evaluate critical biofilm model assumptions and
components and (2) conduct a sensitivity analysis with the aim of identifying
parameter subsets for biofilm reactor model calibration. AQUASIM was used to
describe submerged-completely mixed combined carbon oxidation and nitrification
IFAS and MBBR systems, and tertiary nitrification and denitrification MBBRs. The
influence of uncertainties in model parameters on relevant model outputs was
determined for simulated scenarios by means of a local sensitivity analysis. To
obtain reasonable simulation results for partially penetrated biofilms that
accumulated a substantial thickness in the modelled biofilm reactor (e.g. 1,000
microm), an appropriate biofilm discretization was applied to properly model
soluble substrate concentration gradients and, consistent with the assumed
mechanism for describing biofilm biomass distribution, biofilm biomass spatial
variability. The MTBL thickness had a significant impact on model results for
each of the modelled reactor configurations. Further research is needed to
develop a mathematical description (empirical or otherwise) of the MTBL thickness
that is relevant to modern biofilm reactors. No simple recommendations for a
generally applicable calibration protocol are provided, but sensitivity analysis
has been proven to be a powerful tool for the identification of highly sensitive
parameter subsets for biofilm (reactor) model calibration.
PMID- 22097083
TI - Critical source area management of agricultural phosphorus: experiences,
challenges and opportunities.
AB - The concept of critical source areas of phosphorus (P) loss produced by
coinciding source and transport factors has been studied since the mid 1990s. It
is widely recognized that identification of such areas has led to targeting of
management strategies and conservation practices that more effectively mitigate P
transfers from agricultural landscapes to surface waters. Such was the purpose of
P Indices and more complex nonpoint source models. Despite their widespread
adoption across the U.S., a lack of water quality improvement in certain areas
(e.g. Chesapeake Bay Watershed and some of its tributaries) has challenged
critical source area management to be more restrictive. While the role of soil
and applied P has been easy to define and quantify, representation of transport
processes still remains more elusive. Even so, the release of P from land
management and in-stream buffering contribute to a legacy effect that can
overwhelm the benefits of critical source area management, particularly as scale
increases (e.g. the Chesapeake Bay). Also, conservation tillage that reduces
erosion can lead to vertical stratification of soil P and ultimately increased
dissolved P loss. Clearly, complexities imparted by spatially variable
landscapes, climate, and system response will require iterative monitoring and
adaptation, to develop locally relevant solutions. To overcome the challenges we
have outlined, critical source area management must involve development of a
'toolbox' that contains several approaches to address the underlying problem of
localized excesses of P and provide both spatial and temporal management options.
To a large extent, this may be facilitated with the use of GIS and digital
elevation models. Irrespective of the tool used, however, there must be a two-way
dialogue between science and policy to limit the softening of technically
rigorous and politically difficult approaches to truly reducing P losses.
PMID- 22097084
TI - Effect of thermal alkaline pretreatment on the anaerobic digestion of wasted
activated sludge.
AB - The effect of alkaline pretreatment of waste-activated sludge, using two models
to study the sequential hydrolysis rates of suspended (Sanders' surface model)
and dissolved (Goel's saturation model) solids, on the mesophilic and
thermophilic anaerobic digestion rate is evaluated. The pretreatment, which
reduces the size of the solids, increases the reaction rate by increasing the
surface area and the specific surface hydrolysis constant (K(SBK)); at
thermophilic conditions from 0.45 x 10(-3) kg m(-2) d(-1) for the fresh sludge to
0.74 x 10(-3) kg m(-2) d(-1) for the pretreated sludge and at mesophilic
conditions these values are 0.28 x 10(-3) kg m(-2) d(-1) and 0.47 x 10(-3) kg m(
2) d(-1) confirming the usefulness of a pretreatment for solids reduction. But
for soluble solids, the thermoalkaline pretreatment decreases the reaction rates
by inducing a competitive inhibition on the thermophilic anaerobic digestion rate
while in the mesophilic range, a non-competitive inhibition is observed. A
mathematical simulation of the consecutive reactions, suspended solids to
dissolved solids and to methane in staged anaerobic thermophilic-mesophilic
digestion, shows that with 4% suspended solids concentration it is better not to
use a thermoalkaline pretreatment because overall solids reduction and total
methane production are not as good as without pretreatment.
PMID- 22097085
TI - Degradation of organic dye using zero-valent iron prepared from by-product of
pickling line.
AB - In this study, zero-valent iron (ZVI) was produced using iron oxide that is a by
product of a pickling line at a steel works. The reaction activity of the
produced ZVI was evaluated through a series of decomposition experiments of
Orange II aqueous solution. The size of ZVI particles increased with reduction
temperature due to coalescence. Correspondingly, the specific surface area of ZVI
decreased with increasing reduction temperature. The decomposition efficiency of
synthesized ZVI particles was higher at a lower pH. In particular, no significant
decomposition reaction was observed at pH of 4 and higher. The rate of the ZVI
assisted decomposition of Orange II was increased by addition of H2O2 at pH of 3,
whereas it was reduced by addition of H2O2 at a higher pH of 6. Nevertheless,
simultaneous use of ZVI, UV and H2O2 led to a considerable increase in the
decomposition rate even at a high pH condition (pH = 6).
PMID- 22097086
TI - Effect of hydraulic retention time on pretreatment of blended municipal sludge.
AB - The objective of the present work was to evaluate the effect of hydraulic
retention time (HRT) on hydrolysis and acidogenesis for the pretreatment
processes: acid phase digestion (APD) and autothermal thermophilic aerobic
digestion (ATAD) using blended municipal sludge. The effect of the different
pretreatment steps on mesophilic anaerobic digestion (MAD) was evaluated in terms
of methane yield, keeping the operating conditions of the MAD the same for all
systems. Best operating conditions for both APD and ATAD were observed for 2.5 d
HRT with high total volatile fatty acids (tVFA), and the highest methane yield
observed for MAD. No significant difference was observed between the two
processes in terms of overall volatile solids (VS) reduction with same total HRT.
The autothermal process produced heat of 14,300 J/g VS removed from hydrolytic
and acetogenic reactions without compromising overall methane yields when the HRT
was 2.5 d or lower and the total O2 used was 0.10 m3 O2/g VS added or lower.
However, the process needs the input of oxygen and engineering analysis should
balance these differences when considering the relative merits of the two
pretreatment processes. This is the first study of its kind directly comparing
these two viable pretreatment processes with the same sludge.
PMID- 22097087
TI - Evaluation of undersized bioretention stormwater control measures for treatment
of highway bridge deck runoff.
AB - Two grassed bioretention cells were constructed in the easement of a bridge deck
in Knightdale, North Carolina, USA, in October, 2009. One was intentionally
undersized ('small'), while the other was full sized ('large') per current North
Carolina standards. The large and small cells captured runoff from the 25- and 8
mm events, respectively. Both bioretention cells employed average fill media
depths of 0.65 m and internal water storage (IWS) zones of 0.6 m. Flow
proportional, composite water quality samples were collected and analyzed for
nitrogen species, phosphorus species, and TSS. During 13 months of data
collection, the large cell's median effluent concentrations and loads were less
than those from the small cell. The small cell's TN and TSS load reductions were
84 and 50%, respectively, of those achieved by the large cell, with both cells
significantly reducing TN and TSS. TP loads were not significantly reduced by
either cell, likely due to low TP concentrations in the highway runoff which may
have approached irreducible levels. Outflow pollutant loads from the large and
small cell were not significantly different from one another for any of the
examined pollutants. The small cell's relative performance provides support for
retrofitting undersized systems in urbanized areas where there is insufficient
space available for conventional full-sized stormwater treatment systems.
PMID- 22097088
TI - Pollutant removal in a multi-stage municipal wastewater treatment system
comprised of constructed wetlands and a maturation pond, in a temperate climate.
AB - A multi-stage municipal wastewater treatment system is proposed to comply with
Mexican standards for discharge into receiving water bodies. The system is
located in Santa Fe de la Laguna, Mexico, an area with a temperate climate. It
was designed for 2,700 people equivalent (259.2 m3/d) and consists of a
preliminary treatment, a septic tank as well as two modules operating in
parallel, each consisting of a horizontal subsurface-flow wetland, a maturation
pond and a vertical flow polishing wetland. After two years of operation, on-site
research was performed. An efficient biochemical oxygen demand (BOD5) (94-98%),
chemical oxygen demand (91-93%), total suspended solids (93-97%), total Kjeldahl
nitrogen (56-88%) and fecal coliform (4-5 logs) removal was obtained. Significant
phosphorus removal was not accomplished in this study (25-52%).
Evapotranspiration was measured in different treatment units. This study
demonstrates that during the dry season wastewater treatment by this multi-stage
system cannot comply with the limits established by Mexican standards for
receiving water bodies type 'C'. However, it has demonstrated the system's
potential for less restrictive uses such as agricultural irrigation, recreation
and provides the opportunity for wastewater treatment in rural areas without
electric energy.
PMID- 22097089
TI - Experimental design of diffusion and desorption of contaminant in heterogeneous
media.
AB - Storage of contaminants in low permeability media (LPM) presents a great
challenge for prediction of remediation effectiveness and efficiency. The reason
lies in the contaminants' complex behaviors within heterogeneous media. Both
interparticle and intraparticle diffusion contribute to the difficulty of precise
site assessment. Sorption of contaminants--especially within LPM--may sequester
the contaminants from active treatment, while desorption over a long period of
time leads to contaminant release from storage and consequent re-contamination.
Research has been conducted toward better understanding of contaminant diffusion
and sorption/desorption processes to better predict contaminant response to site
treatment. However, most of the research has been carried out within homogeneous
media, while real scenarios in environmental problems feature media whose
permeability and other characteristics vary significantly over the treatment
volume. Further, few efforts have combined the interparticle/intraparticle
diffusion and sorption/desorption processes together. This research aims at a
feasible experimental design of diffusion and desorption of contaminant in
heterogeneous media to address the gaps in previous research. A 2-D experimental
system was designed to evaluate interparticle/intraparticle diffusion processes
of trichloroethylene (TCE) in heterogeneous media. The 2-D system was modified to
include organic matter in media for simulation of sorption/desorption processes.
Results of the research will improve the understanding of how these different
transport processes act together within heterogeneous media. Results will also
allow for the evaluation of the impact of contaminant mass transport from within
low permeability media at a potential treatment site and can support the
development of mathematical tools/models combining interparticle/intraparticle
and sorption/desorption processes. Such a model will promote more accurate site
assessment and provide more confidence in the choice of an effective,
economically optimized remediation strategy.
PMID- 22097090
TI - Application of flow cytometry for examining phytoplankton succession in two
eutrophic lakes.
AB - Flow cytometry has potential as a rapid assessment technique to evaluate
phytoplankton biomass and species composition. It facilitates for multi-parameter
analysis of individual cells on the basis of light scattering effects induced
from cellular constituents, as well as auto-fluorescence. Fluorescence emission
characteristics may be especially useful in classifying cyanobacteria as they
contain phycoerythrin which emits light predominantly in the 550-600 nm waveband,
chlorophyll-a (650-700 nm emission) and allophycocyanin (660 nm emission). The
objective of our study was to assess the utility of flow cytometry for the rapid
identification and sorting of freshwater algae and cyanobacteria species. Using a
selection of laboratory-cultured freshwater algae and cyanobacteria species, this
study demonstrated unique light scatter and fluorescent characteristics for each
species examined, allowing for rapid species identification and sorting of mixed
populations of laboratory cultures and samples from two lakes in the Rotorua
region (New Zealand). Analysis of lake water samples collected over seven months
demonstrated changes in abundance and community composition of phytoplankton in
the two lakes and demonstrates that flow cytometry may be a useful technique for
examining seasonal changes in phytoplankton composition.
PMID- 22097091
TI - Assessing sub-Saharan Erythrina for efficacy: traditional uses, biological
activities and phytochemistry.
AB - The genus Erythrina comprises more than 100 species, widely distributed in
tropical and subtropical areas. In Africa, 31 wild species and 14 cultivated
species have been described. In sub-Saharan Africa, Erythrina species are used to
treat frequent parasitic and microbial diseases, inflammation, cancer, wounds.
The rationale of these traditional uses in African traditional medicine was
established by screening several species for biological activities. Promising
activities were found against bacteria, parasites (Plasmodium), human and
phytopathogenic fungi, some of which were multidrug resistant (MDR) micro
organisms. Some species also exhibited antioxidant, anti-inflammatory activities
and enzymes inhibitory properties. Most of the species chemically investigated
were reported to contain flavanones, prenylated isoflavones, isoflavanones and
pterocarpans. Some phytochemicals (vogelin B, vogelin C, isowightcone, abyssinin
II, derrone) were the active principles as antibacterials, antifungals,
antiplasmodials and inhibitors of enzyme borne diseases (PTP1B, HIV protease,
DGAT). This review highlights the important role of Erythrina species as sources
of lead compounds or new class of phytotherapeutic agents for fighting against
major public health (MDR infections, cancer, diabetes, obesity) in sub-Saharan
Africa.
PMID- 22097092
TI - Comparison of ketamine and fentanyl for postoperative pain relief in children
following adenotonsillectomy.
AB - Adenotonsillectomy has a high incidence of postoperative pain. Therefore, the
purpose of this study was to evaluate the effectiveness and safety of either
ketamine or fentanyl for postoperative pain relief in children following
adenotonsillectomy. Sixty children aged 3-12 years, scheduled for
adenotonsillectomy, were enrolled in this randomized, double-blind study.
Patients were divided into two groups of 30 cases and received intravenous
ketamine (0.5 mg kg(-1)) or fentanyl (1 microg kg(-1)). Modified Hannallah pain
scale or Observational Pain Scores (OPS), nausea, vomiting, bleeding, rescue
analgesia, sedation and post-anesthesia recovery scores were recorded both at
first and 15th minute postoperatively. Moreover, patients receiving ketamine
(group 1) or fentanyl (group 2) had comparable OPS and sedation score both on
arrival and at 15th minute in the recovery room (p > 0.05). Although rescue
analgesics were similarly required in both groups (p > 0.05), the time to reach
rescue analgesia was shorter in group 1 (p = 0.001). Only one patient in fentanyl
group had nausea and vomiting in the first 15 min that needed antiemetic in the
recovery room. In conclusion, intravenous fentanyl (1 microg kg(-1)) compared
with intravenous ketamine (0.5 mg kg(-1)) might provide extended time to first
analgesic in children undergoing adenotonsillectomy. Interestingly, fentanyl and
ketamine did not differ in post-operative vomiting.
PMID- 22097093
TI - Dichloromethane-methanol extract from Borassus aethiopumn mart. (Arecaceae)
induces apoptosis of human colon cancer HT-29 cells.
AB - Borassus aetihiopum MART (Arecaceae) is a plant used in traditional herbal
medicine for the treatment of various diseases (bronchitis, laryngitis,
antiseptic). In particular, their male inflorcscences were reported to exhibit
cicatrizing, antiseptic and fungicidal properties. In the present study, the
biological activity of E2F2, an apolar extract from Borassus aethiopum male
inflorescence was investigated on colon cancer HT29 cells. Phytochemical
screening was carried according to methodology for chemical analysis for
vegetable drugs. Cells proliferation was determined by the MTT assay and cells
cycle distribution was analysed by using laser flow cytometer (Beckman coulter).
The cytoskeleton organisation was examined under a laser scanning confocal
microscope (Zess). Preliminary phytochemical analysis of E2F2 extract revealed
the presence of sterols, triterpenes and saponosids. E2F2 extract (1 microg and
100 microg mL(-1)) significantly inhibited cell proliferation by blocking cell
population in G0/G1 phase. Flow Cytometric analysis of E2F2-treated HT29 cells
showed that hypoploid cell population (sub G1 phase) increased with processing
time exposures. Immunofluorescence confocal analysis revealed a disrupt actin
microfilaments network in E2F2 treated-cells with a significant reduction in
actin stress fibres and appearance of a random, non-oriented distribution of
focal adhesion sites. These data indicate that E2F2 extract has anti
proliferative and pro-apoptotic activities. Further studies are required to
unravel the mechanisms of action of E2F2 extract.
PMID- 22097094
TI - Expression of epidermal growth factor receptor tyrosine kinase family in fine
needle aspiration and permanent specimens of invasive lobular and ductal breast
cancers.
AB - Recently, the role of HER-2/Neu gene amplification has been enthusiastically
investigated in breast cancer. Determining the HER-2/Neu status could be achieved
by evaluating either histologic samples or cytologic specimens obtained by Fine
Needle Aspiration (FNA). This study aimed at determining the concordance of HER
2/Neu expression in FNA and histologic sections. FNA samples, as well as their
corresponding histologic sections of 90 cases with breast cancer were evaluated
in Tabriz Sina Teaching Center in a 13-month period of time. The
immunohistochemistry was employed for determining the HER-2/Neu amplification for
both methods. The concordance rate and agreement were determined between the two
methods. Ninety specimens of women with a mean age of 50.93 +/- 10.64 (29-84)
years were assessed. There were 84 cases with invasive ductal carcinoma and 6
cases with invasive lobular carcinoma. Lymph nodes were involved in 50 cases and
there were vascular and neural involvement in 40 and 35 cases, respectively. Her
2/Neu was not detected in 27 cases (30%) with weak and strong amplifications in
47 (52.2%) and 16 (17.8%) cases of FNA specimens, respectively. Her-2/Neu was not
detected in 29 cases (32.2%) with weak and strong amplifications in 42 (46.7%)
and 19 (21.1%) cases of histologic specimens, respectively. The concordance rate
was 70% between the two methods. The agree ment was statistically significant
between the two methods, as well (kappa = 0.51, p < 0.001). HER-2/neu gene
amplification can be reliably estimated by immunohistochemistry on breast cancer
FNAs and a good correlation has been found between this and results on
histological sections.
PMID- 22097095
TI - Evaluation of serum levels of essential trace elements in patients with pulmonary
tuberculosis before and after treatment by age and gender.
AB - The purpose of this study was to evaluate the levels of Zinc, Copper, Iron and
Copper/Zinc ratio in the serum of adult patients with pulmonary tuberculosis in
Iran. Serum levels of Zinc and Copper were determined by flame atomic absorption
spectrophotometer and scrum iron concentration was measured by using an Auto
Analyzer. The study group consisted of 50 pulmonary tuberculosis patients before
treatment and after 6 months of anti-tubercular therapy. Levels of scrum Zn (p <
0.001) and Fe (p < 0.001) in TB patients were significantly increased after 6
months of anti-tubercular therapy. However, serum Cu concentration (p < 0.01) and
Cu/Zn ratio (p < 0.05) were decreased after 6 months of anti-tubercular therapy.
Some studies indicated a strong association of Zn, Cu, Fe and the Cu/Zn ratio
with TB. In this study, we found remarkable change in Cu/Zn ratio. Some
researchers mentioned that serum Cu/Zn ratio could be used as an important
laboratory marker for diagnosis and treatment of tuberculosis. They also
mentioned that trace element levels must be closely monitored during the process
of disease.
PMID- 22097096
TI - Evaluation of serum C-reactive protein level and its related factors in
hemodialysis patients in Sari, Iran.
AB - Chronic inflammation, as reflected by increased level of acute phase protein such
as C-reactive Protein (CRP) is highly prevalent in hemodialysis patients. CRP is
a strong predictor of overall and cardiovascular mortality and morbidity in
hemodialysis patients. This research was conducted to determine the C-reactive
Protein (CRP) levels and its correlation to demographic and clinical
characteristics and Laboratory values in hemodialysis patients in Sari, Iran. In
a cross sectional study, 147 hemodialysis patients were studied. Patients'
demographic and clinical data were recorded and also serum CRP, Cholesterol,
Albumin, Phosphorous, Calcium, Hemoglobin and Hematocrit levels were measured.
Overall, the mean CRP concentration was 15.8 mg L(-1). With considering to the
different cutoff point (5, 6.2, 10 mg L(-1)) for CRP level, 107 patients (72.8%)
had CRP level >5 mg L(-1), 99 patients (67.3%) had CRP level > 6.2 mg L(-1) and
77 patients (52.4%) had CRP level >10 nmg L(-1). The CRP levels greater than 6.2,
had a direct statistically significant correlation with duration of hemodialysis
and phosphorus level (p = 0.01). Also, CRP levels above 10 mg L(-1) had a direct
statistically significant correlation with age and phosphorus levels (p = 0.02).
According to the prevalence of high CRP level and it's correlation with age,
duration ofhemodialysis and phosphorus level in hemodialysis patients, CRP level
should be screened in this group of patients routinely because of its prognostic
importance.
PMID- 22097097
TI - Association of Pap smear abnormalities with autoimmune disorders.
AB - Recently, it is hypothesized that there might be an association between
immunological disorders and cervical premalignant and malignant abnormalities.
Related studies have been generally focused on some particular autoimmune
disease, specially the Systemic Lupus Erythematosus (SLE). This study aimed at
comparing the rate of Pap smear abnormalities in female patients with autoimmune
diseases and normal counterparts. In a case-control setting, 118 female patients
with various autoimmune diseases (the case group) and 118 healthy female
counterparts (the control group) were recruited in Tabriz Imam Reza Teaching
Centre in a 24 months period of time. The two groups were matched for
demographics and known risk factors of cervical malignancy. Frequencies of
abnormal Pap smear testing were compared between the two groups. The autoimmune
disorders were SLE (74 patients), rheumatoid arthritis or RA (32 patients),
systcmic sclerosis or SS (7 patients) and ankylosing spondylitis or AS (5
patients) in the case group. Frequency of abnormal Pap smear testing was
significantly higher in the case group comparing with that in the controls (7.6%
vs. 1.7%; p = 0.03). Frequency of abnormal Pap smear testing was higher in the
patients with SLE (8.1%) and RA (9.3%) comparing with that in the controls;
However, these differences were marginally nonsignificant (p = 0.06 and p = 0.07,
respectively). Frequency of cases with abnormal Pap smear testing was not
statistically different between the autoimmune disorders (p = 0.99). Based on
these findings and in conclusion, there might be an association between the
autoimmune disorders and occurrence of premalignant or malignant lesions in
cervix. Further studies with larger samples sizes are recommended.
PMID- 22097098
TI - Chemopreventive activity of sesquiterpene lactones (SLs) from yacon against TPA
induced Raji cells deformation.
AB - Yacon is a medicinal plant used as a traditional medicine by the natives in South
America. In Japan, it becomes popular as a health food. Sesquiterpene Lactones
(SLs) from yacon leaves were investigated and the active SLs such as enhydrin,
uvedalin and sonchifolin, bearing alpha-methylene-gamma-lactone and epoxides as
the active functional groups, were identified by 1H-6000 MHz-NMR. Chemopreventive
and cytotoxic activities were determined using different primary screening
methods. In this study, all tested SLs strongly inhibited TPA-induced deformed of
Raji cells. The IC50 values of yacon SLs from anti-deforming assay were 0.04-0.4
microM. Interestingly, yacon SLs showed more potential of chemo preventive
activity than both curcumin and parthenolide. However, the cytotoxicity on Raji
cells was observed at high concentration of yacon SLs. The degree of anti
deformation was ranked in order: enhydrin >uvedalin >sonchifolin >parthenolide
>curcumin. As according to structure-activity relationship, the high activities
of enhydrin, uvedalin and sonchifolin may be due to the 2-methyl-2-butenoate and
its epoxide moiety.
PMID- 22097099
TI - Relaxing music for anxiety control.
AB - The purpose of this investigation was to determine the characteristics of
relaxing music for anxiety control. Undergraduate students (N=84) were instructed
to imagine themselves in an anxiety producing situation while listening to a
selection of 30 music compositions. For each composition, level of relaxation,
the factors that either enhanced or detracted from its relaxing potential and the
emotional labels attached were assessed. Participants were also asked to state
which music components (e.g., tempo, melody) were most conducive to relaxation.
Additional information was obtained through the use of a focus group of 6
undergraduate music students. This paper presents details on the characteristics
of relaxing-music for anxiety control and emotional labels attached to the
relaxing compositions. Furthermore, an importance value has been attached to each
of the music components under scrutiny, thus providing an indication of which
music components should receive greatest attention when selecting music for
anxiety control.
PMID- 22097100
TI - Feminist music therapy pedagogy: a survey of music therapy educators.
AB - This study surveyed 188 music therapy educators regarding their views and use of
feminist pedagogy and feminist music therapy. The purpose of this study was two
fold: (a) to determine how many music therapy educators used feminist pedagogy
and (b) to determine if there was a relationship between the use of feminist
pedagogy and academic rank of the participants. Seventy-two participants
responded to this study, with 69 participants included for data analysis. Stake
and Hoffman's (2000) feminist pedagogy survey was adapted for this study,
examining four subscales of feminist pedagogy: (a) participatory learning, (b)
validation of personal experience/development of confidence, (c) political/
social activism, and (d) critical thinking/open-mindedness. The results revealed
that 46% (n=32) of participants identified as feminist music therapists and 67%
(n=46) of participants identified as using feminist pedagogy. Results of a mixed
analysis of variance revealed a statistically significant difference within the
four survey subscales (p<.0001), no significant difference (p=.32) for academic
rank, and no significant interaction (p=.08) of academic rank and the four survey
subscales. Tukey's post hoc analysis of the data indicated that the survey
subscale measuring political activism (p<.0001) was significantly lower than the
other three survey subscales. In addition, a qualitative analysis on open-ended
responses is also included. Discussion of the results, limitations, and areas for
future research are addressed.
PMID- 22097101
TI - Clinical practice of dual-certified music therapists/child life specialists: a
phenomenological study.
AB - The discipline of child life enjoys a strong presence in many medical settings
within the current pediatric healthcare environment. Due to the widespread
establishment of child life programs, music therapists often find themselves
negotiating their role and contributions to pediatric healthcare in relation to
the field of child life. There is increasing interest among music therapy interns
and clinicians in pursuing certification in child life to increase clinical
knowledge and enhance marketability. A small, but strong, cohort of dual
certified music therapists/child life specialists is currently practicing in the
field, but the nuances of their clinical practice have not been systematically
examined. The current study used an interpretative phenomenological approach to
explore the lived experiences of eight dual-certified clinicians, and to
interpret how clinicians make sense of those lived experiences. Two overarching
themes of identity and flexibility arose from the analysis: issues relating to
establishing, challenging, and modifying professional identity; and flexibility
manifested within areas of theoretical orientation, professional role, and
clinical approach. Dual-certified clinicians vary in the degree to which they
integrate the fields of music therapy and child life in practice, from complete
and seamless integration of the two, to exclusive practice of only one field,
depending upon the bounds of their positions. Participants reported that child
life training is beneficial, but not necessary for achieving advanced practice in
pediatric medical music therapy. Implications for the continuing advancement of
music therapy in pediatric healthcare are discussed.
PMID- 22097102
TI - Steady beat and state anxiety.
AB - Daily life in today's society is filled with moments of high state anxiety. State
anxiety is the amount of anxiety one is experiencing in the present moment; it is
not a personality trait. Many people need simple, cost-effective ways to self
regulate themselves so they can sleep, be more productive, and attend to their
activities of daily life. Therefore, the present study questioned whether
listening to a steady beat will decrease feelings of state anxiety in healthy
subjects. Participants (N=36) between the ages of 20 and 50 volunteered for the
study. During this study, experimental anxiety was induced and the Visual Analog
Scale served as the measurement tool for both tests. Subjects in the control
group sat in silence, while subjects in the experimental group listened to a
steady beat of 66 beats per minute. The results of an independent-samples t test
indicated significant differences between the groups on the posttest measure, t
(34)=2.41, p=.02. Subjects who listened to the steady beat reported less anxiety
than subjects who sat in silence. This study suggests that steady beat alone can
reduce state anxiety, thus providing a cost-effective and accessible means for
self-regulation in the midst of high state anxiety.
PMID- 22097103
TI - Descriptive analysis of YouTube music therapy videos.
AB - The purpose of this study was to conduct a descriptive analysis of music therapy
related videos on YouTube. Preliminary searches using the keywords music therapy,
music therapy session, and "music therapy session" resulted in listings of 5000,
767, and 59 videos respectively. The narrowed down listing of 59 videos was
divided between two investigators and reviewed in order to determine their
relationship to actual music therapy practice. A total of 32 videos were
determined to be depictions of music therapy sessions. These videos were analyzed
using a 16-item investigator-created rubric that examined both video specific
information and therapy specific information. Results of the analysis indicated
that audio and visual quality was adequate, while narrative descriptions and
identification information were ineffective in the majority of the videos. The
top 5 videos (based on the highest number of viewings in the sample) were
selected for further analysis in order to investigate demonstration of the
Professional Level of Practice Competencies set forth in the American Music
Therapy Association (AMTA) Professional Competencies (AMTA, 2008). Four of the
five videos met basic competency criteria, with the quality of the fifth video
precluding evaluation of content. Of particular interest is the fact that none of
the videos included credentialing information. Results of this study suggest the
need to consider ways to ensure accurate dissemination of music therapy-related
information in the YouTube environment, ethical standards when posting music
therapy session videos, and the possibility of creating AMTA standards for
posting music therapy related video.
PMID- 22097104
TI - Effects of a single-session assertiveness music therapy role playing protocol for
psychiatric inpatients.
AB - The purpose of this study was to implement and measure the effectiveness of a
single-session assertiveness music therapy role playing protocol for psychiatric
inpatients. Participants (N=133) were randomly assigned by group to one of three
conditions: (a) Assertiveness Music Therapy, (b) No Music Assertiveness, or (c)
Music No Assertiveness. Participants in both assertiveness conditions role played
a number of different commonly occurring scenarios at an inpatient psychiatric
facility and in the community. There were no significant between-group
differences in posttest quality of life, locus of control, or other subscales.
However, participants in both assertiveness conditions tended to have slightly
higher internal locus of control and overall quality of life scores than
participants in the music no assertiveness condition. Additionally, the
assertiveness music therapy condition had higher attendance rates than the other
conditions. A higher percentage of participants from both the assertiveness music
therapy and music no assertiveness conditions indicated they thought their
session was the most helpful/therapeutic group therapy session in which they had
participated; this was not the case for the assertiveness no music condition.
Future research is warranted to measure the effects of protocols that can help
psychiatric patients generalize skills learned in treatment.
PMID- 22097105
TI - Music therapy career aptitude test.
AB - The purpose of the Music Therapy Career Aptitude Test (MTCAT) was to measure the
affective domain of music therapy students including their self-awareness as it
relates to the music therapy career, value in human development, interest in
general therapy, and aptitude for being a professional music therapist. The MTCAT
was administered to 113 music therapy students who are currently freshman or
sophomores in an undergraduate music therapy program or in the first year of a
music therapy master's equivalency program. The results of analysis indicated
that the MTCAT is normally distributed and that all 20 questions are
significantly correlated with the total test score of the MTCAT. The reliability
of the MTCAT was considerably high (Cronbach's Coefficient Alpha=0.8). The
criterion-related validity was examined by comparing the MTCAT scores of music
therapy students with the scores of 43 professional music therapists. The
correlation between the scores of students and professionals was found to be
statistically significant. The results suggests that normal distribution,
internal consistency, homogeneity of construct, item discrimination, correlation
analysis, content validity, and criterion-related validity in the MTCAT may be
helpful in predicting music therapy career aptitude and may aid in the career
decision making process of college music therapy students.
PMID- 22097106
TI - [Getting retired--a relief or a misfortune].
PMID- 22097107
TI - Effects of changes in dynamic characteristics of the middle ear on transient
evoked otoacoustic emissions.
AB - Transient-evoked otoacoustic emissions are transmitted through the middle ear.
The purpose of this study was to investigate the effects of dynamic properties of
the transmission system on the measurability of transient otoacoustic emissions.
The authors analyzed the presence of transient otoacoustic emissions in 48
children with serous otitis media regarding the tympanogram, presence and type of
effusion and pure tone average findings. The results obtained in this research
show the predominant absence of transient otoacoustic emissions in patients with
type B tympanogram (69.1%) especially if the effusion is mucoid (77.5%) with the
hearing loss of 15 decibel hearing level. This research shows that disorders in
dynamic characteristics of the middle ear in patients with serous otitis obstruct
the transmission of acoustic energy and affect the measurability of transient
otoacoustic emissions, especially if the effusion is mucoid and hearing loss of
15 decibel hearing level.
PMID- 22097108
TI - [Contemporary treatment neuropathic pain].
AB - INTRODUCTION: Neuropathic pain, or pain associated with disease or injury to the
peripheral or central nervous system, is a common symptom of a heterogeneous
group of conditions, including diabetic neuropathy; trigeminal neuralgia,
postherpetic neuralgia and spinal cord injury. Chronic neuropathic pain should
not be thought of as a symptom. It should truly be thought of as a disease with a
very complicated pathophysiology. PATHOPHYSIOLOGY: The mechanisms involved in
neuropathic pain are complex and involve both peripheral and central
pathophysiologic phenomenon. The underlying dysfunction may involve
deafferentation within the peripheral nervous system (e.g. neuropathy),
deafferentation within the central nervous system (e.g. post-thalamic stroke) or
an imbalance between the two (e.g. phantom limb pain). CLINICAL CHARACTERISTICS:
Neuropathic pain is non-nociceptive, in contrast to acute nociceptive pain, and
it can be described as "burning", "electric", "tingling", and "shooting" in
nature. TREATMENT: Rational polypharmacy is often necessary and actually it is
almost always the rule. It would be an exception if a patient was completely
satisfied with his treatment. Treatment goals should include understanding that
our patients may need to be titrated and managed with more than one agent and one
type of treatment. There should be the balance of safety, efficacy, and
tolerability. CONCLUSION: There are many new agents and new applications of the
existing agents being currently studied which will most certainly lead to even
more improved ways of managing this very complicated set of disorders.
PMID- 22097109
TI - [Role of surgical resection in treatment of pancreatic adenocarcinoma].
AB - INTRODUCTION: Pancreatic adenocarcinoma is the fifth leading cause of death from
malignant diseases. The total five-year rate is bellow 5%, but in patients who
underwent pancreatic resection, the five-year rate may be up to 20%. Surgical
resection is still the only therapeutic option that offers the possibility of
cure. In recent decades, the perioperative mortality rate has been significantly
reduced in the institutions performing a number of these operations per year and
has become less than 5%. Postoperative morbidity remains high. MATERIAL AND
METHODS: The results of surgical resection in the treatment of pancreatic
adenocarcinoma have been analyzed. A retrospective study included the patients
operated at the Department for Abdominal, Endocrine and Transplantation surgery,
Clinical Center of Vojvodina. RESULTS: In the period from February 1st 1998 to
February 1st 2007 a total of 67 patients with pancreatic adenocarcinoma underwent
resection. The average age of patients was 58.81 +/- 1.42 years. There were 44
(65.7%) male and 23 (34.3%) female patients. The most common locations of cancer
were the head, then the body and the tail of the pancreas and they were found in
57 (85.1%) cases, 7 (10,4%) cases and 3 (4,47%) cases, respectively. The
postoperative mortality appeared in 3 (4.47%) cases and postoperative morbidity
in 21 (31.3%) cases. The average survival was 22.89 +/- 3.87 months, the median
being 9.0 +/- 2.18 months. The five-year survival rate was 13.5%. CONCLUSION: For
patients with pancreatic cancer, surgical resection still remains the only chance
of cure. These procedures are performed with acceptable postoperative mortality
and morbidity rate. The percentage of cured patients is still unsatisfactorily
low.
PMID- 22097110
TI - [Assessment of health-related quality of life in patients after acute myocardial
infarction].
AB - INTRODUCTION: Acute myocardial infarction has negative influence on patient's
quality of life. The objective of the paper was to assess the health-related
quality of life in the patients one month and twelve months after acute
myocardial infarction and to compare it with the healthy controls. MATERIAL AND
METHODS: A prospective cohort study involved 160 patients aged from 30 to 79 and
240 healthy controls. The health-related quality of life was assessed with the
Serbian version of these questionnaires: EuroQuol-5-Dimension and EuroQuolVAS.
Angina pectoris was ranked according to the classification of Canadian
Cardiovascular Society. Multivariate logistic regression analysis was used.
RESULTS: The healthy controls had significantly higher average scores in
EuroQuolVAS compared with the patients one month after acute myocardial
infarction (74.35 +/- 9.42 vs 60.50 +/- 12.03, p<0.001), as well as twelve months
afte acute myocardial infarction (74.35 +/- 9.42 vs 69.83 +/- 12.06, p<0.001).
Significantly lower average ranges in EuroQuol-5-Dimension questionnaire and
higher quality of life were found twelve months after acute myocardial infarction
than one month after acute myocardial infarction (1.41 +/- 0.26 vs 1.53 +/- 0.26,
p<0.001). The average ranges of angina pectoris were significantly lower in all
the patients twelve months after acute myocardial infarction compared with the
first month (0.78 +/- 0.51 vs 0.91 +/- 0.44, p<0.01). The multivariate regression
analysis confirmed thrombolytic therapy, percutaneous transluminal angioplasty
and age to be important factors influencing health-related quality of life.
CONCLUSION: The patients assessed their health condition to be significantly
higher twelve months after acute myocardial infarction than one month after it.
The health-related quality of life was significantly higher in patients who had
undergone the percutaneous intervention than in those who had been treated with
the thrombolytic therapy.
PMID- 22097111
TI - Prognostic significance of intracranial pressure monitoring and intracranial
hypertension in severe brain trauma patients.
AB - Since without prospective randomized studies it is not possible to have a clear
attitude towards the importance of intracranial pressure monitoring, this study
was aimed at examining the prognostic effect of the intracranial pressure
monitoring and intracranial pressure oriented therapy in severe brain trauma
patients, and at defining optimal intracranial pressure values for starting the
treatment. Two groups of patients were treated in the study, one consisted of 32
patients undergoing intracranial pressure monitoring and the second group of 29
patients without intracranial pressure monitoring in the control group. The study
was prospective with groups randomized. There were 53% survivals in the
intracranial pressure monitored patients and 34% in the control group, with no
significant difference in the survival rate between the two groups (chi2=2.11;
p=0.15; p>0.05). The average intracranial pressure in the patients with
intracranial hypertension who died was 27 mm Hg, while in the patients who
survived the average intracranial pressure was significantly lower (Student's t
test: t=2.91; p=0.008; p<0.01) and it was 18 mm Hg. We recommend starting
intracranial pressure oriented therapy when the patient's intracranial pressure
exceeds 18 mmHg during 2 hours of monitoring.
PMID- 22097112
TI - [Influence of the first episode duration on further course of bipolar disorder].
AB - INTRODUCTION: Bipolar disorder has been continuously studied due to its high
prevalence and incidence. The aim of this study was to explore the influence of
duration of the first episode of bipolar disorder on the further course of this
disorder. MATERIAL AND METHODS: The research was conducted as a retrospective
prospective, naturalistic study, which included 65 bipolar patients admitted to
the hospital. We used Student's t-test in addition to descriptive parameters,
mean, median and standard deviation. We followed the 5-year course of the
disorder after the first episode. RESULTS: Having examined the medical records of
the first episode and five-year follow-up of the course of the disease we found a
statistically significant difference (2 vs 1.54; df=120; p<0.05) in the number of
episodes following the first episode between the patients whose first episode was
longer than 2 months and the patients whose first episode was shorter than 2
months. In addition, we found a statistically significant difference (55.42 vs
42.3; df=120; p<0.05) in duration of episodes that followed the first episode
between the patients whose first episode was longer than 2 months and the
patients whose first episode was shorter than 2 months. DISCUSSION: Since these
results are in accordance with the literature, we ought to point out the
possibility of developing chronic syndromes in patients with longer first
episodes. CONCLUSION: This study is a modest contribution to the trend of
studying bipolar disorder. Better knowledge of the course would enable better
treatment, prognosis and outcome of this disorder.
PMID- 22097113
TI - Thromboprophylaxis implementation during pregnancy in women with recurrent foetal
losses and thrombophilia.
AB - Recurrent foetal loss is a significant clinical problem, occurring in 1-5% of
reproductive females. Inherited or acquired thrombophilia has been diagnosed in
50-65% of women with history of unexplained foetal loss. The low molecular weight
heparin was applied in 24 women with inherited thrombophilia and previous
recurrent foetal loss and in 6 women with primary antiphospholipid syndrome
throughout their following pregnancies. The dose of low molecular weight heparin
for the majority of women was 35-75 u/kg. Women with primary antiphospholipid
syndrome received both low molecular weight heparin and aspirin 50-100 mg daily.
Implementation of thromboprophylaxis resulted in successful pregnancy outcome in
29 out of38 pregnancies, which represents a significant improvement of pregnancy
outcome in comparison to previous 81 pregnancy losses. The number of treated
pregnancies in our study is small, but the rate of successful pregnancy outcomes
is high (76%), indicating that low molecular weight heparin may be a promising
approach to women with thrombophilia and recurrent foetal loss.
PMID- 22097114
TI - [Classical and molecular methods for diagnosis of Chlamydia trachomatis
infections].
AB - INTRODUCTION: Genital Chlamydia trachomatis infection is the leading cause of
bacterial sexually transmitted diseases in industrial countries, particularly
among young people. The consequences of chlamydial infections may involve pelvic
inflammatory disease, ectopic pregnancy and tubal factor infertility. METHODS:
Available tests for detection of chlamydia in men and women include culture in
tissue culture cells, direct immunofluorescence test, enzyme immune assay,
nucelic acid probe hibridization and polymerase chain reaction. Nucleic acid
amplification tests use different ribonucleic and deoxyribonucleic acid regions
as target molecules for amplifying Chlamydia trachomatis
ribonucleic/deoxyribonucleic acid in clinical samples. Nucleic acid amplification
tests are more sensitive than non-nucleic acid amplification tests. CONCLUSION:
Although screening programmes exist in a number of countries, the continuously
increasing prevalence of chlamydial infections demonstrates the necessity for
defining the best method for the diagnosis and the population for screening.
PMID- 22097115
TI - [Frequency of vancomycin-resistant enterococci isolated from blood cultures from
2008 to 2010].
AB - INTRODUCTION: Enterococci are important hospital-acquired pathogens. The most
commonly isolated species of the genus, Enterococcus faecalis and Enterococcus
faecium are the third to fourth-most prevalent nosocomial pathogens worldwide.
The aim of this study was to determine the frequency of resistance to vancomycin
and other antimicrobial agents of Enterococcus spp strains isolated from blood
cultures of hospitalized patients. MATERIAL AND METHODS: During the three-year
period, from 2008 to 2010, 132 strains of Enterococcus spp isolated from blood
cultures of hospitalized patients were tested for their susceptibility to
ampicillin, vancomycin, gentamycin (high-level resistance), erythromycin,
chloramphenicol, teicoplanin, ciprofloxacin by disc diffusion method according to
the Clinical and Laboratory Standards Institute recommendations. Susceptibility
of vancomycin resistant E. faecium to the same antibiotics and to linezolid,
quinopristin/dalfopristin and tigecyclin was determined using VITEK system.
RESULTS AND DISCUSSION: Resistance to vancomycin was detected in 21 (15.9%)
Enterococcus spp strains. The percentage of resistance to other antimicrobial
agents varied from 23. l% for chloramphenicol to 81.3% for ciproflxacin. All
vancomycin resistant enterococci were identified as E. faecium and belonged to
phenotype VanA. The resistance to other antibiotics was very high, except for
linezolid and quinopristin/dalfopristin (4.7%). The high-level aminoglycoside
resistance was 87.6% for gentamycin and 95.2% for streptomycin. All isolates were
resistant to ampicillin, teicoplanin and ciprofloxacin. CONCLUSION: The detected
high frequency of multidrug-resistant isolates among vancomycin resistant
enterococci is of great importance and suggests the need for further monitoring
of susceptibility in order to take adequate measures to prevent and control
spreading of resistant strains.
PMID- 22097116
TI - [Role of the chosen general practitioner in educating women on the importance of
regular gynecological examinations].
AB - INTRODUCTION: Since regular screening is the best way of preventing the
development of cervical cancer, the objective has been set to assess the
motivation of women to have regular gynecological examinations and to estimate
the role of the chosen general practitioner. MATERIAL AND METHODS: The survey was
performed on the basis of the prospective study done at the Health Centre "Novi
Sad" in 2009 during the systematic regular examinations carried out by general
practitioners. RESULTS: It was found that 60.8% of the examined women had regular
checkups; 21.5% visited their doctor once in the period of two to five years and
4.9% had undergone the examination in a period > 10 years, whereas 1.9 women had
never had an examination. Other examinees had occasional check-ups with various
time laps between them. DISCUSSION: The reasons for not visiting a gynecologist
were fear of the examination, absence of discomforts and lack of time. However,
87.2% of the examinees visited a gynecologist after they had been advised to do
so by their general practitioner. Gynecological finding was good in 87.6% of the
women, 3.4% were found to have carcinoma and 8.9% had some other abnormal
finding. CONCLUSION: According to the obtained results, it has been concluded
that the chosen general practitioner has a very important role in motivating
women to have regular gynecological examinations and in educating them on the
risk factors for developing malignant diseases and on the possible prevention.
PMID- 22097117
TI - Comparison of the results of treatment of humeral shaft fractures by different
methods.
AB - The aim of this study was to compare the functional results of non-operative and
different operative techniques of managing humeral shaft fractures. The average
follow-up was one year after the trauma in 61 patients with united fracture,
where the initial method of treatment was the definitive one. The patients were
divided into four groups: 22 were treated with hanging cast, 20 with
intramedullary nails, 10 with dynamic-compressive plates and screws and 9 with
external fixators. We estimated the presence of bone union by radiographic and
clinical evaluation and compared the results with Constant and Mayo scores. The
etiology of injuries was falls and traffic accidents in 87% of cases. The hanging
cast group had the most of elbow contractures and insufficient results of
functional scales. The plating group had lower average values of scores than
nails, especially considering the elbow function. Among subgroups, the locked
intramedullary nails had better results than Ender nails. The most complicated
cases treated with Ilizarov and Mitkovic's external fixators had good results,
especially in the treatment of open humeral shaft fractures; however, the
rehabilitation period was longer. The best average functional results were
recorded in the nailing group because of rigid fixation, solid callus formation
and return to everyday activities in the shortest time.
PMID- 22097118
TI - [Contemporary methods and mobile denture cleansers and theirs significance for
older population].
AB - A dramatic increase in the number of people living in their seventies, eighties
and nineties is associated with a loss of teeth and the use of mobile dentures.
The aim of this paper was to highlight the consequences of poor denture hygiene
on oral and general health in vulnerable elderly people, in long-term
hospitalized elderly patients and in long-term institutionalized elderly in
nursing homes. DENTURE DEPOSITS AND CONSEQUENCES OF POOR DENTURE HYGIENE: The
number of bacteria living in the mouth is getting larger after putting denture
on. Namely, a small "space" develops between jaw and denture, creating perfect
conditions for bacterial growth. Denture becomes a "reservoir" of micro
organisms. Denture deposits such as bacterial plaque, fungi, tartar and remnants
could be responsible for stomatitis prothetica, cheilitis angularis, inflammatory
fibrous hyperplasia, halitosis (bad breath), dental caries in mobile denture
carriers, mucositis and periimplantitis in mobile implant over-dentures carriers,
accelerated destruction of denture materials for underlying, such as denture base
soft liners, respiratory airways diseases, bacterial endocarditis and
gastrointestinal infections. REMOVAL OF DENTURE DEPOSITS: It is recommendable to
combine mechanical and chemical denture cleaning. Denture submersion into a
commercial cleansing solution for less than one hour is an effective cleaning
method. Commercial cleanser: specifically intended for dentures with metal parts
should be used for those dentures. Commercial cleansers with a new component of
silicon polymer, whose thin layer surrounds all denture surfaces and disables
oral bacteria to agglomerate, are effective and useful. Preventive hygienic
treatments are very important in hospitals and homes for the aged, bearing in
mind that old and ill persons reside here. Those institutions are recommended to
get equipped with ultrasound denture cleaners. IMPORTANCE OF WRITTEN BROCHURE ON
PROPER DENTURE HYGIENE: Patients should be verbally instructed and showed about
cleaning techniques, but also written instructions inform of brochure should be
distributed in order to achieve better effects.
PMID- 22097119
TI - [Prediction of renal dysfunction in patients with obstructive icterus].
AB - INTRODUCTION: Renal dysfunction is one of complications in patients with
obstructive icterus. It is important to recognize it early and take adequate
measure to prevent its occurrence. One third of the patients with obstructive
icterus have deterioration of renal function before surgical intervention. The
aim of the research was to assess the renal dysfunction markers in patients with
obstructive icterus. The following factors were examined: diuresis, urinary
sodium concentration, sodium excretory fraction, urine osmolality, osmotic
concentration index, creatinine concentration index and renal index of lesion.
MATERIAL AND METHODS: The study included 85 patients with obstructive icterus (50
patients before surgical intervention and 35 after surgical intervention) and 30
patients without icterus as a control group. The patients with normal renal
function before the development of the disease were included. RESULTS: Malignant
etiology was present in 39 patients and benign in 46 patients of the examined
group. The evaluation parameters (of renal function were examined in all of the
patients. Creatinine concentration index led to the greatest change in the
coefficient value of an internal consistency, showing that it was the best renal
function marker in the examined group of patients with icterus. The next one was
the urinary osmolality, since its exclusion would lead to a decrease in the value
of Cronbach a coefficient to 0.06. Icterus and surgical intervention show
statistically significant effects to change in the value of the markers of
laboratory differentiation of renal function, observed as an entire set.
DISCUSSION AND CONCLUSION: The examination showed that the concentration
clearances of creatinine and urine osmolality are the parameters which point to
the probability of renal dysfunction occurrence in obstructive icterus.
PMID- 22097120
TI - [Non-immune hydrops fetalis--clinical experience in newborn infants].
AB - INTRODUCTION: Non-immune hydrops fetalis is a condition of excessive accumulation
of extravascular fluid without identifiable circulating antibody to erythrocytes
membrane antigens. In newborn infants it is characterized by skin oedema and
pleural, pericardial or peritoneal effusion. In the era of routine Rh
immunization for the prevention of foetal erythroblastosis, non-immune
pathophysiologic mechanisms are presented in 76-87% of all hydropic newborns. Non
immune hydrops fetalis can be associated with numerous and various disorders. The
mortality rate may exceed 50%. This study was aimed at presenting our clinical
experience in treating newborn infants with non-immune hydrops fetalis. MATERIAL
AND METHODS: A retrospective-prospective study included newborn infants with non
immune hydrops fetalis, who were treated in the Neonatal Intensive Care Unit of
Mother and Child Health Institute of Serbia between January 1, 2001 and October
31, 2010. All valid data about aetiology, diagnosis, clinical course and outcome
were recorded. RESULTS: The diagnosis of non-immune hydrops fetalis was made in
11 newborns. The etiologic diagnosis was established in 8 patients: anaemia due
to fetomaternal transfusion in 4 patients and conatal cytomegalovirus infection,
intracranial haemorrhage, isolated pulmonary lymphangiectasia and diffuse skin
and mediastinal lymphangiomatosis in the remaining 4 patients. CONCLUSION: Non
immune hydrops of newborn infant is associated with a high mortality rate and
requires complex diagnostic and therapeutic procedures. An optimal management of
neonates with non-immune hydrops fetalis demands a multidisciplinary approach to
the treatment in a neonatal intensive care unit.
PMID- 22097121
TI - ["Basics of delivery" by Dr Miladin Velickovic, the first text-book on obstetrics
in our country].
AB - INTRODUCTION: In order to establish the School of Medicine in Belgrade it was
necessary, among other things, to prepare textbooks for undergraduate studies in
Serbian language. Considering the gynaecology and obstetrics in general, it is
interesting to mention that the manuscript "Basics of delivery", which may be
considered the first textbook of obstetrics in our country, was prepared to be
published in 1925 by Dr. Miladin Velickovic, a Head of Gynaecology Department of
the State Hospital in Novi Sad. that is, the author who had never been engaged in
teaching activities. BOOK REVIEW AND DISCUSSION: This text of 502 pages and 220
pictures encompassed the teaching material in obstetrics and basics of
neonatology in such a way that it fulfilled the void in this kind of literature
in our milieu. Three years later, Dr. Velickovic had his book "Gynaecological
haemorrhages and menstrual disorders" published, which was meant to assist
medical students to study gynaecology more comprehensively. Dr. Velickovic was
inspired to write this text by emotional reasons as well. Namely since he had
been granted the scholarship by baroness 'Eufemija Jovic' Fund, he was sent to
study medicine in Budapest. Without sufficient knowledge of Hungarian, he
realized the importance of having the textbooks for medical students in their
native language, and therefore, he did his best to achieve this vision.
CONCLUSION: This paper includes the review of book, "Basics of delivery", which
may be considered the first textbook of obstetrics in our country, as well as
biographical data of Dr. Velickovic, whose personality has been gradually falling
into oblivion.
PMID- 22097122
TI - [Current situation and future in treatment of lumbar intervertebral disc
protrusion with diskoscope].
PMID- 22097123
TI - [Minimally invasive percutanuous cannulated pedicle screw system fixation for the
treatment of thoracolumbar flexion-distraction fracture without neurologic
impairment].
AB - OBJECTIVE: To explore the feasibility and effect of percutanuous cannulated
pedicle screw AF system fixation for the treatment of thoracolumbar flexion
distraction fracture without neurologic impairment. METHODS: From June 2008 to
June 2010,21 patients with thoracolumbar flexion-distraction fracture were
treated with percutanuous cannulated pedicle screw fixation. There were 16 males
and 5 females with the mean age of 32.7 years ranging from 23 to 55 years.
Injured levels 5 cases was in T12, 13 was in L1, 3 was in L2. According to
classification of AO, B1 was in 13 cases, B2 was in 8 cases. ASIA grade of all
the patients were grade E. The mean operative time, bleeding volume, lengths of
stay were evaluated. All the patients took the X-ray and three-dimensional CT
reconstruction to observe the fracture healing and to measure the height of the
anterior border of fracture vertebral body and the Cobb angle of kyphosis. The
visual analogue scales (VAS) were compared preoperation and postoperation. The
clinical effects were analyzed according to Oswestry Disability Index (ODI) from
10 aspects, including pain intensity, personal care, lifting, walking, sitting,
standing, sleeping, sex life, social life, traveling. RESULTS: All the operations
were successful and the mean time was (109.0+/-16.0) min, blood loss was (90.0+/
15.0) ml, lengths of stay was (7.7+/-2.3) d. No postoperative neurological
deficits or wound infection occured. One case occurred subcutaneous fluid of
incision and 1 case occurred delayed healing of incision. Fifteen patients were
followed up with an average of 16.7 months (12-33 months). The VAS score improved
from preoperative 8.3+/-1.7 to 1.8+/-1.2 at final follow up (P<0.05). The ODI of
last follow-up was (10.0+/-1.2)%. Three-dimensional CT reconstructions and X-rays
showed the height of vertebral body increased from preoperative (54.0+/-17.1)% to
(82.7 - 3.5)% at 12 months after operation (P<0.05). Cobb angle of kyphosis
changed from preoperative (23.0+/-13.1) to (6.9+/-5.1) degrees at 12 months after
operation (P<0.05). The healing time of fracture was (4.7+/-0.3) months. There
were no significant loss of vertebral height or kyphosis correction between
postoperative 1 months and last follow-up (P>0.05). There were satisfactory
fracture reduction and good position of internal fixation,and no loss of
reduction within 12 months and no fixation failure in follow-up. CONCLUSION: The
minimally invasive percutaneous cannulated pedicle screw fixation technique is
feasible in treatment of thoracolumbar flexion-distraction fracture without
neurologic impairment. This technique can avoid re -injury of posterior ligaments
and bone structure, and may rebuild posterior tension band structure with the
advantages of less trauma, less bleeding,shorter operative time, rapid
postoperative recovery and so on.
PMID- 22097124
TI - [Follow-up of discectomy with transforaminal endoscope through interlaminar
approach for lumbar disc herniation].
AB - OBJECTIVE: To evaluate the outcomes of discectomy with transforaminal endoscope
through interlaminar approach in treating lumbar disc herniation. METHODS: From
April 2009 to April 2010, the clinical data of 27 patients with lumbar disc
herniation were retrospectively analyzed. The patients were treated with
discectomy by transforaminal endoscope through interlaminar approach, including
20 males and 7 females, with an average age of 41.8 years, ranging from 21 to 69
year; of them, 12 patients with "from inside to outside" approach and 17 patients
with "from outside to inside" approach. All the patients were followed up.
Clinical effect were evaluated according to Oswestry Disability Index (ODI) and
modified MacNab standard. RESULTS: The operation of one case was stopped because
of unobvious visual field of bleeding and the one case was transferred to
microendoscopic discectomy. Other operations of 25 cases were successful. Among
27 patients, 20 cases were followed up from 12 to 24 months with an average of
(18.0+/-2.5) months. The mean of ODI improved from preoperative (75.4+/-7.8)% to
(13.0+/-20.5)% at final follow-up (P=0.000). According to modified MacNab
standard, 7 cases obtained excellent result, 9 good, 1 fair and 3 poor. Among the
poor outcome, one patient accepted the classical discectomy because of recurrent
herniation of same level three months later,and the other two need take medicine.
CONCLUSION: The discectomy with transforaminal endoscope through interlaminar
approach for lumbar disc herniation is effective by decompress through from
outside to inside access and from inside to outside access,the former is
recommended to the dural sac and nerve root compressed to collateral side by huge
protrusion and the latter is recommended to relatively smaller protrusion with
long time conservative therapy.
PMID- 22097125
TI - [Micro-endoscopic discectomy for the treatment of lumbar disc herniation in
senile patients over seventy years old].
AB - OBJECTIVE: To explore applicability and therapeutic effects of Micro-endoscopic
discectomy (MED) for the treatment of lumbar disc herniation in senile patients
over seventy years old. METHODS: Thirty-two patients over seventy years old with
lumber disc herniation were treated by MED from December 2007 to June 2010. Among
them,20 patients were male and 12 patients were female,ranging in age from 70 to
86 years, with an average of 78.5 years old. The course of diseases ranged from 2
weeks to 30 years, with an average of 3.5 years. The main clinical symptoms were
low back pain with radiating pain in lower extremities, especially under the keen
joint. The surgical time, blood loss, complications and function recovery were
evaluated retrospectively immediately after operation. X-ray at 1 week after
operation was used to observe the change of physical curvature of lumbar; MRI at
1 month after operation was used to observe the radiographic change of lumbar
before and after treatment; MacNab standard was applied to evaluate the
therapeutic effects at 3 months after operation. RESULTS: The mean follow up
period was 12.5 months (from 3 to 30 months). The mean operative time was 60 min
(from 30 to 120 min) and the mean blood loss was 45 ml (from 15 to 150 ml).
Leakage of cerebrospinal fluid occurred in 1 case, the operation continued after
pressing by brain cotton and without nerve root and vessels injury; 1 case
aggravated after revive, but other patients were eased. X-ray at 1 week after
operation showed physical curvature of lumbar of 25 patients improved; MRI at 1
month after operation showed residue intervertebral disc and calcification tissue
in 8 cases. Eleven patients still had numbness of limbs,but the pain and
tenderness of limbs relieved. According to MacNab standard at 3 months after
operation, 25 cases got an excellent result (78.1%), 6 good (18.8%) and 1 fair
(3.1%). CONCLUSION: MED is effective for the senile patients over 70 years old
with lumbar disc herniation and promotes ambulation earlier. MED has the
advantage of minimal invasive, less blood loss and good clinical effects; MED is
suitable for the patients with obvious radiating pain in lower extremities,
especially under the keen joint and combine with mild spinal stenosis and root
stenosis.
PMID- 22097126
TI - [Treatment of lumbar disc herniation combine with buttock tender node with
Pizhen].
AB - OBJECTIVE: To observe the therapeutic effects of Pizhen in treating lumbar disc
herniation combined with buttock tender node. METHODS: From August 2009 to
February 2010,83 patients (29 males and 54 females) with lumbar disc herniation
combined with buttock tender node were randomly divided into the Pizhen group and
acupuncture group. Pizhen group were treated with Pizhen manipulation, inserting
needle by vertical prick with quacking inserting and quick withdrawing the
needle, no rotating and the depth was through the surface of fascia, two times as
a course of treatment; acupuncture group were treated with acupuncture, inserting
Ashi-point, retaining the needle 20 min, ten times as a course of treatment. VAS
scoring at the end of treatment and B ultrasonic image at 3d after treatment was
carried out to observe the therapeutic effects. RESULTS: B ultrasonic image of
buttock tender node showed inflammation reaction or ellipse. The width of the
inflammation reaction decreased after treatment, but there has no statistical
significance (P=0.635). There was no significant difference between two groups
(P=0.813). CONCLUSION: Pizhen manipulation is better than acupuncture. B
ultrasonic image gives morphological performance of buttock tender node, the
content is inflammatory infiltrates. B ultrasound has certainly significance in
diagnosing, treating and evaluating the clinical effect of Pizhen manipulation on
treating buttock tender node.
PMID- 22097127
TI - [Micro-decompression procedure for the treatment of lumbar spinal stenosis with
multilevel].
AB - OBJECTIVE: To summarize the clinical application results of the micro
decompression procedure for the treatment of lumbar spinal stenosis with
multilevel. METHODS: From January 2004 to December 2008, 40 patients with lumbar
spinal stenosis with multilevel were treated by micro-decompression procedure.
There were 28 males and 12 females, ranging in ages from 55 to 80 years,with an
average of 58 years. The course of this disease ranged from 18 months to 12
years,averaged 32 months. Forty patients with lumbar spinal stenosis with
multilevel were diagnosed by CT or MRI examination. There were 20 cases with two
levels stenosis (L4,5 and L5S1), 15 cases with three levels stenosis (L3,4, L4,5
and L5S1), and 5 cases with four levels stenosis (L2,3, L3,4, L4,5 and L5S1). The
therapeutic effects were evaluated according MacNab standard in aspect of pain,
bladder function, range of lumbar spine motion and muscle strength of lower limb.
RESULTS: All of the incisions healed without infections and complications. The
mean operation time of each side was 70 minutes (ranged from 50 to 90 minutes),
and mean blood loss was 150 ml (ranged from 90 to 200 ml). All the patients were
followed up from 22 to 52 months with an average of 26 months. According to
MacNab standard, 28 cases got an excellent result, 10 good and 2 poor.
CONCLUSION: Operative treatment for lumbar spinal stenosis with multilevel should
focus on the symptom sites causing by neural compression and preventive
decompression operations are not necessary for nonsymptomatic sites. The micro
decompression procedure can be easily tolerated by older patients;it can decrease
the damage to the posterior stabilizing structures of the lumbar spine. It is
easily to access to spinal canal and decompress the nerve roots.
PMID- 22097128
TI - [Clinical analysis of CT guided unilateral PVP for the treatment of osteoporotic
vertebral compression fracture in senile patients].
AB - OBJECTIVE: To evaluate the therapeutic effect and security of CT guided
unilateral percutaneous vertebroplasty (PVP) for the treatment of osteoporotic
vertebral compression fracture (OVCF) in senile patients. METHODS: From April
2009 to June 2010, 26 patients undergoing CT guided unilateral percutaneous
vertebroplasty were analyzed retrospectively. There were 9 males and 17
females,ranging in age from 60 to 85 years with an average of (67.50+/-6.76)
years, ranging in course of disease from 2 to 30 days with an average of (8.92+/
4.36) d. The affected segments involved 35 vertebras. The major clinical
manifestations of OVCF were lumbar-back pain (especially when turning over or
stooping down) and unable to bear. The needle was punctured into vertebral of
lesions through unilateral puncture under the CT guidance; and then 3-5 ml bone
cement was injected into vertebral. Antibiotic was used 3 days to prevent
postoperative infections. Postoperative complications were observed after
operation, such as local leakage of bone cement, penetrating spinal cord and/or
segmental spinal nerve injuries and pulmonary embolism. X-ray was used to measure
the height of anterior, middle and exterior of vertebral before and after
treatment. A visual analog scale (VAS) scoring was applied to evaluate pain score
preoperative, 48 hours postoperative and the terminal follow-up. RESULTS: Twenty
six patients achieved success in punctuation without serious complications. Local
leakage of bone cement occurred in 6 cases, but without clinical symptoms or
signs. One patient suffered from acute intraoperative reactions to bone cement
and relieved by 5 mg dexamethasone and oxygen. All patients were followed up for
6 to 12 months [averaged (8.4+/-1.6) months]. The postoperative vertebrae height
was higher than preoperative,but there was no statistical difference between
postoperative and preoperative (P>0.05). Preoperative VAS scores was 7.63+/-0.92,
postoperative score was 3.00+/-1.09, the final follow-up score was 2.38+/-1.17;
there was significant difference between preoperative and postoperative at 48
hours (P<0.05), but there was no statistical difference between final follow-up
and postoperative at 48 hours (P>0.05). CONCLUSION: Unilateral PVP under CT
guided can increase the vertebral strength and stabilize vertebral body,and the
procedure is a safe and effective method for OVCF in elderly patients.
PMID- 22097129
TI - [Treatment of the 3rd lumbar vertebrae transverse process syndrome with spatula].
AB - OBJECTIVE: To investigate the application and clinical effects of spatula for the
treatment of the 3rd lumbar vertebrae transverse process syndrome. METHODS: From
December 2009 to October 2010, 38 patients with the 3rd lumbar vertebrae
transverse process syndrome were treated by spatula, of them, 33 patients were
follow-up. Among the patients,19 cases were male and 14 cases were female,with an
average age of (37.6+/-7.8) years (ranged from 28 to 58 years). The course of
this diseases ranged from 10 days to 14 months with an average of (126.9+/-14.7)
days. The main symptoms of the patients including unilateral lumbar pain, lateral
bend waist limitation,the ache became even worse after exertion or prolonged
sitting and radiated to the affected side of thigh and popliteal fossa,but the
pain never exceed knee joint. The tenderness at the tip of the 3rd lumbar
vertebrae was obviously and the contract muscle bundle could be touched. The X
rays showed the two sides of the transverse were asymmetric. CT or MRI was used
to exclude spinal stenosis,tumor,and intervertebral disk hernia. Spatula was
applied for sow discord and solution accretion, and injected compound medicine
(0.2% Lidocaine 3 ml, Triamcinolone acetonide 5 ml, add 0.9% physiological
sailine 2 ml to 10 ml). If the symptoms did not improved after the first
treatment, start the second treatment one week later, but it was not more than
three times. According to the standard of JOA score, the effects before and after
treatment were evaluated by low back pain, leg pain or numbness, gait, Straight
Leg Raising test, sensory and movement disorder,bladder function test. RESULTS:
Thirty-three patients were followed up for 2-12 months with an average of 4
months. All of the incisions were healed without complications. The clinical
symptoms were improved and 22 cases were treated once, 7 cases twice and 4 cases
3 times. According to JOA evaluation criteria,the score before and after
treatment was increased from (7.03+/-3.81) to (13.29+/-3.78), and 26 cases got
excellent result, 5 good, 2 fair. CONCLUSION: 3rd lumbar vertebrae transverse
process syndrome is one of the indications of the spatula. If the diagnose is
correct, lesions position precisely located and operation performed properly, the
treatment by spatula showed confirmed therapeutic effects, low complication and
high safety.
PMID- 22097130
TI - [Problems and solutions in minimally invasive process of posterior discectomy for
lumbar disc herniation].
AB - OBJECTIVE: To analyze the problems and complications of posterior discectomy for
lumbar disc herniation. METHODS: From January 2005 to June 2010, 497 patients
with lumbar disc herniation were treated by posterior discectomy, which data were
analyzed retrospectively. There were 395 males and 102 females,ranging in age
from 20 to 78 years with an average of 43.7 years. Among them, 405 cases were in
single gap, 86 cases were in double gaps and 6 cases were in three gaps. The
complication of operation and solution was analyzed. RESULTS: The mean operative
time was 70 min (from 45 to 210 min), and the mean hospitalization was 10 d (from
5 to 20 d). Forty-seven cases suffered operative complications. There were 16
cases of wrong location of segments (14 cases occurred in operation and 2
occurred after operation), 15 cases of less alleviation or aggravation of nerve
symptoms (12 cases were poor alleviation and 3 cases were aggravation), 10 cases
of urinary retention, 5 cases of cerebrospinal fluid leakage and 1 case of
infection. CONCLUSION: Minimally invasive process of posterior discectomy in
treating lumbar disc herniation may complicate with many problems. The operative
effects can be improved and the complication can be decreased if the ability of
location is improved,surgical indications is correct and the operation is exactly
performed.
PMID- 22097131
TI - [Effective analysis of percutaneous reduction and Kirschner pin fixation for the
treatment of intraarticular fractures of the calcaneus in children].
AB - OBJECTIVE: To introduce and evaluate the clinical effects of percutaneous
reduction and Kirschner pin fixation for the treatment of intraarticular
fractures of the calcaneus in children. METHODS: From March 2001 to February
2009,12 patients with intraarticular calcaneal fractures were treated by
percutaneous reduction and Kirschner pin fixation (13 feet). There were 8 males
and 4 females,ranging in age from 3 to 14,with an average of 8.7 years. According
to Essex-Lopresti classification, among 5 feet were tongue fractures and 8 feet
were compressed fractures. According to Sanders classification, 9 feet were type
II and 4 feet were type III. The Biihler angle and Gissane angle of the calcaneus
were obtained before and after operation. All patients were evaluated according
to Maryland Foot Score. RESULTS: All the patients were followed up for 16-71
months (means 35.9 months),and all the incisions were healed without
complications and infection. The preoperative X-ray film showed that Bohler angle
was (19.7+/-5.3) degrees, Gissane angle was (137.3+/-7.5) degrees. The
postoperative X-ray film demonstrated that Bohler angle was (32.6+/-3.7) degrees,
Gissane angle was (125.4+/-2.9) degrees. There was a significant difference
between preoperative and postoperative (P<0.01). The average Maryland score was
96.3+/-2.4 (range, 92 to 100 points). CONCLUSION: Percutaneous reduction and
Kirschner pin fixation is an effective minimally invasive way to treat
intraarticular fractures of the calcaneus in children, it has many advantages
such as minimal invasion, reliable fixation and satisfactory effects.
PMID- 22097132
TI - [Experimental study of ectopic bone formation of engineered bone constructs under
the periosteum of New-Zealand rabbits].
AB - OBJECTIVE: To study the feasibility of ectopic bone formation for engineered bone
constructs with bone marrow stromal cells (BMSCs). METHODS: BMSCs were obtained
from 3-month female New-Zealand rabbits with weight of 3 kg, induced to
osteogenitor cell, were expanded by culture and then seeded into the porous beta
tricalcium phosphate (beta-TCP) particles. Engineered bone constructs were
implanted under the periostem of rabbit femur. Samples were retrieved and examed
after 3 months. Blood vessels and osteoblast were examined through optical
microscope. RESULTS: Twelve implanted engineered bone particles of 16 samples
were fixed well under periosteum and rounded by periosteum. There were a lot of
vessels and new bone in engineered bone. The structure of bone was disorder; the
vessels arranged equally. Four cases found implanted bone freed outside of
periosteum,lots of implanted material were absorbed,the volume of residual was
less than osteogenesis, and lack of blood vessel. 80% engineered bone constructs
attached to the femur under the periostem very well,osteogenesis was fine and
vessels were growed into new bone. CONCLUSION: Engineered bone can obtained good
ectopic bone under the periostem.
PMID- 22097133
TI - [Effects of different concentrations of gubishu containing serum on the
proliferation of rabbit articular chondrocytes in vitro culture].
AB - OBJECTIVE: To investigate the effects of different concentrations of Gubishu
containing serum on the proliferation of rabbit articular chondrocytes cultured
in vitro. METHODS: Articular chondrocytes were obtained from the cartilage of 1
month rabbit and cultured in vitro. They were randomly divided into 8
groups,blank and Gubishu groups in different concentrations (5%, 10%,15%, 20%),
MTT assay method was adopted to observe the influence of Gubishu containing serum
with different concentrations to the proliferation of chondrocytes after
incubated 1, 3, 5, 7 and 9 days. RESULTS: The proliferation of chondrocytes was
dependent on the concentration in Gubishu groups. At same time point,there was
significant value between every groups, 20% concentration was greatest (P<0.05);
There was significant differences between 5%, 10% and 20% concentration of the
blank groups at same time point (P<0.05), and was not between 15% and 20%
concentration at the 1, 3, 5 and 7 days (P>0.05), 20% concentration of the blank
group was greatest. 20% concentrations of Gubishu containing serum was
significantly greater than 20% concentrations of blank group at the 1, 3, 5 and 7
days (P<0.05). CONCLUSION: 20% concentrations of Gubishu containing serum can
significantly increase the proliferation of chondrocytes, and bring the
logarithmic growth period forward to the 3 day.
PMID- 22097134
TI - [Treatment of forearm double fracture with manipulative reduction and hard paper
splints].
AB - OBJECTIVE: To explore the therapeutic effects and complications of manipulative
reduction and hard paper splints in treating forearm double fracture. METHODS:
From November 2005 to December 2010,38 patients with forearm double fracture were
treated with manipulative reduction and hard paper splints immobilization,
including 26 males and 12 females, aged from 18 to 66 years (averaged 28 years).
The time from injury to manipulation was 20 min to 2 d with an average of 8
hours. Before treatment, the patients manifested swelling, pain,
deformity,abnormal activity of injured limbs, dysfunction of elbow and wrist
extension-flexion and forearm supination-pronation; X-rays showed fractures
occurred in radius and ulna. Fracture healing, flexion function of elbow and
wrist, supination-pronation function of forearm were used to evaluated the
therapeutic effects. RESULTS: All the patients were followed up, and the duration
ranged from 3 to 8 months,with a mean of 5.7 months. According to Anderson grade
criterion of forearm fractures, 33 cases were excellent and fracture healing,
which loss of elbow or wrist flexion and extension ranging <10% and forearm
rotation <25%; 5 cases were good and fracture healing,which loss of elbow or
wrist flexion and extension ranging <20% and forearm rotation loss <50%; there
was no dissatisfactory or failure cases. CONCLUSION: This method makes the
fixation firmly, safely and utility, prevents the sore of pressing and necrosis,
and worths further clinical applications.
PMID- 22097135
TI - [T3, T4 pathologic fracture with incompletely paralysis caused by Langerhans cell
histiocytosis: a case report].
PMID- 22097136
TI - [Treatment of high-pressure paint injection injuries of hand with debridement
combined with vacuum sealing drainage technique].
AB - OBJECTIVE: To explore the effects of debridement combined with vacuum sealing
drainage (VSD) technique in treatment of high-pressure paint injection injuries
of hand. METHODS: From April 2005 to August 2010,14 patients with high-pressure
paint injection injuries of hand were treated with debridement and VSD technique
within 6 hours after injury. All the patients were male,ranging in age from 23 to
47 years with an average of 36.5 years. All injuries occurred left hand,thumb
injured in 5 cases,index finger in 3 cases, middle finger in 2 cases and palm in
4 cases. Injured hands swelled obviously with poor blood circulation. When the
wounds were covered with fresh granulation tissue without inflammatory effusion
after operation of 3-4 times, the skingrafting (9 cases) or transfer flap (5
cases) were done on the wounds. RESULTS: All the patients were followed up from 8
to 16 months with an average of 12 months. All the wounds obtained good healing.
Therapeutic effects were estimated according to TAM criteria, 7 cases were
excellent,6 good and 1 fair. CONCLUSION: In high-pressure paint injection
injuries of hands,debridement combined with VSD technique can avoid wound
infection,promote the growth of granulation tissue. It is beneficial to wound
healing.
PMID- 22097137
TI - [Observation of CT three-dimensional reconstruction in the treatment of standard
manipulation for lumbar intervertebral disc herniation].
AB - OBJECTIVE: To observe the morphological change of prominence through CT three
dimensional reconstruction before and after manipulative treatment and in order
to investigate biomechanical effect of manipulation in treating lumbar
intervertebral disc herniation (LIDH). METHODS: From December 2009 to May 2010,
24 patients with LIDH (32 herniated discs) with the unilateral typing,which were
treated with manipulation (on alternate day one time and every time about 20 min,
3 weeks as a course of treatment). There were 10 males and 14 females, ranging in
age from 25 to 54 years with an average of 36.2 years, in course of disease from
2 days to 10 years with an average of 6.9 years. Protrusible 12 discs were in
L4,5 and 20 discs were in L5S1. According to typing of distance between
prominence and zygapophysial joint or vertebral plate (ligamentum flavum), 5
cases were type I, 13 cases were type II and 6 cases were type III. After a
course of treatment,the morphological changes of prominences were analyzed in the
same level of CT three-dimensional reconstruction, including contour map of nerve
root sheath side distance (TD), the distance between prominence and zygapophysial
joint or vertebral plate (ligamentum flavum), the deviated angle of prominence
(AN value) and the sagittal index (SI value). RESULTS: From the contour map of
TD, 19 patients (79.2% of the total) can be identified morphological changes
after the treatment; from the distance between prominence and zygapophysial joint
or vertebral plate (ligamentum flavum), 7 cases with type II turned into type I
and 2 cases with type III turned into type II after treatment; AN value increased
after treatment (P<0.05),it showed prominence occurred morphological change
toward deviated direction of intervertebral foramina; there was no significant
difference in SI value between before and after treatment (P>0.05). CONCLUSION:
Standard manipulation can make prominence change, the prominence and nerve roots
release, and mutual position improve,which can provide imaging evidence for the
study in biomechanical effects.
PMID- 22097138
TI - [Clinical study on the prevention of heterotopic ossification after total hip
arthroplasty by Xiaozhong Zhitong].
AB - OBJECTIVE: To explore the therapeutic effects of Xiaozhong Zhitong mixture
preventing heterotopic ossification (HO) after total hip arthroplasty. METHODS:
From July 2006 to October 2009, 154 patients underwent total hip replacement
surgery were randomly divided into sham group (group A, 50 cases), indomethacin
group (group B, 55 cases) and Xiaozhong Zhitong mixture group (group C, 49
cases). Among 154 patients, 9 cases were primary osteoarthris, 34 cases
osteoarthritis secondary to acetabular dysplasia, 98 cases osteoarthritis
secondary to avascular necrosis of the femoral head, 2 cases rheumatoid
arthritis, 5 cases femoral neck fracture, 6 cases other diseases. Modified Gibson
approach was used during the operation. After operation, group A was no
preventing treatment, group B was treated by indomethacin 50 mg every time, twice
a day; group C was treated by Xiaozhong Zhitong mixture 50 ml every time, twice a
day for 4 weeks. Eighteen months after operation was study termination point and
X-ray (including the double hip anteroposterior,obturator oblique and iliac
oblique film) was used to observe whether heterotopic ossification was formed
(Brooker classification was used to evaluate ossification degree); Harris scoring
was used to evaluate the function of hip joint,including PAHSS 80 scores and
IAHSS 20 scores. RESULTS: All the patients were followed up,with the average of
duration of 21.2 months. The condition of heterotopic ossification: for group
A,there were 27 cases with heterotopic ossification(54%) ,and Brooker I in 8
cases, II in 9 cases, III in 8 cases and IVin 2 cases; for group B, there were 12
cases heterotopic ossification (21.82%), and Brooker I in 10 cases, II in 2
cases; for group C, there were 11 cases heterotopic ossification(22.45%), and
Brooker I in 9 cases, I in 2 cases. There was significant difference among three
group in heterotopic ossification by rank test (P<0.05), but no difference
between group B and C (P>0.05); there were no significant difference among three
groups before treatment in Harris, PAHSS and IAHSS by analysis of variance (one
way ANOVA) (P>0.05), and has significant difference at 18 months after treatment
(P<0.01). There were significant difference in Harris, PAHSS and IAHSS before and
after treatment at 18 months (P<0.01). LSD-t was used to analyzed the scoring of
Harris, PAHSS and IAHSS, there was significant difference among group A and group
B and group C (P>0.05), but no difference between group B and C (P<0.01). There
were gastrointestinal reaction in 5 of group A, 35 in group B and 4 in group C.
CONCLUSION: The effect of Xiaozhong Zhitong mixture on the prevention of
heterotopic ossification after total hip arthroplasty is similar to indomethacin,
but Xiaozhong Zhitong mixture has the advantages of less side effects and easily
acceptance by patients.
PMID- 22097139
TI - [Thinking on conception and name of the "subluxation"].
AB - "Subluxation" is the core of pathological conception of spinal injuries treated
by spinal manipulation. The conception of "Subluxation" not only includes changes
of joint anatomy,but also emphasizes on joint dysfunction which is caused by
changes of the anatomical position. The name of "Subluxation" in manual medicine
is the same as modern medicine,but the content is obviously different.
Nonetheless, it would be confused and not reflect the characteristics of manual
practices. So we can consider changing the name of "Subluxation" in manual
medicine into "Yatuowei".
PMID- 22097140
TI - [Anterior-posterior operation with posteriorly limited fixation for the
irreducible old dislocation of the inferior cervical vertebra combined with tiny
joint interlocking].
AB - OBJECTIVE: To investigate the clinical outcome and application value of single
stage circumferential surgery for irreducible old dislocation of the inferior
cervical vertebra combined with tiny joint interlocking. METHODS: From Mar. 2004
to Aug. 2010,18 patients with old dislocation of the inferior cervical vertebra,
in which 16 showed double joint interlocking and the others 2 showed single by
the three dimensional CT scan. MRI showed that all patients had intervertebral
disc injury including intervertebral disc rupture (9 cases), intervertebral disc
herniation (2 cases) and the fracture of inferior cervical vertebra accompanying
with intervertebral disc rupture (7 cases). All patients were treated with
anterior-posterior operation with posteriorly limited fixation. Stability and
fusion rate of injury segment were observe by X-ray and CT scan;function of
spinal cord was assessed by Frankel grade criteria. RESULTS: All patients were
followed up from 6 to 12 months with an average of 8.6 months. Dislocation of
cervical vertebra got complete reduction and all grafts got fusion. There was no
complication of internal fixation breakage, loosening ,displacement and there was
no injury of blood vessel, nerve, esophagus during the operation. No function of
spinal cord got worse after operation and the function improved by 1.2 grades in
average in Frankel grade. CONCLUSION: Single stage circumferential surgery in
treating irreducibly old dislocation of inferior cervical vertebra combined with
tiny joint interlocking can complete recover the sequence of the cervical
vertebra and relieve the compression of spinal cord and can obtain postoperative
immediate stability for injury segment and will not aggravate the injury of the
spinal cord and may create beneficial condition for functional recovery of the
spinal cord.
PMID- 22097141
TI - [Diagnosis and treatment of tarsometatarsal joint complex injury].
AB - OBJECTIVE: To explore the diagnosis and treatment of tarsometatarsal joint
complex injury (TJC). METHODS: From January 2007 to January 2009,16 patients with
tarsometatarsal joint complex injury were treated with open reduction and
internal fixation. There were 12 males and 4 females, ranging in age from 21 to
45 years with an average of 34.1 years. Seven cases were left and 9 cases were
right and all injuries caused by direct violence. Four cases caused by traffic
accident 5 by fall from high and 7 by crush injury. Intercuneiform dislocation
were in 11 cases, naviculocuneiform joint dislocation in 3 cases and cuboid
fracture in 2 cases. All the cases were three column injuries. According to the
situation of exploring and the stability, screw fixation was used for intertarsal
joint, internal and middle column tarsometatarsal joint, the Kirschner wire
fixation for external column and miniature plate fixation for comminuted fracture
of metatarsal bones and compressible fracture of cuboid. The criteria of the
AOFAS Foot and Ankle Surgery by the United States Association of ankle-rear foot
functional scale was used to evaluate the clinical effect. RESULTS: All the
patients were followed up,the duration ranged from 6 to 18 months(averaged 12.6
months). According to the score system of AOFAS,the total score was (74.6+/-10.4
) points, including pain items of (29.3+/-5.9), the score of functional items of
(32.4+/-5.6) points, and power lines of (12.9+/-2.6). All the incisions were
primarily healed without infection, skin necrosis,fixture broken or loosen. Three
cases received arthrodesis due to osteoarthritis. Four cases were followed up
continually because they only had the radiologic osteoarthritis without pain.
CONCLUSION: Anatomical reduction and stable fixation is the key point of the
treatment of tarsometatarsal joint complex injury. Open reduction and internal
fixation at the first stage is good for secondary arthrodesis.
PMID- 22097142
TI - [The causes and preventive methods of misdiagnosis on wrist scaphoid bone
fracture].
AB - OBJECTIVE: To retrospectively analysis the curative effect of wrist scaphoid bone
fracture,and explore the causes and preventive methods of misdiagnosis. METHODS:
From September 2007 to September 2010,16 patients with wrist scaphoid bone
fractures were treated with plaster cast and cannulated screws fixation. There
were 10 males and 6 females,ranging in age from 26 to 44 years with an average of
35 years. Among them, 12 cases manifested swelling pain of radial lateral wrist,
tenderness at snuffbox area, wrist pain aggravated when stretching wrist joint,
thumb or forefinger; 4 cases manifested no obviously symptoms and limited
movement; 9 cases were early diagnosed; 5 cases were treated by plaster cast; 4
cases were treated with cannulated screws fixation; Among 7 cases with
misdiagnosis, there were 4 cases without obvious symptoms and they were dealt
with activating blood to dissipate swelling and pain process in preliminary
stage. Four cases were treated with plaster cast and 3 cases with cannulated
screws fixation. RESULTS: All the patients were followed up from 3 months to 39
months (averaged 21 months). Among 16 patients, 9 cases were early diagnosis, 7
cases were misdiagnosis and the rate of misdiagnosis was 43.8%. Seven cases with
screws fixation were no wound infection. There was 1 case with occurred chronic
pain and declining wrist mobility in both plaster cast and screw group, and both
of them were misdiagnosed. According to curative effect rating criteria,these 2
cases were classified into moderate, other 14 cases were excellent. CONCLUSION:
Wrist scaphoid bone fracture are easy to misdiagnose, so early diagnosis and
treatment is particularly important. The main causes of misdiagnosis are
nonspecific symptoms at early stage, combination with other injuries, lack of
knowledge and ignorance of the further examination. Therefore, detailed inquiries
and particular examination, multi-dimensional radiography and CT scan or MRI scan
are the main measures for prevention.
PMID- 22097143
TI - [Herbert screw fixation for the treatment of capitulum radius fracture: a report
of 15 cases].
AB - OBJECTIVE: To observe the short-term therapeutic effects of Herbert screw
fixation on type Mason II, Ill radial head fractures. METHODS: From March 2008 to
July 2010,15 patients with Mason II, III radial head fractures were treated by
open reduction and Herbert screw fixation including 6 males and 9 females with
the mean age of 32 years (from 18 to 55). Seven cases were left and 8 cases were
right. The interval from injury to hospitalization ranged from 3 to 10 hours. The
clinical symptoms were swelling, pain, restricted movement in elbow. Bonycrepitus
were heard on the elbow joint and X-ray film showed radial head fractures. Mayo
elbow scoring system was used to evaluate recovery of elbow function. RESULTS:
All the incisions primarily healed without myositis ossificans. All the patients
were followed up for 6 to 15 months. According to Mayo elbow score, the result
was excellent in 8 cases, good in 5 cases and fair in 2 cases. Mayo score was
(86.67+/-1.26) points, which including pain (53.33+/-9.76), joint
function(27.33+/-4.58), joint stability(6.00+/-2.07) elbow joint mobility was 70
degrees-130 degrees with the average of (105+/-10) degrees, forearm rotation was
1000-1300 with the average of (120+/-16) degrees. CONCLUSION: Treatment of Mason
II, II radial head fractures with Herbert screw fixation has advantages of
satisfactory reduction, reliable fixation,easy operating and early movement of
joint.
PMID- 22097144
TI - [Surgical treatment for multiple thoracolumbar fractures through posterior
approach].
PMID- 22097145
TI - [Diagnosis and treatment of scapula fractures].
AB - Scapula fractures are rare and frequently occur secondary to high-energy trauma.
Ninety percent of patients are often accompied with other potentially life
threatening injuries. Diagnosis of scapula fractures are often overlooked for the
heavy injuries during the first diagnosing. Most patients can get satisfactory
outcome by conservative treatment. However,the operative intervention should be
considered for the patients who have displaced or intra-articular fracture in
order to accelerat fracture healing and improve functional recovery. In this
paper,we reviewed the diagnosis and treatment of scapula fractures.
PMID- 22097146
TI - [Application of collagen hydrogel in cartilage tissue engineering].
AB - Articular osteocartilage injury caused by trauma or bone disease is very common
in clinical practices,the proportion of cartilage defects reached 40.31%. As the
low self healing abilities of articular cartilage, the technology of tissue
engineering becomes a new method to treat articular cartilage injuries with
regenerative medicine. Scaffolds can be divided into preformed and hydrogel
scaffolds according to properties. The traditional graft of pre-formed scaffold
will bring the secondary injury to the cartilage around the defect, and the loose
graft intergration with the defect surface is still a problem. Repairing
irregular articular cartilage defects with ideal biomimic materials on the basis
of avoiding secondary damage will become a main issue. The method of minimally
invasive injecting, biomimics, and in situ remodeling brings hope to articular
cartilage repairing. Below is a summary of the international and domestics
reference data of recent years on collagen hydrogel in cartilage tissue
engineering.
PMID- 22097147
TI - Local injection of bevacizumab (Avastin) and angiolytic KTP laser treatment of
recurrent respiratory papillomatosis of the vocal folds: a prospective study.
AB - OBJECTIVES: Photoangiolytic laser treatment of recurrent respiratory
papillomatosis (RRP) is effective, but does not reliably prevent recurrence.
Therefore, sublesional injections of the antiangiogenic agent bevacizumab
(Avastin) were given to assess the adjunctive effect on disease recurrence. Since
bevacizumab is a new therapeutic modality for RRP, there were also primary safety
objectives to determine whether there was a pegative impact on the voice and
whether there were local or systemic complications. METHODS: A prospective open
label investigation was conducted in 20 adult patients with bilateral vocal fold
RRP. The patients underwent planned 532-nm pulsed KTP laser photoangiolysis of
bilateral glottal disease 4 times with an approximately 6-week interval between
procedures. At each planned laser procedure, the vocal fold that on initial
presentation had a greater volume of disease also underwent 4 serial sublesional
bevacizumab injections (7.5 to 12.5 mg in 0.3 to 0.5 mL). A sham injection with
saline solution was administered to the other vocal fold as a control. Disease
resolution was compared between subjects' vocal folds, and objective measures of
vocal function (acoustic, aerodynamic), as well as patients' self-assessments of
vocal function (Voice-Related Quality of Life survey), were obtained. RESULTS:
All 20 patients completed the study, and there were no local or systemic
complications. After 4 injections, 3 of the 20 patients had no discernible
disease in either vocal fold. Of the remaining 17 subjects, 16 had less disease
in the bevacizumab-treated vocal fold despite starting with more disease. Only 1
of the 17 had more disease in the bevacizumab-treated vocal fold after 4
injections. Moreover, 7 of the 20 patients (35%) did not require a laser
procedure in the vocal fold that had received 4 bevacizumab injections, as
compared with 3 of the 20 vocal folds (15%) that were treated with laser alone.
All of the vocal function measures displayed statistically significant
posttreatment improvements, except for average fundamental frequency in the 3
female patients, in whom it fell below the normal range. CONCLUSIONS: This
prospective investigation provided evidence that bevacizumab injections enhanced
KTP laser treatment of glottal papillomatosis without systemic or local
complications. Coupling the antiangiogenesis agent bevacizumab with KTP laser
photoangiolysis is conceptually synergistic and scientifically promising since
the mechanisms of action are complementary.
PMID- 22097148
TI - Validity and efficacy of a pediatric airway foreign body training course in
resident education.
AB - OBJECTIVES: We evaluated the validity and efficacy of a pediatric airway foreign
body simulation for otolaryngology resident training. METHODS: We created a
course using a high-fidelity toddler mannequin designed to instruct and evaluate
otolaryngology residents in pediatric airway foreign body management. Seven
junior and 5 senior residents participated. Their performance was evaluated by 2
observers using an Objective Structured Assessment of Technical Skills (OSATS)
instrument. RESULTS: By the third trial, all junior and senior residents scored a
proficiency level of "independent without errors" or "independent and efficient,"
and the performance of the junior residents was not different from that of the
senior residents. After completing the course, the junior residents self-rated
their abilities as commensurate with those of a senior resident, and senior
residents rated themselves capable of performing foreign body extraction without
supervision. All participants felt that the course and simulator had good overall
realism and a realistic feel, demonstrating face validity. Perhaps most
importantly, the residents' highest ratings were for "facilitated management of
complications" and "facilitated working with the operating room team"--areas
difficult to teach during live surgical procedures. CONCLUSIONS: This pediatric
airway foreign body course using a high-fidelity simulator has face and construct
validity, and results in statistically improved performance and self-evaluation
of all participants.
PMID- 22097149
TI - Investigation of fatigue, delayed-onset muscle soreness, and spectral-based
cepstral measurements in healthy speakers after neuromuscular electrical
stimulation.
AB - OBJECTIVES: We observed whether 30 minutes of neuromuscular electrical
stimulation applied to the necks of healthy speakers would result in significant
acoustic changes and perceptions of fatigue and/or delayed-onset muscle soreness
(DOMS). METHODS: Twelve participants were assigned to experimental (n=6; 3 male
and 3 female) and control groups (n=6; 3 male and 3 female). Neuromuscular
electrical stimulation was applied to the anterior neck in the experimental group
only. All participants produced 3 trials of the vowel /a/ and the Rainbow Passage
before and after completing a 30-minute phonation protocol. Recorded samples were
analyzed for measures of the cepstral peak prominence, the ratio of low- to high
frequency spectral energy, and their respective standard deviations. Perceptions
of fatigue and DOMS were rated on visual analog scales before, 5 minutes after,
and 24 hours after completion of the phonation protocol. RESULTS: Statistically
significant acoustic findings reflecting reduced relative sound pressure level,
increased high-frequency noise, and phonatory instability were observed in the
experimental group. In addition, reports of fatigue and DOMS were also reported
by some participants. CONCLUSIONS: A 30-minute dosage may be too high for some
people experiencing neuromuscular electrical stimulation for the first time.
PMID- 22097150
TI - HSP70 antibodies in 80 patients with "clinically certain" Meniere's disease.
AB - OBJECTIVES: We tested the claim that a significant proportion of patients with
Meniere's disease have antibodies to heat shock protein 70 (HSP70) antigen, which
may lead to defective endolymphatic sac function and vertigo attacks. METHODS:
Serum samples were taken from 80 subjects with a "certain" diagnosis of Meniere's
disease (American Academy criteria plus electrocochleographic confirmation of
endolymphatic hydrops with tone burst stimuli) and were tested for HSP70
antibodies with the OTOblot (hsp70) Western blot assay. The response was recorded
as negative, positive, or equivocal. Samples from 80 sex- and age-matched blood
donors were used as controls. RESULTS: Of 80 patients with "clinically certain"
Meniere's disease, 14 were positive for HSP70 antibodies or equivocal; of 80
controls, 10 were positive or equivocal. There was no significant difference
(p=0.239). There was no correlation with bilateral disease, "activity" of
Meniere's disease, or stage of Meniere's disease. CONCLUSIONS: Patients with an
unequivocal diagnosis of Meniere's disease do not have a significantly raised
incidence of HSP70 antibodies.
PMID- 22097151
TI - Computed tomography-based exploration of infundibular anatomy for maxillary sinus
balloon dilation.
AB - OBJECTIVES: A clinically relevant reconstruction of the ethmoid infundibulum and
maxillary sinus ostium was developed to use 3-dimensional computed tomographic
(CT) imaging technology and measurement software in an effort to better
understand the anatomy of the maxillary sinus ostium and to optimize the
maxillary sinus balloon dilation technique. METHODS: A retrospective review was
performed of reconstructed high-resolution CT scans of patients from a private
otolaryngology practice who underwent imaging for evaluation of sinus disease
using multiplanar reconstruction software. The CT scans were retrospectively
obtained from patients who presented for evaluation of chronic sinus disease and
were analyzed with quantitative multiplanar reconstruction software that allowed
measurements to be computed in clinically meaningful planes. RESULTS: Data were
obtained from 31 sinuses on 18 CT scans. The mean anteroposterior distance from
the guidewire exit to the maxillary ostium was 3.5 mm, and the mean optimal guide
trajectory ("clocking") angle was 17.50 from the pure axialplane (95% confidence
interval, 12.58 degrees to 22.48 degrees). The curvilinear guidewire travel
distance was 6.9 mm from the guidewire exit to the ostial entry. CONCLUSIONS:
This study reveals specific anatomic information that is applicable to the
technique of transnasal maxillary sinus balloon catheter dilation. The data
collected allow surgeons to anticipate the direction in which a guidewire must be
manipulated in order to correctly enter the maxillary ostium. According to the
data, a gentle anterior retraction of the uncinate process and a starting guide
orientation 180 from pure lateral will best facilitate maxillary sinus ostial
access. Application of the readily available software used for this study affords
the opportunity to predict the location of the natural ostium within the
infundibulum before operation and customize the technique to each specific
patient.
PMID- 22097152
TI - Effect of fibrin glue on collagen deposition after autologous fascia grafting in
rabbit vocal folds.
AB - OBJECTIVES: Fibrin glue (FG) is a reaction product of fibrinogen and thrombin
that forms a fibrin clot responsible for tissue adhesion. However, FG and its
components may interfere with wound healing by interacting with cytokines such as
transforming growth factor-beta (TGF-beta). The objective of this study was to
investigate the effect of FG on collagen deposition after fascia grafting in the
vocal folds of rabbits. METHODS: Eighteen rabbits underwent autologous fascia
grafting in both vocal folds, and the left side was fixed with FG. Each animal
was painlessly sacrificed after 7, 30, or 90 days. The larynx was removed, and
the vocal folds were prepared for histomorphometric analysis by picrosirius red
staining to evaluate collagen deposition around the graft. RESULTS: There was a
significant increase in collagen density around the grafts at 90 days in the
vocal folds that were fixed with FG (p=0.0102) compared with the control vocal
folds. CONCLUSIONS: Application of FG altered collagen deposition around the
fascia grafts, leading to significantly increased collagen density after 90 days.
Differences found in the composition of the extracellular matrix in later stages
of the healing process are a result of changes that occur in the beginning of
this process. Therapeutic interventions, such as the use of FG and/or its
components, performed in the early stages of wound healing may interfere with the
complex interactions of fibroblasts, inflammatory cells, and cytokines
(especially TGF-beta), thereby modulating the healing process.
PMID- 22097153
TI - Effect of altered core body temperature on glottal closing force.
AB - OBJECTIVES: A basic function of the larynx is to provide sphincteric protection
of the lower airway, initiated by a brain stem-mediated glottal closure reflex.
Glottal closing force is defined as the measured pressure generated between the
vocal folds during glottal closure. One of the factors thought to affect the
glottal closure reflex is a variation in core body temperature. METHODS: Four
adult male Yorkshire pigs were used in this study. The subjects were studied
under control conditions (37 degreesC), hyperthermic conditions (38 degrees C to
41 degrees C), and hypothermic conditions (36 degrees C to 34 degrees C).
RESULTS: We demonstrated that the glottal closing force increased significantly
with an increase in core body temperature and also decreased significantly with
decreased core body temperature. These results are supported by
neurophysiological changes demonstrated by other studies in pups and adult dogs
in response to altered core body temperatures. The mechanism for these responses
is thought to reside centrally, rather than in the peripheral nervous system.
CONCLUSIONS: We hope that a better understanding of these aspects of glottal
closure will alter the care of many patients with postanesthesia hypothermia and
many sedated inmates and will also further enhance preventive measures needed to
decrease the incidence of sudden infant death syndrome in overheated or febrile
infants.
PMID- 22097154
TI - Evaluation of pediatric sensorineural hearing loss: a survey of pediatric
otolaryngologists.
AB - OBJECTIVES: We sought to determine the trends in the evaluation of pediatric
patients with sensorineural hearing loss (SNHL) and to determine evaluation
patterns based on respondents' demographic data. METHODS: All members of the
American Society of Pediatric Otolaryngology were invited to voluntarily and
anonymously complete an online survey. The survey was available from September
2009 to January 2010 and addressed demographic data and tests obtained in
evaluating new pediatric patients with SNHL at different age points and with
different degrees of hearing loss. RESULTS: The response rate was 22.9% (79 of
345). For all ages and all types of SNHL, the most common consultations were
genetics (26% to 76%) and ophthalmology (31% to 66%) consultations. Computed
tomography of the temporal bones (49% to 66%), genetic testing (25% to 68%), and
electrocardiography (13% to 43%) were the most commonly performed tests. Although
there was no consistent difference in practice patterns by gender or years of
practice, there were differences in the use of thyroid function tests, TORCH
titers, and autoimmune studies by hospital affiliation. CONCLUSIONS: Type of SNHL
and age are factors in the evaluation of pediatric patients with SNHL.
Additionally, evaluation patterns differ according to region and hospital
affiliation. The results of this study may provide guidance for otolaryngologists
in making information-based and cost-effective evaluations.
PMID- 22097155
TI - Campomelic dysplasia: airway management in two patients and an update on clinical
molecular correlations in the head and neck.
AB - Campomelic dysplasia is a rare and historically lethal skeletal dysplasia with a
variable but recognizable phenotype; it affects the long bones and is associated
with a variety of head and neck anomalies. Mutations in or around the SOX9 gene
have been identified as the molecular origin in most patients. We briefly present
2 children who meet the diagnostic criteria for campomelic dysplasia to
illustrate the various clinical manifestations. Many patients with campomelic
dysplasia have airway obstruction at multiple levels. We describe our approach to
managing the airway in these patients, and review recent advances in
understanding how SOX9 mutations lead to the spectrum of abnormalities seen in
the head and neck.
PMID- 22097156
TI - Status of propranolol for treatment of infantile hemangioma and description of a
randomized clinical trial.
AB - OBJECTIVES: Our primary objective was to review the current use of propranolol
for treatment of infantile hemangioma (IH), specifically regarding 1) the age at
initiation of therapy, 2) the method of initiation, 3) the use of other adjuvant
therapy, 4) the duration of therapy and relapse rate, 5) the adverse events, and
6) the outcome. Our secondary objective was to describe a randomized, controlled,
single-blinded trial comparing propranolol to prednisolone for treatment of IH.
METHODS: Ovid Medline and PubMed searches were completed for the MeSH keywords
"propranolol" and "hemangioma." Forty-nine English-language articles were
published between June 2008 and September 2010, and 28 of these reported data
from a total of 213 patients. Only 6 studies treated more than 10 patients, and
these were selected for review in detail (154 patients). RESULTS: The treatment
was initiated during infancy in 92.9% of patients (mean, 4.5 months). Sixty-five
percent of patients were treated with 2 mg/kg per day, and 25.3% with 3 mg/kg per
day. Patients were monitored overnight at initiation of treatment in 3 series (59
patients), for 4 to 6 hours as outpatients in 2 series (62 patients), and
initially as inpatients but later as outpatients in 1 series (32 patients).
Propranolol was used as sole therapy in about two thirds of patients (103
patients). Treatment was ongoing in 46% of patients at the time of publication.
The average treatment duration in the remaining patients was 5.1 months. Rebound
growth occurred in 21% of patients after a mean of 4.3 months of therapy. Adverse
events occurred in 18.1% of patients and included hypotension in 6, somnolence in
6, wheezing in 4, insomnia, agitation, and/or nightmares in 6, cool hands or
night sweats in 2, gastroesophageal reflux in 3, and psoriasis-like rash in 1.
All authors reported a favorable outcome with propranolol, but the definition of
efficacy was not standardized. CONCLUSIONS: Propranolol is an attractive
alternative to other treatments for IH. Despite apparent widespread use of this
medication, the data are limited, and prospective studies are lacking for this
indication. The relatively high rate of adverse effects supports the need for
careful monitoring of patients on this therapy. Fastidious reporting of adverse
events and objective evaluation of early and late outcomes are necessary to
improve our understanding of the use of propranolol for this indication.
PMID- 22097157
TI - AOFAS position statement regarding the future of the AOFAS Clinical Rating
Systems.
PMID- 22097158
TI - Chronic Achilles tendinopathy treated with eccentric stretching program.
AB - BACKGROUND: This study assessed the efficacy of a modified eccentric heel-drop
program (reduced time and increased duration of stretch) in treating chronic
Achilles tendinopathy. METHODS: Athletes with at least 12 weeks of symptoms
diagnosed clinically as chronic Achilles tendinopathy were enrolled in the study.
The only treatment recommended was a 6-week eccentric stretching regimen, with
each stretch being maintained for at least 15 seconds. Athletes were followed to
assess the response to treatment using a Visual Analogue Scale (VAS) for pain and
a patient effectiveness rating for treatment satisfaction as well as time to
return to pre-injury activity level. Followup was successful in 156 (82%) of the
athletes. A total of 190 athletes were seen with chronic Achilles tendinopathy.
RESULTS: Mid-substance injuries were diagnosed in 168 (88%) with the remainder 22
(12%) having distal insertional injuries. Pain as assessed by VAS reduced from
mean of 7.2 at commencement of the regimen to 2.9 (p<0.01) after 6 weeks of
stretching. Six months post commencement of program mean pain was 1.1. Patient
satisfaction was rated at 7 or above (excellent) in 124 (80%) of the athletes.
For mid-substance injuries the satisfaction rating was excellent in 86%. Overall
mean time to return to pre-morbid activity was 10 weeks. CONCLUSION: A modified 6
week eccentric heel-drop training regimen as the only treatment for chronic
Achilles tendinopathy resulted in a high degree of patient satisfaction, reduced
pain and a successful return to pre-morbid activity levels. These results were
best for mid-substance rather than insertional tendinopathy.
PMID- 22097159
TI - Long-term clinical outcomes following the central incision technique for
insertional Achilles tendinopathy.
AB - BACKGROUND: The central incision technique, more than any other exposure, allows
for optimal access to the diseased Achilles tendon as well as the Haglund's
prominence and the retro calcaneal bursa. We hypothesized that the central
incision technique would be an effective, well-tolerated surgical incision for
the surgical treatment of insertional Achilles tendinopathy with minimal to no
loss of plantarflexion strength. METHODS: Retrospective review of a single
surgeon's experience with 27 patients, 29 surgical procedures utilizing this
central approach. Isokinetic testing of plantarflexion strength, AOFAS Foot and
Ankle Survey and AOFAS hindfoot score were administered to 22 patients at a mean
followup time of 4 years. An additional telephone assessment was performed at a
mean followup time of 7 years. RESULTS: Average recovery time was 5.7 (range, 2
to 16) months. There was no significant difference in plantarflexion strength
between the operative and nonoperative sides. At the early (4-year) assessment,
15 patients had an AOFAS hindfoot score of 100; the average AOFAS hindfoot score
was 96. The long-term assessment at a mean of 7 years; 22 patients (including the
two bilateral cases) reported pain scores of 0 and they had no strength deficits.
Overall, there was a 96% (22 of 23) satisfaction rate. CONCLUSION: Surgical
debridement and resection for insertional Achilles tendinopathy using the central
incision technique appears to be a technically optimal procedure affording 96%
pain-free patients out 7 years with minimal to no loss of strength.
PMID- 22097160
TI - Comparison of multi-drug injection versus placebo after hallux valgus surgery.
AB - BACKGROUND: Hallux valgus surgery is followed by a significant amount of
postoperative pain. Local multi-drug injection can be an option for pain control,
but few clinical studies exist. METHODS: Between May 2008 and July 2009, 30
consecutive patients (60 feet) received simultaneous bilateral proximal
osteotomies for the correction of hallux valgus deformities. Each patient
received local infiltration of the test solution made with ropivacaine, morphine,
ketorolac, and epinephrine on one foot and same amount of normal saline on the
other foot. The test side and the control side were randomly selected and both
the patient and the surgeon remained blinded until the end of the data
collection. RESULTS: The multi-drug injection resulted in significantly less pain
at 4 hours after the operation through the night of the first postoperative day.
The difference in visual analogue scale (VAS) between the two sides was most
significant at 8 hours after the operation, and then gradually decreased through
the first and second postoperative day. Mean satisfaction VAS was significantly
higher on the injection side (8.2+/-0.9) compared to the control side (6.2+/-1.9,
p<0.0001). CONCLUSION: Local multi-drug injection was easy to perform and safe
and effective in reducing pain and enhancing patient satisfaction after hallux
valgus surgery.
PMID- 22097161
TI - Interobserver reliability and intraobserver reproducibility of three radiological
classification systems for intra-articular calcaneal fractures.
AB - BACKGROUND: The management of intra-articular calcaneal fractures is difficult.
One aspect for successful management is the use of a reliable and reproducible
fracture classification system (FCS). The purpose of this study was to evaluate
the interobserver reliability and intraobserver reproducibility of Letournel,
Sanders, and Zwipp classification systems on CT scan and the Bohler's angle
measurement on plain X-ray. Furthermore, we studied if the addition of a CT scan
to the plain X-ray influenced the evaluation of fracture extension to the
calcaneocuboid joint. METHODS: The CT scan and plain X-ray images of 51 intra
articular calcaneal fractures were evaluated two times by three observers (two
radiologists and one orthopedic surgeon) within a 5-month interval. The
interobserver reliability was measured using the Fleiss kappa while the
intraobserver reproducibility was measured using the Cohen's kappa. RESULTS: The
mean kappa values for the interobserver reliability and intraobserver
reproducibility of the Sanders classification were 0.25 and 0.39, respectively,
of Zwipp classification were 0.24 and 0.16, respectively, while those of the
Letournel classification were 0.50 and 0.42, respectively. For the Bohler's
angle, the mean kappa values for the interobserver reliability and intraobserver
reproducibility were 0.34 and 0.32, respectively. The addition of CT scan images
to plain X-ray found a higher incidence of calcaneocuboid joint involvement.
CONCLUSION: Clinicians should be aware of the limitation regarding the
interobserver reliability and intraobserver reproducibility of the Letournel,
Sanders and Zwipp classification systems for calcaneal fractures. Future studies
should attempt to improve the present classification systems.
PMID- 22097162
TI - Precision of foot alignment measures in Charcot arthropathy.
AB - BACKGROUND: Foot deformity associated with diabetes mellitus (DM) and peripheral
neuropathy (PN) contributes to joint instability, ulceration and amputation. This
study reports the intrarater and inter-rater measurement precision and least
significant change (LSC) of radiological measures of foot deformity in subjects
with DM, PN, and foot related complications. METHODS: Cuboid height, Meary's
angle, calcaneal pitch and hindfoot-forefoot angle were measured from plain-film
radiographs on 15 subjects with DM, PN, and foot-related complications. A foot
and ankle fellowship-trained orthopedic surgeon with 23 years of experience
(Rater 1) measured radiographs twice. A foot and ankle fellowship-trained
orthopedic surgeon with 2 years of experience (Rater 2) and a radiologist in
residency training (Rater 3) measured radiographs once. Root mean square standard
deviation and LSC were calculated to determine measurement precision and the
smallest change considered biologically real, not the result of chance. RESULTS:
Intrarater measurement precision was: 0.9 mm for cuboid height, 3 degrees for
Meary's angle, and 2 degrees for calcaneal pitch and hindfoot-forefoot angle.
Inter-rater measurement precision for rater 1 versus 2 and 1 versus 3 were: 1.7
and 1.6 mm for cuboid height, 4 degrees for Meary's angle, 2 degrees for
calcaneal pitch, and 3 degrees for the hindfoot-forefoot angle. The LSC was less
than or equal to: 4.7 mm for cuboid, 12 degrees for Meary's angle, 6 degrees for
calcaneal pitch, and 8 degrees for hindfoot-forefoot angle. CONCLUSION: Cuboid
height, calcaneal pitch, and hindfoot-forefoot angle measures can be completed
with relatively good measurement precision.
PMID- 22097163
TI - Deltoid ligament abnormalities in chronic lateral ankle instability.
AB - BACKGROUND: Treatment of patients with repeated ankle sprains and chronic lateral
ankle instability tends to focus on the lateral collateral ligaments. We reviewed
records to ascertain the prevalence of abnormalities of the deltoid ligament in
this population. METHODS: Retrospective review of MR images and surgical reports
was performed for all patients during a 3-year period that underwent surgical
treatment of chronic ankle instability at a single institution. Forty-seven
ankles (46 patients) met inclusion criteria. None had medial ankle pain. RESULTS:
On MRI, all patients had anterior talofibular ligament tear, plus injury to one
or more additional ligaments. Ninety-one percent had injury of calcaneofibular
ligament. Injury to the posterior talofibular ligament was less common (49%).
Deltoid ligament injuries were seen in 72% of cases (23% superficial deltoid
only, 6% deep deltoid only, 43% both superficial and deep components). Of
patients with injury to the superficial deltoid, 32% had an intact deep deltoid
ligament. MRI correlated well to surgical findings. CONCLUSION: Deltoid ligament
injuries were common in patients with lateral ankle instability who underwent
reconstruction.
PMID- 22097164
TI - Biomechanical comparison of the Achillon tendon repair system and the Krackow
locking loop technique.
AB - BACKGROUND: The Achillon" Achilles tendon repair system combines the benefits of
open repair and percutaneous repair and has excellent clinical results with
minimal complications. The Krackow locking loop has been the standard suture
technique for many surgeons in open Achilles repair. We determined to see how the
Achillon repair compared with the Krackow locking loop in a biomechanical pull
out study. METHODS: Paired lower limbs of ten human cadavers were randomly split
to receive repair via Krackow locking loop or via Achillon in concordance with
the manufacturer's manual. Following repair, the study groups were subjected to
the same tissue processing and tensioned to failure in an Instron" 8841 device.
From load-displacement curves, initial linear stiffness, load to failure, and
work to failure were determined. RESULTS: The predominant mechanism of failure
for the Krackow stitch was the breakage of the sutures or knots. The predominant
failure mechanism of the Achillon repairs was the tearing of the suture loops
through the tendon tissue. Repairs using the Krackow stitch possessed an average
maximum load to failure of 128.3+/-30.3 N and a work to failure of 2199.1+/-669.7
N mm. After excluding outliers, repairs with the Achillon technique resulted in a
maximum failure load of 178+/-35.4 N and a work to failure of 3999.8+/-942.7 N mm
that were both significantly higher than the Krackow stitch (p<0.05). The initial
stiffness of the repairs was significantly higher using the Krackow stitch
(10.4+/-2.0 N/mm) compared to the Achillon technique (6.6+/-2.3 N/mm) (p<0.05).
CONCLUSION: The results indicate a properly performed Achillon repair can be
stronger (higher load to failure) and tougher (higher work to failure) than an
open repair using the Krackow locking loop, although the Krackow repair tends to
be stiffer prior to plastic deformation in this model. CLINICAL RELEVANCE:
Applied correctly, the Achillon repair system offers an effective, minimally
invasive alternative to traditional open repair.
PMID- 22097165
TI - Results of partial matrixectomy for chronic ingrown toenail.
AB - BACKGROUND: Several treatment modalities which targeted partial matrixectomies,
including chemical, laser matrixectomy, and partial nail avulsion, have been used
in the dermatology, podiatry, and orthopaedic literature. We report our
experience with surgical matrixectomy. METHODS: We treated 224 patients with
severe ingrowing toenails. Segmental wedge resection of involved margin was
performed. Followup time was a minimum of 10 months. RESULTS: Statistical
analysis of recurrence and disease parameters such as stage of disease,
infection, involved margin, previous surgery, followup time, and age showed no
significant correlation (p>0.05). Twenty-two patients (9.8%) had a recurrence.
Revision surgery was performed in 16 patients (7.1%). CONCLUSION: We recommend
the Winograd technique with a few modifications especially for severe Stage 2 and
3 cases. Both loupe magnification and observation of soft tissue in the
postoperative period were important details.
PMID- 22097166
TI - Driving brake reaction time following right ankle arthrodesis.
AB - BACKGROUND: The purpose of this study was to compare the brake reaction time of
patients with successful right ankle fusion to normal volunteers without an ankle
fusion. METHODS: Ten patients who underwent successful right ankle arthrodesis
were evaluated using a driving simulator as well as an in-shoe pedobarographic
measuring system. Brake reaction time, braking force, peak pressure, contact
area, and the center of force between the foot and the brake pedal were recorded.
SF-12 scores were obtained from all study patients. A control group of ten age
matched individuals without ankle fusion was included for comparison. RESULTS:
Mean brake reaction time for the ankle fusion group (0.42+/-0.14 seconds) was
significantly slower than for the control group (0.33+/-0.06 seconds) (p=0.03).
The center of force was consistently isolated to the forefoot in the ankle fusion
group compared to controls who distributed the center of force over both the
forefoot and midfoot. There was no significant difference between the ankle
fusion and control groups with respect to braking force, peak pressure, or
contact area. CONCLUSION: The mean brake reaction time following successful right
ankle arthrodesis was significantly slower than that of normal controls. However,
the fusion group time was still below the threshold for what is defined as a safe
brake reaction time by the United States Federal Highway Administration.
PMID- 22097167
TI - Hallux IP arthritis after MP arthrodesis managed with interpositional
arthroplasty of MP joint and IP fusion: case report.
PMID- 22097168
TI - Recurrent ankle equinus deformity due to intramuscular hemangioma of the
gastrocnemius: case report.
PMID- 22097169
TI - Avoiding suture knot prominence with suture button along distal fibula: technical
tip.
PMID- 22097170
TI - Osteochondral talar allograft for large osteochondral defects: technique tip.
PMID- 22097171
TI - Mobility of the first ray: review article.
AB - Since its introduction by Morton in the early 20th century, first metatarsal
instability has been debated as a contributing cause of many foot ailments. Given
our evolutionary origins, some instability at the first ray seems inevitable. It
makes sense that hypermobility could be the pathology leading to the development
of various forefoot disorders. The problem is that it has been difficult to
prove. Only in the last decade have there been any devices with which to quantify
mobility, and each of the devices measures slightly different variables. However,
each of these devices has been shown to be consistent and reliable. Excessive
mobility of the first ray probably cannot be considered the only "suspect." With
disorders such as hallux valgus and metatarsalgia, environment and footwear may
play just as important a role as hypermobility. There are some conclusions,
though, that can be safely drawn at this point. * We should define the measures
of first ray mobility. One recent study has suggested the terms metatarsal
elevation and metatarsal translation to describe two different aspects of the
problem. * Simple clinical tools may be as useful as more cumbersome research
tools for assessing first ray instability. * First ray mobility on average is
increased in patients with hallux valgus. * First ray mobility is increased in
some patients with transfer metatarsalgia. * Although some studies show increased
elevation or mobility of the first ray in hallux rigidus, other studies do not.
The burden is on the current generation of foot and ankle practitioners to
resolve the debate of its predecessors. By first defining the measures of
instability and then applying them to large populations, the role of
hypermobility may be better defined. Then we can debate how best to "fix" the
problem!
PMID- 22097172
TI - FootForum: DVT and SCIP.
PMID- 22097173
TI - Pantalar arthrodesis for post-traumatic arthritis and diabetic neuroarthropathy
of the ankle and hindfoot.
PMID- 22097174
TI - [Management of bacterial exacerbations of obstructive lung diseases].
AB - Bacterial infections are a common cause of chronic obstructive pulmonary disease
exacerbations. They play a main role in exacerbation of cystic fibrosis, less
frequently in COPD and occasionally in asthma. Etiology of exacerbations depends
on the severity of these diseases and is known to be facilitated by bacterial
colonization of the airways. The clinical presentation of bacterial exacerbations
is similar and their course is influenced by prompt and proper antibiotic
treatment. The principles of this treatment are discussed in the paper.
PMID- 22097175
TI - [Serum and urinary homocysteine in children with steroid-dependent nephrotic
syndrome].
AB - Hyperhomocysteinemia is independent risk factor of cardiovascular diseases.
Similarly to nephrotic syndrome (NS) predisposes to vein thrombosis. THE AIM OF
THE STUDY: To evaluate serum and urinary total homocysteine (stHcy and utHcy)
levels in children with the symptoms of SN, and to determine a correlation
between its concentration and some parameters of hemostasis, as well as doses and
the time of prednisone therapy and serum cortisol level. MATERIAL AND METHODS:
The examined group consisted of 18 children with NS, aged 7.64 +/- 5.1 years,
divided on two groups: A--in time o proteinuria; B--during treatment with
prednisone after regression of proteinuria. Control group (C) consisted of 20
children, aged 8.5 +/- 3.6 years. Serum and urinary tHcy levels were assayed by
enzyme-linked immunosorbent assay method using the Axis-Shield set. RESULTS:
Serum total Hcy concentration in groups A and B did not differ from the control
group (p > 0.05). Urinary total Hcy concentration in groups A and B was
significantly higher than that of control (p < 0.05). A positive correlation was
observed between stHcy and serum albumin as well as cortisol levels, and between
utHcy and serum AT III level. CONCLUSIONS: In children with steroid-dependent NS,
subclinical disturbances in hemostasis were independent of serum tHcy
concentration. There was no correlation between serum tHcy and cumulated doses,
as well as time of prednisone treatment, however positive correlation was found
with serum cortisone. Urinary excretion of Hcy significantly increases, in
comparison to control, and correlates with serum AT III level.
PMID- 22097176
TI - [Evaluation of 99mTc-DTPA scyntygraphy of the relative degree of renal
dysfunction after surgical removal of kidney tumor].
AB - In nephron sparing surgery (NSS) procedures the most important is oncological
outcome and also the preservation of maximum renal function. Renal scyntygraphy
using 99mTc-DTPA allows for assessment of renal function and its changes after
surgery because of tumor. THE AIM OF THIS STUDY: To assess the loss of kidney
function operated using 99mTc-DTPA scyntygraphy, depending on the location of the
tumor, type of growth, and time of warm ischemia of the operated kidney.
MATERIALS AND METHODS: Renal scyntygraphy was performed in 30 patients who
underwent NSS procedures for renal cortical tumors of its diameter of about 3.2
cm (range: 2.5-4.1 cm) before surgery and after surgery at an average of 3.8
months (range: 2.3-5.4 months). All patients were stratified according to time of
warm ischemia (up to and above 10 mines), type of tumor growth (endo- and
egzophytic) and tumor location (upper, middle and lower pole). RESULTS: The
relative loss of kidney function operated depending on the type of kidney tumor
growth when egzophytic and endophytic was amounted to 16% (range: 12-22%) and 29%
(range: 24-46%) respectively (p < 0.01), in contrast depending on the location in
the upper, middle and lower pole of the kidney 24% (range: 12-36%), 31% (range:
26-46%) and 25% (range: 15-38%), respectively (p < 0.05) Depending on the time of
warm ischemia for up to and above 10 mines a relative loss of kidney function of
surgery was set at 21% (range: 12-24%) and 31% (range: 29-46%), respectively (p <
0.1). CONCLUSIONS: The results of this study suggest that the loss of kidney
function after NSS procedures for renal cortical tumor is the largest in the
middle field of tumor endophytic growth where, during the operation the time of
warm ischemia is the longest. Tumors of this type should be operating in the
centers performing these procedures on a routine basis.
PMID- 22097177
TI - [The influence of lipoic acid on total protein concentration in the liver and
spleen tissue in rats during lipopolysaccharide-induced oxidative stress].
AB - THE AIM OF THE STUDY: To evaluate the influence of lipoic acid on total protein
concentration in the liver and spleen in rats during endotoxemia. MATERIAL AND
METHODS: Experiments were performed on Wistar rats divided into following groups:
Group I (control) received saline; Group II (LA) received lipoic acid (60 mg/kg
b.w.); Group III (LPS) received lipopolysaccharide (30 mg/kg b.w.); Group IV
(LPS+LA) received LPS (30 mg/kg b.w.) and 30 min later LA (60 mg/kg b.w.). Five
hours after last injection rats were sacrificed, and the liver and spleen were
harvested for subsequent total protein assay. RESULTS: Lipoic acid administration
led to a significant increase in total protein concentration in the liver and
spleen tissue of endotoxemic rats when compared to control. CONCLUSION: Lipoic
acid administration during early phase of LPS-induced oxidative stress increase
protein level in the liver and spleen tissue, which indicate an increase of
antioxidant properties of an organism.
PMID- 22097178
TI - [Prevalence of non-adherence to medication among patients treated for selected
chronic conditions].
AB - The aim of this study was to assess the prevalence of this phenomenon among
patients being treated for selected chronic conditions. MATERIAL AND METHODS: As
many as 63,221 patients treated for hypertension, gastroesophageal reflux
disease, Alzheimer disease, depression, schizophrenia, and memory loss were
enrolled to this multicenter, questionnaire-based open study The primary outcome
measure was patient adherence assessed with 4-item Morisky questionnaire. Only
those respondents who gave negative answers to all questionnaire questions were
assumed adherent. RESULTS: Out of respondents, 83.8%--in different ways--were
nonadherent, and only 16.2% were adherent. Significant differences in adherence
were observed with different diseases (p < 0.05), asymptomatic versus symptomatic
nature of disease (asymptomatic diseases--81.5%, versus symptomatic diseases-
84.7%, in average, p < 0.05), as well as psychiatric, versus organic disease type
(nonadherence in 85.6, and 82.1% patients, p < 0.05). CONCLUSION: Study proved
very high prevalence of non-adherence in patient treated for chronic conditions.
Patients are non-adherent particularly often in a case of psychiatric conditions.
PMID- 22097179
TI - [Brugada syndrome--case report].
AB - Brugada syndrome (BS) is a rare genetically-based condition occurring usually in
the third and fourth decade of human life, mainly developing in men (85%). It is
manifested through permanent or periodic malignant ventricular arrhythmia leading
to fainting, and consequently sudden cardiac death. Despite possessing great
knowledge of the condition, implementation of cardioverter-defibrillator still
remains the only effective way of treating malignant arrhythmia and of preventing
sudden cardiac death. The following paper presents a case of a healthy nineteen
year-old man who was admitted to the Outpatient Cardiologic Center for a sport
qualifying check-up. During examination, the ECG showed some features of Brugada
syndrome and the patient was sent for further hospital diagnostics. During the
aimaline provocative test the ECG revealed ST elevation up to 4mm in V1-V2 leads,
whereas the electrophysiological examination with standard protocol and with
right ventricular apex and right ventricular output aggressive protocol did not
provoke any ventricular arrhythmia. The patient was qualified for preventive
treatment with recommendation for regular check-up in an outpatient cardiologic
center and with a total ban on extreme sport exercises.
PMID- 22097180
TI - [Takotsubo cardiomyopathy as a consequence of gastrointestinal disorder--a case
preceded by exacerbation of gastroesophageal reflux disease].
AB - The etiology of takotsubo cardiomyopathy (TC), defined as a transient left
ventricular dysfunction in the absence of significant coronary artery stenoses,
still reminds unclear. Its onset is often associated with emotional stress or
progression in the course of various disorders (including gastrointestinal ones),
miscellaneous diagnostic and therapeutic procedures. We describe a case of a 67
year-old man, in whom severe left ventricular function impairment, completely
reversible after a week, was observed during hospitalization for gastroesophageal
reflux disease (GERD) exacerbation. The authors review the literature on TC cases
which were preceded by digestive system illness, examination or surgery and
discuss the possible mechanisms of this relationship.
PMID- 22097181
TI - [Ascites as the first manifestation of ovarian cancer in the disseminated stage-
case report].
AB - The presence of ascites is usually associated with portal hypertension, usually
due to cirrhosis of the liver, with portal vein thrombosis, congestive cardiac
failure, nephrotic syndrome, pancreatitis, tuberculosis. Approximately 10% of all
cases of ascites occurs in malignant tumors, mostly of ovarian cancer. The
purpose of this publication is to present the case of 63-year-old woman who has a
basic and initial sole manifestation of disease--cancer of the ovary--was
increasing ascites.
PMID- 22097182
TI - [Chronic constipation in children--typical and atypical diagnosis--case reports].
AB - Constipation in children is a common health problem. It accounts for 3% of visit
to general pediatrics clinics and 30% of visits to pediatric gastroenterologist.
Fun(TK)ional constipation is the most common causa of contipation in children. We
present three cases with chronic contstipation.
PMID- 22097183
TI - [Spuriously healthy plant fats].
AB - Since long plant fats are considered by nutritionists, dieticians and doctors, as
main source of essential unsaturated fatty acids) n-6 and n-3 in human diet. On
the market there is plenty of oils that can be consumed directly or used to
frying. Last four decades consumption of oils increased several times due to
stimulated by advertisement popularization of their pro-health activity. Plant
oils supply mostly multi unsaturated fatty acids n-6 excess of which
disadvantageously influence human health. Determinations of changes of oxidative
stability of plant fats (during processing and storage) proved that consumption
of oxidation products of fatty acids and sterols may be a reason of various
diseases. Both epidemiologic and clinic studies indicated that if plant fats
(both oils except this from olives and margarines) have possessed pro-health
properties, their several times increased consumption would liquidate the problem
of arteriosclerosis and its clinical complications (heart attack, stroke). For
the present, every second death in the industrial countries results from the
cardiovascular disease. Morbidity of cancer is also increasing and of
neurological and neurodegenerative diseases is growing up vigorously.
PMID- 22097184
TI - [Osteoprotegerin--a new atherosclerosis marker].
AB - Studies on bones metabolism regulation mechanisms leaded to discovery of
RANKL/OPG/RANK signal system (receptor activator of nuclear factor kappaB
ligand/osteoprotegerin/receptor activator of nuclear factor kappaB). It was found
that beyond bone metabolism regulation Osteoprotegerin (OPG) is involved in some
other processes: apoptosis, regulation of immunological system. Data from
numerous studies performed in the last years indicate potential association
between OPG and cardiovascular pathology. OPG was identified as atherosclerosis
marker. Molecular mechanism by which OPG exerts its atherogenic effect is not
fully elucidated.
PMID- 22097185
TI - [Sentinel lymph node biopsy as a new approach to colorectal cancer treatment].
AB - Some concepts considering sentinel lymph node excision in colon and rectal
carcinoma are presented in the paper. Arguments pro and contra were exposed and
interpret separately. The problem is still open. Current knowledge indicates that
lymphatic system in colon and rectum can be very different in many patients.
There is no evidence that sentinel node biopsy in this group can be useful. In
patients with advanced colorectal carcinoma (T3, T4 according to TNM
classification) sentinel node biopsy cannot replace regional lymph node
dissection. Sentinel lymph node biopsy and localization of metastases allows to
change the qualification of the NO patients to N1 (upstaging). This means the
change of cancer staging in these patients and qualification to chemotherapy. In
conclusion we underline that there is still too little knowledge to implement
these procedures in clinical practice, that problem needs more consideration.
PMID- 22097186
TI - [The main directions of research on the causes conditioning the occurrence of the
borderline personality disorders].
AB - Currently, there are two main directions of explaining the occurrence of the
borderline personality disorders (BPD). The first of them attempts to explain
them upon the constitutional basis, finding the causes in the genetic
conditioning, biological and organic factors. The other one underline a role of
the psychological developmental categories. A significant role is ascribed to
traumatic childhood mental experiences such as: harassment and sexual abuse, as
well as neglect of emotional and developmental needs of a child. About 40-71% of
the infirm with the borderline personality admits to have been sexually abused.
It was observed that there is a connection between the extent of sexual abuse of
an individual in childhood and the intensification of the disorders proper for
the borderline personality. One should, however, point out that sexual abuse,
although they are regarded as a risk factor, are not seen as specific to the
infirm with the borderline personality disorders. Moreover, there is a third
direction referred to in the literature, seeking the causes of the occurrence of
the borderline personality in a significant influence of social-cultural and
environment factors. It was noticed that there is a significant correlation
between the social situation and the degree of dissemination of those disorders.
The borderline personality disorders are more frequent among the people of the
low social status background and with relatively low level of education. More and
more frequently, theorists and clinical personnel adhere to the view that the
development of that disorder is caused by a combination of neurobiological and
social factors, together with the pathological qualities of early-childhood
development.
PMID- 22097187
TI - [Depressive disorder, treatment and sexual dysfunction--part II].
AB - Depressive disorders and antidepressant therapy have been associated with sexual
dysfunction. Sexual dysfunctions are recognized as a potential side effect of
antidepressant therapy. Not reliable algorithms have been developed in the
presence of sexual dysfunctions in the course of depressive disorders. The most
commonly used methods of treatment of sexual dysfunction in depressive disorders
include: waiting for spontaneous remission, reduction in dose of a repressive
drug, the change of drug discontinuation for a short time, the use of the drug
after having sexual intercourse, drug holidays and corrective medications
(yohimbine, phosphodiesterase type 5 and anesthetic creams). Among the most
effective agents used in the treatment sre: bupropion, trazodone, nefazodone,
agomelatine, tianeptine and flibanserin. Optimal antidepressant treatment should
result in remission of the symptoms of the underlying illness and minimize the
potential for short-term and long-term adverse effects, including sexual
dysfunction. Physicians should monitor their patients for antidepressant-induced
sexual adverse effects, as these may affect compliance with therapy and ultimate
treatment success.
PMID- 22097189
TI - [TU You-you won Lasker Debakey clinical medical research award--for her
outstanding achievements in studies on artemisinin].
PMID- 22097190
TI - [Exploration on some problems in clinical study and application of disease
syndrome combination model].
PMID- 22097188
TI - Personal and ambient exposures to air toxics in Camden, New Jersey.
AB - Personal exposures and ambient concentrations of air toxics were characterized in
a pollution "hot spot" and an urban reference site, both in Camden, New Jersey.
The hot spot was the city's Waterfront South neighborhood; the reference site was
a neighborhood, about 1 km to the east, around the intersection of Copewood and
Davis streets. Using personal exposure measurements, residential ambient air
measurements, statistical analyses, and exposure modeling, we examined the impact
of local industrial and mobile pollution sources, particularly diesel trucks, on
personal exposures and ambient concentrations in the two neighborhoods. Presented
in the report are details of our study design, sample and data collection
methods, data- and model-analysis approaches, and results and key findings of the
study. In summary, 107 participants were recruited from nonsmoking households,
including 54 from Waterfront South and 53 from the Copewood-Davis area. Personal
air samples were collected for 24 hr and measured for 32 target compounds--11
volatile organic compounds (VOCs*), four aldehydes, 16 polycyclic aromatic
hydrocarbons (PAHs), and particulate matter (PM) with an aerodynamic diameter <
or = 2.5 microm (PM2.5). Simultaneously with the personal monitoring, ambient
concentrations of the target compounds were measured at two fixed monitoring
sites, one each in the Waterfront South and Copewood-Davis neighborhoods. To
understand the potential impact of local sources of air toxics on personal
exposures caused by temporal (weekdays versus weekend days) and seasonal (summer
versus winter) variations in source intensities of the air toxics, four
measurements were made of each subject, two in summer and two in winter. Within
each season, one measurement was made on a weekday and the other on a weekend
day. A baseline questionnaire and a time diary with an activity questionnaire
were administered to each participant in order to obtain information that could
be used to understand personal exposure to specific air toxics measured during
each sampling period. Given the number of emission sources of air toxics in
Waterfront South, a spatial variation study consisting of three saturation
sampling campaigns was conducted to characterize the spatial distribution of VOCs
and aldehydes in the two neighborhoods. Passive samplers were used to collect VOC
and aldehyde samples for 24- and 48-hr sampling periods simultaneously at 22 and
16 grid-based sampling sites in Waterfront South and Copewood-Davis,
respectively. Results showed that measured ambient concentrations of some target
pollutants (mean +/- standard deviation [SD]), such as PM2.5 (31.3 +/- 12.5
microg/m3), toluene (4.24 +/- 5.23 microg/m3), and benzo[a]pyrene (0.36 +/- 0.45
ng/m3), were significantly higher (P < 0.05) in Waterfront South than in Copewood
Davis, where the concentrations of PM2.5, toluene, and benzo[a]pyrene were 25.3
+/- 11.9 microg/m3, 2.46 +/- 3.19 microg/m3, and 0.21 +/- 0.26 ng/m3,
respectively. High concentrations of specific air toxics, such as 60 microg/m3
for toluene and 159 microg/m3 for methyl tert-butyl ether (MTBE), were also found
in areas close to local stationary sources in Waterfront South during the
saturation-sampling campaigns. Greater spatial variation in benzene, toluene,
ethylbenzene, and xylenes (known collectively as BTEX) as well as of MTBE was
observed in Waterfront South than in Copewood-Davis during days with low wind
speed. These observations indicated the significant impact of local emission
sources of these pollutants and possibly of other pollutants emitted by
individual source types on air pollution in Waterfront South. (Waterfront South
is a known hot spot for these pollutants.) There were no significant differences
between Waterfront South and Copewood-Davis in mean concentrations of benzene or
MTBE, although some stationary sources of the two compounds have been reported in
Waterfront South. Further, a good correlation (R > 0.6) was found between benzene
and MTBE in both locations. These results suggest that automobile exhausts were
the main contributors to benzene and MTBE air pollution in both neighborhoods.
Formaldehyde and acetaldehyde concentrations were found to be high in both
neighborhoods. Mean (+/- SD) concentrations of formaldehyde were 20.2 +/- 19.5
microg/m3 in Waterfront South and 24.8 +/- 20.8 microg/m3 in Copewood-Davis. A
similar trend was observed for the two compounds during the saturation-sampling
campaigns. The results indicate that mobile sources (i.e., diesel trucks) had a
large impact on formaldehyde and acetaldehyde concentrations in both
neighborhoods and that both are aldehyde hot spots. The study also showed that
PM2.5, aldehydes, BTEX, and MTBE concentrations in both Waterfront South and
Copewood-Davis were higher than ambient background concentrations in New Jersey
and than national average concentrations, indicating that both neighborhoods are
in fact hot spots for these pollutants. Higher concentrations were observed on
weekdays than on weekend days for several compounds, including toluene,
ethylbenzene, and xylenes (known collectively as TEX) as well as PAHs and PM2.5.
These observations showed the impact on ambient air pollution of higher traffic
volumes and more active industrial and commercial operations in the study areas
on weekdays. Seasonal variations differed by species. Concentrations of TEX, for
example, were found to be higher in winter than in summer in both locations,
possibly because of higher emission rates from automobiles and reduced
photochemical reactivity in winter. In contrast, concentrations of MTBE were
found to be significantly higher in summer than in winter in both locations,
possibly because of higher evaporation rates from gasoline in summer. Similarly,
concentrations of heavier PAHs, such as benzo[a]pyrene, were found to be higher
in winter in both locations, possibly because of higher emission rates from
mobile sources, the use of home heating, and the reduced photochemical reactivity
of benzo[a]pyrene in winter. In contrast, concentrations of lighter PAHs were
found to be higher in summer in both locations, possibly because of
volatilization of these compounds from various surfaces in summer. In addition,
higher concentrations of formaldehyde were observed in summer than in winter,
possibly because of significant contributions from photochemical reactions to
formaldehyde air pollution in summer. Personal concentrations of toluene (25.4 +/
13.5 microg/m3) and acrolein (1.78 +/- 3.7 microg/m3) in Waterfront South were
found to be higher than those in the Copewood-Davis neighborhood (13.1 +/- 15.3
microg/m3 for toluene and 1.27 +/- 2.36 microg/m3 for acrolein). However,
personal concentrations for most of the other compounds measured in Waterfront
South were found to be similar to or lower than those than in Copewood-Davis.
(For example, mean +/- SD concentrations were 4.58 +/- 17.3 microg/m3 for
benzene, 4.06 +/- 5.32 microg/m3 for MTBE, 16.8 +/- 15.5 microg/m3 for
formaldehyde, and 0.40 +/- 0.94 ng/m3 for benzo[a]pyrene in Waterfront South and
9.19 +/- 34.0 microg/m3 for benzene, 6.22 +/- 19.0 microg/m3 for MTBE, 16.0 +/-
16.7 microg/m3 for formaldehyde, and 0.42 +/- 1.08 ng/m3 for benzo[a]pyrene in
Copewood-Davis.) This was probably because many of the target compounds had both
outdoor and indoor sources. The higher personal concentrations of these compounds
in Copewood-Davis might have resulted in part from higher exposure to
environmental tobacco smoke (ETS) of subjects from Copewood-Davis. The Spearman
correlation coefficient (R) was found to be high for pollutants with significant
outdoor sources. The R's for MTBE and carbon tetrachloride, for example, were >
0.65 in both Waterfront South and Copewood-Davis. The R's were moderate or low
(0.3-0.6) for compounds with both outdoor and indoor sources, such as BTEX and
formaldehyde. A weaker association (R < 0.5) was found for compounds with
significant indoor sources, such as BTEX, formaldehyde, PAHs, and PM2.5. The
correlations between personal and ambient concentrations of MTBE and BTEX were
found to be stronger in Waterfront South than in Copewood-Davis, reflecting the
significant impact of local air pollution sources on personal exposure to these
pollutants in Waterfront South. Emission-based ambient concentrations of benzene,
toluene, and formaldehyde and contributions of ambient exposure to personal
concentrations of these three compounds were modeled using atmospheric dispersion
modeling and Individual Based Exposure Modeling (IBEM) software, respectively,
which were coupled for analysis in the Modeling Environment for Total Risk
(MENTOR) system. The compounds were associated with the three types of dominant
sources in the two neighborhoods: industrial sources (toluene), exhaust from
gasoline-powered motor vehicles (benzene), and exhaust from diesel-powered motor
vehicles (formaldehyde). Subsequently, both the calculated and measured ambient
concentrations of each of the three compounds were separately combined with the
time diaries and activity questionnaires completed by the subjects as inputs to
IBEM-MENTOR for estimating personal exposures from ambient sources. Modeled
ambient concentrations of benzene and toluene were generally in agreement with
the measured ambient concentrations within a factor of two, but the values were
underestimated at the high-end percentiles. The major local (neighborhood)
contributors to ambient benzene concentrations were from mobile sources in the
study areas; both mobile and stationary (point and area) sources contributed to
the ambient toluene concentrations. This finding can be used as guidance for
developing better emission inventories to characterize, through modeling, the
ambient concentrations of air toxics in the study areas. (ABSTRACT TRUNCATED)
PMID- 22097191
TI - [Disease-syndrome combination clinical study on psychosomatic diseases: Yang and
Yin syndrome typing for psychosomatic diseases].
PMID- 22097192
TI - [Primary study on disease-syndrome combination and clinical therapy for chronic
heart failure].
PMID- 22097193
TI - [Thoughts on the cut-in point of disease-syndrome combination in the clinical
study of Chinese medicinal pediatrics].
PMID- 22097194
TI - [Analysis of treating diabetes mellitus by disease-syndrome combination].
PMID- 22097195
TI - [Effect of Chinese medicine comprehensive regimen as the maintenance therapy on
time to progression and quality of life of patients with advanced non-small-cell
lung cancer].
AB - OBJECTIVE: To observe the effect of Chinese medicine (CM) comprehensive regimen
as the maintenance therapy (MT) on time to progression (TTP) and quality of life
(QOL) of patients with advanced non-small-cell lung cancer (NSCLC). METHODS: The
study was a prospective, randomized and controlled clinical trial. Fifty non
progressive patients with advanced NSCLC who responded to first-line therapy were
randomized into the test group (25 cases, treated with CM comprehensive regimen:
intravenous dripping of Chinese herbal preparation, oral administration of
Chinese herbal decoction, and point application) and the control group [25 cases,
treated with one of three single-agent maintenance chemotherapy regimens:
pemetrexed (500 mg/m2, day 1), docetaxel (75 mg/m2, day 1), and gemcitabine (1000
mg/mi, day 1 and day 8) in the ratio of 1:1]. Each cycle consisted of 21 days.
Cycles were repeated until the disease progressed, or intolerable toxic or
adverse reaction occurred, or patients refused to continue the treatment. The
primary end point was TTP and the secondary end point was QOL. QOL was evaluated
using the European Organization for Research and Treatment of Cancer quality-of
life questionnaire QLQ-LC43 (EORTC QLQ-LC43). TTP of fifty patients and QOL of 43
patients had been statistically analyzed. RESULTS: (1) The TTP in the test group
was prolonged for 23 days when compared with that of the control group, with
insignificant difference (87 days vs 64 days, P=0.063). (2) The scores of domains
in EORTC QLQ-LC43 were statistically significantly better in the test group than
in the control group (P<0.05) except cognitive and social functions, the symptoms
of dysphagia and pain in other parts. CONCLUSIONS: (1) The CM comprehensive
regimen as MT had equivalent efficacy on TTP when compared with single-agent
maintenance chemotherapy regimen. It was advantageous over improving the QOL. (2)
It is necessary to enlarge the sample size to further confirm the therapeutic
efficacy of CM comprehensive regimen as MT in treatment of patients with advanced
NSCLC.
PMID- 22097196
TI - [Effects of modified bazhen decoction in assistant with enteral nutrition on the
growth hormone, the nutritional state, and the immune function in patients with
gastric cancer after operation].
AB - OBJECTIVE: To observe the effects of modified Bazhen Decoction (BZD) in assistant
with enteral nutrition (EN) on the growth hormone, the nutritional state, and the
immune function in patients with gastric cancer after operation. METHODS: The
prospective, random, single-blinded, controlled clinical trial was adopted. 88
patients receiving gastric cancer operation were randomly assigned to the
parenteral nutrition group (Group A, 27 cases), the EN group (Group B, 30 cases),
and the comprehensive group (Group C, BZD in assistant with EN, 31 cases).
Isocaloric and isonitrogenous parenteral nutritional support was given to
patients in Group A from the operation day to the ninth day. Isocaloric and
isonitrogenous EN was given to patients in Group B and C from the second day of
operation till the ninth day. 100 mL BZD was nasal fed to patients in Group C
during the second day to the ninth day after operation. The levels of the growth
hormone, immune indices such as IgA, IgG, CD4+, CD8+, and CD4+/CD8+, etc., and
nutritional indices such as serum albumin, prealbumin, transferrin, etc. were
detected in the three groups one day before operation, on the 1st day after
operation, and on the tenth day after operation. RESULTS: The levels of IgA, IgG,
CD4+, and CD4+/CD8+, serum albumin, prealbumin, transferrin decreased more than
before operation in the three groups, with statistical difference (P<0.05). On
the tenth day after operation, all indices in Group B and C were somewhat
improved, showing statistical difference when compared with those in Group A
(P<0.05). Besides, the aforesaid indices were higher in Group C than in Group B
(P<0.05). CONCLUSIONS: Modified BZD in assistant with EN could further promote
the elevation of the growth hormone levels. Besides, it could further improve the
nutrition state and the immune function.
PMID- 22097197
TI - [Clinical study of safflower injection in treating and preventing the vascular
crisis after free flap transplantation].
AB - OBJECTIVE: To observe the clinical efficacy of Safflower Injection (Al) in
treating and preventing the vascular crisis after free flap transplantation.
METHODS: Sixty patients undergoing free flap transplantation were randomly
assigned to the treatment group and control group according to the visiting
sequence, thirty in each. Free flap transplantation was performed on all
patients, and medication was given 0. 5 h before flap vascular anastomosis, 1-7
days after surgery. Twenty mL Al was intravenously dripped to patients in the
treatment group after adding in 250 mL 5% glucose injection, while Dextran-40 was
intravenously dripped to patients in the control group. The medication was
conducted once per day. The hemorheology and four indices of blood coagulation
[prothrombin time (PT), international normalized ratio (INR), activated partial
thromboplastin time (APTT), fibrinogen (FIB)] were compared between the two
groups before operation (TO), during operation (T1), 24 h after operation (T2),
three days after operation (T3), and seven days after operation (T4). Meanwhile,
flaps were observed and adverse reaction recorded. The clinical efficacy and
safety were compared. RESULTS: Better result was obtained in the treatment group
when compared their clinical efficacy (86. 67% vs 60. 00%, P<0.05). The whole
blood high and low viscosity, plasma viscosity, red blood cell (RBC) volume, RBC
aggregation index all decreased, and RBC deformed index increased in the two
groups at T4, showing statistical difference when compared with those at T3
(P<0.05, P<0.01). There was no statistical significance in the four indices of
blood coagulation when compared with any time point in the same group (P>0.05).
There was no statistical significance in hemorheology and the four indices of
blood coagulation between the two groups at the same time point (P>0.05). The
adverse reaction rate in the treatment group was lower than that in the control
group, showing statistical difference (13.33% vs 30.00%, P<0.05). CONCLUSIONS: AI
could effectively prevent and treat the vascular crisis after free flap
transplantation. It had less adverse reaction and good safety. It was better than
Dextran-40. It was a safe and effective drug to prevent the vascular crisis.
PMID- 22097198
TI - [Myocardial protection of cardioplegic solution with Salvia miltiorrhizae in
extracorporeal circulation of coronary artery bypass graft].
AB - OBJECTIVE: To observe the myocardial protection of cardioplegic solution with
Salvia miltiorrhizae (SM) in extracorporeal circulation of coronary artery bypass
graft (CABG) and to investigate the mechanisms of SM. METHODS: 30 patients who
received CABG under extracorporeal circulation were randomly assigned to two
groups, the observation group (15 cases) and the control group (15 cases).
Patients in the observation group received the cardioplegic solution with SM and
those in the control group received the cardioplegic solution without SM. The
indices such as serum SOD activities, MDA contents, LDH, CK-MB, cTnl levels, the
rate of heart reskip, activated coagulation time (ACT), the time of assisted
respiration, and the days of in-hospital after operation were observed in the two
groups pre-operation, post-operation, 6 h and 24 h post-operation, respectively.
RESULTS: When compared with the control group, MDA contents, LDH, CK-MB, cTnl
levels were lower, SOD activities (all P<0.05) and heart re-skip rate (P>0.05)
higher in the observation group. There was no statistical significance in the
time of assisted respiration, the days of in-hospital, or ACT in the two groups
(P>0.05). CONCLUSIONS: The application of cardioplegic solution with SM in
extracorporeal circulation of CABG showed obvious myocardial protection. It had
better effects than the cardioplegic solution with no SM.
PMID- 22097199
TI - [Study on the distribution and characteristics of Chinese medicine syndrome in
patients with nonalcoholic fatty liver disease].
AB - OBJECTIVE: To supply evidence for establishing the standard for Chinese medicine
(CM) syndrome differentiation by investigating the distribution and
characteristics of CM syndromes in patients with nonalcoholic fatty liver disease
(NAFLD). METHODS: 928 NAFLD patients' symptoms, signs, tongue and pulse
parameters were studied by clinical epidemiologic survey. And the results were
analyzed by the cluster analysis and factor analysis. RESULTS: The results of
cluster analysis showed that the CM syndrome typings of fatty liver patients were
mainly classified as dampness heat accumulation, Pi deficiency with dampness
phlegm, Gan-qi stagnation and Pi deficiency, phlegm stasis accumulation, and Gan
Shen insufficiency, which were in accordance with clinical practice. The results
of factor analysis indicated that overweight/obesity, abdominal distension,
hypochondriac pain, discomfort in the hepatic region were common "condition
factors" of fatty liver patients. The 5 "syndrome factors" such as dampness heat
accumulation, Pi deficiency with dampness phlegm, Gan-qi stagnation and Pi
deficiency, phlegm stasis accumulation, and Gan-Shen insufficiency showed
identification significance in syndrome typing. CONCLUSIONS: The basic CM
syndrome typings of NAFLD were dampness heat accumulation, Pi deficiency with
dampness phlegm, Gan-qi stagnation and Pi deficiency, phlegm stasis accumulation,
and Gan-Shen insufficiency. The four parameters of fatty liver patients could be
classified by statistical analysis as condition factors and syndrome factors
(which could reflect CM syndrome characteristics), which could provide certain
evidence for establishing CM syndrome differentiation standards.
PMID- 22097200
TI - [Clinical effects of qianggan capsule on the liver tissue pathology and PDGF-BB,
TGF-beta1, TIMP-1, and MMP-1 factors in patients with chronic hepatitis B].
AB - OBJECTIVE: To study the therapeutic efficacy of Qianggan Capsule (QC) in treating
patients Seventy pa-with chronic hepatitis B fibrosis from the pathological
aspect and serum fibrosis markers. METHODS: patients with chronic hepatitis B
were randomly assigned to two groups, the treated group (45 cases) and the
control group (25 cases). QC was given to patients in the treated group, while
glucurone and compound vitamin B were given to those in the control group. The
therapeutic course for both groups was 6 months. The therapeutic effect was
assessed by determination of fibrosis markers including serum levels of platelet
derived growth factor-BB (PDGF-BB), transforming growth factor beta 1 (TGF
beta1), matrix metalloproteinases-1 (MMP-1), tissue inhibitors of
metalloproteinases-1 (TIMP-1) and serum levels of alanine transaminase (ALT),
total bilirubin (TBIL), albumin (ALB), and prothrombin time (PT) were completed 1
month before treatment and at the end of the trial respectively. RESULTS: (1)
Serum levels of ALT, TBIL, PT decreased obviously and the serum ALB level
obviously increased in both groups (all P<0.05), showing no significant
difference between the two groups (P>0.05). (2) Hepatic fibrosis markers: Serum
levels of PDGF-BB, TGF-1P3, and TIMP-1 significantly decreased, and serum MMP-1
level markedly increased in the treated group more than before treatment (all
P<0.05). No significant difference was shown between before and after treatment
in each index of the control group (P>0.05). Serum levels of PDGF-BB, TGF-beta1,
and TIMP-1 were obviously lower and the serum MMP-1 level was obviously higher in
the treated group than in the control group after treatment (all P<0.05). (3)
Hepatic histopathological results: The hepatic inflammatory necrosis activity and
the hepatic fibrosis degree in the treated group were significantly improved
(P<0.05), with the total effective rate of the hepatic necrosis activity
improvement being 40.00% and that of the hepatic fibrosis degree being 57.78%.
But there was no obvious improvement in the hepatic inflammatory necrosis
activity or the hepatic fibrosis degree in the control group (P>0.05).
CONCLUSIONS: QC could effectively improve serological indices and pathological
indices of chronic hepatitis B fibrosis patients, showing better therapeutic
effect in reversing hepatic fibrosis and alleviating hepatic inflammatory
necrosis.
PMID- 22097201
TI - [Plasma proteomic analysis of patients with chronic hepatitis B of damp-heat
retention in the middle-jiao syndrome].
AB - OBJECTIVE: To study the essence of chronic viral hepatitis B (CHB) of damp-heat
retention in the middle-jiao syndrome (DRMS) from plasma proteomic angle.
METHODS: Plasma proteomic analyses of plasma whole protein of patients in the
group with CHB of DRMS (20 cases) and subjects in the health control group (5
cases) were compared using two-dimensional gel electrophoresis (2-DE), mass
spectrography, and other bioinformatics analyses methods. RESULTS: Eight protein
dots with obvious regularity changes of differential expression were obtained by
2-DE. Seven protein dots were obtained by mass spectrography (One protein dot
with undetected results): apolipoprotein C2 (APO-C2), vitronectin (VN),
haptoglobin (HPT), transthyretin (TTHY), APO-A1, serum amyloid P-component
(SAMP), and APO-A4. Compared with the health control group, the expressions of
APO-A1 and APO-A4 were somewhat higher and the expressions of the expressions of
the rest five protein dots were obviously down-regulated. CONCLUSION: APO-Al and
APO-A4 were of potential significance in the diagnosis of CHB patients of DRMS,
prognostic markers, or treatment targets.
PMID- 22097202
TI - [Effect of biantong huangqi ointment combined with western medicine on the
recurrence of children's bronchial asthma].
AB - OBJECTIVE: To assess the intervention of Biantong Huangqi Ointment (BHO) combined
with Western medicine (WM) on the recurrence of bronchial asthma (BA). METHODS:
Eighty-four BA children patients were randomly assigned to the treatment group
(43 cases) and the control group (41 cases). During the period of onset, patients
in the two groups were treated by WM alone. During the remission phase, patients
in the treatment group took BHO, one dose daily, while those in the control group
were treated with atomized inhalation of Budesonide and Salbutamol (0.5 mL each
time for those 3 -8 years old; 0.75 mL each time for >or=those 8-12 years old).
The therapeutic course for them all was 1 month. The serum levels of IgG and IgE
before and after treatment, 6 and 12 months after withdrawal of medication were
detected in the two groups, and the recurrence rate of BA observed in the two
groups. RESULTS: The recurrence rate of the treatment group was obviously lower
than that of the control group after withdrawal of medication (9.5% vs 24.4% for
6 months, 14.0% vs 34.1% for 12 months), showing statistical difference between
the two groups (P<0.05). The serum IgG level of children patients in the
treatment group increased continuously after medication. The high serum IgE level
state obtained long-term and effective relief. CONCLUSION: BHO showed favorable
anti-recurrent effect on children's BA. Its mechanism might be associated with
regulating children's immune system.
PMID- 22097203
TI - [Clinical control study on postmenopausal osteoporosis treated with embedding
thread according to syndrome differentiation and medication].
AB - OBJECTIVE: To study the therapeutic efficacy of embedding thread according to
staging and wholism syndrome differentiation and its effect on correlated indices
of patients with postmenopausal osteoporosis. METHODS: 135 patients with
postmenopausal osteoporosis were randomly assigned to the control group A
(treated with Calcichew D3 Tablet), the control group B (treated with Calcichew
D3 Tablet and Xianling Gubao Capsule), and the treatment group (treated with
Calcichew D5 Tablet and embedding thread according to staging and wholism
syndrome differentiation). The visual analogue scale (VAS), Chinese medicine
syndrome integral, and the quality of life scale before treatment, 3 months after
treatment, and 6 months after treatment were assessed. Changes of the lumbar bone
mineral density (BMD) and the serum level of estradiol (E2) were also assessed
before and after six-month treatment. And the therapeutic efficacy of each group
was also assessed after 6 months of treatment. RESULTS: Before treatment, there
was no significant difference in scores of VAS, Chinese medicine syndrome
integral and the quality of life scale, the.serum level of E2, and the lumbar BMD
of the patients in three groups (all P>0.05). After three months of treatment,
there was significant difference in scores of VAS, Chinese medicine syndrome
integral and the quality of life scale of the patients in the three groups (all
P<0.01). Of them, the improvement of the three indices in the control group A was
the worst in three groups (P< 0.05, P<0.01). The VAS in the treatment group was
superior to those in control group B (P<0.01). But the difference of Chinese
medicine syndrome integral and the quality of life scale was insignificant in the
three groups. After six months of treatment, significant difference was shown in
the scores of VAS, Chinese medicine syndrome integral, or the quality of life
scale of the patients in the three groups when compared with the corresponding
index before treatment and after three months of treatment (all P<0.01). Of them
the improvement of the three indices of patients in the treatment group and the
control group B was better than that in the control group A (all P<0.01), and the
improvement in the treatment group were superior to that in the control group B
(P<0.05, P<0. 01). Significant difference was shown in the serum level of E2 and
the lumbar BMD of the patients in the treatment group and the control group B
when compared with before treatment of the same group (both P<0.01). But there
was no difference in the control group A between before and after treatment, with
better effects obtained in the treatment group and the control group B. And the
serum level of E, of the patients in the treatment group after treatment was
higher than that in the control group B (P<0.01), but there was no difference in
the lumbar BMD. The therapeutic efficacy in the treatment group and the control
group B were superior to that in the control group A (P<0.01, P<0.05), but no
difference existed between the treatment group and the control group B.
CONCLUSIONS: The therapy of embedding thread according to staging and wholism
syndrome differentiation could reduce the scores of VAS and Chinese medicine
syndrome integral, enhance the serum lever of E2, the quality of life scale and
the lumbar BMD of patients with postmenopausal osteoporosis. So it was an
effective method.
PMID- 22097204
TI - [Effect of massage on hemodynamics parameters of uterine artery and serum
prostaglandin in treating patients with primary dysmenorrhea].
AB - OBJECTIVE: To observe the curative effect of massage in the treatment of primary
dysmenorrhea (PD), and its effect on hemodynamics parameters of uterine artery
and serum prostaglandins. METHODS: 60 PD patients were randomly assigned to the
massage group and the control group, 30 in each. Patients in the massage group
received massage, while those in the control group orally took ibuprofen
sustained release capsule, both for three menstrual cycles. The pain degree was
assessed using visual analogue scale (VAS). The hemodynamics parameters of
uterine artery [including pulsatility index (PI), resistance index (RI), systolic
to diastolic peak ratio (S/D)], the serum levels of prostaglandin F2alpha
(PGF2alpha) and PGE2 in the menstruation were detected in the two groups before
and after treatment. RESULTS: There was no statistical difference in each index
before treatment between the two groups (P>0.05). Compared with the control group
after treatment, the scores of VAS (mm, 33. 17+/-7.93 vs 63.53+/-9.48), PI
(2.18+/-0.18 vs 2.74+/-0.23), RI (0.67+/-0.09 vs 0. 86+/-0.27), S/D (5.44+/-0.47
vs 7.56+/-0.28), and serum PGF2a level (ng/L, 28. 10+/-2.41 vs 37.68+/-2.16) were
lower and serum PGE, level (ng/L, 29.82+/-2.13 vs 26.43+/-1.42) higher in the
massage group, showing statistical difference (P<0.05, P<0.01). CONCLUSIONS:
Massage had favorable therapeutic effect on PD. Its effect might be achieved
through improving the blood circulation of uterus, adjusting the abnormal levels
of PGF2a and PGE2, thus exerting pain relief effect.
PMID- 22097205
TI - [A multi-center, randomized control trial on clinical research education to
diabetes patients].
AB - OBJECTIVE: To assess the effect of implementing education about clinical research
in changing the awareness and attitudes of diabetic patients. METHODS: A
randomized control trial was implemented in 258 patients with diabetes from 6
hospitals. The participants were assigned to the intervention group (129 cases,
who received education about the clinical research) and the control group (129
cases, who received no intervention). The outcome indicators were the variables
on knowledge, attitude for the clinical research between before and after
intervention in the same group and between the two groups. RESULTS: There was no
withdrawal or lost in the two groups. Comparing the contents between inter-groups
and intra-group for 19 assignment questions, it showed statistical significance
(P<0.05). After the patients received education, their knowledge about and
attitude towards clinical research, informed consent, placebo, follow-up, random
allocation, and ethics, etc. were markedly improved. Their attitudes for the
participants to join in scientific research, opinion, confidence were obviously
changed, and it also showed that the method was feasible. The patients' education
level was positively correlated with the clinical research educated outputs.
CONCLUSION: This study proved the necessity for patients participating in future
clinical trials to receive education, as well as its feasibility and
effectiveness.
PMID- 22097206
TI - [Experimental study on metabonomics of coronary heart disease myocardial ischemia
of blood stasis syndrome based on the NOESY pulse NMR].
AB - OBJECTIVE: To study changes of serum metabolite profiles in Ameriod ring induced
coronary heart disease (CHD) chronic myocardial ischemia (CMI) mini-pigs by NMR.
METHODS: The blood stasis syndrome (BSS) animal model was established by coronary
angiography, blood hemorheology, and so on. By using NMR and pattern recognition,
changes of serum endogenous metabolites of the four-week mini-pigs of the BSS
model and the sham-operative group. RESULTS: The model prepared by using this
method was confirmed as the stable CHD CMI model of BSS. Animals in the model
group and the sham-operative group were clearly classified using the principal
component analysis (PCA). The PCA of serum 1HNMR spectrum and metabolic spectrum
in the two groups were significantly different in this model. Compared with the
sham-operative group, endogenous metabolites in the serum such as the levels of
citric acid, beta-hydroxybutyrate, gamma-aminobutyric acid, lactic acid,
glutamate, glucose, etc. increased in the model group, while the concentrations
of lipid metabolites such as low-density lipoprotein (LDL) and very low-density
lipoprotein (VLDL) decreased. CONCLUSIONS: The different metabolites between the
model group and the sham-operative group mainly manifested as metabolic disorders
of glucose and lipids, as well as energy metabolism such as the disturbance of
tricarboxylic acid (TCA). Metabonomics could provide a new path for the
objectivity of Chinese medicine syndromes.
PMID- 22097207
TI - [Effect of qufeng zhidong simplified recipe on the behavior of the tic disorder
rats].
AB - OBJECTIVE: To study the effects qufeng zhidong Simplified Recipe (QZSR) on the
behavior of the tic disorder (TD) rats. METHODS: Fifty male SD rats were randomly
divided to the normal group, the model group, the QZSR-1 group, the QZSR-2 group,
and the QZSR group, 10 in each group. Two mg/kg apomorphine (APO) was
intraperitoneally injected to rats in the model group, the QZSR-1 group, the QZSR
2 group, and the QZSR group, while equal volume of normal saline was
intraperitoneally injected to rats in the normal group, both once daily for 7
successive weeks. At the 4th week equal volume of normal saline was
intraperitoneally injected to rats in the model group and the normal group, while
corresponding medicinal liquid was intraperitoneally injected to those in the
rest groups, both once daily for 7 successive weeks. At the 2nd and 4th week of
intervention, rats' improvement degrees of stereotyped behavior and the open
field test were monitored, and their experimental results were analyzed. RESULTS:
At the 2nd and 4th week of intervention, when compared with those of the model
group, the score of stereotyped behavior decreased, the numbers of passing-panel,
straightening, and dejecta pill were reduced, and the number of grooming
increased in the QZSR-1 group, the QZSR-2 group, and the QZSR group. But there
was no difference among the three groups (P>0.05). CONCLUSION: QZSR could
significantly reduce APO induced stereotyped behavior scores of TD rats, improve
their locomotor activities, and reinforce their adaptive faculty.
PMID- 22097208
TI - [Effects of chaihu shugan powder on the behavior and expressions of BDNF and TrkB
in the hippocampus, amygdala, and the frontal lobe in rat model of depression].
AB - OBJECTIVE: To investigate the effects of Chaihu Shugan Powder (CHSGP) on the
behavior and the expressions of brain-derived neurotrophic factor (BDNF) and its
receptor tyrosine kinase receptors B (TrkB) in the hippocampus, amygdala, and the
frontal lobe of depression model rats. METHODS: Sixty adult Sprague-Dawley rats
were randomly divided into 6 groups, i. e., the normal control group (NC), the
model control group (MC), the CHSGP group, the disassembly 1 group (CI), the
disassembly 2 group (CII), and the Fluoxetine control group (FC), 10 in each
group. Except those in the NC, the rest rats were singly housed and exposed on an
unpredicted sequence of mild stressor. From the fifteenth day, all rats were
administered with equal volume of normal saline (to the NC group and the MC
group) and of corresponding medicinal liquid (5.9 g/kg to the CHSGP group, 3.3
g/kg to the CI group, 2.6 g/kg to the CII group, and 1.8 mg/kg to the FC group)
by gastrogavage for 2 successive weeks. The rats' body weight, sucrose
consumption volume in the sucrose preference test, and times of grooming in the
open field test were detected on the 0, 7th, 14th, 21st, 28th day, respectively.
The mRNA expressions of BDNF and TrkB in the hippocampus, amygdala, and the
frontal lobe were detected by immunohistochemical assay and Real-time fluorescent
quantitation PCR. RESULTS: Compared with the NC group, the rats' body weight was
put up slowly in the MC group. The scores in the open field test decreased. The
times of grooming and sucrose consumption volume were both reduced. The time of
staying in central square was postponed. The mRNA expressions of BDNF and TrkB in
the hippocampus, amygdala, and the frontal lobe decreased with statistical
significance (P<0.05, P<0.01). Compared with the MC group, the behavior indices
of rats in the CHSGP, CI, CII, and FC groups were significantly improved. The
mRNA expressions of BDNF and TrkB in the hippocampus, amygdala, and the frontal
lobe were obviously enhanced with statistical significance (P<0.05, P<0.01).
CONCLUSIONS: CHSGP could obviously improve the depressive state of the model
rats. Its mechanism might be correlated with increasing the mRNA expressions of
BDNF and TrkB in the hippocampus, amygdala, and the frontal lobe.
PMID- 22097209
TI - [Effects of huanglian jiedu decoction on free radicals metabolism and
pathomorphism of the hippocampus in App/PS1 double transgenic mice].
AB - OBJECTIVE: To observe the effects of Huanglian Jiedu Decoction (HLJDT) on the
metabolism of free radicals, the morphology and histopathology of hippocampal CA1
neurons in PS1/APP double transgenic mice of Alzheimer's disease (AD), and to
study its possible mechanisms, thus providing experimental evidence for treating
AD by HLJDT. METHODS: The APP/PS1 double transgenic mouse model was used. Mice
were randomly divided into five groups, i. e., the model control group, the
positive control group (Aricept), high-, middle-, and low-dose HLJDT group (at
the daily dose of 865 mg*kg(-1), 433 mg*kg(-1), and 216 mg*kg(-1), respectively).
Corresponding medication was daily given by gastrogavage. Seven months later
superoxide dismutase (SOD) and malondialdehyde (MDA) were detected at the ten
month old mice, thus observing the effects on the morphology of CA1 hippocampal
neurons and the senile plaques (SP). RESULTS: HLJDT and Aricept could obviously
increase the SOD contents and lower the MDA contents (P<0.05), attenuate the
destroy of neurocytes and the formation of SP, effectively hinder the
degeneration of hippocampal neurons. Better results were obtained in the middle
dose HLJDT group than in the positive control group (P<0.05). CONCLUSION: The
mechanism of HLJDT in treating AD might be possibly correlated with improving
anti-oxygenation, protecting hippocampal neurocytes, and reducing the formation
of SP.
PMID- 22097210
TI - [Effects of berberine on the pancreatic beta cell apoptosis in rats with insulin
resistance].
AB - OBJECTIVE: To explore the effects of berberine on the pancreatic 13 cell
apoptosis in rats with insulin resistance (IR). METHODS: IR Wistar rat model was
established by feeding with high fructose diet. After 6-week treatment of
berberine, oral glucose tolerance test (OGTT) was performed. Then fasting insulin
level (Fins) was detected and insulin sensitivity index (ISI) calculated. The
islet was isolated and purified. The pancreatic p3 cell apoptosis was detected by
terminal deoxynucleotidyl transferase-mediated dUTP-biotin nick end labeling
assay (TUNEL). The apoptosis-related protein ASK1 and Caspase-12 expressions were
examined by immunohistochemical assay. RESULTS: Compared with the normal group,
the blood glucose at 0 and 1 h increased, the Fins increased and ISI decreased,
the blood lipids were disarranged, the pancreatic beta cell apoptosis increased,
and ASK1 and Caspase-12 protein expressions increased in IR rats. Compared with
the model group, the blood glucose at 0 and 1 h and the Fins decreased, ISI
increased, the disarranged blood lipids were improved, the pancreatic beta cell
apoptosis decreased, and the ASK1 expression decreased, but with no obvious
change in the Caspase-12 expressions in the berberine group. CONCLUSIONS:
Berberine could alleviate IR state in IR rats and inhibit pancreatic 13 cell
apoptosis. Its mechanism might be correlated with the inhibition of ASK1 protein
expressions.
PMID- 22097211
TI - [Uniform designed research on the active ingredients assembling of huangqi
decoction for inhibition of DMN-induced liver fibrosis].
AB - OBJECTIVE: To screen out effective ingredients of Huangqi Decoction (HQD) on
dimethylnitrosamine (DMN) induced liver fibrosis and its assembling actions.
METHODS: (1) DMN solution (0. 5%) was peritoneally injected to rats to prepare
the liver fibrosis model for 12 times, starting from the 1st day of modeling to
the end of the 4th week. Uniform design method with 4-factor 8-level table was
used to optimize the proportion of four ingredients from HQD, including
astragaloside (AS), astragalus flavonoids (AF), glycyrrhizae acid (GA), and
glycyrrhizae flavonoids (GF). Moreover, the changes of hydroxyproline (Hyp)
content in the liver issue and the level of alanine aminotransferase (ALT) in
serum were observed as screen indices, and the method of regression analysis was
used to find out an optimal combination. (2) A further study for comparing and
verifying the efficacy of the obtained optimized prescription was conducted by
observing the changes of fibrosis pathology, the content of Hyp in the liver
tissue and serum enzyme activity after medication. RESULTS: The optimal
proportion of AS and GA was 164:48. Compared with the model group, the content of
Hyp in the liver tissue and the levels of ALT, aspartate aminotransferase (AST),
and alkaline phosphatase (ALP) in serum decreased significantly, indicating the
inhibiting effect of HQD and the AS/GA combination group on hepatic fibrosis
formation (P<0.05). The AS/GA combination group was better than AS/GA used alone
group in reducing the content of Hyp in the liver tissue and the level of ALT in
serum. Furthermore, the AS/GA combination group was better than the HQD group in
reducing the level of ALT in serum. CONCLUSIONS: AS and GA were effective
ingredients of HQD, and the combination of AS and GA had obvious synergistic
effect in reducing liver collagen deposition and decreasing serum ALT activity in
DMN-induced liver fibrosis.
PMID- 22097212
TI - [Effect of the combination of bushen xuguan recipe and ruanjian huayu recipe on
the MMP-2 expression in endometriosis rats].
AB - OBJECTIVE: To observe the effect of the combination of Bushen Xuguan Recipe (BXR)
and Ruan-jian Huayu Recipe (RHR) on the matrix metalloproteinases-2 (MMP-2)
expression of rats with endometriosis (EMS), and to study the pathogenesis and
mechanism of EMS. METHODS: The EMS rat model was established. Successfully
modeled female SD rats were randomly divided into the combination group
(BXR+RHR), the RHR group, and the model group. And a normal control group was set
up. After 4 weeks of medicinal treatment, rats were sacrificed in the oestrus
cycle. MMP-2 distribution and the staining density in ectopic and eutopic
endometrium of the rats were observed under microscope. The MMP-2 expression was
determined by immunohistochemical assay (SP method). RESULTS: The MMP-2
expression in the ectopic endometrial tissues of rats in the model group were
significantly higher than that of the normal control group (P<0.01). Compared
with the model group, the MMP-2 expression decreased in the combination group and
the RHR group (P<0.05). The therapeutic effect of the combination group was most
obvious by observing the distribution of MMP-2 and changes of positive staining.
CONCLUSIONS: The occurrence of EMS was correlated with increased activities of
MMP-2. The combination method could inhibit the activities of MMP-2 in the
ectopic endometrial tissues of EMS rats more effectively than RHR alone, which
might be achieved through improving the endocrine environment, and reducing the
"invasion" capability of the ectopic endometrium.
PMID- 22097213
TI - [Inhibitory effect of arsenic trioxide on the pulmonary metastasis of melanoma
B16 cells].
AB - OBJECTIVE: To study the inhibitory effect of arsenic trioxide (As2O3) on the
pulmonary metastasis of melanoma B16 cells. METHODS: Mice melanoma cells B16 were
injected into the vein of the eye socket of C57BL/6J mice. The lung tissue weight
and the B16 melanoma lung metastasis nodules were examined after intraperitoneal
injection of As2O3. The microvessel density in the pulmonary metastatic tumor
nodules was observed using HE staining and immunohistochemistry analysis for VIII
R Ag. The cell adhesion rate was detected using CellTiter 96 Aqueous One reagent.
RESULTS: As2O3 could significantly inhibit the pulmonary metastasis of B16
melanoma. The lung weight, the pulmonary metastasis nodules, and microvessels per
visual field of the experimental group and the control group were 0.139+/-0.013 g
and 0.353+/-0.070 g, 20.42+/-1.78 and 61.42+/-3.09, 3.25+/-0.75 and 7.50+/-1.45,
respectively (all P<0.01). As2O3 showed significant effect on the cell adhesion
rate, showing statistical difference between the two groups (P<0.01).
CONCLUSIONS: As2O3 had significant antitumor metastasis effect. It might be
correlated with inhibiting angiogenesis and enhancing the cell adhesion.
PMID- 22097214
TI - [Effect of salvianolic acid B on TGF-beta1-induced human embryonic lung
fibroblast's biological behavior].
AB - OBJECTIVE: To investigate the effect of salvianolic acid B (SAB) on the
proliferation of human embryonic lung fibroblast MRC-5, and the secretion of
procollagen I and endogenous transforming growth factor-beta1, (TGF-beta1).
METHODS: The MRC-5 cells were randomly divided into four groups as follows: the
control group: cells cultured with DMEM but with no TGF-beta1, or SAB; the TGF
beta1, group: cell cultured with 10 ng/mL TGF-beta1; the SAB1 group: cell
cultured with medium with 10 ng/mL TGF-beta1 and 1 pmol/L SAB; the SAB2 group:
cell cultured with medium with 10 ng/mL TGF-beta1, and 10 pmol/L SAB. The
proliferation of cells was assayed by MTT incorporation. The concentration of
amino-terminal propeptide of type I procollagen (PINP), a marker of collagen
synthesis, was measured by radioimmunoassay. The endogenous TGF-beta1, levels
were measured using ELISA. RESULTS: The optical density, procollagen I contents,
and endogenous TGF-beta1, levels significantly increased when compared with those
of the control group (P<0.05). Compared with the TGF-beta1, group, the optical
density was obviously lowered, the procollagen I contents and endogenous TGF
beta1, levels significantly decreased in the SAB1 group and the SAB2 group, and
better in the SAB2 group, showing statistical difference (P<0.05). CONCLUSIONS:
SAB could inhibit the proliferation of MRC-5 cells induced by TGF-beta1 and
attenuate the roles of secreting collagen and endogenous TGF-beta1. It had the
potential of postponing or delaying the progressive developing of pulmonary
fibrosis.
PMID- 22097215
TI - [Effect of genistein on MAPK signal pathway in the collagen-induced arthritis
fibroblast-like synoviocytes].
AB - OBJECTIVE: To study the effect of genistein (Gen) on MAPK signal pathway in the
CIA rat fibroblast-like synoviocytes (FLS). METHODS: The rat model of collagen
induced arthritis (CIA) was established. The cultured FLS of CIA rats were
divided using randomized method. The effects of Gen (at the concentration of 50,
100, and 200 micromol/L, respectively) on the proliferation of FLS in CIA rats
using methyl thiazolyl tetrazolium (MTT) assay. Effects of Gen (at the
concentration of 50, 100, and 200 pmol/L, respectively) on the expressions of
extracellular signal-regulated kinase (ERK) and phosphorylated extracellular
signal-regulated kinase (p-ERK) in the FLS of CIA rats were detected. RESULTS:
Gen could inhibit the proliferation of FLS in CIA rats. The FLS proliferation in
the high dose Gen group at 72 h was only 1.10+/-0.04, significantly lower than
that in the model group (2.12+/-0.03, P<0.01). Besides, after Gen's action on
FLS, the expression of p-ERK was down-regulated. It was only 0.34+/-0.02 in the
high dose Gen group, significantly lower than that in the model group (2.68+/
0.14, P<0.01). There was no change in the expression of ERK (P>0.05).
CONCLUSIONS: Gen could inhibit the proliferation of FLS in CIA rats. Its
mechanism of action was mainly correlated to down-regulating the tyrosine kinase
of MAPK signal transduction pathway and inhibiting phosphorylation of ERK.
PMID- 22097216
TI - [Exploration on the establishment of animal models for gouty nephropathy
complicated with chronic renal failure].
AB - OBJECTIVE: To explore the method for establishing animal models of gouty
nephropathy complicated with chronic renal failure. METHODS: Six-eight weeks old
male Wistar rats were fed with 10% fodder yeast. The adenine at the daily dose of
100, 150, 200, 250, and 300 mg/kg was administrated to them by gastrogavage. The
serum levels of blood urea nitrogen (BUN), creatinine (Cr), and uric acid (UA)
were dynamically monitored. Meanwhile, the pathological changes of rat kidney
were observed. RESULTS: Compared with the normal control group, serum BUN, Cr,
and UA obviously increased in rats administered with 100 mg/kg for 7 days
(P<0.05). Meanwhile, pathological changes as gouty nephropathy occurred. Along
with the prolongation of the modeling time, the aforesaid biochemical indices and
pathohistological changes of the kidney were more obvious. The blood Cr level
just reached the chronic renal failure level on the 26th day of the
administration (about the 4th week), and obviously exceeded the renal failure
level on the 41st day (about the 6th week). The blood UA level increased to a
higher level on the 7th day of modeling, and maintained at a higher level for a
long time. It decreased rapidly from the 41st day to the 48th day. The renal
pathological examination showed aggravated infiltration of lymphocytes and
stromal fibrous proliferation. On the 48th day of modeling, the proliferation of
the fibrous tissue and the interstitial fibrosis were obvious on the bases of the
aforesaid changes. The serum BUN, Cr, and blood UA obviously increased in the
rats administered with 150, 200, 250, and 300 mg/kg when compared with the normal
control group, reaching the level of chronic renal failure (P<0.05). These levels
obviously decreased 17 days after restoring to normal fodder feeding, and
approached the normal levels till the 35th day. CONCLUSION: Ideal experimental
animal models of gouty nephropathy complicated with chronic renal failure could
be established in male Wistar rats by feeding with 10% fodder yeast and 100 mg/kg
adenine by gastrogavage for 5 weeks.
PMID- 22097217
TI - [Preventive and therapeutic effects of xiaobanxia fuling decoction on cisplatin
induced pica rats].
AB - OBJECTIVE: To investigate the preventive and therapeutic effects of Xiaobanxia
Fuling Decoction (XBFD) on cisplatin-induced pica rats and to study its
mechanism. METHODS: Forty-two male Sprague-Dawley rats were randomly divided into
the following 7 groups, i.e., the blank control group, the model group, the high
, middle-, and low-dose XBFD groups (at the daily dose of 30, 15, and 7. 5 g/kg,
respectively), the aprepitant (at the daily dose of 13 mg/kg), and pure Chinese
medicine group (at the daily dose of XBFD 15 g/kg), 6 in each group. On the 3rd
day of this study, 3 mg/kg cisplatin was intraperitoneally injected to rats
except the blank control group and the model group to establish the pica rat
model. The consumptions of kaolin, food, and the general situation of rats were
observed. The protein and mRNA expressions of neurokinin 1 receptor (NK1R) in
both the medulla oblongata and the gastric antrum were measured by
immunohistochemical assay and Real-time fluorescent quantitative PCR respectively
on the sixth day of this study. RESULTS: On the third, fourth, and fifth day of
this study, the consumption of kaolin of rats significantly increased when
compared with the blank control group (P<0.01). Compared with the model group,
the consumption of kaolin significantly decreased in the high-, middle-, and low
dose XBFD groups on the third, fourth, and fifth day of this study (P<0.05). The
food intake of rats in the high-dose XBFD groups significantly increased when
compared with the model group on the third day of this study (P<0.05). The
protein and mRNA expressions of NK, R in the medulla oblongata and the gastric
antrum significantly decreased in the high- and middle-dose XBFD groups when
compared with the model group (P<0.05). CONCLUSIONS: XBFD could prevent and treat
cisplatin-induced pica in rats. Its effect might be correlated with decreasing
expressions of NK, R in the medulla oblongata and the gastric antrum.
PMID- 22097218
TI - [Exploration on syndrome differentiation standardization of Chinese medicine
diagnosis and treatment].
AB - The syndrome differentiation standardization of Chinese medicine and treatment
technologies is the premise of Chinese medicine's entry into the world. But its
individualized diagnosis and therapeutic features are contrary to the
specification of standardization. The achievement and existent problems in
syndrome differentiation standardization of Chinese medicine and treatment
technologies were summarized in this paper. The thinking ways and recommendations
to solve were proposed as well.
PMID- 22097219
TI - [Chinese medicine prevention and treatment of AIDS in terms of constitution
theory].
AB - The significant effects of constitutional factor on AIDS were addressed. Acquired
immunodeficiency syndrome (AIDS), caused by HIV, is mainly characterized as
decreased immune function or immune deficiency. It has strong infectiousness and
higher mortality, so it is one of the diseases that attract great attention to
clinical prevention and treatment. The constitution not only exerts significant
effect on the immune function of patients with AIDS, but also plays important
roles in the development, prognosis, and outcomes of AIDS. Therefore, it is of
great referential significance in both clinical and scientific studies of AIDS by
exploring Chinese medicine prevention and treatment of AIDS from the angle of
constitution.
PMID- 22097220
TI - [Study on the social communication features of interpretation of Chinese
medicine].
PMID- 22097221
TI - [Severe sensorineural deafness after cerebral trauma by electroacupuncture and
scalp acupuncture: a report of 19 cases].
PMID- 22097222
TI - [Treatment of declined ovary reserve function by shen nourishing blood activating
method: a case report of 50 cases].
PMID- 22097223
TI - [Overview of adverse effects of integrative medicine treatment of social
communication stress on bronchial asthma].
PMID- 22097224
TI - [Advance of Chinese medicine studies on children's mycoplasmal pneumonia].
PMID- 22097225
TI - IMAJ "Bat Mitzvah" year: highlights of 2010-2011.
PMID- 22097226
TI - Severe influenza A (H1N1): the course of imaging findings.
AB - BACKGROUND: An outbreak of respiratory illness caused by a novel swine-origin
influenza virus (influenza A/H1N1 2009) that began in Mexico was declared a
global pandemic by the World Health Organization in June 2009. The pandemic
affected many countries, including Israel. OBJECTIVES: To compare the course of
chest radiographic and computed tomography findings in patients who survived and
those who died following admission to the intensive care unit (ICU) or intubation
due to severe laboratory-confirmed swine-origin influenza A/H1N1 2009. METHODS:
We retrospectively reviewed the patient records (267 radiographs, 8 CTs) of 22
patients (10 males, 12 females) aged 3.5-66 years (median 34) with confirmed
influenza A/ H1N1 2009, admitted to the ICU and/or intubated in five major
Israeli medical centers during the period July-November 2009. We recorded
demographic, clinical, and imaging findings--including pattern of opacification,
extent, laterality, distribution, zone of findings, and presence/absence of
nodular opacities--at initial radiography and during the course of disease, and
compared the findings of survivors and non-survivors. Statistical significance
was calculated using the Wilcoxon (continuous variables) and Fisher exact tests.
RESULTS: The most common findings on the initial chest radiography were airspace
opacities, which were multifocal in 17 patients (77%) and bilateral in 16 (73%),
and located in the lower or lower and middle lung zones in 19 patients (86%).
Large airspace nodules with indistinct margins were seen in 8 patients (36%).
Twelve patients survived, 10 died. Patients who died had multiple background
illnesses and were significantly older than survivors (P = 0.006). Radiologic
findings for the two groups were not significantly different. CONCLUSION:
Airspace opacities, often with nodular appearance, were the most common findings
among patients with severe influenza A/H1N1 2009. The course of radiologic
findings was similar in patients with severe influenza A/ H1N1 2009 who survived
and those who died.
PMID- 22097227
TI - Endoscopic transnasal cerebrospinal fluid leak repair: a 10 year experience.
AB - BACKGROUND: Endoscopic techniques have gained popularity for the repair of
anterior skull base defects. OBJECTIVE: To describe the 10 year experience with
endoscopic surgical repair of cerebrospinal fluid (CSF) rhinorrhea in a tertiary
medical center. METHODS: The files of all patients who underwent endoscopic
transnasal CSF leak repair in our institution between 1996 and 2006 were
reviewed. RESULTS: Twenty-four patients were identified: 16 women and 7 men with
a mean age of 48 years and one child aged 9.5 years. The leak was trauma-induced
in 17 patients and occurred spontaneously in the other 7. The defect was
localized by preoperative computed tomography or CT/cysternography in 86% of
cases. A fascia lata graft was the dominant choice for defect closure, and it was
combined with a conchal or septal flap, fat, periosteum, or fibrin glue in 15
patients. The success rate was 83% after the first closure attempt and 91% after
the second. Two patients required a craniotomy at the third attempt. Mean
hospitalization time was 6.7 days. There were two minor complications. Two
patients were lost to follow-up; none of the others had a recurrence during 2
years of follow-up. CONCLUSIONS: The endoscopic transnasal technique for the
repair of CSF rhinorrhea is associated with a high success rate and low
morbidity, and it should be considered for the majority of cases. Repeated
attempts may improve success.
PMID- 22097228
TI - Periprocedural complication rate of carotid endarterectomy versus carotid
angioplasty and stenting: a retrospective study and review of the literature.
AB - BACKGROUND: In the past, carotid endarterectomy (CEA) was the only modality for
invasive intervention in cases of carotid stenosis. Due to improvements in
endovascular techniques (stenting), there is a growing debate regarding the
preferred procedure for carotid intervention. OBJECTIVES: To compare the 30 day
complication rate after CEA and carotid angioplasty and stenting (CAS) in a
tertiary medical center in Israel between the years 2008 and 2010. METHODS: We
reviewed the medical charts of all the patients who underwent either CEA or CAS
of the internal carotid artery due to symptomatic and asymptomatic stenosis
during the period 2008-2010 (total of 128 patients). RESULTS: There was no
difference between the groups in the rate of severe complications in the peri
procedural period. Mild complications were non-significantly more common in the
CEA group (17%) compared to the CAS group (7.1%). CONCLUSIONS: There was no
significant difference in the mild and severe complications rate between CEA and
CAS in the peri-procedural period.
PMID- 22097229
TI - Inducible clindamycin resistance among methicillin-sensitive Staphylococcus
aureus infections in pediatric patients.
AB - BACKGROUND: Staphylococcus aureus infections are a major cause of morbidity and
mortality worldwide. Clindamycin is widely used in the treatment of
staphylococcal infections; however, it is our impression that in the last few
years, inducible clindamycin resistance (ICR) has become more prevalent.
OBJECTIVE: To assess the prevalence of ICR in methicillin-sensitive
Staphylococcus aureus (MSSA) infections among pediatric patients in Israel.
METHODS: We reviewed the files of children diagnosed with MSSA infections during
the period January 2006 to June 2007 forfull antibiogram (includingthe D-test for
ICR), phage typing and randomly amplified polymorphic DNA. RESULTS: Altogether,
240 MSSA isolates were recovered, mainly from wounds and abscesses. ICR was
detected in 62 of 68 erythromycin-resistant/clindamycin-sensitive strains (91%);
the ICR rate for the total number of isolates was 26% (62/240). Phage type
analysis demonstrated that 38 of 61 ICR isolates (62%) were sensitive to group 2,
compared to 42 of 172 isolates (24%) that did not express ICR (P < 0.01). On
randomly amplified polymorphic DNA, phage type 2 isolates expressing ICR belonged
to the same clone, which was different from ICR isolates sensitive to other
phages and from isolates not expressing ICR. CONCLUSIONS: Inducible clindamycin
resistance is common among methicillin-sensitive Staphylococcus aureus in Israeli
children. The D-test should be performed routinely in all MSSA isolates.
PMID- 22097230
TI - Optimal time needed for withdrawal of mechanical ventilation in patients with
chronic obstructive pulmonary disease.
AB - BACKGROUND: Exacerbations of chronic obstructive pulmonary disease (COPD) are a
major problem worldwide and are usually the main indication for mechanical
ventilation (MV), especially in the intensive care unit (ICU). The rate of
weaning failure is also high and prolonged MV leads to complications of
intubation. The goal is to wean these patients as soon as possible. OBJECTIVE: To
determine the optimal time necessary to start the weaning process. METHODS: In an
attempt to determine the length of MV and stay in the ICU, we compared the length
of MV, weaning, reintubations and discharge during a 10 month period. This study
included 122 patients on MV due to severe exacerbation of COPD who were not
suitable for non-invasive ventilation. For each patient serial arterial blood
gases were measured at admission and during hospitalization. PeCO2 (mixed expired
CO2) was tested using a Datex S/5 instrument at follow-up. RESULTS: The study
population comprised all patients who required MV; of these 122, 108 were
ventilated from 6 to 140 hours (mean 48 +/- 42), 9 needed more than 168 hours,
and 5 died due to severe ventilation-associated pneumonia. No correlation was
found between pH, PCO2 and length of MV; these findings did not contribute to
evaluation of the patient's condition nor did they enable us to predict the
length of treatment necessary. CONCLUSION: Most of the patients (93%) ventilated
for acute respiratory failure due to COPD required MV for only 6-90 hours.
PMID- 22097231
TI - Religiosity, anxiety and depression among Israeli medical students.
AB - BACKGROUND: Religiosity has been examined as a mechanism of stress management.
Since many studies have shown a high rate of psychological morbidity among
medical students during different stages oftraining, it is important to
investigate whether religiosity may serve as a protective factor. OBJECTIVES: To
assess the association between religiosity and depression or anxiety in a sample
of medical students and to compare the results with a matched sample of students
from other fields of study. METHODS: This cross-sectional study examined a sample
of Tel Aviv University medical students and compared them with students in other
faculties at the same university for any association between religiosity and
depression or anxiety. The subjects completed the Beck Depression Inventory, the
Beck Anxiety Inventory, a modified religiosity inventory, and a demographic and
psychosocial variables inventory. RESULTS: Findings did not show a significant
association between religiosity and depression or anxiety in the general sample
(n = 119). A positive significant correlation between religiosity and anxiety was
found among medical students, with 29.4% of them reporting anxiety and 25.2%
depression. While high rates of depression and anxiety were reported by students
in the first to third years (preclinical years), there was a decrease in
depression and anxiety in the fourth to sixth years (clinical years). However,
higher anxiety and depression scores were noted among controls as compared to
medical students. CONCLUSIONS: In contrast to another recent investigation, a
negative correlation between religion and depression/anxiety does not necessarily
exist. An association between religiosity and mental health could have many
theoretical and practical implications and requires further investigation.
Similar to previous studies, the rates of depression and anxiety among Israeli
medical students were comparable with those of other countries. These rates are
considered higher than those in the general population and emphasize the
importance of alertness to mental health issues among students, especially during
the early study years.
PMID- 22097232
TI - Does percutaneous transluminal renal artery angioplasty improve blood pressure
control and renal function in patients with atherosclerotic renal artery
stenosis?
AB - BACKGROUND: Percutaneous angioplasty (PTA) and stenting is an established
procedure for the treatment of hypertension caused by atherosclerotic renal
artery stenosis. However recently, the decision whether or notto perform this
procedure has raised considerable debate. OBJECTIVES: To examine the association
between the basic clinical and radiological characteristics of candidates for
renal artery PTA and the clinical outcome of the procedure in terms of
improvement of blood pressure control and renal function. METHODS: We conducted a
retrospective cohort study of all patients who underwent percutaneous
transluminal renal artery angioplasty (PTRA) and stent implantation in a tertiary
medical center during the period 2000-2007. The clinical and radiological data
were extracted from the medical file of each patient. Blood pressure measurements
and creatinine level were recorded before the procedure and 1 month, 6 months, 12
months and 18 months after PTRA. RESULTS: Thirty-two patients were included in
the final statistical analysis. The mean age of the study population was 66.6 +/-
8.8 years old and 75% were men. There was a significant reduction in both
systolic and diastolic blood pressure 1 month after the procedure: 160.5 +/- 24.7
vs. 141.8 +/- 23.6 mmHg and 83.8 +/- 12.9 vs. 68.8 +/- 11.8 mmHg respectively (P
< 0.001). The reduction in blood pressure was constant throughout the follow-up
period and was evident 18 months after the procedure: 160.5 +/- 24.7 vs. 135.0 +/
35.1 mmHg and 83.8 +/- 12.9 vs. 71.3 +/- 16.5 mmHg respectively (P < 0.001).
However, no improvement in renal function was observed at any time during the
follow-up period. We could not demonstrate an association between clinical or
radiological features and the clinical outcome after PTRA. CONCLUSIONS: Our
findings show that PTRA can be considered an effective procedure for improving
blood pressure control in patients with atherosclerotic renal artery stenosis
(ARAS) and resistant hypertension. This research, together with previous studies,
strengthens the knowledge that the decline in glomerular filtration rate seen in
many patients with ARAS is non-reversible and is not improved by PTRA.
PMID- 22097233
TI - The genetics of benign neutropenia.
AB - In Israel, Yemenite Jews and other populations including Ethiopian Jews and
Bedouins have a low neutrophil count. This phenomenon has been called "benign
neutropenia" since it has not been associated with any increased risk of
infection and has also been described in other populations around the world
including Africans, African Americans and Afro-Carribeans. Here we describe the
recent success in mapping the gene that underlies benign neutropenia in African
American populations. We discuss the known function of the gene and consider
potential mechanisms for the effect on neutropenia. We also consider the
possibility that this gene underlies the same effect observed in Yemenite Jews,
Ethiopian Jews and Bedouins in Israel.
PMID- 22097234
TI - Pericardial cyst: a novel extrarenal manifestation of autosomal dominant
polycystic kidney disease.
PMID- 22097235
TI - Dysferlinopathy and very-long-chain acyl coenzyme A dehydrogenase deficiency
segregating in the same family.
PMID- 22097236
TI - Primary cardiac lymphoma presenting with atrial fibrillation.
PMID- 22097238
TI - Awake fiberoptic intubation and general anesthesia in a parturient with mirror
syndrome and a predicted difficult airway.
PMID- 22097237
TI - Liver metastasis from colonic adenocarcinoma presenting as nephrolithiasis:
computed tomography findings.
PMID- 22097239
TI - "Broken heart syndrome" (Takotsubo cardiomyopathy).
PMID- 22097240
TI - Usefulness of 64-slice computed tomography for evaluation of atrial septal
aneurysm.
PMID- 22097241
TI - Local iron overload in chronic leg ulcers.
PMID- 22097242
TI - Soluble transferrin receptors (sTfR) for iron deficiency detection in the acutely
ill, hospitalized patients.
PMID- 22097243
TI - [A squeeze approach for electrocardiogram ST-segment detection based on R-wave
and T-wave].
AB - ST-segment is the main clinical appearance in myocardial ischemia detection based
on electrocardiogram (ECG) signals. However, it is highly sensitive to
interferences (baseline wandering, postural changes, electrode interference,
etc.), which cause the feature points of ECG ST-segment to be difficult to detect
accurately. Currently, the common detection methods of ST-segment are: R+x and
J+x, but they are affected badly by T-wave morphological variability and J point
location. For these reasons, firstly we proposed a convenient and accurate
approach for T-wave onset in this paper. It did not need to locate T-wave peak
and was robust to baseline wandering and T-wave morphology. Secondly, we proposed
a squeeze approach for ST-segment detection based on R-wave peak and T-wave
onset. After the Long-Term ST database (LTST) verification, the proposed method
has shown a good timeliness and robustness, and the accuracy of ST-segment
detection has reached above 92%.
PMID- 22097244
TI - [Extraction of AF signal during atrial fibrillation from single-lead ECG based on
non-stationary heartbeat series].
AB - The real-time and wireless mobile has become the trend of electrocardiogram (ECG)
monitoring system for atrial fibrillation (AF). At present, the ECG with multi
leads (12 leads) is needed by most of AF signal extraction algorithms in order to
extract effective AF waves. However, it is not very convenient for patients'
movements in a multi-lead ECG monitoring system. Although the traditional
template matching method is for single-lead ECG extraction, it is less robust
than blind source extraction algorithm, and is affected severely by noise. In
view of this,we put forth a new real-time algorithm for extracting AF from the
singlelead ECG, using non-stationary heartbeat series during AF to extend
dimension (segmentation), and then applying a blind source extraction algorithm
to extract the effective AF signal. Experiment results showed that this method
could be used to extract AF signal effectively from a single-lead ECG data.
Therefore, it is suitable to apply this method to Wireless Monitoring System
using single-lead ECG.
PMID- 22097245
TI - [Features of Debakey III aortic dissection after endovascular graft exclusion:
evaluation with DSCT angiography].
AB - The purpose of this study was to evaluate the prothesis and vascular features of
Debakey III aortic dissection by DSCT angiography after endovascular graft
exclusion. We performed a retrospective analysis of 39 Debakey III aortic
dissection patients who underwent DSCT angiography after endovascular graft
exclusion. After the operations in this study, all the 39 patients had no stent
fracture and migration, 15 among all the 39 had endoleaks (type I 12 patients,
type II 2 patients, 1 patient had no reason), 17 had large amount of thrombosis
in false lumen, and 1 had false lumen outside the stent absorbed completely.
While before the operations, 15 patients had abnormal renal perfusion pre
operation, and 9 of them had recovered after the operations. And the nearer
located to the initial exclusive place, the more obviously the aortic remodeling
occurred. In conclusion, DSCT angiography can accurately evaluate the prosthesis
and anatomic-pathologic features of Debakey III aortic dissection after
endovascular graft exclusion.
PMID- 22097246
TI - [An algorithm of corneal reconstruction based on precise location of corneal
center].
AB - Placido disk is widely used in corneal topography. In order to solve the problem
that the convex of the corneal can not be precisely located in the Placido
corneal topography system, an algorithm of corneal reconstruction based on the
Placido disk was introduced. The key of this method is the calculation of radius
of corneal convex by using the innermost ring data. Based on image analysis
result, we precisely calculated the radius of corneal convex iteratively by
connecting the convex and the first ring using a circle, and then calculated the
location of all the reflect point and its power. At last we created the pseudo
color map of the human corneal. The corneal was simulated by using standard steel
sphere, and the calculating errors of the result were all below 0.25D. It showed
that the algorithm used in this work could get relatively accurate powers and
would have fair stability.
PMID- 22097247
TI - [Interface interconnection and data integration in implementing of digital
operating room].
AB - The digital operating-room, with highly integrated clinical information, is very
important for rescuing lives of patients and improving quality of operations.
Since equipments in domestic operating-rooms have diversified interface and
nonstandard communication protocols, designing and implementing an integrated
data sharing program for different kinds of diagnosing, monitoring, and treatment
equipments become a key point in construction of digital operating room. This
paper addresses interface interconnection and data integration for commonly used
clinical equipments from aspects of hardware interface, interface connection and
communication protocol, and offers a solution for interconnection and integration
of clinical equipments in heterogeneous environment. Based on the solution, a
case of an optimal digital operating-room is presented in this paper. Comparing
with the international solution for digital operating-room, the solution proposed
in this paper is more economical and effective. And finally, this paper provides
a proposal for the platform construction of digital perating-room as well as a
viewpoint for standardization of domestic clinical equipments.
PMID- 22097248
TI - [CUDA-based fast dose calculation in radiotherapy].
AB - Dose calculation plays a key role in treatment planning of radiotherapy.
Algorithms for dose calculation require high accuracy and computational
efficiency. Finite size pencil beam (FSPB) algorithm is a method commonly adopted
in the treatment planning system for radiotherapy. However, improvement on its
computational efficiency is still desirable for such purpose as real time
treatment planning. In this paper, we present an implementation of the FSPB, by
which the most time-consuming parts in the algorithm are parallelized and ported
on graphic processing unit (GPU). Compared with the FSPB completely running on
central processing unit (CPU), the GPU-implemented FSPB can speed up the dose
calculation for 25-35 times on a low price GPU (Geforce GT320) and for 55-100
times on a Tesla C1060, indicating that the GPU-implemented FSPB can provide fast
enough dose calculations for real-time treatment planning.
PMID- 22097249
TI - [Noise and speckle reduction in ultrasound Doppler blood flow spectrograms by
using MP-PCNN].
AB - To reduce background noise and Dopplar speckle in the spectrogram of ultrasound
Doppler blood flow signals, a novel method, called Matching Pursuit with
threshold decaying pulse coupled neural network (MP-PCNN), has been proposed. The
proposed method used an iterative algorithm, which decomposed the ultrasound
Doppler signals into linear expansion of atoms in a time-frequency dictionary by
using the Matching Pursuit (MP) for de-noising the ultrasound Doppler signal.
Subsequently, a simplified unidirectional pulse coupled neural network was
applied to calculate the firing matrix of the denoised spectrogram. The Doppler
speckles were located and removed through analyzing and processing the PCNN
firing matrix. Experiments were conducted on simulation signals which SNRs were
0dB, 5dB and 10dB. The result showed that the MP-PCNN performed effectively in
reducing noise, eliminating Doppler speckles, and achieved better performance
than exiting noise and speckle suppression algorithm for Doppler ultrasound blood
flow spectrogram.
PMID- 22097250
TI - [EEG signal classification based on EMD and SVM].
AB - The automatic detection and classification of EEG epileptic wave have great
clinical significance. This paper proposes an empirical mode decomposition (EMD)
and support vector machine (SVM) based classification method for non-stationary
EEG. Firstly, EMD was used to decompose EEG into multiple empirical mode
components. Secondly, effective features were extracted from the scales. Finally,
the EEG was classified with SVM. The experiment indicated that this method could
achieve good classification result with accuracy of 99 % for interictal and ictal
EEGs.
PMID- 22097251
TI - [Bionic model for coordinated head-eye motion control].
AB - The relationships between eye movements and head movements of the primate during
gaze shifts are analyzed in detail in the present paper. Applying the mechanisms
of neurophysiology to engineering domain, we have improved the robot eye-head
coordination. A bionic control strategy of coordinated head-eye motion was
proposed. The processes of gaze shifts are composed of an initial fast phase
followed by a slow phase. In the fast phase saccade eye movements and slow head
movements were combined, which cooperate to bring gaze from an initial resting
position toward the new target rapidly, while in the slow phase the gaze
stability and target fixation were ensured by the action of the vestibulo-ocular
reflex (VOR) where the eyes and head rotate by equal amplitudes in opposite
directions. A bionic gaze control model was given. The simulation results
confirmed the effectiveness of the model by comparing with the results of
neurophysiology experiments.
PMID- 22097252
TI - [Research on the application of pattern selection algorithm based on
bioinformatic data].
AB - Pattern selection plays an important role in data mining and pattern recognition,
especially for large scale bioinformatic data. There are many problems in this
field, such as algorithm complexity and numbers of the best feature subset. In
this paper, we propose a new pattern selection algorithm, carrying out pattern
selection base on Mutual Information (MI). Pattern subset evaluation index was
studied to ensure the best feature subset. To pattern selection, algorithm bases
on the correlation of patterns and label, as well as the redundancy of each
pattern. Neurofuzzy Pattern Subset Evaluation Index was researched to make sure
which is the best subset for our pattern subset evaluation. To verify the
effectiveness of our method, several experiments are carried out on the data of
gene expression of mouse from Leiden University and UCI datasets. The
experimental results indicated that our algorithm achieved better results in the
complexity and accuracy.
PMID- 22097253
TI - [Wavelet modulus maxima of multifractality based analysis of the pathological ECG
signals].
AB - In this paper, wavelet moudulus maxima based multifractal analysis was used to
study the multifractal characteristics of the atrial premature beat (APB) signal,
the premature ventricular contraction (PVC) signal and normal ECG signal. By
analyzing the multifractal spectrum, it was obtained that three kinds of signals
had different multifractal strengths. Normal ECG signals had the strongest
singularity strength. The PVC beats had the second stronger singularity strength.
And the APB beats had the weakest singularity strength. The T test indicated that
above-mentioned analysis could disclose significant differences among these three
signals. It has meaningful reference for clinical diagnosing and distinguishing
with PVC and APB signals.
PMID- 22097254
TI - [Finite element analysis of the dynamic behaviour of a microbubble within a rigid
micro-tube].
AB - The dynamic behaviour of a microbubble confined within a rigid micro-tube was
studied using finite element method. The results indicated that the microbubble
oscillation was limited when constrained within the micro-tube. Both the
expansion ratio of its effective radius and natural frequency decreased with the
decrease of the tube radius. Meanwhile, the deformation of the microbubble was
non-spherical and became more significant when the ultrasound pressure amplitude
increased. The dynamic behaviour in micro-tube was different from that in
infinite liquid.
PMID- 22097255
TI - [Extraction method of the visual graphical feature from biomedical data].
AB - The vector space transformations such as principal component analysis (PCA),
linear discriminant analysis (LDA), independent component analysis (ICA) or the
kernel-based methods may be applied on the extracted feature from the field,
which could improve the classification performance. A barycentre graphical
feature extraction method of the star plot was proposed in the present study
based on the graphical representation of multi-dimensional data. The feature
order question of the graphical representation methods affecting the star plot
was investigated and the feature order method was proposed based on the improved
genetic algorithm (GA). For some biomedical datasets, such as breast cancer and
diabetes, the obtained classification error of barycentre graphical feature of
star plot in the GA based optimal feature order is very promising compared to the
previously reported classification methods, and is superior to that of
traditional feature extraction method.
PMID- 22097256
TI - [Regularized inhomogeneity correction method for phased array image in magnetic
resonance imaging].
AB - Phased array coils (multiple receiver coil systems) have been extensively used
for acquisition of MR images owing to their ability of increasing SNR, extending
field-of-view (FOV), and reducing acquisition time. But the SOS algorithm,which
is main method for phased array image reconstruction,will cause inhomogeneity in
reconstructed image. A regularized least square method for phased array image
combination is proposed in this paper. In the method, an additional reference
scan is performed in advance. By using the reference scan, coil sensitivity map
can be acquired, and image reconstructed from reference scan can be used as
reference data in the regulation term. Experiments showed that the image
uniformity was greatly improved by this method with scanning phantom and
volunteer.
PMID- 22097257
TI - [The establishment and meaning of the three-dimensional finite element model of
pelvic floor levator ani muscle in an old healthy woman].
AB - This paper is to establish a three-dimensional finite element model (3D-FEM) of
pelvic floor levator ani muscles in an old healthy women. We acquired the image
data of the pelvic bones and pelvic floor muscles from CT and MRI scanning in a
non-pregnant old healthy female volunteers. The 3-D reconstruction and mesh
optimization of the whole pelvic bones and muscles with application of image
processing software Mimics12.0 and Geomagic9.0 were obtained. Then we built the
3D-FEM of the musculoskeletal system of the pelvic bones and levator ani muscles
with Ansys11.0 software. We obtained an accurate 3D-FEM of pelvic bones and
levator ani muscles in the older healthy woman. The results showed that it was
reliable to build 3D-FEM with CT and MRI scanning data and this model could
vividly reflect the huge space anatomy of the real pelvic floor levator ani
muscles. It avoids the defects to gain the model from the body of anatomical
specimens in the past. The image data of model are closer to vivisection, and the
model is more conducive to the latter finite element analysis.
PMID- 22097258
TI - [The specification of parameters driven by measurement data in the construction
of virtual sources in Monte Carlo simulation].
AB - Dose calculation algorithms based on the Monte Carlo (MC) method are widely
regarded as the most accurate tool available in radiotherapy. The MC simulation
in radiotherapy has been split into two parts, the radiation source simulation
and patient simulation. In this research, a virtual source for simulating the
linear accelerator head was constructed with measurement-driven models. The
dependence between the calculation accuracy and the specification of various
parameters was studied by comparison between the measurement data and calculation
results. It has been shown that the dose profile obtained by MC simulation can be
consistent with measurement data, suggesting that the compound effect of primary
photons and secondary photons are considered with appropriate parameter
specification. The requirement of modeling for MC simulation can be met in
clinical conditions.
PMID- 22097259
TI - [Design of extracorporeal apparatus of capsule endoscopy based on ARM+FPGA].
AB - Considering that the patients would bear the annoyance of fixed posture for long
time when they are examined with gastrointestinal wireless endoscopy, even though
portable devices have been developed, the treatments still depend on PC so much,
we proposed an embedded solution based on ARM + FPGA. It used embedded ARM9
S3C2440 as processor core, collected images from digestive tract through capsule
endoscopy which can be swallowed down there, and wirelessly transferred these
images to the receiving system, then used video decoder chip SAA7114H for analog
of NTSC video image decode. And under FPGA's logic controlling, effective digital
video signal was transferred to S3C2440 for further treatment. Finally within the
embedded Linux environment, we programmed the visual user interfaces using the
QT/Embedded, realizing the offline record of the real-time video images of
digestive tract portable and preferences. It can make patients move more freely
and even without PC when examining. In addition, the method greatly improves the
efficiency of the doctor, and is more intelligent and with more humane nature.
PMID- 22097260
TI - [Fetal electrocardiogram extraction based on independent component analysis and
quantum particle swarm optimizer algorithm].
AB - Fetal electrocardiogram (FECG) is an objective index of the activities of fetal
cardiac electrophysiology. The acquired FECG is interfered by maternal
electrocardiogram (MECG). How to extract the fetus ECG quickly and effectively
has become an important research topic. During the non-invasive FECG extraction
algorithms, independent component analysis(ICA) algorithm is considered as the
best method, but the existing algorithms of obtaining the decomposition of the
convergence properties of the matrix do not work effectively. Quantum particle
swarm optimization (QPSO) is an intelligent optimization algorithm converging in
the global. In order to extract the FECG signal effectively and quickly, we
propose a method combining ICA and QPSO. The results show that this approach can
extract the useful signal more clearly and accurately than other non-invasive
methods.
PMID- 22097261
TI - [A novel voltage multiplier for X-ray power supply].
AB - In this paper, a seriesly connected three phase bipolar symmetrical voltage
multiplier (VM) is proposed, which is a novel VM for X-ray power supply. It
consists of three single phase bipolar symmetrical VM, which are connected in
series at their smoothing columns. The charging and discharging process occurs
six times in a cycle and the frequency of the output voltage ripple is six times
as large as the drive signal frequency. The proposed VM has three times larger
output voltage and three times smaller ripple factor as compared to single phase
bipolar symmetrical VM, and smaller voltage drop and faster dynamic response than
those of the series connected three phase symmetrical VM. The simulation is
provided to show the feasibility of proposed VM.
PMID- 22097262
TI - [Improved mechanical strength and cell occlusivity of electrospun L-polylactic
acid aligned nanofibrous membranes by post heat treatment].
AB - Electrospun nanofibrous materials are considered as ideal scaffolds for tissue
engineering because their fibrous structure is quite similar to the morphology of
natural extracellular matrix, and they can offer biomimetic microenvironment for
cell growth. However, the highly porous scaffolds are too weak to meet the
mechanical requirement of guided tissue regeneration (GTR). In the present
investigation, electrospun L-polylactic acid (PLLA) nanofibrous membranes were
collected with high speed rolling method, and then hot stretched and annealed to
improve the tensile strength and cell occlusivity. The membrane with the maximum
tensile strength (strength 103MPa and modulus 1.83GPa) was obtained by hot
stretching for twice at 100 degrees C and further annealed for 10min at the same
temperature. Cytotoxicity test showed that the heat treated membrane supported
well the attachment and growth of human periodontal ligament cells, but inhibited
the cell proliferation. The cell occlusivity of the membrane was also
significantly improved as the porosity decreased after heat treatment. It could
be used as the enhancement interlayer of barrier materials for GTR.
PMID- 22097263
TI - [Contributions of edentulous mandibular alveolar ridge height and denture
adhesive to complete denture retention].
AB - The present paper is to investigate the relationship between height and stress
bearing area of mandibular alveolar ridge, their influence on retention of
complete denture, and the effectiveness of denture adhesive (DA). Five mandibular
edentulous models of different heights and a rabbit palate model were prepared in
Die-Stone. Measurements were made on the heights and stress-bearing areas of
mandibular alveolar ridge, the retention force of mandibular models 15 min after
DA administration, and the retention force on the rabbit palate before and after
adhering. All available data were analyzed statistically. Linear regression
relationship was demonstrated between ridge height and bearing area, ridge height
and retention force, and bearing area and retention force (P<0.01), separately.
Retention force per unit area was positively related to the height and area.
Retention force increased to 2.87 times postadhesive-treating. Height and stress
bearing area of mandibular alveolar ridge directly correlate with the retention
of complete denture, and DA significantly improves the retention ability of
complete denture.
PMID- 22097264
TI - [Design & fabrication of porous core implant with preset channel network for
osteonecrosis of the femoral head].
AB - Referring to the anatomical characterization of natural spongy bone and channel
network in cortical bone, we designed a new pattern of biomimetic impalnt with
preset channel for blood vessel inserting to treat early femoral head necrosis.
The surgical ptrocedure was simulated by CAD model. Ceramic stereolithography was
applied to fabricate the green part. Other process, such as dehydration, rinsing,
drying and sintering, were taken successively. The final ceramic part kept
identical with the engineered part either in the shape or in the internal
structure. No deformation or crack happened. Pore size, interconnected pore size,
porosity and interconnected porosity of ceramic part could satisfy cellular
grouth. Spectrum analysis showed that no phase transition or chemical reaction
happened during fabrication process. The biocompatibility of the final part kept
the same with original during beta-TCP powder. The compressive strength was 23.54
MPa, close to natural spongy bone. It is an ideal implant to treat early femoral
head necroseis because it makes preimplantation of cells and biological factors,
blood velssel inserting, early establishment of blood supply possible. At the
same time, it could provide enough mechanical support to prevent collapse of
femoral head. It could provide a wide clinical foreground.
PMID- 22097265
TI - [Study of blood compatibility on TiO2 coated biomedical Ni-Ti shape memory
alloy].
AB - We coated a thin TiO2 film on the surface of Ni-Ti shape memory alloy by
activated sputter method in the present work. The blood platelet adherence and
antithrombogenicity of the TiO2-coated Ni-Ti alloy were evaluated. The results
showed that the platelets on the TiO2-coated Ni-Ti alloy were fewer than those on
316L stainless steel, and no agglomeration or distortion for the platelets on the
coated alloy was found, which means less probability of blood coagulation for the
alloy. The coagulation time on the coated Ni-Ti shape memory alloy was longer
than that on the 316L. Compared with that on the 316L stainless steel, the TiO2
coated Ni-Ti shape memory alloy showed better blood compatibility, indicating
that the Ni-Ti alloy with TiO2 coating is a kind of ideal biomedical materials
with high clinical value.
PMID- 22097266
TI - [Construction of Sirtl shRNA interfering vector and its effects on cell
proliferation and apoptosis].
AB - This study was aimed to construct Sirt1 shRNA interfering vector and to analyze
the effects of Sirtl on cell proliferation and apoptosis in HepG2, A549 and 293T
cell lines. To design and synthisize Sirtl shRNA sequence then recombinate it to
pGenesil-1.0 plasmid, the positive pGenesil-1.0-Sirtl vector clone was screened
by effective detections and sequencing. The vectors were transfected into HepG2,
A549, 293T cell lines, and Sirtl expression levels in these clones were detected
by RT-PCR and Western-blot. These clone cell proliferation activities were
detected by MTT, and these cells apoptosis incidences were detected by MTT
experiment after treated with DOX. The results showed that Sirt1 shRNA
interfering vectors were successfully screened. The levels of Sirtl expression in
HepG2-sh, A549-sh and 293T-sh cells were significantly reduced compared with
their control cells. It was indicated that the proliferation activities of these
cells were impaired and anti-apoptosis capabilities of HepG2-sh, A549-sh and 293T
sh were also impaired notably. Sirt1 took an important role in maintaining cell
proliferation and resisting cell apoptosis caused by DNA damage, and this result
also provided theoretical information for the further research.
PMID- 22097267
TI - [Construction of NK4 gene lentiviral vector and its expression in bone
mesenchymal stem cells].
AB - The present investigation was to construct lentiviral vector carrying the human
gene NK4 and transfect the human bone mesenchymal stem cells (hBMSCs) and to
determine the expression of NK4 gene in hBMSCs after transfection. The NK4 gene
was obtained from HGF cDNA by polymerase chain reaction(PCR), and the pGC-FU-NK4
plasmid was constructed by double restriction enzyme digestion and gene
recombinant. The titer of virus was tested by real-time quantitative PCR. After
transfected by lentivirus, the green fluorescent protein (GFP) in hBMSCs was
observed using fluorescence microscope, and the expression of NK4 in culture
supernatant was detected by enzymelinked immunosorbent assay (ELISA). The
sequence of the PCR product was consistent with the data of GeneBank by DNA
sequencing. The virus titer was 2 X 10(8)TU/ml. Strong green fluorescence was
observed in the cell membrane and cytoplasm of hBMSCs with fluorescent
microscopy. The expression of NK4 in culture supernatant was increased with time
extension. The hBMSCs can be transfected by NK4 gene expressing lentiviral vector
safely and effectively, and the expressin and secretion of NK4 was persistent and
stable.
PMID- 22097268
TI - [Preliminary research of induction of the multiple HPV antibody by HPV L1 type
conserved sequence aimed at human papillomavirus major protein].
AB - To investigate whether a conserved sequence of the human papillomavirus(HPV) L1
protein consisted of 12 amino acid residue can induce the antibody aimed at
multiple HPV types, we screened a conserved sequence of the HPV L1 protein by
forecasting B cell epitope and comparing multiple sequences. The peptide was
synthesized, mixed with Freund adjuvant, and used to immunize rabbits, and those
in the control group were only immunized with Freund adjuvant. Then the antibody
titer was identified by indirect enzyme-linked immunosorbent assay (ELISA). And
immunocytochemistry, immunofluorescence, western blot and immunohistochemistry
were used to detect whether the antibody could react with cervical cancer cell
lines and cervical tissue that had been identified with HPV infections. We found
that the antibody titer was greater than 1:25600. Moreover, we confirmed that the
antibody could react with cervical cancer cell lines and cervical tissue with HPV
infections. The results showed that the peptide could induce antibody aimed at
multiple HPV types. Our findings have great significance in further research of
the broad spectrum HPV, HPV L1 diagnosis kits.
PMID- 22097269
TI - [Application of orthogonal analysis to the optimization of HPV16 E2 protein
expression].
AB - This study was aimed to identify pET21b-HPV16E2/BL21(DE3) strain and to optimize
the expression of human papillomavirus type 16 (HPV16) E2 protein by orthogonal
analysis. Four influence factors on two levels were selected to increase the
target protein quantity. The four factors were induction time, induction
temperature, inductor concentration and cell density. The quantity of HPV16 E2
protein was used as the evaluation parameter. Induced by IPTG, HPV16 E2 protein
was analyzed by SDS-PAGE and Western Blot. Target protein was analyzed by GIS
imaging system to quantify the protein level. SPSS13. 0 software was applied to
analyze the result. Data showed that the expression strain pET211rHPV16
E2/BL21(DE3) was identified correctly. HPV16 E2 protein expressed mainly at
insoluble form. The 42KD protein band was identified by SDS-PAGE and Western
blot. Orthogonal test was applied on influence factor analysis and expression
optimization successfully. Main influence factors were inductor concentration and
induction temperature. The optimimum condition of maximum expression quantity was
37 degrees C, 7h, 1.0 mmol/L IPTG and OD600 1.0. In this experiment, orthogonal
test could not only be used to analyze the influential factors and promote the
target protein expression, but also be used to provide a better experiment method
for molecular biological study.
PMID- 22097270
TI - [The effect of NK-1 tachykinin receptor antagonist on hypoxia induced hepatic
function injury and hepatocellular apoptosis in rats].
AB - To investigate the effect and mechanism of NK-1 Tachykinin receptor (NK-IR)
antagonist on hypoxia induced hepatic injury, we established the hypoxic rat
model. 30 male SD rats (weighing 240-300g) were randomly divided into 3 groups,
control group, and experimental groups including the hypoxia group and the NK-1R
antagonist group. The rats of experimental groups underwent hypoxia, among them
the NK-1R antagonist group were those with interference of NK-1R antagonist by
intraperitoneal injection. Hepatic injury was evaluated by pathological staining,
hepatic function detection and hepatocellular apoptosis determination. Results
showed hypoxia-induced hepatic injury in rats was established successfully.
Edema,ballooning degeneration and spotty necrosis were found in livers in the
experimental groups, among which the pathological injury in the hypoxia group was
worse than that in the NK-1R antagonist group. Moreover,GGT and the rate of
hepatocellular apoptosis in the NK-1R antagonist group were obviously lower than
that in the hypoxia group (P<0.05). But no significant difference were found in
ALT,AST and ALP between groups (P>0.05). These data indicate that Substance P
possibly participate in the process of hypoxia-induced hepatic injury, and NK-1R
antagonist could reduce hypoxia-induced hepatic injury.
PMID- 22097271
TI - [20-year search on molecular markers of Leishmania isolates from different Kala
azar foci in China to confirm whether genetic fingerprints of Kala-azar pathogens
correlate with disease types].
AB - Leishmaniasis (Kala-azar) from different endemic regions of China expresses
different clinic and epidemiological features, and traditionally is classified as
hilly, plain and desert types/foci. We concentrated our review on whether the
pathogens from those foci were different at molecular level, if so, whether there
are were molecular markers readily identifiable by molecular technologies. This
was a review of a 20-year search for such markers by using kinetoplastic DNA
(kDNA), nDNA hybridization, PCR-SSCP, RAPD and sequence analysis of SSU rDNA
variable regions and LACK gene. The results showed that heterogeneities at
molecular level exist in Leishmania isolated from different foci of China, which
could be used as markers for different types of Leishmaniasis in China.
PMID- 22097272
TI - [A segmentation algorithm of OCT image for macula edema].
AB - According to the characteristics of OCT images for macula edema, we studied a
method for segmentation of the macula edema. Based on the Chan-Vese model, we
proposed an improved level-set algorithm. With defining the integer-valued signed
function directly, the curve could evolute outward or inward by changing the
inside neighboring rid points and outside neighboring grid points into each
other. We realized image segmentation which is much faster than the method of
Chan-Vese model and smoothness regularization. We segmented 45 images and
extracted the macula edema of each image. After achieving good segmentation
results, we estimated the volume of the macular edema. The method provides
quantitative analytic tools for clinical diagnosis and therapy.
PMID- 22097273
TI - [Simulation of extracellular action potential for hippocampal pyramidal neurons].
AB - In order to extract more information from extracellular action potential (EAP) of
neurons recorded deep in the brain tissue, we established simulation models of
various pyramidal neurons in the hippocampal CA1 region and investigated the
effects of dendrite currents, cell morphology and ion mechanisms on the formation
of EAP waveforms. The results show that dendrite currents have significant
effects on the EAP at the locations far from cell body, but not on those near
cell body. The differences of shape of various pyramidal neurons result in large
changes in the EAP amplitudes. However, the shapes of these different EAP are
very similar. Ion mechanisms, such as calcium channels, have little effect on EAP
waveforms. These results provide important information for experimental EAP
recordings, EAP data analysis, and developing new methods to extract more
neuronal data from EAP.
PMID- 22097274
TI - [Expression of C-fos, C-jun in hippocampus under the model of transmitting
epileptic discharge from brain tissue to muscular tissue on its own skull].
AB - The objective of this study was to investigate the changes of the behaviors, EEG
and expression of c-fos, c-jun on induced seizure in rats by injecting penicillin
after transmitting epileptic discharge from brain tissue to muscular tissue on
skull. Eighteen experimental rats were divided into 3 groups, with each 6 rats.
Seizure group: 6 acute seizure models were established by injecting penicillin in
hippocampus of rats; Transferring group, 6 acute seizure models were established
by injecting penicillin in hippocampus of rats, and electrode connected to
muscles was planted into epileptic focus of each rat; Control group, 6 rats were
only planted electrode in hippocampus without injecting penicillin. Then we
observed the changes of behaviors, EEG and expression of C-fos, C-jun in
hippocampus with immunohistochemical method. There was no statistic difference in
seizure frequency of rat between seizure group and transferring group, but the
discharging frequency in EEG of transferring group lowered significantly
(P<0.05). The expression of C-fos, C-jun in hippocampus of transferring group
rats was significantly lower than that of seizure group (P<0.005). It could be
concluded that under the model of transmitting epileptic discharge from brain
tissue to muscular tissue on skull, the burst times on EEG electrode decreased,
concomitantly with the lower expression of C-fos, C-jun in hippocampus.
PMID- 22097275
TI - [Application of pulse-coupled neural network combined with genetic algorithm on
MR images of hypoxic-ischemic encephalopathy].
AB - This paper is to provide a basis for the establishment of an early diagnostic
system for hypoxic-ischemic encephalopathy (HIE) by performing segmentation and
feature extraction of lesions on the MR images of neonatal babies with HIE. The
segmentation on MR images of HIE based on the genetic algorithm (GA) combined
with a pulse-coupled neural network (PCNN) were carried out. There were better
segmentation results by using PCNN segmentation based on GA than PCNN
segmentation with fixed parameters. The data suggested that a PCNN based on GA
could provide effective assistance for diagnosis and research.
PMID- 22097276
TI - [Study on visual display terminal mental fatigue status based on physiological
information].
AB - A visual display terminal (VDT) mental fatigue task was designed to search for
the objective indicator to diagnose and evaluate the VDT mental fatigue status.
The signals of temperature and electrocardiograph (ECG) are collected from the
subjects. The temperature, heart rate (HR) and heart rate variability (HRV) are
extracted as the objective parameters after analyzing the temperature signals in
time domain and the ECG signals in time domain and frequency domain. Compared
with mental fatigue pre-experiment, the temperature increased significantly
(P<0.001), and the RR-PNN50 increased obviously (P<0.05), while the S wavelet of
ECG signals decreased obviously (P<0.05) after mental fatigue experiment.
Compared with the end of mental fatigue experiment, the temperature increased,
the changes of RR-PNN50 were not obvious, the S wavelet increased significantly
(P<0.01), and the mental fatigue was somewhat remittance after natural recovery.
The results showed that the objective indicator to evaluate the VDT mental
fatigue status would be hopeful to be found out by analyzing the signals of
temperature and ECG.
PMID- 22097277
TI - [Design of a three-dimensionally controlled multi-cell-assembly system based on
the control of a mixer nozzle].
AB - Three-dimensionally controlled cell-assembly technique makes fabricating tissues
and organs in vitro to be possible. However, for real tissues and organs with
complex structure and various cells, fabricating tissues and organs in vitro need
a technique that could assemble and locate multi cells and materials precisely in
the space. Facing the needs of multi-cell assembly, we designed a mixer nozzle
and the matching pulse switching circuit which based on the single-nozzle cell
assembly system, and developed a multi-cell-assembly system. We also carried out
some assembly experiments with this system using materials that were similar to
the multi-component extracellular matrix materials. The results demonstrated that
the system could assemble various cells and materials into three-dimensional
inhomogeneous structures precisely.
PMID- 22097278
TI - [A review of research progress on biological effects about nanometer scaffold for
bone tissue engineering].
AB - Quite a few orthopedics experts have fabricated some novel bone scaffolds with
nanotechnology and have carried out some researches on nano-biological effects.
The study of the biological effects about nano-biomaterials can facilitate the
understanding of the interaction between the biomaterials and the organism, and
provide research ideas and direction to construct new biomaterials with
physiological function. To better understand the interaction of nano biomaterials
with protein, cells and bio-security, this review presents recent advances of
biological effects about nano scaffold for bone tissue engineering.
PMID- 22097279
TI - [Research progress on key technology of power and signal transmission in
neuroprosthetic].
AB - The power and signal transmission technology is one of the key technologies in
neuroprosthetic research. This paper proposes firstly the related theory of power
and signal transmission technology in neuroprosthetic, then summarizes the three
key aspects of the power and signal transmission technology in neuroprosthetic.
After analyzed the development of the inductive wireless power harvesting
technology, the wireless telemetry technology and the wireless power harvesting
telemetry technology, the emphasis on research contents will be proposed and
discussed, which will help accelerate the further research of prosthetic.
PMID- 22097280
TI - [Progress of research in retinal image registration].
AB - The retinal image registration has important applications in the processes of
auxiliary diagnosis and treatment for a variety of diseases. The retinal image
registration can be used to measure the disease process and the therapeutic
effect. A variety of retinal image registration techniques have been studied
extensively in recent years. However, there are still many problems existing and
there are numerous research possibilities. Based on extensive investigation of
existing literatures, the present paper analyzes the feature of retinal image and
current challenges of retinal image registration, and reviews the transformation
models of the retinal image registration technology and the main research
algorithms in current retinal image registration, and analyzes the advantages and
disadvantages of various types of algorithms. Some research challenges and future
developing trends are also discussed.
PMID- 22097281
TI - [Research on the aging of all-ceramics restoration materials].
AB - All-ceramic crowns and bridges have been widely used for dental restorations
owing to their excellent functionality, aesthetics and biocompatibility. However,
the premature clinical failure of all-ceramic crowns and bridges may easily occur
when they are subjected to the complex environment of oral cavity. In the oral
environment, all-ceramic materials are prone to aging. Aging can lead all-ceramic
materials to change color, to lower bending strength, and to reduce anti-fracture
toughness. There are many factors affecting the aging of the all-ceramic
materials, for example, the grain size, the type of stabilizer, the residual
stress and the water environment. In order to analyze the aging behavior, to
optimize the design of all-ceramic crowns and bridges, and to evaluate the
reliability and durability, we review in this paper recent research progress of
aging behavior for all-ceramics restoration materials.
PMID- 22097282
TI - [Research progresses on ergonomics assessment and measurement methods for push
pull behavior].
AB - Pushing and pulling (P&P) is a common operating mode of operator's physical
works, and plays an important role in evaluation of human behavior health and
operation performance. At present, there are many research methods of P&P, and
this article is a state-of-art review of the classification of P&P research
methods, the various impact factors in P&P program, technical details of
internal/external P&P force measurement and evaluation, the limitation of current
research methods and the future developments in the ergonomics field.
PMID- 22097283
TI - [The update progress of physical treatment for osteoporosis].
AB - Treatments for osteoporosis have many varieties, and the role, characteristics of
them are also different. This paper investigates from the perspective of physical
therapy pulsed electromagnetic fields (PEMFs), shock wave, and low-intensity
pulsed ultrasound (LIPUS) therapy. Then comprehensive analysis of their mechanism
of action, clinical application of new advances for more reasonable choice for
clinical treatment and further trend of research are discussed. Through the
research and discussions, we find out the strengths, weaknesses, and the best
method of treatment in order to achieve better therapeutic effect.
PMID- 22097284
TI - Methods of predicting visceral fat in Brazilian adults and older adults: a
comparison between anthropometry and computerized tomography.
AB - Visceral adipose tissue (VAT) is linked with the metabolic consequences of
obesity, being necessary the use alternative methods of predicting this type of
fat, like anthropometry. The objective of this study was assess the performance
of anthropometry in predicting visceral fat measured with computerized tomography
in adults and older adults. Study transversal with 197 individuals underwent
computerized tomography (CT) and anthropometry. The variables analized were:
visceral adipose tissue area by CT, Sagittal Abdominal Diameter (SAD), Waist
Circumference (WC) and Waist-Hip Ratio (WHR). A descriptive analysis, Pearson
correlation and ROC curve were carried out. We observed Correlations higher than
0.7 (p = 0.000) between the SAD, WC and the VAT area were found in adult men and
older men and in adult women. WHR displayed the least correlations. The most
sensitive and specific SAD cut-off points were equal for all the men (Adults:
20.2 cm/Older adults: 20.2 cm) but different for the women (Adults: 21.0 cm;
sens.: 83.3; spec.: 79.1/Older adults: 19.9 cm; sens.: 81.0; spec.:79.3). The WC
cutoff points that identified a VAT area = 130 cm2 were 90.2 cm and 92.2 cm for
men (adult men--sens.: 86.7; spec.: 86.1--and older men-sens.: 79.3; spec.: 77.8
respectively), while for women the recorded values were 92.3 cm (adult women-
sens.: 83.3; spec: 81.4) and 88.2 cm (older women--sens.:76.2; spec.: 69.0). This
study showed that WC and SAD achieved the best performance in the identification
of visceral fat considered at risk for the development of cardiometabolic
diseases in adults and older adults.
PMID- 22097285
TI - [Impacts of technological knowledge of small indigenous farmers on food
availability in the Mexican southeast].
AB - This study was conducted to evaluate the impact of technological knowledge
(acquired in Farmer Field Schools) on the availability of food for poor
indigenous farmers, who are mainly dedicated to the production of maize for home
consumption in the Cuicateca regi6n, Oaxaca, Mexico. The variables analyzed were
1) Level of technological knowledge, 2) maize yield, and 3) time that the last
maize harvest supplied the food needs of the farmers in question (a proxy
indicator of food availability). A random sample of 36 participants in the rural
training schools and a control group of the same size were given questionnaires
at the beginning and end of their study. The statistical analysis was done using
the Pearson chi-2 contrast test and the Kolmogorov-Smirnov test. After finding
that there was no normal distribution, the Wilcoxon test to contrast paired data
was used, and finally, correlations were obtained. It was found that the increase
in technological knowledge of 7.29 +/- 1.01 to 46.64 +/- 18.40 did not correlate
(P > or = 0.05) with the time that the last maize harvest lasted in providing
food. The results show that the increase in technological knowledge does not
increase availability of maize as food.
PMID- 22097286
TI - [Odds ratio between sociocultural factors, body dissatisfaction, and body mass
index in university students of Hidalgo, Mexico].
AB - After to identify risky factors involved in the prediction and prevention of
eating disorders (ED), in a sample of university males and females students from
an urban setting in Hidalgo. Mexico; the main purpose of this paper was to
reaffirm the following assumptions: 1) Dissatisfaction with body shape is closely
related to both, the influence of sociocultural factors as Body Mass Index (BMI);
2) The participating women reported more dissatisfaction with body shape,
indicating greater influence of sociocultural factors than men.Transversal,
descriptive and association field research was carried out in a sample of 490
students (57% females. 43% males), from 16 to 30 years old (X(-) = 19.63, SD = +/
2.11). Multidimensional self-reported questionnaire were applied. BMI was
obtained by measuring each subject's weight and height. Regarding the impact
association measures obtained by Odds Ratio, there were significant association
(p < 0.05) between high BMI and body image dissatisfaction. Also, statistically
significant associations (p < 0.05) were found between body dissatisfaction and
sociocultural factors (distress because of body image, influence of advertising,
and influence of verbal messages), and between BMI and these latter, where men
showed a higher risk. Findings suggest that body dissatisfaction in women, and
BMI, specifically overweight in men, act like key agents of the impact of
sociocultural factors in the researched sample.
PMID- 22097287
TI - [Quality of the diet of women with severe and morbid obesity undergoing gastric
bypass and sleeve gastrectomy].
AB - The objective of this study was to evaluate the changes of dietary intake and
quality of the diet in patients undergoing gastric bypass and sleeve surgery. In
36 women with severe and morbid obesity it was assessed their nutrient intakes
and dietary quality before and 6 months after bariatric surgery through three-day
food records. Vitamin and mineral intakes from supplements were strictly
controlled. Energy and nutrient intakes were significantly decreased 6 months
after surgery bypass compared to the pre-surgery period with the exceptions of
calcium and vitamin C. No differences were observed between groups. The Dietary
quality index was also similar in both groups. Dietary intakes of calcium, iron,
zinc, copper, folic acid, vitamin C, and vitamin E were below 100% of adequacy
from at the 6th month after the surgery. Nevertheless, by considering both diet
and supplements supply, nutrient adequacy of all but calcium and folic acid was
above 100% in both groups. Gastric bypass patients presented greater values. In
conclusion, these patients present an important reduction of their energy and
nutrient intakes, with no major impact of the type of surgery. Supplement
characteristics are crucial to cover nutritional needs.
PMID- 22097288
TI - [Comparative analysis of growth and sexual maturation in girls of Santa Rosa (La
Pampa) and La Plata (Buenos Aires), Argentina].
AB - The aim of this study was to analyze growth in relation to menarche in girls from
two Argentinean urban populations. We performed a comparative-descriptive cross
sectional study in 2474 schoolchildren between 8.0 and 16.9 years old from Santa
Rosa (SR) and La Plata (LP) cities. We registered the presence of menarche and
anthropometrics variables of body weight, total and sitting heights, arm
circumference, triceps and subscapular skinfolds. Body mass index,
subscapular/triceps index and muscle and fat arm areas were calculated. The study
group was divided into 4 groups according to the city and menarche. The socio
environmental structured survey indicated significant differences between cities
with these variables: tenure status, building materials and services, health care
coverage, cash assistance, educational level and parents' occupation, therefore
establishing a higher welfare in youngsters of SR. Menarche at a mean age of 12.7
years old was more prevalent in SR (40.6%) than in LP (33.7%) (c2 = 12.9; p <
0.01). The ANOVA indicated significant differences between cities in total and
sitting heights and muscle area (p < 0.01), body weight and arm circumference (p
< 0.05) which were generally held in the post hoc comparison by age in pre
menarche and post-menarche groups. The presence of a small body size at the
expense of lowering in: total height, muscle area and leg length in LP
youngsters, associated with a lower prevalence of menarche in this city, it would
represents the adaptive cost of a lower welfare environment.
PMID- 22097289
TI - [What kind of intervention has the best results to reduce the weight in
overweighted or obese adults?].
AB - Evidence has shown that interventions which involve changes in a person's
lifestyle, such as diet and physical activity, lead to weight loss and thus
reduce the risk factors of cardiovascular disease. However, the effectiveness and
necessary duration of specific interventions are unclear. The purpose of this
research was to evaluate and compare the effect on weight of interventions based
on diet, exercise and a combination of both. The research subjects were
overweight and obese adults, at six and twelve months after the beginning of the
intervention. First a systematic review was carried out, followed by a meta
analysis. Initially, 24 studies were selected which met the established criteria
for inclusion; twelve of these demonstrated the required level of quality. The
diet-based interventions resulted in reductions of-6.66 kilograms (95% confidence
interval (CI): -9.04 to -4.28) and -3.80 kilograms (CI: -5.50 to -2.10) at six
and twelve months, respectively. Those who engaged in exercise showed a loss of
2.21 kilograms (CI: -4.62 to -0.21) and -2.00 kilograms (CI: -5.70 to -1.70) at
six and twelve months, respectively, while those who changed their diets and
engaged in exercise showed a loss of -10.86 kilograms (CI: -13.22 to -8.49) and
6.50 kilograms (CI: -8.09 to -4.90) at six and twelve months. The combination of
diet and exercise showed the best effect in reducing weight among overweight and
obese people, followed by diet alone while exercise alone didn't reach
significant results. The effect of these interventions was greater during the
first six months of intervention.
PMID- 22097290
TI - [Metabolic parameters and risk factors associated with abdominal obesity among
female adolescents in public schools in the Distrito Federal (Brazil)].
AB - This study aimed to estimate the prevalence of abdominal obesity and investigate
their association with parameters markers of metabolic syndrome (MS) and its risk
factors in female adolescents. It is a cross-sectional study with 150 adolescents
from 10 public schools in the Federal District, Brazil. The presence of abdominal
obesity was considered by measuring waist circumference above the 80th
percentile, according to Taylor et al. (2000). The associated factors included
sociodemographic characteristics, health status of adolescents and their parents,
physical activity, eating habits, blood pressure and biochemical profile. The
abdominal obesity prevalence ratio (PR) was estimated by Poisson regression
model, with 95% CI. Among the adolescents studied (age= 15.6 +/- 0.8 years; BMI =
21.0 +/- 3.0 kg/m2), prevalence of abdominal obesity was 20%, and this condition
was not associated with sociodemographic variables, physical activity and diet.
However, abdominal obesity was significantly associated with intake of less than
4 meals a day (PR = 2.27; IC95% 1.27-4.10), previous obesity (PR = 2.36; IC95%
1.31-4.01), history of parental chronic disease (PR = 3.55; IC 95% 1.63-7.75),
fasting insulin = 15 uUi/mL (PR = 3.05; IC 95% 1.36-6.82) e HDL-c > 40 mg/dL (PR
= 0.39; IC95% 0.23-0.67). In this population, modifiable factors, family history
and determinants of MS, such as insulin and HDL-c were associated with abdominal
obesity, which points to the need for effective health promotion among
adolescents.
PMID- 22097291
TI - Identification of Lactobacillus spp. in colostrum from Chilean mothers.
AB - The biodiversity of Lactobacillus spp. in colostrum samples from 116 Chilean
mothers was analyzed by PCR and 16S rDNA sequencing. Lactobacilli were isolated
in 55.3% of the samples, with concentrations of 3.33 +/- 0.55 (log CFU/ml). The
predominant species were L. plantarum (64%), L. fermentum (16%) and L. pentosus
(9%). 28% of the isolated strains were resistant to gastric pH and bile salts,
suggesting that they could be used as probiotics.
PMID- 22097292
TI - [Bacteriological quality of traditional, organic and hydroponic cultured lettuce
in Costa Rica].
AB - The main objective of this work was to evaluate the microbiological quality of
lettuces commercialized in the Metropolitan Area of San Jose, Costa Rica, and
cultured in different ways, in order to detect differences between the culturing
methods and the risk that these products may represent for Public Health. The
study was done at the Food Microbiology Laboratory, Universidad de Costa Rica,
from March to July, 2010. 30 lettuce samples were analyzed (10 obtained by
traditional culture, 10 by organic culture and 10 by hydropony). All samples were
obtained from markets where their origin was certified. Total aerobic plate
count, total and fecal coliforms count and Escherichia coli were determined to
all samples, as well as the presence/abscense of Salmonella spp. and Listeria
monocytogenes in 25 g. Results obtained show that there is no statistically
significant difference (p < 0.001) between the different types of cultures
analyzed for any of the parameters evaluated. An important percentage of the
samples presented coliforms, nevertheless, just one E. coli strain was isolated
from a traditionally cultured lettuce sample. Four different Salmonella spp.
strains were isolated from the samples as well as one Listeria monocytogenes
strain. Data obtained show that the consumption of this product, raw or without
an adequate hygiene and disinfection may represent a risk for health. Also, from
the bacteriological point of view, there is no significant difference between the
culturing methods evaluated, suggesting that the specific directions for each
type of culture are not followed or that there is an inadequate handling of the
products or post harvest contamination.
PMID- 22097293
TI - Impact of solar dehydration on composition and antioxidant properties of acai
(Euterpe oleracea Mart.).
AB - Commercial products derived from the acai fruit (Euterpe oleracea Mart.) are
available in Brazil, but in Venezuela, it is only known by ethnic indigenous
groups of the Amazon. In this study, acai flour was made by solar dehydration and
the effect of processing on the composition, microbiological quality, and
antioxidant properties of such flour were evaluated. The fruit was purchased in
Puerto Ayacucho, Venezuela, and a portion was manually pulped. Microbiological
quality, proximal composition, minerals, polyphenols, tannins, anthocyanins, and
antioxidant capacity were evaluated. The remaining portion of fruit was blanched
in a solution of ascorbic acid and citric acid at 98 degrees C for 1 min in the
same manner, manually pulped, dried by solar dehydration and the acai flour was
also analysed. From the composition of the acai flour, its high content of fat
(22.9%), protein (13.7%), dietary fibre (20.5%), total polyphenols (1.60 g/kg)
and antioxidant capacity (79.97%) stood out. The blanching of the fruit and the
solar dehydrating of the acai pulp did not modify the composition, but they
improved its microbiological quality and reduced phenolic compounds and
antioxidant capacity. The flour obtained is stable and innocuous and could be
used to diversify the diet of the indigenous people of the Amazon region.
PMID- 22097294
TI - [Mineral bioaccessibility in yerba mate (Ilex paraguariensis St) infusions and
mixtures with iron fortified milk].
AB - The bioaccesibility of various minerals in infusions of yerba male (mate cocido)
and preparations with Fe-fortified milk was assessed to determine the influence
of the infusions on the potential bioavailability of intrinsic minerals and
fortified Fe. The dialyzability (D) of iron, calcium, magnesium and zinc was
evaluated, as indicator of bioaccesibility in milk samples fortified with ferrous
sulfate (FS), ferric bisglicinate GF) and EDTANaFe. Dialyzability was also
evaluated in mixtures of milk with mate cocido and in infusions cooked with Fe
fortified milk. In each case the effect of ascorbic acid (AA) in a ratio Fe: AA
1:4 was studied. In the mate cocido FeD was zero and increased to 15% with the
addition of AA. The FeD in milk with SF, BGF and EDTANaFe (1.2, 1.0 and 27.4
respectively), increased with the addition of AA to 2.9, 3.5 and 24.8. The FeD in
the mixture milk: mate cocido was 4.7%, 5.7% and 31.2% for SF, BGF and EDTANaFe
respectively. With the addition of AA was 13.5%, 13.7% and 25.7%. In infusions
cooked with SF fortified milk, the values were lower than those of the mixture.
The CaD did not show significant changes in Fe fortified milk and its
preparations with yerba mate, while the MgD and ZnD only showed a significant
reduction in infusion cooked with Fe-fortified milk. The use of infusions of
yerba mate does not provide significant amounts of minerals. However, its use in
mixtures with milk will not adversely affect the availability of Fe in that
matrix.
PMID- 22097295
TI - [Basal state of the nutritional information declared in labels of foods products
marketed in Costa Rica].
AB - The nutritional labeling regulations for prepackaged foods based on the Codex
Alimentarius legislation enacted in 2002 in Costa Rica. In the same year, a
research was conducted in order to describe the baseline of nutritional labeling.
The declared information on the labels of all prepackaged foods was collected,
except for alcoholic beverages. Six variables were analyzed using SPSS. 2,910
labels of foods were reviewed and classified in 19 food categories. 58.4% (n =
1698) included nutritional information, proportion that varied by country of
origin and food category. Of the labels that included nutritional information,
68.1% had nutritional panel, 1.2% nutrient claims and 27.4%, both. 95% of the
nutritional components declared on the labels included energy and macronutrients
data. At least 100 different nutritional and health claims were identified. Most
frequently used claims were content (74.7%), followed by addition (16.9%). The
components most frequently mentioned were vitamins and minerals, vitamins
(alone), carbohydrates, total fat, cholesterol and energy. Food groups who
reported these descriptors were: cereals and by products, baby foods, milks,
beverages, foods for special dietary uses and substitutes. One to five
descriptors were used in a label. In a decade the proportion of prepackaged foods
with nutritional labeling tripled in the metropolitan area of Costa Rica. It is
concluded that the tendency of nutrition information declaration is up, sustained
and represents an accessible tool for health promotion, if the information
provided is reliable and secure.
PMID- 22097296
TI - [Proximal composition, lipid and cholesterol content of meat from pigs fed peach
palm meal (Bactris gasipaes Kunth) and synthetic lysine].
AB - Two experiments were conducted to evaluate the proximal composition, lipids and
cholesterol content of meat from pigs fed diets with peach-palm meal (PPM), with
or without addition of synthetic lysine (LYS). In experiment 1, 24 pigs were
randomly allotted into six treatments with three levels of PPM (0.16 and 32%) and
two levels of LYS (0 and 0.27%). In experiment II, 16 finishing pigs were fed
with two levels of PPM (0 and 17.50%) and two levels of LYS (0 and 0.27%). At the
end of each experiment (42 and 35 d, respectively), pigs were slaughtered and
loin samples were obtained to determine crude protein, dry matter, moisture, ash,
total lipids, and cholesterol content. In experiment I, pork loin from 16% PPM
had more dry matter (26.45 g/100 g) and less moisture (73.49 g/100g) than pork
loin from 32% PPM (25.11 y 75.03 g/100g, respectively). Meat samples from pigs
without LYS had higher (p < 0.05) content of lipids (2.11 g/100 g) than meat from
pigs that consumed LYS (1.72 g/100 g). In experiment II, the proximal, lipids and
cholesterol content were similar among treatments. The PPM addition to pig diets
did not affect the proximal composition of pork, while LYS addition indicated a
reduction of total lipids, which could result as an alternative to obtain leaner
meat.
PMID- 22097297
TI - [The therapeutic strategy for burn wounds].
AB - Wound repair is the critical issue in burn injury management. Optimal coverage or
regeneration of skin tissue is still a great challenge. In this review, we
summarize the current achievements in the fields of immune tolerance induction,
skin tissue regeneration, and hypertrophic scar control, which might provide new
viewpoints and research direction for diagnosis and treatment of burn wounds.
PMID- 22097298
TI - [Construction and operation of the wound care technology platform].
AB - Chronic wounds are a major healthcare problem costing billions of dollars a year
over the world. However, it is regrettable that a large number of chronic wounds
are still treated simply by conventional dressing change in local clinics,
lacking of definite diagnosis and personalized care. This situation results in a
low wound healing rate, unsatisfied life quality of the patients, and higher
medical cost for the prolonged promiscuous care. We should not only emphasize the
importance of wound care in clinical practice, but also emphasize the importance
of establishing wound care centers. With the experience of our practice in wound
care center, the construction of wound care technology platform is strongly
suggested. This platform could act as an education base to train more
professional wound care doctors, nurses, and care workers, as well as resolve
many technical difficulties involved in the treatment of many complicated chronic
wounds.
PMID- 22097299
TI - [Current situation of negative-pressure wound therapy].
AB - Negative-pressure wound therapy (NPWT) has been used to help wound healing since
early 1970s, and it has been used increasingly for treating a wide variety of
wounds since the early 1990s and started to popularize in China near the mid
1990s. This technique is different from conventional dressing change, as it
controls local humidity, alleviates edema, and improves local circulation all by
negative pressure. The method generally involves the application of a dressing on
the wound surface, connecting the dressing to a vacuum pump through a tube, and
then sealing the wound with adhesive films. Most of the clinicians in China
believe that NPWT is helpful in accelerating wound healing, though as yet there
is no strong evidence to support it. Therefore, it is necessary to conduct more
research to further clarify the mechanism and therapeutic effect of NPWT.
PMID- 22097300
TI - [Efficacy of vacuum sealing drainage in mice infected with Pseudomonas aeruginosa
and its mechanism].
AB - OBJECTIVE: To observe the effect of vacuum sealing drainage (VSD) on the
proliferation of Pseudomonas aeruginosa (PA) in infected wound, and to explore
its possible mechanism. METHODS: Full-thickness skin wounds each with area of 1
cm x 1 cm were produced on the back of 40 C57 BL/6 mice, and then they were
contaminated with wild type PA strains PAO1 marked with target gene of bacterial
luciferase luxCDABE (PAO1-lux), they were dressed for 24 hours to reproduce PA
infection model. Then mice were divided into experiment [E, with treatment of VSD
(pressure value at -16.625 kPa)] and control (C, with treatment of conventional
dressing change) groups according to the random number table, with 20 mice in
each group. The fluorescence intensity of PAO1-lux and blood flow in wound was
respectively measured by in vivo optical imaging system and laser Doppler
perfusion imager before treatment and at post treatment hour (PTH) 24. The
expression levels of IL-1beta and vascular endothelial growth factor (VEGF) mRNA
in wound edge were determined by real-time fluorescence quantitative RT-PCR
before treatment and at PTH 24. The specimens of wound edge tissue were collected
for observation of pathological change at PTH 24. Data were processed with t
test. RESULTS: There were no obvious difference in fluorescence intensity of PAO1
lux and blood flow in wound between E and C groups before treatment (with t value
respectively 0.03, 0.50, P values all above 0.05). The fluorescence intensity of
PAOl-lux and blood flow in wound in E group at PTH 24 [(2.69 +/- 0.75) photons x
s(-1) x cm(-2) x sr(-1) and (96 +/- 9) PU] was respectively lower and higher than
that inC group [(5.18 +/- 0.96) photons x s(-1) cm x (-2) x sr(-1) and (70 +/-
11) PU, with t value respectively 3.54, 3.13, P values all below 0.05]. The
expression levels of IL-1beta and VEGF mRNA in both groups before treatment were
similar (with t value respectively 0.19, 0.07, P values all above 0.05). The
expression levels of IL-1beta and VEGF mRNA in E group at PTH 24 was respectively
4.72 +/- 0.37, 2.68 +/- 0.39, all markedly higher than those in C group (2.24 +/-
0.50, 1.22 +/- 0.13, with t value respectively 6.90, 6.12, P values all equal to
0.00). The number of inflammatory cell infiltrating the wound edge in E group at
PTH 24 was increased by nearly 77% as compared with that in C group. CONCLUSIONS:
Compared with conventional dressing change, VSD can reduce the amount of
Pseudomonas aeruginosa in full-thickness skin defect wound at the early stage, it
may be related with an increase in blood flow and number of inflammatory cells in
wound tissue, promoting expression of IL-1beta and VEGF mRNA.
PMID- 22097301
TI - [Vascularization of poly-lactic-co-glycolic acid knitted mesh/collagen-chitosan
hybrid scaffold].
AB - OBJECTIVE: To investigate the effects of poly-lactic-co-glycolic acid (PLGA)
knitted mesh/collagen-chitosan hybrid scaffold (PCCS) on angiogenesis, and to
explore the relative mechanisms. METHODS: PLGA knitted mesh was integrated into
collagen-chitosan scaffold (CCS) to construct PCCS with freeze-lyophilizing
method, and CCS was made with the same method. The characteristics of morphology
and water absorbing capacity among PCCS, PLGA knitted mesh, and CCS were compared
in vitro. PCCS and CCS was respectively implanted into subcutaneous tissue of
back on both sides in 24 SD rats, and the tissue specimens were harvested at post
operation week (POW) 1, 2, and 4 according to the random number table to evaluate
the level of angiogenesis by histopathological and immunohistochemical
examinations. The expression levels of alpha smooth muscle actin (alpha-SMA) and
vascular endothelial growth factor (VEGF) mRNA were examined by real-time
quantitative RT-PCR. Data were processed with t test. RESULTS: (1) PLGA knitted
mesh was closely integrated with sponge of collagen-chitosan in PCCS, and the
porous structure of PCCS was similar to that of CCS. (2) Compared with that of
PCCS [(506 +/- 15)%], the water absorbing rate of CCS and PLGA knitted mesh was
respectively increased and decreased [(627 +/- 21)%, (195 +/- 15)%, with t value
respectively 3.8, 11.9, P < 0.05 or P < 0.001]. (3) The scaffolds were filled
with newly formed tissue in CCS at POW 4, while those in PCCS were observed at
POW 2 with more homogeneous and abundant collagen. (4) Blood vessels could be
induced, and they grew into scaffolds along with prolongation of implantation
time in PCCS and CCS. The number of mature blood vessels in PCCS at POW 1, 2, 4
[(10.7 +/- 3.2), (18.6 +/- 2.1), and (30.3 +/- 4.5) branches per square
centimeter] was respectively higher than that in CCS [(5.4 +/- 0.9), (10.8 +/-
4.2), and (23.6 +/- 1.7) branches per square centimeter, with t value
respectively 4.6, 4.4, 4.5, P values all below 0.01]. (5) The expression levels
of alpha-SMA and VEGF mRNA in PCCS at POW 1, 2, 4 were significantly higher than
those in the CCS (with t(alpha-SMA) value respectively 1.26, 1.63, 2.17, with
t(VEGF) value respectively 5.52, 2.07, 1.78, P values all below 0.01).
CONCLUSIONS: PCCS is able to induce the ingrowth of blood vessels rapidly and
promote their maturity. The mechanical properties and microstructures of
scaffolds play synergistic role in the process of angiogenesis.
PMID- 22097302
TI - [Study on differentiation of human umbilical cord-derived mesenchymal stem cells
into human sweat gland cells in vitro and the relative signal pathway].
AB - OBJECTIVE: To study the differentiation potential of human umbilical cord-derived
mesenchymal stem cells (UCMSC) into human sweat gland cells (hSGC) and the role
of extracellular signal-regulated kinase (ERK) pathway. METHODS: UCMSC and hSGC
were isolated and cultured in vitro. The former was identified with expression of
CD14, CD29, CD34, CD44, CD45, CD105, cytokeratin 7 (CK7), CK19, and
carcinoembryonic antigen (CEA), while the latter was identified with expression
of CK19 and CEA. UCMSC with density of 5 x 10(4) cells per well placed in lower
compartment of Transwell chamber were divided into control group (C, cultured
with nutrient solution without any stimulation), thermal injury group (TI,
treated with heat-shocked hSGC with density of 1 x 10(4) cells per well
inoculated into the upper compartment of Transwell chamber for indirect co
culture), thermal injury + EGF group (TIE, treated with indirect co-culture as
used in TI group, with addition of 50 ng/mL EGF), thermal injury + PD98059 group
(TIP, treated with indirect co-culture as used in TI group, with addition of 10
nmol/mL ERK specific inhibitor PD98059) according to the random number table. One
week after culture, the positive expression rates of CK7 and CK19 in UCMSC were
detected by flow cytometry, the expression of CK19 and CEA in UCMSC were examined
with immunohistochemical staining and the positive expression rate of CEA was
calculated, and the expression level of phosphorylated ERK (pERK) was determined
by Western blotting. Data were processed with one-way analysis of variance.
RESULTS: (1) CD29, CD44, and CD105 were highly expressed in UCMSC, accompanied by
low or negative expression of CD14, CD34, CD45, CK7, CK19, and CEA. The
expression of CK19 and CEA were positive in hSGC. The two results showed that
UCMSC and hSGC were pure. (2) Compared with those of C group [(2.2 +/- 1.5)%,
(2.2 +/- 0.7)%, (3.3 +/- 0.7)%, 0.640 +/- 0.026], the expression levels of CK7,
CK19, CEA, and pERK in UCSMC of TI group [(6.4 +/- 0.7)%, (5.7 +/- 0.3)%, (7.4 +/
1.0)%, 0.790 +/- 0.049] and TIE group [(14.3 +/- 1.0)%, (12.6 +/- 1.1)%, (17.6
+/- 2.3)%, 1.200 +/- 0.032] were significantly increased (with F value
respectively 78.49, 139.36, 87.13, and 191.74, P values all below 0.01), and
those of TIE group were higher than those of TI group (with F value from 50.14 to
145.47, P values all below 0.01). There were no obvious difference in the 4
indexes between TIP group and C group (with F value from 0.00 to 0.13, P values
all above 0.05). CONCLUSIONS: UCMSC co-cultured with heat-shocked hSGC can
differentiate into hSGC, and ERK signal pathway participates in the process of
differentiation of UCMSC into hSGC.
PMID- 22097303
TI - [Preparation of polyvinyl alcohol/chitosan hybrid nanofibrous scaffolds and study
on its biodegradation behaviors in vivo and in vitro].
AB - OBJECTIVE: To fabricate polyvinyl alcohol (PVA)/chitosan hybrid nanofibrous
scaffolds owning the similar physiological structure of ECM, and to observe its
biodegradation behavior in vivo and in vitro. METHODS: (1) The PVA nanofibrous
scaffold and PVA/chitosan hybrid nanofibrous scaffold were fabricated by
electrospinning technique, and then they were crosslinked by glutaraldehyde vapor
method. The morphology of both scaffolds was observed by scanning electron
microscope (SEM). (2) Biodegradation experiment in vitro: the samples of two
scaffolds with size of 2 cm x 2 cm were placed into phosphate-buffer saline (PBS)
fluid under 37.0 degrees C water for incubation, and then they were dried to
observe morphologic changes under SEM on post incubation day (PID) 3, 7, and 14.
(3) Biodegradation experiment in vivo: 48 Wistar rats were divided into PVA group
and PVA/chitosan group according to the random number table, with 24 rats in each
group. PVA or PVA/chitosan nanofibrous scaffold was implanted into subcutaneous
tissue on both sides of back in rats of both groups, with 4 scaffolds in each
rat. The scaffold samples were harvested to observe morphologic changes with HE
staining on post operation day (POD) 3, 7, 14, and 28. RESULTS: (1) After
crosslinking, the surface of fibers in PVA and PVA/chitosan hybrid nanofibrous
scaffolds were smooth, and the diameters of fibers were similar, ranging from 200
to 300 nm, with high porosity. (2) Biodegradation experiment in vitro showed that
the morphologic changes in fiber was respectively swelling, dissolution, fusion
in PVA nanofibrous scaffold on PID 3, 7, 14, and that in PVA/chitosan hybrid
nanofibrous scaffold was respectively swelling, dissolution and fragmentation,
and disappearance. (3) Biodegradation experiment in vivo showed that the
morphologic changes in scaffold structure was respectively loosening, fuzziness
of edges, degradation, and disappearance in PVA group and PVA/chitosan group on
POD 3, 7, 14, 28. CONCLUSIONS: PVA/chitosan hybrid nanofibrous scaffolds can be
prepared with electrospinning technique, and it has an appropriate biodegradation
rate compatible with tissue reconstruction after crosslinking.
PMID- 22097304
TI - [Diagnosis and treatment of Kawasaki disease in burn children].
AB - We try to discuss the relationship between burn and Kawasaki disease (KD), and to
study the diagnosis and treatment of KD in burn children. The medical records of
one burn child with KD from our pediatric ward together with those of 5 burn
children with KD retrieved from foreign literature were analyzed. The clinical
features of KD, including bilateral nonexudative conjunctivitis, erythema of the
lips and oral mucosa, skin rash, cervical lymphadenopathy, changes in the distal
part of extremities, were enrolled in the study. Six patients were male and
younger than 5 years old, with 2 suffering from mild burn, 2 with moderate burn,
and 2 with severe burn. Two days after second degree burn, all burn children had
fever and skin rash with 4 or 5 clinical symptoms and signs of KD. Among them,
coronary artery dilatation was found in 1 case as detected by echocardiography,
positive wound culture was found in 2 cases, negative blood culture was found in
6 cases. All patients were given high-dose gamma globulin or (and) aspirin within
10 days after the first fever, followed by control and amelioration of the
disease. We conclude that the pathogenesis of KD may be related with burn wound
and reabsorption of edema. KD may be suspected in burn children younger than 5
years when they had fever and skin rash at the same time.
PMID- 22097305
TI - [Comparison study of radionuclide lymphoscintigraphy and dynamic magnetic
resonance lymphangiography for the diagnosis of extremity lymphedema].
AB - OBJECTIVE: To compare the role of radionuclide lymphoscintigraphy and dynamic
magnetic resonance lymphangiography (MRL) for the diagnosis of extremity
lymphedema. METHODS: Sixteen patients with primary extremity lymphedema and two
with Klippel-Trenaunay syndrome combined with lymphedema were examined by
lymphoscintigraphy using the tracer 99Tc-labelled dextran, and also by MRL using
gadobenate dimeglumine as contrast agent. The results of morphological
abnormalities and functional state of the lymphatic system at affected limbs from
the two imaging methods were compared. RESULTS: Lymphatic vessels were imaged in
14 of 18 limbs with lymphedema using MRL, compared with one of 18 using
lymphoscintigraphy. MRL detected the inguinal nodes in 16 of 17 patients, whereas
lymphoscintigraphy revealed inguinal nodes in only nine cases. MRL revealed more
precise information about structural and functional abnormalities of lymph
vessels and nodes than lymphoscintigraphy by real-time measurement of lymph flow
in vessels and nodes. CONCLUSIONS: Dynamic MRL is more sensitive and accurate
than lymphoscintigraphy in the detection of anatomical and functional
abnormalities in the lymphatic system in patients with extremity lymphedema.
PMID- 22097306
TI - [Application of the cone beam computed tomography (CBCT) in Le Fort I osteotomy].
AB - OBJECTIVE: To improve the accuracy and safety of the Le Fort I osteotomy.
METHODS: Eighty-four patients underwent CBCT scan before maxillary orthognathic
surgery. The anatomic structures of maxilla were marked and measured. RESULTS: In
84 cases, there were 3 cases with severe hypoplasia of maxillary sinus, 11 cases
with impacted third molar, 8 cases with separation in maxillary sinus, 4 cases
with the deviation of nasal septum, and 3 cases with cysts in maxillary sinus.
Form CBCT images, the position of the pterygopalatine canal, the thickness of
maxillary wall, hidden lesion of maxillary sinus, the location of Impacted molar,
the deviation of nasal septum, and other anatomic structure could be accurately
localized. CBCT could provide sufficient and valuable information in diagnosis
and design for Le Fort I osteotomy. CONCLUSIONS: CBCT imaging technology could
provide precise anatomic images for Le Fort I osteotomy. It improves the accuracy
and safety of the Le Fort I osteotomy.
PMID- 22097307
TI - [Acellular cadaveric dermis-assisted immediate breast reconstruction: the
preliminary experience].
AB - OBJECTIVE: To investigate the feasibility and therapeutic effect of acellular
cadaveric dermis (ACD)-assisted immediate breast reconstruction. METHODS: From
Sep. 2009 to May 2010, 10 cases received ACD-assisted immediate breast
reconstruction. During the operation, the ACD was used to cover inferior and
lateral portion of the implants in 2 cases and expanders in 8 cases. RESULTS: The
patients were followed up for an average period of 4 months with satisfactory
breast appearance. The complications included infection in 2 cases and dehiscence
in 2 cases. But no implant or expander was taken out. CONCLUSIONS: The ACD
assisted immediate breast reconstruction is a technically simple procedure with
minimal morbidity. Satisfactory clinical outcome can be achieved with appropriate
candidates.
PMID- 22097308
TI - [Check ligament suspension for correction of congenital severe blepharoptosis].
AB - OBJECTIVE: To evaluate the clinical result of check ligament suspension for
correction of congenital severe blepharoptosis. METHODS: Since Jan. 2010 to Nov.
2010, 15 eyes in 15 cases with congenital severe blepharoptosis were treated with
the check ligament suspension. Palpebral aponeurosis was exposure by opening
fascia palpebral during blepharoplasty. Palpebral aponeurosis was cut off about 5
mm above the tarsus. The check ligament was seen in the intermuscular space
between the segment of levator and the anterior one third of superior rectus
attached to the conjunctival fornix. Congenital blepharoptosis could be corrected
by suturing the check ligament and levator palpebrae superior to the upper margin
of tarsal plate with 3-0 silk thread. Double eyelid plasty was carried out in the
end. RESULTS: The follow-up period was 3-11 months with good cosmetic result. All
the cases could close their eyes in 15 to 30 days with no complication.
CONCLUSIONS: In conclusion, this technique is quite successful in raising the
level of the upper eyelid in severe congenital blepharoptosis. The check ligament
moves in a similar direction as the natural movement of levator muscle, so both
the postoperative static and dynamic appearance of the upper lid is more natural.
PMID- 22097309
TI - [Auricular reconstruction for concha-type microtia].
AB - OBJECTIVE: To investigate the method of auricular reconstruction for concha-type
microtia. METHODS: Two-staged auricular reconstruction was applied in 13 cases
(14 ears) with concha-type microtia. The cartilage auricular framework was
fabricated and implanted in the first stage, followed by ear elevation and cranio
auricle angle formation at the second stage. RESULTS: The patients were followed
up for 2 months to 2 years with satisfactory aesthetic result. The reconstructed
ears had a good appearance and position, and were symmetric to the healthy ears.
CONCLUSIONS: The two-staged auricular reconstruction with autologous cartilage
framework is ideal for concha-type microtia.
PMID- 22097310
TI - ["W-shape" flap at nasal tip for the correction of the nasal deformity secondary
to unilateral cleft lip].
AB - OBJECTIVE: To investigate an effective method for the correction of the narrow
nostril secondary to cleft lip. METHODS: A "bird wing shape" incision was made on
the nasal tip to form a "W-shape" flap for repairing the nasal deformities
secondary to cleft lip, especially for the cases with narrow nostril. RESULTS:
Twenty-eight patients were treated with this method. All the cases achieved a
symmetry shape of nasal ala, nostril, nasal columella and a normal height of
nasal tip except for 2 cases with malformation at nasal tip who achieved
improvement after reoperation. 21 cases were followed up for 6-12 months with
good cosmetic result and no recurrence. CONCLUSIONS: "W-shape" flap at the nasal
tip is an ideal way for the correction of mild to moderate narrow nostril
deformity secondary to cleft lip.
PMID- 22097311
TI - [Application of free anterior serratus musculo-fascial flap in bridge style for
the soft tissue defect at leg].
AB - OBJECTIVE: To investigate the application of free anterior serratus musculo
fascial flap in bridge style for the soft tissue defect at leg. METHODS: From
Sept. 2006 to Jan. 2009, the free anterior serratus musculo-fascial flaps were
used in bridge style in 7 cases with soft tissue defects at legs. The anterior
serratus musculo-fascial flaps were elevated with subscapular and circumflex
scapular vessels forming a T-shaped vascular pedicles. The T-shaped pedicle was
end-to-end anastomosed with the two ends of the posterior tibial artery at the
healthy leg. The musculo-fascial flap and its pedicle were covered with skin
graft. RESULTS: All the 7 flaps survived completely with satisfactory result. The
patients were followed up for 9-42 months with good functional and esthetic
result both in donor site and recipient site. The patency of posterior tibial
artery was demonstrated by clinical and Doppler examination. CONCLUSIONS: This
technique is particularly useful in leg reconstructive surgery when only one
vessel remains. The patency of the posterior tibial artery at the healthy leg is
preserved and the morbidity in donor site is minimal.
PMID- 22097312
TI - [Application of V-Y flap pedicled with superior malleolus cutaneous branch for
small skin defect at the dorsal side of foot].
AB - OBJECTIVE: To investigate the therapeutic effect of V-Y flap pedicled with
superior malleolus cutaneous branch for small skin defect at the dorsal side of
foot. METHODS: From Aug. 2008 to Aug. 2010, 9 cases with skin defects at the
dorsal side of feet were treated by V-Y flaps pedicled with superior malleolus
cutaneous branch. The flap size ranged from 6.0 cm x 5.5 cm to 12.0 cm x 6.5 cm.
The defects at the donor sites were closed directly. RESULTS: All flaps survived
completely. 9 cases were followed up for 6-12 months after operation. The flaps
had good texture and color match. The 2-point discrimination distance at the flap
was 10-14 mm. The function of ankle was normal. CONCLUSIONS: The V-Y flap
pedicled with superior malleolus cutaneous branch is ideal for the treatment of
small skin defect at the dorsal side of foot.
PMID- 22097313
TI - [Koyanagi technique for repairing proximal hypospadias with penoscrotal
transposition].
AB - OBJECTIVE: To investigate the application of Koyanagi technique for repairing
proximal hypospadias with penoscrotal transposition. METHODS: Koyanagi procedure
was used for repairing proximal hypospadias with penoscrotal transposition in 26
boys (mean age 4.2 years) between July 2005 and June 2010. RESULTS: Primary
healing was achieved in 22 cases. Three patients suffered from urinary fistula
and the external urethral orifice in one patient was retracted to penis coronary
sulcus, who were re-operated successfully. Two cases showed slight penile ventral
curvature. Slight stricture of the urethral external orifice was noted in one
case, which relieved after one to two times of urethral sounding every week for
eight months. 20 patients were followed up for 6-36 months (mean 10.5 months)
with good cosmetic result and normal urethra function. The penoscrotal
transposition was also corrected. CONCLUSIONS: The original Koyanagi procedure
might be one of the simple and effective method for repairing proximal
hypospadias with penoscrotal transposition.
PMID- 22097314
TI - [Different expression of TGF-beta1 and collagen during the healing process of
wound infected by Pseudomonas aeruginosa].
AB - OBJECTIVE: To explore the different expression of TGF-beta1 and collagen during
the healing process of wound infected by Pseudomonas aeruginosa (PAO1). METHODS:
24 female Wistar rats were randomly divided into pure wound group (group A) and
wound + PAO1 group (group B). The re-epithelial rate, shrinkage rate and
neutrophils number on the wounds were observed on the 1st, 3rd, 7th and 10th day
after operation. The expression of TGF-beta1 and collage I, Ill was also
detected. RESULTS: On the 7th day, the re-epithelial rate in group A was higher
than that in group B, while the shrinkage rate in group A was lower than that in
group B. The neutrophils number increased to peak on the 1st day in group B, but
on the 3rd day in group A. The TGF-beta1 expression increased after operation in
both groups, but it decreased in group B on the 3rd day and re-increased after
that. The TGF-beta1 expression was significantly different between the two groups
on the 7th day (P < 0.05). The expression of collagen I and III decreased during
healing. The expression of collagen III in group A was higher on the 3rd day and
was lower on the 7th and 10th day than that in group B, showing a significant
difference (P < 0.05). CONCLUSIONS: PAO1 infection could delay the expression of
TGF-beta1 and collagen I, III on wound, which may interfere the healing process
of wound.
PMID- 22097315
TI - [Construction of recombinant lentiviral vector of Tie2-RNAi and its influence on
malignant melanoma cells in vitro].
AB - OBJECTIVE: To construct lentivector carrying Tie2-Small interfering RNA (SiRNA),
so as to study its influence on malignant melanoma cells. METHODS: Recombinant
plasmid pSilencer 1.0-U6-Tie2-siRNA and plasmid pNL-EGFP were digested with XbaI,
ligated a target lentiviral transfer plasmid of pNL-EGFP-U6-Tie2-I or pNL-EGFP-U6
Tie2-II, and then the electrophoresis clones was sequenced. Plasmids of pNL-EGFP
U6-Tie2-I and pNL-EGFP-U6-Tie2-II were constructed and combined with pVSVG and
pHelper, respectively, to constitute lentiviral vector system of three plasmids.
The Lentiviral vector system was transfected into 293T cell to produce pNL-EGFP
U6-Tie2- I and pNL-EGFP-U6-Tie2-II lentivirus. Then the supernatant was collected
to determine the titer. Malignant melanoma cells were infected by both
lentiviruses and identified by Realtime RT-PCR to assess inhibitory efficiency.
RESULTS: The recombinant lentiviral vectors of Tie2-RNAi were constructed
successfully which were analyzed with restriction enzyme digestion and identified
by sequencing. And the titer of lentiviral vector was 8.8 x 10(3)/ml, which was
determined by 293T cell. The results of Realtime RT-PCR demonstrated that the
lentiviral vectors of Tie2-RNAi could infect malignant melanoma cells and inhibit
the expression of Tie2 genes in malignant melanoma cells (P<0.01). There was no
significant difference in the expression level (P>0.05) between the two
lentiviral vectors of Tie2-RNAi. CONCLUSIONS: Lentivector carrying Tie2-SiRNA can
be constructed successfully and inhibit the expression of Tie2 gene in vitro
significantly. The study will supply the theory basis for the further research on
the inhibition of tumor growth in vivo.
PMID- 22097316
TI - [Construction and transplantation of tissue-engineered skin with mouse embryonic
fibroblasts in SD mice].
AB - OBJECTIVE: To investigate the application and mechanism of tissue-engineered skin
with mouse embryonic fibroblasts (MEFs) for the full-thickness skin defects on
mice. METHODS: The MEFs and fibroblasts were cultured and seeded in scaffold made
of rat tail collage. ELISA method was used for detection of secretory function.
The full-thickness skin defects were created on mice and covered by MEFs-scaffold
complex (experimental group), or FBs-scaffold complex (control group 1), or
scaffold only (control group 2). The process of wound healing was evaluated by
observation of the re-epithelization rate. Microvessel density (MVD) and vimentin
within the wound sites were also detected with immunohistochemistry staining
technique to describe the characteristics of wound healing. Hoechst 33342
staining was performed to trace MEFs'fate. RESULTS: MEFs scaffold group had
higher level secretion of IL-6 and lower of TGF-beta1 than FBs scaffold group
(P<0.05). Compared with wounds in control groups, the wounds in MEFs group healed
markedly fast (P<0.05) and the MVD was significantly higher (P <0.05). The
fibroblasts in the wounds of MEFs group were arranged regularly and the MEFs
decreased during the healing process. CONCLUSIONS: The MEFs-scaffold complex can
promote wound healing with less scar formation. MEFs may have an inducing effect
on the wound healing.
PMID- 22097317
TI - [The effect of integrin-linked kinase on VEGF expression in fibroblasts from
human hypertrophic scar].
AB - OBJECTIVE: To explore the expression of integrin-linked kinase (ILK) and its
effect on VEGF expression in fibroblasts from human hypertrophic scar. METHODS:
Fibroblasts were isolated from hypertrophic scar of 8 patients and cultured in
vitro. Then the cells were divided into three groups: (1) Cells were cultured
only in DMEM containing 10% FCS in the control group; (2) Cells were transfected
with empty plasmid in the empty plasmid group; (3) Cells were transfected with
plasmid expressing ILKcDNA in the ILK cDNA plasmid transfection group. First, the
expression of ILK and VEGF was observed by immunocytochemistry before and after
ILK cDNA transfection. Second, ILK and VEGF mRNA expression was investigated by
real-time PCR (RT-PCR). Third, the protein expression of ILK and VEGF was
detected by Western blot. Finally, the protein level of VEGF in supernatant of
fibroblasts was measured by ELISA. RESULTS: Before ILK cDNA transfection, the
expression of ILK was positive and the VEGF expression was weak in cytoplasm of
fibroblasts . After ILK cDNA transfection, both the expression of ILK and VEGF
was enhanced. The level of VEGF mRNA was significantly higher in ILK cDNA
transfection group (0.338 +/- 0.060) than that in control group (0.022 +/- 0.001)
and empty plasmid group (0.028 +/- 0.005, P < 0.05). The level of VEGF protein
was significantly higher in ILK cDNA transfection group (0.819 +/- 0.019) than
that in control group (0.607 +/- 0.033) and empty plasmid group (0. 591 +/-
0.024, P<0. 05). Secretion of VEGF increased remarkably in ILK cDNA transfection
group comparing with the other two groups (P < 0.05). CONCLUSIONS: ILK could up
regulate the VEGF mRNA and protein level in human scar fibroblasts. It may play
an important role in the angiogenesis in hypertrophic scar.
PMID- 22097318
TI - [Different expression of protein in the supernatant of heat injured
keratinocytes].
AB - OBJECTIVE: To compare the difference of protein expression in the supernatant of
heat injured keratinocytes (KC) and normal KC. METHODS: A model of heat injured
KC was produced in vitro. The supernatant of normal KC and heat injured KC was
collected after culture for 12 hours, and was ultrafiltered and lyophilized to
get the protein. The protein sample was separated by immobilized pH gradient
based two dimensional gel electrophoresis (2-DE). The gel was stained and the
different expression of protein was analyzed using ImageMaster 2D analysis
software. RESULTS: (1) Average protein spots were 1,898 +/- 113, 1,877 +/- 97 in
the supernatant of normal and heat injured KC and 1,118 protein spots could be
used for statistical analysis. (2) Statistical result showed that 26 protein
spots were significantly different between the two groups. 16 protein spots were
higher in the supernatant of normal KC and then 10 protein spots were lower in
the normal group. (3) 16 protein spots, which included 10 kinds of proteins, were
identified successfully as different spots. Lower expression proteins were alpha
enolase, actin cytoplasmic 2, peroxiredoxin-4, phosphoglycerate mutase 1, G
protein-regulated inducer of neurite outgrowth l in the supernatant of heat
injured KC. Higher expression proteins in heat KC were purine nucleoside
phosphorylase, tumor necrosis factor ligand superfamily member 10, proteasome
subunit alpha type-7, UDP-glucose 6-dehydrogenase in the supernatant of heat
injured KC. CONCLUSIONS: The result indicated that there are some significant
different expression proteins in the supernatant of normal KC and heat injured
KC. These findings provide new data for screening major molecules of tissue
repair and finding the mechanism of wound repair.
PMID- 22097319
TI - [Effects of pyrroloquinoline quinone on proliferation and expression of c-fos, c
jun, CREB and PCNA in cultured Schwann cells].
AB - OBJECTIVE: To investigate the effects of pyrroloquinoline quinine (PQQ) on
proliferation and expression of c-fos, c-jun, CREB and PCNA in cultured Schwann
cells. METHODS: Schwann cells were cultured and purified in vitro. The purity of
Schwann cells was identified by immunofluorescence of S-100. After
synchronization of cell cycle by serum-free medium, different concentration of
PQQ (0,1, 10, 100, 1,000, 10,000 nmol/L) were added into culture medium for 72 h.
Flow cytometry was used to determine cell cycle. The content of c-fos, c-jun, and
CREB mRNA were detected by RT-PCR, and the expression of PCNA protein was
detected by Western blot. RESULTS: After PQQ treatment, the percentage of cells
in G0/G1 phase decreased and the percentage of cells in S and G2/M phase
increased. After treated by PQQ at concentration of 1-10,000nmol/L, content of c
fos,c-jun,CREB mRNA was increased by 0.33,0.42 and 0. 52 fold (P < 0. 05).
However, at concentration of 1 000 nmol/L, there was no difference in mRNAs
content when compare to control (P >0.05). And it showed a decline at
concentration of 10,000 nmol/L (P < 0.05). PCNA protein expression was up
regulated at PQQ concentration of 1-100 nmol/L. At 100 nmol/L, the expression
increased by 1.17 fold (P < 0.05); However, at 1,000 nmol/L, there was no
difference in PCNA expression when compared to control. And 10,000 nmol/L of PQQ
inhibited the expression of PCNA (P < 0.05). CONCLUSIONS: When treated with PQQ
at concentration of 10-100 nmol/L, the proliferation of Schwann cells increased
and the expression of c-fos,c-jun, CREB and PCNA was up-regulated.
PMID- 22097320
TI - [Effects of PEG stress on flavonoids accumulation and related gene expression in
suspension of Scutellaria baicalensis].
AB - OBJECTIVE: To study the effects of PEG stress on baicalin, baicalein accumulation
induced by an increased concentration of PEG solution and the related genes'
expression in suspension of Scutellaria baicalensis. METHOD: The content of
baicalin, baicalein in suspension of S. baicalensis was determined by HPLC. The
related genes' expression was analyzed by semi-quantitative PCR. RESULT: The
content of proline in suspension of S. baicalensis was promoted by PEG treatment.
Ten percent PEG treatment promoted the expression of PAL and the content of
baicalein in experimental material via a drought stress. 20% PEG solution
treatment promoted the expression of UBGAT. At the same time, the increased
activity of APX inhibited the progress of eliminating reactive oxygen by
baicalein, which induced the transformation from baicalein to baicalin.
CONCLUSION: Active ingredient in suspension of S. baicalensis was promoted
significantly via a stress of light concentration of PEG solution.
PMID- 22097321
TI - [Dynamic accumulation of effective components and biomass of Coptis chinensis in
Hongya county].
AB - OBJECTIVE: To study the dynamic accumulation of the effective components and
biomass of Coptis chinensis, so to provide the experimental date of optimal
harvest time for C. chinensis in Hongya county. METHOD: The samples of three to
five years were gathered from the same field and time. The biomass was analyzed
by weighed. The jatrorrhizine, columbamine, epiberberine, coptisine, palmatine
and berberine in C. chinensis were analyzed by HPLC. RESULT: With the increasing
of years of growth, the rootstalk biomass of C. chinensis was increasing
continuously. The biomass growth of four-year-old C. chinensis was the fastest in
the year. From September to October was the fastest season of the growth of
rootstalk. The dynamic accumulation in rootstalk C. chinensis had regularity in
the certain extend. The contents of six alkaloids and all alkaloids in 4-year-old
C. chinensis were more than that in 3-years-old and 5-year-old. The contents of
six alkaloids were mostly highest in August. From July to December, there is no
significant difference in the contents of columbamine, epiberberine, coptisine,
palmatine, berberine and all alkaloids in 4-years-old C. chinensis. CONCLUSION:
According to the biomass and the accumulation pattern of the effective components
in the C. chinensis, the optimal harvest time is from September to October of 4
year-old C. chinensis.
PMID- 22097322
TI - [Studies of floral biology, breeding characters of Lamium barbatum].
AB - OBJECTIVE: To reveal the flowering characteristics and provide theoretical basis
for the breeding and cultivation of Lamium barbatum. METHOD: The field
observation and investigation of blooming phenophase were applied in the study
growth dynamics of inflorescence and the period of the floret were measured. Then
the statistic analyses of them were carried out. The pollen viability was
evaluated by TTC test and the stigma receptivity was evaluated by benzidine-H2O2
method. RESULT AND CONCLUSION: The flowers of L. barbatum were bisexual, with 4
to 14 flowers in an inflorescence. The population came into bloom from April to
June and the blossom of a single flower could last about 3 days. Pollination peak
lasted 8 hours from the very beginning of blooming, and the high stigma receptive
stage remained during the same period of time. The results indicated that the
breeding system of L. barbatum was outcrossing with self-compatibility and
pollinators were needed based on the outcrossing index. The most frequent floral
visitors were bees. The suitable breeding strategy was also discussed.
PMID- 22097323
TI - [Effects of AM fungi on growth and microelement of Scuteliaria baiealensis under
different applied phosphorous levels].
AB - The effects of AM fungi on the growth, nutrient uptake and microelement of
Scutellaria baicalensis under different applied phosphorous levels in the pot
culture were studied. The results showed that applied phosphorous levels had
remarkable effect on AM fungal inoculation. Inoculation effect of AM fungi was
the best under 0.12-0.18 g x kg(-1) soil. At those levels, the effect of AM fungi
on the growth of S. baicalensis was the best, but either lower or higher
phosphorous level showed suppressed infecting of AM fungi to S. baicalensis.
After inoculation, the contents of biomass, total P, baicalin, total N of root
were increased as well as the microelements of K, Ca, Mg, Fe, Zn, but the
contents of total N of shoot, N/P were decreased as well as the microelements of
Mn, Cu. In conclusion, the growth of host plant and using rate of phosphorus
fertilizer can be promoted by inoculation of AM fungi.
PMID- 22097324
TI - [Structures and bioactivity of polysaccharides from isatidis radix].
AB - OBJECTIVE: To investigated the chemical structures and bioactivity of
polysaccharides from Isatidis Radix. METHOD: Polysaccharides were extracted and
purified by column chromatograph and their chemical structures were identified by
UV, IR, NMR, periodic acid oxadation and Smith degradation method and their
stimulation effects to macrophage were evaluated by using MTT method. RESULT:
Five polysaccharides, polysaccharide A , B, C, D and E were gotten and their
molecular weights were 2 000, 1 757.1, 1 34 2.7, 955.6, 11.7 kDa, respectively.
Polysaccharide A was composed of arabinose, polysaccharide E was composed of
arabinose and galactose, polysaccharides B, C, D were composed of glucose and 1 -
> 2, 1 --> 3, 1 --> 4, 1 --> 6 linkages existed in polysaccharides A-E, of A, B,
C, D, E were alpha-configurations. Polysaccharides B, C and D showed better
bioactivity than polysaccharides A and E with stimulation index (SI) of 5.31,
4.76, 5.17. CONCLUSION: Five polysaccharides are seperated firstly from Isatidis
Radix.
PMID- 22097325
TI - [Extraction and antioxidant activity of collagen from elephant skin, pig skin and
fish scales].
AB - OBJECTIVE: To study collagen structure of the traditional Chinese medicine
elephant skin and the proposed alternatives such as pig skin, fish scale, and
antioxidant activity. METHOD: Orthogonal experimental design method was employed
to determine the optimal extraction condition of collagen from the elephant skin,
and the structure and content of collagen of proposed alternatives were compared,
their scavenging ability were determined by salicylic acid. RESULT: Collagen
extracted from elephant skin with the optimal conditions was the structural
integrity and good quality first time, and collagen structure of the elephant
skin was similar to the proposed alternatives. Free radical scavenging capacity
of collagen, values of IC50, were 0.51 g x L(-1) of elephant skin, 0.60 g x L(-1)
of pig skin and 0.42 g x L(-1) of fish scale. CONCLUSION: By comparing and
identification of proteins that the collagen of elephant skin is type I collagen,
with a strong antioxidant capacity, is the active ingredients of elephant skin.
It provides a further study of alternatives as an important reference.
PMID- 22097326
TI - [Comparative analysis of volatile fractions in Polygonati rhizoma and its
processed products by GC-MS].
AB - OBJECTIVE: To conduct comparative study on the volatile components from
Polygonati Rhizoma during processing. METHOD: Volatile oil was obtained from
Polygonati Rhizoma by steam distillation (SD). Volatile components were
concentrated by a purge and trap-thermal desorption (P&T-TD) method, and analyzed
with gas chromatography-mass spectrometry (GC-MS), which were comparative by
analyzed with the method of SD-GC-MS simultaneously. RESULT: The change in
quantity and quality of volatile components in pre and post processed Polygonati
Rhizoma were observed. Fifty-one compounds were checked out with SD-GC-MS, while
11 compounds with P&T-TD-GC-MS. CONCLUSION: This study is useful to illustrate
the mechanism of decreasing toxicity and stimulating components after being
processed.
PMID- 22097327
TI - [Extraction, purification technology and antineoplastic effects of solamargine].
AB - OBJECTIVE: To extract and purify of solamargine from Solanum nigrum, and to
research its antineoplastic effects. METHOD: S. nigrum was extracted refluently
with 80% alcohol, solamargine was purified with silica gel column chromatography
and recrystallization, and then conducted its structure identification and purity
checks. Screened the effect on human tumor cell groth inhibition in vitro by MTT
assay, and researched on the features in mice with H22 liver cancer or Ehrlich
ascites tumor of solamargine. RESULT: The concent of solamargine reached 97.9%.
Solamargine had significantly inhibition on 6 tumor cells in vitro, and it had
significantly inhibition on mice with H22 liver cancer or ehrlich ascites tumor
in the 2.4 mg x kg(-1) dose of i.v. CONCLUSION: Solamargine have the
antineoplastic effect.
PMID- 22097328
TI - [Study on in vitro release of xingnaojing microemulsion].
AB - OBJECTIVE: To study in vitro release of Xingnaojing microemulsion and to
investigate the release mechanism. METHOD: The concentration of jasminoidin was
determined by HPLC and the concentration of Aipian was determined by GC. In vitro
release characteristics were conducted by dialysis technique. Model fitting was
used to determine the kinetics and mechanism. RESULT: Jasminoidin released
completely within 2 h, fitting the Weibell model best. The release of borneol
fitted first order model. CONCLUSION: The release mechanisms of different types
of medicines are quite different. The different types of medicines dissolve in
the different phases in the microemulsion.
PMID- 22097329
TI - [Study on pharmacokinetics of borneol in rats injected with novel-xingnaojing by
GC-FID].
AB - OBJECTIVE: To develop a GC-FID method for the determination of borneol
concentration in rat plasma and to investigate the pharmacokinetics after
injection of novel-Xingnaojing. METHOD: Novel-Xingnaojing was injected via by
caudal vein injection. The blood samples were collected by posterior orbital
venous plexus approach at 0.5, 1, 3, 5, 8, 12, 20, 30, 45 min. The drug in plasma
was extracted with ethyl acetate and then detected by GC-FID, octadecane was used
as the internal standard. The pharmacokinetic parameters were calculated by the
software of Kinetica. RESULT: The calibration curve was good linear in the range
of 1.67-16.67 mg x L(-1). The extraction recoveries of low, medium and high
concentration were (92.81 +/- 1.11)%, (85.38 +/- 0.86)% and (84.58 +/- 0.58)%,
respectivley. And the RSDs of within-day and between-day were below 3.00%. Plasma
concentration of borneol was consistent with the two-compartment open model. The
pharmacokinetic parameters were that the t1/2alpha was (1.18 +/- 0.20) min, the
t1/2beta was (22.27 +/- 6.85) min, the C(max)(Calc) was (18.76 +/- 2.10) mg x L(
1), the MRT was (23.84 +/- 7.67) min(-1), and the AUC was (100.00 +/- 15.85) mg x
min x L(-1). CONCLUSION: The GC-FID method developed can be applied to
determination and pharmacokinetics. The borneol in novel-Xingnaojing is
distributed and metabolized fast after being administrated.
PMID- 22097330
TI - [Studies on chemical constituents of Rabdosia serra].
AB - OBJECTIVE: To study the chemical constituents of aerial part of Rabdosia serra.
METHOD: The compounds were isolated by extraction, coloum chromatography over
silica gel and ODS, and preparative HPLC. Their structures were identified by
various spectroscopic methods including MS, IR, 1D and 2D NMR data. RESULT: Six
compounds were isolated from R. serra and were characterized as ent-1alpha,
7alpha, 14beta, 20-tetrahydroxy-11, 16-kauradien-15-one (1), kamebakaurin (2),
dihydrokamebakaurin (3), rabdoinflexin A (4), daucosterol( 5), and beta
sitosterol (6). CONCLUSION: Compound 1 is a new compound, and coumpound 3 was
obtained from this plant for the first time.
PMID- 22097331
TI - [Chemical constituents from Bufonis periostracum and their antitumor activity in
vitro].
AB - Eight compounds were isolated from Bufonis periostracum by repeated column
chromatography on silica gel, ODS and Sephadex LH-20 and their structures were
characterized as palmitatic acid cholesteryl ester (1), cholesterol (2), 5alpha,
8alpha-epidioxycholesta-6-en-3beta-ol (3), cholest-5-en-3beta, 7beta-diol (4),
cholest-7-en-3beta, 5alpha, 6beta-triol (5), 3-octaddecyloxy-1, 2-propanediol
(6), isisamide (7) and bufothionine (8) on the base of spectral analysis.
Compounds 1-8 were isolated from Bufonis periostracum for the first time and
compounds 3, 5, 6, 7 were obtained from Bufo bufo gargarizans and Bufo genus for
the first time. The bioassays showed all tested samples displayed no antitumor
activity against the cell lines such as A549, BeL 7402, HGC-27 and HL-60, except
the control compound bufalin.
PMID- 22097332
TI - [Isoprenoids and phenylpropanoids from Saussurea deltoidea].
AB - To investigate the chemical constituents of Saussurea deltoidea, 10 compounds
were isolated from the title plant by various chromatography methods such as
silica gel, RP-18 silica gel, Sephadex LH-20 column chromatography, HPLC, et al.
Their structures were elucidated by spectral analysis. Five isoprenoids and Five
phenylpropanoids were isolated and elucidated as (3R, 6R, 7E) -3-hydroxy-4, 7
megastigmadien-9-one (1), (3S, 5R, 6S, 7E) -5, 6-epoxy-3-hydroxy-7-megastigmen-9
one (2), 3-hydroxy-beta-damascone (3), S-(+) -dehydrovomifoliol (4), megastigman
5-ene-3beta, 9R-diol (5), coniferaldehyde (6), beta-hydroxypropiovanillone (7), 3
hydroxy-1-(4-hydroxy-3, 5-dimethoxyphenyl) -1-propanone (8), dihydrosyringenin
(9), 4-[(1S) -3-hydroxy-1-methoxypropyl]-2, 6-dimenthoxyphenol (10). All the
compounds were isolated from S. deltoidea for the first time.
PMID- 22097333
TI - [Chemical constituents of Swertia hispidicalyx].
AB - OBJECTIVE: To study the chemical constituents of Swertia hispidicalyx. METHOD:
The EtOAc part of S. hispidicalyx was chromatographied by various column
chromatography methods, and the isolates were identified based on spectroscopic
analyses (MS, 1H-and 13C-NMR). RESULT: Eleven compounds were isolated from S.
hispidicalyx and characterized as 1,3,5,8-tetrahydroxyxanthone (1), 1,5,8
trihydroxy-3-methoxyxanthone (2), gentiolactone (3), swertiamarin (4), 3,4
dihydro-1H,6H,8H-naphtho [1, 2-c:4, 5-c', d'] dipyrano-1,8-dione (5), (+)
syringaresinol (6), trans-coniferyl aldehyde (7), maslinic acid (8), oleanolic
acid (9), daucosterol (10), and -sitosterol (11). CONCLUSION: Compounds 1-11 were
obtained from S. hispidicalyx for the first time.
PMID- 22097334
TI - [Simultaneous determination of six flavonoids in Hyperici Japonici Herba by HPCE
DAD].
AB - OBJECTIVE: To establish a high performance capillary electrophoresis method with
diode array detection (HPCE-DAD) for simultaneous determination of rutin,
isoquercitrin, hyperoside, quercitrin, kaempferol and quercetin in Hyperici
Japonici Herba. METHOD: Based on the mode of capillary zone electrophoresis, 40
mmol x L(-1) borax was used as buffer solution (pH 8.62), uncoated fused silica
capillary (56 cm x 64.5 cm x 75 microm) was used, separation voltage was 25 kV,
detection wavelength was at 206 nm, column temperature was maintained at 25
degrees C, and sample was injected at 50 mbar, 8 s. RESULT: Six flavonoids showed
good linearity (r > 0.9953) in the range of the tested concentration, the average
recoveries of the method were between 98.8%-102.9%. CONCLUSION: The method is
simple, accurate and reproducible, and can be used for quality control of
Hyperici Japonici Herba.
PMID- 22097335
TI - [Simultaneous determination of 4 nortriterpenoids in Schisandra chinensis extract
by HPLC].
AB - OBJECTIVE: To determine 4 nortriterpenoids (de-hydroxy arisanlactone D, 25
hydroxy schindilactone, schindilachone A, lancifodilactone D) in Schisandra
chinensis extract by HPLC. METHOD: The analysis was performed on a waters
symmetry column (4.6 mm x 250 mm, 5 microm) with the mobile phase of acetonitrile
water (33:67) at a flow rate of 1 ml x min(-1). The column temperature was set at
37 degrees C, and the detector wavelength was 264 nm. RESULT: The linear ranges
of de-hydroxy arisanlactone D, 25-hydroxy schindilactone, schindilachone A, and
lancifodilactone D are 0.075-1.800, 0.098-0.980; 0.095-0.950, and 0.053-0.530
microg, respectively, and the average recoveries were 98.57%, 96.44%, 97.96%, and
97.27%, respectively. CONCLUSION: The four nortriterpenoids were well separated
by this method, and it could be used to determine the four nortriterpenoids in
Schisandra chinensis extract.
PMID- 22097336
TI - [Study on constituents of essential oil from Lonicera fulvotomentosa in different
collected periods].
AB - OBJECTIVE: To extract and identify the chemical constituents of essential oil
from Lonicera fulvotomentosa in different collected periods (bud, Silver-flower
and Golden-flower periods). METHOD: Extracts in three different collected periods
were subjected to GC-MS analysis for determination of their chemical
constituents. RESULT: The 29, 34 and 28 kinds of chemical constituents
corresponding to the above three periods were found, and 44 kinds of compounds
were identified. The relative content of every chemical constituents in each
essential oil was obtained by area normalization method. CONCLUSION: The O-tolyl
isocyanide was detected from essential oil of Lonicera for the first time. The
result indicated that the highest relative content in essential oil in the three
periods is alcohol substance and the second is ester and aldehyde. Many common
constituents in the essential oil from L. fulvotomentosa, including linalool,
hyacinthin, O-tolyl isocyanide, geraniol, methyl anthranilate, and so on, all
could be detected in the three periods. However, the differences of their
relative content are obvious.
PMID- 22097337
TI - [Determination of atranol, lecanorin, ethyl orsellinate and methyl orsellinate in
Usnea diffracta by RP-HPLC].
AB - OBJECTIVE: To develop a RP-HPLC method for determining the contents of atranol,
lecanorin, ethyl orsellinate and methyl orsellinate in Usnea diffracta. METHOD: A
Kromasil-C18 column (4.6 mm x 250 mm, 5 microm) was used at 25 degrees C with the
mobile phases of acetonitrile -1% acetic acid in a gradient manner. The flow rate
was set at 1.0 mL x min(-1). The detection wavelength was 280 nm. RESULT: The
correlation coefficients of atranol, lecanorin, ethyl orsellinate, and methyl
orsellinate were higher than 0.999. Recoveries were from 102.9% to 95.30%; with
RSD from 2.3% to 1.9%. CONCLUSION: The method is quick, simple and repeatable for
simultaneous determination of atranol, lecanorin, ethyl orsellinate and methyl
orsellinate in U. diffracta.
PMID- 22097338
TI - [Ocular pharmacokinetics of puerarin in anesthetic rabbits by microdialysis].
AB - OBJECTIVE: To establish the model of microdialysis, and study the ocular
pharmacokinetics of puerarin in anesthetic rabbits. METHOD: Implanted the probe
into anterior chamber of anesthetic rabbit by surgery. After balanced for 2 h, 1%
puerarin eye drop (100 microL) was applied into the cul-de-sac with micropipette.
Immediately the dialysate was collected at different time and detected by HPLC
with the detection wavelength of 249 nm. The mobile phase was methanol and 0.1%
citric acid solution (30:70); the flow rate was 1.0 mL x min(-1). RESULT: After
the administration, puerarin can be absorbed into aqueous humor quickly. The peak
concentration of puerarin appeared at about 1 h and then reduced gradually. The
peak concentration(C(max)) is (2.52 +/- 0.31) mg x L(-1). The other lower peak
was shown at 3.5 h during the eliminate phase. This might be attributed to the
inhibition of aqueous humor production by the puerarin and resulted in a high
drug concentration. The area under concentration-time curve (AUC(0-t)) is (5.04
+/- 0.21) mg x h x L(-1) and the eliminate half life (t1/2) is (0.38 +/- 0.13) h.
CONCLUSION: The microdialysis technique can be used to detect the ocular
pharmacokinetics of puerarin, and support the valuable pharmacokinetics parameter
for the clinical applications of puerarin eye drop.
PMID- 22097339
TI - [Comparative study on effect of icariin and genistein on proliferation and
mineralization of osteoblasts in vitro].
AB - OBJECTIVE: To investigated the effect of icariin and genistein on proliferation
and mineralization of cultured rat osteoblasts (rat calvarial osteoblasts, ROB).
And to contrast the pharmacological activity of icariin and genistein. METHOD:
Bone cells were obtained by enzyme digestion from the segregated neonatal SD rat
skull, and were cultured in MEM containing 10% FBS which was changed after three
days later. Serial subcultivation was proceeded when cells covered with 90%
culture dish. The final action concentration of icariin and genistein were both 1
x 10(-5) mol x L(-1). Proliferation was analyzed by MTT on 96-well plates, while
differentiation was analyzed on 24-well plates. Under the induced condition, the
alkaline phosphatase activity, calcium salt sediment yield and osteocalcin were
measured at the 3, 6, 9, 12 d. At 12th day, ALP staining, alizarin red staining
and calcified nodule count were preceded. Total RNA was isolated at 0, 6, 12, 24,
48, 72 h. The gene expression of bFGF, IGF-1, Osterix and Runx-2 was analyzed by
Real-time RT-PCR. RESULT: With the concentration of 1 x 10(-5) mol x L(-1),
icariin and genistein have no significant effect on the ROB' s proliferation. The
osteogenesis, ALP activity, calcium salt sediment yield and osteocalcin,
calcified tubercle amount were significantly increased. And they enhanced the
mRNA level of bFGF, IGF-1, Osterix and Runx-2. On the level of osteoblasts, the
activity of icariin is stronger than that of genistein. CONCLUSION: When the
final concentration of icariin and genistein is 1 x 10(-5) mol x L(-1), they can
significantly promoted ROB maturation. And on the level of osteoblasts, the
activity of icariin is stronger than that of genistein.
PMID- 22097340
TI - [Studies on pharmacokinetics of hydroxysafflor yellow A in Carthamus tinctorius
and its compound preparation in rat].
AB - OBJECTIVE: To develop a RP-HPLC method for the determination of the concentration
of hydroxysafflor yellow A in rat plasma, to study the pharmacokinetics of
Carthamus tinctorius extration and Naodesheng tablet, and to investigate the
effect of other components on the pharmacokinetics of hydroxysafflor yellow A.
METHOD: The rats were orally treated with Carthamus tinctorius extration and
Naodesheng capsule respectively. Blood samples were collected in heparinized
eppendorf tube via the oculi chorioideae vein. Plasma was separated by
centrifugation at 10 000 r x min(-1) for 10 min, and two-times methanol in volume
was added to deposit proteins. After centrifugation, the upper liquid was
transferred to filter. The concentration of hydroxysafflor yellow A in serum was
determined by RP-HPLC. The stationary phase was C18, and methanol-acetonitrile
0.7% orthophosphoric acid (26: 2:72) was taken as the mobile phase, A UV detector
was used at 403 nm. The pharmacokinetic parameters were calculated with 3p97
program. RESULT: A good linear relationship of hydroxysafflor yellow A was
obtained in the range of 0.03 and 2.56 mg x L(-1), the lowest limit of
determination was 10 microg x L(-1), and the lowest limit of quantitation was 30
microg x L(-1). The mean recoveries were (99.3 +/- 1.4)%, (92.8 +/- 1.8)%, (98.4
+/- 2.0)% for high, middle, low concentrations of the samples respectively. The
plasma concentration-time curves of hydroxysafflor yellow A were fitted with two
compartments model. The AUC)0-t), AUC(0-infinity), C(max) and T(max) of
hydroxysafflor yellow A were increased in the Naodesheng group, compared with 50
mg x kg(-1) C. tinctorius extract group. CONCLUSION: The HPLC method was
selective, accurate and sensitive. The results indicated that the other herbs
improved the absorption of hydroxysafflor yellow A and increased the
bioavailability of hydroxysafflor yellow A significantly.
PMID- 22097342
TI - [Puerarin induced apoptosis of pulmonary artery smooth muscle cell by
mitochondrial pathway].
AB - OBJECTIVE: To investigate the contribution of mitochondrial pathway in the
apoptosis induced by puerarin (PUE) in pulmonary artery smooth muscle cells.
METHOD: Cultured rat pulmonary artery smooth muscle cells (PASMC) were intervened
by high, middle and low dose of puerarin (1.5 x 10(-3), 1.5 x 10(-4), 1.5 x 10(
5) mol x L(-1)). The change of mitochondrial membrane potential was observed.
Western blot detected the expression of apoptosis-related gene Caspase-9, Bax and
Bcl-2 protein. RESULT: Compared with the control group, mitochondrial membrane
potential significantly decreased in puerarin groups. Puerarin can enhance the
expression of Caspase-9 and Bax protein, decrease the expression of Bcl-2
protein. Puerarin also has a concentration-dependent on the induction of PASMC.
CONCLUSION: Puerarin can induce PASMC apoptosis through mitochondrial pathway.
PMID- 22097341
TI - [Effect of epimedii folium processed with different refining temperatures and
amounts of sheep's oil on kidney-yang deficiency rats].
AB - OBJECTIVE: To investigate the effects of refining temperatures and amounts of
sheep's oil used in processing Epimedii Folium on Kedney-yang deficiency rats.
METHOD: The corticosterone was subcutaneous injected to establish the kidney yang
deficiency rat model. With the temperatures and amounts of sheep's oil were 250
degrees C/30%, 120 degrees C/30% and 120 degrees C/20% respectively, the crude
drug and three kinds of pressed Epimedii Folium were extracted by water and used
as examined samples while total flavonoid of Epimedii Folium was used as positive
control. After examined samples and control samples were intragastirc
administrated, the pharmacologic action was analyzed. RESULT: As compared to
crude drug, all of the aqueous extracts of processed Epimedii Folium have
stronger effect of warming kidney and enhancing yang, especially the Epimedii
Folium processed by sheep's oil with refining temperatures 120 degrees C and
amounts of sheep's oil 30%. Its mechanism might be related to improving the
insufficiency of hypothalamic-pituitary-adrenal-thymus (HPAT) axis suppression.
CONCLUSION: The refining temperature of sheep's oil can affect the quality of
excipients and processed drugs. The results may be useful in explaining the
mechanism of Epimedii Folium processing and establishment of pharmaceutical
standard of sheep's oil used as processing excipients.
PMID- 22097343
TI - [In vivo and in vitro effect of peptide HP-6 derived from donkey serum albumin on
hematopoietic system].
AB - OBJECTIVE: By bioinformatics method, the effect in hematopoietic system of
bioactive peptide HP-6, which was obtained from donkey serum albumin and is one
of the major protein components from donkey-hide gelatin, was investigated.
METHOD: Human bone marrow nucleated cells (hBMNCs) and murine bone marrow stromal
cells (mBMSCs) were separated and cultured with different concentration of
peptide HP-6 (0.000 15, 0.001 5, 0.015, 0.15, 1.5 micromol x L(-1)). The effect
on promoting proliferation of cells related to hematopoiesis in bone morrow was
detected and the ultrastructure of cells after treated by HP-6 was observed
through transmission electron microscope. Hemorrhage anemia mouse model and
anemia mouse model induced by cyclophosphamide were established, and randomly
divided into peptide HP-6 groups which were administered respectively with
different doses (1, 0.1, 0.01 mg x kg(-1)) by gavage, and control group which was
administered with PBS by gavage. Peripheral blood components of all mice and bone
morrow cells (BMC) number of mice induced by cyclophosphamide were evaluated.
RESULT: Peptide HP-6 could concentration-related promote the proliferation of
hBMNCs and mBMSCs, hBMNCs got the highest reproduction rate of 152.11% and mBMSCs
also got 63.52% with the concentration of 0.15 micromol x L(-1), then the
reproduction rate decreased while the concentration kept increasing. The
transmission electron microscope showed that ultrastructure of cells was normal
after treated by HP-6.1 mg x kg(-1) peptide HP-6 significantly increased
peripheral platelet and protected mouse morrow injured by cyclophoshamide. 0.1 mg
x kg(-1) peptide HP-6 significantly increased peripheral platelet with relative
growth rate of 77.65%, increased peripheral white blood cells count and
peripheral red blood cells count, also could protect mouse peripheral blood after
treated by chemotherapeutics. CONCLUSION: Peptide HP-6 could promote the
proliferation of cells related to hematopoietic system, enhance mouse hemopoiesis
function and the resistance to chemotherapeutic injury.
PMID- 22097344
TI - [Effectiveness of cultured Cordyceps sinensis combined with glucocorticosteroid
on pulmonary fibrosis induced by bleomycin in rats].
AB - OBJECTIVE: To study the treatment effects of cultured Cordyceps sinensis combined
with glucocorticosteroid on experimental pulmonary fibrosis in rats induced by
bleomycin. METHOD: Fifty rats were randomly divided into five groups, including
control group, model group, cultured C. sinensis groups, prednisone group,
cultured C. sinensis combined with prednisone group. On experimental day 0, the
rats were respectively intratracheally instilled with bleomycin, and rats in the
control group and model group with the same volume of normal saline. One day
after the injection, cultured C. sinensis and glucocorticosteroid was
respectively given to rats daily by gastric gavage, while the same volume of
normal saline was given to those in the control group and model group. On 28th d,
bronchoalveolar lavage fluid (BALF) and lung tissue were collected. Histological
changes of the lungs were evaluated by HE stain, Masson's trichrome stain.
Collagen content of the lung tissue was assessed by hydroxyprolin concentration.
Lung expression of CTGF protein was assessed by immunohistochemistry. The level
of TGF-beta1 protein was measured by ELISA. RESULT: Compared to model group,
pulmonary fibrosis were alleviated in cultured C. sinensis and prednisone group,
and CTGF expression, Hydroxyproline concentrations and protein TGF-beta1 were
decreased. The combination effect of C. sinensis and prednisone group is
augmented compared with using C. sinensis or prednisone group alone. CONCLUSION:
The cultured C. sinensis and prednisone alleviates pulmonary fibrosis, and the
combination use of both drugs has synergia effects in anti-fibrous degeneration.
PMID- 22097345
TI - [Effects of different compatibility proportion of jiaotai pills on treating type
2 diabetes mellitus in rats].
AB - OBJECTIVE: To study the effects of different compatibility proportion of Jiaotai
pills on treating type 2 diabetes mellitus (T2DM) in rats. METHOD: The model of
type 2 diabetes mellitus in rats was established by injecting streptozotocin from
tail vein and feeding with high fat and high caloric diet. Diabetic rats were
randomly divided into model group, Jiaotai pill 1 group (Coptidis Rhizoma
cinnamon 2: 1), Jiaotai pill 2 group (Coptidis Rhizoma-cinnamon 4: 1), Jiaotai
pill 3 group (Coptidis Rhizoma-cinnamon 10: 1) and metformin group. Rats in
different treatment groups were given by corresponding therapy from gastric tube.
Meanwhile normal control group was another set. Body weight, oral glucose
tolerance test (OGTT), blood lipid level including total cholesterol (TC),
triglyceride (TG), high density lipoprotein-cholesterol (HDL-C) and low density
lipoprotein-cholesterol (LDL-C), plasma levels of free fatty acid (FFA) and
adiponectin, plasma liver enzymes activity(ALT, AST, AKP, gamma-GT) and
pathological results of liver tissue were determined after eight weeks. RESULT:
Body weight, fasting plasma glucose (FPG), postpradial plasma glucose at one hour
(PG-1 h), postpradial blood glucose at two hour (PG-2 h), plasma levels of TC,
TG, LDL-C, FFA and liver enzymes activity were all increased in rats of model
group compared with those in normal control group. Plasma levels of HDL-C and
adiponectin were decreased in model group (P < 0.01). Fatty degeneration of
hepatocytes was apparent in liver tissues in rats of model group. Compared with
model group results of OGTT, blood lipid levels and liver enzymes activity were
improved while levels of HDL-C and adiponectin were increased in rats of
different treatment groups (P < 0.05 or P < 0.01). Meanwhile fatty degeneration
of hepatocytes was improved in liver tissues in rats of different treatment
groups. Compared with metformin group, plasma level of HDL-C was elevated while
AKP and gamma-GT were decreased significantly in rats of Jiaotai pill 1 group (P
< 0.05), gamma-GT level was decreased significantly in rats of Jiaotai pill 2
group (P < 0.05), AST, AKP and gamma-GT levels were decreased significantly in
rats of Jiaotai pill 3 group (P < 0.05). Compared with Jiaotai Pill 1 group,
plasma levels of HDL-C was decreased while AKP levels was elevated significantly
in rats of Jiaotai pill 2 group, but HDL-C was decreased in rats of Jiaotai pill
3 group (P < 0.05). CONCLUSION: It is suggested that different compatibility
proportion of Jiaotai pills are effective on treating type 2 diabetes mellitus in
rats. The effect of Jiaotai pill 1 group is better than that of other therapy
groups.
PMID- 22097346
TI - [Clinical study on intervention of spleen-restoring decoction integrating with
dormancy hygiene education on subhealthy insomnia of deficiency of both heart and
spleen pattern].
AB - OBJECTIVE: To appraise the clinical efficacy, safety and compliance of the
intervention of spleen-restoring decoction combined with dormancy hygiene
education and the intervention of spleen-restoring decoction alone on sub-healthy
insomnia of deficiency of both the heart and spleen pattern. METHOD: Study design
took multi-centers, blind and randomized control trial, 107 participants with sub
healthy insomnia of deficiency of both the heart and spleen pattern were assigned
to A group (52 cases) which was treated with the intervention of spleen-restoring
decoction combined with dormancy hygiene education and B group (55 cases) which
was treated with the intervention of spleen-restoring decoction single, compared
by efficacy, PSQI score, CGI score, WHOQOL-BREF score and security. RESULT: The
efficacy of two group was 79.58%. There was no significant different between
them. The PSQI scores before treatment was (11.80 +/- 2.08) and which afer
treatment was (6.78 +/- 2.84) of A group. The PSQI scores before treatment was
(11.61 +/- 2.00) and which afer treatment was (6.73 +/- 2.27) of B group. There
was significant difference in PSQI scores both A group and B group after
treatment (P < 0.01); the results of CGI score and WHOQOL-BREF score before and
after measurement showed the same as PSQI. There were no significant difference
between two groups in all scores after treatment and there was no interaction
between time pots and treatment factors . Withdrawal reaction analysis: comparing
CGI after withdraw 2 weeks and at the end of treatment course, there was no
significant difference between two groups. The same result was in comparison
among groups. CONCLUSION: Both the intervention of spleen-restoring decoction
integrating with dormancy hygiene education and spleen-restoring decoction had
obvious clinical efficacy on treating subhealthy insomnia of deficiency of both
the heart and spleen pattern, and had high compliance and safety. The
intervention of spleen-restoring decoction integrating with dormancy hygiene
education showed no better clinical efficacy than spleen-restoring decoction did.
PMID- 22097347
TI - [Effects of Ginkgo biloba extract on anticoagulation and blood drug level of
warfarin in healthy wolunteers].
AB - OBJECTIVE: To study the effects of Ginkgo biloba extract (GBE) on the
pharmacokinetics and pharmacodynamics of warfarin and observe the anticoagulant
activity of GBE. METHOD: A randomized, double-blinded, placebo-controlled, two
way cross-over trial was conducted. Twelve healthy volunteers (sex ratio was 1:
1) were randomized into two groups and received GBE (three pill, tid) or placebo
(three pill, tid) for 5 weeks respectively. the subjects received a single dose
of warfarin (5 mg) on the day 29. Blood samples for pharmacokinetics and
pharmacodynamics assessment were collectd. RESULT: Compared with placebo, BE had
no significant pharmacodynamics effects on warfarin and had no effects on
prothrombin time (PT) and activated partial thromboplastin time (APTT). GBE
extract increased C(max), AUC(0-144 min), AUC(0-infinity), t1/2, of warfarin
significantly and decreased CL(F) of warfarin significantly (P < 0.05), and there
were no singnificant difference of V(d) (F). CONCLUSION: GBE has limited effects
on the pharmacokinetics but no effects on the pharmacodynamics of single dose
warfain in health subjects. GBE has no effects on clotting process alone.
PMID- 22097348
TI - [Effects of sanjin tablets on T lymphocyte subsets of peripheral blood of women
with recurrent urinary tract infection].
AB - OBJECTIVE: To observe the impact of Sanjin tablet on the T lymphocyte subsets of
the peripheral blood of female patients with recurrent urinary tract infection,
to reveal the immune pharmacological mechanism of its prevention and treatment of
the disease. METHOD: A randomized, controlled and open trial was adopted. The 68
patients were divided into treatment group (30 cases) and control group (28
cases). Two groups were both treated with conventional sensitive antibiotics, the
treatment group took Sanjin tablet in addition. After bacteria in the urine were
negative, the treatment group took maintenance therapy with Sanjin tablet for 3
months, the control group took appropriate low-dose antibiotics maintenance
treatment for 3 months. The peripheral blood T lymphocyte levels before and after
treatment, time from the initial treatment to the bacterial culture of urine was
negative of the two groups, and the recurrence rate of urinary tract infection in
3 months after discontinuation of the two groups were observed. RESULT: After
treatment, the CD3+, CD4+ T cells and CD4+/CD8+ in peripheral blood of the
treatment group were enhanced compared with untreated and the control group (P <
0.05). Time from the initial treatment to the bacterial was negative and the
recurrence rate of the treatment group were significantly better than the control
group (P < 0.01). CONCLUSION: Effective treatment with Sanjin tablet to the
recurrent urinary tract infection of female patients will probablely through the
mechanism of improving the cell-mediated immunity levels to achieve the effect of
shortening the course of treatment and to reducing the recurrence rate.
PMID- 22097349
TI - [Overview in establishing animal models against ischemic cerebrocardiac disease
and thinking about efficacy evaluation of traditional Chinese medicine].
AB - In order to explore the pathogenesis of ischemic cerebrovascular disease, drug
screening, efficacy evaluation and treatment plan optimization, many kinds of
animal models against ischemic cerrebrocardiac were established with operation
successively in recent years. According to the characteristic of traditional
Chinese medicine, it's a question to choose the appropriate animal model for drug
screening and efficacy evaluation, and to combine the cerebral ischemia of animal
models with clinical disease research closely. The stability and reliability of
animal models and the efficacy of drugs in clinical should be considered in order
to provide effective drug screening evaluation research tools.
PMID- 22097350
TI - [Discussion on forming pattern of dao-di herbs Ligusticum chuanxiong].
AB - As a famous-region Dao-di Herbs, Ligusticum chuanxiong which mainly grows in the
west of the upper reaches of Jinma River in Dujiangyan for a long time. In recent
years, the history, species and origin of L. chuanxiong were researched by many
scholars. However, the forming pattern of Dao-di herbs of L. chuanxiong has not
been reported systematically. Basing on the general principles of the formation
of Dao-di herbs, it can be concluded that the forming pattern of L. chuanxiong is
the type of two determinants, which are combined both unique ecological
environment of genuine regions and advanced cultivation techniques.
PMID- 22097351
TI - [Herbal textual research on origin and development of chuanxiong].
AB - OBJECTIVE: To clarify the origin and development of Xiongqiong with medicinal
literatures. METHOD: Medical literatures of past dynasties were analysed combined
with the modern material. RESULT: There were two main origins of Xiongqiong in
ancient times: eastern origin and western origin. It evolved into Chuanxiong and
Xixiong because of cultivating in the western origin after Tang dynasty. The
earliest original plant of Xiongqiong was Ligusticum sinense. Actually, Xixiong
was the cultivation product of L. sinense. And L. chuanxiong was likely to be a
gardening breed due to long-term cultivation of L. sinense. CONCLUSION: Xixiong
is used as Xiongqiong in ancient times, but now it is used as Ligustici Rhizoma
et Radix. Therefore, we need to find out the relationship between L. chuanxiong
and L. sinense through modern research, and determine which Chinese herb is L.
sinense classifies.
PMID- 22097352
TI - [Effects of elevated CO2 concentration and nitrogen deposition on the biomass
accumulation and allocation in south subtropical main native tree species and
their mixed communities].
AB - A 5-year experiment was conducted to study the effects of simulated elevated CO2
concentration, nitrogen deposition, and their combination on the biomass
accumulation and allocation in five south subtropical native tree species Schima
superba, Ormosia pinnata, Acmena acuminatissima, Syzygium hancei, and Castanopsis
hystrix and their mixed communities. The test tree species had different
responses in their biomass accumulation and allocation to the elevated CO2
concentration and nitrogen deposition. Elevated CO2 concentration and nitrogen
deposition increased the biomass of legume species by 49.3% and 71.0%,
respectively, and promoted the biomass accumulation in sun species. Nitrogen
deposition increased the biomass of shade-preference species significantly, but
elevated CO2 concentration was in adverse. Elevated CO2 concentration inhibited
the biomass allocation in the belowground part of sun species but promoted the
biomass allocation in the belowground part of shade-preference species. Elevated
CO2 concentration, nitrogen deposition, and their interaction all promoted the
biomass accumulation in mixed communities. Elevated CO2 concentration increased
the biomass accumulation in the belowground part of the communities, while
nitrogen deposition increased the biomass accumulation in the aboveground part.
Under the background of global climate change, Ormosia pinnata and Castanopsis
hystrix tended to be the appropriate species for carbon fixation in south
subtropical area.
PMID- 22097353
TI - [Effects of aboveground and belowground competition between grass and tree on elm
seedlings growth in Horqin Sandy Land].
AB - Elm sparse woodland steppe plays an important role in vegetation restoration and
landscape protection in Horqin Sandy Land. In this paper, a two-factor and two
level field experiment was conducted to explore the effects of aboveground and
belowground competition between grass and tree on the growth of elm seedlings in
the Sandy Land. Five aspects were considered, i.e., seedling biomass, belowground
biomass/aboveground biomass, stem height, ratio of root to stem, and leaf number.
For the one-year-old elm seedlings, their biomass showed a trend of no
competition > aboveground competition > full competition > belowground
competition, belowground biomass / aboveground biomass showed a trend of
belowground competition > full competition > no competition > aboveground
competition, stem height showed a trend of aboveground competition > no
competition > full competition > belowground competition, root/stem ratio showed
a trend of belowground competition > full competition > no competition >
aboveground competition, and leaf number showed a trend of aboveground
competition > no competition > belowground competition > full competition.
Belowground competition had significant effects on the growth of one-year-old elm
seedlings, while aboveground competition did not have. Neither belowground
competition nor aboveground competition had significant effects on the growth of
two-year-old elm seedlings. It was suggested that in Horqin Sandy Land, grass
affected the growth of elm seedlings mainly via below-ground competition, but the
belowground competition didn' t affect the resource allocation of elm seedlings.
With the age increase of elm seedlings, the effects of grass competition on the
growth of elm seedlings became weaker.
PMID- 22097354
TI - [Spatial heterogeneity of plant community species diversity in Ejina Oasis at the
lower reaches of Heihe River].
AB - By the method of geostatistics, this paper studied the spatial heterogeneity of
plant community species diversity in Ejina Oasis at the lower reaches of Heihe
River. In the study area, the Margalef richness index (Ma), Simpson diversity
index (Ds), Shannon diversity index (H') and Pielou evenness index (J') were in
normal distribution, and the coefficient of variation (CV) ranged from 55.8% to
67.8%, suggesting a moderate variability. The Ma and H' were accorded with
exponential models, and the Ds and J' were accorded with spherical models. The H'
had the highest extent of spatial variation, followed by Ma, J' and Ds. Within
the variable range, the structural factors in the spatial variation of Ma, Ds,
H', and J' were dominant, ranging from 81.1% to 93.0%. The indices changed
obviously parallel to the river, with significantly high values near Dalaihubu
Town (42 degrees N, 101 degrees E), and obviously low values within the strips
100 degrees-101 degrees E and 102 degrees-102 degrees 30' E, mainly including
east and west Gobi and the hinterland of Badain Jaran Desert.
PMID- 22097355
TI - [Effects of simulated acid rain on water physiological characteristics of Myrica
rubra seedlings].
AB - Taking the seedlings of typical subtropical economic tree species Myrica rubra in
Zhejiang Province as test materials, a pot experiment was conducted to study
their water physiological characteristics under effects of simulated acid rain
(pH 2.5 and pH 4.0), with water (pH 5.6) as the control. Season, year, and acid
rain all had significant effects on the photosynthetic rate (Pn). Among the
treatments, the Pn had a greater difference in summer than in spring and autumn,
and was higher in treatment acid rain (pH 4.0). Season, year, acid rain, and the
interactions of season and year and of the three factors had significant effects
on the stomata conductance (Gs), and also, the Gs had a greater difference among
the treatments in summer than in spring and autumn. Acid rain had inhibitory
effect on Gs. Season, year, acid rain, and the interactions of season and year
and of season and acid rain affected the transpiration rate (Tr) significantly.
Same as Pn and Gs, the Tr had a greater difference among the treatments in summer
than in spring and autumn. Acid rain (pH 2.5) had the strongest inhibitory effect
on Tr. Acid rain and the interactions of season and year and of season and acid
rain had significant effects on the water use efficiency (WUE), and acid rain (pH
2.5) had definitely positive effect on the WUE.
PMID- 22097356
TI - [Characteristics of stemflow for typical alpine shrubs in Qilian Mountain].
AB - Taking the typical alpine shrubs Potentilla fruticosa, Salix cupularis, Hippophae
rhamnoides, and Caragana jubata in Qilian Mountain as test objects, a field
investigation from June 1 to October 31, 2010 was conducted on the variation
characteristics of the shrub stemflow, and analyzed the affecting effects of
rainfall intensity and canopy structure morphology. The stemflow generated when
the rainfall in early period was 2.1 mm, with an average of 3.4%, 3.2%, 8.0%, and
4.2% of the gross rainfall for P. fruticosa, S. cupularis, H. rhamnoides, and C.
jubata, respectively. There was a significant positive linear correlation between
the stemflow and rainfall intensity. With increasing rainfall, the stemflow
percentage showed a trend of increase-decrease-increase. Stemflow played an
important role in supplying water to the shrub rhizosphere, and the average
funneling ratio was 59, 30, 110, and 49 for P. fruticosa, S. cupularis, H.
rhamnoides, and C. jubata, respectively. The stemflow percentage had a
significant exponential relationship with the maximum rain intensity in 10
minutes (I10). When the I10 was more than 6.0 mm x h(-1), the stemflow of H.
rhamnoides and C. jubata showed a persistently increasing trend, while that of P.
fruticosa and S. cupularis tended to be stable. Canopy structure morphology had
complicated effects on the stemflow. In the same rainfall intensities, the height
and crown projection area of the shrubs were the important factors affecting the
generation of stemflow.
PMID- 22097357
TI - [Age structures of Spodiopogon sibiricus populations on weedy meadow and elm
woodland in Songnen Plain, northeast China].
AB - In 2003 and 2006, investigations were made on the age structures of Spodiopogon
sibiricus populations as well as the matter productivities and vegetative
reproduction capacities of different age-class modules of the populations on the
weedy meadow and elm woodland in Songnen Plain of northeast China. At the two
habitats, the tillers of S. sibiricus all consisted of 2-3 age classes, and the
rhizomes all composed of 4 age classes. Both the tillers and the rhizomes had the
greatest proportion of 1- or 2-year-old, and the age structures were of expansive
or stable. The 1- or 2-year-old tiller-node buds were dominant, and the rhizome
topmost buds occupied 29.4%-45.0%, being of significance to the population
regeneration in the next year. The 1- or 2-year-old modules of the tillers and
rhizomes had the highest matter productivity and vegetative reproduction
capacity, and the rhizomes had higher vegetative reproduction capacity than the
tiller-nodes.
PMID- 22097358
TI - [Effects of exogenous lead on the growth and lead accumulation characteristics of
roadside dominant herbaceous plants in Shanxi Province].
AB - A greenhouse pot experiment was conducted to study the effects of different
concentration (0, 500, 1000, 1500 mg x kg(-1)) lead (Pb) on the growth and Pb
absorption and accumulation of 14 roadside dominant herbaceous plants in Shanxi
Province. With increasing Pb concentration in the pot, Amaranthus retroflexus and
Sorghum bicolor x S. sudanese appeared obviously toxic symptoms, while the other
12 test plants had higher Pb tolerance, with no significant decrease in their
plant height and biomass, compared with control. Chenopodium album and
Psathyrostachys juncea had the lowest Pb content (averaged 12.70 and 11.33 mg Pb
x kg(-1), respectively) in their aboveground part and the lowest Pb ratio (0.12
and 0.10, respectively) of aboveground part / root, being the potential low Pb
accumulation plants and able to be used for the vegetation restoration of Pb
polluted soil. Red leaf A. tricolor and green leaf A. tricolor in treatment 1500
mg Pb x kg(-1) had the highest Pb accumulation (53.37 and 45.29 mg Pb per 100
plants, respectively) in their aboveground parts, being able to be chosen as the
pioneer plants for the remediation of Pb-polluted soil.
PMID- 22097359
TI - [Impacts of salt stress on the growth and physiological characteristics of Rosa
rugosa].
AB - Taking 1-year-old cuttings of a wild type and three cultivars of Rosa rugosa as
test materials, this paper studied their biomass, photosynthesis, osmotic
adjustment substance contents, root activity, and ion contents under the stress
of different concentration NaCl. Salt stress inhibited the growth of the
cuttings, and root was more sensitive than shoot. Under salt stress, wild rose
had significantly higher contents of free proline and soluble sugar than the
cultivars, and the contents of free proline and soluble sugar in cultivar 'Ziyan'
were higher than those in cultivars 'Zhongke 2' and 'Purple Branch'. Compared
with rose cultivars, the wild rose under salt stress had smaller changes in its
photosynthetic characteristics and root activity. It was suggested that wild rose
had a higher resistance to salt stress than the cultivars, and cultivar 'Ziyan'
had a higher resistance than 'Purple Branch' and 'Zhongke 2'. All the test
indices could be used as the indicators of R. rugosa salt-tolerance.
PMID- 22097360
TI - [Soil nutrient status of pure birch and larch plantations based on their
seedlings bioassay].
AB - One-year-old birch (Betula platyphylla) and larch (Larix olgensis) seedlings were
respectively planted in pots with the soils taken from 35-year-old pure birch and
larch plantations, and the seedlings growth, biomass increment, foliar nutrient
content, and soil nutrient status were monitored, aimed to evaluate the fertility
levels of the two soils and the possible interspecific interaction in mixed larch
birch forest. Birch soil had significantly higher contents of total N and
available N than larch soil, while larch soil had significantly higher contents
of total P, available P, and total K than birch soil (P < 0.05). In the first
growth season, the height and collar diameter growth and the biomass accumulation
of birch seedlings growing on birch soil were 69%, 52%, and 65% (P < 0.05) higher
than those growing on larch soil, and the larch seedlings also had 12%, 8%, and
37% gains of the indices, respectively. The foliar N concentration of both larch
and birch seedlings growing on birch soil was higher than that on larch soil,
while the foliar P concentration was higher when the seedlings were growing on
larch soil than on birch soil. The birch soil had higher content of available N
because of the higher litterfall, while the larch soil had greater available P
because of the higher P mobilizing effect. It was predicted that in mixed birch
larch forest, the complementary interaction of soil N and P could benefit the
growth of the two tree species.
PMID- 22097361
TI - [Effects of simulated nitrogen deposition on soil available nitrogen forms and
their contents in typical temperate forest stands].
AB - An indoor experiment was conducted to study the effects of simulated nitrogen
deposition on the soil available N in typical temperate forest stands. During the
experiment period, nitrogen deposition increased the soil NH4+ -N, NO3- -N, and
available N contents, as compared with the control, but the increments differed
with stand types, soil layers, nitrogen treatment types, and treatment duration.
Mixed forest soil had weaker responses in its available N contents to the
nitrogen deposition than broad-leaved forest soil but stronger responses than
artificially pure coniferous forest soil, and soil A horizon was more sensitive
to nitrogen deposition than soil B horizon. Ammonium nitrogen deposition had
larger effects on soil NH4+ -N content, nitrate nitrogen deposition had larger
effects on soil NO3- -N content, while mixed ammonium and nitrate nitrogen
deposition increased the contents of both soil NH4+ -N and soil NO3- -N, and the
increments were higher than those of ammonium nitrogen deposition and nitrate
nitrogen deposition, suggesting the additive effects of the mixed ammonium and
nitrate nitrogen deposition on the forest soil available N.
PMID- 22097362
TI - [Carbon storage, density, and distribution in forest ecosystems in Jilin Province
of northeast China].
AB - By using forest resources inventory data and field investigation data, this paper
studied the carbon storage, density, and distribution characteristics in forest
ecosystems in Jilin Province of Northeast China. The total carbon storage in the
forest ecosystems was 1827.293 Tg C, and the carbon storages in arbor layer,
shrub-grass layer, litter layer, and soil were 439.152 Tg C, 5.195 Tg C, 45.600
Tg C, and 1330.466 Tg C, accounting for 24.1%, 0.3%, 2.5%, and 73.1% of the
total, respectively. The carbon density in the forest ecosystems was 225.304 Mg C
x hm(-2), with 54.352 Mg C x hm(-2) in arbor layer, 0.643 Mg C x hm(-2) in shrub
grass layer, 5.644 Mg C x hm(-2) in litter layer, and 164.666 Mg C x hm(-2) in
soil. Different types of the forest ecosystems had a carbon storage varied from
9.357 Tg C to 959.716 Tg C and a carbon density ranged from 180.648 Mg C * hm(-2)
to 254.627 Mg C x hm(-2), with the highest values in soil and the lowest values
in shrub-grass layer. Overall, the carbon storage and density in the forest
ecosystems were greater in eastern mountainous area than in central and western
plains. In the Province, middle-aged forests had a greater proportion than the
forests in other age classes, and thereby, a proper management of the present
forests could increase the carbon sequestration of the forest ecosystems.
PMID- 22097363
TI - [Changes of China agricultural climate resources under the background of climate
change. VIII. Change characteristics of heat resources during the growth period
of double cropping rice in Jiangxi Province].
AB - Based on the observation data from the meteorological stations and agricultural
experimental stations in Jiangxi Province, this paper studied the change trend of
the growth period of double cropping rice in the province, and, by using the
indices growing degree-days (GDD), cool degree-days (CDD), and heat degree-days
(HDD), the change trends of the heat resources at each growth stage of the double
cropping rice in 1981-2007 were analyzed. Under the background of climate
warming, the mean air temperature, mean minimum air temperature, mean maximum air
temperature during the growth period of the double cropping rice all had an
increasing trend, leading to the shortening of double cropping rice growth
season, with the most obvious decrease of vegetative growth phase and the
prolonged reproductive growth phase. In the vegetative growth phase, the GDD and
HDD increased, while the CDD decreased. In 1981-2007, the effective heat
resources of double cropping rice in Jiangxi Province increased, low temperature
risk reduced, while high temperature risk increased. The increment of the
effective heat resources for double cropping rice was higher in northeast Jiangxi
than in southwest Jiangxi, low temperature risk was higher in south Jiangxi than
that in north Jiangxi, and high temperature risk was the highest in middle
Jiangxi.
PMID- 22097364
TI - [Effects of applying controlled-release fertilizer and its combination with urea
on nitrous oxide emission during rice growth period].
AB - By the method of static chamber, a field experiment was conducted to study the
effects of applying controlled-release fertilizer (CRF) and its combination with
urea on the N2O emission during rice growth period. Four treatments, i.e., no
fertilization (CK), urea (U), urea and CRF with a ratio of 3:7 (U+C), and CRF (C)
were installed, and the N application rate in treatments U, U+C, and C was the
same. Compared with treatment U, treatments U+C and C decreased the N2O emission
during rice growth season by 40.4% and 59.6%, and decreased the emission at
midseason aeration stage by 65.1% and 83.9%, respectively (P < 0.05). Compared
with that in treatment C, the N2O emission in treatment U+C had a slight
decrease, and decreased by 53.9% at midseason aeration stage. Applying CRF
increased rice yield, and the increment in treatments C and U+C was 7.8% and
9.8%, respectively, as compared to treatment U. Applying CRF delayed the peak
time of soil inorganic nitrogen concentration, resulting in the reduction of N2O
emission at midseason aeration stage. During rice growth season, no significant
correlation was observed between N2O flux and soil Eh or soil temperature.
PMID- 22097365
TI - [Effects of nitrogen application and ridge film furrow planting on water use of
winter wheat in dry land of south Shanxi].
AB - A 2-year (2008-2010) field experiment was conducted to study the effects of basal
dressing nitrogen, topdressing nitrogen, and ridge film furrow planting on the 0
2 m soil moisture status and the grain yield and water use efficiency of winter
wheat in rain-fed area of South Shanxi Province. In all treatments, the soil
moisture status during winter wheat growth period had the same change trend,
being increased steadily from pre-sowing to revival stage and decreased sharply
from revival stage to heading stage, and then increased gradually till maturity
stage. From revival stage to heading stage, the soil water consumption was the
most. Increasing nitrogen basal application rate or topdressing nitrogen
increased the soil water consumption, widened the soil moisture active layer, and
deepened the relatively stable layer. Topdressing nitrogen increased grain yield
significantly; ridge film furrow planting decreased soil water consumption
obviously. The water use efficiency under ridge film furrow planting was 23.4%
and 39.1% higher than that under conventional planting system in 2009 and 2010 (P
< 0.01). The grain yield under ridge film furrow planting plus top-dressing
nitrogen was 3643 kg x hm(-2), which was significantly higher than that under
single ridge film furrow planting or topdressing nitrogen, displaying a
preferable water-fertilizer coupling effect.
PMID- 22097366
TI - [Salt tolerance evaluation of cotton (Gossypium hirsutum) at its germinating and
seedling stages and selection of related indices].
AB - A sand culture experiment was conducted to study the salt tolerance of 13 cotton
cultivars at their germinating and seedling stages under the stress of different
concentration NaCl, and a cluster analysis was made on the salt tolerance,
according to the subjection values of salt toxicity coefficients of multi-indices
and the sum subjection value. It was observed that the appropriate concentration
of NaCl for the evaluation of salt tolerance was 150 mmol x L(-1). The salt
tolerance differed with cultivar and growth stage. Among the 13 cultivars, the
CCRI-44 and CCRI-177 were steadily salt-tolerant at both germinating and seedling
stages, the CCRI-103, Dexiamian 1, and NuCOTN 33B were steadily and moderately
salt-tolerant, while the CCRI-102, Sumian 12, and Simian 3 were steadily salt
sensitive. Germination rate, germination potential, germination index, vigor
index, and fresh mass could be served as the indicators to evaluate the salt
tolerance of the cultivars at germinating stage, while plant height, leaf
expansion rate, shoot dry mass, root dry mass, root vigor, and net photosynthetic
rate could be applied to assess the salt tolerance at seedling stage.
PMID- 22097367
TI - [Effects of solar greenhouse vegetable cultivation on soil physical quality].
AB - Taking the solar greenhouse heavy loam soil having been planted vegetables for
different years at Yunyang Town in Jingyang County of Shaanxi Province as test
objects, and with the uncovered vegetable soil adjacent to the greenhouse as the
control, this paper studied the effects of solar greenhouse vegetable cultivation
on soil physical quality. Solar greenhouse vegetable cultivation had greater
effects on the bulk density of 0-30 cm soil layer (an increase in 0-10 cm soil
layer and a decrease in 10-30 cm soil layer), but little effects on that of 30-40
cm soil layer. In 0-40 cm solar greenhouse soil profile, the contents of < 0.01
mm physical clay and < 0.001 mm clay were lower in upper layer than in deeper
layer, indicating their downward movement, and this phenomenon was more obvious
with increasing year of solar greenhouse vegetable cultivation. Within the first
5 years of solar greenhouse vegetable cultivation, soil field water capacity
decreased significantly, with a decrement of 13.8%, but remained relatively
stable after then.
PMID- 22097368
TI - [Effects of sunken depth of energy-saving solar greenhouse on the diurnal
variation and spatial distribution of environmental factors in the greenhouse].
AB - Taking the energy-saving solar greenhouses with the same infrastructure but
different sunken depths (0, 0.5, 1.0, and 1.5 m) in Tai' an of Shandong Province
as test objects, this paper analyzed the intercepted amount of direct solar
radiation energy, and studied the diurnal variation and spatial distribution
patterns of environmental factors in the greenhouses on winter solstice (December
20-24, 2009) and summer solstice (June 19-23, 2010). With the increase of sunken
depth, the shadow areas in the greenhouses caused by sunken profiles increased
gradually, the direct solar radiation energy into the interior of the greenhouses
shifted from south to north, and the ratio of ground radiation to back wall
radiation decreased gradually. Within the range of 0-1.0 m sunken depth, the air
temperature and soil temperature in the greenhouses increased significantly with
increasing sunken depth; but when the sunken depth was 1.5 m, the warming effect
declined significantly, and the deviation of the lowest soil temperature
increased. The deeper the sunken depth, the lower the light intensity and the
higher the relative humidity in the greenhouses were. In considering of both
lighting and heat preservation, the appropriate sunken depth of energy-saving
sunlight greenhouses with a span of 10 m in Tai' an region should be less than
1.0 m.
PMID- 22097369
TI - [Dynamic changes of surface soil organic carbon and light-fraction organic carbon
after mobile dune afforestation with Mongolian pine in Horqin Sandy Land].
AB - This paper studied the dynamic changes of surface (0-15 cm) soil organic carbon
(SOC) and light-fraction organic carbon (LFOC) in 25- and 35-year-old sand-fixing
Mongolian pine (Pinus sylvestris var. mongolica) plantations in Horqin Sandy
Land, with a mobile dune as a comparison site. After the afforestation on mobile
dune, the content of coarse sand in soil decreased, while that of fine sand and
clay-silt increased significantly. The SOC and LFOC contents also increased
significantly, but tended to decrease with increasing soil depth. Afforestation
increased the storages of SOC and LFOC in surface soil, and the increment
increased with plantation age. In the two plantations, the increment of surface
soil LFOC storage was much higher than that of SOC storage, suggesting that
mobile dune afforestation had a larger effect on surface soil LFOC than on SOC.
PMID- 22097370
TI - [Crop-soil nitrogen cycling and soil organic carbon balance in black soil zone of
Jilin Province based on DSSAT model].
AB - By using the CERES-Maize crop model and Century soil model in Decision Support
System of Agrotechnology Transfer (DSSAT) model, this paper studied the effects
of crop management parameters, fertilizer N application rate, soil initial N
supply, and crop residue application on the maize growth, crop-soil N cycling,
and soil organic C and N ecological balance in black soil (Mollisol) zone of
Jilin Province, Northeast China. Taking 12,000-15,000 kg x hm(-2) as the target
yield of maize, the optimum N application rate was 200-240 kg N x hm(-2). Under
this fertilization, the aboveground part N uptake was 250-290 kg N x hm(-2),
among which, 120-140 kg N x hm(-2) came from soil, and 130-150 kg N x hm(-2) came
from fertilizer. Increasing the N application rate (250-420 kg N x hm(-2))
induced an obvious increase of soil residual N (63-183 kg x hm(-2)); delaying the
N topdressing date also induced the increase of the residual N. When the crop
residue application exceeded 6000 kg x hm(-2), the soil active organic C and N
could maintain the supply/demand balance during maize growth season. To achieve
the target maize yield and maintain the ecological balance of soil organic C and
N in black soil zone of Jilin Province, the chemical N application rate would be
controlled in the range of 200-240 kg N x hm(-2), topdressing N should be at
proper date, and the application amount of crop residue would be up to 6000 kg x
hm(-2).
PMID- 22097371
TI - [Vulnerability assessment of eco-environment in Yimeng mountainous area of
Shandong Province based on SRP conceptual model].
AB - Based on the ecological sensitivity-resilience-pressure (SRP) conceptual model,
and selecting 13 indices including landscape diversity index, soil erosion, and
elevation, etc. , the vulnerability of the eco-environment in Yimeng mountainous
area of Shandong Province was assessed under the support of GIS and by using
principal component analysis and hierarchy analytical method. According to the
eco-environmental vulnerability index (EVI) values, the eco-environment
vulnerability of study area was classified into 5 levels, i.e., slight (<1.8),
light (1.8-2.8), moderate (2.8-3.5), heavy (3.5-4.0), and extreme vulnerability
(>4.0). In the study area, moderately vulnerable area occupied 43.3% of the
total, while the slightly, lightly, heavily, and extremely vulnerable areas
occupied 6.1%, 33.8%, 15.9%, and 0.9%, respectively. The heavily and extremely
vulnerable areas mainly located in the topographically complicated hilly area or
the hill-plain ecotone with frequent human activities.
PMID- 22097372
TI - [Spatiotemporal dynamics of land cover in northern Tibetan Plateau with responses
to climate change].
AB - By using the 2001-2008 MOMS land cover products (MCDl2Ql) and based on the
modified classification scheme embodied the characteristics of land cover in
northern Tibetan Plateau, the annual land cover type maps of the Plateau were
drawn, with the dynamic changes of each land cover type analyzed by
classification statistics, dynamic transfer matrix, and landscape pattern
indices. In 2001-2008, due to the acceleration of global climate warming, the
areas of glacier and snow-covered land in the Plateau decreased rapidly, and the
melted snow water gathered into low-lying valley or basin, making the lake level
raised and the lake area enlarged. Some permanent wetlands were formed because of
partially submersed grassland. The vegetation cover did not show any evident
meliorated or degraded trend. From 2001 to 2004, as the climate became warmer and
wetter, the spatial distribution of desert began to shrink, and the proportions
of sparse grassland and grassland increased. From 2006 to 2007, due to the warmer
and drier climate, the desert bare land increased, and the sparse grassland
decreased. From 2001 to 2008, both the landscape fragmentation degree and the
land cover heterogeneity decreased, and the differences in the proportions of all
land cover types somewhat enlarged.
PMID- 22097373
TI - [Simulation of vegetation landscape in Pingtan Island based on BP neural network
model].
AB - Taking GIS as technical platform and by using Matlab 7.0, the vegetation
landscape in Pingtan Island was cut with 2 km x 2 km grid graph. The data of 50
quadrats were obtained. Forty-four of the 50 quadrates were used for model
training, and the rest 6 were used for model checking. Fractal dimension, Shannon
diversity index, and contagion index were selected as output data of the model,
and the number of residential quarter, wind speed, and the distance from the
coast were chosen as affecting factors. A BP neural network model of vegetation
landscape in Pingtan Island was established, and was checked by error test. The
results demonstrated that the major factors affecting the vegetation landscape
spatial pattern and diversity in Pingtan Island were wind speed and the distance
from the coast, and anthropogenic factors had greater effects on the spatial
connection of vegetation landscape. The fitted results of the relationships
between vegetation landscape and environmental and anthropogenic factors were
basically accorded with the truth. The average error was 7.4%, and the minimum
error was 0.2%, indicating that the model could be applied to quantitatively
predict and simulate the vegetation landscape in Pingtan Island.
PMID- 22097374
TI - [Priority areas for biodiversity conservation in Hainan Island: evaluation and
systematic conservation planning].
AB - A total of 140 endangered species in Hainan Island were selected as indicator
species, and their spatial distribution patterns were analyzed by using mechanism
habitat model. Based on the iterative operation with systematic conservation
planning tool MARXAN, the priority areas of these species were identified and
evaluated. The priority areas had an area of 5383.7 km2, accounting for 15.6% of
the total land area of the Island, and mainly distributed in some forest regions
(Yinggeling, Jianfengling and Wuzhishan) and in northern part water source
regions. In the priority areas, the conservation proportion of 11 1st grade
indicator species habitats occupied at least 65% of all the habitats. Through the
gap analysis of priority areas and current nature reserves, it was suggested that
an expansion of Jianfengling, Yinggeling-Limushan, and Wuzhishan-Diaoluoshan
nature reserves and the establishment of Baolonglinchang-Linbiling-Fuwanling
protection system should be made, and the protection areas for water source
conservation and endangered species should be established in the northern part of
the Island.
PMID- 22097375
TI - [Applications of habitat equivalency analysis in ecological damage assessment of
oil spill incident].
AB - Habitat equivalency analysis (HEA) is one of the methods commonly used by U.S.
National Oceanic and Atmospheric Administration in natural resources damage
assessment, but rarely applied in China. Based on the theory of HEA and the
assessment practices of domestic oil spill incidents, a modification on the HEA
was made in this paper, and applied to calculate the habitat value in oil spill
incidents. According to the data collected from an oil spill incident in China,
the modified HEA was applied in a case study to scale the compensatory
restoration. By introducing the ecological service equivalent factor to transfer
various habitats, it was achieved to value of the injured habitats in ecological
damage assessment of oil spill incident.
PMID- 22097376
TI - [Characteristics of non-point source pollution in Tiaoxi watershed and related
affecting factors].
AB - By using soil and water assessment tool (SWAT) model, this paper simulated the
surface runoff intensity and the export loadings of sediment particulates and
nutrients via non-point source hydrological pathway in Tiaoxi watershed, and
integrated with the simulation results, analyzed the temporal and spatial
distribution characteristics of non-point source pollution in the watershed in
2008. In the study area, the per unit area non-point source pollution was
stronger in northern region than in southern region and in eastern region than in
western region, and the weakest in central region. Among the land utilization
types, farmland had the biggest contribution to the sediment loading. There were
significantly positive correlations between the loadings of surface runoff and
associated sediment particulates and the rainfall intensity. The export loadings
of nutrients through surface runoff were higher in rainy season (from June to
September) than in dry season (from December to next March), and there existed
significant correlations between the surface runoff loadings of sediment
particulates, organic nitrogen, and nitrate and the average gradient of lands.
PMID- 22097377
TI - [Spatial pattern and its variation of Cnaphalocrocis medinalis larvae and
Ummeliata insecticeps under paddy rice cropping neighboring with vegetables or
corn].
AB - Geostatistical methods were adopted to characterize the spatial pattern and its
variation of Cnaphalocrocis medinalis larvae (Lepidoptera: Crambidae) and
Ummeliata insecticeps (Araneida: Linyphiidae) in the habitats of paddy rice
neighboring with white melon, tomato, or corn. In the rice growth period, C.
medinalis larvae and U. insecticeps presented aggregative distribution, with the
spatial range varied from 1.91 to 182.57 m and from 3.70 to 9.70 m, respectively.
Isoline map showed that the density of U. insecticeps was spatially and
temporally identical with that of C. medinalis larvae, suggesting that U.
insecticeps had strong pursuing and control effects on C. medinalis larvae. Among
the test neighboring patterns, paddy rice neighboring with white melon had the
best performance of U. insecticeps.
PMID- 22097378
TI - [Effects of Bt corn straw insecticidal proteins on enzyme activities of Eisenia
fetida].
AB - Bacillus thuringiensis (Bt) proteins released from Bt corn can enter soil
ecosystem via returning straw into field, root exudation, and pollen fluttering
down. In this study, the straws of Bt corn and its near-isogenic non-Bt line were
added into soil with an application rate of 5% and 7.5% to breed Eisenia fetida,
and the total protein content and the activities of acetylcholine esterase
(AchE), glutathione peroxidase (GSH-PX), catalase (CAT), and superoxide dismutase
(SOD) in E. fetida were determined after 7 and 14 days. Under the same
application rate of the straws, the total protein content and GSH-PX activity of
E. fetida decreased while the AchE, CAT, and SOD activities increased on the 14th
day, compared with those on the 7th day. The Bt corn straw increased the SOD
activity and decreased the AchE and GSH-PX activities, but had less effects on
the total protein content and CAT activity, compared with non-Bt corn straw. All
the results suggested that Bt corn straw had no inhibitory effect on E. fetida
total protein but could inhibit the AchE and GSH-PX activities, and could not
induce CAT activity but induce SOD activity within a short time.
PMID- 22097379
TI - [Colonization and disease control and fruit preservation functions of endophytic
bacterial strains in lychee].
AB - By spraying the GFP-marked endophytic bacterial strains BS-2-gfp and TB2-gfp,
this paper studied their colonization in lychee organs and the functions of the
strains in disease control and fruit preservation. The BS-2-gfp and TB2-gfp could
colonize and propagate in lychee leaves, flowers, un-matured fruits, and matured
fruits, and transfer from the flowers to un-matured fruits. The colonization of
BS-2-gfp and TB2-gfp in lychee leaves varied with season and growth stage, being
larger in quantity and longer in duration in spring than in autumn. The
colonization quantity and duration of the strains also differed in other organs.
Both the BS-2-gfp and the TB2-gfp could be isolated and recovered from lychee
leaves after 37 d inoculation, the BS-2-gfp could not be isolated from the
flowers after inoculation for 10 d, and the BS-2-gfp and TB2-gfp had the largest
colonization quantity in matured fruits. The colonization quantity of TB2-gfp in
lychee pericarp reached to the maximum (1.90 x 10(6) CFU x g(-1) FM) when the
disease index of litchi downy blight had a sharp increase, and, compared with BS
2-gfp, the TB2-gfp had better fruit preservation efficiency, and its colonization
quantity in lychee pericarp was also higher. It was suggested that there was a
positive correlation between the colonization quantity of test bacterial strains
in lychee pericarp and the disease control and fruit preservation effect.
PMID- 22097380
TI - [Resistance realized heritability and risk assessment of Panonychus citri to
avermectin and fenpropathrin].
AB - On the basis of resistance breeding and selection in laboratory, and by using the
threshold trait analysis in quantitative genetics, this paper studied the
realized resistance heritability of Panonychus citri (McGregor) collected from
Beibei of Chongqing to avermectin and fenpropathrin, and predicted the resistance
risk of P. citri to these two acaricides. After 11- and 16-generations of
selection with avermectin and fenpropathrin, the resistance of P. citri to the
two acaricides increased by 3.8- and 29.9-fold, and the realized resistance
heritability was 0.0475 and 0.1544, respectively. Under laboratory condition, to
develop a 10-fold increase of resistance required 12-26 generations of selection
for avermectin, and 7-16 generations of selection for fenpropathrin under the
selection pressure of 50%-90% mortality for each generation. Under field
condition, it would require more generations to develop the same resistance
level. Comparing with bioacaricide avermectin, pyrethroid fenpropathrin had
obviously higher resistance risk to P. citri. The results provided references for
the resistance management of P. citri to acaricides.
PMID- 22097381
TI - [Responses of ground arthropod functional groups to the enclosure of grazing
grassland in desert steppe].
AB - With the support of the National Resources Monitoring Station in Yanchi County of
Ningxia, an investigation was conducted on the ground arthropods, vegetations,
and soil properties in the enclosed and un-enclosed grazing grassland in desert
steppe. In the meantime, the functional groups of ground arthropods were
classified according to their feeding habits. The ground arthropods in the desert
steppe could be classified into four functional groups, i.e., predatory,
phytophagous, saprophagous, and omnivorous, among which, predatory and
phytophagous groups were dominant in quantity, and phytophagous and saprophagous
groups were predominant in biomass, implying that the ground arthropod in desert
steppe was mainly characterized by phytophagous arthropods. Enclosure increased
the individual and group number of predatory, phytophagous, and omnivorous
arthropods as well as the biomass of predatory and omnivorous arthropods, and
enhanced the biodiversity of predatory and phytophagous arthropods, which was
closely correlated with the vegetation recovery and soil environment improvement,
and demonstrated that the enclosure of grazing grassland increased the diversity
and complexity of ground arthropod functional groups in desert steppe.
Nevertheless, the individual number and biomass of saprophagous arthropods
decreased after the enclosure, reflecting the dependence of these arthropods on
grazing grassland.
PMID- 22097382
TI - [Effects of artificial reef construction to marine ecosystem services value: a
case of Yang-Meikeng artificial reef region in Shenzhen].
AB - Based on the researches and statistic data of Yangmeikeng artificial reef region
in Shenzhen in 2008 and by the method of ecosystem services value, this paper
analyzed the effects of artificial reef construction in the region on the marine
ecosystem services. After the artificial reef construction, the tourism service
value in the region decreased from 87% to 42%, food supply service value
increased from 7% to 27%, and the services value of raw material supply, climatic
regulation, air quality regulation, water quality regulation, harmful organism
and disease regulation, and knowledge expansion had a slight increase, as
compared to the surrounding coastal areas. The total services value per unit area
of Yangmeikeng artificial reef region in 2008 was 1714.7 x 10(4) yuan x km(-2),
far higher than the mean services value of coastal marine ecosystem in the
surrounding areas of Shenzhen and in the world. Artificial reef construction
affected and altered the structure of regional marine ecosystem services value,
and improved the regional ecosystem services value, being of significance for the
rational exploitation and utilization of marine resources and the successful
recovery of damaged marine eco-environment and fish resources. Utilizing the
method of ecosystem services value to evaluate artificial reef construction
region could better elucidate the benefits of artificial reef construction,
effectively promote the development of our artificial reef construction, and
improve the management of marine ecosystem.
PMID- 22097383
TI - [Sexual reproductive allocation of Sargassum thunbergii at Taiping Cape of Yellow
Sea].
AB - This paper studied the dynamics of reproductive allocation (RA) of Sargassum
thunbergii during its sexual reproductive season and the related environmental
factors at the Taiping Cape of Yellow Sea. The sexual reproduction of S.
thunbergii initiated in early June, peaked in mid July when the sea water
temperature was about 22 degrees C (the mean proportion of biomass allocated to
reproductive organs on July 19 was 76.7%), and ended in late August. The RA had a
significant linear correlation with the average length of thallus branches (r =
0.855, P < 0.01). The thalli with a length less than 10 cm showed a lower RA in
the whole sexual reproductive season, while the thalli longer than 10 cm had a RA
up to averagely 70.0% at the peak maturing stage. UNIANOVA analysis showed that
both tidal level and wave strength had significant effects on the RA of S.
thunbergii (tidal level: F = 175.62, P < 0.01; wave strength: F = 95.35, P <
0.01), and there was a significant interaction between tidal level and wave
strength (F = 9.14, P < 0.05). The sizes of the effects were in the order of
tidal level > wave strength > tidal level x wave strength.
PMID- 22097384
TI - [Secondary productivity of macrobenthos in rocky intertidal zone of Yushan
Islands, Zhejiang Province].
AB - In order to understand the current status of macrobenthos in intertidal zone of
Yushan Islands, macrobenthos samples were collected from 5 sections in the
intertidal zone in four seasons from March 2009 to January 2010, with the
density, biomass, secondary productivity, and P/B value of the macrobenthos
investigated by using Brey's empirical formula. The mean density of the
marobenthos was 1419.5 ind x m(-2), mean biomass in ash free dry mass (AFDM) was
565.53 g x m(-2), mean annual secondary productivity was 285.58 g x m(-2) x a(-1)
(AFDM), and mean annual P/B value was 0.51. The secondary productivity was mainly
affected by mollusca and gastropda, with five critical species Septifer virgatus,
Modiolus modiolus, Serpulorbis imbricata, Tetraclita japonica, and T. squamosa
contributed 84.0% of the total. The P/B value in the study area was lower than
that in other sea areas, showing that the generation turnover rate of the
macrobenthos in the intertidal zone of Yushan Islands was slower, and the
community structure was more stable.
PMID- 22097385
TI - [Impacts of ultraviolet irradiation on the sperm motility and longevity of
Acipenser baerii].
AB - This paper studied the impacts of different dose ultraviolet irradiation (254 nm,
UVC) on the sperm motility and longevity of Acipenser baerii. Ultraviolet
irradiation had significant impacts on the sperm motility, its fast motion time,
and longevity. With the increasing dose of ultraviolet irradiation, the sperm
motility decreased rapidly first, increased rapidly then, and decreased rapidly
again. The sperm fast motion time had the similar variation trend as the sperm
motility, but the sperm longevity kept decreasing with increasing dose of
ultraviolet irradiation. When the ultraviolet irradiation dose increased to 288
mJ x cm(-2), the sperm fast motion disappeared; when the ultraviolet irradiation
dose increased up to 324 mJ x cm(-2), the sperm had no motility and died.
According to the "Hertwig effect", the optimum ultraviolet irradiation dose for
inactivating A. baerii sperm was 216 mJ x cm(-2).
PMID- 22097386
TI - [Edge effect and its impacts on forest ecosystem: a review].
AB - Edge effect is an important concept in ecology and biological conservation,
playing an important role in the study of ecological processes such as energy and
material flow at ecosystem scale and landscape scale. This paper expatiated the
connotation, features, quantitative evaluation (basis of quantitative analysis,
strength, impact zone, and models, etc.), and applied aspects of edge effect,
summarized the impacts of edge effect on forest ecosystem, analyzed the
deficiencies in the study of edge effect, and prospected related research
directions, aimed to provide references for forest and protected area management.
PMID- 22097387
TI - [Effects of seasonal snow cover on soil nitrogen transformation in alpine
ecosystem: a review].
AB - Seasonal snow cover has pronounced effects on the soil nitrogen concentration and
transformation in alpine ecosystem. Snowfall is an important form of nitrogen
deposition, which directly affects the content of soil available nitrogen.
Different depths and different duration of snow cover caused by snowfall may lead
the heterogeneity of abiotic factors (soil temperature and moisture) and biotic
factors (soil microbes, alpine plants, and alpine animals), and further, produce
complicated effects on the mineralization and immobilization of soil nitrogen.
This paper introduced in emphasis the inherent mechanisms of soil nitrogen
mineralization and leaching under the effects of frequent freeze-thaw events
during the durative melting of snow cover, and summarized the main research
results of field in situ experiments about the effects of seasonal snow cover on
soil nitrogen in alpine ecosystem based on the possible changes in snow cover in
the future. Some suggestions with regard to the effects of seasonal snow cover on
soil nitrogen were put forward.
PMID- 22097388
TI - [Technical strategies in the research of plant salt-responsive proteomics: a
review].
AB - Soil salinization is one of the key factors limiting plant growth and
distribution. To explore plant molecular salt-responsive mechanisms is the basis
of enhancing plant salt tolerance in virtue of molecular biological techniques,
and also, the hotspot of plant physiology and molecular ecology. High throughput
proteomics approaches include two-dimensional electrophoresis (2-DE), blue
native/SDS-PAGE (BN/SDS-PAGE), two-dimensional fluorescence difference gel
electrophoresis (2D DIGE), liquid chromatography (LC), and various mass
spectrometry (MS) techniques, being widely applied in the research of plant salt
response and supplied important information for understanding plant molecular
salt-tolerant mechanisms. In this paper, a review was made on the technical
strategies applied in the research of plant salt-responsive proteomics.
PMID- 22097389
TI - [Otolith microchemistry of tuna species: research progress].
AB - Microchemistry analysis of trace elements and isotopes in fishes' calcified
substances is an emerging approach to analyze the population structure, life
history, and migration environmental history of fishes. With the increasing
improvement of the researches and applications of otolith microchemistry, this
approach has been a good tool for studying the ecology of tuna species.
Currently, the research contents of tuna species' otolith microchemistry mainly
include trace elements and isotopes, and the former is the emphasis and hotspot
in applied research, playing a vital role in the researches of population
partitioning, natal origin, migration environmental history, and life history of
tuna species, especially bluefin tuna. However, most of the researches are
focusing on the variation of otolith's Sr/Ca ratio, and there is no final
conclusion on the relationships between the fractionation of isotopes C and O in
otolith and the temperature. For the sake of exploiting the huge value of otolith
microchemistry, it would be necessary to strengthen the researches on the
deposition mechanisms of trace elements in otolith, and to analyze the spatio
temporal variations of various trace elements in otolith by comprehensive
research methods.
PMID- 22097390
TI - [Bio-treatment of grease wastewater: research progress].
AB - In conventional wastewater bio-treatment, little attention has been paid on the
bio-degradation of grease, causing low efficiency in the process, and thereby,
further study should be made on the development and application of related mature
and effective bio-treatment techniques. This paper summarized the pathways and
mechanisms of grease bio-degradation in wastewater treatment, with the focus on
the research progress in the functional microbes involved in lipid hydrolysis and
long-chain fatty acid degradation, and briefly introduced a series of new
treatment technologies based on the habitat differences of the functional
microbes. The key breakthrough directions in the technological study of grease
bio-degradation were prospected, expecting to provide theoretical guidance to the
development of the related technologies.
PMID- 22097391
TI - A new method for determining phospholipase D activity using the monomolecular
film technique.
AB - A versatile and continuous assay for phospholipase D (PL D) activity was
developed using the monomolecular film technique. For this purpose, a two-step
enzymatic reaction was used. First, PL D hydrolysis of stable 1,2-diacyl-sn
glycero-3-phosphocholine (PC) films by PL D generated a stable 1,2-diacyl-sn
glycero-3-phosphate (PA) film and water-soluble choline. Secondly, the latter
acidic phospholipid, in contrast to the initial PC molecule, was further
hydrolysed under the action of porcine pancreatic lipase (PPL) in order to give
rise to lysophosphatidic acid and fatty acid, which were rapidly desorbed from
the interface. With this new procedure, it is possible to obtain continuous and
accurate kinetic measurements of the PL D-catalyzed reaction with phospholipid
monolayers as substrates. The PLD kinetics were linear with time and the
velocities recorded were directly dependent upon the amount of PL D used. In a
preliminary study, we investigated the effects of the surface pressure on the PL
D activity.
PMID- 22097392
TI - [Operative treatment of painful neuromas].
AB - 3-5% of patients with traumatic or iatrogenic peripheral nerve injury develop a
painful neuroma, especially following trauma of small cutaneous sensory nerve
branches. Neuroma pain is difficult to treat and often leads to loss of function
and reduction of quality of life. Patients with a painful neuroma present with
spontaneous electric, shooting or burning pain, allodynia, hyperalgesia and cold
intolerance. The diagnosis is based on the medical history and physical
examination, supplemented by Tinel's test and a diagnostic nerve blockade.
Lasting pain relief is possible by means of surgical neuroma treatment performed
by a plastic surgeon. Surgical treatment consists of repair or denervation of the
nerve with relocation of the nerve stump in bone or muscle tissue or a vein.
Referral of neuroma patients without delay to a plastic surgeon or
multidisciplinary consultation is important, because the symptoms become
increasingly difficult to treat over time. 3-5% of patients with traumatic or
iatrogenic peripheral nerve injury develop a painful neuroma, especially
following trauma of small cutaneous sensory nerve branches. Neuroma pain is
difficult to treat and often leads to loss of function and reduction of quality
of life. Patients with a painful neuroma present with spontaneous electric,
shooting or burning pain, allodynia, hyperalgesia and cold intolerance. The
diagnosis is based on the medical history and physical examination, supplemented
by Tinel's test and a diagnostic nerve blockade. Lasting pain relief is possible
by means of surgical neuroma treatment performed by a plastic surgeon. Surgical
treatment consists of repair or denervation of the nerve with relocation of the
nerve stump in bone or muscle tissue or a vein. Referral of neuroma patients
without delay to a plastic surgeon or multidisciplinary consultation is
important, because the symptoms become increasingly difficult to treat over time.
PMID- 22097393
TI - State trends in premiums and deductibles, 2003-2010: the need for action to
address rising costs.
AB - Rapidly rising health insurance costs continue to strain the budgets of U.S.
families and employers. This issue brief analyzes changes in private employer
based health premiums and deductibles for all states from 2003 to 2010, and finds
total premiums for family coverage increased 50 percent across states and
employee annual share of premiums increased by 63 percent over these seven years.
At the same time, per-person deductibles doubled in large, as well as small,
firms. If premium trends continue at the rate prior to enactment of the
Affordable Care Act, the average premium for family coverage will rise 72 percent
by 2020, to nearly $24,000. Health reform offers the potential to reduce
insurance cost growth while improving financial protections. If efforts succeed
in slowing annual premium growth by 1 percentage point, by 2020 employers and
families together would save $2,161 annually for family coverage, compared with
projected premiums at historical rates of increase.
PMID- 22097394
TI - Compared to Canadians, U.S. physicians spend nearly four times as much money
interacting with payers.
AB - KEY FINDINGS: (1) In Canadian office practices, physi-cians spent 2.2 hours per
week interacting with payers, nurses spent 2.5 hours, and clerical staff spent
15.9 hours. In U.S. practices, physicians spent 3.4 hours per week interacting
with payers, nurses spent 20.6 hours, and clerical staff spent 53.1 hours. (2)
Canadian physician practices spent $22,205 per physician per year on interactions
with health plans. U.S. physician practices spent $82,975 per physician per year.
(3) U.S. physician practices spend $60,770 per physician per year more
(approximately four times as much) than their Canadian counterparts.
PMID- 22097395
TI - Children's exposure to secondhand smoke: nearly one million affected in
California.
AB - Despite the steady decline of smoking rates in California, over 200,000 children
under age 12 live in homes where smoking is allowed, and another 742,000 live
with an adult or adolescent smoker. Significant differences in children's
exposure to tobacco smoke and risk of exposure are found by race/ethnicity,
geographic regions within the state and by poverty level. African-American
children were found to have a significantly higher rate of exposure than other
racial and ethnic groups, while children in the Northern/Sierra and San Joaquin
Valley regions were at the highest risk of exposure to secondhand smoke. Children
living in lower-income households were also at higher risk. These findings can
aid strategies to decrease children's exposure to tobacco smoke in the home
through targeted public health messages and outreach to those enrolled in public
programs.
PMID- 22097396
TI - [Polite robots and shot necked monsters].
PMID- 22097397
TI - [Franz Muntefering attempts answers for the demographic change. Social democratic
principles disturb a little. Munte discovers nursing].
PMID- 22097398
TI - [Violence on the unit: experts explain background and give practical advice.
"Nurses experience aggression in a patient as a personal failure" (interview by
Lex)].
PMID- 22097399
TI - [Robots in the nursing home. "It gives the impression of something pleasantly
service-like"].
PMID- 22097400
TI - [The power of speech: how nurses positively influence nursing routine with
communication. Using words to move the world].
PMID- 22097401
TI - [A memorandum for quality and safety of health care of tomorrow. Cooperation
among health professions].
PMID- 22097402
TI - [How mothers experience the daily routine with their tracheotomized infants.
"These short necked monsters are indeed life threatening"].
PMID- 22097403
TI - [Dying in homelessness. "It's nice that you come to me"!].
PMID- 22097404
TI - [Shared-housing arrangements for care dependent older persons. Support from
family members and volunteers].
AB - Due to growing numbers of older care dependant persons shared-housing
arrangements (SHA) were developed as a new approach, especially for those elderly
People suffering from dementia. The involvement of family members and volunteers
is a core component of the SHA concept. Besides the active involvement in
meaningful activities, relatives also often act as legal representatives.
Empirical data concerning how frequently family members and volunteers are
involved as well as the tasks they pursue is lacking. The aim of the study is to
describe and evaluate the involvement of family members and volunteers in SHA.
Special Care Units (SCU) are observed in comparison. In january 2009, all
identified SHA and SCU in the city of Berlin were included in a cross-sectional
survey as part of the DeWeGE-study. The DeWeGE-study was the first one on SHA in
the city of Berlin. Data was collected using a written, standardised
questionnaire. We collected data related to the frequency and tasks of family
members and volunteer involvement as well as to the residents characteristics.
963 residents of 105 SHA and 17 SCU were included. More persons suffer from
dementia in SCU than in SHA. SHA offer events to inform relatives and volunteers
about their possible involvement less often than SCU. Family members are involved
in meaningful activities in around 50 percent of all SHA and SCU. The proportion
of family members who are present in the unit at least once per week is
significantly higher in SCU than in SHA. No statistically significant difference
between SHA and SCU is found regarding the involvement of volunteers. In SHA,
legal representatives are more often paid professionals than in SCU. The real
involvement of family members and volunteers in SHA does not reflect the
conceptual propositions of this care arrangement.
PMID- 22097405
TI - [Determining the quality of technical aids in homely care contexts. A study of
the survey instruments QUEST2.0 and KWAZO].
AB - The data on the supply of technical aids are insufficient in Germany,
particularly with regard to quality aspects. Thus this article will demonstrate
possibilities to improve the data quality. The analysis of the current supply
situation for care aids and the detection of the relevance of the subject with
care and aid statistics lead to the following research questions: Which
instruments are able to measure the care-quality of technical aids for insured
people older than 65 years in home care? And: Furthermore is their prediction
sufficient to cause a more efficient care in this sector? The systematical
research found two instruments, which met two criteria: 1.) Measurement of
satisfaction from a user perspective. 2.) General applicability for many
categories of aid. The studies were tested for evidence of reliability, validity
and applicability. The Quebec User Evaluation of Satisfaction with Assisitive
Technologies (QUEST2.0, 12 items) and the KWAZO instrument (7 items), developed
in the Netherlands were used. Both instruments are tested for validity and
reliability and in terms of their practical applicability in the nursing process
events. It is necessary to consider are whether and in what way the two
instruments made in a standardized comprehensive care and support needs
assessment available in Germany.
PMID- 22097406
TI - [Ambulatory nursing for patients with chronic obstructive lung disease. Do
specialized nursing personnel help in ambulatory care nursing programs?].
PMID- 22097407
TI - [When the doctor allows the blood pressure to rise....].
PMID- 22097408
TI - [When facilities update nursing documentation... Electronic data processing
instead of paper!].
PMID- 22097409
TI - [Communication in routine nursing care. Surprising reactions].
PMID- 22097410
TI - [After the war: US Americans influence the professionalization of nursing. A new
nursing elite is created. The "Hollywood Nurses"].
PMID- 22097411
TI - [Dementia: technical monitoring systems in nursing. Where are you walking...?!].
PMID- 22097412
TI - [UrgoClean: a new wound dressing features high absorption and uptake of fibrous
deposits].
PMID- 22097413
TI - [Nurses will assume a key role in pain therapy].
PMID- 22097414
TI - [Opioids play a major role in multimodal pain therapy"].
PMID- 22097415
TI - [Portrait of Louise Hagan].
PMID- 22097416
TI - [The world fight against infections. The first international conference in Geneva
on the prevention and control of infections, a success!].
PMID- 22097417
TI - [Subcutaneous immunotherapy for the treatment of allergies].
PMID- 22097418
TI - [Myths and realities. Nursing care documentation].
PMID- 22097419
TI - [Allergy or food intolerance: how to distinguish between the two].
PMID- 22097420
TI - [Shaking. Evaluating and intervening].
PMID- 22097421
TI - [Goodbye to the flu? It is better to prevent it than to suffer from it!].
PMID- 22097422
TI - [Unwanted pregnancy. Emergency contraception at school. Before acting, we need to
know how to listen].
PMID- 22097423
TI - [HIBOU: evaluating sleep disorders in children].
PMID- 22097424
TI - [Systemic scleroderma. A poorly understood illness].
PMID- 22097425
TI - [Living with a stoma].
PMID- 22097426
TI - [The SIPPE program. When intervention makes the difference].
PMID- 22097427
TI - [Blood pressure measurement at home. Why? For whom? How?].
PMID- 22097428
TI - [Vitamin D poisoning in infants. Preventing an overdose due to multiple forms and
formats of liquid Vitamin D].
PMID- 22097429
TI - [Erectile dysfunction. Another option].
PMID- 22097430
TI - [Benlysta. Finally, the first medication for lupus].
PMID- 22097431
TI - [Abera and Yohanes. The scarifications of the Surmas].
PMID- 22097432
TI - [REER - CELI, CELI - REER?].
PMID- 22097433
TI - [Medium-term strategy for the specific management of pneumology hospitals and
wards after the decentralization of the sanitary system].
AB - Identifying and promoting new management techniques for the descentralized
pneumology hospitals or wards was one of the most ambitious objectives of the
project "Quality in the pneumology medical services through continuous medical
education and organizational flexibility", financed by the Human Resourses
Development Sectorial Operational Programme 2007-2013 (ID 58451). The "Medium
term Strategy on the specific management of the pneumology hospitals or wards
after the descentralization of the sanitary system" presented in the article was
written by the project's experts and discussed with pneumology managers and local
authorities representatives. This Strategy application depends on the
colaboration of the pneumology hospitals with professional associations, and
local and central authorities.
PMID- 22097434
TI - [Evolution of endemic tuberculosis in Olt county, Romania, between 1990 and
2008].
AB - A world-wide recrudescence of tuberculosis has been seen, due to the
deterioration of the health state of the population from many areas of the world,
because of increasing number of homeless persons, and also the immigrants from
countries with TB epidemic. The present epidemiological context draw attention to
the necessity of early detection of the disease and the beginning of early
directly observed treatment, preferably ambulatory, if the sick person doesn't
have other complications, isn't infected with multidrog resistant bacili and
lives in a properly social environment for his support. For the evaluation of
tuberculosis epidemic in Olt county, the authors realised a retrospective study
on a period of over 15 years (1990-2008), based on the analysis of the main
epidemiological indices of TB epidemic in this county compared with Romania:
global incidence both in adults and children, for new cases nd relapses apart,
incidence of MDR-TB (multidrug resistant-tuberculosis) cases, mortality through
tuberculosis. Global incidence of TB in Olt county almost doubled between 1990
2008, reaching 147, 4 per hundred thousand, with much higher values compared with
the national ones. Olt county presents constant values over the national ones
between 1995-2008, due to the global incidence and also to the new cases and
relapses. The incidence of new cases in children in 2008 (20,4 per hundred
thousand) was below the national level (28 per hundred thousand). The risk of
illness for the 0-14 years old children from Olt county was significantly lower
in 2008 compared with the previous year, which, toghether with a number of MDR-TB
cases under 15, signifies a regress of the epidemic.
PMID- 22097435
TI - Is there delay in diagnosis of pulmonary tuberculosis in an intermediate-to-low
TB incidence setting.
AB - A cross-sectional study on pulmonary TB diagnosis delay in an intermediate TB
incidence setting showed average patient's delay of 44 +/- 61.65 days and total
delay of 103 +/- 148 days. Alcoholism, lack of TB cases in family, diabetes
mellitus, relapse, cough or tachycardia (p< 0.01), absence of hemoptysis, dyspnea
and anemia (p < 0.01), age > or = 40 (p < 0.05), negative auscultation and
positive sputum smear findings (p < 0.05) were significantly associated with
patient's delay > 30 days. Age < 40 years, negative auscultation and sputum smear
findings (p < 0.01), female sex, city as residence (p < 0.05), absence of cough,
sputum, weight loss, fever, excavation (p < 0.01), and night sweats (p < 0.05)
were significantly associated with total delay > 103 days. Further population
education and continual medical education are waranted.
PMID- 22097436
TI - Mini-mediastinotomy under local anesthesia for biopsy of anterior mediastinal
masses with airway compression.
AB - INTRODUCTION: Management strategies for anterior mediastinal masses (AMMs) depend
strongly on the histopathological diagnosis. The manifestations of these masses
sometimes are an emergency because of large airway or great vessel compression
which make general anesthesia challenging and hazardous and many authors have
emphasized the dangers of general anesthesia in such patients. METHODS: This
prospective study carried on 23 patients with AMMs and large airway or vessel
compression via mini-mediastinotomy under local anesthesia for taking
histological biopsy. RESULTS: . A definite histolopathological diagnosis was made
in all cases. Morbidity was seen in one patient with entering the pleural cavity,
there was no mortality. Out of 23 patients, 9 patients had already undergone less
invasive procedures without definite diagnosis. CONCLUSIONS: Mini-mediastinotomy
under local anesthesia for diagnostic biopsy in AMMs with airway compression is
safe, minimally invasive, effective, and is useful in therapeutic decision making
for AMMs.
PMID- 22097437
TI - [Clinical features and course of patients with tuberculosis requiring intensive
care].
AB - BACKGROUND: To describe the characteristics of patients with tuberculosis (TB)
requiring intensive care and to identify the risk factors for mortality. METHODS:
We conducted a retrospective, cohort study, between January 2006 and December
2009. The patients with TB, admitted in Pneumology Clinic Hospital, Iasi,
requiring advanced life support in intensive care unit (ICU), were included. We
assessed different clinical parameters to identify the factors that predict in
hospital mortality. The primary outcome was the in-hospital mortality. RESULTS:
During the study period, 27 patients with TB required intensive care. Of them, 16
(59.3%) had acute respiratory failure and 6 (22.2%) required mechanical
ventilation. Eighteen (66.7%) patients died. Acute respiratory failure, acute
hepatic failure and mechanical ventilation significantly correlate with a high in
hospital mortality rate. CONCLUSIONS: In this study we found a high mortality
rate in TB patients requiring intensive care, identifying some risk factors.
PMID- 22097438
TI - A new model for cystic fibrosis management: control concept.
AB - Traditional medical care considers symptomatic and objective findings of disease
as the main outcome measure. However, modern medical practice defines health as a
complete state of well being including physical state and patient's perception of
social and psychological well being. Therefore, the main aim of therapeutic
management needs to be "the control of disease related and unrelated to the
health condition of the individual in terms of functional and emotional well
being". This necessitates evaluation of control status in cases with cystic
fibrosis via evaluation of symptomatic and physical findings with laboratory
techniques and psycho-somatic instruments. The aim of this review article is to
define the three main indicators of control and to provide initial ideas for
development of a new instrument that covers all.
PMID- 22097439
TI - [Endobronchial changes in sarcoidosis].
AB - The first case of endobronchial sarcoidosis was described by Benedict and
Castelman in 1941. The endoscopic aspects in sarcoidosis can reveal: erythema,
edema, network of capillary ectases, granularity, irregular mucosa thickening,
macro and micro nodules in mucosa, bronchial and tracheal stenosis, distortions,
tractions (including at the large and medium airways), sometimes bronchial
ectases secondary to these modifications. The doctors must be aware of the
possibility of affecting the great airways in sarcoidosis, such situation,
although rare, could make for a difficult diagnosis, especially in the case of
the tusigen syndrome or persistent and unexplained wheezing. The more the
parenchimal disease progresses, the greater is the frequency of the airways being
affected.
PMID- 22097440
TI - [Functional evaluation before lung resection for cancer--international
recommendations].
AB - Complications and disability after radical therapy for lung cancer can be
predicted by preoperative functional evaluation. Functional tests and threshold
values of the parameters have been described and included in a well-validated
algorithm, ensuring functional reserve evaluation of candidates for pulmonary
resection. There are no defined tests or cut-off values to provide predictions of
complications after chemo-radiotherapy or limited pulmonary resections.
PMID- 22097441
TI - Mucoepidermoid carcinoma of trachea in a 22 years old woman: a case report.
AB - Mucoepidermoid carcinoma is a relatively common salivary glands tumor. This tumor
is characterized by mucus secretion, cell type can be intermediate or squamous.
Mucoepidermoid carcinoma of the bronchi and especially trachea is very unusual.
We are reporting the case of a 22 years old female who presented with main
complaint of exertional dyspnea and stridor for about 2 month. Bronchoscopy
examination showed a mass with smooth surface in trachea. After surgery a low
grade mucoepidermoid carcinoma was confirmed by pathological examination.
PMID- 22097442
TI - [The Irish lesson--when will it apply also to Romania?].
AB - We are speaking every time about models but historically we are not considering
the way made for obtaining them. In this paper we are reviewing the road for
realizing common antismoking front in Ireland and where we failed in comparison
in this introduction of the anti-smoking law.
PMID- 22097443
TI - [Epidemiological problems: reflections on communication].
PMID- 22097444
TI - [Adult severe community-acquired pneumonia: from diagnosis to intensive care].
AB - Severe community-acquired pneumonia is defined as a pneumonia acquired in an
extra-hospital setting requiring intensive care. Clinical scores such as the ATS
modified rule can help the clinician to recognize quickly the patients needing
ICU. Evidence based interventions decreasing mortality consist of rapid
administration of antibiotics, by probably privileging an association containing
a macrolide, as well as fast and specialized care of shock and respiratory
failure. Severe community-acquired pneumonia is burdened by a short and long-term
important mortality.
PMID- 22097445
TI - [Drugs "look-alike, sound-alike": an important issue in infectious diseases].
AB - Incidents linked to the prescription of medications also referred to as "look
alike, sound-alike" are frequent both in the ambulatory and in hospital sectors.
These incidents are associated with relevant morbidity and mortality. Next to
other specialties of medicine, infectiology is particularly affected. Strategies
to reduce these risks have been devised, but available recommendations are
frequently poorly observed. A heightened awareness regarding the "look-alike,
sound-alike" problem among doctors as well as other health care professionals,
regulatory agencies and the pharmaceutical industry would help assure and
maintain increased patient safety and quality of care.
PMID- 22097446
TI - [Traps in infectious serology].
AB - The role of serology in infectious disease diagnosis is highlighted by HIV and
viral hepatitis diagnosis developed since the 80's. However, long before these
recent developments serum reactivity played its role in diagnosing, active or
previous severe bacterial infection in diseases such as typhoid fever (Widal),
brucellosis (Wright test), syphilis (VDRL, Wassermann test), typhus (Weil-Felix
test) etc. From early infection to immunity, serology analyzes the patient's
immunological memory enabling the fight against infections. The resulting
information depends on the type of pathogen, the site of infection, the host and
the stage of disease. Together with the direct tests for the detection of
pathogens, serological tests form the basis of microbiological diagnosis. To
better understand the utility of serology, we will provide an overview and show
its pitfalls.
PMID- 22097447
TI - [HIV: vision of a cure].
AB - In 2008, Mr Brown, an HIV positive patient was healed of acute myeloid leukemia,
after receiving an hematopoietic stem cell transplantation from a donor
homozygous for the CCR5 gene variant delta32. He was able to recover a normal CD4
T cell count and his HIV viral load has remained undetectable, despite
discontinuing antiviral therapy. This extraordinary case is a proof of concept
that HIV can be cured and has boosted the research, especially in the field of
gene therapy. Several groups are working on blocking the expression of the CCR5
and CXCR4 co-receptors, by using either RNA interference or zinc-finger nuclease
tools. The aim of this article is to describe their actual stage of development.
PMID- 22097448
TI - [Infections related to water activities].
AB - Infections acquired by exposure to water are common. The evolution of these
infections found in our region is benign. However, some acquired in our regions
or during travel abroad, may have a severe clinical course that requires early
diagnosis. This article proposes a non-exhaustive review of the most common
infections encountered in our regions or acquired abroad. The review excludes
gastrointestinal infections acquired after ingestion of contaminated water.
PMID- 22097449
TI - [Nontuberculous mycobacterial lung infections].
AB - Diagnosis of nontuberculous mycobacterial infection, which most often cause
pulmonary disease, are increasing. Only a few of the numerous mycobacteria
species are clearly pathogenic. Patients, either immunocompetent or
immunocompromised, with orwithout underlying disease, are contaminated from the
environment. Diagnosis, according to standardized criteria, is based on clinical
picture, radiological exams and positive microbiological samples, usually on more
than one occasion (slow growing culture and PCR). There are several typical
presentations, such as tuberculosis-like disease and lung nodules associated with
bronchiectasis. Treatment combines several antimicrobials, is long (occasionally
more than one year) and is not always successful.
PMID- 22097451
TI - [It is necessary to fill in the gap which separates scientific research from
clinical practice].
PMID- 22097450
TI - [Pit falls in the emergency walk-in clinic: lower limb (2)].
AB - The lower limb is the seat of many traumatic lesions especially the foot and
ankle. To misdiagnose these injuries leads to pain, instability, early arthritis
and poor results. Clinical examination is very important and also standard X
rays. New imaging techniques such as MRI will help to delineate some difficult to
see lesions such as Lisfranc's fracture-dislocations, osteochondral lesions or
occult hip fractures.
PMID- 22097452
TI - [Inadvertent exclusion].
PMID- 22097453
TI - [A genetically engineered human pancreatic beta cell line].
PMID- 22097454
TI - [The end of the book and the computer].
PMID- 22097455
TI - [Giving birth anonymously versus the "towers of abandonment" (1)].
PMID- 22097456
TI - [Physicians: urgency at home].
PMID- 22097457
TI - Bioconjugated quantum dots as fluorescent probes for biomedical imaging.
AB - Luminescent semiconductor quantum dots have become an important class of
fluorescent labels for biological and biomedical imaging. In comparison with
conventional organic dyes and fluorescent proteins, quantum dots have
extraordinary fluorescent properties including high brightness, high resistance
to photobleaching and tunable wavelengths. In this review, we briefly discuss the
properties and modification of quantum dots. We focus on the applications of
quantum dots in biomedical imaging, including molecular detection, live cell
imaging and in vivo imaging. The toxicity of the quantum dots to cells and
animals is also discussed.
PMID- 22097458
TI - Information processing schemes based on monolayer protected metallic
nanoclusters.
AB - Nanostructures are potentially useful as building blocks to complement future
electronics because of their high versatility and packing densities. The
fabrication and characterization of particular nanostructures and the use of new
theoretical tools to describe their properties are receiving much attention.
However, the integration of these individual systems into general schemes that
could perform simple tasks is also necessary because modern electronics operation
relies on the concerted action of many basic units. We review here new conceptual
schemes that can allow information processing with ligand or monolayer protected
metallic nanoclusters (MPCs) on the basis of the experimentally demonstrated and
theoretically described electrical characteristics of these nanostructures. In
particular, we make use of the tunnelling current through a metallic nanocluster
attached to the electrodes by ligands. The nanostructure is described as a single
electron transistor (SET) that can be gated by an external potential. This fact
permits exploiting information processing schemes in approximately defined arrays
of MPCs. These schemes include: (i) binary, multivalued, and reversible logic
gates; (ii) an associative memory and a synchronization circuit; and (iii) two
signal processing nanodevices based on parallel arrays of MPCs and nanoswitches.
In each case, the practical operation of the nanodevice is based on the SET
properties of MPCs reported experimentally. We examine also some of the practical
problems that should be addressed in future experimental realizations: the
stochastic nature of the electron tunnelling, the relatively low operation
temperatures, and the limited reliability caused by the weak signals involved and
the nanostructure variability. The perspectives to solve these problems are based
on the potentially high degree of scalability of the nanostructures.
PMID- 22097459
TI - Superior neuroprotective effects of cerebrolysin in heat stroke following chronic
intoxication of Cu or Ag engineered nanoparticles. A comparative study with other
neuroprotective agents using biochemical and morphological approaches in the rat.
AB - The possibility that cerebrolysin, a mixture of several active fragments of
neurotrophic factors and peptides induces neuroprotection following nanoparticles
induced exacerbation of brain damage in heat stroke was examined in a rat model.
For this purpose, the therapeutic efficacy of Cerebrolysin (2.5 or 5 ml/kg)
recommended for stroke treatment was used in comparison with other drugs in
standard doses recommended for such therapy in clinical situations e.g.,
levetiracetam (44 mg/kg), pregabalin (200 mg/kg), topiramate (40 mg/kg,i.p.) and
valproate (400 mg/kg). Rats subjected to 4 h heat stress in a biological oxygen
demand (BOD) incubator at 38 degrees C (Rel Humid 45-47%; Wind vel 22.4 to 25.6
cm/sec) developed profound behavioral symptoms of heat stroke e.g., hyperthermia,
profuse salivation, prostration and gastric ulcerations in the stomach. These
rats also exhibited marked brain pathology at this time. Thus, breakdown of the
blood-brain barrier (BBB) to proteins associated with brain edema formation could
be seen in these heat stressed rats as compared to control groups. The edematous
brain areas showed profound neuronal damage and/or distortion in large areas of
the neuropil. These pathological symptoms were further exacerbated in Cu or Ag
nanoparticles treated group (50-60 nm particle size, 50 mg/kg, i.p./day for 7
days) after identical heat stress on the 8th day. Pretreatment with cerebrolysin
(2.5 ml/kg, i.v.) daily for 3 days in normal rats before heat stress
significantly reduced the behavioral stress symptoms and the breakdown of the BBB
function, edema formation and neuronal injuries. However, the magnitude and
intensity of these neuroprotective effects were much less intense in all other
drug treated rats after similar heat stress. On the other hand, almost double
dose of cerebrolysin (5 ml/kg) was needed to achieve comparable neuroprotection
in nanoparticles treated animals after heat stress. Whereas, double dose of all
other compounds was much less effective in inducing neuroprotection in
nanoparticles treated heat-exposed animals. These observations are the first to
show that cerebrolysin exerts the most superior neuroprotective effects in heat
stress as compared to other neuroprotective agents on brain pathology in normal
and in nanoparticles treated group. Furthermore, cerebrolysin in double dose was
the most effective in inducing neuroprotection in nanoparticles treated heat
exposed rats on brain pathology as compared to double doses of other drugs. Taken
together, our results show that cerebrolysin has the most superior
neuroprotective effects on brain pathology in heat stroke in both normal and
nanoparticles treated rats as compared to other contemporary neuroprotective
agents, not reported earlier.
PMID- 22097460
TI - Detection of malathion, fenthion and methidathion by using heparin-reduced gold
nanoparticles.
AB - Green-synthesized gold nanoparticles were utilized for the detection of
organophosphorous pesticides. Heparin, one of glycosaminoglycans, was used as a
reducing and stabilizing agent. The reaction conditions were optimized, and high
resolution-transmission electron microscopic images revealed gold nanoparticles
of various shapes. Organophosphorous pesticides in water were detected by simply
mixing them with gold nanoparticles. NaCl induced a color change in the mixed
solution from wine-red to purple-blue that was dependent on the pesticide
concentration in the range of 10-1,000 ppb. Gold nanoparticles were immobilized
on a silica gel matrix in order to prepare solid supports for removing
pesticides. The incorporation of atomic gold and heparin bound to 2 g of silica
gel was determined 4,058 ppm and 33 microg as measured by inductively coupled
plasma-atomic emission spectrophotometry and carbazole assay, respectively. AuNPs
immobilized silica gel columns were successfully applied for removing fenthion in
water confirmed by RP-HPLC and FT-IR analyses.
PMID- 22097461
TI - pH dependent spontaneous growth of ellagic acid assemblies for targeting HeLa
cells.
AB - Herein, we have studied the self-assembly and the spontaneous growth of
microassemblies of the plant polyphenol ellagic acid for HeLa cancer cell imaging
and therapy. The growth of the assemblies was studied at varying pH over time. It
was found that initially microspheres were formed which gradually transformed
into microfibers via nucleation and polymerization process. The optimum growth of
microfibers was found to be in the pH range of 6-8. We have shown that the
microfibers successfully adhered to the HeLa cell membranes and inhibited their
proliferation. This biological approach, using assemblies derived from plant
polyphenols, may be used for direct cellular drug delivery and may potentially
help develop a simple and economical method to create building blocks with
desired properties for a new generation of sensors, bioimaging and drug delivery
systems.
PMID- 22097462
TI - Sorting the unique chirality, right handed single wall carbon nanotubes via the
dye modified ssDNA.
AB - The unique (n, m) SWCNTs have both left- and right-handed helicity and they are
enantiomers, and unique chiral SWCNTs with single helicity haven't achieved yet.
In our studies the aromatic fluorescence molecule, (R+) 5'-Hexachloro-Fluorescein
Phosphoramidite (HEX), was linked to DNA, and this new polymer could help us to
get unique chiral SWCNT (11,1) with only right-handed species, and this result is
confirmed by AFM, HRTEM, NIR, SRCD, and Raman studies.
PMID- 22097463
TI - Synthesis of retinyl palmitate catalyzed by Candida sp.99-125 lipase immobilized
on fiber-like SBA-15 mesoporous material.
AB - Candida sp.99-125 lipase was suitable for transesterification of fats and oils to
produce fatty acid methyl ester. The adsorption of Candida sp.99-125 lipase onto
the fiber-like SBA-15 mesoporous material has been studied. The unaltered
structural order of the fiber-like SBA-15 before and after the adsorption has
been confirmed by FT-IR, SEM and N2 adsorption. The amount of adsorbed Candida
sp.99-125 lipase depends both on the solution pH and reaction time. Good
adsorption capacity of Candida sp.99-125 lipase on fiber-like SBA-15 may be due
to solution pH from 5.0 to 9.0 especially at 7.0 (93.99 mg enzyme per gram silica
is obtained and the activity recovery is 281.05%). A high lipase loading (135.9
mg enzyme per gram silica) was obtained, but it did not produce a proportionate
level of catalytic activity. The immobilized Candida sp.99-125 lipase showed
increased adaptability in the hydrolysis of p-nitrophenyl acetate compared to
free Candida sp.99-125 lipase at pH 5.0-9.0. Meanwhile, the immobilized Candida
sp.99-125 lipase showed higher thermal stability than that of free Candida sp.99
125 lipase. And the synthesis of retinyl palmitate in organic solvent with the
immobilized Candida sp.99-125 lipase was investigated. The influence factors,
such as: the solvent used, the molar ratio and concentrations of substrates, the
reaction time and the amount of lipase were studied and optimized. In the
conditions of transesterificating 0.164 g retinyl acetate and 0.32 g palmitic
acid, 10 mL of solvent hexane, 1:4 of mass ratio of lipase to retinyl acetate,
and 6 hours of reaction time, 74.6% of retinyl acetate was converted into retinyl
plamitate.
PMID- 22097464
TI - Curcumin associated magnetite nanoparticles inhibit in vitro melanoma cell
growth.
AB - Curcumin is a natural product possessing therapeutic properties but the low water
solubility of this compound limits its use. We have successfully incorporated
curcumin into a bilayer of dodecanoic acid attached to magnetite nanoparticles in
an effort to maximize solubility and delivery efficiency. Curcumin/magnetite
nanoparticles were characterized using diffused reflectance infra-red fourier
transform spectroscopy (DRIFTS) and X-ray powder diffraction (XRD). Moreover
curcumin associated magnetite nanoparticles inhibited in vitro melanoma cell
growth. An inhibitory concentration (IC50) of 66.0 +/- 3.0 microM (48 +/- 2.2
microg-iron/mL) was observed for the curcumin/magnetite nanoparticles.
Fluorescent microscopy revealed that curcumin associated magnetite nanoparticles
were internalized by the melanoma cells and remained in the cytoplasm. The
curcumin/magnetic nanoparticles synthesized in this study possess magnetic and
water solubility properties making this a novel curcumin formulation with
therapeutic potential.
PMID- 22097465
TI - Targeted labeling of cancer cells using biotin tagged avidin functionalized
biocompatible fluorescent nanocrystals.
AB - The present study details the development of biotin tagged avidin functionalized
Zinc Sulphide [ZnS] nanocrystals through a simple aqueous chemistry route at room
temperature for targeted imaging applications. Surface functionalization of
Manganese doped ZnS nanocrystals with L-cysteine provided functional groups that
facilitated its conjugation to avidin. Further biotinylation of these particles
through the strong non-covalent interaction between biotin and avidin enabled
highly specific labeling of the biotin receptors on human hepatocellular
carcinoma (HepG2) cells. The nanobioconjugates thus developed exhibited stable
and brilliant fluorescence upon labeling the biotin receptors on cells as
observed through fluorescence microscopy. Characterization studies using X-ray
diffraction, dynamic light scattering as well as Fourier transform infrared
spectroscopy revealed the bioconjugated particles to be appropriately
functionalized and stable, with size ranging from 50 to 80 nm. Cytotoxicity of
this material system evaluated using MTT, LDH leakage and apoptosis assay
revealed its non-toxic nature even for high concentrations extending upto 250
microM and 48 hours of incubation. Our results confirmed that biotinylated ZnS
nanocrystals offer great potential for highly specific labeling and targeted
imaging of cancer cells.
PMID- 22097466
TI - Photocatalytic bactericidal mechanism of nanoscale TiO2 films on Escherichia
coli.
AB - Two kinds of nanoscale TiO2 films were prepared by magnetron sputtering and
screen printing methods, respectively. Results show that both phase composition
and specific surface area of the film affect the photocatalytic bactericidal
efficiency. Time-series in situ atomic force microscopy (AFM) observation were
further used to characterize the cellular responses of Escherichia coli (E. coli)
in photocatalytic process. Some nanosized patches were found on the bacterial
surface in the forepart of photocatalytic reaction. It suggested that the
photocatalytic attack induced the self-protection of bacteria at first.
Subsequently, some cracks on the surface and the enlargement of cell body
indicated that the cell wall was damaged and lost its structure supporting
function, and it eventually led to the death of bacteria.
PMID- 22097467
TI - Single nucleotide variation detection on 3D DNA microarray by ligation of two
terminal-modified universal probes.
AB - Exploiting the advantages of three-dimensional (3D) DNA microarray, we put
forward a novel strategy termed "ligation of two-terminal-modified universal
probes" for single nucleotide variation (SNV) detection on 3D microarray. By
performing specific ligation reaction between the unmodified hybridization primer
with 3' hydroxyl terminus and the universal probe with phosphorylated 5' terminus
and fluorescently labeled 3' terminus, two point mutations (C3206T and A5301G) in
the PCR products immobilized on the 3D polyacrylamide gel DNA microarray were
accurately discriminated. This method can not only maintain the predominance of
3D DNA microarray as a platform with high through-put, but also can exert this
predominance to the cases of detecting a small quantity of samples and multiple
SNVs since four universal probes can be employed to detect all SNVs, therefore,
it is more feasible for laboratory research and provide an effective tool for
clinical diagnosis.
PMID- 22097468
TI - Visualisation of morphological interaction of diamond and silver nanoparticles
with Salmonella Enteritidis and Listeria monocytogenes.
AB - Currently, medicine intensively searches for methods to transport drugs to a
target (sick) point within the body. The objective of the present investigation
was to evaluate morphological characteristics of the assembles of silver or
diamond nanoparticles with Salmonella Enteritidis (G-) or Listeria monocytogenes
(G+), to reveal possibilities of constructing nanoparticle-bacteria vehicles.
Diamond nanoparticles (nano-D) were produced by the detonation method.
Hydrocolloids of silver nanoparticles (nano-Ag) were produced by electric non
explosive patented method. Hydrocolloids of nanoparticles (200 microl) were added
to bacteria suspension (200 microl) in the following order: nano-D + Salmonella
E.; nano-D + Listeria monocytogenes; nano-Ag + Salmonella E; nano-Ag + Listeria
monocytogenes. Samples were inspected by transmission electron microscopy.
Visualisation of nanoparticles and bacteria interaction showed harmful effects of
both nanoparticles on bacteria morphology. The most spectacular effect of nano-D
were strong links between nano-D packages and the flagella of Salmonella E. Nano
Ag were closely attached to Listeria monocytogenes but not to Salmonella E. There
was no evidence of entering nano-Ag inside Listeria monocytogenes but smaller
particles were placed inside Salmonella E. The ability of nano-D to attach to the
flagella and the ability of nano-Ag to penetrate inside bacteria cells can be
utilized to design nano-bacteria vehicles, being carriers for active substances
attached to nanoparticles.
PMID- 22097469
TI - Nano rods for coloured glasses obtained by hybrid sol-gel coating.
AB - Many new materials are now allowing new properties thanks to nanotechnology
because this domain of physics gives possibilities to optimize targeted
properties even if these materials react in very various influential parameters.
Architectural, automotive, bone pathologies, environment, display applications
are some concerned domains. The sol-gel process is a method allowing the
realisation of coats at ambiant temperature, thus it is possible to realize
Liquid Crystal Display (LCD), water-repellent coatings on privacy glass,
antireflective coatings, hydrophobic or hydrophilic surfaces, bone tissue
regeneration. In this study, the purpose is to show the thermal influence on a
covered glass with a complex hybrid sol-gel solution. This coated glass is going
to change color from red to orange under the heat influence. This color change
effect comes from the evolution of various compounds organizations then/or from
their loss during the degassing sequence. We show in spite of the complexity of
the process that the responsible is mainly the organic dye. Thus the structure of
the heated glass at 250 degrees C looks radically different than the heated one
at 350 degrees C. SEM measurement allows to identify the surface compositions and
to determine the elementary composition along the sample's cross section. TGA is
used to justify a mass loss when samples are annealed. UV/Visible measurement is
realized by two methods: in-line transmission to evaluate luminous flux and thus
give colorimetric dot in the normalized CIE diagram and diffuse transmission to
observe the size influence of the pigments. Infrared Reflectivity allows to
evaluate the influence of species on the structure and to better target the
nature of the lost compounds during annealing. TEM measurement proves that the
obtained iron particles are nano rods for both samples.
PMID- 22097470
TI - Interface and temperature dependent magnetic properties in permalloy thin films
and tunnel junction structures.
AB - Magnetization dynamics and field dependent magnetization of different devices
based on 25-30 nm thick Permalloy (Py) films: such as single Py layers (Py/MgO;
Py/CoFeB/Al2O3) and Py inserted as a magnetic layer in magnetic tunnel junctions
(Py/CoFe/Al2O3/CoFe; Py/CoFeB/Al2O3/CoFe; Py/MgO/Fe) have been extensively
studied within a temperature range between 300 K down to 5 K. The dynamic
response was investigated in the linear regime measuring the ferromagnetic
resonance response of the Py layers using broadband vector network analyzer
technique. Both the static and the dynamic properties suggest the possible
presence of a thermally induced spin reorientation transition in the Py interface
at temperatures around 60 K in all the samples investigated. It seems, however,
that the details of the interface between Py and the hardening
ferromagnet/insulator structure, the atomic structure of Py layers (amorphous vs.
textured) as well as the presence of dipolar coupling through the insulating
barrier in the magnetic tunnel junction structures could strongly influence this
low temperature reorientation transition. Our conclusions are indirectly
supported by structural characterization of the samples by means of X-Ray
diffraction and high resolution transmission electron microscopy techniques.
Micromagnetic simulations indicate the possibility of strongly enhanced surface
anisotropy in thin Py films over CoFe or CoFeB underlayers. Comparison of the
simulations with experimental results also shows that the thermally-induced spin
reorientation transition could be influenced by the presence of strong disorder
at the surface.
PMID- 22097471
TI - Reverse micelle microstructural transformations induced by surfactant molecular
structure, concentration, and temperature.
AB - We have investigated the microstructural transformations of nonionic surfactant
reverse micelles induced by surfactant molecular architecture, surfactant
concentration, and temperature in nonaqueous media. The investigations were based
on small-angle X-ray scattering (SAXS) and rheometry techniques. Polyglycerol
polyoleic acid esters spontaneously self-assembled into reverse micelle in n
decane under ambient conditions, whose shape, size, and internal structure could
be controlled by the surfactant molecular architecture, concentration, and
temperature. The maximum size of the micelles was found to increase with an
increase in the hydrophilic headgroup size of the surfactant. On the other hand,
an opposite trend was observed with an increase in the number of oleate chain per
surfactant molecules, which was well supported by rheology data; viscosity
decreased with the number of oleate chain per surfactant molecule. The SAXS and
rheology data have shown a clear evidence of one dimensional micellar growth with
increase in the surfactant concentration. The relative viscosity, eta(r), of the
reverse micelle exhibited steeper concentration dependence behavior than those
predicted for a dispersion of spherical particles based on the Krieger-Dougherty
relation which provided a clear evidence of the presence of elongated micelles at
higher concentration. An ellipsoidal prolate-to-sphere type transition was
observed upon heating.
PMID- 22097472
TI - Chiral recognition of mandelic acid by L-MA derivative-modified sensor in liquid
environment.
AB - This study demonstrates a new approach for the highly selective molecular
recognition of chiral mandelic acid using an L-mandelic acid derivative with an
optically active hydroxyl group as the selector. The proposed method is based on
quartz crystal microbalance (QCM) detection combined with functional multilayer
film techniques in a liquid environment. The present chiral recognition results
suggest that the L-mandelic acid derivative is an excellent resolving agent for
detecting chiral mandelic acid.
PMID- 22097473
TI - Chiral zinc phenylalanine nanofibers with fluorescence.
AB - Chiral Zn(II)/D-,L-phenylalanine (Phe) bio-coordination polymer nanofibers with
fluorescence were prepared by fast coordination-assisted assembly. The synthetic
strategy is based on the fact that the Zn2+ ions were linked to oxygen atoms from
carboxylate groups of the D- or L-amino acid by coordination interactions to form
the chiral polymers. The Zn(II)/D-,L-Phe nanofibers had homogeneous diameters in
the range of 700-900 nm and ultra-long length in several hundred micrometers, and
the surface of the fiber was extremely smooth. In addition, the enantiomers of
Zn(II)/Phe nanofibers exhibited both optical activity and fluorescent property in
the solid state, which has great potential for application in the field of
biomimetic nanofabrication and micro-/nano-optoelectronics.
PMID- 22097474
TI - Average plasmonic enhancement of molecules-doped Au-NS@SiO2 on fluorescence.
AB - The average plasmonic enhancement of Au nanoshell (Au-NS) coated by a molecules
doped silica layer (Au-NS@SiO2) on molecular fluorescence is studied
theoretically to estimate the overall performance of a large number of Au
NS@SiO2. Using Mie theory and dyadic Green's functions, analytical solutions of
the excitation rate and the apparent quantum yield are obtained to calculate the
enhancement factor of Au-NS@SiO2 on the fluorescence of a molecule with a
specific orientation and location at a specific excitation wavelength lambda ex
and an emission wavelength lambda em. Subsequently, the average enhancement
factor (AEF) is calculated by averaging all possible orientations and locations
of the molecule. For example, AEF of Au-NS@SiO2 (a3 = 50 nm t2 = 15 nm, t1 = 25
nm) is 4.544 for a NIR fluorescence at lambda ex = 780 nm and lambda em = 820 nm.
Our results show that Au-NS is a broadband enhancer for NIR fluorescence; the
bandwidth and the peak depend on the core size and the thickness of Au shell.
PMID- 22097475
TI - The effect of tetrafluoromethane plasma post-treatment on the electrical property
of tungsten oxide nanowires.
AB - The effects of tetrafluoromethane (CF4) plasma on the surface morphology,
chemical compositions, and electrical property of tungsten oxide (W18O49)
nanowires are investigated. The nanostructured tungsten oxide nanowires with
average length of 250-350 nm were self-catalytically grown on Si substrate. By
post-treatment with CF4 plasma for 10 min, the W18O49 nanowires on the substrate
showed the highest current response. Longer CF4 plasma post-treatment time
demonstrated higher etching effect which demolished the nanowires and resulted in
lower conductivity of the samples. The disintegration of the W18O49 nanowires
layer after CF4 plasma treatment, revealed physically by the decrease of the
average thickness and chemically by the decrease of XRD peak ratio (I 23.0/I
26.0), was closely related to the overall electrical performance. The etching
effect was further reveled by Raman spectra showing the evolution of O-W-O and
W=O characteristics with the increased post-treatment time. Moreover, the
improvement of the electrical property of W18O49 nanowires was elucidated by the
exposure rate to explain the mechanism of plasma post treatment in three stages:
passivation, degradation and ablation. The maximum exposure rate, corresponding
to the maximum conductivity, was achieved by 10 min of CF4 plasma treatment. The
time-differentiated exposure analyses confirmed the evolution of resistance of
W18O49 nanowires on Si with different post-treatment time which supported the
results of surface characterizations.
PMID- 22097476
TI - Controlled synthesis and up-conversion emission of rare-earth tri-doped NaYF4
nanocrystals under femtosecond-laser excitation.
AB - Cubic nanocrystal and hexagonal micro-rods NaYF4, with predictable size, shape
and phase, have been successfully synthesized through hydrothermal reaction. The
growth mechanism and the effect of mass transfer on the morphology of hexagonal
micro-prism are both discussed in detail. The increase of tri-doping lanthanide
ion concentration decreased the size of crystal particle, which was explained by
the Arrhenius rate equation together combined with the Gibbs-Thomson
relationship. Furthermore, the dopants did not only affect the sizes of tri-doped
NaYF4 micro-rods, but also impacted upon fluorescence intensity. The fluorescence
of tri-doped NaYF4: Nd3+/Yb3+/Er3+ system, excited by an 800 nm femtolaser, was
intensified with the increase of doped lanthanide ions concentration.
Nevertheless owing to the fluorescence quenching, the other two systems (NaYF4:
Nd3+/Ho3+/Er3+ and NaYF4: Nd3+/Tm3+/Er3+) did not show the same phenomenon.
PMID- 22097477
TI - Size selective excitonic transition energies in strongly confined CdSe quantum
dots.
AB - We report on the synthesis of CdSe nanocrystal quantum dots (QDs) of different
radii (R). Size dependent optical properties like increase in the confinement
energy with decreasing radius for different excitonic transitions are studied.
Different excitonic transitions are calculated from the second derivative of UV
vis absorption spectra of as synthesized CdSe QDs. The transitions are assigned
to specific states by calculating the transition energies using effective mass
approximation. A close matching of the transition energies with the experiment
suggesting that the second derivative of the absorption spectra could provide a
direct knowledge of the electronic transition for the direct band gap
semiconductor quantum dots.
PMID- 22097478
TI - Graphene-based nanostructured hybrid materials for conductive and
superhydrophobic functional coatings.
AB - A bi-functional, conductive and superhydrophobic, graphene-based nanostructured
hybrid material was fabricated. In order to construct the bi-functional hybrid
material, carbon nanotubes (CNT) and polyhedral oligomeric silsesquioxane (POSS)
were introduced. The water contact angle (WCA) of the graphene/POSS/CNT coating
reached about 155 degrees and its conductivity was about 1-10 S/cm. Such graphene
based nanostructured hybrid materials could have great potential as an antistatic
and self-cleaning coating in various applications.
PMID- 22097479
TI - High-efficiency photocatalytic degradation of methylene blue using electrospun
ZnO nanofibers as catalyst.
AB - In this work, ZnO nanofibers (ZNFs) were successfully prepared via a simple
electrospinning technique using polyvinylpyrrolidone (PVP) and zinc acetate
dihydrate (Zn(CH3COO)2 2H2O) as precursors. The obtained ZNFs have an average
diameter of ca. 95 nm and are composed of crystalline wurtzite phase. Methylene
blue (MB) dye was used to investigate the photocatalytic performance of pure
ZNFs. The study confirms that ZNFs have favorable catalytic activity, and the
best degradation efficiency of MB can exceed 90% under UV light irradiation for 3
hours. In addition, we propose a possible photodegradation mechanism.
PMID- 22097480
TI - Crystallographic phase induced electro-optic properties of nanorod blend nematic
liquid crystal.
AB - Ultrasmall ZnS or PbS nanorods encapsulated in fluid-like soft organic
surfactants show excellent miscibility in the nematic liquid crystal (LC ZLI
4792) host resulting in a novel soft matter type blend with enhanced electro
optic properties. The ultranarrow ZnS rods are of wurtzite phase and possess a
chemical bipolarity and a net dipole moment. The centrosymmetric ultranarrow PbS
rods possess a finite size and shape dependent inherent dipole moment despite
their cubic rock-salt structure. When an electric field is applied, the blend
aligns along the direction of the field producing a local unidirectional
orientation of the rods and LC directors, and defining a unique axis for the
system. The local ordering significantly affects the global ordering of the blend
allowing a more rapid response of the electro-optic properties. The degree and
switching speed of the blends depend upon the magnitude of dipole moments present
in the dopant nanorods. We show how a non-mesogenic element designed with
preferential crystallographic phase can be introduced within a LC for improvement
of the switching properties of the LC blend. These types of unique blends are a
model for fundamental conceptual advances in general understanding of interaction
behaviour leading consequently to a significant technological advancement for
superior device fabrication.
PMID- 22097481
TI - Is dye mixture more suitable rather than single dye to fabricate dye sensitized
solar cell?
AB - The steady state and time resolved spectroscopic studies reveal that two xanthene
dyes Rhodamine 6G (R6G) and Rhodamine B (RB), used in the present investigations,
form ground state hydrogen -bonded complexes with meso-tetrakis(4-carboxyphenyl)
porphyrin (TCPP). However, it is apparent that upon photoexcitation the H-bonding
complexes formed in the ground state decompose into the individual reacting
components. This presumption was confirmed from the observation of the presence
of only static quenching mode in the steady state fluorescence of the dyes in
presence of porphyrin. The photoelectrochemical properties of the free dyes and
the mixtures of each dye with porphyrin are investigated by measuring incident
photon-to-current conversion efficiency (IPCE) using ZnO electrode and also with
TiO2 electrode. It is seen that Rhodamine B-porphyrin mixture has attained
maximum IPCE among the four samples studied at approximately 550 nm using ZnO
electrode. Using TiO2 electrode, slight improvement in the value of IPCE was
found for the same mixture. Therefore Rhodamine B-porphyrin mixture may act as a
good sensitizer for converting solar energy to electrical energy.
PMID- 22097482
TI - Gold nanoparticle induces masking of amines and some therapeutic implications.
AB - Citrate capped gold nanoparticles (GNP) are effective in masking protein amines.
The extent of such masking is quantified using Fourier Transform Infra Red (FTIR)
spectroscopy. A strong correlation is shown to exist between a shift of amide-II
peak intensity (1600-1500 cm(-1)) caused by GNP and the number of exposed amines
in a given protein. The result is validated using eight different proteins. The
expected out-come of such masking is inhibition of interaction between any
external ligand and such amines. The prediction is validated using a simple non
enzymatic glycation of clinically important protein like crystallin.
PMID- 22097483
TI - Atomic and electronic properties of realizable size single-crystal GaN nanotubes
by first principles.
AB - We studied the diameter and wall thickness dependent atomic and electronic
properties of practical size single-crystal GaN nanotubes using first principle
calculations. Single-crystal GaN nanotubes are similar to the hexagonal GaN
nanowires, grown in the [0001] direction with [10-10] facets, except there is an
axial hexagonal void in them. We first demonstrated that the atomic and
electronic properties of these tubes are mainly determined by the thickness of
their wurtzite walls; and their diameters have negligible effects. Then,
considering the individual walls of GaN nanotubes in two-dimensional slab
calculations we examine the bond distances, formation energy, band gap, effective
electron mass and the evolution of electronic density of the states as a function
of thickness for unsaturated and hydrogen-saturated slabs of GaN. Calculations
revealed that the unsaturated dangling bonds at the surfaces induce defect states
in the band gap region of unsaturated tubes. Therefore, regardless of diameter
and wall thickness, their band gaps are always smaller than that of the bulk GaN.
However, the band gaps of the hydrogen-saturated tubes are found to be amplified
with respect to bulk GaN. The amplification in the band gaps as a function of
wall thickness in the range of 5.6-16.9 A and 16.9-28.1 A scales with a factor of
1/d(0.9281) and 1/d(1.769), respectively. Our results show that, regardless of
diameter, hydrogen saturated single-crystal GaN tubes with the wall thickness as
small as 28.1 A would be stable and they would have a noticeably larger band gap
with respect to the band gap of bulk GaN.
PMID- 22097484
TI - The effect of arginine on gold nanoparticles in colloidal solutions and in thin
films.
AB - Gold nanoparticles were prepared in aqueous colloidal solutions and their
interaction with L-arginine solutions at different concentrations was
investigated by UV-vis spectroscopy, transmission electron microscopy (TEM) and
atomic force microscopy (AFM). The shift towards red of the absorption maximum of
gold nanoparticles with increasing L-arginine concentration and in time, and the
apparition of a new large band at higher wavelength evidence the formation of
assemblies of gold nanoparticles, mediated by the amino acid. TEM images present
the progress in the building process of supermolecular structures. Further, the
AFM images show the self assemblies of gold nanoparticles capped with L-arginine
well ordered in large domains on silanized glass. As a model for the process, we
suggest that the positively charged guanidinium group of L-arginine is anchored
on the negative citrate capped gold nanoparticles, while the other two
functionalities of L-arginine are involved in the bonding between gold
nanoparticles. The ability of arginine to specifically bind gold nanoparticles
could lead to an increased ability of proteins, containing arginine, to
specifically bind to nanogold. Then, they bind other target proteins or different
ligands underlying numerous biological and medical applications that range from
nanoscale biosensors, cell-cell communications to targeted delivery of drugs to
cancer cells.
PMID- 22097485
TI - Carbon decorative coatings by dip-, spin-, and spray-assisted layer-by-layer
assembly deposition.
AB - We performed a comparative surface analysis of all-carbon nano-objects (multiwall
carbon nanotubes (MWNT) or graphene oxide (GO) sheets) based multilayer coatings
prepared using three widely used nanofilm fabrication methods: dip-, spin-, and
spray-assisted layer-by-layer (LbL) deposition. The resultant films showed a
marked difference in their growth mechanisms and surface morphologies. Various
carbon decorative coatings were synthesized with different surface roughness
values, despite identical preparation conditions. In particular, smooth to highly
rough all-carbon surfaces, as determined by atomic force microscopy (AFM) and
scanning electron microscopy (SEM), were readily obtained by manipulating the LbL
deposition methods. As was confirmed by the AFM and SEM analyses, this finding
indicated the fundamental morphological evolution of one-dimensional nano-objects
(MWNT) and two-dimensional nano-objects (GO) by control of the surface roughness
through the deposition method. Therefore, an analysis of the three LbL-assembly
methods presented herein may offer useful information about the industrial use of
carbon decorative coatings and provide an insight into ways to control the
structures of multilayer coatings by tuning the morphologies of carbon nano
objects.
PMID- 22097486
TI - Nanostructure and chemical characterisation of individual NiFe/Pt multilayer
nanowires.
AB - NiFe/Pt multilayer nanowires have been successfully fabricated by pulse
electrodeposition into the channels of porous anodic aluminum oxide (AAO)
templates, and characterized at the nanoscale. Individual nanowires have uniform
structure and regular periodicity. The NiFe and Pt layers are polycrystalline,
with random orientation fcc lattice structure crystallites and grain sizes 3-10
nm, and the average layer growth rate is 30 nm/s for NiFe and 4 nm/s for Pt.
Nanoscale chemical analysis of individual NiFe/Pt nanowires by EDX and EELS shows
that they contain alternating NiFe and Pt layers, with a small approximately 1%
inclusion of Pt in the NiFe layer due to electrochemical co-deposition.
PMID- 22097487
TI - Synthesis and morphological control of europium doped cadmium sulphide
nanocrystals.
AB - Europium doped cadmium sulphide (Cd(0.98)Eu(0.2)S) nanostructures were
synthesised by chemical co-precipitation method using ethylene glycol (EG) and
deionized water (Eu:CdS-1), and isopropyl alcohol (IPA) and deionized water
(Eu:CdS-2) as mixed solvents. It has been found that the nanostructure of the
europium doped CdS can be controlled by simply varying the mixed solvent system.
Powder XRD pattern reveals the formation of hexagonal (wurtzite) and cubic (zinc
blende) structure for Eu:CdS-1, and Eu:CdS-2, respectively. The crystallite size
of the sample prepared using IPA and deionized water was measured to be 2.64 nm
which is much smaller than that of the sample prepared using EG and deionized
water as mixed solvent (3.65 nm). Morphology of the materials can also be changed
from flower shaped crystals to paddy like structures by varying the mixed
solvents. Band gap values of Eu3+ doped CdS nanocrystals synthesized from two
different solvents were estimated using UV-reflectance spectra. The size and
crystallinity of the samples were confirmed by HRTEM and SAED analysis. A
significant change in the PL emission of the CdS nanocrystals was observed for
the europium doped CdS which is mainly due to the presence of EU3+ ions which
also play a significant role in the energy transfer process. It was also observed
that the shift in the emission and efficiency depends on size and shape of the
synthesised nanoparticles.
PMID- 22097488
TI - Direct measurements of the Young's modulus of a single halloysite nanotube using
a transmission electron microscope with a bending stage.
AB - Halloysite nanotubes (HNTs) are a naturally occurring nanotubular aluminosilicate
mineral, which has been used to prepare nanocomposites with exceptional
mechanical properties. In order to understand the roles of nanotubes during the
deformation and fracture of nanocomposites, a state-of-the-art transmission
electron microscope (TEM) with a bending stage was used to measure the Young's
modulus of individual HNTs. TEM micrographs showed that the HNTs were surprising
flexible and could be bent to almost 90 degrees without fracture. There was no
observable reduction in the cross-sectional area of the bent HNTs. The findings
suggest that HNTs, as a nanofiller, have a good potential to be used in high
performance structural materials, especially polymer-based nanocomposites.
PMID- 22097489
TI - Microwave-assisted facile synthesis of palladium nanoparticles in HEPES solution
and their size-dependent catalytic activities to Suzuki reaction.
AB - Palladium nanoparticles (NPs) were successfully synthesized via a rapid and
facile microwave route in HEPES (2-[4-(2-hydroxyethyl)-1
piperazinyl]ethanesulfonic acid) buffer solution. The shape- and size-controlled
Pd nanoparticles could be obtained by one-step method without dependence of seed
mediated growth. The capping agent plays a key role in the formation of Pd NPs
with different shape and size, which could be tuned by varying capping agents
such as polyvinylpyrrolidone (PVP), cetyltrimethylammonium bromide (CTAB), sodium
citrate (Na3(cit)) and potassium bromide (KBr). The size-dependent catalytic
activities of the obtained Pd NPs for Suzuki coupling reaction were also
investigated. It demonstrated that the catalytic activity of Pd NPs was enhanced
regularly with the decrease of particle size. Pd NPs less than 10 nm exhibited
better catalytic activities for Suzuki reaction than the commercial Pd/C
catalyst. Pd/MWCNTs and Pd/SBA-15 nanocomposites were also prepared by a facile
method and afforded good catalytic activity and reusability. This "green"
synthetic protocol could be used as a general method for the rapid synthesis of
transition metal nanoparticles.
PMID- 22097490
TI - The morphology-dependent photocatalysis for rhodamine B degradation over Bi2WO6
hierarchical nanostructure.
AB - In this paper, the nanostructured Bi2WO6 with different hierarchical morphologies
was synthesized via a warmly hydrothermal route. The structure and morphology of
the as-prepared Bi2WO6 products were characterized by X-ray diffraction (XRD),
field emission scanning electron microscope (FE-SEM), UV-vis absorption
spectroscopy (UV-Vis) and N2-sorption analysis. The photocatalytic efficiency of
Bi2WO6 was investigated by photodegradation of rhodamine B (RhB) under visible
light irradiation. The present work demonstrated that Bi2WO6 with four different
hierarchical structures was effective visible-light-driven photocatalytic
functional material for environmental purification. Moreover, the nest-like
Bi2WO6 exhibited superior photocatalytic effects on rhodamine B degradation
compared with other three Bi2WO6 morphologies. The excellent catalytic effect of
the nest-like Bi2WO6 was attributed to its unique structural property and large
surface area. The relationship between morphology and photocatalytic performance
was discussed in detail. The photocatalytic mechanism for the degradation of RhB
was also investigated, which revealed the important role of morphology in
improving the photocatalyitc activities of Bi2WO6.
PMID- 22097491
TI - Microwave-controlled facile synthesis of well-defined PbS hexapods.
AB - Controlled synthesis of well-defined PbS nanostructures in terms of size and
shape has been strongly motivated by their potential applications ranging from
solar photovoltaics to near-infrared optics. Hereby, we report a facile microwave
assistant method for ultrafast fabrication of PbS nanostructures, by which
uniform PbS hexapods with six arms stretching along six (100) directions of the
crystal seeds have been easily synthesized within minutes. Various morphologies
including rectangle plates, uniform cubes as well as nanoparticles were obtained
by tuning the parameters for the formation of PbS nanocrystals. The results
reveal that both concentration and feed ratio of precursors determine the growth
of PbS nanocrystals significantly. And higher initial precursor concentration
favors the formation of the hexapod structures. The process of crystal growth is
monitored through scanning electron microscopy of PbS from different durations of
the reaction. This controlled ultrafast synthesis of PbS structures at nanometer
and micrometer scale with various morphologies may be promising in large scale
fabrication of nanostructures. Based on the systematically study of the growth
process, a possible mechanism for the formation of the hexapod-like structure is
discussed.
PMID- 22097492
TI - Poly(lactic acid)/graphene nanocomposites prepared via solution blending using
chloroform as a mutual solvent.
AB - Poly(lactic acid) (PLA)/graphene nanocomposites were prepared by direct solution
blending of PLA with graphene using chloroform as a mutual solvent. Graphene was
prepared by a solution-phase processing followed by thermal reduction, which can
be dispersed stably in chloroform for more than one month. Transmission electron
microscopy (TEM) was used to examine the quality of the dispersion of graphene in
the PLA matrix. The thermal properties and crystallization behavior of the
nanocomposites were investigated by thermogravimetric analysis (TGA),
differential scanning calorimetry (DSC) and polar optical microscopy (POM). The
results showed that the thermal stability of PLA was significantly improved with
a very low loading of graphene and the addition of graphene had a great effect on
spherulite morphology of PLA.
PMID- 22097493
TI - Biomolecule-assisted green route to Sb2S3 crystals with three-dimensional
dandelionlike patterns.
AB - This paper describes a simple biomolecule-assisted solvothermal approach to
fabricate the three-dimensional (3D) Sb2S3 microsphere with a wealth of novel
morphologies in the presence of L-cysteine, which served as both the sulfur
source and the directing molecule in the formation of antimony sulfide
nanostructures. The effects of different solvents, and polyvinylpyrrolidone (PVP)
on the morphology, structure, and phase composition of the as-prepared Sb2S3
products were discussed. The formation of 3D dandelionlike Sb2S3 microsphere was
probably via the mechanism of the orientated aggregation growth of the Sb2S3
particles under the complexing action of L-cysteine, and co-action of the
surfactant PVP. The absorption spectra of as-prepared 3D dandelionlike Sb2S3
structures show an optical shoulder band gap of 1.81 eV, which is near to the
optimum for photovoltaic conversion.
PMID- 22097494
TI - In vitro radiosensitizing effects of ultrasmall gadolinium based particles on
tumour cells.
AB - Since radiotherapy is widely used in cancer treatment, it is essential to develop
strategies which lower the irradiation burden while increasing efficacy and
become efficient even in radio resistant tumors. Our new strategy is relying on
the development of solid hybrid nanoparticles based on rare-earth such as
gadolinium. In this paper, we then evidenced that gadolinium-based particles can
be designed to enter efficiently into the human glioblastoma cell line U87 in
quantities that can be tuned by modifying the incubation conditions. These sub-5
nm particles consist in a core of gadolinium oxide, a shell of polysiloxane and
are functionalized by diethylenetriaminepentaacetic acid (DTPA). Although
photoelectric effect is maximal in the [10-100 keV] range, such particles were
found to possess efficient in-vitro radiosensitizing properties at an energy of
660 keV by using the "single-cell gel electrophoresis comet assay," an assay that
measures the number of DNA damage that occurs during irradiation. Even more
interesting, the particles have been evidenced by MTT assays to be also efficient
radiosensitizers at an energy of 6 MeV for doses comprised between 2 and 8 Gy.
The properties of the gadolinium-based particles give promising opening to a
particle-assisted radio-therapy by using irradiation systems already installed in
the majority of hospitals.
PMID- 22097495
TI - Preparation of ethosomes and deformable liposomes encapsulated with 5
fluorouracil and their investigation of permeability and retention in
hypertrophic scar.
AB - With the aim of comparing scar penetration efficiency and retention between
ethosomes and deformable liposomes both encapsulated with 5-fluorouracil (5-FU),
the 5-FU ethosomal suspensions (5-FU ES, 81.74 +/- 9.37 nm) and the 5-FU
Deformable Liposomal Suspensions (5-FU DS, 73.7 +/- 9.45 nm) were prepared
respectively by Touitou method and Cevc method, their sizes were determined by
Particle Sizer System (PSS), and their entrapment Efficiency (EE) was detected by
ultracentrifugation and microcolumn centrifugation. Their transdermal delivery
experiments were done in hypertrophic scars in vitro. The permeated amount of 5
FU and retention contents of 5-FU were both calculated by High Performance Liquid
Chromatography (HPLC). Fluorescence intensities of ES and DS labeled with
Rodanmin 6GO (Rho) were measured by Laser Scanning Microscopy (LSM). The control
groups such as the 5-FU and empty ethosomal vesicles (5-FU + EEV), the 5-FU and
empty deformable liposomal vesicles (5-FU + EDV) and 5-FU PBS Solution (5-FU Sol)
were set up. Results showed that, prepared 5-FU ES was 81.74 +/- 9.37 nm in size,
5-FU DS was 73.7 +/- 9.45 nm, EE of 5-FU ES was 10.95%, EE of 5-FU DS was 15.05%.
Within 24 hours, in the group of 5-FU ES, the penetration amount of 5-FU in scar
was 14.12 +/- 0.1 microg/mL/cm2, the retention contents of 5-FU was 10.74 +/-
1.17 microg/cm2, and the fluorescence intensity of Rho in hypertrophic scar
tissues were 182 +/- 18.3; in the group of 5-FU DS: the penetration amount of 5
FU was 12.35 +/- 1.21 microg/mLcm2; the retention contents of 5-FU was 17.48 +/-
0.82 microg/cm2, and the fluorescence intensity of Rho was 241.45 +/- 7.63; there
existed statistical difference between penetration amount in the group of 5-FU ES
and that in the group of 5-FU DS as well as control groups (P < 0.05, P < 0.01),
the penetration amount in the group of ES is markedly higher than DS group or
control groups. Conversely, the retention contents of 5-FU and the fluorescence
intensity of Rho in DS group were higher than those in ES group and control
groups (P < 0.05, P < 0.01). In conclusion, both ES and DS could deliver 5-FU
into the hypertrophic scars effectively. ES has better permeability of 5-FU than
DS, DS has higher entrapment efficiency of 5-FU, and more 5-FU deposition in
hypertrophic scar than ES. We should select ES or DS encapsulated with 5-FU
according to clinical demand for hypertrophic scar therapy.
PMID- 22097496
TI - Toxicity of nano gamma alumina to neural stem cells.
AB - Nano alumina, one of the most important nanomaterials, is widely used in diverse
areas. It was reported that nano alumina could cross the blood brain barrier to
enter the brain. Considering aluminum accumulation in brain is closely related to
many neural diseases. We studied the neural toxicity of four nano gamma-alumina
samples by using neural stem cells (NSCs) C17.2 as a model. We find that the
toxicity of nano gamma-alumina is pretty low, though these alumina particles are
easily internalized by cells. The loss of cell viability and membrane integrity
are dose-dependent and sample-dependent after alumina exposure. At concentrations
lower than 100 microg/mL, no significant toxicity is observed for all alumina
samples. When the concentration reaches 200 microg/mL, alumina treated cells
begin to loss their activities. No culture period effect (up to 3 days) is
observed. Very tiny soluble aluminum and the absorption of culture medium
ingredients onto alumina particles do not affect the cell viability.
Intracellular reactive oxygen species generation may contribute to the
cytotoxicity of alumina particles at high concentration, but it does not induce
the apoptosis of NSCs.
PMID- 22097497
TI - Interaction between fullerenes and single-wall carbon nanotubes: the influence of
fullerene size and electronic structure.
AB - A series of fullerenes and endohedral metallofullerenes peapods have been
synthesized by supercritical method in high filling rate. The interaction between
SWNTs and various kinds of fullerenes (C60, C70, C78, C84) and metallofullerenes
(Gd@C82, Er@C82, Ho@C82, Y@C82) has been further investigated. The slight blue
shift of G-band in Raman spectra with respect to pristine SWNTs was attributed to
the charge transfer from SWNTs to fullerenes cage. The obvious RBM shift strongly
depended on the distance between the inner wall of the SWNTs and the fullerene
cage and also partly associated with the electronic structure of the fullerene.
These results indicated that the interaction between fullerenes and SWNTs, which
was considered to be the van de walls interaction, can be influenced by the cage
size and the kind of fullerenes.
PMID- 22097498
TI - Nano-silver mediated polymerization of pyrrole: synthesis and gas sensing
properties of polypyrrole (PPy)/Ag nano-composite.
AB - Thermal polymerization of pyrrole was performed using silver nitrate as source of
silver ions followed by its conversion to Polypyrrole (PPy)/Ag nano-comoposites
without using any external oxidizing agent or solvent. The formation of PPy was
monitored by UV-Visible absorption spectroscopy showing a band at approximately
464 nm. XRD measurement confirmed characteristic peaks for face centered cubic
(fcc) silver and presence of PPy at 2 theta of approximately 23 degrees
suggesting the formation of PPy/Ag nanocomposite. Transmission electron
microscopy (TEM) images showed non-aggregated spherical Ag nano-particles of
about 5-10 nm. PPy/Ag thick film acts as a NH3 sensor at 100 degrees C, a H2S
sensor at 250 degrees C and CO2 sensor at 350 degrees C. The thick films showed
capability to recognize various gases at different operating temperature.
PMID- 22097499
TI - Nanostructured H(3+x)PW(12-x)NbxO40 (x = 0-3) Keggin heteropolyacid catalysts.
AB - Nanostructured H(3+x)PW(12-x)NbxO40 (x = 0, 1, 2, 3) Keggin heteropolyacid (HPA)
catalysts were investigated by scanning tunneling microscopy (STM) and tunneling
spectroscopy to probe their redox property and oxidation catalysis. STM image
showed that the HPAs formed two-dimensional well-ordered monolayer arrays on
graphite surface. In tunneling spectra of the HPAs deposited on graphite, they
exhibited a distinctive current-voltage behavior referred to as negative
differential resistance (NDR). NDR peak voltage measured atop HPA molecule was
then correlated with reduction potential and absorption edge energy determined by
electrochemical method and UV-visible spectroscopy, respectively. It was revealed
that NDR peak voltage of the HPAs appeared at less negative voltage with
increasing reduction potential and with decreasing absorption edge energy. In
order to correlate NDR peak voltage of H(3+x)PW(12-x)NbxO40 Keggin HPAs with
oxidation catalysis, oxidative dehydrogenation of isobutyraldehyde to
methacrolein was carried out as a model reaction. NDR peak voltage of the HPAs
appeared at less negative voltage with increasing yield for methacrolein.
PMID- 22097500
TI - TiO2 and SnO2 magnetic nanocomposites: influence of semiconductors and synthetic
methods on photoactivity.
AB - A number of reports have been published on use of TiO2 in thin films, magnetic
nanocomposites, or heterostructures such as TiO2/Ag and TiO2/SnO2, as catalysts
for water decontamination. Hence, semiconductor materials such as SnO2,
associated with TiO2 in such nanocomposites, should be assessed in depth for such
applications, especially those involving complex structures, such as magnetic
photocatalytic nanocomposites. The present study describes the synthesis,
characterization and testing of the photocatalytic potential of TiO2 or SnO2
magnetic nanocomposites obtained by the polymeric precursor and the hydrolytic
sol-gel methods. The nanocomposites TiO2/CoFe2O4 and SnO2/CoFe2O4 were
synthesized from polymeric precursors while TiO2/Fe3O4 and SnO2/Fe3O4 were
synthesized by the hydrolytic sol-gel method. The materials were characterized by
X-ray diffraction (XRD), scanning electron microscopy (FEG/SEM) and transmission
electron microscopy (TEM). The photocatalytic potentials were evaluated by
rhodamine B dye photodegradation under UV-C radiation. Compared to SnO2, the
nanocomposites with a coating of TiO2 were found to show better photocatalytic
activity, but the SnO2 magnetic nanocomposites showed some photocatalytic
activity, even though SnO2 is reported to be inactive for these purposes. As for
the synthesis method, the nanocomposites obtained from polymeric precursors had
smaller surface areas, but higher photocatalytic activity, than those obtained by
the hydrolytic sol-gel method. This observation was attributed to the higher
crystallinity and a more active surface resulting from calcination of the
polymeric precursor material.
PMID- 22097501
TI - Crystallization, mechanical properties, and controlled enzymatic degradation of
biodegradable poly(epsilon-caprolactone)/multi-walled carbon nanotubes
nanocomposites.
AB - Biodegradable poly(epsilon-caprolactone) (PCL)/multi-walled carbon nanotubes
containing carboxylic groups (f-MWNTs) nanocomposites were prepared via simple
melt compounding at low f-MWNTs loading in this work. Scanning and transmission
electron microscopy observations indicate a homogeneous and fine distribution of
f-MWNTs throughout the PCL matrix. The effect of low f-MWNTs loading on the
crystallization, mechanical properties, and controlled enzymatic degradation of
PCL in the nanocomposites were studied in detail with various techniques. The
experimental results indicate that the incorporation of f-MWNTs enhances both the
nonisothermal crystallization peak temperature and the overall isothermal
crystallization rate of PCL in the PCL/f-MWNTs nanocomposites relative to neat
PCL; moreover, the incorporation of a small quantity of f-MWNTs has improved
apparently the mechanical properties of the PCL/MWNTs nanocomposites compared to
neat PCL. The enzymatic degradation of neat PCL and the PCL/f-MWNTs
nanocomposites at low f-MWNTs loading was studied in detail. The variation of
weight loss with enzymatic degradation time, the surface morphology change, the
reduced film thickness, the appearance of f-MWNTs on the surface of the films,
and the almost unchanged molecular weight after enzymatic degradation suggest
that the enzymatic degradation of neat PCL and the PCL/f-MWNTs nanocomposites may
proceed via surface erosion mechanism. The presence of f-MWNTs reduces the
enzymatic degradation rate of the PCL matrix in the nanocomposites compared with
that of the pure PCL film.
PMID- 22097502
TI - Synthesis and characterization of CLEA-lysozyme immobilized PS/PSMA nanofiber.
AB - Using 0.2% glutaraldehyde as the cross-linker, lysozyme was covalently
immobilized onto electrospun polystyrene/poly(styrene-co-maleic anhydride)
(PS/PSMA) nanofibers as cross-linked enzyme aggregates (CLEA). The lysozyme
capacity of PS/PSMA nanofibers under optimal condition was 57.6 mg/g of
nanofibers. Various parameters were used to evaluate the stability of the
immobilized CLEA-lysozyme. Compared to free enzyme, the immobilized CLEA-lysozyme
exhibited its optimal enzymatic activity at higher temperature and pH. The
immobilized CLEA-lysozyme maintained more than 78% of its initial activity during
30 days of storage period. Additionally, the immobilized CLEA-lysozyme presented
a high antibacterial activity against Staphylococcus aureus. The durability
determinations of such nanofibers showed 90.3% retention of the initial lysozyme
activity after 80 consecutive reuses, and 81.2% of bacteriostasis ratio after 10
cycles. The results of this study suggest that CLEA-lysozyme immobilized
nanofiber which can stabilize its enzymatic activity through cross-linking
immobilization can be beneficial for various antibacterial processes.
PMID- 22097503
TI - Tough yttria-stabilized zirconia ceramic by low-temperature spark plasma
sintering of long-term stored nanopowders.
AB - Weakly agglomerated 1.75 and 3 mol% yttria stabilized zirconia nanopowders were
used in this study after six years of storage in vacuum-processed plastic
containers. The proper storage conditions of the Y-TZP nanopowders avoided the
hard agglomeration. Untreated and bead-milled nanopowders were used to obtain
dense ceramics by slip casting and subsequent low-temperature sintering. Fully
dense nanostructured 1.75Y-TZP and 3Y-YZP ceramics with and without doping of 1
wt% Al2O3 were produced by an optimized spark plasma sintering (SPS) technique at
the temperatures of 1050-1150 degrees C at a pressure of 100 MPa. The SPS has
revealed the clear advantage of consolidation of the weakly agglomerated
nanopowders without preliminary deagglomeration. The Vickers hardness of both the
low-temperature and spark plasma sintered samples was found to lie in the range
of 10.98-13.71 GPa. A maximum fracture toughness of 15.7 MPa m(1/2) (average
14.23 MPa m(1/2)) was achieved by SPS of the 1.75Y-TZP ceramic doped with 1 wt%
Al2O3 whereas the toughness of the 3Y-TZP ceramics with and without alumina
doping was found to vary between 3.55 and 5.5 MPa m(1/2).
PMID- 22097504
TI - Ni2+ doped indium oxide nanocubes: doped contents inducing transferring of their
intrinsic magnetisms.
AB - A series of Ni2+ doped indium oxide nanocubes with different Ni2+ contents
(nominally from 3 at.% to 20 at.%) were prepared by direct solvothermal method.
We found that the highest Ni2+ doped percentage was 20 at.% in the experiment and
crystalline sizes of these Ni2+ doped indium oxide specimens linearly increased
with increments of doped contents and then decreased. Meanwhile, their magnetisms
were also transferred from ferromagnetism to paramagnetic properties due to the
stronger Ni-O-Ni paramagnetic chemical bonds. HRTEM, SAED and XRD further
confirmed their magnetic properties were intrinsic and not caused by second
impure phases.
PMID- 22097505
TI - Surface nanostructuring of boron-doped diamond films and their electrochemical
performance.
AB - Uniform and vertically aligned nanocone and nanopillar arrays were successfully
constructed on heavily boron-doped nanocrysatlline diamond films by carrying out
bias-assisted reactive ion etching in hydrogen/argon plasmas. The electrochemical
properties of the nanostructured boron-doped diamond films were investigated by
cyclic voltammetry using 1 mM [Fe(CN)6](3-/4-) as redox couple. Compared to the
planar boron-doped nanocrystalline diamond film electrode, the surface
nanostructuring of boron-doped diamond film electrodes demonstrate enhanced
sensitivity due to their enlarged electro-active surface areas. The results
indicated that boron-doped diamond nanocones and nanopillars are promising
electrode materials which benefit to improve the efficiency, sensitivity and
reproducibility of biomedical and chemical sensors.
PMID- 22097506
TI - From nanotubes to nano-cocoons with coiled pore channels.
AB - Silica nanotubes with coiled pore channels and hollow spheres with coiled or
concentric circular pore channels have been prepared using the self-assemblies of
chiral cationic amphiphiles as templates. However, the relationship among these
morphologies and pore architectures has not been well studied. For a better
understanding the relationship, silica nanostructures were systematically
prepared by tuning the reaction conditions. With increasing the stirring rate,
silica nanotube with coiled pore channels changed to linked nano-cocoon with
coiled pore channels. With increasing the concentration of the reaction mixture,
the morphology changed from sphere to linked cocoon, and then to nanorod with
lamellar mesopores on the surface. Transmission electron microscopy images taken
after different reaction times indicated a cooperation mechanism.
PMID- 22097507
TI - Comparison studies between hydrogenation and oxidation of MWNTs followed by acid
treatment.
AB - MWNTs obtained using iron catalyst and ethylene as a carbon source were submitted
to a purification procedure. To purify these materials from amorphous carbon two
parallel methods--based on either hydrogen or air treatment--were applied. At the
second purification stage iron particles were removed using 1 M or 5 M nitric or
hydrochloric acids. The phase composition of the samples was determined using X
ray diffraction method. The sample morphology was characterized using a high
resolution transmission electron microscopy. The relative fraction of impurities
in the samples was estimated by Raman spectroscopy and the quantitative analysis
of metal impurity content was validated by means of thermogravimetric analysis.
PMID- 22097508
TI - Enhanced mechanical properties of electrospun nano-fibers through NaCl mediation.
AB - Electrospun (ES) nano-scale polymer fibers are known to exhibit lower Young's
modulus and strength than their bulk counterpart. We have discovered that minute
additions of sodium chloride (NaCl) during the preparation stage of ES polymethyl
methacrylate (PMMA) fibers raises the fiber mechanical properties in a
significant way, nearly up to bulk values, over a range of diameters. NaCl
induced electrical effects leading to enhanced molecular alignment during nano
fiber formation is the most likely explanation for this synergistic effect.
Moreover, beyond the now-recognized rise in Young's modulus values, we observed
that the strength and tensile toughness of the ES fibers also significantly
increase at progressively smaller diameters.
PMID- 22097509
TI - Mono-disperse silver quantum dots modified formvar film.
AB - Formvar films were treated with different doses of silver ions implantation. The
implanted silver ions were found to form silver quantum dots (AgQDs) uniformly
distributed in the formvar films. While density of the AgQDs increases as the
implantation dose increases, their sizes are not sensitive to the dose. It was
found that the formvar films implanted with AgQDs have excellent bacteria killing
capability. The same implantation approach can also be extended for application
in other matrices. As an example, ZnS nanoribbon was implanted with the same
approach to obtain uniformly distributed AgQDs with monodispersed size.
PMID- 22097510
TI - Facile microwave-combustion synthesis of wurtzite CdS nanoparticles.
AB - In this study we first report microwave-combustion synthesis of faceted CdS
nanoparticles by using cadmium thiocyanate complex as a single source precursor.
This is the first example of a metal-thiocyanate (M-SCN) complex being used as a
source for metal sulfides (M-S) preparation in a microwave-combustion process.
The synthesized CdS was characterized using X-ray diffraction (XRD), field
mission scanning electron microscopy (FE-SEM), and high-resolution transmission
electron microscopy (HR-TEM). The by-product assisted combustion synthesis yields
CdS nanoparticles with the mixtures of octahedral geometries, hexagonal, and
triangle plate morphologies and the sizes were found to be 100 nm to 5 microm.
The XRD patterns imply the formation of well crystallized wurtzite CdS. The
influence of cadmium and sulfur precursors and microwave irradiation time on the
morphology of CdS nanoparticle was also investigated. The cadmium and sulfur
precursors strongly influenced the CdS morphology and increasing the microwave
irradiation time and intensity has no effect on the CdS morphology. In addition,
a plausible mechanism of CdS nanoparticle formation has been proposed in this
research.
PMID- 22097511
TI - Metalcones: hybrid organic-inorganic films fabricated using atomic and molecular
layer deposition techniques.
AB - Hybrid organic-inorganic films can be deposited using atomic layer deposition
(ALD) and molecular layer deposition (MLD) techniques. A special set of hybrid
organic-inorganic films based on metal precursors and various organic alcohols
yields metal alkoxide films that can be described as "metalcones." Many metalcone
films are possible such as the "alucones" and "zincones" based on the reaction of
trimethylaluminum and diethylzinc, respectively, with various organic alcohols
such as ethylene glycol (EG). This paper reviews the previous work on metalcone
MLD and discusses a variety of new metalcone systems. "Titanicones" are grown
using TiCl4 and glycerol or EG and "zircones" are grown using zirconium tetra
tert-butoxide and EG. In addition, the organic alcohol can also be varied to
change the properties within one metalcone family. For example, the glycerol
triol precursor allows for more cross-linking and higher toughness in alucones
than the EG diol precursor. Alloys can also be formed by combining metalcone MLD
and metal oxide ALD. By varying the relative number of cycles of MLD and ALD, the
composition and properties of the hybrid organic-inorganic films can be tuned
from pure metalcone MLD to pure metal oxide ALD.
PMID- 22097512
TI - Synthesis of active carbon-based catalysts by chemical vapor infiltration for
nitrogen oxide conversion.
AB - Direct reduction of nitrogen oxides is still a challenge. Strong efforts have
been made in developing noble and transition metal catalysts on microporous
support materials such as active carbons or zeolites. However, the required
activation energy and low conversion rates still limit its breakthrough.
Furthermore, infiltration of such microporous matrix materials is commonly
performed by wet chemistry routes. Deep infiltration and homogeneous precursor
distribution are often challenging due to precursor viscosity or electrostatic
shielding and may be inhibited by pore clogging. Gas phase infiltration, as an
alternative, can resolve viscosity issues and may contribute to homogeneous
infiltration of precursors. In the present work new catalysts based on active
carbon substrates were synthesized via chemical vapor infiltration. Iron oxide
nano clusters were deposited in the microporous matrix material. Detailed
investigation of produced catalysts included nitrogen oxide adsorption, X-ray
diffraction, scanning electron microscopy and energy-dispersive X-ray
spectroscopy. Catalytic activity was studied in a recycle flow reactor by time
resolved mass spectrometry at a temperature of 423 K. The infiltrated active
carbons showed very homogeneous deposition of iron oxide nano clusters in the
range of below 12 to 19 nm, depending on the amount of infiltrated precursor. The
specific surface area was not excessively reduced, nor was the pore size
distribution changed compared to the original substrate. Catalytic nitrogen
oxides conversion was detected at temperatures as low as 423 K.
PMID- 22097513
TI - Remote plasma processing of sapphire substrates for deposition of TiN and TiO2.
AB - The paper uses remote plasma assisted deposition, oxidation and nitridation
processes for depositing thin films of metallic TiN on crystalline sapphire
(0001) substrates. These films on sapphire substrates are being studied as window
materials for high power radio frequency (RF) power tubes. A sequence of four
process steps has been performed in a reactor chamber that isolates the
deposition and surface-processing chamber from the plasma generation region. The
chamber is part of an ultra-high-vacuum (UHV) compatible multi-chamber cluster in
which the sequence of four process steps can be interrupted after each step, and
surface chemistry changes can be identified by in-line Auger electron
spectroscopy (AES). The four process steps, performed after an ex-situ chemical
clean and blow-dry in nitrogen gas, are (i) a remote plasma-assisted oxidation
(RPAO) in which surface contaminants including adventitious carbon are removed;
(ii) a remote plasma-assisted nitridation (RPAN) process which forms a
superficial layer of generic AION used to increase surface adhesion of the TiN
films; (iii) a remote plasma-enhanced chemical-vapor deposition (RPECVD) process
for deposition of 2 to 5 nm thick TiN films, and finally (iv) a second RPAN step
that increases the ratio of Ti-N bonding in the TiN films with respect to
adventitious O-atom incorporation from the Ti precursor, Ti tetra-butoxide.
PMID- 22097514
TI - Formation of fractal structures from silicon dioxide nanoparticles synthesized by
RF atmospheric pressure plasma enhanced chemical vapor deposition.
AB - Fractal structures were formed on silicon substrates from SiO2 nanoparticles
homogeneously synthesized in low temperature atmospheric pressure plasma from
tetraethoxysilane (TEOS). RF discharge (power absorbed was about 10 W) sustained
between two parallel mesh electrodes was used to generate plasma. The average
size of nanoparticles was in the range of 8-20 nm and was determined by process
parameters. The obtained products were analyzed by SEM (scanning electron
microscopy) and XPS (X-ray photoelectron spectroscopy). Values of fractal
dimension parameter of bidimensionals agglomerates formed on the substrate
surface from nanoparticles were calculated with the use of Gwyddion and others.
It was found that values of this parameter of the deposited structures varied in
the range of 1.48-2 and were determined by combination of the process parameters.
An empirical model explaining mechanism of the fractal structures formation and
variation of the fractal dimension parameter with the process parameters was
proposed.
PMID- 22097515
TI - Remote plasma enhanced chemical deposition of non-crystalline GeO2 on Ge and Si
substrates.
AB - Non-crystalline GeO2 films remote were plasma deposited at 300 degrees C onto Ge
substrates after a final rinse in NH4OH. The reactant precursors gas were: (i)
down-stream injected 2% GeH4 in He as the Ge precursor, and (ii) up-stream,
plasma excited O2-He mixtures as the O precursor. Films annealed at 400 degrees C
displayed no evidence for loss of O resulting in Ge sub-oxide formation, and for
a 5-6 eV mid-gap absorption associated with formation of GeOx suboxide bonding, x
< 2. These films were stable in normal laboratory ambients with no evidence for
reaction with atmospheric water. Films deposited on Ge and annealed at 600
degrees C and 700 degrees C display spectra indicative of loss of O-atoms,
accompanied with a 5.5 eV absorption. X-ray absorption spectroscopy and many
electron theory are combined to describe symmetries and degeneracies for O
vacancy bonding defects. These include comparisons with remote plasma-deposited
non-crystalline SiO2 on Si substrates with SiON interfacial layers. Three
different properties of remote plasma GeO2 films are addressed comparisons
between (i) conduction band and band edge states of GeO2 and SiO2, and (ii)
electronic structure of O-atom vacancy defects in GeO2 and SiO2, and differences
between (iii) annealing of GeO2 films on Ge substrates, and Si substrates
passivated with SiON interfacial transition regions important for device
applications.
PMID- 22097516
TI - Nanosynthesis of tunable composite materials by room-temperature pulsed focused
electron beam induced chemical vapour deposition.
AB - Hydrocarbons inherently present in standard high-vacuum scanning electron
microscopes can be favorably used for co-deposition with functional molecules
injected into the chamber. By varying the beam exposure pulse time the carbon
content incorporated into the deposit can be tuned. In the particular case when
the hydrocarbons are provided by surface diffusion, the composition depends also
on the size of the final deposits. This dependency can be used as an additional
parameter, besides the beam pulse time, in order to tune the metal/matrix ratio
and to obtain new nanoscale materials with tailored physical properties. We
present and discuss experimental results on composition tunability by pulsed
electron-beam deposition for the two-adsorbate system Co2(CO)8/hydrocarbon and
their use in fabricating Hall nanosensors of cobalt-carbon nanocomposite material
with enhanced magnetic sensitivity and high magnetic spatial resolution.
PMID- 22097517
TI - Multiscale analysis of silicon carbide-chemical vapor deposition process.
AB - A kinetic study, which was performed by using multi-scale (a macro and a micro
scale) analysis, is presented in order to determine the reaction mechanism of the
chemical vapor deposition (CVD) of silicon carbide (SiC) from CH3SiCl3 (MTS)/H2
gaseous mixture. The multi-scale analysis provides two well-defined reaction
fields, corresponding to the flat substrates placed in a hot wall reactor and
micro trenches on the substrate surface, with centimeter and submicron
characteristic length scales, respectively. The microcavity method is a micro
scale analysis used to study the relative contributions of gas-phase and surface
reactions to the SiC growth, and to determine the sticking probability of growth
species in CVD reaction systems. From the macro-scale analysis, activation energy
of the growth rate was estimated to be 43.0 kcal/mol at the up-stream part and
the sticking probability was estimated to be 9.5 x 10(-7) at 1273 K and 6.8 x 10(
6) at 1373 K. On the other hand, we examined a sticking probability (eta) and the
reaction mechanism by using the microcavity method. From the micro-scale
analysis, we found that at least two growth species, a stable intermediate 1 (eta
1, = 1.3 x 10(-3) at 1273 K and 4.5 x 10(-3) at 1373 K) and a highly active
intermediate 2 (eta 2 = 2.0 x 10(-1) at 1273 K and 5.4 x 10(-1) at 1373 K), are
formed as byproducts of the gas-phase reaction. Activation energy of the sticking
probability was 43.9 kcal/mol in the case of the intermediate 1 and 34.5 kcal/mol
in the case of the intermediate 2. We could also confirm that the source
precursor, MTS, was not the film growth species. Another analytical model based
on Monte Carlo simulations correlates the film profile in the microcavity to the
sticking probability of the deposition species. The combination of these two
analysis techniques presents an overall picture of the reaction scheme.
PMID- 22097518
TI - Atomic layer deposition ultra-barriers for electronic applications-strategies and
implementation.
AB - We show that Al2O3 thin films, grown by atomic layer deposition (ALD) on
polyester, are ultrabarriers with moisture permeation <10(-5) g-H2O/m2-day, as
determined after aging for more than three years. We present evidence that the
mechanism for gas permeation in ALD Al2O3 films is not due to pinholes, but that
the onset of permeation occurs abruptly, analogous to electrical breakdown in
oxide thin films. We show that the permeation onset time increases for thicker
Al2O3 films and higher ALD process temperature, for which the hydrogen defect
concentration in Al2O3 films is less. Further, we show that mild plasma treatment
of the polyester, prior to ALD deposition of Al2O3, makes the surface more
hydrophilic and reduces moisture permeation compared to an untreated surface.
Similarly, ALD deposition on the bare or non-slip side of the polyester film is
preferred for low permeation.
PMID- 22097519
TI - Comparison of characteristics of fluorine doped zinc and gallium tin oxide
composite thin films deposited on stainless steel 316 bipolar plate by electron
cyclotron resonance-metal organic chemical vapor deposition for proton exchange
membrane fuel cells.
AB - In order to replace the brittle graphite bipolar plates currently used for the
PEMFC stack, coated SUS 316 was employed. As a metallic bipolar plate, coated SUS
316 can provide higher mechanical strength, better durability to shocks and
vibration, less permeability, improved thermal and bulk electrical conductivity,
as well as being thinner and lighter. To enhance the interfacial contact
resistance and corrosion resistance of SUS 316, the deposition of GTO:F and ZTO:F
composite films was carried out by ECR-MOCVD. The surface morphology of the films
consisted of tiny elliptically shaped grains with a thickness of 1 microm. The
corrosion current for GTO:F was 0.13 Acm(-2) which was much lower than that of
bare SUS 316 (50.16 Acm(-2)). The GTO:F coated film had the smallest corrosion
current due to the formation of a tight surface morphology with very few pin
holes. The GTO:F coated film exhibited the highest cell voltage and power density
due to its lower ICR values.
PMID- 22097520
TI - An automatic system using mobile-agent software to model the calculation process
of a chemical vapor deposition film deposition simulator.
AB - We have developed an automatic modeling system for calculation processes of the
simulator to reproduce experimental results of chemical vapor deposition (CVD),
in order to decrease the calculation cost of the simulator. Replacing the
simulator by the mathematical models proposed by the system will contribute
towards decreasing the calculation costs for predicting the experimental results.
The system consists of a mobile agent and two software resources in computer
networks, that is, generalized modeling software and a simulator reproducing
cross-sections of the deposited films on the substrates with the micrometer- or
nanometer-sized trenches. The mobile agent autonomously creates appropriate
models by moving to and then operating the software resources. The models are
calculated by partial least squares regression (PLS), quadratic PLS (QPLS) and
error back propagation (BP) methods using artificial neural networks (ANN) and
expresses by mathematical formulas to reproduce the calculated results of the
simulator. The models show good reproducibility and predictability both for
uniformity and filling properties of the films calculated by the simulator. The
models using the BP method yield the best performance. The filling property data
are more suitable to modeling than film uniformity.
PMID- 22097521
TI - Textured tetragonal ZrO2 film grown on (100) silicon surface by DLI metal-organic
chemical vapor deposition.
AB - Zirconia (ZrO2) thin films with micronic layer thickness are deposited on Si(100)
substrates by MOCVD in a cold wall reactor using direct injection (DLI-CVD)
process with Zr2(OiPr)6(thd)2 precursor diluted in cyclohexane. The effects of
experimental parameters such as substrate's temperature, injection frequency,
oxygen partial pressure in the reactive chamber and deposition duration of the
process are investigated in order to produce a strongly textured tetragonal ZrO2
film. The films crystalline structure and crystallite size (several nm) are
identified by Grazing incidence X-ray diffraction (GIXRD); the microstructure and
morphology are observed with the use of FEG-SEM. GIXRD patterns showed the
predominance of nano-crystallized tetragonal phase (or cubic) in the films. Pole
figures have been analysed for both {111}(t-c) and {200}(t-c) planes in order to
evaluate the relationship binding the preferential crystallographic orientation
to the column-like growth structure. Besides, the internal stresses levels (with
the use of sin2 psi method) within zirconia layers varied from a compressive to a
tensile state depending on the experimental deposition conditions and are related
to phase orientation and/or transformation into monoclinic one. It is
demonstrated that high temperature, low pressure and low deposition time enhanced
the tetragonal phase quality that became highly (200)t textured.
PMID- 22097522
TI - Electrochromic and optical study of atmospheric pressure chemical vapour
deposition MoO3-Cr2O3 films.
AB - Electrochromism (EC) is a phenomenon in which materials are able to change their
optical properties in a reversible and persistent way under the action of a
voltage pulse. The studied MoO3-Cr2O3 films are obtained by atmospheric pressure
CVD. Mixing MoO3 films with Cr2O3 is expected to enhance optical transparency and
to modulate electrochromic properties of MoO3 films. In the present work, the
study is focused on the morphological, structural and optical properties of MoO3
Cr2O3 films as a function of annealing temperatures. Raman spectroscopy and
optical spectrophotometry are used for the film characterization. The mixed oxide
films obtained on ordinary glass substrates show transmittance values in the
range of 70-80%. Surface morphology is analyzed by SEM and AFM methods. The
microanalysis of MoO3-Cr2O3 films reveals uniform distribution of the elements,
which is a sign of homogeneous structure.
PMID- 22097523
TI - In-line silicon epitaxy for photovoltaics using a continous chemical vapour
deposition reactor.
AB - Thin film solar cell techniques can effectively reduce the costs for photovoltaic
solar power. However, most of these techniques still have the disadvantage of a
comparatively low efficiency. One way to realize a thin film solar cell concept
with high efficiency potential is the crystalline silicon thin-film (cSiTF)
concept. Following the high-temperature approach, this concept is based on a
silicon epitaxy process. This paper reports the current status of the development
of a high throughput epitaxy tool at Fraunhofer ISE and presents first results.
Also presented is the development of a simulation tool which is a virtual image
of the real setup in order to forecast save deposition conditions. The presented
epitaxy tool is the ConCVD (Continuous Chemical Vapour Deposition), in which an
improved reactor setup has been installed, based on the experience gained so far.
To provide insight into upcoming further advances, the industrial scale epitaxy
tool ProConCVD is presented as well.
PMID- 22097524
TI - Real-time ellipsometric characterization of the initial growth stage of poly(3,4
ethylene dioxythiophene): poly(styrene sulfonic acid) films by electrospray
deposition.
AB - Kinetic spectroscopic ellipsometry have been used to study the initial growth
stage of poly(3,4-polyethylene dioxythiophene):poly(styrenesulfonic
acid)(PEDOT:PSS) films by the electrospray deposition (ESD) method. The real-time
spectra analysis revealed that the surface overlayer decreased in thickness once
the first bulk layer monolayer was formed, indicating a smoothening effect as the
nucleation-related microstructure coalesced into the bulk layer. Once the
coalescence was completed and the nucleation-induced surface roughness layer was
stabilized, the underlying bulk layer increased linearly with time. These results
originate from the degrees of the evaporation of solvent material during the
transferring the precursors to the surface and/or of the diffusion of deposition
precursors after sticking at the growing surface.
PMID- 22097525
TI - Surface modification of poly(3,4-ethylene dioxthiophene):poly(styrene sulfonic
acid) (PEDOT:PSS) films by atmospheric-pressure argon plasma for organic thin
film solar cells.
AB - Highly-conductive poly(3,4-ethylene dioxythiophene):poly(styrene sulfonic acid)
(PEDOT:PSS) films obtained by the addition of dimethylsulfoxide (DMSO) and the
argon plasma exposure were used as a transparent conductive anode (TCA) for
copper-phthalocyanine (CuPc)/C60 organic thin-film solar cells (OSCs). The
CuPc/C60 OSCs on as-grown DMSO added PEDOT:PSS layer showed a power efficiency of
0.6%, whereas it was improved markedly to 1.34% after the atmospheric-pressure
argon plasma exposure, which was comparable to that formed on indium-tin-oxide
layer. Effects of the DMSO addition and the argon plasma exposure in the spin
coated PEDOT:PSS films is demonstrated in terms of the in-depth characterization
of optical and electrical properties.
PMID- 22097526
TI - SiGe epitaxy on a 300 mm batch furnace.
AB - This work reports the feasibility of silicon and silicon germanium epitaxy using
an ASM A412(TMa) LPCVD all quartz, hot wall, vertical batch furnace reactor using
100 wafer product loads. The very same furnace can be used for 25 wafer and 200
wafer load size, without any hardware changes, dependant on production needs.
Following this approach a significant cost reduction for epitaxy in 300 mm high
volume manufacturing is possible and enables new applications. The native oxide
of the substrate was removed by wet chemical cleaning with time coupling of less
than 1 h and subsequent in-situ low pressure hydrogen anneal prior to Si or SiGe
deposition. The epitaxial layers were grown using silane and germane. The Si and
SiGe layers have been characterized with ToFSIMS, XRD, Raman, AFM and TEM
confirming excellent crystalline quality, layer thickness and within wafer SiGe
stoichiometry uniformity.
PMID- 22097527
TI - An automatic modeling system of the reaction mechanisms for chemical vapor
deposition processes using real-coded genetic algorithms.
AB - The identification of appropriate reaction models is very helpful for developing
chemical vapor deposition (CVD) processes. In this study, we have developed an
automatic system to model reaction mechanisms in the CVD processes by analyzing
the experimental results, which are cross-sectional shapes of the deposited films
on substrates with micrometer- or nanometer-sized trenches. We designed the
inference engine to model the reaction mechanism in the system by the use of real
coded genetic algorithms (RCGAs). We studied the dependence of the system
performance on two methods using simple genetic algorithms (SGAs) and the RCGAs;
the one involves the conventional GA operators and the other involves the blend
crossover operator (BLX-alpha). Although we demonstrated that the systems using
both the methods could successfully model the reaction mechanisms, the RCGAs
showed the better performance with respect to the accuracy and the calculation
cost for identifying the models.
PMID- 22097528
TI - Growth of TiO2 with thermal and plasma enhanced atomic layer deposition.
AB - We show a comparative study of the TiO2 ALD with TTIP and either O2 or O2-plasma
on Si/SiO2 substrates. In particular we compare the surface morphology and
crystalline phase by means of Atomic Force Microscopy (AFM), X-ray Photoelectron
Spectroscopy (XPS) and X-ray Absorption Spectroscopy (XAS) for different O2
plasma procedures upon changing the time between cycles and the N2-purging
pressure. The AFM images show that already these parameters may induce structural
changes in the TiO2 films grown by ALD, with the formation of crystallites with
average lateral width varying between 15 and 80 nm. By means of XAS we also found
that the crystallites have mixed anatase and rutile crystalline phases and that
smaller crystallites have a greater rutile component than the larger ones.
PMID- 22097529
TI - Kinetics of SiHCl3 chemical vapor deposition and fluid dynamic simulations.
AB - Though most of the current silicon photovoltaic technology relies on
trichlorosilane (SiHCl3) as a precursor gas to deposit Si, only a few studies
have been devoted to the investigation of its gas phase and surface kinetics. In
the present work we propose a new kinetic mechanism apt to describe the gas phase
and surface chemistry active during the deposition of Si from SiHCl3. Kinetic
constants of key reactions were either taken from the literature or determined
through ab initio calculations. The capability of the mechanism to reproduce
experimental data was tested through the implementation of the kinetic scheme in
a fluid dynamic model and in the simulation of both deposition and etching of Si
in horizontal reactors. The results of the simulations show that the reactivity
of HCl is of key importance in order to control the Si deposition rate. When HCl
reaches a critical concentration in the gas phase it starts etching the Si
surface, so that the net deposition rate is the net sum of the adsorption rate of
the gas phase precursors and the etching rate due to HCl. In these conditions the
possibility to further deposit Si is directly related to the rate of consumption
of HCl through its reaction with SiHCl3 to give SiCl4. The proposed reaction
mechanism was implemented in a 3D fluid dynamic model of a simple Siemens
reactor. The simulation results indicate that the proposed interpretation of the
growth process applies also to this class of reactors, which operate in what can
be defined as a mixed kinetic-transport controlled regime.
PMID- 22097530
TI - A sub-atmospheric chemical vapor deposition process for deposition of oxide liner
in high aspect ratio through silicon vias.
AB - The formation of a Through Silicon Via (TSV) includes a deep Si trench etching
and the formation of an insulating layer along the high-aspect-ratio trench and
the filling of a conductive material into the via hole. The isolation of the
filling conductor from the silicon substrate becomes more important for higher
frequencies due to the high coupling of the signal to the silicon. The importance
of the oxide thickness on the via wall isolation can be verified using
electromagnetic field simulators. To satisfy the needs on the Silicon dioxide
deposition, a sub-atmospheric chemical vapor deposition (SA-CVD) process has been
developed to deposit an isolation oxide to the walls of deep silicon trenches.
The technique provides excellent step coverage of the 100 microm depth silicon
trenches with the high aspect ratio of 20 and more. The developed technique
allows covering the deep silicon trenches by oxide and makes the high isolation
of TSVs from silicon substrate feasible which is the key factor for the
performance of TSVs for mm-wave 3D packaging.
PMID- 22097531
TI - Chemical activity of oxygen atoms in the magnetron sputter-deposited ZnO films
during film growth.
AB - The role of oxygen atoms in the growth of magnetron sputter-deposited ZnO films
was studied in a deposition and post-deposition study in which the deposition of
a several-nanometer-thick ZnO layer altered with an exposure to an O2/Ar mixed
plasma, i.e., a layer-by-layer (LbL) technique. The film crystallization was
promoted by suppressing the oxygen vacancy and interstitial defects by adjusting
the exposure conditions of the O2/Ar plasma. These findings suggest that the
chemical potential of the oxygen atom influences the film crystallization and the
electronic state. The diffusion and effusion of oxygen atoms at the growing
surface have an effect similar to that of thermal annealing, promoted film
crystallization and the creation and the annihilation of oxygen- and zinc-related
defects. The role of oxygen atoms reaching the growing film surface is discussed
in terms of chemical annealing and a possible oxygen diffusion mechanism is
proposed.
PMID- 22097532
TI - Determination of the surface diffusion coefficient and the residence time of
adsorbates via local focused electron beam induced chemical vapour deposition.
AB - In this paper we present a model for local gas assisted focused electron beam
induced deposition which allows estimating the surface diffusion coefficient and
the residence time of volatile precursor adsorbates. Elaborating the existing
continuum model for one adsorbate species and using a novel set of parameters we
simplified the differential equation describing the dynamics of this process. We
will show that stationary exposure experiments do not allow for a unique
determination of the parameters residence time, surface diffusion coefficient,
and net cross-section. Rather an estimation of parameter windows is possible by
assuming meaningful values for the electron dissociation cross section. The model
was applied to the experimental results for Cu(hfac)2 as a gas precursor.
PMID- 22097533
TI - Superhydrophilic and tribological improvements of polymeric surfaces via plasma
enhanced chemical vapor deposition ceramic coatings.
AB - The main object of this study is the treatment of polymeric (PVC, PC) surfaces
with the aim of inducing enhanced superhydrophilic characteristics together with
nanohardness features; this would allow polymeric surfaces to have longer
durability and prevent the accumulation of dirt on the surface which could
disable the proper use of these polymeric surfaces. Indeed plastic surfaces are
difficult substrates to be covered effectively and functionalized, mainly due to
their high sensitivity to heat treatments and irradiation in the UV-Vis range
together with their inert behavior. Their functionalization is achieved through
the deposition of ceramic coatings such as titania (TiO2), on the polymeric
surfaces via PECVD (Plasma Enhanced Chemical Vapor Deposition) at low
temperatures. Characterizations are carried out by contact angle analysis for the
superhydrophilic characteristics, and by nanoindentation analysis for the
tribological features. A cold PECVD discontinuous method allowed us to improve
nanohardness, reaching a value of 1.39 GPa which is nearly ten times higher than
that of the uncoated polymeric substrate, and seems a promising solution for
improving uniformity of the coatings. Superhydrophilic behavior of the activated
TiO2 surfaces showed contact angle values lower than 10 degrees.
PMID- 22097534
TI - Alumina coating on dense tungsten powder by fluidized bed metal organic chemical
vapour deposition.
AB - In order to study the feasibility of coating very dense powders by alumina using
Fluidized Bed Metal Organic Chemical Vapour Deposition (FB-MOCVD), experiments
were performed on a commercial tungsten powder, 75 microm in median volume
diameter and 19,300 kg/m3 in grain density. The first part of the work was
dedicated to the experimental study of the tungsten powder fluidization using
argon as carrier gas at room temperature and at 400 degrees C. Due to the very
high density of the tungsten powder, leading to low initial fixed bed heights and
low bed expansions, different weights of powder were tested in order to reach
satisfactory temperature profiles along the fluidized bed. Then, using argon as a
fluidized bed former and aluminium acetylacetonate Al(C5O2H7)3 as a single source
precursor, alumina thin films were deposited on tungsten particles at a low
temperature range (e.g., 370-420 degrees C) by FB-MOCVD. The influence of the
weight of powder, bed temperature and run duration was studied. Characterizations
of the obtained samples were performed by various techniques including scanning
electron microscopy (SEM) coupled with Energy Dispersive X-ray Spectroscopy (EDS)
analyses, Field Emission Gun SEM (FEG-SEM) and Fourier Transform InfraRed (FT-IR)
spectroscopy. The different analyses indicated that tungsten particles were
uniformly coated by a continuous alumina thin film. The thickness of the film
ranged between 25 and 80 nm, depending on the coating conditions. The alumina
thin films were amorphous and contained carbon contamination. This latter may
correspond to the adsorption of species resulting from incomplete decomposition
of the precursor at so low deposition temperature.
PMID- 22097535
TI - TiCp*(OMe)3 versus Ti(OMe)4 in atomic layer deposition of TiO2 with water--ab
initio modelling of atomic layer deposition surface reactions.
AB - It is a common finding that titanocene-derived precursors do not yield TiO2 films
in ALD with water. For instance, ALD with Ti(OMe)4 and water gives 0.5 A/cycle,
while TiCp*(OMe)3 does not show any growth (Me=CH3, Cp* = C5(CH3)5). This is
apparently in contradiction with the computed reactivity of the ligands: the
energetics of hydrolysis of the gas-phase precursor indicate that TiCp*(OMe)3 is
more reactive to ligand elimination than Ti(OMe)4. However such a model of
precursor reactivity neglects surface reactions such as adsorption, diffusion and
desorption, all of which can have an important effect on ALD growth rate. A more
accurate model of the surface reaction is needed to find the reason for the
different behaviours of Ti(OMe)4 and TiCp*(OMe)3 in the ALD process. The more
realistic surface model is a TiO2 slab that is periodic in three dimensions.
These calculations reveal that TiCp*(OMe)3 does not chemisorb in the usual way
because of extreme crowding of the Ti centre by Cp* and that this prevents ALD
growth.
PMID- 22097536
TI - Atomic vapor deposition approach to In2O3 thin films.
AB - In2O3 thin films were grown by atomic vapor deposition (AVD) on Si(100) and glass
substrates from a tris-guanidinate complex of indium [In(N(i)Pr2guanid)3] under
an oxygen atmosphere. The effects of the growth temperature on the structure,
morphology and composition of In2O3 films were investigated. X-ray diffraction
(XRD) measurements revealed that In2O3 films deposited in the temperature range
450-700 degreesC crystallised in the cubic phase. The film morphology, studied by
scanning electron microscopy (SEM) and atomic force microscopy (AFM), was
strongly dependent on the substrate temperature. Stoichiometric In2O3 films were
formed under optimised processing conditions as was confirmed by X-ray
photoelectron and X-ray excited Auger electron spectroscopies (XPS, XE-AES), as
well as by Rutherford backscattering spectrometry (RBS). Finally, optical
properties were investigated by photoluminescence (PL) measurements,
spectroscopic ellipsometry (SE) and optical absorption. In2O3 films grown on
glass exhibited excellent transparency (approximately 90%) in the Visible (Vis)
spectral region.
PMID- 22097537
TI - Controlling the crystallinity and roughness of atomic layer deposited titanium
dioxide films.
AB - The surface roughness of thin films is an important parameter related to the
sticking behaviour of surfaces in the manufacturing of microelectomechanical
systems (MEMS). In this work, TiO2 films made by atomic layer deposition (ALD)
with the TiCl4-H2O process were characterized for their growth, roughness and
crystallinity as function of deposition temperature (110-300 degrees C), film
thickness (up to approximately 100 nm) and substrate (thermal SiO2, RCA-cleaned
Si, Al2O3). TiO2 films got rougher with increasing film thickness and to some
extent with increasing deposition temperature. The substrate drastically
influenced the crystallization behaviour of the film: for films of about 20 nm
thickness, on thermal SiO2 and RCA-cleaned Si, anatase TiO2 crystal diameter was
about 40 nm, while on Al2O3 surface the diameter was about a micrometer. The
roughness could be controlled from 0.2 nm up to several nanometers, which makes
the TiO2 films candidates for adhesion engineering in MEMS.
PMID- 22097538
TI - Atmospheric pressure chemical vapour deposition of 3C-SiC for silicon thin-film
solar cells on various substrates.
AB - The production of crystalline silicon thin-film solar cells on cost effective
ceramic substrates depends on a highly reliable diffusion barrier to separate the
light absorbing layers from the substrate. Ideally this intermediate layer should
be deposited with cost effective techniques, be conductive and should feature
optical confinement. Furthermore the intermediate layer should withstand high
temperatures and harsh chemical environments like they occur during solar cell
processing. Especially stability against oxidizing solvents like HNO3 or
inactivity during e.g., oxide removing steps with HF is required. Crystalline
silicon carbide (c-SiC) deposited by atmospheric pressure chemical vapour
deposition (APCVD) can match all those requirements and additionally fits the
thermal properties of crystalline silicon. The c-SiC intermediate layer is
deposited from methyltrichlorosilane (MTS) and H2 at 1100 degrees C. Under these
conditions, growth of solely cubic 3C-SiC could be observed by X-ray diffraction
measurements. Use of such intermediate layers during high temperature steps
prevents diffusion of transition metals, originating from the substrates, into
active silicon layers. Doping of these 3C-SiC layers with nitrogen results in
specific resistivity of less than 100 ohms cm. The different potentially cost
effective substrates are made from graphite, crystalline silicon, sintered
silicon carbide and sintered zircon (ZrSiO4). Surface properties of the coated
substrates were investigated, explaining changes in surface roughness and
influences on the solar cell processing.
PMID- 22097539
TI - Electrical and optical performance of transparent conducting oxide films
deposited by electrostatic spray assisted vapour deposition.
AB - Transparent conducting oxide (TCO) films have the remarkable combination of high
electrical conductivity and optical transparency. There is always a strong
motivation to produce TCO films with good performance at low cost. Electrostatic
Spray Assisted Vapor Deposition (ESAVD), as a variant of chemical vapour
deposition (CVD), is a non-vacuum and low-cost deposition method. Several types
of TCO films have been deposited using ESAVD process, including indium tin oxide
(ITO), antimony-doped tin oxide (ATO), and fluorine doped tin oxide (FTO). This
paper reports the electrical and optical properties of TCO films produced by
ESAVD methods, as well as the effects of post treatment by plasma hydrogenation
on these TCO films. The possible mechanisms involved during plasma hydrogenation
of TCO films are also discussed. Reduction and etching effect during plasma
hydrogenation are the most important factors which determine the optical and
electrical performance of TCO films.
PMID- 22097540
TI - Ultra-thin atomic layer deposited TiN films: non-linear I-V behaviour and the
importance of surface passivation.
AB - We report the electrical resistivity of atomic layer deposited TiN thin films in
the thickness range 2.5-20 nm. The measurements were carried out using the
circular transfer length method structures. For the films with thickness in the
range of 10-20 nm, the measurements exhibited linear current-voltage (I-V)
curves. The sheet resistance R(sh) was determined, and the resistivity was
calculated. A value of 120 microohms-cm was obtained for a 20 nm TiN layer. With
decreasing film thickness, the resistivity slightly increased and reached 135
microohms-cm for a 10 nm film. However, the measurements on 2.5-5.0 nm thick
films revealed non-linear I-V characteristics, implying the dependence of the
measured resistance, and therefore the resistivity, of the layers on applied
voltage. The influence of the native oxidation due to the exposure of the films
to air was taken into account. To fully eliminate this oxidation, a highly
resistive amorphous silicon layer was deposited directly after the ALD of TiN.
The electrical measurements on the passivated 2.5- and 3.5 nm TiN layers then
exhibited linear I-V characteristics. A resistivity of 400 and 310 microohms-cm
was obtained for a 2.5- and 3.5 nm TiN film, respectively.
PMID- 22097541
TI - On the effects of electric fields in aerosol assisted chemical vapour deposition
reactions of vanadyl acetylacetonate solutions in ethanol.
AB - Thin films of thermochromic vanadium dioxide have been deposited on glass
substrates at 530 degrees C from the aerosol assisted chemical vapour deposition
of vanadyl acetylacetonate solutions in ethanol under the influence of electric
fields. Electric fields were generated by applying a potential difference between
the top plate and the substrate of the reactor. The deposited films were analysed
and characterised using scanning electron microscopy, X-ray diffraction, X-ray
photoelectron spectroscopy, Raman spectroscopy and variable temperature
UV/Visible spectroscopy. The application of an electric field led to significant
changes in the deposited films microstructure and functional properties. It was
found that an increase in electric field strength caused a decrease in
crystallite size and in an increase in the change in transmission in the near
infrared when compared to films grown without the use of an electric field.
PMID- 22097542
TI - Linking the operating parameters of chemical vapor deposition reactors with film
conformality and surface nano-morphology.
AB - A multiscale modeling framework is used to couple the co-existing scales, i.e.,
macro-, micro- and nano-scale, in chemical vapor deposition (CVD) processes. The
framework consists of a reactor scale model (RSM) for the description of the
transport phenomena in the bulk phase (macro-scale) of a CVD reactor and two
models for the micro- and nano-scale: (a) A feature scale model (FSM) describing
the deposition of a film inside features on a predefined micro-topography on the
wafer and (b) a nano-morphology model (NMM) describing the surface morphology
evolution during thin film deposition on an initially flat surface. The FSM is
deterministic and consists of three sub-models: A ballistic model for the
species' transport inside features, a surface chemistry model, and a profile
evolution algorithm based on the level set method. The NMM is stochastic and is
based on the kinetic Monte Carlo method. The coupling of RSM with FSM is
performed through a correction of the species consumption on the wafer. The
linking of RSM with NMM is performed through "feeding" of the deposition rate
calculated by RSM to the NMM. The case study is CVD of Silicon (Si) from Silane.
The effect of the reactor's operating parameters on the Si film conformality
inside trenches is investigated by the coupling of RSM with FSM. The formation of
dimmers on an initially flat Si (001) surface as well as the periodic change of
the surface nano-morphology is predicted.
PMID- 22097543
TI - A study of pyrolysis of polymethylsiloxanes by Fourier transform infrared.
AB - This paper is dedicated to a comparative study of pyrolysis of
decamethylcyclopentasiloxane and hexamethyldisiloxane, widely used as precursors
for CVD of silicon dioxide films. The pyrolysis process was carried out in a hot
wall horizontal tube reactor made from quartz within the temperature range 25
1000 degrees C. FTIR spectroscopy has been used for the analysis of gaseous
reaction products in the exhaust line of the reactor. It has been found that
transformation of DMPSO was initiated by the open ring in the precursor molecules
with its further transformation to linear biradicals followed by the chain's
growth due to radical reactions. HMDSO transformation is connected with
separation of silanon, silyl and methyl radicals with following multi-type
interactions of siloxane radicals and formation of non-rigorously organized three
dimensional molecules.
PMID- 22097544
TI - Enhancement of crystallinity and optical properties of bilayer TiO2/ZnO thin
films prepared by atomic layer deposition.
AB - Bilayer and multilayer thin films are becoming increasingly important in the
development of faster, smaller and more efficient electronic and optoelectronic
devices. One of the motivations of applying bilayer or multilayer structures is
to modify the optical properties of materials. Atomic layer deposition (ALD) is a
variant of Chemical Vapour Deposition that can produce uniform and conformal thin
films with well controlled nanostructures. In this study, we have demonstrated
new findings of the use of ALD fabricated bilayer TiO2/ZnO thin films with
enhanced crystallinity and optical properties. TiO2 films have been deposited at
300 degrees C for 1000 (51 nm in thickness) or 3000 (161 nm in thickness)
deposition cycles onto glass and Si substrates. ZnO films are subsequently
deposited on the TiO2 layers at 280 degrees C for 500 deposition cycles (55 nm).
The crystallinity and optical properties of the TiO2/ZnO thin films have been
analysed by X-ray diffraction, photoluminescence, UV-Vis spectroscopy, Atomic
Force Microscopy and Scanning Electron Microscopy. XRD diffraction pattern
confirmed the presence of ZnO with wutrtize crystal structure and TiO2 with
anatase structure. It shows that the crystallinity of the TiO2 films has been
improved with the deposition of ZnO. The intensity of UV luminescence has
increased by almost 30% for TiO2/ZnO bilayer as compared to the single layer
TiO2. The possible mechanism for the enhancement of the optical properties of
bilayer TiO2/ZnO thin films will be discussed.
PMID- 22097545
TI - SUBLIBOX: a proprietary solvent free method for intense vaporization of solid
compounds.
AB - Chemical vapour deposition and atomic layer deposition using precursors that are
solids at ambient temperature and pressure present challenges due to the often
low saturating vapour pressure of these compounds. Additional concerns arise from
the difficulty to maintain a reproducible and stable precursor flow rate to the
deposition chamber and from the possible particle contamination if suitable
safeguards are not built into the precursor delivery line. In the present
contribution, SUBLIBOX, a pilot industrial scale sublimator is presented.
SUBLIBOX is based on a new sublimation process involving gas-solid fluidization
technology. Aluminum acetyl-acetonate [Al(acac)3], a promising precursor for the
processing of alumina films despite its low saturated vapour pressure, is used as
a model, though technologically interesting system. Mass balance measurements,
involving trapping of the sublimed precursor at the exit of the sublimation
chamber, reveal that SUBLIBOX ensures (a) stable, (b) efficient, (c) reproducible
and (d) long term precursor vapour flow rates. The process is particularly well
adapted for delivering vapours to CVD reactors for coatings on glass and
stainless steel or for producing optical fibbers preforms by various techniques.
PMID- 22097546
TI - TiO2 coating of high surface area silica gel by chemical vapor deposition of
TiCl4 in a fluidized-bed reactor.
AB - TiO2 was deposited on high surface area porous silica gel (400 m2g(-1)) in a
fluidized bed reactor. Chemical vapor deposition was employed for the coating
under vacuum conditions with TiCl4 as precursor. Nitrogen physisorption, X-ray
diffraction, transmission electron microscopy, X-ray photoelectron spectroscopy
and UV-vis spectroscopy were applied to characterize the obtained TiO2-SiO2
composites with different Ti loadings up to 5 wt%. Only a slight decrease in the
specific surface area was detected at low Ti loadings. At a Ti loading of 2 wt%,
TiO2 was found to be highly dispersed on the SiO2 surface likely in form of a
thin film. At higher Ti loadings, two weak reflections corresponding to anatase
TiO2 were observed in the diffraction patterns indicating the presence of
crystalline bulk TiO2. High resolution XPS clearly distinguished two types of Ti
species, i.e., Ti-O-Si at the interface and Ti-O-Ti in bulk TiO2. The presence of
polymeric TiOx species at low Ti loadings was confirmed by a blue shift in the UV
vis spectra as compared to bulk TiO2. All these results point to a strong
interaction between the TiO2 deposit and the porous SiO2 substrate especially at
low Ti loadings.
PMID- 22097547
TI - Electric fields in the chemical vapour deposition growth of vanadium dioxide thin
films.
AB - Thin films of thermochromic vanadium dioxide have been the subject of intensive
research in recent years year due to their postulated use as "intelligent" window
coatings. The usefulness of such technology depends on a semi-conducting to metal
transition with an associated change in infra-red optical properties. This exact
nature of this transition depends on a large number of factors such as doping,
crystallite size, strain, crystallographic orientation etc. In this paper we
discuss the nature of these factors with a particular focus on how the
application of electric fields in the deposition affects crystallite size and
film strain with reference to recent results.
PMID- 22097548
TI - Germanium nanowires with 3-nm-diameter prepared by low temperature vapour-liquid
solid chemical vapour deposition.
AB - We report the growth of germanium nanowires (Ge NWs) with single-step temperature
method via vapour-liquid-solid (VLS) mechanism in the low pressure chemical
vapour deposition (CVD) reactor at 300 degrees C, 280 degrees C, and 260 degrees
C. The catalyst used in our experiment was Au nanoparticles with equivalent
thicknesses of 0.1 nm (average diameter approximately 3 nm), 0.3 nm (average
diameter approximately 4 nm), 1 nm (average diameter approximately 6 nm), and 3
nm (average diameter approximately 14 nm). The Gibbs-Thomson effect was used to
explain our experimental results. The Ge NWs grown at 300 degrees C tend to have
tapered structure while the Ge NWs grown at 280 degrees C and 260 degrees C tend
to have straight structure. Tapering was caused by the uncatalysed deposition of
Ge atoms via CVD mechanism on the sidewalls of nanowire and significantly
minimised at lower temperature. We observed that the growth at lower temperature
yielded Ge NWs with smaller diameter and also observed that the diameter and
length of Ge NWs increases with the size of Au nanoparticles for all growth
temperatures. For the same size of Au nanoparticles, Ge NWs tend to be longer
with a decrease in temperature. The Ge NWs grown at 260 degrees C from 0.1-nm
thick Au had diameter as small as approximately 3 nm, offering an opportunity to
fabricate high-performance p-type ballistic Ge NW transistor, to realise nanowire
solar cell with higher efficiency, and also to observe the quantum confinement
effect.
PMID- 22097549
TI - Investigation of thermal and hot-wire chemical vapor deposition copper thin films
on TiN substrates using CupraSelect as precursor.
AB - Copper films were deposited on oxidized Si substrates covered with TiN using a
novel chemical vapor deposition reactor in which reactions were assisted by a
heated tungsten filament (hot-wire CVD, HWCVD). Liquid at room temperature
hexafluoroacetylacetonate Cu(I) trimethylvinylsilane (CupraSelect) was directly
injected into the reactor with the aid of a direct-liquid injection (DLI) system
using N2 as carrier gas. The deposition rates of HWCVD Cu films obtained on TiN
covered substrates were found to increase with filament temperature (65 and 170
degrees C were tested). The resistivities of HWCVD Cu films were found to be
higher than for thermally grown films due to the possible presence of impurities
into the Cu films from the incomplete dissociation of the precursor and W
impurities caused by the presence of the filament. For HWCVD films grown at a
filament temperature of 170 degrees C, smaller grains are formed than at 65
degrees C as shown from the taken SEM micrographs. XRD diffractograms taken on Cu
films deposited on TiN could not reveal the presence of W compounds originating
from the filament because the relative peak was masked by the TiN [112] peak.
PMID- 22097550
TI - Advanced APCVD-processes for high-temperature grown crystalline silicon thin film
solar cells.
AB - Crystalline silicon thin film (cSiTF) solar cells based on the epitaxial wafer
equivalent (EpiWE) concept combine advantages of wafer-based and thin film
silicon solar cells. In this paper two processes beyond the standard process
sequence for cSiTF cell fabrication are described. The first provides an
alternative to wet chemical saw damage removal by chemical vapor etching (CVE)
with hydrogen chloride in-situ prior to epitaxial deposition. This application
decreases the number of process and handling steps. Solar cells fabricated with
different etching processes achieved efficiencies up to 14.7%. 1300 degrees C
etching temperature led to better cell results than 1200 degrees C. The second
investigated process aims for an improvement of cell efficiency by implementation
of a reflecting interlayer between substrate and active solar cell. Some
characteristics of epitaxial lateral overgrowth (ELO) of a patterned silicon
dioxide film in a lab-type reactor constructed at Fraunhofer ISE are described
and first solar cell results are presented.
PMID- 22097551
TI - Integration of metal organic chemical vapour deposition and wet chemical
techniques to obtain highly ordered porous ZnO nanoplatforms.
AB - Large-area, highly ordered ZnO micropores-arrays consisting of ZnO nanotubes
delimited by ZnO nanorods have been successfully fabricated and tested for
protein sensing applications. ZnO seed layers have been deposited by Metal
Organic Chemical Vapour Deposition and readily patterned by Colloidal Lithography
to attain ZnO nanorods growth at selective sites by Chemical Bath Deposition. The
used synthetic approach has been proven effective for the easy assembly of ZnO
nanoplatforms into high-density arrays. Both patterned and unpatterned ZnO
nanorods have been morphologically and compositionally characterised and, thus,
tested for model studies of protein mobility at the interface. The patterned
layers, having a higher contribution of surface polar moieties than the
corresponding unpatterned surfaces, exhibit a reduced lateral diffusion of the
adsorbed protein. This evidence is related to the intrinsic porous nature of the
ZnO hemispherical arrays characterised by a nanotube-nanorod hybrid networks. The
present study gives a great impetus to the fabrication of tunable ZnO
nanoplatforms having multiple morphologies and exceptionally high surface areas
suitable for application in sensing devices.
PMID- 22097552
TI - Diamond layers grown by chemical vapor deposition on NbN systems and NbN/SiO2
based devices.
AB - Deposits of individual diamond grains and continuous polycrystalline diamond
layers have been generated by means of a HFCVD technique onto different types of
untreated or seeded NbN surfaces. To test the feasibility of using diamond layers
as protective coatings for aerospace applications, we carried out diamond
deposition onto the lithographically defined NbN microelectrodes of a NbN/SiO2
multifinger device. The morphological and structural features of the diamond
deposits and of the substrates were characterized by FE-SEM, XRD and Raman
spectroscopy. The preferential growth of diamond on the superconductive NbN
enables the selective coating of the NbN microstripes sputtered on the insulating
SiO2. Moreover the diamond coating procedure is able to preserve the structural
integrity of the substrate material and to retain the shaped architecture of the
device. For the polycrystalline diamond layers grown on NbN a residual stress of
9.8 GPa, largely due to thermal stress, has been estimated by Raman analysis. The
diamond coatings of the NbN-based architectures result to be mechanically stable.
PMID- 22097553
TI - Relevance of thermodynamic and kinetic parameters of chemical vapor deposition
precursors.
AB - We have studied various metallorganic and organometallic compounds by
simultaneous nonisothermal thermogravimetric and differential thermogravimetric
analyses to confirm their volatility and thermal stability. The equilibrium vapor
pressures of the metallorganic and organometallic compounds were determined by
horizontal dual arm single furnace thermoanalyzer as transpiration apparatus.
Antoine coefficients were calculated from the temperature dependence equilibrium
vapor pressure data. The model-fitting solid-state kinetic analyses of Al(acac)3,
(acac = acetylacetonato), Cr(CO)6, Fe(Cp)2, (Cp-cyclopentadienyl), Ga(acac)3,
Mn(tmhd)3, and Y(tmhd)3 (tmhd = 2,2,6,6,-tetramethyl-3,5-heptanedionato) revealed
that the processes follow diffusion controlled, contracting area and zero order
model sublimation or evaporation kinetics. The activation energy for the
sublimation/evaporation processes were calculated by model-free kinetic methods.
Thin films of nickel and lanthanum-strontium-manganite (LSM) are grown on silicon
substrate at 573 K using selected metallorganic complexes of Ni[(acac)2en],
La(tmhd)3, Sr(tmhd)2 and Mn(tmhd)3 as precursors by plasma assisted liquid
injection chemical vapor deposition (PA-LICVD). The deposited films were
characterized by scanning electron microscopy and energy dispersive X-ray
analysis for their composition and morphology.
PMID- 22097554
TI - Decomposition schemes of copper(I) N,N'-diisopropylacetamidinate during chemical
vapor deposition of copper.
AB - Copper(I) N,N'-diisopropylacetamidinate [Cu(amd)]2 (amd =
CH(CH3)2NC(CH3)NCH(CH3)2), an oxygen and halogen-free compound, was previously
tested as precursor for pure copper CVD and ALD films. The present work deals
with the investigation of the composition and of the reactivity of the gas phase
during the CVD process. The work was performed by mass spectrometry as a function
of temperature in two different, though complementary environments: (A) in a
miniature, low pressure hot wall CVD reactor, (B) in a cold wall reactor
operating at subatmospheric pressure. (A) revealed that the onset of thermal
decomposition is 140 degrees C and 130 degrees C in vacuum and in the presence of
hydrogen, respectively; maximal decomposition degree is reached at temperature
higher than 200 degrees C. The protonated ligand H(amd) is the main gaseous
decomposition by-product; propene CH2=CHCH3, acetonitryle CH3C[triple bond]N and
iminopropane CH3C(CH3)=NH are also observed in vacuum. Heterogeneous
decomposition mechanism both in vacuum and hydrogen presence is discussed.
PMID- 22097555
TI - Low temperature silicon nitride by hot wire chemical vapour deposition for the
use in impermeable thin film encapsulation on flexible substrates.
AB - High quality non porous silicon nitride layers were deposited by hot wire
chemical vapour deposition at substrate temperatures lower than 110 degrees C.
The layer properties were investigated using FTIR, reflection/transmission
measurements and 1:6 buffered HF etching rate. A Si-H peak position of 2180 cm(
1) in the Fourier transform infrared absorption spectrum indicates a N/Si ratio
around 1.2. Together with a refractive index of 1.97 at a wavelength of 632 nm
and an extinction coefficient of 0.002 at 400 nm, this suggests that a
transparent high density silicon nitride material has been made below 110 degrees
C, which is compatible with polymer films and is expected to have a high
impermeability. To confirm the compatibility with polymer films a silicon nitride
layer was deposited on poly(glycidyl methacrylate) made by initiated chemical
vapour deposition, resulting in a highly transparent double layer.
PMID- 22097556
TI - Plasma processing of nanomaterials: emerging technologies for sensing and energy
applications.
AB - Plasma processing represents an attractive and versatile option for the
fabrication of low-dimensional nanomaterials, whose chemical and physical
properties can be conveniently tailored for the development of advanced
technologies. In particular, Plasma Enhanced-Chemical Vapor Deposition (PE-CVD)
is an appealing route to multi-functional oxide nanoarchitectures under
relatively mild conditions, owing to the unique features and activation
mechanisms of non-equilibrium plasmas. In this context, the potential of plasma
assisted fabrication in advanced nanosystem development is discussed. After a
brief introduction on the basic categories of plasma approaches, the perspectives
of application to CVD processes are commented, reporting on the growth and
characterization of Co3O4 nanomaterials as a case study. Besides examining the
interrelations between the material properties and the synthesis conditions,
special focus is given to their emerging applications as catalysts for photo
assisted hydrogen production and solid state gas sensors.
PMID- 22097557
TI - Aerosol assisted chemical vapour deposition control parameters for selective
deposition of tungsten oxide nanostructures.
AB - Tungsten oxide films were deposited via Aerosol Assisted Chemical Vapour
Deposition (AACVD) from the single-source precursor W(OPh)6. Film morphology and
optimum deposition temperatures for formation of quasi-one-dimensional structures
is influenced by the solvent 'carrier' used for deposition of the films with bulk
porous films and nanostructured needles, hollow tubes and fibres obtained
dependent on the solvent used and the deposition temperature. This influence of
solvent could be exploited for the synthesis of other nanomaterials, and so
provide a new and versatile route to develop and integrate nanostructured
materials for device applications.
PMID- 22097558
TI - BiFeO3 films doped in the A or B sites: effects on the structural and
morphological properties.
AB - Metal-Organic Chemical Vapor Deposition (MOCVD) has been applied to the
fabrication of BiFeO3 films undoped and doped with Ba or Ti on SrTiO3 (100) and
YSZ (100) substrates. The films have been deposited using a multi-metal source,
consisting of the Bi(phenyl)3, Fe(tmhd)3 and Ba(hfa)2 tetraglyme or Ti(tmhd)2(O
iPr)2 (phenyl = -C6H5, H-tmhd = 2,2,6,6-tetramethyl-3,5-heptandione; O-iPr = iso
propoxide; H-hfa = 1,1,1,5,5,5-hexafluoro-2,4-pentanedione; tetraglyme =
CH3O(CH2CH2O)4CH3) precursor mixture. The structural and morphological
characterization of films has been carried out using X-ray diffraction (XRD) and
field emission scanning electron microscopy (FESEM). Chemical compositional
studies have been performed by energy dispersive X-ray (EDX) analysis. Structural
and morphological characterizations point to the formation of homogeneous and
flat surfaces for both undoped and doped BiFeO3 films.
PMID- 22097559
TI - In situ gas phase measurements during metal alkylamide atomic layer deposition.
AB - Metal alkylamide compounds, such as tetrakis(ethylmethylamido) hafnium (TEMAH),
represent a technologically important class of metalorganic precursors for the
deposition of metal oxides and metal nitrides via atomic layer deposition (ALD)
or chemical vapor deposition. The development of in situ diagnostics for
processes involving these compounds could be beneficial in, e.g., developing
deposition recipes and validating equipment-scale simulations. This report
describes the performance of the combination of two techniques for the
simultaneous, rapid measurement of the three major gas phase species during
hafnium oxide thermal ALD using TEMAH and water: TEMAH, water, and methylethyl
amine (MEA), the only major reaction by-product. For measurement of TEMAH and
MEA, direct absorption methods based on a broadband infrared source with
different mid-IR bandpass filters and utilizing amplitude modulation and
synchronous detection were developed. For the measurement of water, wavelength
modulation spectroscopy utilizing a near-IR distributed feedback diode laser was
used. Despite the relatively simple reactor geometry employed here (a flow tube),
differences were easily observed in the time-dependent species distributions in
300 mL/min of a helium carrier gas and in 1000 mL/min of a nitrogen carrier gas.
The degree of TEMAH entrainment was lower in 300 mL/min of helium compared to
that in 1000 mL/min of nitrogen. The capability to obtain detailed time-dependent
species concentrations during ALD could potentially allow for the selection of
carrier gas composition and flow rates that would minimize parasitic wall
reactions. However, when nitrogen was employed at the higher flow rates, various
flow effects were observed that, if detrimental to a deposition process, would
effectively limit the upper range of useful flow rates.
PMID- 22097560
TI - Investigation of substrate influence on tin dioxide nanostructures synthesized
using horizontal furnace.
AB - SnO2 nanostructures were directly synthesised by chemical vapour transport on
different substrates in a horizontal furnace. The influence of substrate on the
morphology of these nanostructures was investigated by changing the substrate
type, coating, and temperature. The SnO2 nanowires and nanorods were one
dimensional (1D) structures with widths and lengths of 50-200 nm and several
micrometers respectively. Scanning electron microscope (SEM) images show
formation of short nanorods with lengths of less than 1 microm on indium-tin
oxide (ITO) substrates. The effect of substrate temperature on growth was
studied. SnO2 nanowires were obtained using silicon substrate, and the effect of
Au coating on the size and morphology of these structures was proposed. By
coating the Si wafer with a thin layer of Au, the size of the nanostructure was
reduced and the length increased. The differences in size and morphology are
shown by transmission electron microscopy (TEM). X-ray diffraction (XRD) spectra
show tetragonal structures for both substrates.
PMID- 22097561
TI - Deposition of thermal and hot-wire chemical vapor deposition copper thin films on
patterned substrates.
AB - In this work we study the hot-wire chemical vapor deposition (HWCVD) of copper
films on blanket and patterned substrates at high filament temperatures. A
vertical chemical vapor deposition reactor was used in which the chemical
reactions were assisted by a tungsten filament heated at 650 degrees C.
Hexafluoroacetylacetonate Cu(I) trimethylvinylsilane (CupraSelect) vapors were
used, directly injected into the reactor with the aid of a liquid injection
system using N2 as carrier gas. Copper thin films grown also by thermal and hot
wire CVD. The substrates used were oxidized silicon wafers on which trenches with
dimensions of the order of 500 nm were formed and subsequently covered with LPCVD
W. HWCVD copper thin films grown at filament temperature of 650 degrees C showed
higher growth rates compared to the thermally ones. They also exhibited higher
resistivities than thermal and HWCVD films grown at lower filament temperatures.
Thermally grown Cu films have very uniform deposition leading to full coverage of
the patterned substrates while the HWCVD films exhibited a tendency to vertical
growth, thereby creating gaps and incomplete step coverage.
PMID- 22097562
TI - N-type crystalline silicon films free of amorphous silicon deposited on glass by
HCl addition using hot wire chemical vapour deposition.
AB - Since n-type crystalline silicon films have the electric property much better
than those of hydrogenated amorphous and microcrystalline silicon films, they can
enhance the performance of advanced electronic devices such as solar cells and
thin film transistors (TFTs). Since the formation of amorphous silicon is
unavoidable in the low temperature deposition of microcrystalline silicon on a
glass substrate at temperatures less than 550 degrees C in the plasma-enhanced
chemical vapour deposition and hot wire chemical vapour deposition (HWCVD),
crystalline silicon films have not been deposited directly on a glass substrate
but fabricated by the post treatment of amorphous silicon films. In this work, by
adding the HCl gas, amorphous silicon-free n-type crystalline silicon films could
be deposited directly on a glass substrate by HWCVD. The resistivity of the n
type crystalline silicon film for the flow rate ratio of [HCl]/[SiH4] = 7.5 and
[PH3]/[SiH4] = 0.042 was 5.31 x 10(-4) ohms cm, which is comparable to the
resistivity 1.23 x 10(-3) ohms cm of films prepared by thermal annealing of
amorphous silicon films. The absence of amorphous silicon in the film could be
confirmed by high resolution transmission electron microscopy.
PMID- 22097563
TI - Understanding 'clean-up' of III-V native oxides during atomic layer deposition
using bulk first principles models.
AB - The use of III-V materials as the channel in future transistor devices is
dependent on removing the deleterious native oxides from their surface before
deposition of a gate dielectric. Trimethylaluminium has been found to achieve in
situ 'clean-up' of the oxides of GaAs and InGaAs before atomic layer deposition
(ALD) of alumina. Here we propose six reaction mechanisms for 'clean-up,'
featuring exchange of ligands between surface atoms, reduction of arsenic oxide
by methyl groups and desorption of various products. We use first principles
Density Functional Theory (DFT) to determine which mechanistic path is
thermodynamically favoured based on models of the bulk oxides and gas-phase
products. We therefore predict that 'clean-up' of arsenic oxides mostly produces
As4 gas. Most C is predicted to form C2H6 but with some C2H4, CH4 and H2O. An
alternative pathway is non-redox ligand exchange, which allows non-reducible
oxides to be cleaned-up.
PMID- 22097564
TI - Optimization of chemical vapor deposition diamond films growth on steel:
correlation between mechanical properties, structure, and composition.
AB - In the present work we perform optimization of mechanical and crystalline
properties of CVD microcrystalline diamond films grown on steel substrates. A
chromium-nitride (Cr-N) interlayer had been previously proposed to serve as a
buffer for carbon and iron inter-diffusion and as a matching layer for the widely
differing expansion coefficients of diamond and steel. However, adhesion and wear
as well as crystalline perfection of diamond films are strongly affected by
conditions of both Cr-N interlayer preparation and CVD diamond deposition. In
this work we assess the effects of two parameters. The first one is the
temperature of the Cr-N interlayer preparation: temperatures in the range of 500
degrees C-800 degrees C were used. The second one is diamond film thickness in
the 0.5 microm-2 microm range monitored through variation of the deposition time
from approximately 30 min to 2 hours. The mechanical properties of so deposited
diamond films were investigated. For this purpose, scratch tests were performed
at different indentation loads. The friction coefficient and wear loss were
assessed. The mechanical and tribological properties were related to structure,
composition, and crystalline perfection of diamond films which were extensively
analyzed using different microscopic and spectroscopic techniques. It was found
that relatively thick diamond film deposited on the Cr-N interlayer prepared at
the temperature similar to that of the CVD process has the best mechanical and
adhesion strength. This film was stable without visible cracks around the wear
track during all scratch tests with different indentation loads. In other cases,
cracking and delamination of the films took place at low to moderate indentation
loads.
PMID- 22097565
TI - Chemical vapor deposition of Ni-C films from bis-(ethylcyclopentadienyl) nickel.
AB - Metal-organic chemical vapor deposition (MOCVD) is widely used for deposition of
various nickel-containing coatings, such as catalytic nickel thin films and
nanoparticles, nickel silicide alloys and magnetic carbon-nickel nanocomposite
layers. Here we report preliminary results from an attempt to use bis
(ethylcyclopentadienyl) nickel [(EtCp)2Ni] as a precursor for MOCVD of Ni-C thin
films in the (EtCp)2Ni-Ar and (EtCp)2Ni-H2-Ar reaction systems. Mechanism of
precursor fragmentation was proposed on the basis of the results from a study of
gaseous reaction products in the exhaust line of the reactor by means of mass
spectrometry. It was found that an introduction of hydrogen in the gas phase led
to an increase in conversion rate of the precursor. Deposited films were analyzed
by means of atomic force microscope (AFM), scanning electron microscopy (SEM), X
ray fluorescence spectroscopy (XFS). The effect of hydrogen on growth rate,
composition, and morphology of the deposited Ni-C films were experimentally
studied.
PMID- 22097566
TI - Texture and surface morphology effects on tetragonal phase stabilization in ZrO2
films deposited by metal-organic chemical vapor deposition.
AB - Tetragonal zirconia coatings (ZrO2) without doping any trivalent impurities have
been deposited by metal-organic chemical vapor deposition (MOCVD) on (100) Si
single crystals, using Zr(thd)4 precursor. The surface and cross-section
morphologies were observed with Field-Emission-Gun Scanning Electron Microscopy
(FEG-SEM). The crystalline structures were characterized by grazing incident X
ray diffraction (GIXRD). Crystallographic textures of these films were studied
for both {011}t and {110}t planes by pole figure recording by X-ray diffraction
under a 4-circle goniometer. The internal stresses were measured with the use of
sin2 psi method. In order to study the relationship of microstructures and
tetragonal phase stabilization in ZrO2 films, annealing experiments were taken at
different temperature. The results show that the critical crystallite size for
tetragonal to monoclinic phase transformation is different for samples with
different initial microstructures. Besides the critical crystallite size and the
residual stress, the texture and crystallite morphology of the ZrO2 films are
responsible for the stabilization of the metastable tetragonal phase.
PMID- 22097567
TI - Dia-magnetic to ferro-magnetic behavioral change of Fe-catalysts based
nitrogenated carbon nanotubes (NCNTs) by the process of chlorination/oxidation.
AB - In this work, we have synthesized multiwall nitrogenated carbon nanotubes (MW
NCNTs) with Fe-catalysts by the microwave plasma-enhanced chemical vapor
deposition process @950 degrees C and subsequently functionalized with chlorine
and oxygen. The dia-magnetic behavioral M-H loop of non-functionalized MW-NCNTs
were turn into ferromagnetic behaviors by the process of chlorination and
oxidation respectively; which were characterized by means of superconducting
quantum interference device magnetometer within the temperature range 5-300 K. A
prominent cusp like behavior is also observed at around approximately 45 K in
M(FC) and M(ZFC) measurements confirming the ferromagnetic behaviors of these MW
NCNTs after chlorination and oxidation.
PMID- 22097568
TI - Chemical vapour deposition of nitrogen-doped titanium dioxide thin films.
AB - Nitrogen-doped titanium dioxide is often considered as a promising nanomaterial
for photocatalytic applications. Here we report the first results of a study of
APCVD of N-doped TiO2 thin films prepared with the use of ammonia as a source of
nitrogen and titanium tetraisopropoxide (TTIP) as a source of Ti and O atoms. The
obtained films were analyzed with X-ray diffraction, infrared spectroscopy,
atomic force microscopy, X-ray photoelectron spectroscopy, UV-Vis spectroscopy,
and ellipsometry. It was found that the film growth rate in the TTIP-NH3-Ar
reaction system varied insignificantly with substrate temperature in the range of
450,..., 750 degrees C and did not exceed 4.4 nm/min. Yellow and orange layers
with nitrogen content of about 7.6% were formed at the deposition temperature
higher than 600 degrees C. The results of the structure analysis of the deposited
films showed that addition of ammonia led to stabilization of the amorphous phase
in the films. The effect of ammonia on optical and photocatalytic properties was
also considered.
PMID- 22097569
TI - Fabrication of CuxGey nanoplatelets.
AB - Modern technologies demand novel alloy materials for advanced devices and
applications. Here we report on Low Pressure Chemical Vapor Deposition (LPCVD) of
Ge2Me6 and SnMe4 leading to deposition of nanoplatelets along with nanoparticles.
According to analytical techniques (Raman spectroscopy, ED, EDX, SEM and HRTEM)
the nanoplatelets up to several tens of microm in length have been prepared in
two alloy modifications orthorhombic Cu3Ge and hexagonal Cu86Ge14. Thickness of
the nanoplatelets ranges from 50 to 150 nm. CuSn seeds served for the growth of
Ge nanoparticles scattered among nanoplatelets.
PMID- 22097570
TI - One dimensional aluminum nitride nanostructures: synthesis, structural, and
luminescence properties.
AB - Aluminum nitride (AIN) is a direct bandgap semiconductor with a bandgap about 6.1
eV at room temperature, the largest among semiconductors. This paper emphasizes
experimental results of the growth and optical properties of AIN nanostructures
by direct nitridation. The nitridation process was performed by chemical vapor
deposition method with nitrogen (N2) gas flow. AIN nanostructures were analyzed
by scanning electron microscope (SEM) equipped with energy-dispersive X-ray (EDX)
spectroscope and photoluminescence (PL) spectroscopy. AIN nanowires with
different widths from ultrathin to thick were synthesized with this method. All
of the samples had high purity without presence of any other material in EDX
spectrum. The PL spectra were obtained by a 325-nm helium-cadmium (He-Cd) laser
as the excitation source showing high-intensity light emitting visible
wavelengths for these structures at room temperature.
PMID- 22097571
TI - Growth of chromium carbide in a hot wall DLICVD reactor.
AB - Chromium carbide coatings were grown at 748 K in a hot wall CVD reactor fed by
sublimation of bis(benzene)chromium, BBC (MOCVD) and by direct liquid injection
using a BBC/toluene solution (DLICVD). The two types of coatings exhibit an
amorphous structure and the same C content (22 at.%). DLICVD permits delivering
higher mass flow rate of precursors and consequently the growth rate is 3 times
higher and the thickness uniformity is better than using MOCVD. Chromium metal
deposition has also been investigated by DLICVD in this hot wall reactor using
BBC/toluene/additive as precursor. The purpose of the additive is to block
carbide formation. Two additives have been studied: (i) hexachlorobenzene (C6Cl6)
and (ii) thiophenol (C6H5SH). The ratio additive/BBC required for Cr metal
deposition is a few percent. In this process, C6Cl6 is not decomposed and only
traces of Cl (0.4 at.%) are found in the coatings. For a ratio C6Cl6/BBC > 27%
the growth of any coating is blocked. The gas phase containing C6H5SH is more
reactive since the onset of deposition occurs approximately 50 K before the
temperature of the chlorinated compound. Furthermore, a sulfur contamination of 3
at.% has been analyzed in the coatings revealing a partial decomposition of the
additive. The results are detailed and discussed in relation with previous works.
PMID- 22097572
TI - Metal organic chemical vapour deposition of vertically aligned ZnO nanowires
using oxygen donor adducts.
AB - Vertically aligned zinc oxide (ZnO) nanowires (NWs) have been grown by liquid
injection Metal Organic Chemical Vapour Deposition, using oxygen donor adducts of
Me2Zn. The growth and characterisation of the nanowires grown using [Me2Zn(L)]
where L = monodentate ethers, tetrahydrofuran (C4H8O) (1), tetrahydropyran
(C5H10O) (2), furan (C4H4O) (3) and the bidentate ethers, 1,2-dimethoxyethane
(C4H12O2,) (4) 1,4-dioxane (C4H8O2) (5) and 1,4-thioxane (C4H8SO) (6) is
discussed. Single crystal X-ray structures of (4), (5), (6) have been established
and are included here. The ZnO NWs were deposited in the absence of a seed
catalyst on Si(111) and F-doped SnO2/glass substrates over the temperature range
350-600 degrees C. X-ray diffraction (XRD) data shows that the nanowires grown
from all adduct precursors were deposited in the wurtzitic phase.
PMID- 22097573
TI - On the reliability of heteronuclear precursors-ligand effects in the Li-MOCVD
synthesis of SrTiO3 films.
AB - Strontium titanate SrTiO3 thin films are highly perspective as gate dielectric
material. Difference in volatility of the common homometallic precursors
strontium beta-diketonates and titanium alkoxides remains major hinder for
preparation of high quality coatings based on this phase. An attractive
alternative in its synthesis by MOCVD is provided by application of
heterometallic mixed-ligand complexes, Sr2Ti2(beta-diket)4(OR)8(ROH)x. Mass
spectrometric study reveals, however, that none of these species can be
considered a true single-source precursor. The relative stability of the
molecules in solution and the congruence of in-situ release of homometallic
species on evaporation are, on the other hand, crucial for the quality of the
produced films and are strongly influenced by the nature of alkoxide ligands, OR.
The historically first discovered representative of this heterometallic family, a
sec-alkoxide derivative Sr2Ti2(thd)4(O(i)Pr)8, is in fact unexpectedly unstable,
transforming in solution into Sr2Ti(thd)4(O(i)Pr)4((i)PrOH), which explains
difficulties in keeping the correct stoichiometry using isopropoxide precursor.
The primary alkoxide complexes, Sr2Ti2(thd)4(OR)8(ROH)2, R = Et, (n)Pr are also
unstable yielding Sr4Ti2(thd)4(OR)8(ROH)2 on decomposition. The best solution
stability and most uniform evaporation was observed for the iso-derivative,
Sr2Ti2(thd)4(O(i)Bu)8, permitting to apply it in long term experiments under
industrial process conditions. Present contribution provides detailed
experimental comparison between and sec-and iso-alkoxide derivatives and sheds
light on the influence of the ligand on molecular stability of a precursor and
how it influences the quality of the derived oxide film, especially in relation
to its electrophysical properties.
PMID- 22097574
TI - Effects of interfacial layer on characteristics of TiN/ZrO2 structures.
AB - To minimize the formation of unwanted interfacial layers, thin interfacial layer
(ZrCN layer) was deposited between TiN bottom electrode and ZrO2 dielectric in
TiN/ZrO2/TiN capacitor. Carbon and nitrogen were also involved in the layer
because ZrCN layer was thermally deposited using TEMAZ without any reactant.
Electrical characteristics of TiN/ZrO2/TiN capacitor were improved by insertion
of ZrCN layer. The oxidation of TiN bottom electrode was largely inhibited at
TiN/ZrCN/ZrO2 structure compared to TiN/ZrO2 structure. While the sheet
resistance of TiN/ZrCN/ZrO2 structure was constantly sustained with increasing
ZrO2 thickness, the large increase of sheet resistance was observed in TiN/ZrO2
structure after 6 nm ZrO2 deposition. When ZrO2 films were deposited on ZrCN
layer, the deposition rate of ZrO2 also increased. It is believed that ZrCN layer
acted both as a protection layer of TiN oxidation and a seed layer of ZrO2
growth.
PMID- 22097575
TI - An aerosol-process for the synthesis of nanostructured molybdenum oxide catalysts
by integrated chemical vapour synthesis/chemical vapour deposition at atmospheric
pressure.
AB - We report the synthesis of composite nanoparticles by an integrated CVS/CVD
process at atmospheric pressure. Iron oxide and silica support particles were
generated by chemical vapour synthesis (CVS), using Fe(CO)5 and Si(OC2H5)4 and
were directly coated in the aerosol state with molybdenum oxide by chemical
vapour deposition of Mo(CO)6. Depending on the CVS temperature hematite (600
degrees C) or maghemite (1500 degrees C) iron oxide phases were determined by XRD
and FTIR. Core-shell structures with a coating thickness in the lower nm range
were obtained for CVD temperatures below 150 degrees C. Complete encapsulation of
the core particles and uniform elemental distribution is shown by TEM and EELS
measurements. Higher CVD temperatures lead to unwanted homogenous decomposition
of the molybdenum precursor. Additional aerosol temperature treatment was used to
reach further oxidation and the formation of a mixed oxide shell, indicated by
FTIR measurements. The results show the potential of the process for the
synthesis of structured core-shell nanoparticles.
PMID- 22097576
TI - Stability of high temperature chemical vapor deposited silicon based structures
on metals for solar conversion.
AB - Highly crystallized silicon layers were grown on metal sheets at high temperature
(950 degrees C) by thermal CVD from silane. An intermediate buffer layer was
mandatory to prevent interdiffusion and silicide formation but also to compensate
lattice parameters and thermal expansion coefficients mismatches between metal
and silicon and ideally transfer some crystalline properties (grain size,
texture) from the substrate to the silicon layer. After a thermodynamic study,
aluminum nitride or titanium nitride diffusion barrier layers were selected and
processed by CVD. The structure and the interfaces stabilities of these
silicon/nitride/metal stacks were studied by field effect gun scanning and
transmission electron microscopy, X-ray diffraction, Raman and energy dispersive
X-ray spectroscopy. As a result, TiN deposited by CVD appears to be an efficient
material as a buffer layer between steel and silicon.
PMID- 22097577
TI - Methyldichloroborane evidenced as an intermediate in the chemical vapour
deposition synthesis of boron carbide.
AB - The most recent ceramic-matrix composites (CMC) considered for long-life
applications as thermostructural parts in aerospace propulsion contain, among
others, boron-rich phases like boron carbide. This compound is prepared by
thermal Chemical Vapour Infiltration (CVI), starting from precursors like boron
halides and hydrocarbons. We present a study aiming at a precise knowledge of the
gas-phase composition in a hot-zone LPCVD reactor fed with BCl3, CH4 and H2,
which combines experimental and theoretical approaches. This work has brought
strong evidences of the presence of Methydichloroborane (MDB, BCl2CH3) in the
process. It is demonstrated that this intermediate, the presence of which had
never been formally proved before, appears for processing temperatures slightly
lower than the deposition temperature of boron carbide. The study features
quantum chemical computations, which provide several pieces of information like
thermochemical and kinetic data, as well as vibration and rotation frequencies,
reaction kinetics computations, and experimental gas-phase characterization of
several species by FTIR, for several processing parameter sets. The main results
are presented, and the place of MDB in the reaction scheme is discussed.
PMID- 22097578
TI - Microstructure characteristics of ZrO2 coating produced by atmospheric pressure
chemical vapor deposition.
AB - To settle the problem of low growth rate when prepare ZrO2 thermal barrier
coating by Metalorganic CVD (MOCVD), a simple method was employed-atmospheric
pressure CVD (APCVD). The paper firstly thermodynamic calculated the effect of
O/Zr ratio and temperature on phase formation at various H/C ratios for ZrCl4-CO2
H2-Ar system. With temperature increment, the solid phase changes from C+
monoclinic ZrO2 to Monoclinic ZrO2 then to tetragonal ZrO2. With the increase of
H/C ratio, the phase zone of C+ monoclinic ZrO2 expands. XRD and Raman spectrum
were employed to measure phase structure of ZrO2 coating at different
temperature. At 1300 degrees C, the coating contains a small amount tetragonal
ZrO2 phase besides monoclinic phase; at 1100 degrees C, the coating is composed
of monoclinic ZrO2 phase and a little C. The surface SEM images show the small
grains evolve to polycrystals which have clear crystal form when raising
temperature. The cross-section images show that dense ZrO2 column crystals
arrange normal to the substrate.
PMID- 22097579
TI - Transient stages in the chemical vapor deposition of silicon carbide.
AB - Transient CVD experiments were simulated by varying continuously the deposition
temperature or the initial gas flow rates (Q(MTS) or Q(H2)). Their consequences
on the physicochemical properties of the coatings have been first examined. The
adhesion of SiC/SiC bilayers containing these "transient interphases" (phi(Tr))
was investigated by scratch testing. For transient stages resulting from a
decrease of Q(MTS) or T, free silicon can be co-deposited in proportions
depending on alpha = Q(H2)/Q(MTS), T and P. This phenomenon is related to the
high reactivity of the Si bearing species and is activated by high T and P and
low a values. In this case, the continuous covalent bonding through the Si-rich
interphases preserves the adhesion between the two SiC layers. Transient stages
resulting from a decrease of Q(H2) lead first to larger and columnar SiC grains
and finally to the deposition of anisotropic carbon, due to the formation of
unsaturated hydrocarbons in the gas phase. The interphases with the highest
carbon concentrations and thicknesses lead to delamination and local chipping of
the outer SiC layer. The poor shear strength of these continuous and anisotropic
layers is detrimental to the adherence of the bilayers.
PMID- 22097580
TI - Durability of silver nanoparticulate films within a silica matrix by flame
assisted chemical vapour deposition for biocidal applications.
AB - Healthcare acquired infection (HCAI) rates have come under increasing scrutiny in
recent years and been a major priority for health professionals in the UK and
elsewhere. Of particular concern is the rise of so called 'superbugs', or those
resistant to conventional antibiotics, such as Escherichia coli, Clostridium
difficile and methicillin resistant Staphylococcus aureus (MRSA). The reasons for
this rise are many and complex, but one important factor is bacterial survival
rates on wards and other hospital areas. In this respect, nanostructured biocidal
surfaces offer a potentially powerful weapon in the fight against HCAI. In
addition to providing a toxic environment to a range of infectious disease
causing bacteria (while remaining harmless to human health), any potential
bioactive coated surface is required to be durable enough to withstand regular
hospital cleaning methods without a reduction in biocidal activity over time and
be economically viable to mass produce. The flame assisted chemical vapour
deposition (FACVD) of silver and silver/silica films offer a means of producing
such surfaces. In this work, we report investigations into a wide range of
experimental factors and parameters affecting film durability, including burner
head design and relative water vapour content in the flame environment. The
produced films were assessed in terms of durability (by scratch testing) and
relative silver content using glow discharge optical emission spectroscopy
(GDOES).
PMID- 22097581
TI - Kinetics of the formation of titanium oxynitride and nitride under the action of
VUV, studied by means of ellipsometry.
AB - Preparation of thin nanolayers (approximately 10 nm) with the required
stoichiometry and minimal grain size is important for the development of
nanostructures. The kinetics of the formation of titanium nitride and titanium
oxynitride layers through nitridation of the titanium matrix was investigated in
the present work. It was shown that the UV radiation causes an increase in
nitridation rate, depending on radiation energy, within the studied range 4 to 21
eV. The investigation was carried out with the help of ellipsometry and
independent methods: atomic force microscopy, electron microscopy, and X-ray
photoelectron spectroscopy. The mechanism of titanium interaction with nitrogen
resulting in the formation of nitride and oxynitride layers at the Si/Ti and
SiO2/Ti interfaces was investigated, the role of the UV radiation in solid-state
reactions was revealed, and the mechanism of the process was proposed on the
basis of the experimental data and results of quantum chemical simulation. The
use of UV radiation allowed us to obtain the layers at low temperatures (0-5
degrees C) and to achieve a short reaction time due to an increase in reaction
rate. The resulting layers have good masking properties (minimal density of pores
and defects, high smoothness of the surface). This allows one to use these layers
for chemical and electronic passivation and stabilization of the surface of
semiconductor nano-objects (quantum dots, quantum wires, nanowhiskers etc.) for
electronic and photon nanodevices.
PMID- 22097582
TI - Atomically controlled processing in silicon-based CVD epitaxial growth.
AB - One of the main requirements for Si-based ultrasmall device is atomic-order
control of process technology. Here, we show the concept of atomically controlled
processing for group IV semiconductors based on atomic-order surface reaction
control in Si-based CVD epitaxial growth. Self-limiting formation of 1-3 atomic
layers of group IV or related atoms after thermal adsorption and reaction of
hydride gases on Si(1-x)Gex(100) (x = 0-1) surface are generalized based on the
Langmuir-type model. Moreover, Si-based epitaxial growth on N, P or C atomic
layer formed on Si(1-x)Gex(100) surface is achieved at temperatures below 500
degrees C. N atoms of about 4 x 10(14) cm(-2) are buried in the Si epitaxial
layer within about 1 nm thick region. In the Si(0.5)Ge(0.5) epitaxial layer, N
atoms of about 6 x 10(14) cm(-2) are confined within about 1.5 nm thick region.
The confined N atoms in Si(1-x)Gex preferentially form Si-N bonds. For unstrained
Si cap layer grown on top of the P atomic layer formed on Si(1-x)Gex(100) with P
atomic amount of below about 4 x 10(14) cm(-2) using Si2H6 instead of SiH4, the
incorporated P atoms are almost confined within 1 nm around the heterointerface.
It is found that tensile-strain in the Si cap layer growth enhances P surface
segregation and reduces the incorporated P atomic amount around the
heterointerface. Heavy C atomic-layer doping suppresses strain relaxation as well
as intermixing between Si and Ge at the nm-order thick Si(1-x)Gex/Si
heterointerface. These results open the way to atomically controlled technology
for ULSIs.
PMID- 22097583
TI - The effects of using ALD-grown ZnO buffer layers on the properties of indium tin
oxide grown by chemical solution deposition.
AB - In comparison to ITO films prepared by chemical solution deposition on bare
substrates, the use of a ZnO buffer layer and Al2O3 barrier layer has been shown
to have a significant effect on morphology, measured sheet resistance and
therefore resistivity. In the case of quartz substrates, ITO resistivity
decreased from 9.6 x 10(-3) ohms cm to 4.3 x 10(-3) ohms cm on incorporation of a
ZnO buffer layer and Al2O3 barrier layer, both grown by ALD. A change in surface
morphology was observed, due to the presence of the buffer layer, however, the
ZnO buffer layer was not found to influence the XRD pattern of the ITO films.
PMID- 22097584
TI - Aerosol assisted depositions of polymers using an atomiser delivery system.
AB - The hydrophobicity, robustness and anti-microbial properties of Sylgard 184
polymer films deposited via AACVD were optimised by using aerosol droplets from
an atomiser delivery system, polymer coating substrates and the swell
encapsulation of methylene blue. By using an atomiser deposition system (average
droplet size 0.35 microm) rather than a misting aerosol system (45 microm) lead
to a surface with smaller surface features, which improved hydrophobicity (water
contact angle 165 degrees) in addition to increasing the films transparency from
ca 10 to 65%. Pre-treating the substrates with the same Sylgard 184 elastomer
lead to a highly consistent surface hydrophobicity and an increase in average
water contact angle measured (169 degrees). This paper shows the first example of
dye incorporation in a CVD derived polymer film-these films have potential as
antimicrobial surfaces.
PMID- 22097585
TI - Influence of varying porogen loads and different UV cures on low-kappa film
characteristics.
AB - Nanoporous low-kappa films were manufactured by using a 3-step process: co
deposition of a skeleton and porogens by PECVD, porogen removal by remote plasma
and UV cure. In this study, the influence of both the variation of the porogen
load and the different types of UV-cures on several film characteristics were
investigated. Improved kappa-values were observed for increased porogen to
skeleton ratios and a broad band cure, where the wavelength of the photons is
always higher than 200 nm. However the Young's modulus and hardness decreased
correspondingly. These variations can be attributed to the changing density and
chemical composition of the different films. A wide range of low-kappa films was
obtained by tuning the porogen load and applying different types of UV cures.
PMID- 22097586
TI - A difference in using atomic layer deposition or physical vapour deposition TiN
as electrode material in metal-insulator-metal and metal-insulator-silicon
capacitors.
AB - In this work, metal-insulator-metal (MIM) and metal-insulator-silicon (MIS)
capacitors are studied using titanium nitride (TiN) as the electrode material.
The effect of structural defects on the electrical properties on MIS and MIM
capacitors is studied for various electrode configurations. In the MIM capacitors
the bottom electrode is a patterned 100 nm TiN layer (called BE type 1),
deposited via sputtering, while MIS capacitors have a flat bottom electrode
(called BE type 2-silicon substrate). A high quality 50-100 nm thick SiO2 layer,
made by inductively-coupled plasma CVD at 150 degrees C, is deposited as a
dielectric on top of both types of bottom electrodes. BE type 1 (MIM) capacitors
have a varying from low to high concentration of structural defects in the SiO2
layer. BE type 2 (MIS) capacitors have a low concentration of structural defects
and are used as a reference. Two sets of each capacitor design are fabricated
with the TiN top electrode deposited either via physical vapour deposition (PVD,
i.e., sputtering) or atomic layer deposition (ALD). The MIM and MIS capacitors
are electrically characterized in terms of the leakage current at an electric
field of 0.1 MV/cm (I leak) and for different structural defect concentrations.
It is shown that the structural defects only show up in the electrical
characteristics of BE type 1 capacitors with an ALD TiN-based top electrode. This
is due to the excellent step coverage of the ALD process. This work clearly
demonstrates the sensitivity to process-induced structural defects, when ALD is
used as a step in process integration of conductors on insulation materials.
PMID- 22097587
TI - Low temperature SiC film deposition using trichlorosilane gas and
monomethylsilane gas.
AB - In order to produce silicon carbide thin film through the process entirely at low
temperatures, silicon carbide chemical vapor deposition on a silicon wafer
surface was performed using trichlorosilane gas and monomethylsilane gas. First,
the silicon thin film was formed using trichlorosilane gas at 800 degrees C and
was cooled to room temperature in ambient hydrogen, in order to produce hydrogen
terminated silicon surface. Next, monomethylsilane gas was introduced at room
temperature to produce silicon carbide thin film. The mirror-like appearance of
the film obtained by this process was maintained after the exposure to hydrogen
chloride gas at 800 degrees C. Furthermore, very thin Si-C layer was detected at
the surface by means of the time-of-flight secondary ion mass spectrometry. Thus,
the silicon carbide thin film was concluded to be formed through the process at
temperatures below 800 degrees C.
PMID- 22097588
TI - Atomic layer deposition of ruthenium films on strontium titanate.
AB - Atomic layer deposition of ruthenium on SrTiO3 layers was investigated using
(C2H5C5H4). (NC4H4)Ru and air as precursors. For comparison, the growth was
studied also on ZrO2 films and SiO2/Si surfaces. Deposition temperature was 325
degrees C. Using rather short but intense air pulses, smooth and uniform Ru films
were deposited on SrTiO3. The films were crystallized at early stages of the
growth. The nucleation density and rate on SrTiO3 were notably lower compared to
that on ZrO2 and SiO2, but the physical qualities including the film conductivity
were considerably enhanced after reaching Ru film thickness around 10 nm.
PMID- 22097589
TI - Conformal atomic layer deposition of TA-based diffusion barrier film using a
novel mono-guanidinate precursor.
AB - In this work, we present elaboration of Ta-based thin films by ALD from a novel
tantalum precursor, the eta2-N,N'-isopropylethylguanidinato-tetra-diethylamino
tantalum ([eta2-(i)PrNC(NEt2)NEt]Ta(NEt2)4, IEGTDEAT). Ammonia was used as
reducing agents. The experimental conditions were optimized by quartz
microgravimetry, studying the influence of duration of precursors and purge
pulses and the substrate temperature. An optimal deposition temperature of 260
degrees C was showed. Ta-based thin films deposited on planar and patterned
substrates showed a perfect conformality and continuity, even at low number of
cycles.
PMID- 22097590
TI - Amorphous alumina coatings: processing, structure and remarkable barrier
properties.
AB - Amorphous aluminium oxide coatings were processed by metalorganic chemical vapour
deposition (MOCVD); their structural characteristics were determined as a
function of the processing conditions, the process was modelled considering
appropriate chemical kinetic schemes, and the properties of the obtained material
were investigated and were correlated with the nanostructure of the coatings.
With increasing processing temperature in the range 350 degrees C-700 degrees C,
subatmospheric MOCVD of alumina from aluminium tri-isopropoxide (ATI)
sequentially yields partially hydroxylated amorphous aluminium oxides, amorphous
Al2O3 (415 degrees C-650 degrees C) and nanostructured gamma-Al2O3 films. A
numerical model for the process allowed reproducing the non uniformity of
deposition rate along the substrate zone due to the depletion of ATI. The
hardness of the coatings prepared at 350 degrees C, 480 degrees C and 700 degrees
C is 6 GPa, 11 GPa and 1 GPa, respectively. Scratch tests on films grown on TA6V
titanium alloy reveal adhesive and cohesive failures for the amorphous and
nanocrystalline ones, respectively. Alumina coating processed at 480 degrees C on
TA6V yielded zero weight gain after oxidation at 600 degrees C in lab air. The
surface of such low temperature processed amorphous films is hydrophobic (water
contact angle 106 degrees), while the high temperature processed nanocrystalline
films are hydrophilic (48 degrees at a deposition temperature of 700 degrees C).
It is concluded that amorphous Al2O3 coatings can be used as oxidation and
corrosion barriers at ambient or moderate temperature. Nanostructured with Pt or
Ag nanoparticles, they can also provide anti-fouling or catalytic surfaces.
PMID- 22097591
TI - Fluidized bed chemical vapor deposition of silicon on carbon nanotubes for Li-ion
batteries.
AB - Silicon was deposited on balls of entangled multi-walled carbon nanotubes (CNT)
with a mean diameter of several hundreds of microns, by Fluidized Bed Chemical
Vapor Deposition from silane (SiH4). The weight total percentage of deposited
silicon was between 30 and 70%, to test their efficacy in Li-ion battery anodes.
TEM and SEM imaging revealed that silicon deposits were of the form of
nanoparticles uniformly dispersed on the whole CNT surface. The diameter of these
nanoparticles increases with the deposited silicon percentage from 18 to 36 nm
whereas their density remains constant at 5 10(22) nanoparticles/g of CNT. This
indicates a low affinity of chemical species born from silane pyrolysis with the
CNT surface for nucleation. The increase of the silicon nanoparticles diameter
leads to the decrease of the specific surface area and the porous volume of the
balls, probably due to the filling of the pores of the CNT network by silicon. A
slight increase of the mean diameter of the balls was observed for the two
highest silicon percentages, certainly due to the ability of the CNT network to
be deformed under the mechanical stress induced by the silicon nanoparticles
growth.
PMID- 22097592
TI - Single-step fabrication of nanolamellar structured oxide ceramic coatings by
metal-organic chemical vapor deposition.
AB - Oxide ceramic coatings in the system Y2O3-Al2O3-ZrO2 were fabricated in
laboratory scale by using a MOCVD unit. A hot wall reactor was used along with
different precursor feeding systems. Most experiments were carried out by using
powder flash evaporation including a screw feeder for precursor powder delivery.
For comparison, further samples were fabricated by using band flash evaporation
and continuous evaporation from a crucible. Oxygen was used in all cases as
reactant gas. Aluminium-tris-2,4-pentanedione (Al(acac)3), yttrium-tris-2,2,6,6
tetramethyl-3,5-heptanedione (Y(thd)3) and zirconium-tetrakis-2,2,6,6-tetramethyl
3,5-heptanedione (Zr(thd)4) were applied as metal-organic precursors because of
their similar vaporization behaviour under the given conditions. The coating
stoichiometry was varied from pure alumina to complex ternary compositions in the
system Y2O3-Al2O3-ZrO2. Both kinds of ternary coatings fabricated by using flash
evaporation methods show a nanolamellar microstructure in the as deposited state.
Heat treating experiments at 1200 degrees C for up to 5 days enhance the lamellar
character of the coating deposited by using powder flash evaporation. The
lamellar microstructure is due to alternating YSZ enriched layers and YAG
enriched layers in this state. However, the coating fabricated by using band
flash evaporation shows a dense interpenetrating network of YSZ and YAG after
heat treating instead of a lamellar microstructure observed in the as deposited
state.
PMID- 22097593
TI - Non-thermal atmospheric pressure plasma etching of F:SnO2 for thin film
photovoltaics.
AB - Thin film based photovoltaic systems offer significant advantage over wafer based
technologies enabling the use of low cost, large area substrates such as glass,
greatly facilitating the construction and integration of large modules. The
viability of such systems has advanced in recent years, with researchers striving
to optimise performance through the development of materials and cell design. One
way to improve efficiency is to texture the interface between the TCO and the
absorber layer to maximise scattering over the appropriate wavelength range, with
nanometre scale features such as pyramids being reported as giving high scatter.
These textures may be achieved by advanced growth processes, such as CVD, post
growth etching or a combination of both. In this work, textured F:SnO2 films
produced by APCVD were favourably modified using a remote, non thermal,
atmospheric plasma to activate a selective dry etch process resulting in
significantly enhanced topography. Uniform treatment of the samples was achieved
by translation of the samples below the plasma head. Advantages of this approach,
compared to competitive technologies such as wet chemical processes, are the
relatively low power consumption and ease of scalability and retroprocess
integration. The modified structures were studied using AFM, SEM and EDAX, with
the observed topography controlled by process variables. Optical properties were
assessed along with Hall measurements.
PMID- 22097594
TI - Impedance spectroscopy of manganite films prepared by metalorganic chemical vapor
deposition.
AB - Polycrystalline Pr(1-x)CaxMnO3 (PCMO) films were prepared by liquid source
metalorganic chemical vapor deposition using in situ infrared spectroscopic
monitoring. The electric properties of the PCMO-based devices with Ni and Al
electrodes (Ni-PCMO-Ni and Al-PCMO-Al devices) were studied by dc current-voltage
(I-V) measurements and ac impedance spectroscopy. The current varied linearly
with the applied voltage in Ni-PCMO-Ni devices, while nonlinear behavior was
observed in I-V curves for Al-PCMO-Al devices. Impedance spectra were also
different between Ni-PCMO-Ni and Al-PCMO-Al devices. The Cole-Cole plots for the
Ni-PCMO-Ni devices showed only a single semicircular arc, which was assigned to
the PCMO bulk impedance. Impedance spectra for the Al-PCMO-Al devices had two
distinct components, which could be attributed to the PCMO bulk and to the
interface between the PCMO film and the Al electrode, respectively. The bias
dependence of the impedance spectra suggested that the resistance switching in
the Al-PCMO-Al devices was mainly due to the resistance change in the interface
between the film and the electrode. The metal electrode plays an important role
in the resistance switching in the PCMO-based devices. The choice of the optimum
metal electrodes is essential to the ReRAM application of the manganite-based
devices.
PMID- 22097595
TI - Carburization of Si microwires by chemical vapour deposition.
AB - We report the elaboration of silicon carbide (SiC) nanostructures thanks to the
carburization of silicon microwires (MWs) under methane at high temperature. The
produced SiC nanostructures display a tubular shape and are polycrystalline. The
as-prepared silicon carbide microtubes (MTs) were characterized and studied by
scanning electron microscopy (SEM), dual focused ion beam-scanning electron
microscope (FIB-SEM), transmission electron microscopy (TEM), X-ray diffraction
(XRD) and Raman spectroscopy. The formation of microtubes can be explained by the
out-diffusion of Si through the SiC during the carburization process.
PMID- 22097596
TI - Atmospheric pressure chemical vapor infiltration (CVI) for the preparation of
biomorphic SiC ceramics derived from paper.
AB - Chemical Vapor Infiltration of biological structures such as paper is used here
to produce biomorphic SiC ceramics with high temperature resistance. The
biological substrate materials are infiltrated with tetramethylsilane (TMS) at
atmospheric pressure and elevated temperatures of 790 degrees C. A simple tube
furnace (hot-wall reactor) is used for the infiltration process. As result,
porous SiC-ceramics are grown which are around 20% smaller and 70% lighter than
the initial substrates. This can be explained by the pyrolytic reaction of the
substrates while heating them up to 790 degrees C, which is necessary for the
infiltration process. Nevertheless, besides the shrinking of the substrates the
geometrical form remains nearly unchanged. The resulting materials were heated up
to 1000 degrees C in oxygen atmosphere in order to analyze their resistance
against oxidation. After this treatment, all of them were still mechanically
stable and of unchanged shape while a further mass loss was observed. This
confirms the high temperature stability of the prepared materials.
PMID- 22097598
TI - [Difference of HBV DNA levels and HBV genotypes between the patients with primary
hepatocellular carcinoma and liver cirrhosis with hepatitis B].
AB - OBJECTIVE: To compare the difference of HBV DNA levels and HBV genotypes between
the patients with primary hepatocellular carcinoma (HCC) and liver cirrhosis who
infected with hepatitis B virus. METHODS: Total 430 patients with hepatitis B
were enrolled and further divided into the HCC group (210 cases) and liver
cirrhosis group (HBV LC, 220 cases). The levels of HBV DNA and HBV genotypes were
detected in all of the serum samples from the two groups, and the differences in
the genotypes and virological markers between HCC patients and HBV LC patients
were further analyzed. RESULTS: The positive rates of HBV DNA of HCC patients and
HBV LC patients were 84.3% (177/210) and 94. 5% (208/220), respectively. The mean
values of serum HBV DNA in HCC patients and HBV-LC patients were (5.06 +/- 1.01)
log10 cps/ml and (5.36 +/- 1.13) log10 cps/ml, respectively. The positive rates
of HBV DNA and the mean values of serum HBV DNA were higher in HBV-LC patients
than those in HCC patients (P < 0.01). Furthermore, the main genotype was C in
both groups and the distribution of genotype C and genotype B had no statistical
difference. CONCLUSIONS: Mainly presented as a C genotype in both groups, the
total levels of serum HBV DNA in HCC patients were lower than those in HBV-LC
patients.
PMID- 22097597
TI - [Detection and analysis of gene polymorphism in hepatitis B virus C region].
AB - OBJECTIVE: To explore the variations of gene C in hepatitis B viruses between
hepatitis B patients and healthy carriers, and provide experimental evidences for
analysis of virus gene mutations acting on the virus material science and
response of the body to the virus. METHODS: The virus DNA load in hepatitis B
patients and healthy blood donors was investigated by real-time polymerase chain
reaction (PCR). Gene sequence analysis was taken to detect gene polymorphism, and
all the success samples were compaired with standard strain by DNAstar. RESULTS:
(1)G Compared with standard strain, C region in all samples had mutations, there
were 31 mutations in at least 2 samples (3 mutations in gene PreC and 28
mutations in gene C), including 9 missense mutations, 1 chain termination
mutation and 21 synonymous mutation. Mutations nt 1827 c-->a and nt 2221 c-->t
existed in all the samples, and most samples had 6 synonymous mutations. Four
hepatitis B patients had mutation nt1896 g-->a, and another 4 patients had 2
mutations, namely, S87G and I97F (or 197L) in HBcAg CTL recognition episome. (2)
The success ratio of amplification and sequencing of HBV DNA was closely
associated with its copy numbers. In the present study, copy numbers of HBV DNA
which were successfully amplified and sequenced were almost more than 40 193/ml.
CONCLUSIONS: HBV genome were easily affected by nucleotide mutations, 2 residues
had mutations in gene of C region, which is firstly reported, suggesting these
mutations may be geographical restricted. Mutations in gene of C region may
either change the structure and function of HBeAg and HBcAg, which may further
induce the escape of immune clearance for HBV or influence the detection of HBsAg
or HBeAg, which may creat new problems for the prevention, diagnosis and
treatment of hepatitis B.
PMID- 22097600
TI - [Analysis of sequence and genotype of E gene of the newly isolated Japanese
encephalitis virus strains in Wuhan, Hubei Province].
AB - OBJECTIVE: To analyze the molecular characteristics of the newly isolated two
Japanese encephalitis virus strains (JEV) in Wuhan. METHODS: The mosquitoes were
collected in Wuhan from April to October in 2009. The envelope (E) protein gene
of JEV was detected using RT-PCR and sequenced. Sequence comparisons and
phylogenetic analysis were conducted using DNAstar and MegAlign. RESULTS: Two
Japanese encephalitis virus (JEV) strains (WHJX09-9, WHJX09-10) were isolated
from Culex tritaeniorhynchus among 16 mosquito pools and identified as genotype
I. The result showed that the homology of the two strains was 98. 9% in
nucleotides and 100% in deduced amines. The comparison between the new genotype 1
JEV strains and live attenuated vaccine strain SA14-14-2 in E gene showed that
the homology of nucleotide sequence was 87.4% and 87.9%, the homology of amino
acid was 96.9% (total 15 amino acid were different) in E gene. The mutation sites
of amino acid distributed among three different coding domain, but no antigen
binding site and neurotoxin-involved site of amino acid were changed. CONCLUSION:
Wuhan had appeared a new genotype of JEV which was different from the former
strain isolated in Wuhan, the new JEV strains still had neurotoxicity but had
high homology with the vaccine strains adopted in Wuhan. The vaccine could still
be adopted to prevent Japanese encephalitis if steps were take to eradicate
mosquitos at the same time. laboratory surveillance were also an important task
to build an early-warning mechanism against JEV.
PMID- 22097599
TI - [Analysis of genetic diversity and amino acid sequence of HIV-1tat in CNS and
peripheral tissue of a patient with ADC and a patient with non-ADC].
AB - OBJECTIVE: To study the diversity of HIV-1 tat gene in CNS and peripheral tissue
of a patient with ADC and a patient with non-ADC, so as to research HIV
evolution, the mechanism of CNS invasion and the pathogenesis of ADC. METHODS:
The tat gene was amplified with nested PCR from genomic DNA which was extracted
from spleen and basal ganglia of one non-ADC patient with a wide range of
cerebral artery atherosclerosis and one ADC patient. PCR products were cloned
into the PGEM-T vector, after transformation and selection by ampicillin and
blue/white spotting. Five of positive clones were sequenced. HIV-1 tat sequences
were processed with BioEdit and MEGA4. With the softwares, neighbor-joining tree,
p-distances, values of ds/dn, and analysis of amino acid motifs were all done, so
as to research the diversity of HIV-1 tat gene in CNS and peripheral tissue.
RESULTS: Gene mutation of HIV-1 tat exist in the two patients, the mutation
process of tat isolated from ADC patient suffered more compartmentalization than
tat isolated from non-ADC patient, the differences of tat genes between CNS and
peripheral tissue in ADC patient were greater than the non-ADC patient. Ds/dn
showed that the virus gene mutation played a major role, the body intend to
remove harmful non-synonymous mutations. CONCLUSIONS: The compartmentation of tat
gene in CNS and peripheral tissue of the two patients was different, the reason
may be related to the pathway of HIV into the CNS, the relationship between HIV
gene mutation in CNS and ADC still need more investigation.
PMID- 22097601
TI - [Human cytomegalovirus glycoprotein B genotypes in congenitally infected
neonates].
AB - OBJECTIVE: To investigate human cytomegalovirus (HCMV) glycoprotein B (gB)
genotypes and clinical features in neonates with congenital infections. METHODS:
Urine samples were obtained from 67 neonates with HCMV infection confirmed by
polymerase chain reaction (PCR). The gB gene fragment was amplified by nested
PCR. HCMV gB genotyping was detected by restriction fragment length polymorphism.
RESULTS: In all these cases, the most prevalent genotype was gBl (50.7%),
followed by gB3 (23.9%), gB2 (17.9%), and gBl/gB3 coinfection (7.5%); gB4 was not
found. Moreover, gB1 was more prevalent in infants with liver damage (27/37,
73.0%) than in other symptomatic infants without liver damage (13/30, 43.3%; P <
0.05). CONCLUSION: The gBI genotype is the most prevalent in infants with
congenital symptomatic HCMV disease, especially in those with liver damage,
followed by genotypes gB3, gB2, and gB4.
PMID- 22097602
TI - [The clinical research of the MGMT expression levels in glioma pations].
AB - OBJECTIVE: To investigate the correlation between the expression of tumor O (6)
methylquanine DNA methyl-tranferase(MGMT) and pathological grade,and the
influence of racial factors on tumor MGMT expression levels for glioma patients.
METHODS: Compare and analysis the correlation between the pathological grade and
MGMT levels and the racial factors on MGMT expression levels by the
immunohistochemical staining on the tumor specimens of 33 Uygur and 61 Han.
RESULTS: The positive rate of 61 Han gliomas pations with MGMT is 45.90% and 33
cases of the Uygur is 30.30% , there's no clear correlation between the racial
factors and the tumor MGMT levels. (P >0.05). Comparative the 94 patients with
pathological level and tumor MGMT level, there is no clear correlation between
pathologic level and MGMT pression in tumor tissues (P >0.05). CONCLUSION:
There's no clear correlation of tumor MGMT expression and pathological levels;
and there's no significant effect between racial factors and expression of glioma
MGMT.
PMID- 22097603
TI - [Sequencing and analyses of the adenovirus polymerase gene in fecal samples of
captively bred Rhesus macaques].
AB - OBJECTIVE: In an attempt to study the moleculr characterization and epidemiology
of simian adenoviruses in nonhuman primate (NHP) populations. METHODS: We
examined a colony of captively bred rhesus macaques (Macaca mulatta) in China for
the presence of adenoviral DNA in stool samples. This was done by using the PCR
method that targeted the adenovirus polymerase gene, and the PCR positive
fragments were cloned for sequencing and phylogenetic analyses. RESULTS: Among
the 57 animals analyzed, fecal samples from 12 animals were positive for the
presence of adenoviral DNA. The results suggested that the viral DNA clones were
primarily segregated into two large groups: SAdV-6 (2 non-redundant sequences)
and SAdV-7 (9 non-redundant sequences). In addition, there were three clones with
more similarity to SAdV-1, SAdV-3 and HAdV-52 respectively. CONCLUSION: Our data
confirmed the prevalence of adenoviral DNA in the feces of NHPs and revealed the
adenoviruses in the gastrointestinal tract of the study animals. heterogeneity
and phylogenetics of the adenoviruses in the gastrointestinal tract of the study
animals.
PMID- 22097604
TI - [The correlational study of viral load of human bocavirus and clinical features
in children with acute respiratory tract infection].
AB - OBJECTIVE: To analyze the correlations between clinical features in paediatric
patients with acute respiratory tract infection (ARTI) and viral load of human
bocavirus. METHODS: A prospective study was conducted on 956 children < 5 years
admitted with an acute respiratory tract infection from November 2009 to December
2010, and 251 healthy children conclused as control group in the corresponding
period. Human bocavirus was investigated in nasopharyngeal aspirates (NPA) and
throat swab by PCR, and viral load was detected by real-time polymerase chain
reaction (RT-PCR) in HBoV positive sample. Clinical data were also prospectively
recorded. RESULTS: A significant difference was found in HBoV positive rate
between children with ARTI and control group at enrollment. There was a
significant difference in HBoV viral load between children with upper respiratory
tract infection and lower respiratory tract infection. HBoV viral load did not
differ significantly between children with upper respiratory tract infection and
control group. Among children with lower respiratory tract infection, no
significant difference were detected between common and severe cases in HBoV
viral load. HBoV viral load did not differ significantly whether the children
were with or without co-infection. CONCLUSIONS: HBoV could be detected perennial
and considered as a major pathogen associated with acute respiratory tract
infection in children. However, HBoV may not be a independent factor in children
with ARTI and the HBoV viral load was not associated with the severity of
respiratory illness.
PMID- 22097605
TI - [The analysis of effect of Th1/Th2 cytokine in the different prognosis in severe
influenza A (H1N1)].
AB - OBJECTIVE: To explore the effect of cytokine in the different prognosis of
patients with severe influenza A (H1N1) infection. METHODS: 28 cases with severe
influenza A (H1N1) were enrolled in the study including 16 cured cases and 12
dead cases. The cytokine level in serum was detected by Luminex technology.
RESULTS: The levels of IL-2, IL-12 (P70) and IFN-gamma in dead group was lower
than cured and normal control group and the difference were significant, P <0.05,
respectively. IL-4 level in the dead group was significantly lower than cured
group and normal control group, P value was 0.0310 and 0.0012, respectively.
CONCLUSIONS: The Thl cytokine level in the severe 2009 epidemic H1N1 influeaze
cases shows decreased trend, and the trend is more obvious in dead cases. The
decrease of Th1 cytokine may be one of reasons leading to severe clinical
situation and related withthe bad prognosis.
PMID- 22097606
TI - [Study on EB virus infection, LMP1 and Bcl-2 expression in lung cancer patients].
AB - OBJECTIVE: To investigate the Epstein-Barr virus (EBV) infection, the expression
of EBV latent membrane protein 1 ( LMPl) and oncogene bcl-2 in lung cancer
patients. METHODS: EBERI in 108 cases of lung cancer were detected with in situ
hybridization. EBV positive and negative lung cancer tissues were analysed for
the expression of LMP1 and Bcl-2 by immnohistochemistry. The average area (AA)
and integral optical density (IA) of each sample was measured with the digital
medical image analyzing system. RESULTS: In 108 cases of lung cancer, 36 cases
were EBER1 positive and 7 cases were LMP1 positive. The expression of Bcl-2 was
higher in EBV positive lung cancer tissues than that in EBV negative. The AA
value was 58014.23 +/- 6918.45 and 38156.22 +/- 4096.79, while the IA value was
11.00 +/- 1.48 and 8.03 +/- 0.78 respectively. No statistic difference was fund
in the expression of Bcl-2 betwen LMP1 positive and negative lung cancer
tisssues. CONCLUSION: EBV infection in lung cancer increased the expression of
bcl-2, which may play a role in the occurrence or development of lung cancer. The
increased expression of Bcl-2 may not be induced by LMP1. The exact mechanism
need further study.
PMID- 22097607
TI - [The expression and bioactivity of S gene in Vero-E6 cells using baculovirus
vector].
AB - OBJECTIVE: The S gene of a Hanta Virus (HV) Z10 strain was cloned into a
baculovirus shuttle bacmid pDual-CMV contained a CMV promoter to generated a
recombinant baculovirus BAC-pDual-CMV-HVS, then the recombinant baculovirus was
transfected into Vero-E6 cell. The cells with recombinant baculovirus were
applied to the detection of HV antiserum. METHODS: To generate the recombinant
baculovirus BAC-pDual-CMV-HVS, the sequence of CMV promoter was obtained from the
plasmid pEGFP-N1 by PCR, and subsequently cloned to the baculovirus shuttle
bacmid pFastBacDUAL resulting the recombinant plasmid pDual-CMV. Then the
sequence of HV-S gene was inserted to the plasmid pDual-CMV, to generate the
plasmid pDual-CMV-HVS. Plasmid pDual-CMV-HVS was transformed into the DH10BAC
competent cells to get the recombinant baculovirus BAC-pDual-CMV-HVS. The antigen
substrate slides were made by transfecting the recombinant virus into Vero-E6
cells. RESULTS: The plasmid pDual-CMV-HVS was verified by sequencing. The
recombinant virus BAC-pDual-CMV-HVS was generated according to the protocol of
the baculovirus and transfected into Vero-E6 cells. The expression of the HV-S
gene was verified by positive HV antiserum. CONCLUSION: [corrected] The
recombinant virus were successfully generated and applied to prepare the antigen
substrate slides. The antigen substrate slides was conveniently prepared without
special equipments, and can be used to detect the antiserum of HV virus.
PMID- 22097608
TI - [Clinical study on viral hepatitis combined with aplastic anemia].
AB - OBJECTIVE: To study the clinical features, outcomes and treatments of viral
hepatitis combined with aplastic anemia. METHODS: 25 cases diagnosed as viral
hepatits combined with aplastic anemia in Beijing Ditan Hsopital between April
2004 and September 2009 were retrospectively analyzed. In this group of patients
aplastic anemia was finally diagnosed by bone marrow aspiration. We collected
clinical data of these patients, including a history of liver disease, drug
allergies, hospital medication history, laboratory data, and then performed
descriptive analysis. RESULTS: 25 patients with viral hepatitis were diagnosed as
complicated with aplastic anemia by histopathological data. Among these patients,
17 were male and 8 were women. Viral hepatitis included: chronic hepatitis B (12
cases), chronic hepatitis C (4 cases), acute hepatits E (1 case), hepatitis
caused by CMV infection (1 case), and unclassified hepatitis (7 cases). Among
these patients, 7 were diagnosed as severe hepatits. Considering previous
history, only 3 patients had history of short term interferon therapy before
hospitalization, and the remaining patients did not use drug that affects blood
system. Treatments were as followings: using colony stimulating factor in 6
patients, gamma globulin in 9 patients, glucocorticoids in 3 patients,
erythropoietin in 1 patient, only oral drug to raise erythrocytes in 2 patients,
red blood cells transfusion in 6 patients, platelets transfusion in 2 patients.
As for clinical outcomes, 20 patients acquired improved condition and were
dicharged, 3 patients were discharged voluntarily and 2 patients died of severe
hepatits combined with other complications. CONCLUSION: Main treatments of viral
hepatitis combined with aplastic anemia were to treat primary hepatopathy and
nucleoside analogue-based antiviral therapy, to provide symptomatic and
supportive treatment for blood diseases. Blood diseases would recover
simultaneously while liver disease was improved, and the prognosis was good.
PMID- 22097610
TI - [The clinical epidemiology characteristics of HFMD in 2010 of Hangzhou].
AB - OBJECTIVE: To analyze clinical epidemiology characteristics of HFMD in children
from April 2010 to October in Hangzhou. METHODS: 1848 HFMD hospital patients are
admitted to clinical epidemiological analysis. RESULTS: Onset ages of HFMD
primarily under 3 years, boys more than girls, social above diasporas, rural
above town. The highest peak in 5-7 months. Mostly clinical symptoms are mild,
the prognosis is good. CONCLUSION: HFMD has obvious susceptible population and
susceptibility season. Increase health interventions to susceptible regions and
the crowd in popular season, early detection, active therapy, most prognosis is
good.
PMID- 22097609
TI - [Significance of serum golgi protein 73 (GP73), alpha-fetoprotein (AFP) and
lectin-reactive alpha-fetoprotein (AFP-L3) expresssion in primary hepatic
carcinoma].
AB - OBJECTIVE: To explore the alone and joint diagnostic value of serum golgi protein
73 (GP73), alpha-fetoprotein (AFP) and the percentage of lectin-reactive aipha
fetoprotein (AFP-L3) of primary hepatic carcinoma (PHC), and provide a novel
method for diagnosis for PHC and screening for high-risk population. METHODS:
ELISA was used to detect the serum level of GP73, AFP and AFP-L3% in 81 cases of
PHC,176 cases chronic hepatitis and liver cirrhosis, 30 cases other tumber cancer
and 40 cases of health people. RESULTS: The sensitivity of GP73, AFP and AFP-L3%
in PHC is 77.78%, 62.69% and 51.85%, and the specificity is 84.55%, 86.99% and
96.34%, respectively. Joint detection could increase the sensitivity up to
88.89%. CONCLUSION: GP73 was a high sensitivity mark for dignosis of PHC, while
AFP-L3% was a high specificity mark for dignosis of PHC. The joint detection
could improve PHC diagnostic performance.
PMID- 22097611
TI - [Detection the serum IgM and IgG specific for TORCH in 1307 women in the period
of pre-pregnancy and pregnancy].
AB - OBJECTIVE: The objective is to obtain the information of women in the period of
prepregnancy and pregnancy infected by TORCH (Toxoplasma, Rabella Virus,
Cytomegalovirus, and Herpes Simplex Virus) in Kunming for preventing fetus from
infection by TORCH in uterus. METHODS: The serum IgM and IgG specific for TORCH
from 1307 women in the period of pre-pregnancy and pregnancy were measured with
ELISA and The reagent is the product of virion/serion. RESULTS: The serum IgM
specific for TORCH were detected in 2.83% of 1307 pregnant women for Toxoplasma,
2.37% for Rebulla Virus, 0.46% for Cytomegalovirus, 2.45% for Herpes Simplex
Virus. The total positive rate of serum IgM specific for TORCH was 1.45%. The
serum IgG specific for TORCH were detected in 3.98% of 1307 pregnant women for
Toxoplasma, 72.3% for Rebulla Virus, 97.78% for Cytomegalovirus, 80.34% for
Herpes Simplex Virus. The total positive rate of serum IgG specific for TORCH was
63.60%. There was no significant difference among the women with different
pregnant situation in terms of the serum IgM and IgG specific for TORCH.
CONCLUSION: There are some of women in the period of pre-pregnancy and pregnancy
with current infection by TORCH in Kunming. It is recommended to screen for
infection by TORCH in women in the period of pre-pregnancy and pregnancy to
prevent fetus from infection by TORCH in uterus.
PMID- 22097612
TI - [Efficacy of two nucleoside analogs to treat resistant HBeAg-negative chronic
hepatitis B].
AB - OBJECTIVE: To observe the therapeutic effect and safety of entecavir and adefovir
in the treatment of lamivudine-resistant HBeAg-negative chronic hepatitis B.
METHODS: Sixty-five patients with lamivudine-resistant HBeAg-negative chronic
hepatitis B were randomly divided into two groups. The entecavir treatment group
included 33 patients, who were administrated entecavir 1.0 mg/d. The adefovir
treatment group included 32 patients, who were administrated adefovir dipivoxil
10 mg/d. Changes in serum HBV DNA, liver functions, phosphocreatine kinase,
creatinine and adverse reaction were dynamically monitored. RESULTS: At the end
of the 12th, 24th, 48th week of treatment, the rates of serum ALT normalization
of the entecavir treatment group were higher than that of the adefovir treatment
group, but there wasn't statistically difference between two groups until the end
of the 48 th week of treatment (P > 0.05). The rate of sera to turn negative for
HBV DNA of the entecavir treatment group was significantly higher than that of
the adefovir treatment group at the end of the 12th week. Moreover, the
difference was statistically significant (P<0.05). CONCLUSION: Both entecavir and
adefovir dipivoxil might have a good response to lamivudine-resistant HBeAg
negative chronical hepatitis B. Entecavir could achieve better therapeutic
effects.
PMID- 22097613
TI - [The study of combining high-risk human papillomavirus types checking and
cytologic test in the screening of cervical lesions].
AB - OBJECTIVE: To study the relationship between thinprep cytologic test and the
types of human papilloma virus (HPV) infection in cervical precancerous lesion
screening. METHODS: To perform high-risk HPV types test in 1375 samples. Choose
256 positive samples to take thinprep cytologic test (TCT) and directed biopsies
under colposcopy. Adopting two-channels real time PCR to genotype and quantify
eight high risk HPV DNA (high risk types: HPV 16, 18, 45, 31; intermediate risk
types: HPV 33, 52, 58, 67). RESULTS: There are 256 positive samples in High risk
HPV DNA test (18.62%). WNL rate for TCT is 16.41% (42/256), ASCUS and above rate
for TCT is 83.59% (214/256). There is no statistically significant difference in
the viral loads of HPV infection rate between the TCT negative patients and
positive patients (P > 0.5). Positive correspondence rate for TCT and biopsy are
92.86% (39/42), 81.36% (48/59), 85.19% (23/27) and 9/10. CONCLUSION: High-risk
HPV types checking combined with TCT and biopsy can raise positive rate
significantly. It should be used as a reliable method for early diagnosis in
cervical cancer and CIN screening.
PMID- 22097615
TI - [Detection of hepatitis C core antigen in intravenous drug addictions].
AB - OBJECTIVE: To study the status of detection of hepatitis C core antigen in
intravenous drug addictions, and discuss the foreground of the hepatitis C core
antigen ELISA test system. METHODS: HCV core antigen, HCV RNA quantity, anti HCV
IgG, HBsAg were analysis in all the plasma samples taken from 93 cases of
intravenous drug users. RESULTS: The specialty and sensitivity of HCV core
antigen in intravenous drug addictions 100% -54% separately. When HBsAg were
positive, the sensitivity of HCV core antigen was 38%, while HBsAg negative, the
sensitivity of HCV core antigen was 69% (P < 0.01). CONCLUSION: The detections of
HCV core antigen showed high specialty but low sensitivity in intravenous drug
addictions. The positive rate has positive relation with HCV RNA virus logarithm
quantity. Coinfection with HBV are the interfere factor of HCV core antigen
detection. In screening experimentations, the detection of HCV core antigen in
plasma may be applied as supplement method for anti-HCV-IgG. It can also be used
to monitor viremia in HCV infection.
PMID- 22097616
TI - [Establishment and application of nested real-time quantitative polymerase chain
reaction assay for detection of hepatitis B virus covalently closed circular
DNA].
AB - OBJECTIVE: To establish a nested real-time quantitative polymerase chain reaction
(PCR) assay for detection of hepatitis B virus covalently closed circular DNA in
PBMC( peripheral blood monocyte) and MMNC (marrow monocyte). METHODS: Based on
the structural differences between HBVcccDNA and HBV rcDNA, two pairs of specific
primers spanned the gap of the positive and negative chains and a specific TaqMan
probe situated downstream were designed. To remove rcDNA, cccDNA was processed by
Mung Bean Nuclease,and then amplified by nested real-time quantitative PCR using
a pair of outer primers and a pair of inner primers. According to the standard
preparation, cccDNA levels of specimen were calculated. RESULTS: We have
established a nested real-time fluorescent quantitative PCR method for HBV cccDNA
successfully, and the linear range is from 5.0 x 10(2) to 3. 9 x 10(7) copies per
milliliter. Of the 25 PBMC samples and 7 MMNC samples of the chronic hepatitis B
or liver cirrhosis patients, 3 MMNC samples and 9 PBMC samples were HBV cccDNA
positive, while all of the 21 healthy donator blood PBMC samples were negative.
CONCLUSIONS: The nested real-time fluorescent quantitative PCR method may be
applied to detect HBVcccDNA level in PBMC and MMNC. HBVcccDNA can be detected in
PBMC and MMNC.
PMID- 22097614
TI - [Comparative study on the clinical characteristics of HBV/HCV co-infection
patients with different HCV contaminnation mode].
AB - OBJECTIVE: The purpose of this study was to compare the epidemiological,
biochemical and virological characteristics among patients co-infected with
hepatitis B virus (HBV) and hepatitis C virus (HCV) according to the mode of HCV
contamination. METHODS: The study included 133 patients with chronic HBV/HCV co
infection. They were studied and subdivided into two groups (drug addicts group
and Blood transfusion group) according to the mode of HCV contaminnation. The
epidemiological, biochemical and virological characteristics were collected.
Univariate analysis was performed with the SPSS 16.0. RESULTS: 78 patients were
infected by the mode of drug addicts (IDU), whereas 55 were infected by the mode
of blood transfusion( PTCH). Patients in drug addicts group had yonger age,
shorter HBV and HCV infection history, and lower cirrhosis percentage than those
of patients in PTCH group (P <0.05). However,serum levels of ALT (t =4.760, P
=0.000), AST (t = 3.798, P = 0.000), TBil (t = 4.274, P = 0.000) of IDU patients
were higher than those of PTCH patients. There was difference of sex composition
between two groups (chi2 = 18.706, P = 0.000). CONCLUSIONS: The clinical
characteristics of patients with HBV/HCV coinfection were significantly different
among different HCV contamination mode. PTCH patients have the characteristics of
older age, more cirrhosis and mild degree of liver injury; IDU patients have the
characteristics of yonger age,fewer cirrhosis and severe liver injury.
PMID- 22097618
TI - [Soft tumors in the mid abdomen and thighs. Diabetes, lipohypertrophy].
PMID- 22097617
TI - [Establishment of cellular immunity of enhanced hepatitis B vaccine].
AB - OBJECTIVE: To establish the method to detect the cellular immune response of
enhanced hepatitis B vaccine and make verification preliminary. METHODS:
Immunized BALB/c mice with enhanced hepatitis B vaccine and detected the IFN
gamma spots forming cells (SFC) of mouse spleen cell by Elispot. Optimized the
conditions of the experiment. Cellular immune response between enhanced hepatitis
B vaccine and normal hepatitis B vaccine by Elispot were compared. RESULTS: IFN
gamma SFC was higher in 5microg dose than in 2microg dose after immunization with
enhanced hepatitis B vaccine and IFN-gamma SFC was declined after immunization 3
weeks ago. IFN-gamma SFC was higher in stimulus by peptide than by protein.
Compared to normal hepatitis B vaccine, IFN-gamma SFC was higher in enhanced
hepatitis B vaccine. CONCLUSION: Established the detection method to evaluate the
cellular immunity of enhanced hepatitis B vaccine and tested the repeatability.
PMID- 22097619
TI - ["Enormous burden" of psychiatric illnesses (interview by Thomas Muller)].
PMID- 22097620
TI - [Is life insurance really worth it? The guaranteed interest rate and what
remains].
PMID- 22097621
TI - [Early symptoms of systemic illnesses].
PMID- 22097622
TI - [Acute chest pain. A myocardial infarct - or does it come from the aorta?].
PMID- 22097623
TI - [The immunocompromised patient: can you vaccinate him? You even must!].
PMID- 22097624
TI - [The ghost ride ended in neurosurgery].
PMID- 22097625
TI - [When can he lift again?].
PMID- 22097628
TI - [Medical treatment of professional voice users].
PMID- 22097627
TI - [Medicine for musicians].
PMID- 22097629
TI - [Musicians dystonia].
PMID- 22097630
TI - [Diagnosis and therapy of performance anxiety].
PMID- 22097631
TI - [Fracture of the clavicle].
PMID- 22097632
TI - [Overweight in families with migrant background].
PMID- 22097633
TI - [Crohn's disease--early immune-modulatory therapy improves outcome].
PMID- 22097634
TI - [Too fat to be rescued?].
PMID- 22097635
TI - Multidimensional measure of display clutter and pilot performance for advanced
head-up display.
AB - INTRODUCTION: This study was conducted to: develop a multidimensional measure of
display clutter for advanced head-up displays (HUDs) incorporating enhanced and
synthetic vision; assess the influence of HUD configuration on perceptions of
display clutter, workload, and flight performance; model clutter scores in terms
of visual display properties; and model flight performance in terms of subjective
and objective clutter indices. METHODS: In a flight simulator, 18 pilots with
different levels of flight experience flew approaches divided into three
segments. Three HUD configuration sets were presented under two levels of flight
workload. Pilot ratings of overall display clutter, its underlying dimensions,
and mental workload were recorded along with flight performance measures. Display
image analysis software was used to measure visual properties of the HUDs.
RESULTS: The multidimensional measure of clutter showed internal consistency with
overall perceived clutter. Calculated clutter scores were sensitive to HUD
configurations and in agreement with a priori display classifications. There was
a trend for the extremes of display clutter to cause higher workload and less
stable performance due to cognitive complexity and a lack of information for high
and low clutter displays, respectively. Multiple linear regression models of
perceived clutter were developed based on HUD visual properties with predictive
utility. Models of flight performance based on the clutter score and workload
ratings were also developed, but with less predictive power. DISCUSSION: Measures
and models of display clutter are expected to be applicable to the evaluation of
a range of display concepts.
PMID- 22097636
TI - Axis rotation and visually induced motion sickness: the role of combined roll,
pitch, and yaw motion.
AB - BACKGROUND: Motion sickness (MS) is a well-known phenomenon in aviation and in
virtual environments such as simulators or computer games. The severity of MS is
thought to be due to the amount of sensory conflict, which should increase with
the complexity of the simulated motion. The present study focused on the
direction and complexity of simulated body rotations in the genesis and severity
of visually induced MS. METHODS: Three simulated rollercoaster rides including
translational movement in the fore-aft axis and additional rotational motion
either in pitch only, along the pitch and roll axes, or in pitch, roll, and yaw
were generated. We presented video clips of 15 min on a large projection screen
to a total number of 61 volunteers, who were randomly assigned to 1 of the 3
rotational motion groups. MS was measured using the Fast Motion Sickness Scale
(20-point verbal rating scale) and the Simulator Sickness Questionnaire.
Aftereffects were captured up to 5 h after the experiment was finished. RESULTS:
Analyses indicated lowest MS scores in the pitch-only condition (1.95). Dual-
(4.33) or triple-axis (5.30) combinations revealed significantly higher MS scores
than the single-axis condition, but surprisingly did not differ from each other.
MS started to subside rapidly after about 1 h past stimulus presentation.
DISCUSSION: We conclude that the complexity of visual motion does not increase MS
linearly. Instead, we propose that MS reached a plateau in the dual-axis
condition and adding a third rotational axis did not further surpass the severity
of MS reached.
PMID- 22097637
TI - Severe hypotension during the decreasing phase of Gz stress in anesthetized rats
wearing an anti-G suit.
AB - INTRODUCTION: Physiological responses to +Gz stress have been reported in several
studies. However, no reports exist on differences in arterial pressure responses
between increasing and decreasing G phases. We hypothesized that +Gz stress
and/or an anti-G support might disturb the circulation system and cause potential
brain hypoperfusion, even if the anti-G support protects against G-induced loss
of consciousness. METHODS: Dependency of +Gz magnitude, hemodynamic changes,
renal sympathetic nerve activity (RSNA), and aortic blood flow (AoBF) were
estimated in anesthetized rats to analyze the effects of +Gz stress and/or an
anti-G support on arterial pressure at a level of the brain (APLB). The rats were
exposed to +Gz using a centrifuge for small animals while wearing an anti-G suit.
RESULTS: APLB remained at the control level while the anti-G suit was inflated.
However, a decrease in APLB was observed twice during increasing and decreasing G
phases using the anti-G suit. Hypotension in the decreasing C phase at +5 Gz was
significantly deeper than that in the increasing G phase (47.5 +/- 7.7 vs. 29.6
+/- 3.0 mmHg). RSNA responses to Gz loads were greater in the decreasing G than
in the increasing G phase (129.7 +/- 8.6 vs. 147.3 +/- 10.4%). Both AoBF and
calculated vascular resistance were suppressed more significantly in the
decreasing G than in the increasing G phase (38.3 +/- 4.4 vs. 34.4 +/- 3.4 ml x
min(-1), 1.44 +/- 0.22 vs. 1.09 +/- 0.14 mmHg x min(-1) x ml(-1)). DISCUSSION: We
conclude that transient excessive decreasing G hypotension may occur during the
decreasing G phase, which may be due to anti-G suit functioning.
PMID- 22097638
TI - Automated collection of fatigue ratings at the top of descent: a practical
commercial airline tool.
AB - INTRODUCTION: There is a need to develop an efficient and accurate way of
assessing pilot fatigue in commercial airline operations. We investigated the
validity of an automated system to collect pilot ratings of alertness at the top
of descent, comparing the data obtained with existing results from previous
studies and those predicted by the validated SAFE fatigue model. METHODS: Boeing
777 pilots were prompted to enter a Samn-Perelli fatigue scale rating directly
into the flight management system of the aircraft shortly prior to descent on a
variety of short- and long-haul commercial flights. These data were examined to
evaluate whether the patterns were in line with predicted effects of duty length,
crew number, and circadian factors. We also compared the results with data from
previous studies as well as SAFE model predictions for equivalent routes.
RESULTS: The effects of duty length, time of day, and crew complement were in
line with expected trends and with data from previous studies; the correlation
with predictions from the SAFE model was high (r = 0.88). Fatigue ratings were
greater on longer trips (except where mitigated by adding an extra pilot) and on
overnight sectors (4.68 vs 3.77). DISCUSSION: The results suggest that the
automated collection of subjective ratings is a valid way to collect data on
fatigue in an airline setting. This method has potential benefits for the crew in
assessing fatigue risk prior to approach, as part of a fatigue risk management
system, with the possibility of wider safety benefits.
PMID- 22097639
TI - Measuring the cumulative effect of G force on aviator neck pain.
AB - INTRODUCTION: In many studies, high G force is thought to be the most important
causal factor of aviator neck pain. However, most of the studies performed to
date have compared neck pain of aviators exposed to high G force with that of
aviators not so exposed or with that of the general population. As a result, neck
pain for aviators exposed to high G force as it relates to G level is not yet
well-known. METHODS: The subjects were 1003 male aviators who were sent an
anonymous questionnaire. Subject variables were information on physical
characteristics, lifestyle, and flight characteristics, including information
about exposed maximum G (Gmax). There were three dependent variables: whether the
aviator had experienced neck pain, frequency of neck pain, and subjective
severity of neck pain. RESULT: With the multivariate analysis, amount of Gmax
exposure (frequency or monthly duration of Gmax exposure) had a statistically
significant positive relationship with all three dependent variable. BMI, flight
hours in current aircraft, and posterior seat type had a meaningful positive
relationship with experience of neck pain and subjective severity of neck pain.
Other characteristics, including Gmax level, were not significantly related with
any dependent variables. DISCUSSION: These results suggest that G level could
have a ceiling effect; in other words, above a certain G level, aviator neck pain
risk does not increase. This means that amount of Gmax exposure becomes more
influential on aviator neck pain than the level of Gmax.
PMID- 22097640
TI - Assessment of occupational cosmic radiation exposure of flight attendants using
questionnaire data.
AB - INTRODUCTION: Female flight attendants may have a higher risk of breast and other
cancers than the general population because of routine exposure to cosmic
radiation. As part of a forthcoming study of breast and other cancer incidence,
occupational cosmic radiation exposure of a cohort of female flight attendants
was estimated. METHODS: Questionnaire data were collected from living female
cohort members who were formerly employed as flight attendants with Pan American
World Airways. These data included airline at which the flight attendant was
employed, assigned domicile, start and end dates for employment at domicile, and
number of block hours and commuter segments flown per month. Questionnaire
respondents were assigned daily absorbed and effective doses using a time
weighted dose rate specific to the domicile and/or work history era combined with
self-reported work history information. RESULTS: Completed work history
questionnaires were received from 5898 living cohort members. Mean employment
time as a flight attendant was 7.4 yr at Pan Am and 12 yr in total. Estimated
mean annual effective dose from all sources of occupational cosmic radiation
exposure was 2.5 +/- 1.0 mSv, with a mean career dose of 30 mSv. DISCUSSION:
Annual effective doses were similar to doses assessed for other flight attendant
cohorts; however, questionnaire-based cumulative doses assessed in this study
were on average higher than those assessed for other flight attendant cohorts
using company-based records. The difference is attributed to the inclusion of
dose from work at other airlines and commuter flights, which was made possible by
using questionnaire data.
PMID- 22097641
TI - Laser illumination of flight crewmembers by altitude and chronology of
occurrence.
AB - INTRODUCTION: The illumination of flight crew personnel by lasers while they
perform landing and departure maneuvers has concerned the aviation community for
the past two decades. This study examines the frequency of illumination events in
the United States by altitude and chronology of occurrence to determine where and
when aviators and the flying public may be at greatest risk. METHODS: Reports of
aircraft illuminated by high-intensity light sources are maintained in a database
at the Federal Aviation Administration's Civil Aerospace Medical Institute.
Reports of flight crewmembers exposed to lasers from January 1, 2004, to December
31, 2008, were collected and analyzed. RESULTS: Cockpit illuminations at or below
2000 ft (approximately 610 m) increased from 12.5 to 26.7% over the 5-yr period,
while the percentage of illuminations between 2000 and 10,000 ft (approximately
610-3048 m) decreased from 87.5 to 58.4%. The months of November and December had
the highest frequency of laser events (23%), while May and June had the least
(12%). Sunday was the most likely day for an aircraft to be illuminated (18.3%),
while Wednesday was the least likely day for such an incident (15.4%). More than
91% of all aircraft illumination events occurred between 1800 and midnight.
CONCLUSION: The continuing increase in the number of laser illuminations reported
at or below 2000 ft (approximately 610 m) represents an escalating threat to
aviation safety. Information provided in this study may allow law enforcement to
deploy their resources more efficiently to apprehend those responsible for these
crimes.
PMID- 22097642
TI - Irritable bowel syndrome: aeromedical considerations.
AB - Irritable bowel syndrome (IBS) is a gastrointestinal disorder diagnosed in
accordance with the Rome III criteria. The pathophysiology of this illness is not
well defined and there are no known structural abnormalities, biomedical markers,
nor inflammatory causes to explain the symptoms. There are a number of serious
illnesses, such as colon cancer, ulcerative colitis, and celiac disease that
mimic IBS. If the Rome III criteria are satisfied and there are no alarm features
of more serious illness, an in-depth workup is not necessary. There are numerous
treatment regimens for IBS, none of which are curative nor offer universal
relief. Some of the treatment regimens, such as antispasmodics and
antidiarrheals, are not suitable for aviators because of anticholinergic effects
and sedation. The aeromedical disposition decision is always challenging because
of the vagaries of this illness. In general, a more liberal policy for pilots in
civil aviation would be in order as long as symptoms are not frequent nor severe
and not requiring antispasmodics or antidiarrheals while flying. A more
conservative policy is in order for military pilots, possibly requiring
restriction to multiseat aircraft.
PMID- 22097643
TI - Chemical and traumatic occupational eye exposures in aviation personnel.
AB - INTRODUCTION: The eye is vulnerable to chemical exposure and foreign body
infiltration in the occupational setting. Individuals working in the aviation
field are prone to these types of exposures. METHODS: We conducted a 28-mo
retrospective chart review to document the number of airline workers complaining
of chemical or foreign body exposure to the eye at an onsite airport medical
clinic. The International Classification of Diseases, Ninth Revision (ICD-9),
code for chemical conjunctivitis (372.5), was used to identify patients' charts.
We documented the type of treatment that was initiated and whether there was eye
damage. We further investigated the chemical composition of the products and
whether there was any associated toxicity. RESULTS: Few instances of chemical
exposure and foreign body infiltration were found. Patients were exposed to the
following products: lubricants (e.g., naphthenic oils), hydraulic fluid (e.g.,
petroleum or phosphate ester based), jet fuel (e.g., kerosene), and de-icing
agents (e.g., propylene glycol). There was no documentation regarding the use of
personal protection equipment in the patients' charts. All patients received eye
irrigation with normal saline. No sequelae were documented. CONCLUSION: Airline
personnel are exposed to a variety of chemical agents in the workplace. None of
the agents that workers were exposed to in this small study exhibited toxic
effects to the eye. Proper use of personal protection equipment in aviation
personnel may limit the number of chemical and foreign body eye exposures.
PMID- 22097645
TI - Challenges in aerospace medicine education.
AB - Aerospace medicine training and research represents a dream for many and a
challenge for most. In Canada, although some opportunities exist for the pursuit
of education and research in the aerospace medicine field, they are limited
despite the importance of this field for enabling safe human space exploration.
In this commentary, we aim to identify some of the challenges facing individuals
wishing to get involved in the field as well as the causal factors for these
challenges. We also explore strategies to mitigate against these.
PMID- 22097644
TI - Prostate cancer incidence in U.S. Air Force aviators compared with non-aviators.
AB - INTRODUCTION: Several studies investigating whether prostate cancer incidence is
elevated in aviators both in the civilian and military sectors have yielded
inconsistent findings. Most investigations have compared aviators to the general
population. Instead, our study compared prostate cancer incidence rates among
officer aviators and non-aviators in the U.S. Air Force (USAF) to reduce
confounding by socioeconomic status and frequency of medical exams. METHODS: This
retrospective analysis ascertained prostate cancer cases using the Automated
Cancer Tumor Registry of the Department of Defense linked to personnel records
from the USAF Personnel Center to identify aviators and non-aviators. Survival
analysis using the Cox Proportional Hazards model allowed comparison of prostate
cancer incidence rates in USAF aviators and non-aviators. RESULTS: After
adjustment for age and race, the hazards ratio for prostate cancer incidence
comparing aviators with non-aviators was 1.15 (95% confidence interval, 0.85
1.44). Neither prostate cancer incidence nor time to diagnosis differed
significantly between the two groups. CONCLUSION: Our study compared prostate
cancer rates in aviators with a reference group of non-aviators similar in socio
economic level and frequency of exams. When compared to this internal reference
group the risk of prostate cancer in USAF officer aviators appeared similar with
no significant excess.
PMID- 22097646
TI - Medical policy development for human spaceflight at NASA: an evolution.
AB - Codification of medical policy for the National Aeronautics and Space
Administration (NASA) did not occur until 1977. Policy development was based on
NASA's human spaceflight efforts from 1958, and the need to support the
operational aspects of the upcoming Space Shuttle Program as well as other future
activities. In 1958, the Space Task Group (STG), a part of the National Advisory
Committee on Aeronautics (NACA), became the focal point for astronaut selection,
medical support, and instrumentation development in support of Project Mercury.
NACA transitioned into NASA in 1958. The STG moved to Houston, TX, in 1961 and
became the Manned Spacecraft Center. During these early years, medical support
for astronaut selection and healthcare was provided through arrangements with the
U.S. military, specifically the United States Air Force, which had the largest
group of subject matter experts in aerospace medicine. Through most of the 1960s,
the military worked very closely with NASA in developing the foundations of
bioastronautics and space medicine. This work was complemented by select
individuals from outside the government. From 1958 to 1977, there was no standard
approach to medical policy formulation within NASA. During this time, it was
individualized and subjected to political pressures. This manuscript documents
the evolution of medical policy in the NASA, and provides a historical account of
the individuals, processes, and needs to develop policy.
PMID- 22097647
TI - Two-depth transcranial Doppler: a novel approach for non-invasive absolute
intracranial pressure measurement.
PMID- 22097648
TI - You're the flight surgeon: aortic insufficiency.
PMID- 22097650
TI - [After almost half a century...it is now].
PMID- 22097649
TI - This month in aerospace medicine history.
PMID- 22097651
TI - [Conservative treatment of the pectus carinatum].
AB - INTRODUCTION: Pectus carinatum (PC) is a deformity that involves the protrusion
of the anterior chest wall. It is 10 times less frequent than pectus excavatum.
It has a progressive growth and is more common with men. There are two different
types, the lower or condrocorporal which is the most common one, and the upper or
condromanubrial. Most of the time there are no cardio-respiratory symptoms.
OBJECTIVE: We present our experience in the orthopedic treatment of the pectus
carinatum. METHOD: Retrospective review of patients treated in our hospital from
2002 until 2009. Patients were treated with observation, aerobic exercises,
postural change and/or compression braces. Literature review was performed of the
treatment for this pathology. RESULTS: 18 patients have been diagnosed with PC,
16 were men and 2 women. All were treated in a nonoperative way. Only 11 of them
used a compression brace. We missed two follow-ups and another has just yet begun
to achieve proper results. All the rest have had excellent results with
nonoperative treatment. None of them have had a surgical treatment. CONCLUSION:
The PC is a disease that most often is a cosmetic problem, with no impact on a
cardio-respiratory level. Classically it has been a surgical entity. In our
experience we have found that the orthopedic method is an effective alternative,
safe and with a significant reduction in morbidity. But we need the collaboration
of the patient to accept and maintain continuity in the use of the prostheses.
PMID- 22097652
TI - [Noninvasive positive pressure ventilation in postoperative period of tracheal
surgery].
AB - OBJECTIVES: Reconstructive surgery of the airway often means prolonged periods of
intubation during the post-operatory period, increasing the needs for drugs and
favoring the appearance of infectious complications. We present an original
system of ventilatory support with non-invasive positive pressure ventilation
(NIPPV) using in patients subjected to reconstructive surgery of the airway.
PATIENTS AND METHODS: A retrospective study in patients undergoing reconstructive
procedures of the airway in the year 2009 was carried out. We exclude those
treated endoscopically and those who had vascular rings. The positive pressure
mechanism used in the Surgery Critical Care Unit was a design made by the unit
based on the circuit devised by Mapleson that provides optimum levels of
ventilation without need for connection to a respiratory. We analyze the results,
postoperatory intubation time, time dependent on NIPPV and medical treatment
received. RESULTS: A total of 7 patients (1 Female and 6 Males) with median age
of 1.6 (0.1-7.5) years were included. The diagnoses were: 4 subglottic stenosis,
2 had tracheal stenosis and 1 subcarinal stenosis with involvement of both
principal bronchioles. The techniques used were: laryngotracheoplasty with costal
cartilage graft (4), tracheoplasty with costal cartilage (1) and sliding
tracheoplasty (2) with bilateral bronchoplasty in one of them. The mean time of
nasotracheal intubation was 3 days, and mean time of NIPPV was 2.3. No patient
required reintubation and none had infectious complications. CONCLUSIONS:
Ventilatory support by VPPNI allows effective extubation in these patients, it
being possible to maintain a safe airway. Infectious complications, frequent in
prolonged intubations, were not observed in any of the cases.
PMID- 22097653
TI - [First results of the orchiopexy via scrotal approach].
AB - PURPOSE: We present our first results with the technique described by Bianchi and
Squire in 1989 for the surgical treatment of undescended testis by scrotal
incision as an alternative to the traditional inguinal approach. MATERIALS AND
METHODS: Prospective study of patients operated with the diagnosis of
cryptorchidism with scrotal orchidopexy from October 2008 through July 2009.
INCLUSION CRITERIA: patients with inguinal palpable testis, scrotal orchidopexy,
testicular position was assessed at 6 months and/or one year after surgery. All
procedures were performed by the same surgeon. Retractile testes were excluded.
We studied the preoperative localization of the testis, the average surgical
time, presence or absence of the processus vaginalis, conversions to the
traditional inguinal orchiopexy, complications and location of six months and one
year after surgery. RESULTS: A total of 50 orchidopexy were performed in 39
patients during this period. Aged between 1 and 12 years (mean 5 years, median 4
years). Were located in the intraoperative exam under anesthesia, fifteen testes
in the inguinal canal and 35 in the external inguinal ring. Operative times
ranged from 15 to 60 minutes (mean 34 minutes). The processus vaginalis was
patent in 25 procedures (50%) and were ligated via the scrotal incision. Two
patients required conversion to a traditional inguinal approach. All testes were
satisfactorily positioned in the scrotum and there were no cases de testicular
atrophy or ascent, hernia o hydrocele formation with followup that ranged from 6
months to 1 year. CONCLUSIONS: The technique of orchiopexy with scrotal approach
is a safe, well tolerated and reliable method.
PMID- 22097654
TI - [Evaluation of the anal position in newborns and children with chronic
constipation. Incidence of anterior ectopic anus].
AB - INTRODUCTION: Anterior ectopic anus (AEA), continues to be a controversial issue.
The objective is to determine the normal position of the anus or anal ano-genital
index (AGI) in newborns (NB) and in a group of infants with chronic constipation,
know the incidence of AEA in both groups, and its relationship with constipation.
METHODS: A prospective aleatory study is performed in where the AGI is determined
in 529 NB and 64 older patients with chronic constipation. The AGI is obtained by
dividing the distances (cm): vulvar fornix-anus/fornix-coccyx in females and
scrotum-anus/scrotum-coccyx in males. The NB group is further studied for
gestational age, weight, number of meconium discharges and anal calibration. The
newborns with AEA are then controlled to exclude constipation. In patients with
chronic constipation, their age, sex and AGI are evaluated. The statistical
comparisons are made by a Student's t test and Welch's t test for independent
samples. RESULTS: 49.5% of NB are male. The average gestational age is 38.95 +/-
2.08/w in NB females and 38.54 +/- 2.26/w in NB males. The average weight is
3101.91 +/- 511.41/g in newborn females and 3145.14 +/- 573.36/g in newborn
males. The average AGI of NB females is 0.40 +/- 0.05 and NB males 0.53 +/- 0.06.
AEA is considered with AGI < 0.30 in females and < 0.41 in males. 2.2% of NB
females (6/267) and 1.1% of NB males (3/262) have AEA. There is a significant
difference between the AGI of NB females and NB males (p < 0.0001). 98% of NB
discharge meconium in the first 24 hours. 2 NB females with AGI 0,21 and 0,26 had
severe constipation in the first months of life. In group with chronic
constipation (64 pacients), 59.4% are female. The AGI is 0.36 +/- 0.1 in females
and 0.47 +/- 0.1 in males (p < 0.0001). Concerning of AEA in the NB group (AGI <
0.30 in females and 0.41 in males) incidence of AEA in group with chronic
constipation is 35% in males and 47% in females. CONCLUSIONS: The position of the
anus in the perineal floor is anterior in females, with significant differences
(p < 0.0001) to the AGI in males. AEA has more incidence in females (2.2%). In
the constipated group 47% of females had AEA and it is considered the probable
reason for this disorder in these patients. To conclude, each NB evaluation
protocol should include determination of the AGI in the neonatal period to
exclude AEA and to prevent clinical consequences.
PMID- 22097655
TI - [Robotic surgery: first pediatric series in Spain].
AB - OBJECTIVES: Despite several surgical robots operating in Spain, the experience in
pediatric pathology is limited. We found interesting to review the first full
pediatric series in our country. We would like to share as well our views on the
transition from conventional to robotic laparoscopy. METHODS: Retrospective
review of all the pediatric laparoscopic surgery assisted by the da Vinci robot
(Intuitive Surgical), in our center, between April 2009 and February 2010.
RESULTS: 8 patients were operated (7-15 years), with an average weight of 42 Kg
(18 to 83 Kg). 11 procedures were performed: bilateral salpingo-oophorectomy (1),
inguinal hernia (1), cholecystectomy (4), splenectomy (2), resection of
pancreatic mass (1), fundoplication (1), adrenalectomy (1). All proceedings,
except two, were completed with the robot. As complications, there was one
intraoperative bleeding that required blood transfusion, and in the postoperative
period, there was a surgical wound infection. There were no conversions to open
surgery. The average time of preparation before surgery was 130 minutes. The
three-dimensional vision and lack of tremor are the main advantages cited by all
surgeons. CONCLUSIONS: The learning curve of Robotic Surgery is shorter than that
of conventional laparoscopy. Trained surgeons can perform complex procedures
laparoscopically from the outset. The main difficulty in children is the proper
planning of trocar placement, due to the smaller size of the surgical field. The
organization of surgery is complex and success depends on close collaboration of
all stakeholders.
PMID- 22097656
TI - [Gastroesophageal reflux disease. Evaluation and indication for surgical
treatment by esophageal phmetry].
AB - INTRODUCTION: Oesophageal pH monitoring is considered the best procedure to
assess the severity of gastroesophageal reflux disease (GERD), conditioning its
treatment. The indication for surgery is becoming less common. We intend to meet
this test to what extent it has influenced. MATERIAL AND METHODS: We recruit
patients treated in our Centre since the introduction of pH monitoring. We
compare the number of times before and after its use. We value clinical
manifestations, usual pH metric values versus non-operated patients with
pathological test, associated pathology. RESULTS: SAMPLE: 91 patients, 151 pH
metric studies (98 preoperative). Number of interventions prior to introduction
of pH monitoring: 20/year, post: 3.79/year. SYMPTOMS: gastrointestinal 60.2%,
respiratory 10.2%, mixed 24.5%. 495 non-operated patients, 692 pathological
studies. PH metric values operated/not operated: No. reflux 116.91 +/-
125.46/101.69 +/- 83.39 (p < 0.001), No. reflux >5 minutes 8.49 +/- 8.28/4.43 +/-
4.85 (p = 0.001), longest reflux 60.21 +/- 95.93/31.16 +/- 80.09 (p < 0.001),
clearance 1.27 +/- 1.44/0.86 +/- 1.05 (p = 0.04), DeMeester 52.74 +/- 56.21/29.49
+/- 23.57 (p < 0.001). 14 of 98 preoperative pH monitoring were normal.
Associated pathology operated/not operated: 13.26%/ 7.47%. CONCLUSIONS: The pH
metric control of patients with GERD and the progress of medical treatment have
led to a decrease in surgical procedures. In our experience, the intensity of
GERD is a valuable parameter in deciding on the surgery. We attach particular
value parameters measuring oesophageal clearance and indices that integrate
several of them. However, in some patients without pathological pH monitoring, we
have indicated the operation. The prevalence of associated pathology in the
operated makes us value them more demanding.
PMID- 22097657
TI - [Laparoscopic appendectomy with endoloop: results of our experience].
AB - There are several different techniques for laparoscopic appendectomy (LA), with
different material requirements and approach. We present the results from our
series, where we employ monopolar hook for mesoappendix dissection and double
polyglactin endoloop for ligation of appendicular stump. The appendix is taken
out through the Hasson trocar without any contact with abdominal wall, in a
completely laparoscopic procedure. We sought to analyze the security of this
technique. We reviewed retrospectively every LA for acute appendicitis performed
during the last 10 years in our Hospital. We collected data regarding surgical
procedure and postoperative outcome, focusing on intraoperative or postoperative
complications. A total of hundred and ten (110) LA for acute appendicitis (from
simple appendicitis to perforated appendicitis) were performed. Mean operative
time was 74.7 minutes (median 70 min, SD 24.43 min, min. 25, max. 130 min). Ten
patients (9.09%) had postoperative complications, consisting in intraabdominal
abscess in 6 patients (5.4%) and wound infection in 3 patients (2.7%). No major
complication was found, as uncontrolled hemorrhage, bowel perforation or stump
dehiscence. No patient needed a second surgical procedure in the postoperative
time. Laparoscopic appendectomy with polyglactin endoloops is a completely
laparoscopic and safe procedure, without any intraoperative complication in our
series. Laparoscopic approach with 12 mm Hasson trocar and two 5 mm working
trocars allows a nice aesthetic result.
PMID- 22097659
TI - [When is it best to perform enterostomy closure in premature infants with
necrotizing enterocolitis?].
AB - INTRODUCION AND AIM: The enterostomy used in the treatment of Necrotizing
Enterocolitis (NEC) causes many complications before and after its closure. The
aim of this study was to examine the complications of closure aiming at
determining the best timing for this operation. PATIENTS AND METHOD:
Retrospective review patients (p) below 1500 g with NEC in whom the enterostomy
was closed in the last seven years. P were divided into two groups: PC (planned
closure after uncomplicated postoperative period) and CC (advanced closure due to
stomal--excessive looses--or to parenteral nutrition complications--septicemia,
liver dysfunction-). We compared the age at closure, time of enterostomy, weight
gain and complications. RESULTS: Out of a total of 25 p requiring surgical
treatment for NEC, 16 from the PC group and 9 from the CC group were included.
The mean age at the moment of the closure were, respectively, 129 + 65 vs. 204 +/
121 days (p < 0.05). Weight at closure was 2665 +/- 841 vs. 4665 +/- 2076 g (p <
0.05); the mean time with the enterostomy was 105 +/- 64 vs. 187 +/- 116 d (p <
0.05), and the weight gain was 1779 +/- 859 vs. 3693 +/- 2155 g (p < 0.05). After
stomal closure, 7/16 p of the CC group (43%) and 2/9 of the PC group (22%)
required reoperation due to severe complications (ns). In 4 of them, three of the
CC group and one of the PC group, a new enterostomy was performed. CONCLUSIONS:
In p with enterostomy-related complications, closure has often to be advanced and
it is performed in deficient nutritional conditions. Severe complications after
enterostomy closure required reoperation in 43% of the CC group and in 22% of the
PC group. Although there was no statistically significant difference, the trend
indicates an augmented risk in CC group. The timing for enterostomy closure
should be chosen individually. At the time of indicating the closure, the high
risk of complications, should be taken into account particularly in preterms with
enterostomy-related problems.
PMID- 22097658
TI - [Mandibular distraction osteogenesis in patients with craniofacial malformation].
AB - Craniofacial malformations (Pierre-Robin sequence, Treacher-Collins syndrome,
Nager syndrome, etc.) are frequently associated to severe mandibular hypoplasia,
which can cause upper airway obstruction by retroposition of the base of the
tongue in the posterior pharyngeal space. Most of the patients respond to
postural treatment. In prone decubitus position, it may be necessary to monitor
oxygen saturation, insert a nasopharyngeal tube and even an endotracheal one. In
more severe cases with prolonged and frequent pauses of apnea, tracheostomy may
be necessary, but it is associated with high morbidity and sometimes mortality.
In the last two years, in the Multidisciplinary Cleft Lip and Palate Unit of the
Hospital Virgen de las Nieves, 4 children with severe obstructive apnea secondary
to severe mandibular hypoplasia have been treated with mandibular distraction
osteogenesis, this procedure being effective in the resolution of the condition.
It has avoided tracheostomy, it has lengthened the jaw in a period of 2-3 weeks.
During this time, the obstructive respiratory problems and also swallowing
problems have disappeared. The esthetic results were excellent and the
complications, for the moment, minimum.
PMID- 22097661
TI - [Laparoscopy as diagnostic-therapeutic method in abdominal traumatism in the
pediatric age].
AB - The management of blunt abdominal traumatism with a moderate amount of free
peritoneal fluid and without solid organ injury as well as the one of minimal
penetrating trauma is controversial. We present three cases of blunt abdominal
trauma and two of penetrating trauma that underwent diagnostic laparoscopy in our
department. We found a small bowel perforation in one of the cases of blunt
trauma that was repaired by externalization of the jejuna loop by one of the
ports. In the other two cases we found intestinal and mesenteric contusions and
free fluid that were treated by peritoneal drainage. One of the cases of
penetrating trauma presented omentum evisceration with no other injuries and the
second presented a gastric perforation that needed reconversion to laparotomy. In
our experience and according to literature, laparoscopy should be taken into
account as a diagnostic procedure and sometimes also therapeutic in selected
cases of both blunt and penetrating abdominal trauma in pediatric population.
PMID- 22097660
TI - [Management of pediatric iatrogenic tracheobronchial lesions in pediatric
patients].
AB - AIM: To present our experience in the management of three cases of
tracheobronchial iatrogenic injuries and the literature revision about this
pathology. MATERIAL AND METHODS: We present 3 patients treated in our center with
tracehobronchial iatrogenic injuries since 2005. RESULTS: Case 1. 8 year old boy
who present cervical, mediastinal and facial emphysema after foreign body
extraction. After 9 days of conservative management without endotracheal
intubation all emphysema remited, and the bronchial injury healed. Case 2. 1
month old toddler who presented after cardiovascular surgery and endotracheal
tube extraction dyspnea and hypoxia. Fibrobronchoscopy showed subglotic posterior
tracheal disrupture. Fifteen-day endotracheal intubation throughout the injury
was enough management on this patient. One month later the tracheal injury was
completely healed. Case 3. 5 year old girl with tracheobronchial iatrogenic
injury after orotracheal intubation who developed neumothorax and subcutaneous
emphysema. After fiteen-day conservative management without intubation the injury
healed. CONCLUSION: After iatrogenic tracheobronchial injury suspicion there were
confirmed by fibrobronchoscopy. Conservative treatment in this patients was
successful. Orotracheal intubation prevented air leaking through the tracheal
injury allowing complete healing of the trachea. Bronchial injuries healed
without needing intubation or mechanical ventilation. Articles reviewed recommend
surgical treatment in those cases who had complete or large airway disrupture or
in those who were misdiagnosed.
PMID- 22097663
TI - [Sudden abdominal pain...is it a surprise? Necrosis of an ectopic spleen].
AB - An ectopic/wandering spleen is rare in children. It results from the absence or
laxity of the supporting ligaments which may cause torsion of the splenic pedicle
with subsequent infarction. We present a case of a six-year-old girl, with
history of onphalocele, dextrocardia and ectopic spleen (incidentally discovered
three years ago as an abdominal mass on physical examination), with acute
abdominal pain and signs of peritoneal irritation. Sonography showed an enlarged
ectopic spleen in the pelvis. Computed tomography scan did not enable
visualization of the spleen and allowed the identification of a pelvic mass
without uptake of intravenous contrast. Splenectomy was performed due to splenic
infarction. In the presence of a wandering spleen, even if asymptomatic,
splenopexy may be performed to minimize complications, and together with acute
abdominal pain, torsion of splenic pedicle may be considered.
PMID- 22097662
TI - [Odontogenic myxoma of nasosinusal localization in a pediatric patient].
AB - In the present study we report and discuss a case of odontogenic myxoma in a 13
month-old patient. Only two other reports have been published in the literature
describing the occurrence of this type of tumor at an earlier age. Odontogenic
myxoma is a benign mesenchymal neoplasm of the maxilla more common between the
third and fourth decades of life. The case here reported is exceptional as it
presents in an infant and, although some cases have been reported in the
literature, this type of tumor is rare in early childhood. The most common
locations include the ascending ramus and the angle of the jaw, although these
tumors may also affect the upper maxilla, in which case they may involve the
maxillary sinus. According to the review of the literature, these tumors show a
recurrence rate of about 25%. Consequently, after the intraoperative clinical
examination of the lesion and the assessment of the initial suspected diagnosis
by means of intraoperative biopsy under general anesthesia, we carried out the
resection of the tumor with wide margins at some points of the tumor location.
PMID- 22097664
TI - [The Pediatric Surgical Service exists in Sabadell from 1968].
PMID- 22097665
TI - Sixtieth anniversary--West Indian Medical Journal.
PMID- 22097666
TI - The West Indian Medical Journal and the non-communicable diseases (NCDs) the next
60 years.
PMID- 22097667
TI - The road to the United Nations High Level Meeting on chronic non-communicable
diseases.
AB - The United Nations High Level Meeting (UNHLM) on non-communicable diseases (NCDs)
will take place in New York on September 19 and 20, 2011. This historic event
will focus world attention on the chronic non-communicable diseases (CNCDs) for
the first time. In 2008, CNCDs, principally cardiovascular disease, cancer;
chronic lung diseases and diabetes, accounted for 63% (or 36 million) of the 57
million deaths occurring worldwide. Many of these deaths may be considered
premature (involving 9.1 million persons aged less than 60 years), and around 80%
of overall deaths occurred in low and middle income countries. Chronic non
communicable diseases are therefore a major cause of premature death, with
resulting enormous negative impact on national economies and global development,
while continuing to increase at worrying rates particularly in the developing
world. Without successful interventions, NCD-related deaths are projected to
reach 52 million by 2030.
PMID- 22097668
TI - From Port-of-Spain summit to United Nations High Level Meeting CARICOM and the
global non-communicable disease agenda.
AB - The English-speaking Caribbean has the highest per capita burden of chronic non
communicable diseases (CNCDs) in the region of the Americas. Building on a long
history of cooperation in health among the Caribbean Community (CARICOM) and past
successes in eliminating/reducing communicable diseases through collective
action, non-communicable diseases (NCDs) have now been targeted CARICOM convened
a "first-in-the-world" summit of Heads of Government to address NCDs, which
generated the Port-of-Spain NCD Summit Declaration, "Uniting to Stop The Epidemic
of Chronic Noncommunicable Diseases". This 15-point declaration calls on all of
government, civil society and the private sector to jointly tackle the common
risk factors for the major chronic diseases, and improve the care of such
diseases. Implementation of this declaration has been mixed, being most
successful where there were regional supports, and in countries with populations
> 250 000 reflecting country capacity. CARICOM has elevated this approach to the
global level through successful advocacy for a United Nations High Level Meeting
on NCDs to be convened in September 2011. Jamaica will be one of two co
facilitators of this meeting, a reflection of the role of CARICOM countries in
advancing the NCD agenda at the global level. CARICOM Heads of Government should
attend this meeting, showcase the implementation of the NCD Summit Declaration in
the Caribbean, commit to enhancing systems and resources, endorse and implement
the commitments made and identify and support leadership for sustained action and
accountability for these initiatives.
PMID- 22097669
TI - Chronic non-communicable diseases and the economy.
AB - There is no question that chronic non-communicable diseases (CNCDs) pose the
single, greatest sustained threat to the stability of health systems worldwide.
While undoubtedly the main dimension of the CNCDs challenge is in respect of the
health and well-being of the population, it is becoming increasingly obvious that
CNCDs are also posing a serious challenge to economies. Health system costs are
increasing faster than national income in almost all nations and the main cause
is the growing incidence of CNCDs and the diverse spill-over effects. The concern
is that if this continues, there will come a time when the economic system will
simply no longer be capable of coping with the burden of the CNCDs. In these
circumstances the economist has two major concerns. The first is to understand
and explain how CNCDs affect the functioning of the economic system. It is argued
that while the analysis will necessarily begin on the qualitative level, for
policy purposes it must also be taken to the quantitative level. The second major
concern of the economist is to understand and explain how the working of the
economy influences the incidence of CNCDs in particular countries. The author
suggests that at a time when the prevention and management of CNCDs are high on
the agenda of many nations, it is important to know whether the measures being
taken to improve human development and economic well-being are themselves
contributing to an increasing incidence of CNCDs particularly in small,
vulnerable, open economies. In this regard, this paper briefly explains how CNCDs
affect the economic system and presents some of the estimates of quantitative
impact on the economy.
PMID- 22097670
TI - Chronic disease in the Caribbean: strategies to respond to the public health
challenge in the region. What can we learn from Jamaica's experience?
AB - With the advent of the epidemiological transition, chronic non-communicable
diseases (CNCDs) have emerged as the leading cause of death globally. In this
paper we present an overview of the burden of CNCDs in the Caribbean region and
use Jamaica as a case-study to review the impact of policy initiatives and
interventions implemented in response to the CNCD epidemic. The findings show
that while Jamaica has implemented several policy initiatives aimed at stemming
the tide of the CNCD epidemic, a comparison of data from two national health and
lifestyle surveys conducted in Jamaica in 2000/01 and 2007/08 revealed that there
was an increase in the prevalence of intermediate CNCD risk factors such as
hypertension and obesity. We therefore present recommended strategies which we
believe will enhance the current CNCD response and thus reduce, or at least stem,
the current epidemic of CNCDs.
PMID- 22097671
TI - Taming the burgeoning stroke epidemic in Africa: stroke quadrangle to the rescue.
AB - OBJECTIVES: Globally, stroke is the second leading cause of death. This is a
systematic review of the existing literature to examine the burden and profile of
stroke in the World Health Organization (WHO) African region and proffer
coordinated and responsive means to tackle the epidemic. METHODS: A systematic
review of the literature was conducted according to the Centre for Reviews and
Dissemination Guidelines using Pubmed, African Journals On-Line and Google
Scholar databases. Over 1300 articles were obtained. All abstracts were screened,
and every article that might have contained relevant information was read in
full. Their heterogeneity made meta-analysis impossible. So a critical assessment
of the data with a narrative review was conducted. RESULTS: Stroke has an annual
incidence rate of up to 316 per 100 000, a prevalence rate of up to 315 per 100
000 and a three-year fatality of up to 84% in Africa. In 2002, model-based
estimated age-adjusted stroke mortality rates ranged between 168 and 179 per 100
000 population for countries in the African region. There is severe scarcity of
facilities and human resources for prevention, investigations, acute care and
rehabilitation of stroke patients in Africa. CONCLUSIONS: Africa bears a heavy
burden of stroke. This author proposes a stroke quadrangle comprising a concerted
network of four pillars: demographic surveillance and stroke research network,
integrated community-based primary and secondary prevention programmes, easily
accessible and well-equipped acute stroke care services, and neuro-rehabilitation
centres and services. This network could be reinforced using information and
communication technologies, telemedicine facilities and linked health information
systems.
PMID- 22097672
TI - An update on the burden of cardiovascular disease risk factors in Jamaica:
findings from the Jamaica Health and Lifestyle Survey 2007-2008.
AB - BACKGROUND: Previous studies have documented a high burden of cardiovascular
disease (CVD) risk factors in Jamaica and suggest that mortality from CVD may be
increasing. This paper provides an update on the burden of CVD risk factors in
Jamaica using data from the most recent national health survey and evaluates the
impact of obesity and physical activity on other CVD risk factors. METHODS: The
Jamaica Health and Lifestyle Survey 2007-2008 (JHLS-2) recruited a nationally
representative sample of 2848 Jamaicans, 15-74 years old between November 2007
and March 2008. An interviewer administered questionnaire was used to obtain data
on demographic characteristics, medical history and health behaviour Blood
pressure and anthropometric measurements were made using standardized protocols
and capillary blood samples were obtained to measure fasting glucose and total
cholesterol. Prevalence estimates for the various CVD risk factors were obtained
within and across sex and other demographic categories. Data were weighted for
the complex survey design, nonresponse to questionnaire items or failure to
complete some segments of the evaluation. RESULTS: Prevalence estimates for
traditional CVD risk factors were: hypertension, 25%; diabetes, 8%;
hypercholesterolaemia, 12%; obesity, 25%; smoking 15%. In addition, 35% of
Jamaicans had prehypertension, 3% had impaired fasting glucose and 27% were
overweight. A higher proportion of women had diabetes, obesity and
hypercholesterolaemia while the prevalence of prehypertension and cigarette
smoking was higher in men. Approximately 50% of persons with hypertension, 25% of
persons with diabetes and 86% of persons with hypercholesterolaemia were unaware
of their risk status. In multivariate analysis, obesity was associated with
increased odds of hypertension, diabetes and hypercholesterolaemia while physical
inactivity was associated with higher odds of diabetes. CONCLUSION: The burden of
CVD risk factors in Jamaica remains very high and warrants interventions to
reduce CVD risk.
PMID- 22097673
TI - Prehypertension in Jamaica: a review of data from recent studies.
AB - Prehypertension is defined as a systolic blood pressure of 120-139 mmHg or
diastolic blood pressure of 80-89 mmHg in patients not on medication for
hypertension. Recent studies have shown that prehypertension has a high
prevalence in both western and eastern countries and is associated with
cardiovascular disease (CVD) risk factors, incident CVD and CVD mortality. We
reviewed data from ongoing epidemiological studies in Jamaica in order to provide
an update on the prevalence and predictors of prehypertension in Jamaica. Studies
included were the Jamaica Health and Lifestyle Surveys (2000-2001 and 2007-2008),
the Jamaica Youth Risk and Resiliency Behaviour Survey 2006, the 1986 Jamaica
Birth Cohort Study and the Spanish Town Cohort Study. The prevalence of
prehypertension in the most recent national survey was 35% (95% CI 33, 38%).
Prevalence was higher in men compared to women (42% versus 29%). Jamaicans with
prehypertension were more likely to have other CVD risk factors and were three
times more likely to develop hypertension compared with persons with a normal
blood pressure. Prevalence was also high among youth, particularly males.
Longitudinal analysis from the 1986 birth cohort suggested that prehypertension
may be more common in persons with low birthweight or short birth length.
Physicians and public health practitioners should recognize the increased CVD
risk associated with prehypertension and should begin to institute CVD prevention
measures in persons with prehypertension. Sex differences and the early onset of
prehypertension in men require further exploration.
PMID- 22097674
TI - The socio-economic determinants of obesity in adults in the Bahamas.
AB - OBJECTIVE: To determine the socio-economic determinants of obesity in adults in
The Bahamas. DESIGN AND METHODS: A subpopulation of adults 21 to 60 years was
analysed for socio-economic differences in obesity levels. Data from the 2001
Bahamas Living Conditions Survey, a nationwide comprehensive household survey
which included anthropometric measurements, were used. Bivariate and binary
logistic regression methods for complex samples were employed. FINDINGS: Overall
obesity prevalence was 32% (38% female, 25% male, p = < 0.0001). An inverse
relationship by education appeared to be the strongest predictor for all persons
(OR = 0.78, CI 0.67, 0.90; p < 0.0001). This relationship was also evident for
females (OR = 0.71, CI 0.59, 0.85; p < 0.0001) while a positive relationship
existed by economic level for males (OR = 1.23, CI 1.07, 1.41; p = 0.005). There
was a difference in food group expenditure for starchy vegetables only (p =
0.049). Other food group household expenditure, urban residence and female headed
households showed no significant differences by obesity. CONCLUSIONS: In line
with international trends, obesity rates are high in The Bahamas, and especially
affect females of lower socio-economic status. Public policy that targets this
group is necessary to address this health concern.
PMID- 22097675
TI - Childhood obesity in the Caribbean.
AB - OBJECTIVE: To determine the prevalence of overweight among school children in
Bonaire, an island in the Caribbean, and to obtain clues for prevention of
overweight. METHODS: In a cross-sectional school-based study, weight and height
were measured in all 4-16-year old children in Bonaire (n = 2148). Body mass
index was categorized as defined by the International Obesity Task Force (IOTF).
The children were administered a questionnaire pertaining to lifestyle and
nutrition. RESULTS: The prevalence of overweight, including obesity, in boys is
24.3%, and 31.9% in girls; obesity is 9.9% and 13.7%, respectively. Approximately
half of the children have an unhealthy food pattern. Significantly less
overweight (49%) and obese children (45%) are physically active for > 1 hour/day
compared to normal weight children (56%). CONCLUSION: The prevalence of
overweight and obesity in children in Bonaire is high. Prevention of overweight
should focus on stimulating healthy eating habits and more physical activity.
PMID- 22097676
TI - Obesity prevention: the key to non-communicable disease control.
AB - Non-communicable diseases (NCDs) are the main public health problem in the
Caribbean and they place a severe economic burden on the health systems in the
region. This paper contends that preventing obesity is a critical factor in
controlling NCDs. The paper further argues that obesity prevention is more likely
to come from structural and policy-related changes to the environment than from
medical interventions targeted at the individual. Rolling back the rapid increase
in obesity in the Caribbean requires much more than the traditional passive
approach that relied almost entirely on education for individual behavioural
change. The traditional models of obesity control have generally failed globally
and a new public policy approach needs to be instituted to attack this epidemic
in a multisectoral way. Effective control of obesity will require a shift away
from the traditional focus on clinical management and individual behaviour change
towards strategies which deal with the environment in which such behaviours occur
Outlined in this paper are key policy changes required by the various sectors
whose inputs are vital to the success of prevention efforts.
PMID- 22097677
TI - Chronic non-communicable disease risk factor survey 2010 among University of the
West Indies staff at Cave Hill, Barbados.
AB - OBJECTIVE: To determine the prevalence of risk factors for chronic non
communicable diseases (CNCDs) among staff of The University of the West Indies
(UWI), Cave Hill campus, in Barbados. METHODS: A self-administered questionnaire
comprising validated questions from the WHO STEPS NCD Risk Factor Survey, the
Jamaica Healthy Lifestyle (JHL) Survey and the Behaviour Risk Factor (BRF)
Survey, was conducted during the Staff Health Day in May 2010, and at four
locations on campus during July 2010. Standardized measurements of weight, height
and blood pressure were taken. Data were analysed using EXCEL and STATA and
results were compared to the Barbados 2007 STEPS NCD survey. RESULTS: The target
population was all staff at the Cave Hill campus of UWI. The coverage rate was
25.2% (269/1068); 63.8% of males and 75% of females were either overweight or
obese. Ninety-seven per cent ate less than the recommended 5 fruits and
vegetables per day. Low levels of physical activity were reported in 51.9% of
males and 62.2% of females. Thirty-two per cent of males and 13% of females were
binge drinkers. All participants had at least one of the risk factors (current
daily smoker < 5 fruits and vegetables/day, physical inactivity, overweight/obese
and raised blood pressure) whilst 48% of males and 57.2% of females demonstrated
three or more risk factors. These results are similar to those found in the
Barbados STEPS NCD risk factor survey of 2007. CONCLUSION: The results confirm a
similar high prevalence of NCD risk factors among Cave Hill UWI staff as among
the Barbadian population. The study reveals opportunities to inform policy on
strategies to positively impact the risk factors.
PMID- 22097678
TI - Glaucoma in the English-speaking Caribbean.
AB - The Barbados Eye Studies have provided the most comprehensive information on the
major eye diseases in African origin populations to date. Black Barbadians have
among the highest rates of primary open-angle glaucoma (OAG) reported to date in
a population-based study (7.0%). Incidence rates of OAG over a nine-year follow
up period were 0.5% per year, and two to five times higher than reported in
predominantly Caucasian populations. Risk factors for OAG included older age,
male gender higher intraocular pressure, positive glaucoma family history, in
addition to lean body mass and a positive cataract history. Low blood pressure to
intraocular pressure relationships were also found to increase OAG risk,
suggesting an aetiologic role for low vascular perfusion of the optic nerve.
Recent analyses revealed a region on chromosome 2 associated with increased OAG
risk, which has potential implications for early diagnosis and treatment.
Approximately 50% of Barbadians with OAG were unaware of having the disease in
the baseline study and this situation remained unchanged nine years later open
angle glaucoma causes painless, irreversible loss of vision and there are clear
reasons why screening may be of particular public health importance in high risk
African descent populations, given the benefits of early detection and
appropriate treatment. There are data that suggest that it would be cost
effective to conduct open-angle glaucoma screening in Barbados and this has
implications for policy and care, with the ultimate aim of reducing glaucoma
related blindness.
PMID- 22097679
TI - Chronic kidney disease in the Caribbean.
AB - Globally, diabetes mellitus and hypertension are major causes of chronic kidney
disease (CKD) and end-stage renal disease (ESRD). Reports from the Caribbean
renal registry have also identified diabetes mellitus and hypertension as the
leading causes of chronic kidney disease and end-stage renal failure. Chronic non
communicable diseases including chronic kidney disease continue to be a major
financial challenge in the Caribbean. Patients with chronic kidney disease have
high rates of healthcare utilization, morbidity and mortality, and hence
constitute a significant economic and clinical burden to the healthcare system.
Emphasis should be placed on ways to reduce the incidence of kidney disease and
the progression to dialysis. The most economically feasible form of renal
replacement therapy that offers the best quality of life should be sought.
PMID- 22097682
TI - Integrating mental health into primary care an integrative collaborative primary
care model--the Jamaican experience.
AB - Many low-income countries face enormous constraints which limit the development
of mental health services. The World Health Organization (WHO) made ten
recommendations to facilitate the development of mental health services; among
these is the integration of mental health into primary care. Jamaica developed an
integrated collaborative system of mental health care through the adoption of a
primary care model which is central to the delivery of mental health care. This
model emphasized the integration of mental health into primary care and, in
expanding the role of the mental health team, made it more collaborative. Mental
health services were mainstreamed into primary care and several strategies
facilitated this process. These included the training of staff in primary care,
the availability of psychotropic medication in primary care facilities and the
provision of mental health beds at the community level. Furthermore, focus was
placed on human development and the involvement of consumers in the policy
development and service delivery. This has resulted in a reduction in the
population of the mental health hospital and expansion in the community mental
health services.
PMID- 22097681
TI - Is criminal violence a non-communicable disease? Exploring the epidemiology of
violence in Jamaica.
AB - There is a high level of criminal violence that afflicts the Jamaican society.
While it is certainly noncommunicable in the context of medicine and public
health, the concepts of social contagion and the well-established fact of the
intergenerational transfer of effects of trauma raise questions as to whether or
not it is non-communicable in a social sense. Historically, scholars have linked
Jamaican criminal violence to three main roots: poverty and urban decay,
political patronage, garrisonisation and more recently to a fourth, the growth in
transnational organized crime (TOC). Traditionally as well, policymakers have
brought the three discrete perspectives of criminology, criminal justice and
public health to bear on the problem. This paper applies a conceptual framework
derived from a combination of epidemiology and the behavioural sciences to argue
that a sustainable resolution to this looming and intractable social problem must
take the form of a cocktail of policies that encompasses all three approaches at
levels ranging from the community to the international.
PMID- 22097680
TI - Chronic disease and ageing in the Caribbean: opportunities knock at the door.
AB - Amidst rapid population ageing, the incidence and prevalence of chronic diseases
and their sequelae demand reflective and critical looks at the issue and the
subsequent development of informed age-sensitive responses. This paper reviews
the burden of chronic diseases in the Caribbean, and its relationship to ageing
and the demographic transition. Inter-linkages between the social determinants of
health, poverty, ageing, and chronic disease are illustrated. Suggestions are
made regarding directions to be pursued and the emerging initiative regarding
chronic non-communicable diseases being spearheaded at the United Nations by
CARICOM countries
PMID- 22097683
TI - Tobacco and non-communicable diseases controlling the tobacco epidemic.
PMID- 22097685
TI - Ethical issues in healthcare financing.
AB - The four goals of good healthcare are to relieve symptoms, cure disease, prolong
life and improve quality of life. Access to healthcare has been a perpetual
challenge to healthcare providers who must take into account important factors
such as equity, efficiency and effectiveness in designing healthcare systems to
meet the four goals of good healthcare. The underlying philosophy may designate
health as being a basic human right, an investment, a commodity to be bought and
sold, a political demand or an expenditure. The design, policies and operational
arrangements will usually reflect which of the above philosophies underpin the
healthcare system, and consequently, access. Mechanisms for funding include fee
for-service, cost sharing (insurance, either private or government sponsored)
free-of-fee at point of delivery (payments being made through general taxes,
health levies, etc) or cost-recovery. For each of these methods of financial
access to healthcare services, there are ethical issues which can compromise the
four principles of ethical practices in healthcare, viz beneficence, non
maleficence, autonomy and justice. In times of economic recession, providing
adequate healthcare will require governments, with support from external
agencies, to focus on poverty reduction strategies through provision of
preventive services such as immunization and nutrition, delivered at primary care
facilities. To maximize the effect of such policies, it will be necessary to
integrate policies to fashion an intersectoral approach.
PMID- 22097684
TI - Healthcare for the poor and dispossessed: from Alma-Ata to the Millennium
Development Goals.
AB - Healthcare models which recognize the equity principle have had to confront the
challenge of providing healthcare for the poor and dispossessed. Healthcare
premised on "human rights" strives to remove/ reduce barriers to access by a
complete waiver of all fees in the public sector or various other subsidies to
make healthcare more affordable. Social welfare programmes are held hostage to
the vagaries of the economy and resource scarcity. The Alma-Ata's primary
healthcare is inherently a health development strategy which embraces a wholistic
approach to health and wellness. This strategy, by refocussing on the Millennium
Development Goals, can therefore accommodate the innovations required to overcome
the challenges posed by technological, financial, cultural and geographical
factors to provide a better quality of life for all, but moreso for the poor and
dispossessed.
PMID- 22097686
TI - Critical evaluation of the optimal medical therapy in the cardiac
resynchronization therapy candidates--single centre experience.
AB - The aim of the present study was to evaluate the optimal medical therapy in the
chronic heart failure (CHF) patients referred from the comunity centres and the
outpatients cardiology clinics for the cardiac resynchronization therapy with
defibrilator (CRTD) to the Department of Cardiology, Na Homolce Hospital with the
device implantation between 1st January 2008 and 30st September 2009. METHODS:
The optimal medical therapy was analysed retrospectively from the medical records
of 179 consecutive CHF patients NYHA class III-IV. Beta-blockers (BB) were used
only in 81% subjects referred for CRTD, ACE inhibitors (ACEI) were used only in
68% patients Angiotensin receptor blockers (ARB) were used in 18% subjects. ACEI
or ARB were used in 81%, spironolacton was use in 59%. Recommended target DD for
BB (carvedilol 25 mg bid) was used only in 13% subjects, recommended target DD
for ACEI (enalapril 10 mg bid) was used only in 9.4% patients. RESULTS: In the
Department of Cardiology, the optimal medical therapy was changed after CRTD, BB
were used in 95% subjects at discharge (p < 0.01) and the number of patients
reaching at least of 50% of recommended daily dose (DD) of BB increased (p <
0.05). ACEI were recommended after CRTD in 80% subjects after implantation (p <
0.05), the number of patients reaching at least of 50% of recommended DD for
ACEIs increased too (p < 0.05). There was no significant difference in ARB use
recomended in the hospital (19% after CRTD - NS). ACEI or ARB were used in 98%
patients after the device implanted (p < 0.05) and spironolacton in 77% after
CRTD (p < 0.05). CONCLUSIONS: Despite optimal composition of the optimal medical
heart failure therapy only small number of CRTD candidates are reaching
recommended drug dose. The optimization of the medical therapy in the specialized
center lead to significantly higher proportion of CHF using the optimal therapy
with the increased dose of BB and ACEI.
PMID- 22097687
TI - [Cost of acute heart failure related readmissions].
AB - AIM OF STUDY: To assess direct in-patient cost and length of stay in the
intensive care unit (ICU) and the standard cardiology unit in acute heart failure
(AHF) readmissions. RESULTS: Out of 1 759 patients hospitalized with acute heart
failure, 223 patients were readmitted to Faculty Hospital Brno-Bohunice (Czech
Republic) during study period (61.4% male; mean age 71.2 years) with mean total
cost CZK 85 120 (Euro 3 095) per length of stay 9.2 days and interventions.
Comparing to the first hospitalization of study cohort (223 pts.) the decrease
was recorded in mean room rate, length of stay and need of ICU stay (from 48% to
42% pts.), nevertheless ICU stay increased (from 3.7 days to 4.1 days). The
growth of mean cost was recorded in both procedures in angiology (the decrease in
number of coronary angiography which is cheaper was more remarkable than PCI
decrease in readmitted patients) and arrhythmology (including device: pacemaker,
ICD, CRT) which made 57.5% of total readmission costs. CONCLUSION: The difference
in mean in-patient cost between the first and second hospitalization was 18%. The
antiarrhytmic procedures had the most significant impact on total readmission
cost and its variability, butwe assume that these procedures will reduce within
next readmissions and their impact will weaken as in angiology procedures.
PMID- 22097688
TI - [Vaccination against hepatitis B in patients with chronic renal failure--twenty
years follow-up].
AB - AIM: The efficacy of vaccination against hepatitis B was evaluated in patients
with chronic renal failure from 4 dialysis units in 1988-2010. PATIENTS AND
METHODS: Hepatitis B vaccination was started in 1 271 patients with chronic renal
failure (606 female, 665 male). Patients received intramuscularly 3 doses of
plasma-derived or since 1990, recombinant vaccine at the interval 0, 1 and 2
months for dialysis patients and 0, 1 and 6 months for pre-dialysis patients.
Each vaccine contained 40 microg of hepatitis B surface antigen (HBsAg) in 1 002
patients, however only 20 microg HBsAg in 269 patients till 2000. Blood samples
were obtained at the beginning of vaccination, 1-2 month after immunization and
biannual thereafter. Serum samples were tested using ELISA methods for HBsAg and
antibodies against hepatitis B surface and core antigens (anti-HBs, anti-HBc).
The patients without protective anti-HBs level and the patients with waning of
anti-HBs antibodies were revaccinated. RESULTS: Anti-HBs antibodies after the
third vaccine were investigated in 786 patients. Protective anti-HBs levels (> or
= 10 IU/l) were proved in 49%, 65% and 74% patients after the third, fourth and
fifth vaccine. The waning of protective anti-HBs antibodies was detected in 47%
and 68% of patients during 3 and 5 years after vaccination. The new infections
with HBsAg positive status were proved in 28 patients, in 27 of them in period
1988-1994. Anti-HBc seroconversion was observed in 10 patients. CONCLUSION:
Vaccination considerably reduced hepatitis B incidence in the patients with
chronic renal failure during nineties. However still approximately one quarter of
patients did not produce protective anti-HBs level after immunization with
recombinant vaccine and new form of vaccination against hepatitis B may be
considered also in the Czech Republic.
PMID- 22097689
TI - [Normal pulmonary circulation pressure values in healthy subjects at rest and
during exercise].
AB - The paper is based on the results of an earlier retrospective international WHO
study of normal pressure values in the pulmonary circulation and the right heart
in 468 healthy individuals. The results of this study suggest that the pulmonary
hypertension should be defined by the mean pulmonary artery pressure at rest of >
or = 20 mmHg and not > or = 25 mmHg. Pulmonary wedge pressure should be < 12
mmHg. These results have recently been confirmed by the so far largest
retrospective international study of normal values published by Kovacs et al and
involving 1187 healthy volunteers. However, this study does not include pulmonary
wedge pressure values. Normal values ofthe mean pulmonary artery pressure during
exercise are determined by age. In healthy individuals younger than 50 years of
age, the mean pulmonary artery pressure during exercise increases in parallel
with increasing cardiac output. However, the increase in the mean pulmonary
artery pressure during exercise is steeper in healthy individuals aged 50 years
and over. This results from a sharper increase in the pulmonary wedge pressure
caused probably by diastolic dysfunction of the left ventricle in the older
population.
PMID- 22097690
TI - [Resynchronization therapy for heart failure--still many question marks].
AB - At present, the potential benefit of resynchronization therapy, i.e. an improved
quality of life and prolonged survival in patients with heart failure, is not
achieved in every patient. The 30% non-response has prompted a search for new
criteria predicting patient response to resynchronization treatment. An absence
of mechanical dyssynchrony, viability of the myocardium and an inadequate
positioning of the intracardiac left ventricular lead probably limit the response
to resynchronization therapy. ECG remains essential for the selection of suitable
patients.
PMID- 22097691
TI - [Diagnostic algorithm of syncope: integrative approach].
AB - Syncope is a symptom, defined as transient loss of consciousness and postural
tone with spontaneous and mostly prompt recovery. At first it is necessary to
differentiate other non-syncopal transient loss of consciousness and simple
falls, where thorough history taking is pivotal. EGSYS and OESIL risk scores seem
to be contributional in initial risk stratification, however they are neither
widely accepted nor a part of national guidelines. They are part of the European
society of cardiology guidelines, though. Next it is essential expert ECG
evaluation, thorough physical status examination, supine and standing blood
pressure measurement and carotid sinus massage, if not contraindicated.
Successively one has to decide if hospitalization or outpatient management is
more suitable. Recently it has been shown, that so-called syncope management
units (aimed for short-term hospitalization or fast outpatient examination,
including vital function monitoring, echocardiography and facile cathlab access)
are effective in fast syncope evaluation. Echocardiography, ECG monitoring and
head-up tilt test should be a part of complex diagnostic evaluation. If syncope
is not clarified by upon stated methods moreover syncope is recurrent,
electrophysiological study, ILR implantation or both are justified. Despite of
entire health practitioner's effort, more than 1/3 of syncopes remain
unexplained.
PMID- 22097692
TI - [Treatment of acute exacerbation of the obstructive pulmonary disease with
hospitalization at an intensive care unit].
AB - Bronchodilatation is preferably achieved with beta-2-agonists (SABA), salbutamol.
Therapy is intensified with anticholinergics (ipratropium bromide monohydrate). A
combined preparation may also be used (ipratropium bromide monohydrate and
fenoterole hydrobromide). Methylxantines (theophylline) are the second line
option. Corticosteroids are administered orally (prednisolone) or intravenously
(methylprednisolone or hydrocortisone). Patients who have problems expectorating
are administered mucolytics (ambroxol hydrochloride or bromhexine hydrochloride).
Some patients are treated with antibiotics. Oxygenotherapy is indicated in
patients with hypoxemia. Insufficient treatment effect and progression of
respiratory insufficiency warrants application of mechanical or non-invasive
ventilation.
PMID- 22097693
TI - [The role of central nervous system in etiopathogenesis of peripheral organ
diseases].
AB - Current research on the etiopathogenesis of diseases of peripheral organs is
primarily focused on the study of processes affecting those organs directly
altered by diseases. As a result, therapeutic interventions are focused on the
cells of those organs affected by pathological processes. However, pathological
processes are not restricted to any "circumscribed" group of cells. Cells of
tissue affected by pathological process interact with cells in the surrounding
tissues. Moreover, pathologic processes also induce changes in the activity of
the neuroendocrine and immune systems, which also affect the progression of
pathological processes. The neurobiological view of diseases is based on the
assumption that the nervous system processes signals related to pathological
processes in peripheral organs and then consequently modulates it via the
autonomic, neuroendocrine, and neuroimmune regulations. The aim of this paper is
to explain the basis of the neurobiological view of diseases of the peripheral
organs, and then discuss possible therapeutic consequences.
PMID- 22097694
TI - [Prognostic markers in chronic lymphocytic leukemia].
AB - Chronic lymphocytic leukemia is the most common leukemia type in Western
countries. Even incidence of chronic lymphocytic leukemia is high, this disease
remained beyond interest for a very long time. However, in the last few years the
view of this disease fundamentally changed and due to intensive study, new
knowledge especially on pathogenesis, prognostic factors and therapy based on
intensive therapeutic procedures were made. Today we know that usage of classical
prognostic factors is insufficient for prognosis evaluation in the individuals.
However modern (IgVH mutation status, cytogenetic abberations) and new markers
(LPL/ADAM29 ratio, microRNA, markers of angiogenesis etc) have potential to
distinguish patients in early stages to groups with significantly different
prognosis and predict clinical course of the disease.
PMID- 22097695
TI - [Diagnosis and treatment of community-acquired pneumonia in adults].
PMID- 22097696
TI - [Benign solitary cecal ulcer].
AB - Solitary benign cecal ulcer is a non-usual finding without characteristic
clinical or radiological performance. Because ofits little frequency and its
clinical symptoms often suspicious for the presence of severe diseases like are
malignancies, acute abdomen or lower gastrointestinal bleeding, this finding is
only rarely diagnosed preoperatively. Definitive diagnosis is almost always made
pathologically. In this case report we describe clinical, radiological and
pathological findings in our patient with difficulties caused by a solitary
benign cecal ulcer.
PMID- 22097697
TI - [MR-documented remission of pituitary stalk infiltration in patients with
Langerhans cell histiocytosis following treatment with 2-chlorodeoxyadenosine].
AB - In adult patients, Langerhans cell histiocytosis (LCH) manifests most frequently
with one or more osteolytic lesions or, alternatively, with pulmonary involvement
with nodules and cysts or with skin lesions. Infiltration ofthe central nervous
system is a rather rare sign of LCH. The LCH cells have an unexplained affinity
to hypothalamus and to pituitary stalk and, consequently, central diabetes
insipidus is the most frequent clinical sign of brain involvement in LCH. We
describe treatment of 2 adult patients with LCH in whom central diabetes
insipidus was the first sign of LCH and MR confirmed pituitary stalk
infiltration. The first man was diagnosed with diabetes insipidus and pituitary
stalk infiltration at 33 years of age. LCH was confirmed 2 years later by
histology of verrucous lesions on the skin of perianal area. The disease affected
the skin and CNS. The patient was treated with 2-chlorodeoxyadenosine (5 mg/m2
s.c. for 5 consecutive days of a 28-day cycle). No pituitary infiltration was
evident on an MR image after the 4th cycle. Residual perianal infiltration was
irradiated. The patient has been in complete remission for 44 months following
treatment completion, although vasopressin and testosterone substitution is
required. The second man was also diagnosed with diabetes insipidus and pituitary
stalk infiltration at 33 years of age. Pulmonary involvement was identified with
high resolution CT(HRCT) and high CD1a and S-100 positive elements with
bronchoalveolar lavage. This patient further had external auditory canal
infiltrations causing chronic discharge from the ears. The patient was treated
with 2-chlorodeoxyadenosine as above. A follow up MR after the 4th cycle showed
reduction in the infiltration diameter from 5.5 to 3.0 mm. Therefore, 2
chlorodeoxyadenosine 5 mg/m2 s.c. was combined with dexamethasone 20 mg p.o.
during the 5th and 6th cycle. The MR image after treatment completion showed
remission of the pituitary stalk infiltrate. External auditory canal infiltration
diminished as did the nodules in pulmonary parenchyma. Nevertheless, vasopressin
substitution is still required. The patient has been in complete remission for 8
months from the completion of the treatment. Pituitary stalk infiltration
disappeared after the treatment with 2-chlorodeoxyadenosine in 2 patients; after
4 cycles in the first and after 6 cycles (with an addition of dexamethasone
during the last 2 cycles) in the second.
PMID- 22097698
TI - Timely findings from birth defects surveillance programs.
PMID- 22097699
TI - Clinical differentiation of patent foramen ovale and secundum atrial septal
defect: a survey of pediatric cardiologists in Dallas, Texas, USA.
AB - BACKGROUND: Public health birth defect surveillance registries rely on health
care provider diagnosis and definition of congenital anomalies. Major anomalies
are likely to have consistent diagnoses across providers; however, definition of
some more common, often minor, defects can be problematic. Of particular
frustration are the transient neonatal heart findings: patent ductus arteriosus,
patent foramen ovale, and pulmonary artery branch stenosis. Under certain
circumstances these findings may be considered true anomalies-patent foramen
ovale (PFO) as a clinical finding overlaps significantly with atrial septal
defect (ASD) of secundum type, the latter being considered a true congenital
malformation. Some criteria must be established to separate these conditions in
case ascertainment. It is therefore helpful to understand the clinical
definitions of patent foramen ovale and secundum atrial septal defect. METHODS:
Pediatric cardiologists in the greater Dallas, Texas metropolitan area were
surveyed by telephone, fax, and/or email and asked what criteria they use to
distinguish a PFO from a secundum ASD. This was an open-ended question. No
baseline parameters were suggested or introduced by the interviewer. Pediatric
cardiology fellowship training was identified for each physician to examine the
hypothesis that graduates of a given program would use the same diagnostic
criteria. RESULTS: Responses were obtained from 22 of 23 pediatric cardiologists.
Four measurement criteria were identified: size of the opening, presence or
absence of a flap of septal tissue, appearance of the defect on echocardiogram
and presence/absence/amount of blood shunting across through the opening. Though
there was overlap, diagnostic criteria differentiating PFO and secundum ASD
varied among pediatric cardiologists. Two fellowship programs were well
represented by the respondent population. Eight respondents were trained at
Fellowship 1 and 5 at Fellowship 2. Place of fellowship training was not a strong
indicator of which diagnostic criteria were used, even when graduates were in
practice together. Physicians in private practice were more likely to report
objective measurements as bases for their diagnostic decision. CONCLUSIONS: The
pronounced variability in clinical definitions will be a problem for birth defect
surveillance and research based upon the resultant database. When different
physicians use different diagnostic criteria for borderline defects, it is
impossible to know whether a defect ascertained and coded with a standard
protocol is the same across the population. Since it is unlikely that consistent
diagnostic criteria can be put in place, the surveillance program is burdened
with compensating for the variability.
PMID- 22097700
TI - Should aggregate US Census data be used as a proxy for individual household
income in a birth defects registry?
AB - BACKGROUND: Birth Defects Registries do not have access to income data and low
household income is associated with adverse pregnancy outcomes of stillbirth,
preterm birth, and birth defects. We compared 1999 income data from the National
Birth Defects Prevention Study (NBDPS) with 2000 Census block group income data
for the residence location of these same mothers. METHODS: We geocoded 339 case
mothers and 121 control mothers and assessed household income among case and
control mothers by using NBDPS and census block group data. Correlation and
concordance were assessed between the 2 data sources' household income data.
RESULTS: The household income distribution was similar between case and control
mothers within each data source. Both case and control mothers in the NBDPS's
lowest household income category (<$20,000/year) reported lower income than was
documented in their census block group's median household income (p
value<0.0001). A weak correlation was identified between NBDPS interview and
census block group income data (control mothers, rs=0.53; case mothers, rs=0.32).
There was also poor to fair concordance between the 2 data sources (control
mothers, kw=0.28; 95% CI=0.19-0.37 and case mothers, kw=0.18; 95% CI=0.13-0.24).
CONCLUSION: These findings demonstrate dissimilar household incomes between NBDPS
and census block group data. Caution should be used if block-level data is used
as a proxy for individual-level household incomes in population-based birth
defects surveillance and research.
PMID- 22097702
TI - Exploring the environmental and genetic etiologies of congenital heart defects:
the Wisconsin Pediatric Cardiac Registry.
AB - INTRODUCTION: The Wisconsin Pediatric Cardiac Registry (WPCR) collects
information on infants born in the state of Wisconsin with structural congenital
heart disease (CHD). METHODS: The WPCR actively ascertains CHD cases in the state
of Wisconsin. Cases must be conceived and born in Wisconsin after January 1,
2000. Once ascertained, subjects are approached to participate in genetic
sampling and completion of a questionnaire that assesses family history of CHD,
maternal health, and environmental exposures before pregnancy and during the
first trimester. In 2009, the WPCR underwent a transition to a new database and
from a paper questionnaire to a Web-based questionnaire. RESULTS: The WPCR has
screened over 5,100 children and has ascertained 4,919 cases of CHD in the state
of Wisconsin during the years 2000 to 2009. During this interval, 1,982 completed
questionnaires and 1,062 DNA samples have been obtained from consented subjects.
Another 1,774 DNA samples have been obtained from blood relatives of CHD
subjects. DISCUSSION: The WPCR operates as a specialized resource of genetic and
environmental information on children with CHD for researchers focusing on the
multifactorial causes of CHD.
PMID- 22097701
TI - Evolution of an integrated public health surveillance system.
AB - There is a growing recognition in maternal and child health of the importance of
social, behavioral, biological, and genetic factors across the entire life
course. Unfortunately, most state maternal and child health surveillance systems
are not designed to readily address longitudinal research questions or track and
follow children across multiple programs over time. The Virginia Department of
Health (VDH) recently integrated its birth defects registry, newborn hearing
screening tracking and management system, and electronic birth certificate (EBC)
into a robust, Web-based surveillance system called the Virginia Vital Events and
Screening Tracking System (VVESTS). Completely redesigning the existing birth
defects and newborn hearing screening system (the Virginia Infant Screening and
Infant Tracking System--VISITS I) with minimal disruption of ongoing reporting
presented a number of challenges. Because VVESTS had different requirements such
as required fields and data validations, extensive data preparation was required
to ensure that existing VISITS I data would be included in the new system (VISITS
II). Efforts included record deduplication, conversion of free text fields into
discrete variables, dealing with missing/invalid data, and linkage with birth
certificate data. VISITS II serves multiple program needs; improves data quality
and security; automates linkages within families, across programs, and over time;
and improves the ability of VDH to provide children with birth defects and their
families necessary follow-up services and enhanced care coordination.
PMID- 22097703
TI - The relative contribution of data sources to a birth defects registry utilizing
passive multisource ascertainment methods: does a smaller birth defects case
ascertainment net lead to overall or disproportionate loss?
AB - Since 1998, the Florida Department of Health (FDOH) has operated the Florida
Birth Defects Registry (FBDR), a statewide, population-based, passive
surveillance system. Cases are identified by collecting information from extant
data sources including the statewide hospital inpatient and ambulatory discharge
data sets. Additional data sources include administrative, clinical, and service
related information from the FDOH's Children's Medical Services program for
children with special health care needs. Like many state birth defects programs,
the FBDR faces diminishing funding and resources that may restrict the registry
to hospital discharge data. We conducted an evaluation to quantify the potential
under-ascertainment to the FBDR resulting from loss of specific data sources, and
to determine if there would be a disproportionate loss of cases by
sociodemographic and perinatal characteristics. Analyses involved a series of
retrospective reconstructions of the FBDR for 1998-2007 to assess the number of
cases that would have been ascertained and reported based on the hypothetical
loss of 1 or more of the FBDR source data sets. The reconstructed number of cases
identified for each defect category was then compared to the current FBDR
(constructed using all 5 source data sets) to determine the proportion of cases
that would have been missed if the data sources in question were eliminated.
These scenarios were constructed overall and by selected characteristics to
identify potential disparities in the proportion of cases missed. The inpatient
hospital discharge data set was the primary data source for identification of
birth defects in the FBDR. Elimination of this single data source would cause the
FBDR to miss nearly three fourths of infants diagnosed with 1 or more of the
birth defects under study. Our evaluation revealed that an FBDR constructed on
hospital discharge data alone would disproportionately miss more cases born to
subgroups of women, including non-Hispanic blacks, Hispanics, and those born
outside the US. Despite funding and resource constraints, the FBDR continues
efforts to identify data sources that may contribute to completeness of case
ascertainment in an effort to serve the needs of the Florida maternal and child
health population.
PMID- 22097704
TI - The Collaborative Stage Version 2 Data Validation Project, 2010.
AB - The Collaborative Stage Data Collection System (CS), used to collect cancer
information and derive stage values, was extensively revised in response to
revisions in the American Joint Committee on Cancer's AJCC Cancer Staging Manual
seventh edition, published in 2010. CSv2 was released to the cancer registry
community for use in January 2010. In February 2010 the CSv2 Project management
team authorized the data validation project to review the content and formatting
of the data tables and make recommendations to the CSv2 mapping team for
modifications to the tables. This article describes the review process, problems
identified and resolved, and results: 1453 new codes, 340 converted codes, 301
codes marked for manual review, 6 new types of data tags, 3 new version numbers,
changes in table notes, changes in construction of intermediate tables, and
changes in tables which combine AJCC TNM components into stage assignments. The
purpose of this article is to help software vendors, registry users, and data
analysts understand the changes they will see as they implement the new version,
CSv2:V0203. Also, as vendors, users, and analysts become aware of the
complexities and limitations of the current system and processes, and the
considerations involved in modifying the system, evident to those working on the
project, it is hoped that the review presented in this article will inform
ongoing discussions about the future management and development of the
Collaborative Stage Data Collection System.
PMID- 22097705
TI - The Rapid Quality Reporting System--a new quality of care tool for CoC-accredited
cancer programs.
PMID- 22097707
TI - Is it reportable?
PMID- 22097706
TI - Raising the bar: rejuvenating the cancer registrar.
PMID- 22097708
TI - [Development and application of the physical hypoxic models of C. elegans].
AB - OBJECTIVE: To develop a suitable hypoxic injury model, which is important for
revealing pathological molecular mechanism of hypoxia. METHODS: We focused on C.
elegans by treatment with different hypoxic times and systematically observed
mortality, movement, Cellular morphology and the related-protein expression of
the animals. RESULTS: We demonstrated that hypoxia (0.2% partial pressure of
oxygen) induced morphological cell defects, and then leading to death of C.
elegans. The mortality of C. elegans increased along with hypoxic time, while
hypoxia-inducible factor (HIF-1) was significantly up-regulated. In addition, by
using neuron-specific transgenic wonns with green fluorescent protein--we
observed the neuron-specffic injury caused by hypoxic stress. CONCLUSION: We
successfully established an effective, convenient physical hypoxic model of C.
elegans, which will facilitate the studies of hypoxic pathology and molecular
mechanisms of hypoxic response in the future.
PMID- 22097709
TI - [Effects of hypoxia to rat diaphragmatic discharge].
PMID- 22097710
TI - [The effects of chronic stress on spatial cognitive ability of different sex
mice].
AB - OBJECTIVE: To investigate the effects of chronic stress on spatial cognitive
ability in different sex mice. METHODS: Thirty-two adult KM mice were divided
into four groups (n=8): male control and chronic stress group, female control and
chronic stress group. We used the modified Kaz's methods to build on the chronic
stress model of mice, and then used the place navigational testing and the probe
trial testing by the Morris water maze to measure the spatial cognitive ability
of mice. RESULTS: Following two weeks stress treatment, in the place navigational
testing, to male group, the average latency to find the platform in water maze of
chronic stress group was longer than that of the control; to female group, the
average latency of chronic stress group was shorter than the control. Moreover,
the male stress group showed faster swimming speed but longer latency to find the
platform. In the probe trial testing the female chronic stress group spent more
time in the target quadrant compared to the male chronic stress group.
CONCLUSION: Two weeks' chronic stress could impair male mice's spatial cognitive
ability, but improve the female's.
PMID- 22097711
TI - [The research of total flaveos of Gymostemma pentaphyllum (Thunb)Mak drug serum
on calcium ion and NOS-NO system in cardiomyocytes injured by hypoxia and
reoxygenization].
PMID- 22097712
TI - [Changes of endoplasmic reticulum stress-induced apoptosis in pulmonary tissue of
rats with hypoxic pulmonary hypertension].
AB - OBJECTIVE: To investigate the changes of endoplasmic reticulum stress-induced
apoptosis in pulmonary tissue of rats with hypoxic pulmonary hypertension.
METHODS: Twenty two male SD rats were randomly divided into control group and 4
week hypoxia-hypercapnia group (n=11). The mean pulmonary arterial pressure
(mPAP) and the mean carotid arterial pressure (mCAP) were monitored, and the
weight ratio of right ventricle (RV) to left ventricle plus septum (LV + S) were
measured. The rattish pathological model were assessed by mPAP, mCAP, RV/(LV+ S),
vessel wall area/total area (WA/TA), vessel cavity area/total area (CA/TA) and
media thickness of pulmonary arteriole (PAMT). The pulmonary apoptotic cells were
detected by Hoechst staining. RT-PCR was used to study the genetic expression of
caspasel2, glucose regulated protein 78 (GRP78) and GRP94 in pulmonary tissue.
The expression of GRP94 and GRP78 proteins in pulmonary tissue were determined by
using immunohistochemistry. RESULTS: (1) (The mPAP, RV/(LV + S), WA/TA and PAMT
were respectively higher by 50.5%, 37.3%, 72.5% and 137% in hypoxic group than
those in control group, while CA/TA was lower by 41.9% (all P < 0.01). There was
not significant difference of mCAP between the two groups. (2) Hoechst staining
showed that the pulmonary apoptotic cells in hypoxic group outnumbered markedly
than those in control group, and the apoptotic cells were mainly in pulmonary
tissue, while they were rare in pulmonary vascular smooth muscle cell. (3)
Compared with control group, the expression of pulmonary caspasel2, GRP78 and
GRP94 mRNA in hypoxic group were higher by 144%, 137% and 80.7% (all P < 0.05),
respectively. (4) The expression of pulmonary GRP78 and GRP94 proteins were up
regulated in hypoxic group, and these proteins mainly localized in pulmonary
vascular endothelial cell. CONCLUSION: The endoplasmic reticulum stress-induced
apoptosis may be one of the mechanism of hypoxic pulmonary hypertension and
pulmonary vascular wall remodeling.
PMID- 22097713
TI - [Expression of mRNA for MCP-1 and CCR2 in cerebral tissue of rats with acute
alcoholism].
PMID- 22097714
TI - [Effect of neotype carbonic anhydrase target-based inhibitors(P-8) on the hypoxic
tolerance in mice].
AB - OBJECTIVE: To explore the effects of different doses of P-8 in increasing the
Hypoxia tolerance of mice and the mechanisms involved. METHODS: The health mice
were placed into the oxygen deficit bottles and measured the survival time in the
condition of hypoxia. The male mice were put into the ladder cage, then placed
them into the hypobaric champer to determine the survival time of mice with
decompression hypoxia (min). We observed the activity changes of the mice's
organization carbonic anhydrase II (CAII). By using the drug in prophylaxis, we
investigated the effects of carbonic anhydrase target-based inhibitors P-8 for
improving the hypoxia tolerance. RESULTS: (1) In improving the endurance of mice
in the condition of hypoxia, the survival time of 6.25 mg/(kg x d) and more doses
of P-8 groups were (27.38 +/- 4.63, 29.53 +/- 4.43, 29.67 +/- 7.28, 31.55 +/-
6.34, 32.45 +/- 6.65, 36.81 +/- 7.24 and 35.41 +/- 4.20) min, compared with the
control group (22.90 +/- 3.19) min , the survival time significantly prolonged (P
< 0.05, P < 0.01); compared to the same dose of acetazolamide groups (24.54 +/-
3.17, 22.70 +/- 3.04, 22.67 +/- 2.99, 23.93 +/- 0.96, 27.87 +/- 5.06, 30.79 +/-
5.12 and 35.14 +/- 6.46) min, the survival time significantly prolonged; P-8
groups and Acetazolamide's minimum effective dose were 6.25 and 100 mg/(kg x d),
the potency of P-8 is 16 times Acetazolamide. (2) In improving the endurance of
mice in the condition of hypoxia, the survival time of middle and high doses of P
8 groups [(24.82 +/- -3.92, 28.27 +/- 5.89) min] were significantly longer than
those in control group [(21.96 2.51) min, P < 0.05]; compared with the
acetazolamide (23.11 +/- 3.71) min, the survival time of high dose of P-8 group
was significantly prolonged. (3) Compared with the normal control group, P-8 [(25
mg/(kg x d), 50 mg/(kg x d), 100 mg/(kg x d), 200 mg/(kg x d)] dose groups
inhibited the activity of carbonic anhydrase II (CAII) in the renal (P < 0.05, P
< 0.01); P-8 [100 mg/(kg x d) and 200 mg/(kg x d)] dose group significantly
inhibited the activity of carbonic anhydrase II (CA II) in the brain (P < 0.05).
CONCLUSION: P-8 treatment improved the endurance of mice in the condition of
hypoxia and worked better than Acetazolamide. The mechanism may be related to the
inhibition of carbonic anhydrase organization.
PMID- 22097716
TI - [Role of toll-like receptor 4 in the asthmatic rat airway smooth muscle cells
proliferation and apoptosis].
AB - OBJECTIVE: To explore the role of Toll like receptor 4(TLR4) in the asthmatic rat
airway smooth muscle cell (ASMCs) proliferation and apoptosis. METHODS:
Established rat model of asthma,isolated and cultured rat ASMCs in asthma, using
methods of small molecule RNA interference technology and lipofection method, for
small molecule RNA-TLR4 transfection, detected proliferation of ASMCs by MIT
minim colorimetry, apoptosis of ASMCs by TUNNEL, the expression of TLR4 protein
and mRNA were detected by Western blot and RT-PCR in cells. RESULTS: The
proliferation of ASMCs in TNF-alpha group were significantly higher than that in
control group and siRNA-TLR4 transfection group and TNF-alpha + siRNA-TLR4
transfection group respectively and the proliferation of ASMCs in siRNA-TLR4
transfction group was lower than that in control group. The apoptosis rate of
ASMCs in TNF-alpha group was lower than that in control group, siRNA-TLR4
transfection group and TNF-alpha + siRNA-TLR4 transfection group respectively and
the apoptosis rate of ASMCs in siRNA-TLR4 transfection group and TNF-alpha +
siRNA-TLR4 transfection group were significantly higher than those in control
group. The mRNA and protein expression of TLR4 in control group and TNF-alpha
group were significantly higher than those in siRNA-TLR4 transfection group and
TNF-alpha + siRNA-TLR4 transfection group. The mRNA and protein expression of
TLR4 in TNF-alpha group were significantly higher than those in control group (P
< 0.01). CONCLUSION: Activation of TLR4 may contribute to asthmatic airway smooth
muscle cell proliferation, inhibiting apoptosis and play an important role in
airway remodeling in asthma.
PMID- 22097715
TI - [Influence of acute hypoxia on CHL1 expression in different tissues of mice].
AB - OBJECTIVE: To observe the effects of acute hypoxia on the cell adhesion molecule
close homologue of L1 (CHL1) expression in different brain areas and main organs
(heart, lung, kidney) of mice, and provide a basis for the role of CHL1 in
hypoxia injury. METHODS: Mice were randomly divided into two groups (n=10):
normoxia group and hypoxia group. Hypoxia group were treated by acute hypoxia (8%
O2, 8 h). Protein expression changes in different tissues were evaluated by
Western blot. RESULTS: In central nervous system, CHL1 protein expressions were
down-regulated in cerebral cortex, hypothalamus and brain stem by acute hypoxia
and up-regulated in cerebellum. In heart and lung, CHL1 protein expression were
down-regulated by acute hypoxia. CONCLUSION: CHL1 protein expressions were
changed in different tissues after acute hypoxia, which suggested CHL1 might play
an important role in hypoxia damage regulation.
PMID- 22097717
TI - [Changes of NGFI-B subcellular location in cardiomyocytes of stressed rats and
its biological effects].
AB - OBJECTIVE: To investigate the changes of expression and subcellular location of
nuclear growth-induced protein-B(NGFI-B) in cardiomyocytes of stressed rats and
its biological effect and to provide scientific evidences for exploring the
mechanism underlying myocardium injury induced by stress. METHODS: The cell model
of stress-induced cardiomyocyte injury were established. Western blot method and
confocal microscopy method were used to investigate the subcellular location of
NGFI-B in cardiomyocytes under stress. The flow-cytometry was selected to detect
the apoptotic rate in cardiomyocytes in vitro. Western blot method was used to
determine the content of cytochrome C protein in mitochondria and cytoplasm
respectively. RESULTS: Stress induced the increase of NGFI-B content in the
mitochondria of cardiomyocytes and the translocation of NGFI-B from the nucleus
to the mitochondria. The translocation of NGFI-B promoted the release of
cytochrome C from the mitochondria and the cardiomyocyte apoptosis. Treatment of
stressed cardiomyocytes with leptomycin B, a non-specific blocker of nuclear
export, resulted in nuclear retention of NGFI-B and abrogated its ability to
induce the release of cytochrome C from the mitochondria. CONCLUSION: Stress
could induce NGFI-B translocation from the nucleus to the mitochondria in
cardiomyocytes, which activated the mitochondrial pathway of cell apoptosis.
PMID- 22097718
TI - [Effects of iptakalim on pressure-overload induced cardiac remodeling and plasma
PGI2 content in rats].
AB - OBJECTIVE: To study the effects of iptakalim (IPT) on pressure-overload induced
cardiac remodeling in rats, and investigate correlation between this protection
effects and plasma PGI2 content. METHOD: The pressure-overload induced cardiac
remodeling model was induced by abdominal aorta constriction for 6 weeks, and the
rats were divided into 5 groups repectively: (1) sham group, (2) control group,
(3) IPT 3 mg/kg group (IPT 3), (4) indomethacin 2 mg/kg group (Indo 2), (5)
indomethacin 2 mg/kg + IPT 3 mg/kg group (Indo 2 + IPT 3). RM6000 eight channel
physiological recorder was used to record haemodynamics index, heart weight was
weighed and the cardiac remodeling index was calculated, HE stain and Masson's
stain were employed to perform histological analysis, colorimetric method was
used to detect the hydroxyproline content in cardiac tissue, radioimmunological
method was used to measure the plasma PGI2 content. RESULTS: After 42 days of
aortic banding, the hyperdynamic circulation state, cardiac remodeling and
decreased plasma PGI2 content were observed in the model group compared with
those in the sham group, which were effectively reserved by treatment with IPT 3
mg/kg. Single-use indomethacin led to further deterioration of this
pathophysiological changes, however, combination administration of IPT 3 mg/kg
prevented these from worsening characteristic by ameliorating hyperdynamic
circulation state and cardiac remodeling, augmnent plasma PGI2 content.
CONCLUSION: IPT can significantly reverse abdominal aorta binding/pressure
overload induced cardiac remodeling, its mechanism may contribute to binding
K(ATP) channel in endothelial cells, ameliorating endothelium cells function,
augmenting PGI2 synthesis and secretion.
PMID- 22097719
TI - [The correlation, between expression of stanniocalcin-1 gene and level of
hydrogen peroxide in tissue during cutaneous scand repair in mice].
PMID- 22097720
TI - [The relationship between endogenous hydrogen sulfide system and pulmonary
hypertension induced by hypoxic hypercapnia].
AB - OBJECTIVE: To investigate the changes of the endogenous hydrogen sulfide(H2S)
system in pulmonary hypertension induced by hypoxic hypercapnia (HHPH) in rats
and approach the possible mechanisms. METHODS: 20 SD rats were randomly divided
into control group (C) and hypoxic hypercapnia group (HH) (n=10). The changes of
hemodynamics and the right ventricle/left ventricle + septum (RV/LV + SP) were
measured. The ratio of vessel wall area and total area (WA/TA) of arteriae
pulmonalis were observed under lightmicroscope. By using TdT-mediated dUTP nick
end labeling (TUNEL) and immunocytochemistry techniques, apoptosis index (AI) and
expression of Bcl-2, Bax protein in arteriae pulmonalis were tested. Plasma level
of H2S and activity of H2S generating enzymes in homogenates of rat lung tissue
were evaluated by sensitive modified sulfide electrode method. Cystathionine
gamma-lyase (CSE) mRNA in lung tissues was determined by RT-PCR. RESULTS: The
level of mean pulmonary arterial pressure(mPAP), WA/TA and RV/LV + SP were
significantly higher in HH group than those in C group (P < 0.05 or P < 0.01).
Compared with those in C group, the AI of arteriae pulmonalis in HH group were
significantly lower; the expression of Bcl-2 protein increased while that of Bax
protein decreased, and the ratio of Bax/Bcl-2 went up obviously (all P < 0.01).
Plasma level of H2S, the activity of H2S generating enzymes and CSE mRNA in HH
group were significantly lower than those in C group (all P < 0.01). Plasma level
of H2S, the activity of H2S generating enzymes, CSE mRNA each was closely
positively related to Al while inversely related to mPAP and Bcl-2/Bax (all P <
0.01). CONCLUSION: The endogenous hydrogen sulfide system is closely related to
pulmonary hypertension induced by hypoxic hypercapnia. The depression of the
H2S/CSE system in HHPH may help increase the ratio of Bcl-2/Bax, inhibit
apoptosis of pulmonary artery smooth muscle cells and finally result in the
formation of pulmonary hypertension.
PMID- 22097721
TI - [Intermittent hypoxic preconditioning promotes altitude acclimatization: the
duration and efficacy after cessation of hypoxic preconditioning].
PMID- 22097722
TI - [Correlation between MPO 129 A/G polymorphism and severity of coronary artery
disease].
AB - OBJECTIVE: To explore the relationship between myeloperoxidase (MPO) 129 A/G
promoter polymorphisms and the severity of coronary artery disease (CAD).
METHODS: The study enrolled 267 patients who had been diagnosed as coronary
artery diseases by coronary angiography. The serum MPO activity was detected by
colorimetric method. PCR-RFLP method was used to decide the genotypes of the
patients. The severity of CAD was evaluated by the numbers of stenotic coronary
arteries and the Gensini scores respectively. RESULTS: The MPO 129 locus G and A
alleles frequency were 0.893 and 0.107, respectively. No significant difference
was observed in serum MPO activity between different genotypes (P > 0.05). The
distribution of genotypes in different Gensini score groups had no significant
difference (P > 0.05). The patients with GG genotypes were prone to develop
mutivessel diseases. CONCLUSION: No significant correlation exists in MPO 129
locus polymorphism and serum MPO activity. The MPO 129 locus polymorphism isn't a
reasonable predict factor of CAD severity.
PMID- 22097724
TI - [The effects of high fat diet and endurance exercise on the aorta wall structure
of experimental animal].
AB - OBJECTIVE: Based on high fat diet induced the model of atherosclerosis (AS) in
C57BL/6J mice, authors studied the effect of endurance exercise on the
atherosclerostic formation. METHODS: Forty eight 8-week-old C57BL/6J mice were
divided into four groups randomly (n=12): control (group N), 12-week
atherosclerosis model group(group H), 12-week atherosclerosis model plus 11-week
treadmill training group (group H + E) and 22-week atherosclerosis model group
(group HS). Then, we observed the effects of endurance exercise on the ultra
structure of aorta by electron microscope. RESULTS: Twenty weeks of high fat diet
could result in serious AS in mice while endurance exercise could significantly
antagonize or restrain the occur of AS. In addition, 10 weeks of endurance
exercise could alleviate the symptom of pathological changes which already
happened on aorta wall. CONCLUSION: It indicated that endurance exercise could
effectively prevent and cure AS that induced by high fat diet.
PMID- 22097723
TI - [The effect of 5-HD on expression of PKC-alpha in rats of chronic hypoxic
pulmonary hypertension].
AB - OBJECTIVE: To investigate the effect of mito chondrial K(ATP) channels
(mitoK(ATP)) inhibitor 5-hydroxydecanoate(5-HD) on chronic hypoxic pulmonary
artery hypertension (CHPAH) rats and its underlying mechanisms. METHODS: Forty
eight male SD rats were equally divided into 4 groups randomly (n=12): normal
group, hypoxia group, hypoxia + 5-HD group, hypoxia + Diazoxide group. Except the
first group, the other three groups were put into hypoxic [O2 (10.0% +/- 0.3%]
and nonrmobaric chamber for four weeks to establish chronic hypoxic model and
received different interference. When the interference completed, right heart
catheter was used to detect the mean pulmonary arterial pressure (mPAP) of each
rat and PKC-alpha mRNA expression in pulmonary arteries was detected by reverse
transcription-polymerase chain reaction (RT-PCR) and protein expression by
Western blot. RESULTS: (mPAP was much higher in hypoxia group than that in normal
group (P < 0.01) while in hypoxia + 5-HD group and hypoxia + diazoxide were
decreased significantly compared to hypoxia group (P < 0.01). (2) The protein and
mRNA levels of PKC-alpha in the hypoxic group were higher than those in normal
group (P < 0.05). CONCLUSION: 5-HD plays a protective role on CHPAH. The
mechanism of its effect may be attributed to inhibiting MitoK(ATP).
PMID- 22097725
TI - [Effects of rapid eye movement sleep deprivation on recall of fear extinction in
rats].
PMID- 22097726
TI - [The study on protective effect of sphingosine-1-phosphate in cardiomyocytes].
AB - OBJECTIVE: To explore the mechanism of protective effect of Sphingosine-1
phosphate(S1P) in cultured neonatal rat cardiomyocytes dining simulated
hypoxia/reoxygenation. METHODS: On the basis of culturing neonatal rat
cardiomyocytes, the model of hypoxia-reoxygennation was built by using method of
Liquid Paraffin covering, the impact of S1P on apoptosis and p-Akt and
mitochondrial membrane potential were studied by using method of Propidine Iodide
staining and Western blot and Bhodanmine123 staining. RESULTS: SiP could reduce
apoptosis rate (P < 0.01) and stabilize the mitochondrial membrane potential (P <
0.05) and improved the level of p-Akt1 (P < 0.01) in hypoxia/reoxygenation
cardiomyocytes significantly. But wonnannin could block these effects of S1P
partially. CONCLUSION: SiP can obviously restrain apoptosis in curtured rat
neonatal cardiomyocytes during simulated hypoxia/reoxygenation. Stabilization of
mitochondrial membrane potential by P13K-AM signaling pathway is likely to play a
role in protective action of S1P.
PMID- 22097727
TI - [Research of the influence of jiawei "ba zhen soup" on the junior men's sanda
athletes' testosterone, cortisone and hemoglobin].
PMID- 22097728
TI - [The expression of connective tissue growth factor in mast cells in the
development of pulmonary fibrosis].
AB - OBJECTIVE: To investigate whether connective tissue growth factor (CGGF) is
expressed in mast cells (MCs) in lung in the development of bleomycin (BLM)
induced pulmonary fibrosis. METHODS: Thirty-two male SD rats were randomly
divided into 2 groups: BLM group and control group (n=16). The rats in BLM group
were received single intratracheal instillation of BLM (5 mg/kg), and the rats in
control group received equal volume of 0.9% normal saline(NS) to BLM. The rats in
each group were sacrificed for lung tissue sampling on day 14 and day 28 after
intratracheal instillation respectively. As the index of the severity of
pulmonary fibrosis, the content of hydroxyproline in lungs was analyzed by
chloramine T method. Mast cells and CTGF expression in lungs were examined by
toluidine blue stain and immunohistochemical assay respectively. RESULTS: (1) On
day 28 after intratracheal instillation of BLM, the content of hydroxyproline in
lungs of rats was higher than that of control rats (P < 0.01). (2) Compared to
control rats, the rats on day 14 and day 28 after instillation of BLM showed
increased number of mast cells (Both P < 0.01) and up-regulated CTGF expression
(Both P < 0.01). (3) No CTGF immuno-positive MCs were seen in the lungs of
control rats whereas CTGF immuno-positive MCs were observed in the pathological
areas in lungs of rats on day 14 and day 28 after BLM. CONCLUSION: CTGF is
expressed in MCs in lungs in the development of pulmonary fibrosis, which might
be one of the mechanisms underling promoting effect of MCs on fibrosis in lung.
PMID- 22097729
TI - [Study of tyrosinase gene mutation in oculocutaneous albinism type 1 patients].
AB - OBJECTIVE: To explore the patients' genotypes and the mutation spectrum of
Tyrosinase (TYR) gene and the effects on protein structure and function in
oculocutaneous albinism type 1 (OCA1). METHODS: The polymerase chain reaction
(PCR) and sequencing techniques were applied to amplify and analyze the regions
of exon, exonintron and promoter of TYR gene of 15 OCA1 probands and some of
their parents. The protein structure and function were forecasted and analyzed by
bioinformatics software. RESULTS: Sequencing result showed 11 kinds of mutations,
including 5 missense mutations (W400L, R299H, E294K, R77Q and K142M), 3 nonsense
mutations (R116X, R278X and G295X), 2 insertion mutation (929insC and 232insGGG)
and 1 splice site mutation (IVS1-3C > G). The nosogenesis was related to the
change of protein structure and function in four pathological mutations.
CONCLUSION: It seemes that W400L is the frequent mutations, which accounted for
about 30.0% in Chinese mainland OCA1 alleles. It is doable to make some
reasonable interpretation about TYR gene nosogenesis by bioinformatics method.
PMID- 22097732
TI - [MEK inhibitors suppressed expression of NOS in spinal cord of morphine-induced
dependent and withdrawal rats].
AB - OBJECTIVE: To explore the effects of intrathecal injection of mitogen-activated
protein kinases inhibitors U0126 on the behavioral changes of morphine-induced
dependent and withdrawal rats and the expression of nitric oxide synthase (NOS)
in spinal cord. METHODS: All the rats were divided into 4 groups: control group,
dependent group, withdrawal group, U0126 group (5 microg). Global withdrawal
score, Touch evoked agitation scores (TEA score), immunohistochemical and Western
blot technique were undertaken to evaluate behavioral changes and expression of
FOS, nNOS and iNOS in spinal cord respectively. RESULTS: The results showed that
intrathecal administration of U0126 significantly alleviated withdrawal symptom,
withdrawal scores of U0126 group (22.5 +/- 4.09) were significantly lower than
than those of withdrawal group (28.6 +/- 4.89) (P < 0.05). TEA scores of
withdrawal group were 13.5 +/- 2.55, which were significantly higher than those
of U0126 group (10.0 +/- 2.76, P < 0.05). Fos-like positive neurons in dorsal
horn of withdrawal group were 380 +/- 71, which were higher than those of U0126
group(287 +/- 54, P < 0.05). Also nNOS and iNOS positive neurons in dorsal horn
of U0126 group were 180 +/- 32, 10.8 +/- 2.8 respectively, which were
significantly lower than that of withdrawal group (239 +/- 45, 16.8 +/- 5.1, P <
0.05). Compared with withdrawal group, levels of nNOS and iNOS protein in spinal
cord of U0126 group were significantly lower. CONCLUSION: MEK inhibitors could
alleviate withdrawal symptom of morphine-induced dependent rats and could
suppress expression of NOS in spinal cord, and extracellular signal-regulate
kinase (ERK) might involve the expression of NOS in spinal cord.
PMID- 22097730
TI - [The effect of genetic polymorphism of the exon 2 of the beta-lactoglobulin gene
on the milk composition in Chinese Holstein].
AB - OBJECTIVE: In order to study the effect of the polymorphism at the exon2 region
of the (3-LG allele gene on milk composition and yield. METHODS: The single
strand conformation polymorphism method (PCR-SSCP) was used to analyze for
polymorphism the exon2 region of the 3-LG gene (NCBI accession number: DQ489319)
in Chinese Holstein. RESULTS: Eight SSCP patterns were detected in the fragments:
ab, abc, abd, abe, abcd, abce, abde and abcde, and the patterns frequencies as
follows: 0.14, 0.10, 0.27, 0.23, 0.05, 0.04, 0.11 and 0.06 (P < 0.05); Six single
nucleotide polymorphism (SNP) were detected in this study: sitel C>T, site2 T>C,
site3 C>T, site4 C>C, site5 C> A, site6 A>T or C, and the polymorphism
infonnation content (PIC) of these SNPs were in median or high polymorphism (PIC
> 0.25). CONCLUSION: These SNPs at the exon2 region of the beta-LG gene were
remarkably and affected milk performance traits (milk yield, protein and fat
contents) in Chinese Holstein.
PMID- 22097731
TI - [The effect of valsartan on the expression of the receptor for advanced glycation
end products in human glomerular mesangial cells].
AB - OBJECTIVE: To elucidate the effect of valsartan on human glomerular mesangial
cells oxidative stress and the expression of the receptor for advanced glycation
end products (RAGE) induced by the advanced glycation end-products (AGEs).
METHODS: Human glomerular mesangial cells were treated with advanced glycation
end-product-bovine serum albumin (AGE-BSA) in the presence of valsartan. The
reactive oxygen species (ROS) in cells were measured by Flow cytometry, and the
mRNA of p47 phox, which was the primary subunits of NADPH oxidase, was detected
by semi-quantitative reberse transcription polymerase chain reaction (RT-PCR).
The mRNA of RAGE was detected by RT-PCR and the RAGE protein was assayed by
immunocytochemistry. RESULTS: The product of ROS, and the expression of p47 phox
and RAGE in mesangial cells, which were treated with AGE-BSA in the presence of
valsartan, were down-regulated compared with the groups treated with AGE-BSA (P <
0.05). Valsartan dose-dependently and time-dependently inhibited the AGE-elicited
overexpression of RAGE, ROS and p47(phox) in mesangial cells. CONCLUSION:
Valsartan could inhibit RAGE expression through downregulation of oxidative
stress.
PMID- 22097733
TI - [Simultaneous telemetric analyzing of the temporal relationship for the changes
of the circadian rhythms of brown adipose tissue thermogenesis and core
temperature in the rat].
AB - OBJECTIVE: To measure simultaneously the time course for the circadian rhythm of
brown adipose tissue(BAT) thermogenesis and core temperature, and analyzing their
temporal relationship. METHODS: The circadian rhythm of core temperature (Tc),
BAT temperature (T(BAT)), axillary temperature (Tax) and motor activity were
simultaneously measured by telemetry in adult male Sprague-Dawley rats at an
ambient temperature of 22 degrees C during a 12-h light:12-h dark photoperiod
(lights on at 06:00 h and lights off at 18:00 h). RESULTS: (1) T(BAT) was 0.67
degrees C lower than Tc group under the light phase, but it was similar to that
Tc during the dark phase. The rate of increase in T(BAT) was higher than
corresponding increases in Tc at the start of transition from the light to dark
phase, and increase in T(BAT) commenced approximately 8 min before Tc increases.
Whereas at the start of transition from the dark to light phase, decrease in
T(BAT) commenced approximately 4 min before Tc decreases. (2) The amplitude of
the circadian Tax rhythm was similar to that of Tc. During either the light phase
or dark phase, Tax was lower than simultaneous measurement of Tc. (3) Increases
in behavioral activity commenced before increases in T(BAT) and Tc at the start
of transition from the light to dark phase. CONCLUSION: BAT thermogenesis
contributes to increase in core temperature during the dark phase, indicating
that circadian changes of BAT thermogenesis does indeed play significant role in
the overall maintenance of the circadian rhythm of core temperature.
PMID- 22097734
TI - [Penehyclidine hydrochloride inhibits glutamate release and related research in
global brain ischemia/reperfusion rats].
AB - OBJECTIVE: To investigate the effect of penehyclidine hydrochloride on glutamate
(Glu)release and N-methyl-D-aspartate receptor (NMDAR)1 expression in hippocampus
CA1 with global cerebral ischemia/reperfusion rats. METHODS: Sixty male Wistar
rats were randomly allocated into three groups; group A received sham operation;
group B received ischemia/reperfusion; group C received penehyclidine
hydrochloride treatment (2 mg/kg) before ischemia/reperfusion (n=20). Global
cerebral ischemia was induced according to Pulsinelli-Brierley method. All
animals were divided into two experiments: (I) Microdialysis plus HPLC/FD were
used to detect Glu level after reperfusion 1 h, 3 h, 6 h. (II) After reperfusion
3 h, the animals were decapitated on ice and the brains were immediately removed
to detect NMDAR1 expression in CA1 area by immunohistochemistry. RESULTS: After
penehyclidine hydrochloride treatment, extracellular Glu level in CA1 were
significantly decreased compared with those of control group (P < 0.05 or 0.01);
Total integrated OD, average gray value and positive-cell area of NMDAR1 in CA1
were also significantly decreased compared with those of control group (P < 0.05
or 0.01). CONCLUSION: Penehyclidine hydrochloride might has protective effect in
hippocampus CA1 on global cerebral ischemia/reperfusion animals. The protective
mechanism might be involved in inhibiting Glu release and NMDAR1 expression.
PMID- 22097736
TI - [Effects of psychic ability and glutamine for different time sleep deprivation].
PMID- 22097735
TI - [Study on pancreas of type 1 diabetic mice induced by MLD-STZ using proteomics].
AB - OBJECTIVE: To get a better understanding of the mechanisms underlying type 1
diabetes mellitus, the differentially expressed pancreatic proteins from multiple
low-dose streptozotocin (MLD-SIZ) mouse and normal mouse were analyzed and
compared. METHODS: 20 male rats were separated into 2 groups (n=10): model mice
treated with MLD-STZ and normal mice,differences of pancreatic proteome among in
the two groups of mice, were analyzed by two dimensional polyacryamide gel
electrophoresis (2DE). Protein quantification was analyzed and the differentially
expressed spots were identified using mass spectrometry and MASCOT database
searching. RESULTS: Compared with control group, 23 proteins had changed
significantly in the model group, 8 proteins expression were up-regulated, 15
proteins expressions down-regulated significantly. Using MALDI-TOF-MS, 15
proteins with significant change were identified by peptide fingerprinting map
and the results were searched in MASCOT database. The function analyzed showed
that proteins with change were associated with metabolic, anti-oxidant,
structural, catalytic enzymes and chaperone, et al. CONCLUSION: Type 1 diabetes
is probably exerted via multi-target and multi-path mechanism. The proteins with
significant change are newly target for type 1 diabetes early diagnosis and
treatment.
PMID- 22097738
TI - [Effect of total flavonoids from Chrysanthemun morifolium on learning and memory
in aging mice].
AB - OBJECTIVE: To investigate the effect of total fiavonoids from Chrysanthemun
morifolium (TFCM) on learning and memory, and cholinergic system function in
aging mice. METHODS: The aging mice model was established by subcutaneous
injection of D-galactose. ICR mice were divided into five groups (n=10): contrA
group, model group, and TFCM groups. Mice in TFCM groups were given TFCM (50,100
or 150 mg/kg) by gastric irrigation once a day. Learning and memory ability were
evaluated by Morris water maze test. The MDA content, SOD and Ach E activity were
also measured. RESULTS: Compared with control group, learning and memory ability
declined in the D-galactose-induced aging mice; meanwhile MDA content and AchE
activity increased, SOD activity decreased. Treatment with TFCM (100, 150 mg/kg)
ameliorated the decrease in learning and memory ability of aging mice. Compared
with model group, TFCM (100, 150 mg/kg) could also decrease MDA content and Ach E
activity, and increase SOD activity in aging mice. CONCLUSION: TFCM may improve
the learning and memory ability of aging mice. The mechanism is involved in its
antioxidative characteristic and improvement of central cholinergic system
function.
PMID- 22097737
TI - [Effect of ERK1/2 signaling pathway on astragaloside IV protects H9c2 cells
against H2O2-induced oxidative injury].
AB - OBJECTIVE: To investigate whether Astragaloside IV(AST) protects H9c2 cells
against H2O2-induced oxidative injury partly through ERK1/2 signaling pathway.
METHODS: H9c2 cells oxidative injury was induced by 200 tmol/L H2O2 for 6 hours
to establish the H2O2-induced injury model of H9c2 cells. The viability of H9c2
cells was detected using MTf method. Activity of lactate dehydrogenase(LDH),
total-superoxide dismutase (T-SOD), manganese-superoxide dismutase (Mn-SOD) and
content of MDA (malondialdehyde) in the culture medium were detected using
colorimetric method. Western blot was performed to exam expression of p-ERK1/2
and ERK1/2 in H9c2 cells respectively. RESULTS: Under 200 micromol/L H2O2
treatment for 6 hours, the vaibility of H9c2 cells was suitable for the following
study. Compared with H2O2 group, the cell viability was increased significantly
in AST10 + H2O2 and AST2O + H2O2 groups (P < 0.01). The activity of LDH in the
culture medium was decreased significantly (P < 0.01). The activity of T-SOD and
Mn-SOD was increased significantly (P < 0.01), the content of MDA was decreased
significantly (P < 0.01). Treated with 10 mg/L or 20 mg/L of AST, expression of p
ERK1/2 in H9c2 cells injured from H2O2 was increased significantly (P < 0.01),
when PD98059 (inhibitor of ERK1/2) was added, the effects of AST were cancelled.
CONCLUSION: AST protects H9c2 cells against H2O2-induced oxidative injury partly
through ERK1/2 signaling pathway.
PMID- 22097739
TI - [Effects of nitric oxide on spontaneous pain reaction and neuronal apoptosis in
the spinal cord of rats induced by formalin inflammatory pain].
AB - OBJECTIVE: To observe whether formalin inflammatory pain can induce neuron
apoptosis in rats spinal cord or not and the effects of nitric oxide on the
spontaneous pain reaction and neuron apoptosis in the spinal cord of rats with
formalin inflammatory pain. METHODS: Formalin-induced paw licking time was used
to reflect the degree of spontaneous pain of rats, and the flow cytometry was
used to detecte neuron apoptosis rate of spinal cord. RESULTS: Compared with
control group, the apoptosis ratio of spinal neuron was increased in the rats
with formalin inflammatory pain, and peaked at 3d after formalin injection. Pre
intrathecal injection of NOS inhibitor L-NAME inhibited the nociceptive
behavioural response in double phases induced by fonnrmalin injection and cut
down the neuron apoptosis ratio of spinal cord of rats with formalin inflammatory
pain. Nociceptive behavioural response and incraesed neuron apoptosis in the
spinal cord were induced by intrathecal injection of L-Arg in normal rats.
CONCLUSION: The results indicated that formalin inflammatory pain could induce
the apoptosis of spinal neurons. The neurons apoptosis was the most significant
on the third day after formalin injection. The increased pruduction of NO in
spinal cord could promote the transmit of nociceptive information and participate
the induction of neuronal apoptosis during the formalin inflammatory pain.
PMID- 22097741
TI - [Application of an improved tracheal intubation method during the preparation of
myocardial infarction model in the mouse].
AB - OBJECTIVE: An improved tracheal intubation method was used in preparation of
myocardial infarction model in the mouse for increasing the success rate.
METHODS: The mice were intubated by an improved trachea cannula through oral
cavity. The left anterior thoracotomy performed. The myocardial infarction model
was made by legating the left anterior descending coronary artery in mouse. The
color of heart was observed, and electrocardiogram was recorded. The survival
rate and pathologic change were observed after two weeks of operation. RESULTS:
40 myocardial infarction model mice were made by improved trachea cannula. The
color of ventricles anterior wall had got madder red, and ST stages were raised
on II leads of electrocardiogram in all of model mice. After two weeks, 27 mice
were survival. The survival rate was 87.1% except for accidental death during
operation. The heart chamber expanded and ventricular wall became thin in
myocardial infarction mice by eyes. After pathological sections were stained, by
HE cardiac muscle fibers ruptured or lysed. There were some of necrosis of
myocardiac cells and many of infiltration of inflammatory cells. CONCLUSION:
Application of an improved tracheal intubation method simplified operation of
tracheal intubation during preparation of myocardial infarction model in the
mouse. The trauma was tinier than the other one, and achievement ratio of the
model preparation was improved.
PMID- 22097740
TI - [Changes of GABA-activated currents in isolated dorsal root ganglion neurons in
rats with neuropathic pain].
AB - OBJECTIVE: To investigate the changes of GABA-activated currents in isolated
dorsal root ganglion neurons in rats with neuropathic pain. METHODS: The
neuropathic pain model was established by chronic constriction injury (CCI) 7
days before electrophysiological-recording. The rat DRG neurons were
enzymatically dissociated. Whole-cell patch clamp technique was used to record
GABA-activated currents. The changes of currents of injured side and opposite
side were expected to compare with control group. RESULTS: (1) The currents of
injured side of CCI group were notablely decreased compared with control group
(GABA concentration, 0.1-1000 micromol/L). (2) By the contrast, opposite side
currents of CCI group increased significantly compared with those in injured side
and control group (GABA concentration, 0.01-1000 micromol/L). CONCLUSION: The
data indicates that the chronic constriction injury change both the function of
GABAA receptors of injury side and opposite side. The decrease of pre-synaptic
inhibition of GABA may be the possible reason of neuropathic pain.
PMID- 22097742
TI - [Design of power and data telemetry system utilizing Class-E amplifier for visual
prosthesis].
AB - A wireless transmission system for visual prosthesis is introduced. Power and
data are transmitted through inductive link between only one pair of coils, in
order to reduce the size of the device. The transmitter is based on Class-E power
amplifier due to its high efficiency, and a modulation circuit is added to
control the dc supply of the Class-E amplifier to achieve ASK modulation of data
with modulation depth of 25%. In addition, an experimental system is implemented,
and test shows that it sufficiently supports a 16-channel micro-stimulator on
power delivery and data transfer rate.
PMID- 22097743
TI - [An adaptive filtering algorithm applied to inhibit the interference from the
ventricular during atrial epicardial mapping experiment].
AB - This paper introduces an adaptive filtering algorithm based on the LMS principle
to inhibit the ventricular interference in the atrial epicardial mapping
experiments.
PMID- 22097744
TI - [A model of blood pressure measurement which based on NN with raised accuracy].
AB - To address the accuracy problem of the oscillometric method in electronic blood
pressure monitor, this paper introduces a more accurate new blood pressure
measurement model, which based on ANN. And simulation checking method is put
forward.
PMID- 22097745
TI - [Application of algebraic reconstruction technique of multi-source tomosynthesis
in dynamic reconstruction].
AB - To reduce the motion artifacts, a new scanning configuration is proposed for
tomosynthesis in dynamic reconstruction. In this new configuration, multiple x
ray sources are uniformly distributed on the circular scanning trajectory and
moving simultaneously. Numerical experiments are performed using two dynamic
digital phantoms and algebraic reconstruction technique. The reconstruction
images of single-source tomosynthesis and multi-source tomosynthesis are compared
and evaluated. The results show that multi-source tomosynthesis could reduce
artifacts effectively, thus improving image quality. The advantages of multi
source tomosynthesis in dynamic reconstruction are important to cardiac imaging
and respiratory imaging.
PMID- 22097746
TI - [An improved auto-window algorithm for MR image].
AB - When MR image's area is too small compared with the whole picture, the use of the
current auto-window algorithms usually gets poor clarity and contrast. In order
to address this problem, an improved auto-window algorithm is proposed in this
paper and can solve the problem effectively and get clear and rich layers of MR
images quickly and easily.
PMID- 22097747
TI - [Comparison of two coils for MR angiography based on the SDNR method].
AB - In this paper, signal-to-noise ratio (SNR) and signal different-to-noise ratio
(SDNR) methods were used to compare image quality using two different
radiofrequency coils. The two coil types included an eight-element phased-array
coil and a quadrature birdcage head coil with endcap and the comparison studies
performed on a uniform cylindrical phantom and volunteer respectively. The
results showed phased-array coil have advantages and proved effectiveness of the
method in the evaluation and selection of coils.
PMID- 22097748
TI - [Study on diagnostic methods of breathing disorders based on fuzzy logic
inference and the neural network].
AB - This paper descries a new non-invasive method for diagnosis of breathing
disorders based on adaptive-network-based fuzzy inference system (ANFIS). In this
method, PetCO2, SpO2 and HR are chosen as inputs, and the breathing condition is
selected as output ofANFIS. The inputs and output are then classified into fuzzy
subsets by experts' knowledge. After, the fuzzy IF-THEN rules are built up
according to the corresponding membership functions by set up of fuzzy subsets.
The neural network was finally established and the membership functions and fuzzy
rules were optimized by training. The results of experiment shows that ANFIS is
more effective than BP Network regarding the diagnosis of breathing disorders.
PMID- 22097749
TI - [Study for lung sound acquisition module based on ARM and Linux].
AB - A acquisition module with ARM and Linux as a core was developed. This paper
presents the hardware configuration and the software design. It is shown that the
module can extract human lung sound reliably and effectively.
PMID- 22097750
TI - [Design of a medical folding fridge].
AB - This article introduces a design of a medical folding fridge, which consists of
three major components, base, folding frame and insulated cover. The base has a
cooling system. The frame and cover are expanded during normal use and folded
during storage or transportation. The device is compact, durable, transportable
and well environmental adaptable. The system design is proved proper and the
temperature inside is reliable. It is very suitable for temperature sensitive
supplies stored in the medical emergency field.
PMID- 22097751
TI - [Summary about the progress and specifications of FDA regarding intravascular
catheters].
AB - This article summarizes the progress and specifications of FDA regarding
intravascular catheters, and hope this would be helpful to people concerned.
PMID- 22097752
TI - [Ethic review on clinical experiments of medical devices in medical
institutions].
AB - Clinical experiments are always used to evaluate the safety and validity of
medical devices. The experiments have two types of clinical trying and testing.
Ethic review must be done by the ethics committee of the medical department with
the qualification of clinical research, and the approval must be made before the
experiments. In order to ensure the safety and validity of clinical experiments
of medical devices in medical institutions, the contents, process and approval
criterions of the ethic review were analyzed and discussed.
PMID- 22097753
TI - [Some perspectives of management in the use of medical devices].
AB - The improper selection, management or use of medical devices may lead to a
disproportionate rise in the cost of healthcare, which has concerned many
countries. Some perspectives of management in the use of medical device have been
widely discussed in 1st global forum on medical devices held by the World Health
Organization on Thailand in 2010. This paper presents some main perspectives
about this.
PMID- 22097754
TI - [Analysis of FDA medical device recall in 2005-2006].
AB - OBJECTIVES: To analyze medical device recall information of FDA U.S. and to
address the safety issue of medical device. METHOD: For each report, the recall
class, product name, product class and recall reason were recorded and classified
for analysis. RESULTS: 3093 reports were identified; the recalling reasons of the
three classes were significantly different. It is found that the main recall
reason for medical material is package problems (39%) and design defectiveness
(19%), for medical tools are design defectiveness (27%) and package problems
(26%), and for medical equipment are design defectiveness (45%) and system
failures (39%). The number of software recalled is 109. The main recall reason
for high risk equipment is design defectiveness, and the I class level of high
risk equipment recalled was decline in 2006 compared to that in 2005. CONCLUSION:
Monitoring and application of medical device recall information should be
strengthened, and the objective law of medical device safety issue should be
summed up, in order to provide reference for supervision of medical device.
PMID- 22097757
TI - [Emergency medical equipment safety and risk management].
AB - This paper analyzes emergency medical devices, and puts forward the types of risk
management mode, risk analysis, risk assessment (including the risk score
calculation), and risk control points. Emergency medical equipment which has the
high risk and is directly related to the patient's life safety, should be taken
seriously.
PMID- 22097755
TI - [Research of the transformation and implementation of IEC60601-1 3rd edition in
China].
AB - This paper discussed the possible influence of the transformation and
implementation of IEC60601-1 3rd edition in China, and accordingly proposed some
preliminary suggestions.
PMID- 22097756
TI - [Review and experience on inter-laboratory comparisons of medical device
testing].
AB - By Reviewing the Inter-laboratory Comparisons of Medical Device Testing for 5
times, the present paper summarizes several experience and thoughts in
participating in inter-laboratory comparisons, and offers some suggestions.
PMID- 22097758
TI - [A perspective of YY0709-2009 testing of alarm system for medical use].
AB - This paper introduces the meaning of YY0709-2009's issue and its scope. It
emphasizes the details of visual and audible alarms. Reasonable suggestions to
comply with these requirements are provided at the end of the article. It will be
of help to understand YY0709-2009 and to manufacture or test the product under
YY0709-2009.
PMID- 22097759
TI - [fMRI functional connectivity analysis of anxiety disease patients based on
spatiotemporal Lyapunov exponent method].
AB - Attempting to use nonlinear spatiotemporal Lyapunov exponent to characterize fMRI
brain functional connectivity of anxiety disease patients, we adopted the methods
of nonlinear spatiotemporal Lyapunov exponent and linear correlation coefficients
to analyses fMRI datum of 11 anxiety disease patients and 11 healthy volunteers,
respectively. The results show that there are significant normalized variance
exponent (NVE) differences in Inferior Frontal Gyrus (rIFG) and Medial Frontal
Gyrus (MFG) between the two groups (P<0.01). And correlation coefficients shows
significant differences (P<0.05). The spatial-temporal Lyapunov exponent method
had higher sensitivity than the correlation coefficient method in the
characterization of functional connectivity; Anxiety disease patients have
abnormal functional connectivity in rIFG and MFG during our experiment.
PMID- 22097760
TI - [Some special problems about the usement of wedge field in radiation therapy].
AB - This article presents and discusses some special problems about the use of wedge
field in radiation therapy according to the practice of the author. Thus we
attain the rules of quality assurance in radiotherapy by WHO.
PMID- 22097762
TI - [An implementation of constructing medical data integration platform based on web
service].
AB - Using the technology of Web Service and the Development tools of MyEclipse, we
design and realize a Medical Data Integration Platform. The plan can use the
existing resources of the hospital adequately, simplify the integration of
Medical Data, and have the nice extensibility and maintainability.
PMID- 22097761
TI - [A preliminary study for starshot analysis during quality control of medical
linacs].
AB - OBJECTIVE: This study was to discuss the influencing factors of Starshot analysis
during the quality control of medical linacs. METHODS: During the quality control
of a Siemens medical linac, Starshot analysis were carried out using FilmQA
software and KODAK low sensitive films. The influence of setting to the results
of Starshot analysis were explored, including Jaw width, shit of gantry angles,
center shifts of Jaws, Beam energy and scan resolution. RESULT: The offset of
Jaws's center had effect on the analysis result and the center offset was
linearly related to the result. The influence of Beam energy and scan resolution
was much smaller, and the maximum deviation was less than 0.2mm. The deviation
for gantry angle at 4 degree was a little larger than 2 degree which deviation
was less than 0.1mm.The Jaw width had greater effort on the analysis result, and
two trends were found in 2 tests. CONCLUSION: In clinic, if the result of
Starshot analysis was out of tolerance, a careful check to multi factors should
be made before the last determination.
PMID- 22097763
TI - [Malfunction analysis and preventive measures of CT equipment].
AB - After the analysis of the service and maintenance technology of CT equipment
under the existing technical condition we conclude that although the CT equipment
malfunctions vary with different manufacturer, they are predictable and
preventable, based on the identical CT principle and similar construction of
system.
PMID- 22097765
TI - [Advances in clustered regularly interspaced short palindromic repeats--a
review].
AB - The recently discovered Clustered Regularly Interspaced Short Palindromic Repeat
(CRISPRs) can protect bacteria and archaea with adaptive and heritable defense
systems against the invasion of phage- and plasmid- associated mobile genetic
elements. Here, we review the structure, diversity, mechanism of interference and
self versus non-self discrimination of CRISPR systems. We also discuss the
potential applications of this novel interference system.
PMID- 22097764
TI - [Discovery and research progress of endophytic bacteria in the root nodules of
legumes--a review].
AB - Recently, some research reports showed varied endophytic bacteria in the root
nodules of some legumes, which attracts great interest in research field of
rhizobia. Here, we reviewed the discovery, identification of some endophytic
bacteria (Agrobacterium, non-symbiotic rhizobia, and other bacteria) in root
nodules and their influence on symbiosis or plant growth, to understand the
microecosystem of root nodule and to extend the field of rhizobia research.
PMID- 22097767
TI - [Studies on the actinobacterial diversity in aiding lake sediments].
AB - OBJECTIVE: This study aims at investigating the diversity of actinobacteria in
Aiding Lake, a hypersaline lake and the lowest land point in China. METHODS: The
diversity of actinobacteria in the sediment from Aiding Lake was investigated by
culture--independent method based on phylogenetic analysis of 16S rRNA gene
sequences and selective isolation. Specific primers were used to amplify the
actinobacterial 16S rRNA gene, and corresponding clone libraries were constructed
for the sediment samples. Different clones selected on the basis of Hae III
digestion patterns were sequenced. Nine selective media with different salinities
were used to isolate actinobacteria from the sediment samples. RESULTS: The
analysis of 16S rRNA gene sequences showed that 273 clone sequences belonged to
subclasses Actinobacteridae (208), Acidimicrobidae (13) and Rubrobacteridae (52).
The dominant actinobacteria was genus Rothia, which accounted for 37% of total
clones. The similarity between 45.8% of 273 detected sequences and published
sequences were less than 97%, which might represent new taxa. Some sequences,
which formed several distinct clades in phylogenetic tree may represent new
taxonomical groups of actinobacteria. Fifty-five strains were isolated by
different selective media. They belonged to six suborders of the order
Actinomycetales, of which Streptomyces and Nocardiopsis were the dominant groups.
Six potential new species were obtained. CONCLUSION: Aiding Lake harbors abundant
actinobacteria, including large number of unknown actinobacterial groups.
PMID- 22097766
TI - [Central metabolism of anammox bacteria--a review].
AB - Anaerobic ammonium oxidation (anammox) is a biological process by which ammonium
is oxidized to dinitrogen gas by using nitrite as the electrons acceptor.
Anaerobic ammonium-oxidizing bacteria play an important role in nitrogen removal
from wastewater and global N-cycle. The study of metabolism of anammox bacteria
will help us understand the anammox mechanism and develop anammox biotechnology.
Anammox bacteria are chemoautotrophic bacteria that use CO, or HCO3- as carbon
source and obtain their energy from the conversion of ammonium and nitrite into
dinitrogen gas. Hydrazine has been detected as an intermediate in the anammox
pathway, while hydroxylamine and nitric oxide have not been detected yet. The
genomic data indicate that anammox bacteria fix carbon dioxide through acetyl-CoA
pathway. The proposed anammox pathway is consistent with the available
experimental data, thermodynamical calculation and biochemical determination and
as well as the Ockham's razor principal.
PMID- 22097768
TI - [Development of a genetic modification system for caerulomycin producer
Actinoalloteichus sp. WH1-2216-6].
AB - OBJECTIVE: In order to enable the caerulomyicn biosynthetic study by in vivo gene
disruptions, it is crucial to develop a genetic modification system for the
producer Actinoalloteichus sp. WH1-2216-6. METHODS: The spore germination timing
and the concentration of MgSO4 in the medium were investigated for the optimal
conjugal transfer of exotic pSET152 DNA into Actinoalloteichus sp. WH1-2216-6.
Using the PCR-targeting system, we disrupted a putative caerulomycin 2,3
dihydroxybenzoate-AMP ligase gene by "in-frame deletion" in E. coli, to afford
the cosmid pCSG2104, which was then transferred into Actinoalloteichus sp. WH1
2216-6 by conjugation under optimized conditions. RESULTS: The putative
caerulomycin 2,3-dihydroxybenzoate-AMP ligase in Actinoalloteichus sp. WH1-2216-6
was successfully disrupted by in-frame replacement with the aac3IV gene cassette.
The resulting mutant strain was unable to produce caerulomycins. CONCLUSION: The
presence of high concentration of MgSO4 in the medium can promote the conjugation
efficiency between E. coli and Actinoalloteichus sp. WH1-2216-6 and lead to the
successful development of a genetic modification system for Actinoalloteichus sp.
WH1-2216-6, enabling the functional characterization of caerulomycin biosynthetic
genes in vivo. A positive example was provided for other Actinobacteria
recalcitrant to genetic modification.
PMID- 22097769
TI - [Influence of predominant aerobic bacteria isolated from different healthy
animals on daidzein biotransforming capacity by co-culture with different
daidzein biotransforming bacteria].
AB - OBJECTIVE: To investigate the influence of isolated predominant aerobic bacteria
on daidzein biotransformation capacity by co-culture with daidzein
biotransforming bacteria. METHODS: Predominant aerobic bacteria were isolated
from diluted feces solutions of different healthy animals, including ICR mice,
Luhua chicken, Landrace pigs and Rex rabbits. Daidzein biotransforming bacteria
were anaerobically co-cultured with the isolated predominant aerobic bacteria and
the cultural broth was extracted and detected by high performance liquid
chromatography (HPLC). RESULTS: Twenty two predominant aerobic bacteria were
isolated from the four different healthy animals mentioned above. Based on the
analyses of 16S rRNA gene sequences, morphology study and relative biophysico
biochemical characteristics, all 22 isolates belong to the 5 genera, i. e.
Escherichia (10) , Proteus (5) , Enterococcus (4), Bacillus (2) and Pseudomonas
(1). Co-culture between predominant aerobic bacteria and daidzein biotransforming
bacteria was carried out under anaerobic conditions. The results showed that the
biotransformation capacity was totally lost when different daidzein
biotransforming bacterium was co-cultured with either Bacillus cereus ( R1 ) or
Pseudomonas aerginosa (R5) and continuously inoculated for 2 or 3 passages.
However, no obvious influence was observed when daidzein biotransforming bacteria
were co-cultured with all the other isolated predominant aerobic bacteria except
R1 and R5. In addition, when strain R1 and R5 was co-cultured with the intestinal
microflora of the ICR mice anaerobically and continuously inoculated for 5
passages, about 90% of the co-cultures totally lost the activity to convert
daidzein to equol effectively. CONCLUSION: Different predominant aerobic bacteria
showed different influence on daidzein biotransformation capacity after being co
cultured with different daidzein biotransforming bacteria. Among all the isolated
predominant aerobic bacteria used for co-culture, both Bacillus cereus ( R1) and
Pseudomonas aerginosa (R5) were detected significant inhibition on
biotransformation activity of different daidzein biotransforming bacteria.
PMID- 22097770
TI - [High expression and characterization of N-acyl-homoserine lactonase-xylanase
recombinant protein].
AB - OBJECTIVE: The fusion protein SL2B with both xylanase and N-acyl-homoserine
lactonase activities was expressed in Pichia pastori. Characterization of the
purified xylanase and N-acyl-homoserine lactonase fusion protein SL2B was
investigated. METHODS: The fusion gene sl2b was amplified from the N-acyl
homoserine lactonase gene aiiA-B546 and the xylanase gene xynAS27cd via overlap
PCR technique. After the recombinant vector pPIC9/sl2b was transformed into P.
pastoris, transformants with both xylanase and N-acyl-homoserine lactonase
activity were screened. The purified SL2B was obtained with ammonium sulfate
precipitation and molecular sieve. Both N-acyl-homoserine lactonase and xylanase
activities of SL2B were characterized. RESULTS: The purified SL2B showed that the
xylanase had optimal pH and temperature at pH 6.5 and 60 degrees C, respectively.
The enzyme was stable between pH 6.0 and 8.0, retained over 80% enzyme activity
between 50 and 65 degrees C. It resisted various neutral proteases and chemical
reagents. With oat spelt xylan as substrate, the Km value of SL2B was 2.9 mg/L.
The N-acyl-homoserine lactonase had optimal pH and temperature at pH 8.0 and 30
degrees C, respectively. The enzyme was stable between pH 4.0 and 10.0, retained
over 80% enzyme activity between 0 and 50 degrees C. It resisted various neutral
proteases and chemical reagents. The fusion protein can hydrolyze many N-acyl
homoserine lactones substrates. With N-(3-oxo-octanoyl)-L-homoserine lactone as
substrate, the Km value of SL2B was 0.050 mmol/L. CONCLUSION: High level
expression is achieved by fusing N-acyl-homoserine lactonase to the xylanase.
PMID- 22097771
TI - [Identification and denitrification of an aerobic bacterium].
AB - OBJECTIVE: Denitrifying bacteria play an important role in the biological
nitrogen removal process, especially the aerobic denitrifying bacteria. However,
there are few studies on aerobic denitrifying bacteria. The present study aimed
at the isolation of aerobic denitrifying bacteria with high ammonium and nitrite
nitrogen removing ability from environmental samples, and its phylogeny and
denitrifying characteristics. METHODS: Based on the aerobic denitrifying
activity, ammonium and nitrite nitrogen removing ability, the strains were
isolated from sludge, water and sediment in a eutrophicated pond. A strain with
the highest activities was identified according to its morphological,
physiological and biochemical properties and phylogenetic analysis of its 16S
rRNA sequence. By using NO(3-)-N, NH(4+)-N and NO(2-)-N as the sole nitrogen
source respectively, its denitrifying characteristics, and the effects of culture
conditions such as initial pH of medium, temperature, carbon source, shaking
speed on the ability of removing ammonium and nitrite nitrogen, were investigated
under aerobic condition. RESULTS: Among the isolated strains, strain C-4 showed
the highest ability of removing ammonium and nitrite nitrogen. Strain C-4 was
identified as Acinetobacter sp.. Under the conditions of sodium citrate as carbon
source, temperature 30 degres C, shaking speed 120 r/min, cell age of 18 h, pH
8.5 for 200 mg/L NH(4+)-N medium and pH 7.5 for 100 mg/L NO(2-)-N medium, the net
removal efficiency of nitrogen were 65.8% and 47.8% after 15 h and 12 h,
respectively. CONCLUSION: An aerobic denitrifying strain Acinetobacter sp. C-4
(HQ896038) was isolated from water pond, and it exhibited high net removal
efficiency of nitrogen in relative media. The net removal efficiency of nitrogen
of strain C-4 was 73.04% in dealing with a eutrophicated pond water.
PMID- 22097774
TI - Biodegradation of pyridine and quinoline by two Pseudomonas strains.
AB - OBJECTIVE: To study the degradation of pyridine and quinoline by two Pseudomonas.
METHODS: Based on the analysis of 16S rRNA gene sequence homology and the
intergenic spacer region sequence, the two isolates were identified. The
degradation capability of pyridine and quinoline was determined according to
spectrophotometry and Electrospray Ionisation/Mass Spectrometry (ESI/MS). The
degrading plasmids were detected by plasmid curing and the possible degrading
genes were also cloned. RESULTS: The two isolates were identified as Pseudomonas
and nominated XJUHX-1 and XJUHX-12. The two Pseudomonas were tolerant with
pyridine and quinoline and two and four possible metabolites were detected in the
culture medium containing quinoline and pyridine, respectively. The degrading
capability of curing plasmids was lower than the crude isolates. The gene
segments coding for the NADH (acceptor) reductase component OxoR for quinoline
degradation and nitrogenase reductase (NifH) of denitrification for pyridine
degradation were amplified from the genome of XJUHX-1 and XJUHX-12, both were
cloned and expressed in E. coli BL 21 producing recombinant proteins with
molecular mass of 43 kDa and 16 kDa. CONCLUSION: The two isolates could degrade
pyridine and quinoline respectively.
PMID- 22097772
TI - [Observation by transmission electron microscope and identification of endophytic
bacteria isolated from Bursaphelenchus xylophilus and B. mucronatus].
AB - OBJECTIVE: The pine wood nematode, Bursaphlenchus xylophilus, morphologically
similar to B. mucronatus, is the pathogen of pine wilt disease. This study was
focused on the endophytic bacteria present in these nematodes. METHODS: Detailed
observations were made on sections of all parts of the two types of nematodes by
transmission electron microscope. The nematodes were surface-sterilized by
soaking in 1% mercuric chloride and antibiotic mixture, and then ground and
cultured on nutrient agar plate. The physiological and biochemical
characteristics combined with molecular characterization of bacteria were
analyzed and identified. RESULTS: Endophytic bacteria were found in intestines of
the two nematodes by transmission electron microscope observations. On the basis
of surface sterilization, total three bacteria strains were obtained from B.
xylophilus and B. mucronatus. These bacteria belong to Stenotrophomonas and
Ewingella. CONCLUSION: It confirms the presence of endophytic bacteria in
Bursaphelenchus xylophilus and B. mucronatus and these bacteria may play a
physical and ecological roles in nematodes.
PMID- 22097773
TI - [Field resistance of Phytophthora melonis to metalaxyl in South China].
AB - OBJECTIVE: Phytophthora melonis is the casual agent of wax gourd and cucumber
Phytophthora blight which becomes a constraint for sustainable production of the
related crops. Metalaxyl is one of the principal fungicides for controlling the
disease now. The objectives of the present study were: (1) to investigate the
baseline sensitivity and field resistance of P. melonis to metalaxyl in South
China; (2) to test the occurrence of metalaxyl-resistant mutants from metalaxyl
sensitive wild type strains exposed to the fungicide; and (3) to monitor the
development of metalaxyl resistance in P. melonis population. METHODS: Over 400
samples of wax gourd and cucumber Phytophthora blight were collected from Guangxi
Zhuang Autonomous Region and Guangdong province during 2007-2010, and 193 strains
of P. melonis were isolated and purified. The sensitivity of the isolated strains
to metalaxyl was tested using mycelial growth rate method in vitro and floating
leaf-disk method in vivo, respectively. The metalaxyl-sensitive strains were
induced on PDA plates containing 10 microg/mL metalaxyl. RESULTS: The sensitive,
moderately resistant and resistant strains were recorded as 29.0% , 18.1% and
52.8%, respectively, among 193 tested strains. The frequency and level of
resistance of P. melonis from Guangdong were higher than that from Guangxi. The
strains from cucumber was generally more resistant to metalaxyl than those from
wax gourd. The metalaxyl-resistant strains were frequently detected as
predominant populations in most of the sampling sites and the highest resistance
index (4226.9) was confirmed. Metalaxyl-resistant (M1r) mutants could be isolated
from approximately 60% of the sensitive wild-type strains. The resistance level
of the M mutants was 189-407 times higher than that of their sensitive parental
strains. The EC50 values of 9 sensitive strains from a sampling site without a
record of phenylamide fungicide application ranged from 0.0429 to 0.5461
microg/mL. Their mean EC50 value (0.3200 +/- 0.1617 microg/mL) was considered as
the baseline sensitivity of P. melonis to metalaxyl in South China. CONCLUSION:
Metalaxyl-resistant strains universally occur in South China, especially in the
vegetable-growing areas with a longer history of metalaxyl application. The
establishment of the baseline sensitivity of P. melonis to metalaxyl will provide
a science-based guide for evaluating and further monitoring resistance of the
pathogen to the fungicide.
PMID- 22097775
TI - [Insertion of glycoprotein gene between P and M gene influences the pathogenicity
of the rabies virus Flury LEP].
AB - OBJECTIVE: To study the biological characteristics and pathogenicity of a
recombinant rabies virus Flury LEP (low egg passage) that has two glycoprotein
genes (G gene). METHODS: By using reverse genetics techniques, we constructed a
recombinant virus Flury LEP that has an additional G gene between P and M gene
(rLEP-PGM). Then we studied the biological characteristics of the recombinant
virus and its pathogenicity on mice. RESULTS: The in vitro growth characteristic
of rLEP-PGM were similar to the LEP strain. Western blot analysis of glycoprotein
expression showed that the glycoprotein expression level of rLEP-PGM was 1.5
times higher than LEP. The LD50 of rLEP-PGM and LEP was 3 FFU and 1 FFU by
intracerebral injection. However, the LD50 of intramuscular injection was 4 x
10(4) Lg FFU and 3.2 x 10(5) Lg FFU, respectively. CONCLUSION: Insertion of an
additional G gene between P and M gene can significantly raise the expression
level of glycoprotein and enhance the ability to invade central nervous system
from peripheral sites.
PMID- 22097776
TI - [High-throughput screening of human pancreatic alpha-amylase inhibitors].
AB - OBJECTIVE: Targeting the important enzyme in human glucose metabolic pathway, we
established a high throughput screening model for human pancreatic alpha-amylase
inhibitors. METHODS: Pichia pastoris expression system was used to clone and
express the human pancreatic alpha-amylase; we established the alpha-amylase
inhibitor screening model using the catalytic properties of enzyme; this model
was applied in screening of actinomycete' metabolites; the taxonomic status of
positive strains were analyzed by constructing 16S rRNA phylogenetic tree.
RESULTS: We cloned and expressed the intact gene of human pancreatic alpha
amylase successfully; the high-throughput screening model of alpha-amylase
inhibitors was established; nearly 2000 actinomycete' metabolites were screened,
14 alpha-amylase inhibitor producing strains were obtained finally, and showed
taxonomically rich diversity. CONCLUSION: The alpha-amylase inhibitor high
throughput screening model had high practical value for developing new
hypoglycemic drugs.
PMID- 22097777
TI - [Comparison of three protein extraction methods for proteomic analysis of
Alexandrium tamarense with two-dimensional electrophoresis].
AB - OBJECTIVE: In order to find the best extraction method for proteins of
Alexandrium tamarense for two-dimensional electrophoresis (2-DE) analysis.
METHODS: Three methods for extracting proteins from A. tamarense were compared,
including trihydroxymethyl aminomethane (Tris-HCl ) buffer extraction,
trichloroacetic acid (TCA)/acetone precipitation and lysis buffer extraction.
Alga was cultivated in normal f/2 media (control) and supplemented with algicidal
substances. Proteins obtained using the best extraction method were separated
with 2-DE. Protein-expression differences were identified using matrix-assisted
laser desorption/ionization-time of flight ( MALDI-TOF) mass spectrometry (MS).
RESULTS: Among the three protein extraction methods, lysis buffer extraction
shows the best detection of the number and quality of protein spots with a clear
background. Then, the lysis buffer extraction method was successfully applied to
profiling protein expression in algicidal substances stress conditions and 14
differential expression proteins were identified using MALDI-TOF/MS. CONCLUSION:
Lysis buffer extraction was the most effective protein extraction method for
Alexandrium tamarense.
PMID- 22097779
TI - [Isolation and identification of a Bacillus amyloliquefaciens YB-3 against
Rhizoctonia solani].
AB - OBJECTIVE: An antagonistic bacterial strain YB-3 against Rhizoctonia solani was
isolated from soils. METHODS: Antagonistic strains were isolated by a reporter
strain method. YB-3 was identified based on morphology observation, physiological
and biochemical characterizations, Biolog, G + C content and 16S rDNA sequence
analysis. The antagonistic spectrum and the properties of the inhibitor produced
by Bacillus amyloliquefaciens YB-3 against plant pathogenic fungi and bacteria
were investigated by means of plate two-way cultivation and disc diffusion
method. RESULTS: The strain YB-3 against Rhizoctonia solani was identified as
Bacillus amyloliquefaciens. The antagonistic results showed that it had
distinctively inhibitive effects on 14 pathogenic fungi and 7 bacteria. In
addition, it also had inhibitive effects on strains from genus Bacillus to which
YB-3 belongs. Antagonistic properties of B. amyloliquefaciens YB-3 was
thermostable, acid resistant, and protease sensitive. CONCLUSION: Bacillus
amyloliquefaciens YB-3 was isolated and characterized which had distinctively
inhibitive effects on Rhizoctonia solani and had broad-spectrum, highly efficient
to plant pathogens.
PMID- 22097780
TI - [Application of molecularly imprinted solid-phase extraction on drug residues in
animal source foods].
AB - Due to the superior molecular recognition and good physical and chemical
stability, the molecularly imprinted materials have gained more and more concern
recently in extrication and separation fields. Using the imprinted materials as
adsorbents, the molecularly imprinted solid-phase extraction (MISPE) allows
selective extraction of the target molecules and its analogues from complex
matrices, and is suitable for complex sample preparation and enrichment
processes. This article describes the principle, latest progresses and parameters
of molecularly imprinted solid-phase extraction and summarizes its extraction and
applications for the determination of drug residues of animal source foods in
recent years. Moreover, the shortcomings and future prospects of molecularly
imprinted solid-phase extraction are also mentioned.
PMID- 22097778
TI - [Establishment and evaluation of a real-time IAC-PCR for the detection of
Salmonella].
AB - OBJECTIVE: The aim of this study was to establish a new EvaGreen real-time IAC
PCR for the rapid detection of Salmonella. METHODS: We used Salmonella genomic
comparison analysis to mine Salmonella-specific targets, and Primer Premier 5.0
to design primers which were evaluated by specificity and sensitivity tests.
RESULTS: We obtained a Salmonella-specific gene that encodes putative type III
secretion protein (ssaQ), and specific primers (SsaQ6L/SsaQ6R) were designed
based on this gene. Then we established IAC-PCR and EvaGreen real-time IAC-PCR
assays, which showed 100% inclusivity and 100% exclusivity on all strains tested.
Their detection limits of purified Salmonella genomic DNA were 14.9 copies/PCR
and 2.76 copies/PCR respectively. Artificial contamination assays showed that
Salmonella could be detected after 10 hours and 8 hours enrichment when the
original bacterial concentration was 4.2 cfu/10 mL. CONCLUSION: A new EvaGreen
real-time IAC-PCR with high specificity and sensitivity was successfully
developed for the rapid detection of Salmonella.
PMID- 22097781
TI - [Development of rapid detection techniques for food safety].
AB - In recent years, food safety incidents are increasing due to the environmental
pollution, abuse of additives, improper storage and so on. Rapid detection
techniques are fast, simple and efficient for the requirements of preliminary
screening to food security. In this paper, the rapid detection methods for food
safety, including enzyme inhibition, biosensors and immunoassay, are reviewed,
and the prospects of the future developments are expected.
PMID- 22097782
TI - A perspective on high throughput analysis of pesticide residues in foods.
AB - The screening of pesticide residues plays a vital role in food safety.
Applications of high throughput analytical procedures are desirable for screening
a large number of pesticides and food samples in a time-efficient and cost
effective manner. This review discusses how sample throughput of pesticide
analysis could be improved with an emphasis on sample preparation,
instrumentation and data analysis.
PMID- 22097784
TI - [Developments for the analysis of trans fatty acids in food products].
AB - More attention has been paid to trans fatty acids (TFAs) because of its influence
on the human health. Adequate analytical tools are required for determining the
contents of TFAs in labeling food products. Several different analytical methods
such as spectrometry, chromatography, mass spectrometry and electrophoresis have
been developed for analyzing TFAs in food products. This paper reviews the
analytical methods developed for identifying and quantifying TFAs in oils and
fats, describes the related merits of these approaches and their applications in
food analysis.
PMID- 22097783
TI - [Application of gene detection technology in food species identification].
AB - It is critical to determine the biological identity of all ingredients in food to
ensure its safety and quality. Modern gene detection technology makes species
identification in food more accurate, sensitive and rapid. A comprehensive review
on its current applications in the last decade and the future perspective in food
species identification is presented, including a brief introduction of gene
detection methods, and their applications in plant-originated food, animal
originated food, high value-added food and highly processed food.
PMID- 22097785
TI - [Recent applications of solid-phase extraction techniques for analysis of trace
residues and contaminants in food].
AB - The determination of trace residues and contaminants in food often requires
extensive sample extraction and preparation prior to instrumental analysis. Due
to the multiplicity and complexity of food matrices, it is not possible to use
only one sample preparation technique. This review introduces the application of
some new techniques of solid-phase extraction (SPE) in recent years, such as
solid-phase microextraction (SPME), stir-bar sorptive extraction (SBSE), matrix
solid-phase dispersion (MSPD), molecularly imprinted solid-phase extraction
(MISPE) , immunoaffinity-based solid-phase extraction (IASPE), monolithic solid
phase extraction, carbon nanotube solid-phase extraction, in the analysis of
trace residues and contaminants in food. At last, future developments in this
field are outlined.
PMID- 22097786
TI - [Determination of five aflatoxins in Chinese patent medicines and medicinal herbs
by immunoaffinity extraction coupled with ultra-high performance liquid
chromatography-tandem mass spectrometry].
AB - A method for the determination of five aflatoxins (B1 , B2, G1 , G2, M1 ) in
Chinese patent medicines and medicinal herbs by immunoaffinity extraction coupled
with ultra-high performance liquid chromatography-tandem mass spectrometry (UHPLC
MS/MS) was developed. The samples were extracted with 80% (v/v) methanol-water
solution, followed by stepwise purification using an immunoaffinity column. The
target compounds were then eluted with methanol. The extract was filtered then
analyzed. With the gradient elution using a binary mobile phase containing of
0.1% formic acid-5 mmol/L ammonium acetate solution and methanol, the five
aflatoxins were separated on an UHPLC BEH C18 column, followed by positive
electrospray ionization and multi-reaction monitoring (MRM) provided by a triple
quadrupole tandem mass spectrometer. The limits of detection for the standard
solution of aflatoxins ranged from 0.05-0.3 microg/L. The linear response was
observed in the spiked concentration range of 0.5-100 microg/L with the
correlation coefficients higher than 0.99. The spiked recoveries were within
62.3%-82.4% at the spiked levels of 1.0 microg/kg and 5.0 microg/kg for all the
five aflatoxins with the relative standard deviations (RSDs) below 10% (n = 6).
The developed method is sensitive, accurate, and reproducible with the reasonable
recoveries, and can be applied to the determination of the 5 aflatoxins in the
Chinese traditional patent medicines, medicinal herbs as well as other similar
complex matrices.
PMID- 22097787
TI - [Determination of 61 organophosphorous pesticide residues in fruits, vegetables,
milk, vegetable oils and animal muscles by dispersive solid-phase extraction and
ultra performance liquid chromatography-tandem mass spectrometry].
AB - A dispersive solid-phase extraction coupled with ultra performance liquid
chromatography-tandem mass spectrometry (UPLC-MS/MS) method was developed for the
determination of 61 organophosphorous pesticide residues in fruits, vegetables,
milk, vegetable oils and animal muscles. The fruit, vegetable and milk samples
were extracted with acetonitrile and separated with salting out method; vegetable
oil samples were dissolved by n-hexane, and extracted with acetonitrile; animal
muscle samples were extracted with acetonitrile-water assisted by n-hexane and
separated with salting out method. And then the supernatants were purified using
dispersive solid-phase extraction (C18 and primary secondary amine powder) prior
to the UPLC-MS/MS analysis. The analytes were indentified in positive
electrospray ionization (ESI+) and multiple reaction monitoring (MRM) mode. The
matrix-matched external standard calibration curves were used for quantitative
analysis. Under the optimal conditions, the detection limits (S/N > or = 10) of
the method were 0.01 mg/kg. The recoveries were 62.8%-107%, and the relative
standard deviations (RSDs) were in the range of 4.2%-19%. The method has the
advantages of easy, fast, and more sensitive, and can meet the requirement of the
determination of organophosphorous pesticide residues in the foods.
PMID- 22097788
TI - [Stir rod sorptive extraction with poly(methacrylic acid-co-ethylene
dimethacrylate) as coating and its application to the analysis of sulfonamides in
milk samples].
AB - A poly(methacrylic acid-co-ethylene dimethacrylate) polymer(poly(MAA-co-EDMA))
was prepared via in-situ polymerization on the outside surface of vial glass
insert and used as stir rod sorptive extraction (SRSE) coating. The extraction
performance of the polymer coating toward four sulfonamides (SAs) was
investigated. The effects of several parameters to SRSE, such as the pH value,
inorganic salt and organic phase concentrations of the sample matrix, were
investigated. It demonstrated that the sulfonamides were captured on the poly(MAA
co-EDMA) coating mainly through cation-exchange and hydrophobic interactions. A
novel approach is presented for the determination of four sulfonamide
antibacterial residues in milk samples by coupling SRSE to liquid chromatography
and electrospray ionization mass spectrometry (SRSE/LC-ESI-MS). In this method,
the detection limits (S/N = 3) of 0.11-0.52 microg/L and quantification limits
(S/N = 10) of 0.35-1.72 microg/L were obtained. The method showed good linearity
in the range of 1-500 microg/L. Good method reproducibility was also found by
intra- and inter-day precisions, yielding the relative standard deviations (RSDs)
less than 11.3%. The results demonstrated that the proposed method is simple,
sensitive, low cost and suitable for the determination of sulfonamides in milk
samples.
PMID- 22097789
TI - [Screening method for 29 forbidden or limited synthetic pigments in cheese by
liquid chromatography/quadrupole time-of-flight mass spectrometry].
AB - A screening method for 29 forbidden or limited synthetic pigments in cheese
samples was established by liquid chromatography/quadrupole time-of-flight mass
spectrometry (LC/Q-TOF MS). The pigments were extracted by n-hexane/water (3:1,
v/v). After extraction, the n-hexane extract, water extract and residue, were
obtained. The n-hexane extract was then cleaned-up by gel permeation
chromatography (GPC). The water extract was extracted by acetonitrile, and the
residue by ammonia water/methanol (1:99, v/v). The results showed that the 29
synthetic pigments with a wide range of polarities were extracted effectively
with the recoveries between 70% and 95%, and matched well by Q-TOF MS precision
mass searching to the mass spectral library with matching scores between 59. 66
and 99. 47. The quantitative analysis of the 29 pigments was carried out by
Target MS/MS. The limits of detection (LODs) for 8 Sudan dyes were 0.4-2.5
micro/kg while for 21 water-soluble synthetic pigments were 20-80 microg/kg. The
screening method is suitable for a wide range of synthetic pigments, and can be
applied to food samples with proteins and fat in matrix.
PMID- 22097790
TI - [Determination of spinosyns A and D residues in food by high performance liquid
chromatography-tandem mass spectrometry].
AB - A high performance liquid chromatography-tandem mass spectrometry (HPLC-MS/ MS)
method was established for the determination of spinosyns A and D residues in
foodstuffs. The food samples were extracted with acetonitrile-water (50:50, v/v),
and then purified by an HLB solid phase extraction (SPE) column. The analytes
were determined by HPLC-MS/MS and quantified by external standard method. The
mass spectrometric detection was operated with electrospray in positive
ionization mode and the spinosyns A and D were identified in multiple reaction
monitoring (MRM) mode. The linear range of the method was 1-20 microg/L, with the
correlation coefficient (r2) of 0.999 9. No significant matrix effect was found
for spiked samples. The recoveries of spinosyns A and D spiked in food were 76.2%
114.0% at the spiked levels of 1-10 microg/kg. The relative standard deviations
(RSDs) were less than 10%. The limits of detection (LODs) and quantification
(LOQs) were 0.2 microg/kg and 0.5 microg/kg for spinosyn A, 0.5 microg/kg and 1.0
microg/kg for spinosyn D, respectively. The proposed procedure was applied to the
analysis of 969 real samples from Xiamen, Fujian Province (China), of which 15
positive samples were found. The results showed that the proposed method is
sensitive and accurate for the determination of spinosyns A and D in foodstuffs.
PMID- 22097791
TI - [Multi-residue determination of 289 pesticides in garlic by gas chromatography
and gas chromatography/mass spectrometry].
AB - A new idea of solvent transfer technique was developed and applied to determine
283 pesticide residues in garlic by gas chromatography-mass spectrometry (GC-MS)
(method I), and the other method using normal phase silica/selective elution
technique was applied to determine 6 pesticide residues with strongly polar in
garlic by gas chromatography (method II). For the method I, the residues were
extracted from homogenized tissue with acetonitrile-water, separated with liquid
liquid partition; the clear supernatant was purified by solvent transfer
technique and solid phase extraction (Envi-18 and LC-NH2 columns), then was
analyzed by GC-MS. For the method II, the residues were extracted from
homogenized tissue using ethyl acetate and sodium sulfate assisted by
ultrasonication. The supernatant was purified by solid phase extraction (primary
secondary amine (PSA) powder and LC-Si column) prior to GC analysis. The
determination was performed by using selected ion monitoring (SIM) mode in GC-MS
method and flame photometric detector (FPD) in GC method, then external standard
method was used in the quantification. Under the optimal conditions, the
detection limits for the two methods (S/N > or = 10) of pesticides were 0.01-0.05
mg/kg, the recoveries carried out by the addition of standards of 0.01-0.20 mg/kg
were 52%-163%, among which the recoveries for 88% pesticides were between 70% and
120%; the recoveries of the method II were 70%-111%; while the relative standard
deviations were 2.4%-18% and 3.2%-9.3%, respectively. The model of solvent
transfer technique and the sensitivity improvement of GC-MS was also studied. The
methods are easy, fast, more sensitive, and can meet the requirement of the
multiresidual analysis in garlic.
PMID- 22097792
TI - [Determination of fipronil and its metabolites in tea by solid-phase
microextraction coupled with gas chromatography and gas chromatography-mass
spectrometry].
AB - An effective multi-residue method for the trace analysis of fipronil and four
metabolites (fipronil-desulfinyl, fipronil-sulfide, fipronil-sulfone and fipronil
carboxamide) in tea was developed based on solid-phase microextraction coupled
with gas chromatography (SPME-GC). The targets were extracted with a fused-silica
fiber coated with 85 microm polyacrylate (PA). The extraction was performed in a
pH 9 buffer (containing 0.1 mol/L boracic acid, 0.1 mol/L KCI and 0.1 mol/L NaOH)
at 60 degrees C and under 2500 r/min for 30 min. With the concentration range of
2-10 microg/kg, the recoveries ranged from 71.2% to 109.3% and the relative
standard deviations (RSDs) were lower than 10% (n = 6). The limits of detection
(LODs) and limits of quantitation (LOQs) of the studied compounds ranged from 0.3
microg/kg to 1.2 microg/kg and 1.0 microg/kg to 4.0 microg/kg, respectively, with
the values well below the residue limits set by Japan, European Union and China.
By the proposed method, 1 positive samples of 30 tea samples were found with
fipronil and fipronil-sulfone. The identification of the method was done by gas
chromatography-mass spectrometry (GC-MS). The method can be applied as a
monitoring tool for tea, in the investigation of food to fipronil and its
metabolites.
PMID- 22097793
TI - [Determination of iodine and its species in plant samples using ion
chromatography-inductively coupled plasma mass spectrometry].
AB - A method was established for the determination of iodine and its species in plant
samples using ion chromatography-inductively coupled plasma mass spectrometry (IC
ICP/ MS). Alkaline extraction and IC-ICP/MS were applied as the sample pre
treatment method and the detection technique respectively, for iodate and iodide
determination. Moreover, high-temperature pyrolysis absorption was adopted as the
pre-treatment method for total iodine analysis, which finally converted all the
iodine species into iodide and measured the iodide by IC-ICP/MS. The recoveries
of iodine for alkaline extraction and high-temperature pyrolysis absorption were
89.6%-97.5% and 95.2%-111.2%, respectively. The results were satisfactory. The
detection limit of iodine was 0.010 mg/kg. The iodine and its speciation contents
in several kinds of plant samples such as seaweeds, kelp, cabbage, tea leaf and
spinach were investigated. It was shown that the iodine in seaweeds mainly
existed as organic iodine; while the ones in kelp, cabbage, tea leaf and spinach
mainly existed as inorganic iodine.
PMID- 22097794
TI - [Improvement of the method for methylmercury determination in aquatic products
using liquid chromatography online coupled with atomic fluorescence
spectrometry].
AB - The improvement method was developed for methylmercury determination using liquid
chromatography online coupled with cold vapor atomic fluorescence spectrometry
(LC-CV-AFS). Cysteine was used as complexing agent in mobile phase instead of
mercaptoethanol. Under the optimized conditions, baseline separation of mercury
species could be achieved within 8 min on a C18 column with a mobile phase of 5%
(v/v) acetonitrile-1 g/L L-cysteine-50 mmol/L ammonium acetate aqueous solution.
The linear range of calibration curve of methylmercury was 1-50 microg/L and the
limit of detection (S/N = 3) for methylmercury was 0.3 microg/L. Ultrasonication
assisted hydrochloric acid extraction was used to extract methylmercury from
seafood samples. The sample extract was cleaned up by a C18 solid phase
extraction (SPE) cartridge. For validation of the method, certified reference
materials and spiked seafood samples were analyzed. The determined methylmercury
contents of certified reference materials NIST1566b, BCR464 and GBW10029 agreed
well with the certified values. The determined methylmercury values for Food
Analysis Performance Assessment Scheme (FAPAS) sample 07115 were satisfied. The
recoveries of methylmercury in seafood samples at three spiked levels (10, 50 and
500 microg/kg) ranged from 89% to 112%, including cooked seafood food. The
precision of the method based on relative standard deviation (RSD) was not more
than 7%. The present method of LC-CV-AFS is accurate, sensitive, simple, and can
meet the demand of methylmercury determination in seafood.
PMID- 22097796
TI - [Species identification of grouper and snapper in Taiwan Strait using polymerase
chain reaction-restriction fragment length polymorphism analysis and lab-on-a
chip system].
AB - Polymerase chain reaction-restriction fragment length polymorphism (PCR-RFLP)
analysis and lab-on-a-chip system were used to identify grouper and snapper
species in Taiwan Strait. A fragment of 464 bp length of mitochondrial cytochrome
b gene was amplified by PCR and the products were digested with restriction
enzymes Dde I , Hae III and NLa III, individually. The fragments generated after
digestion were further resolved on the DNA Chip. Eight grouper species and five
snapper species were successfully identified. The results demonstrated that PCR
RFLP analysis and lab-on-a-chip system provide a fast, easy, automated, and
reliable analysis approach. This approach is potential for the purpose of fish
adulteration control.
PMID- 22097795
TI - [Application of polymerase chain reaction-restriction fragment length
polymorphism and lab-on-a-chip technology to the identification of fish species
from Bohai Bay].
AB - Nine representative fish species from Bohai Bay were identified by polymerase
chain reaction-restriction fragment length polymorphism (PCR-RFLP) and lab-on-a
chip technology. The nine fish species were Pseudosciaena polyactis, Lateolabrax
japonicus, Scomberomorus niphonius, Pneumatophorus japonicus, Pseudopleuronectes
yokohamae, Collichthys lucidus, Sebastes schlegeli, Cleisthenes herzensteini and
Saurida elongata. The 464 bp fragment of mitochondrial cytochrome b gene was
amplified and further digested by Dde I , Hae III and Nla III. The digested DNA
fragments were analyzed by microfluidic capillary electrophoresis. The nine fish
species were fully discriminated. The results demonstrated that the proposed
method is efficient, precise and fast in fish species identification.
PMID- 22097797
TI - [In situ and rapid identification of tea by direct analysis in real time mass
spectrometry].
AB - By applying an ambient mass spectrometric method--direct analysis in real time
mass spectrometry (DART MS), a method was developed for rapid identification of
the principal constituents in different kinds of tea. The identification of
different kinds of tea was also achieved by characteristic mass spectrometric
signals. Under atmospheric pressure, DART MS method does not require any sample
preparation, greatly reduces the analysis time, realizes the in situ, rapid,
accurate, and high-throughput analysis.
PMID- 22097799
TI - [Preparation of natural matrix standard sample of minced eel for quality control
of flumequine residue].
AB - In order to obtain quality control samples for the analysis of flumequine residue
in eel which are consistent with real detection samples, minced eel was prepared
as natural matrix standard samples containing flumequine. The results showed that
through the administration of drug application and appropriate time of sample
acquisition, the natural positive matrix containing the expected levels (5-10
microg/kg) of flumequine and the representative of actual samples at the original
state could be obtained. The uniformity of the material was ensured by
homogenizing and paddle type blending. The irradiation of Co60-gamma rays at 12
kGy and the packaging material could prevent the degradation of the minced
samples at ambient temperature and facilitate the inter-laboratory transfer in
any season.
PMID- 22097800
TI - [Progress in co-culture and differentiation in adipose-derived stem cells: a
review].
AB - Stem cells can be differentiated into many kinds of somatic cells under defined
culture conditions. In addition, the homing possess can be partially imitated by
co-culture of stem cells with mature somatic cells. Regarding the importance of
clinical application of adipose-derived stem cells (ADSCs), our review first
introduced the sources and signs of ADSCs, and then the current knowledge of
ADSCs co-culture technology, including drug and chemical induced culture, two
dimensional (2D) and three-dimensional (3D) co-culture, mechanisms of ADSCs
differentiation, and application development in recent years in details. Finally,
we also addressed prospects of ADSCs.
PMID- 22097798
TI - [Rapid analysis of melamine in milk and milk powder using QuEChERS approach
coupled with weak cation exchange chromatography].
AB - A simple, rapid method for the determination of melamine in milk and milk powder
was developed using QuEChERS approach coupled with weak cation exchange
chromatography (WCX). The samples were extracted and cleaned-up by medicinal
alcohol and lipid adsorbent (LAS) simultaneously, then centrifuged and filtered
for high performance liquid chromatographic (HPLC) analysis. The separation was
performed on a WCX column (150 mm x 4.6 mm, 5 microm) with 2 mmol/L KH2 PO4 (pH
3.8) as mobile phase. The flow rate was 1.5 mL/min and the detection wavelength
was 208 nm. The injection volume was 20 microL and the column temperature was 30
degrees C. Under the optimized conditions, good linearity was obtained in the
range of 0.02-20 mg/L with a correlation coefficient (r2) of 0.999 9. For samples
spiked with melamine standard in the range of 1-50 mg/kg, the average recoveries
of standard in the milk and milk powder were 98.9%-105.2% and 86.4%-102.9%,
respectively. The relative standard deviations were 0.9%-3.4% and 1.5%-6.7%,
respectively. The limits of detection (LOD, S/N > or = 3) were 0.05 mg/kg (milk)
and 0.1 mg/kg (milk powder). The present method is green due to not use of the
toxic organic solvents.
PMID- 22097801
TI - [Molecular recognition code between pathogenic bacterial TAL-effectors and host
target genes: a review].
AB - As the pathogenic bacterial virulence and avirulence factors, transcription
activator like (TAL) effectors of Xanthomonas can resulted in the host diseases
or resistance responses. TAL effectors can specifically bind the target DNA of
host plant with a novel protein-DNA binding pattern in which two amino acids
recognize one nucleotide. The complexities of TAL-DNA binding have the
feasibility in use of gene therapy through homologous recombination and site
specific mutation. By using the molecular recognition code between TAL-effectors
and host target genes, we can exploit both the susceptible and resistance genes;
broad spectrum resistance induced by multiple TAL effectors could also be
manipulated. Deeper insight in the area of protein-DNA binding mechanism will
benefit the application in the biomedical engineering and agricultural
engineering. This article reviews the findings and functions of TAL effectors,
the binding specificity and recognition code between TAL-effectors and host
target genes. The possible applications and future prospects of the molecular
recognition code have been discussed.
PMID- 22097802
TI - [Epigenetic regulation of secondary metabolite biosynthesis in filamentous fungi:
a review].
AB - Secondary metabolites of filamentous fungi are important sources of new drugs,
and their biosynthetic processes are regulated by numerous factors. Recent
studies indicate that many filamentous fungal secondary metabolites are regulated
by epigenetic modifications, which not only affect the titers of secondary
metabolites, but also activate the cryptic gene clusters. This review summarizes
recent advances of epigenetic application in filamentous fungal secondary
metabolite biosynthesis, especially the types of fungal epigenetic modification
and epigenetic remodeling of the fungal secondary metabolism. The application of
epigenetic theory in filamentous fungi is becoming a new strategy for fungal
strain improvement and a powerful method to obtain novel natural products.
PMID- 22097803
TI - [Development of SPA-ELISA for detection of antibodies against rabies virus based
on expression of main antigenic determinant of nucleoprotein].
AB - To evaluate the effectiveness of rabies vaccination, we developed the SPA-ELISA
method to detect the antibodies against rabies virus (RV) using the main
antigenic determinant of nucleoprotein (RV N1) as antigen. The complete
Nucleoprotein (N) gene and the partial N1 gene (1 000-1 353 bp) of RV Flury LEP
strain were amplified using RT-PCR and PCR approaches. The two fragments were
inserted into pGEX-6P-1 respectively. Then we transformed the recombinant
plasmids into Escherichia coli BL21(DE3) strain and expressed them by adding 1
mmol/L of IPTG (isopropyl-beta-D-thiogalactopyranoside). SDS-PAGE analysis showed
that both of the two recombinant proteins were presented as inclusion bodies.
Compared with the complete nucleoprotein, the partial protein (RV N1) was
expressed at a much higher level in E. coli BL21(DE3). The antigenic specificity
of the partial N1 protein was confirmed by Western blotting. By coating the
plates with purified RV N1 as an antigen, an SPA-ELISA method for the detection
of the antibodies against RV was established. By optimizing this method, the
optimal concentration of RV N1 coating the ELISA plate was 2 mg/L. The optimal
concentration of serum samples and SPA-HRP was 1:100 and 1:4 000 respectively.
Compared with a commercially available ELISA kit coating RV as antigen, the
coincidence rate of SPA-ELISA was 94.1%. Our results show that the developed SPA
ELISA based on the RV N1 was useful for the detection of the antibodies against
RV in the sera of dogs.
PMID- 22097804
TI - [Optimization of plant des-pGlu1-Brazzein gene according to yeasty biased codons
and its expression in Pichia pastoris].
AB - According to the amino acid sequence of des-pGlu1-Brazzein, 4 pairs of
oligonucleotide with cosmic site were synthesized by using yeasty biased codons.
After linkage and PCR, the 179 bp code area of des-pGlu1-Brazzein was obtained
and inserted into pPIC9K, which resulted in the recombinant expression vector
pPIC9K-Bra. By digestion with Sal I, the lined pPIC9K-Bra was transformed into
Pichia pastoris GS115 by electric shock. The results of expression indicted that
the secreted target protein accounted for 51.6% of total protein in the
supernatant and showed biological activity after purification.
PMID- 22097805
TI - [Construction of a bivalent plant expression vector carrying VvSUC11 and VvSUC12
genes and its genetic transformation in sugar beet].
AB - We have recombined genes VvSUC11, VvSUC12 from Vitis vinifera L., and root
specific promoters of sweet potato storage protein gene from Ipomoea batatas L.
Lam., named as SP1 and SP2. We have constructed a vector pCAMBIA2301-SP1- VvSUC11
SP2-VvSUC12 using pCAMBIA2301 as an original vector. VvSUC11 and VvSUC12 were
under the control of root-specific promoters of sweet potato storage protein
gene. We transformed the vector into KWS-9103 breeding line of Beta vulgaris L.
with Agrobacterium-mediated transformation. We have established the optimal
genetic transformation protocol of sugar beet as following: the explants pre
cultured for 4 days were immersed in Agrobacterium suspension of OD(600)=0.5,
supplemented with 0.005% Silwet L-77, and followed by a 4-day culture on medium
containing cefotaxime, then the buds were selected on medium containing kanamycin
and cefotaxime. The percentage of kanamycin-resistant buds was as high as 42%.
Results of PCR and RT-PCR proved that the target genes had integrated into sugar
beet genome and expressed. It will lay a foundation for further studying their
function in Beta vulgaris.
PMID- 22097806
TI - [Role of cytoglobin in protecting hepatic stellate cells against oxidation
induced damage].
AB - The aim of this study was to reveal the protection role and the related mechanism
of cytoglobin on the oxidation induced hepatic stellate cell damage. We applied
siRNA to interfere the endogenous cytoglobin gene, used recombinant cytoglobin
protein to treat the completely activated human hepatic stellate cell line LX-2
and the incompletely activated primary rat hepatic stellate cells, or over
expressed cytoglobin protein in LX-2 cells. We used two different oxidative
stress related models, the hydrogen peroxide model and the iron-overload model in
our experiments and investigated the proliferation status and the intracellular
superoxide level of the cells. The results showed that endogenous cytoglobin
exerted significant protective effects on hydrogen peroxide or iron-overload
induced LX-2 cell damage, confirming that upregulation of cytoglobin was the
protective response of activated hepatic stellate cells to oxidative stress.
Recombinant cytoglobin protein could protect LX-2 cells from oxidation induced
damage, and prevent primary rat hepatic stellate cells from excessive
proliferation and injury. The cytoplasmic reactive oxygen species (ROS)
scavenging capacity of the recombinant cytoglobin protein was not as good as its
capacity in scavenging ROS outside the cells, likely owing to the lack of active
transporting mechanisms. Intracellular over-expression of cytoglobin protein
could exert significant protective effect on LX-2 cells treated with hydrogen
peroxide or iron-overload. Our results would accelerate the exploitation of new
anti-fibrotic targets.
PMID- 22097807
TI - [Antigen selection, optimized expression and polyclonal antibody preparation of O
GlcNAcase].
AB - In order to probe the biological function of O-GlcNAc and the pathogenesis of
associated diseases, it is essential to prepare a potent and specific O-GlcNAcase
(OGA) antibody. Based on protein sequence analysis, we found N terminal 1-350
amino acids of OGA (sOGA) has high antigenicity and hydrophilicity and then
constructed it into plasmid pET28a vector. First, we optimized the expression of
sOGA in Escherichia coli BL21(DE3) (0.05 mmol/L IPTG, 10 hours) and purified it
with the Ni-NTA affinity chromatography and size exclusion chromatography
respectively. SDS-PAGE verified the molecular weight (45 kDa) and the purity
(>95%) of sOGA and the purified protein was subjected to immunize New Zealand
rabbits. Finally, we obtained OGA polyclonal antibody by affinity purifying the
antiserum with CNBr-activated Sepharose 4B beads. Western blotting and ELISA
assay showed that this antibody could recognize three OGA isoforms with high
specificity and the sensitivity was 0.11 ng/mL (the titer was 1:80 000). These
results indicated the prepared polyclonal antibody of OGA can be used for the
biological function study of OGA.
PMID- 22097808
TI - [Design and expression of an inhibitor for HIV-1 targeting dendritic cell].
AB - Human immunodeficiency virus (HIV) infects the host cells by the fusion of viral
and cell membranes. Blocking the combining between HIV and the receptors can
prevent HIV from entering the host cells. We designed an invasion-inhibitor for
HIV-1 targeting dendritic cell (DC), including 2 important HIV-1 receptors CD4
and CCR5, and 2 molecules Flt3-L and Mip-3alpha. With the synthetic gene of the
inhibitor, 2 eukaryotic expression vectors pABK-CKR5-CD4/Flt3L-Mip3alpha (pABK
HIV-MF) and pABK-CKR5-CD4 (pABK-HIV-MT) were constructed and transfected into HEK
293 cells for expression. Results from RT-PCR, immunofluorescent assay, ELISA and
Western blot approved that the invasion-inhibitor for HIV-1 was successfully and
exactly expressed in the eukaryotic cells. Current study formed a solid base for
the further research on the function of inhibitors for HIV-1 and elimination
targeting DC.
PMID- 22097809
TI - [Analysis of the transcriptional profiling of cell cycle regulatory networks of
recombinant Chinese hamster ovary cells in batch and fed-batch cultures].
AB - In the light of Chinese hamster ovary (CHO) cell line 11G-S expressing human
recombinant pro-urokinase, the differences of gene expression levels of the cells
in different growth phases in both batch and fed-batch cultures were revealed by
using gene chip technology. Then, based on the known cell cycle regulatory
networks, the transcriptional profiling of the cell cycle regulatory networks of
the cells in batch and fed-batch cultures was analyzed by using Genmapp software.
Among the approximate 19 191 target genes in gene chip, the number of down
regulated genes was more than those of up-regulated genes of the cells in both
batch and fed-batch cultures. The number of down-regulated genes of the cells in
the recession phase in fed-batch culture was much more than that of the cells in
batch culture. Comparative transcriptional analysis of the key cell cycle
regulatory genes of the cells in both culture modes indicated that the cell
proliferation and cell viability of the cells in both batch and fed-batch
cultures were mainly regulated through down-regulating Cdk6, Cdk2, Cdc2a, Ccne1,
Ccne2 genes of CDKs, Cyclin and CKI family and up-regulating Smad4 gene.
PMID- 22097810
TI - [Differentiation of porcine amniotic fluid stem cells into the beating
cardiomyocytes].
AB - The aim of this research is to find an effective cardiomyocyte-induced method
derived from porcine amniotic fluid stem cells (pAFS). For cardiac
differentiation, the cells were formed embryoid bodies (EBs) firstly, then
cultured in induced-medium including 5-azacytidine (5-aza) and vitamin C (Vc). We
detected the specific markers of cardiomyocyte by immunocytochemistry, RT-PCR and
transmission electron microscope. The results showed that some embryoid bodies
beat rhythmically after 10 days of induction. Furthermore, analysis of t test
revealed that the percentage of beating cardiomyocyte-like cell clusters was
highest (33%) when induction using 0.1 mmol/L Vc and 5 micromol/L 5-aza.
Immunocytochemistry analysis demonstrated that cardiomyocyte-like cell clusters
expressed alpha-actin, Tnni3. RT-PCR analysis also illustrated that TbX5, Gata4,
alpha-MHC and Tnni3 were expressed positive in cardiomyocyte-like cell clusters.
Especially, we observed basic structures of myocardium, such as myofilament,
glycogen granule and so on by transmission electron microscope. In conclusion, 5
azacytidine and vitamin C could promote differentiation of pAFS into myocardium.
PMID- 22097811
TI - [Construction and expression characterization of transgenic chicken bioreactor
vector].
AB - We constructed transgenic chicken bioreactor vector, driven by chicken ovalbumin
promoter, lentiviral vector and cytomegalovirus (CMV) promoter control vector
encoding green fluorescent protein (GFP) and luciferase (Luc) as reporter genes.
The three vectors were used to transfect or infect chicken primary oviduct
epithelial cells, embryo fibroblasts cells, mouse 3T3-L1 preadipocytes cells and
bovine mammary epithelial cells. High efficient and specific expression vector
for transgenic chicken bioreactor was determined by detecting fluorescence and
luciferase activity. Reporter gene analysis showed that chicken ovalbumin
promoter expression vector was not cell type-specific in these four different
cells. Additionally, luciferase reporter analysis illustrated that the chicken
ovalbumin promoter activity was over 100 times lower than that of the CMV
promoter in four different cells. Both of these two reporter genes were expressed
in those four different cells infected by lentiviral expression vectors.
Similarly, the GFP reached the similar expression level in cells infected by
lentivirus and cells transfected with CMV promoter plasmid vectors when the
multiplicity of infection was 20. In conclusion, the transgenic chicken
bioreactor vector under the control of chicken ovalbumin promoter was not highly
efficient and cell type-specific. However, the efficient expression and
extensiveness oflentiviral vector could be used for studying chicken oviduct
bioreactor.
PMID- 22097812
TI - [Evaluation and application of exogenous gene expression system based on
retroviral vector].
AB - Currently, exogenous gene expression system based on retroviral vector has been
widely used as efficient gene expression system in both gene therapeutic research
and RNA interference. In this study, we evaluated the efficiency of exogenous
gene expression mediated by the retroviral vector in mammalian cells. First, we
constructed EGFP (enhanced green fluorescent protein) vector using pcDNA3.1(+)
and retroviral vector pQCXIN as backbone vector respectively. Then, we
transfected or infected HEK293 cells and CHO-K1 cells with above vector or
corresponding retroviral virus, and measured the relative fluorescence intensity
(RFI) of EGFP. The results showed that the RFI of the retroviral virus-infected
cells was two times higher than that of the plasmid-transfected cells. Further
experiments revealed repeated virus infection enhanced the expression of EGFP
markedly, with RFI increasing twice after four rounds of virus infection.
Furthermore, the EGFP expression in HEK293 cells mediated by the retroviral
vector was more stable than transfected with plasmid pcDNA3.1(+). Finally, we
further validated the efficiency of exogenous gene expression system based on the
retroviral vector by expressing recombinant human activated protein C (rhAPC) in
HEK293 cells. We obtained HEK293 cell lines with rhAPC expression between 10 and
15 microg/(10(6) cells d). In conclusion, the exogenous gene expression system
based on the retroviral vector is an alternative method for the generation of
stable and high-expressing mammalian cell lines.
PMID- 22097813
TI - [Establishment of loop-mediated isothermal amplification technique for rapid
detection of NDM-1 gene].
AB - We established a rapid detection method of New Delhi Metallo-beta-Lactamase Gene
(NDM-1) based on Loop-mediated Isothermal Amplification (LAMP). With the
application of LAMP, we designed four sets of LAMP premiers, using NDM-1 gene as
the target sequence, and selected the set of optimal primers. Meanwhile, we
established optimal reaction systems and conditions to carry out the sensitivity
and specificity experiments. The experiment results showed that the whole
detection process took only one hour and could be observed visually. In the
experiment of sensitivity, NDM-1 gene had a detection limit of 6 copies in each
reaction. In the experiment of specificity, we detected NDM-1 gene in 4 pathogen
strains (Klebsiella pneumoniae, Escherichia coli, Staphylococcus aureus,
Streptococcus pneumoniae), and the total DNA from intestinal microbes and the
total DNA from soil microbes. We had not detected the amplification reactions.
The detection method established could rapidly detect NDM-1 gene and visualize
the experiment result. The method is easy to operate and has high sensitivity and
specificity and thus has great application value in basic research laboratories,
emergent detection and spot detection.
PMID- 22097815
TI - [Expression, purification and characterization of non-specific Serratia nuclease
in Escherichia coli].
AB - To efficiently produce non-specific nuclease (NU) of Serratia marcescens through
recombinant overexpression approach and to characterize the purified NU. The
nuclease gene was amplified from the genomic DNA of Serratia marcescens by PCR
and fused into vector pMAL-c4X with maltose binding protein (MBP) tag. The
recombinant vector verified by DNA sequencing was transformed into Escherichia
coli BL21. The expressed MBP-NU was purified through the amylose resin and its
catalytic characters were analyzed. The results showed the NU gene had 97%
identities with the reported S. marcescens nuclease gene and intracellularly
expressed in E. coli BL21. The optimal expression conditions were 37 degrees C,
0.75 mmol/L IPTG with 1.5 h induction. The purified MBP-NU exhibited non-specific
nuclease activity, able to degrade various nucleic acids, including RNA, single
stranded DNA and double-stranded DNA that was circular or linear. Its optimal
temperature was 37 degrees C and optimal pH 8.0. From 1 L culture broth 10.8 mg
NU could be purified with a specific activity of 1.11x10(6) U/mg. The catalytic
activity of NU was not inhibited by reagents such as EDTA (0.5 mmol/L), PMSF (1
mmol/L) and KCl (150 mmol/L) commonly used in protein purification.
PMID- 22097814
TI - [Rapid expression and preparation of the recombinant fusion protein sTNFRII-gAD
by adenovirus vector system].
AB - We expressed and prepared the recombinant fusion protein sTNFRII-gAD consisted of
soluble TNF receptor II and the globular domain of adiponectin by Adenovirus
Vector System in mammalian BHK21c022 cells. First we used the adenovirus vector
containing EGFP gene (rAd5-EGFP) to infect BHK21c022 cells at different MOI (from
0 to 1 000), and then evaluated their transduction efficiency and cytotoxicity.
Similarly, we constructed the replication-deficient adenovirus type 5-sTNFRII-gAD
(rAd5-sTNFRII-gAD). We collected the supernatants for Western blotting to
determine the optimal MOI by comparing the expression levels of sTNFRII-gAD
fusion protein, 48 h after the BHK21c022 cells were infected by rAd5-sTNFRII-gAD
at different MOIs (from 0 to 1 000). Then, we chose rAd5-sTNFRII-gAD at MOI 100
to infect five bottles of BHK21c022 cells in 100 mL of serum-free chemically
defined media 100 mL, harvested the supernatant every 48 h for 6 times, and
condense and purify sTNFRII-gAD fusion protein by ammonium sulfate salt-out and
size-exclusion chromatography, respectively. Finally, we analyzed anti-TNFalpha
activity of sTNFRII-gAD fusion protein on L929 cells in vitro. The results showed
that the number of BHK21c022 cells expressing EGFP protein was increased
significantly with the increase of MOI. However, some cells died at MOI of 1 000
while there was no significant cytotoxicity at MOI from 0 to 100. Western
blotting analysis showed that the more adenoviruses, the higher expression of
sTNFRII-gAD fusion protein in the supernatant with the highest expression at MOI
1 000. We successfully obtained about 11 mg bioactive and purified sTNFRII-gAD
fusion protein at last. The in vitro assay demonstrated that the sTNFRII-gAD
fusion protein was potent to antagonize TNFalpha's cytotoxicity to L929 cells.
Put together, we established a recombinant adenovirus vector/BHK21 cell
expression system, characteristic of the efficient serum-free culture and easy
scaling-up.
PMID- 22097816
TI - [Advances in researches on hyperspectral remote sensing forestry information
extracting technology].
AB - The hyperspectral remote sensing technology has become one of the leading
technologies in forestry remote sensing domain. In the present review paper, the
advances in researches on hyperspectral remote sensing technology in forestry
information extraction both at home and abroad were reviewed, and the five main
research aspects including the hyperspectral classification and recognition of
forest tree species, the hyperspectral inversion and extraction of forest
ecological physical parameters, the hyperspectral monitoring and diagnosis of
forest nutrient element, the forest crown density information extraction and the
hyperspectral monitoring of forest disasters were summarized. The unresolved
problems of hyperspectral technology in the forestry remote sensing applications
were pointed out and the possible ways to solve these problems were expounded.
Finally, the application prospect of hyperspectral remote sensing technology in
forestry was analyzed.
PMID- 22097817
TI - [FTIR microspectroscopy and its progress in application].
AB - FTIR microspectroscopy technique was born in the mid-nineties. The research on
this technique has just began abroad, and this technology has not yet been widely
recognized in China. It is a rapid, nondestructive testing technology, has the
advantages of microdomain, visualization, high precision and high sensitivity. In
the present study, the composition, operational principle and working mode of
FTIR microspectroscopy were summarized. The progress in application of FTIR
microspectroscopy technique was investigated in some fields, including
biomedicine, microbiology, forensic science, materials science, nutrition and
feed science and agricultural products. The difficulty of FTIR microspectroscopy
research and the prospects of this technique were also discussed.
PMID- 22097818
TI - [Applications of surface-enhanced Raman spectroscopy to detection of polycyclic
aromatic hydrocarbons].
AB - In the present review article, the methodology and recent advances of surface
enhanced Raman scattering (SERS) were described in detection of polycyclic
aromatic hydrocarbons (PAHs). PAHs, a series of organic compounds, are of much
concern because some of them as pollutants have been identified as carcinogenic,
mutagenic and teratogenic compounds. They show low affinity to metallic surface,
which confines applications of SERS to their detections. This article reviewed
the development trends in PAHs analysis by using of SERS substrate modified by
supramolecular system. And perspective SERS in PAHs studies have also been
presented.
PMID- 22097819
TI - [Effects of Ag nanocrystals on electroluminescence in Si oxide films].
AB - Ag nanocrystal-embedded silicon oxide (SiO2 : Ag) films with varying Ag fractions
were prepared on p-Si substrate by magnetron co-sputtering and thermal annealing.
Visible electroluminescence (EL) was observed from the structures of ITO/SiO2 :
Ag/p-Si. The authors found that Ag nanocrystals in the SiO2 film can not only
shift the EL peak evidently but also enhance the EL intensity markedly. The
larger the Ag fractions in the EL structures, the longer the peak wavelengths.
The electromagnetic interactions of the Ag nanocrystals with the emitters in the
film via local surface plasmons are considered responsible for these experimental
results.
PMID- 22097820
TI - [Luminescence characteristics of PVK doped with Ir(Fppy)3].
AB - In the present work, the photoluminescence (PL) and electroluminescence (EL)
characteristics of Tris[2-(2,4-difluorophenyl)pyridine]iridium(III) (Ir(Fppy)3)
doped poly(n-vinylcarbazole) (PVK) with different doping concentrations were
investigated. And a blue phosphorescent organic light-emitting diode (OLED) with
the structures of ITO/PEDOT : PSS/PVK : Ir(Fppy)3/BCP/Alq3/LiF/Al was fabricated.
The experimental results show that the luminescence performances of devices are
different as the doping concentration of Ir(Fppy)3 is different. When the doping
concentration of Ir(Fppy)3 is lower, the luminescence of PVK can be found in EL
spectra. When the doping concentration is too high, concentration quenching may
occur. As the doping concentration is suitable, the luminescence of PVK can not
be found, only the luminescence of Ir(Fppy)3 can be found in EL spectra. It is
concluded that the device with doping concentration of 4% has the best
photoelectric performance according to its current density-voltage-luminance
curve.
PMID- 22097821
TI - [Near-infrared luminescence and energy transfer of ACaPO4 : Eu2+, Nd3+ (A = Li,
K, Na)].
AB - Near-infrared (NIR) luminescence phosphors ACaPO4 : Eu2+, Nd2+ (A = Li, K, Na)
were prepared by conventional solid state method and the sensitization of Nd3+
near-infrared luminescence by Eu2+ was investigated. The characteristic NIR
luminescence of Nd3+ in ACaPO4 matrix is greatly enhanced by co-doping of Eu2+.
The fluorescence properties of ACaPO4 : Eu2+, the NIR luminescence properties of
ACaPO4 : Eu2+, Nd3+ and the fluorescence lifetime were studied. The effect of
emission wavelength of Eu2+ on NIR luminescence of Nd3+ was investigated; The
energy transfer mechanism between Eu2+ and Nd3+ was also discussed. Emission peak
wavelength of Eu2+ In ACaPO4 matrixes was found red shift with the series of A =
Li, K, Na and the extent of the overlap with the different excitation peaks of
Nd3+ changes obviously. It was concluded that the emission peak position of Eu2+
is a very important factor for energy transfer, and the optimal wavelength range
for Eu2+ --> Nd3+ energy transfer is 500 to 550 nm.
PMID- 22097822
TI - [The spectrogram characteristics of organic blue-emissive light-emitting
excitated YAG : Ce phosphor].
AB - It is demonstrated that the panchromatic luminescence devices with organic blue
emissive light-emitting was fabricated. This technique used down conversion,
which was already popular in inorganic power LEDs to obtain white light emission.
A blue OLED device with a configuration of ITO/2T-NATA (30 nm)/AND : TBPe (50
Wt%, 40 nm)/Alq3 (100 nm)/LiF(1 nm)/Al(100 nm) was prepared via vacuum deposition
process, and then coated with YAG : Ce phosphor layers of different thicknesses
to obtain a controllable and uniform shape while the CIE coordinates were fine
tuned. This development not only decreased steps of technics and degree of
difficulty, but also applied the mature technology of phosphor. The results
showed that steady spectrogram was obtained in the devices with phosphor, with a
best performance of a maximum luminance of 13 840 cd x m(-2) which was about 2
times of that of the devices without phosphor; a maximum current efficiency of
17.3 cd x A(-1) was increased more two times more than the devices without
phosphor. The emission spectrum could be adjusted by varying the concentration
and thickness of the phosphor layers. Absoulte spectrogram of devices was in
direct proportion with different driving current corresponding.
PMID- 22097823
TI - [Luminescence investigation of Na(z)Ca(1-x-2y-z)Bi(y)MoO4 : Eu(x+y)3+, red
phosphors].
AB - A series of red phosphors with the composition Na(z)Ca(1-x-2y-z), Bi(y) MoO4 :
Eu(x+y)3+ (y, z = 0, x = 0.24, 0.26, 0.30, 0.34, 0.38; x = 0.30, y = 0.01, 0.02,
0.03, 0.03, 0.05, 0.06, 0.07; x = 0.30, y = 0.04, z = 0.38) were prepared via
traditional solid-state method. The crystal structures of the obtained phosphors
were identified by X-ray powder diffraction (XRD) method. The photoluminescence
properties of the samples were characterized by fluorescence spectrophotometer.
The results indicated that the concentration of Eu3+ single doped Ca(1-x) MoO4 :
Eu3+ with the maximum luminescence intensity was found to be 0.30 (namely, Ca0.70
MoO4 : Eu(0.30)3+); the photoluminescence properties with different ratio of
Bi3+/Eu3+ codoped Ca0.70-2y Bi(y) MoO4 : Eu(0.30+y)3+, were also investigated,
and the results showed that the charge band (CTB) reached the maximum value when
the y value was equal to 0.03; for the characteristic excitation peaks of Eu3+,
however, the intensity of the excitation spectral line locating at 393 nm was
stronger than that at 464 nm when y < 0.03, while the intensity at 464 nm was
greater than that at 393 nm when y > or = 0.03; the intensity of excitation peaks
locating at 393 and 464 nm respectively both reached the maximum intensity when
the y value was 0.04. The relative intensity of the excitation and emission of
the above phosphor was enhanced greatly when Na2CO3 acting as charge compensation
was added. The above results showed that the relative intensity between 393 and
464 nm could be changed by adjusting the ratio of Bi3+ /Eu3+ codoping
concentrations.
PMID- 22097824
TI - [Synthesis, characterization and luminescence properties of novel beta-diketone
and Eu(III) ternary complex].
AB - The novel beta-diketone 1-(4-bromophenyl)-3-phenylpropane-1, 3-dione (L) was
synthesized at room temperature by classical Claisen condensation reaction. With
the beta-diketone L as the first ligand and phen as the secondary ligand, and a
new rare-earth Eu (III) ternary complex was prepared. The ligand L and ternary
complex were characterized by elemental analysis, IR spectra, UV spectra and
fluorescence spectra. IR spectra indicated that: the novel ligand L contained the
structure of beta-diketone, where the content of enol was high; the Eu3+ ion in
the ternary complex was coordinated with six oxygen atoms of three L ligands and
two nitrogen atoms of the second ligand phen. UV spectra showed that the main
absorption was from the first ligand L in the Eu (III) ternary complex. The
excitation and emission spectra of the ternary complex were measured and
investigated. Fluorescence spectra demonstrated that the ternary complex could
emit characteristic fluorescence of rare earth Eu3+ ion and the strongest
emission band was narrow which was attributed to the 5 D0 --> 7 F2 transitions of
the 4f electrons of the central Eu3+ ions. So, the new Eu(III) ternary complex is
an excellent red-emitter which would be regarded as a valuable material with
bright red fluorescence because it presents good monochromaticity.
PMID- 22097825
TI - [Growth of codoped CdWO4 crystals by Bridgman method and their optical spectra].
AB - The CdWO4 crystals with good quality in the size of Phi25 mm x 120 mm, doped with
Co in 0.5% molar fraction in the raw composition, were grown by the Bridgman
method by taking -70 degrees C x cm(-1) of solid-liquid interface and -0.50 mm x
h(-1) growth rate. The crystal presents transparence and deep blue. The X-ray
diffraction (XRD) was used to characterize the crystals. Three absorption peaks
at 518, 564 and 655 nm respectively, which are attributed to the overlapping of 4
T1 (4F) --> 4A2 (4F) and 4 T1 (4F) --> 4 T1 (4P) of Co2+ octahedrons, and a wide
band centered at 1 863 nm, which is attributed to 4Ti (4F) --> 4 T2 (4F), was
observed. The absorption results indicated that the Co ions presented +2 valence
in crystal and located within the distorted oxygen octahedrons. The crystal-field
parameter D(q) and the Racah parameter B were estimated to be 990 and 726.3 cm(
1) respectively based on the absorption spectra. A fluorescence emission at 778
nm (4T1 (4P) --> 4 T1 (4F)) for codoped CdWO4 crystals was observed under
excitation by 520 nm light. It can be deduced from the changes in absorption and
emission intensity of different parts of crystal that the concentration of Co2+
ion in crystal increased along growing direction and the effective distribution
coefficient of Co2+ ion in CdWO4 crystal is less than 1.
PMID- 22097826
TI - [Research on parameters optimization of laser-induced breakdown spectroscopy
based experimental device].
AB - For a better application of laser-induced breakdown spectroscopy (LIBS) to coal
quality analysis, it is necessary to optimize the key parameters of the
experimental LIBS-based device. The relationships between the key parameters and
the signal-to-noise ratios (S/N) of the elemental emission lines in the plasma
spectrum of the pulverized coal were studied, according to which the optimal
parameters can be selected. Experimental results indicate that the optimal
settings for our LIBS-based device are laser pulse energy = 120 mJ x Pulse(-1),
delay time of spectrometer = 200 ns, laser focal point be located 3-5 mm
underneath the sample surface, rotation speed of sample cell = 2.7 rev x min(-1),
a narrow-band filter with center frequency of 1 064 nm and a diaphragm with
center hole diameter of 1.5 mm be placed in the path of the laser beam.
Quantitative analysis results of pulverized coal show that, by using the optimal
LIBS-based device, the standard deviation (SD) of C has been reduced from 6.7% to
1.6%, while the relative standard deviation (RSD) of other trace elements has
been reduced from 28% to 10%. As a result, th accuracy has been improved greatly.
PMID- 22097827
TI - [Enhancement of the radiation of laser-induced stainless steel plasmas by
prefabricated keyhole].
AB - The prefabricated keyhole effects on the radiation characteristic of laser
induced stainless steel plasma were investigated. A high-energy neodymium glass
pulse laser was used to ablate stainless steel sample in air at atmospheric
pressure. Combined-type multi-function grating spectroscope and CCD spectral
acquainting and processing system were used to record plasma spectrum. The
electron temperature and the full width at half maximum of spectral line,
respectively. The study results showed that the spectral intensity and signal-to
background ratio of laser plasma increase in the range of 71.5%-125.8% and 7.6%
18.5% respectively when a laser beam (-5 J) acted on the stainless steel sample
on which prefabricated keyholes (d = 1.5 mm, h = 0.8 mm) were placed. The plasma
temperature and electron density increased by about 1 200 K and 1.21 x 10(16) cm(
3), respectively. This proved that prefabricated keyhole had a significant
enhancement effect on the radiation of laser-induced stainless steel plasma.
PMID- 22097828
TI - [Measurement of molecular vibrational temperature of circle-dot filament in
dielectric barrier discharge].
AB - Vibrational temperature in circle discharge channel and central dot discharge
channel of circle-dot filament in argon/air dielectric barrier discharge was
firstly measured by using optical emission spectra. The variations of the
vibrational temperature in central-dot discharge channel and circle discharge
channel as a function of air content were also studied. Emission spectral lines
of the N2 second positive band system (C 3pi(u) -->B 3pi(g)) were used to
calculate the vabrational temperature. It was found that the vibrational
temperature of circle is higher than that of the central dot. The vibrational
temperature of circle increases more rapidly than that of central dot although
both increase with the increase in air content. These results are of great
importance to the study of microdischarge in dielectric barrier discharge system.
PMID- 22097829
TI - Structural characterization of lignins isolated from Caragana sinica using FT-IR
and NMR spectroscopy.
AB - In order to efficiently explore and use woody biomass, six lignin fractions were
isolated from dewaxed Caragana sinica via successive extraction with organic
solvents and alkaline solutions. The lignin structures were characterized by
Fourier transform infrared spectroscopy (FT-IR) and 1D and 2D Nuclear Magnetic
Resonance (NMR). FT-IR spectra revealed that the "core" of the lignin structure
did not significantly change during the treatment under the conditions given. The
results of 1H and 13C NMR demonstrated that the lignin fraction L2, isolated with
70% ethanol containing 1% NaOH, was mainly composed of beta-O-4 ether bonds
together with G and S units and trace p-hydroxyphenyl unit. Based on the 2D HSQC
NMR spectrum, the ethanol organosolv lignin fraction L1, extracted with 70%
ethanol, presents a predominance of beta-O-4' aryl ether linkages (61% of total
side chains), and a low abundance of condensed carbon-carbon linked structures
(such as beta-beta', beta-1', and beta-5') and a lower S/G ratio. Furthermore, a
small percentage (ca. 9%) of the linkage side chain was found to be acylated at
the gamma-carbon.
PMID- 22097830
TI - [Comparative research on the NIR and MIR micro-imaging of two similar plastic
materials].
AB - The NIR/MIR micro-imaging can supply not only the information of spectra, but
also the information of spacial distribution of the sample, which is superior to
the traditional NIR/MIR spectroscopy analysis. In the present paper, polyethylene
and parafilm, with similar appearances, were regarded as the research objects, of
which the NIR/MIR micro-imaging was collected. Chemical imaging (CI) and compare
correlation imaging were carried out for the two materials respectively to
discuss the imaging methods of the two materials. The result indicated that the
differentiation of the CI values of the two materials in the NIR/MIR CI for
material II was 0.004 8 and 0.254 8 respectively, while those in the NIR/MIR CI
for material I were 0.002 6 and 0.326 5, respectively. Clear CI was acquired, and
the two materials could be differentiated. The result of the compare correlation
imagings indicated that the compare correlation imagings, in which the NIR/MIR
spectra of the two materials were regarded as reference spectra respectively, can
differentiate the two materials remarkably with clear imagings. In the compare
correlation imagings of MIR micro-imaging, the difference of the correlation
coefficients between the two materials' MIR spectra and the reference spectrum
was more than 0.12, which showed a better imaging result; while a tiny difference
of the correlation coefficients between the two materials' NIR spectra and the
reference spectrum could be employed to show a clear imaging result for NIR
compare correlation imaging so as to differentiate the two materials. This
thesis, to some extent, can supply the reference to not only the rapid
discrimination of the safety of the packaging material for agri-food, but also
the imaging methods for NIR/MIR micro-imaging to differentiate the different
materials.
PMID- 22097831
TI - [Adulteration detection of urea in milk by mid-infrared spectroscopy].
AB - In the present study, a technique of mid-infrared spectroscopy for detection of
urea in milk was put forward. Eighteen adulterated milk samples with added
different content of urea (1-18 g x L(-1)) were prepared. The mid-infrared
spectra of these milk samples were measured. The infrared characteristics of pure
milk and adulterated milk were studied, and analysis and comparisons of the
differences were carried out. The absorption peak area (A1 562) of 1 562 cm(-1),
which was assigned to the C-N stretching vibration for urea, and the absorption
peak area (A1 464) of 1 464 cm(-1), which was assigned to the C=O stretching
vibration for amide III', were calculated by origin. Linear fitting of relation
was made between A1 562/A1 464 and urea concentration in milk. The results show
that the A1 562/A1 464 is linear with urea concentration in milk, with a
regression coefficient of 0.96. The study is important to improving quality of
dairy products and protecting the benefit of consumers, and takes on crucial
social significance and application prospect.
PMID- 22097832
TI - [Determination of acidity and vitamin C in apples using portable NIR analyzer].
AB - Near infrared (NIR) spectroscopy technology based on a portable NIR analyzer,
combined with kernel Isomap algorithm and generalized regression neural network
(GRNN) has been applied to establishing quantitative models for prediction of
acidity and vitamin C in six kinds of apple samples. The obtained results
demonstrated that the fitting and the predictive accuracy of the models with
kernel Isomap algorithm were satisfactory. The correlation between actual and
predicted values of calibration samples (R(c)) obtained by the acidity model was
0.999 4, and for prediction samples (R(p)) was 0.979 9. The root mean square
error of prediction set (RMSEP) was 0.055 8. For the vitamin C model, R(c) was
0.989 1, R(p) was 0.927 2, and RMSEP was 4.043 1. Results proved that the
portable NIR analyzer can be a feasible tool for the determination of acidity and
vitamin C in apples.
PMID- 22097833
TI - [Identification of Pu'er teas with different storage years by FTIR spectroscopy].
AB - Infrared spectra of Pu'er raw tea and Pu'er ripe tea were investigated using
Fourier transform spectroscopy, in order to exploit a rapid method for
discrimination of aging period for Pu' er tea samples. The results showed that
the two kinds of Pu'er teas shared a similar woveform of infrared spectrum.
However, due to the variations of aging time, leading to different chemical
composition in pu'er teas, both Pu'er raw tea and ripe tea displayed
corresponding different characteristic peaks. And the extent of aging of Pu'er
tea had a significant relationship with optical density and waveforms of
absorption peaks in the wave number range of 1 120-1 570 cm(-1) and 400-853 cm(
1), suggesting that the extent of aging of Pu'er tea may be identified by
infrared spectrum technology rapidly and simply.
PMID- 22097834
TI - [Second structure of the protein factions from lotus seeds].
AB - Following the sequential Osborne extraction procedure, the proteins of lotus
seeds were classified. The secondary structures of albumin, globulin, prolamine
and glutelin fractions were determined by Fourier transform infrared spectroscopy
(FTIR). The FTIR images of amide I and III bands from the four protein fractions
were analyzed using Fourier deconvolution and curve-fitting technique. The
results showed that there were minor differences in every corresponding peak
position and peak area percent of secondary structure between albumin and
globulin as well as between prolamin and glutelin. But there were differences in
every corresponding peak position between albumin (or globulin) and prolamin (or
glutelin). Especially the area percents of the corresponding nonrandom structures
(alpha-helix and beta-sheet) of albumin and globulin were significantly larger
than those of prolamin and glutelin. The contents of nonrandom structures of
albumin and globulin extracted with 0.1 mol x L(-1) NaCl solution were about 55%
and those of prolamine and glutelin fractions were only at round 40%, indicating
that the secondary structures of the salt-extraction protein were ordered and
stable.
PMID- 22097835
TI - [Selection of visible-NIR variables based on extraction and successive
projections algorithm].
AB - The pixels were 2 048 or 3 648 for the most Si charge coupled device dector. The
interval between the adjacent wavelengths was few. The pretreatment could not
deal with the spectra well. Spectral matrix was reconstructed by equal interval
extraction in the wavelength range of 600.09-980.47nm. The variables for
developing partial least squares (PLS) models were chosen by genetic algorithm
(GA) and successive projections algorithm (SPA) from the pretreatment spectra.
The models' predictive ability was evaluated by leave-one-out cross validation.
By comparison, the best results were obtained by the SPA-PLS models. The standard
errors of cross validation (SECV) were 0.661 degrees Brix, 0.067% and 2.91 mg x
(100 g)(-1) for soluble solids, total adicity and vitamin C, respectively. The
results suggested that the predictive ability can be improved by equal interval
extraction method and SPA for determinating the quality of Nanfeng mandarin
fruits.
PMID- 22097836
TI - [FTIR spectroscopic analysis of Cu2+ adsorption on hematite and bayerite].
AB - The changes in surface hydroxyl structures and their absorption peaks after the
adsorption of Cu2+ on the hematite and bayerite were studied by FTIR spectroscopy
under the different pH values and Cu2+ concentrations. The result indicated that:
(1) with the increase of Cu2+ concentrations, the H-O-H and OH deformation
vibration of the hematite participated in the adsorption and Cu2+ combined with
the Fe-O structure strongly, then Fe-O-(Cu) had been formed on the hematite
surface. (2) In acid conditions, H+ in the solution destroyed the O-H structure
of hematite surface and the existence of NO3- prompted the production of a new
peak (1 131 cm(-1)). With pH value increasing, the hydroxy structure of hematite
surface changed gradually from stretching vibration to deformation vibration,
then the structures of Fe-OH and Fe(3+) -O(2-) constantly changed. (3) The
adsorption of Cu2+ on the bayerite happened in the high wave position. With the
Cu2+ concentration increasing, the free OH bending vibration, the OH- stretching
vibration and its H-O-H bending vibration were all involved in the adsorption,
and at the same time, Al3+ of Al-O was gradually replaced by Cu2+, which enhanced
the vibration intensity of the low waves position. (4) With the increase in pH,
the Al-OH bending vibration and Al-O stretching vibration changed gradually,
which indicated that AlOCu+ and AlOCuOH structure had been formed on the bayerite
surface after the adsorption.
PMID- 22097837
TI - [Identification of yougui and jisheng shenqi pills with FTIR and EDS fingerprint
spectra by new visual comparison].
AB - FTIR combined with EDS fingerprint spectra was first applied to the
identification of two kind of traditional Chinese compound formulae-Yougui pill
and Jisheng shenqi pills, which have the similar composition The IR FPS of
extraction of two kinds of pills extracted with chloroform were measured by
liquid membrane method. The exclusively characteristic peak groups of these two
kinds of formulae were theoretically established based on the Shapiro-Wilk W
testing method,and the characteristic radicals and compound species corresponding
to each characteristic peak were determined. Meanwhile, EDS fingerprint spectra
of the two kinds of original powders were also measured which can reflect the
element species and content information. Based on the three kinds of information
(characteristic peak groups, radicals and compound species, different elements),
Yougui and Jisheng shenqi pills were identified quickly, precisely and reliably.
In this method, infrared fingerprint spectra possess the similar ability with
chromatograph fingerprint spectra in identification of traditional Chinese
compound formulae. The results show that the new visual comparison method is
suitable for identifying traditional Chinese compound formulae with the same
dosage-form and similar composition.
PMID- 22097838
TI - [Research on the measurement of urinary albumin by visible-near infrared
spectroscopy].
AB - The urinary albumin (UMALB) is the most reliable diagnostic indicator of renal
injury in clinical. Attempting to realize the rapid and free reagent measurement
of UMALB, the visible-near infrared multiple optical path length spectra of 207
urine samples were collected. By the nonlinear characteristics of multiple
optical path length spectra, more information about the component of sample
contents can be obtained. The PLS model of the spectra and UMALB was firstly
established. Based on it, the PLS-ANN modeling method was built to introduce
nonlinear information. By contrast, the PLS-ANN modeling method can obtain a
better model to improve the accuracy of quantitative analysis. The R2 of
predicted model was 0.951 1 and the RMSEP was 5.02 mg x L(-1). The results showed
the feasibility of the visible-near infrared multiple optical path length
spectroscopy technique for urinary albumin analysis. This research establishes
the foundation of detecting the urinary albumin and other components free of
reagent conveniently and rapidly.
PMID- 22097839
TI - [Study on the application of DBPSO algorithm to thickness measurement of surface
insulation coating of silicon steel by NIR spectrometry].
AB - A novel thickness measurement NIR spectrometry for surface insulation coating of
silicon steel based on discrete binary particle swarm optimization (DBPSO)
algorithm is presented. First, we used NIR spectrometer to collect the NIR
spectra of insulation coating of silicon steel, and then, DBPSO algorithm was
used to select the optimal wavelength variates and composed a new spectra set.
Last, the authors created the thickness quantitative analysis model using kernel
partial least square algorithm. The experimental results show that the absolute
error range analyzed by created model was from -0.12 to 0.19 microm, and the
maximal relative error was 14.31%, which completely met the practical measurement
need. The research indicates that DBPSO is effective wavelength selection
methods, which can efficiently select the wavelength variates carrying more
useful information, improve the analysis accuracy and speed. And the NIR
spectroscopy is an effective measurement method for thickness analysis of silicon
steel insulation coating.
PMID- 22097840
TI - [Analysis and evaluation of different radial parts of Cordyceps kyushuensis by
Fourier transform infrared spectroscopy].
AB - Fourier-transform infrared spectroscopy (FTIR) and second derivative spectra were
used to analyze and evaluate the different parts of Cordyceps kyushuensis Kob in
the present work. The results showed that C. kyushuensis contained proteins,
polysaccharides, nucleosides, lipids and other active ingredients, the single
dimensional IR spectra of the various parts were highly similar, the similarity
coefficient between the cultured stroma and medium reached up to 0.992 7, and the
natural stroma was more different from the parasites, with similarity coefficient
of 0.949 9. Second derivative spectrum further enriched and confirmed the feature
of corresponding spectrum peaks, proved the existence of active substances such
as cordycepin and adenosine, and prompted the presence of alpha- and beta
glycosidic bonds. The diversity and complexity of chemical constituents in
different parts of C. kyushuensis were synthetically described by IR spectra,
which provided a fast, comprehensive and objective approach to the analysis and
evaluation of the imperceptible differences, and the quality control of
Cordyceps. This work supplies a theoretical basis for development and utilization
of genetic resources C. kyushuensis.
PMID- 22097841
TI - [A new approach to rapid determination of compound fertilizer composition].
AB - In the present paper, a new approach to rapid determination of compound
fertilizer composition was introduced, namely first preparing aqueous solution of
solid fertilizer, then predicting the compound fertilizer composition using the
near infrared transmission spectra of the solution. Using the new method, models
were built by means of PLS regression, and the standard errors of prediction of
total nitrogen content, P2O5 content, and K2O content are 0.5, 0.7, 0.8, and 2.0,
respectively. This has solved the problem of large prediction error for K content
of compound fertilizer using near infrared reflectance spectroscopy due to the
fact that KCl dose not have near infrared absorption, and achieved rapid analysis
of all compositions of compound fertilizer in 5 minutes.
PMID- 22097842
TI - [Water Raman spectrum suppression with low-pass filter in underwater in-situ
Raman spectroscopy].
AB - As a powerful tool for studying chemical structures, Raman spectroscopy has been
used in aquatic environments in-situ measurement widely, and has been used in
deep sea research recently. For underwater in-situ detection, O-H vibration Raman
peak of water is inherent and strong compared with other dissolved matter's Raman
signals. When the authors want to get a good SNR Raman signal of dissolved matter
by increasing detection time, O-H vibration Raman peak of water will get to
saturation easily, which influences other Raman signal's detection. In the
present paper, a specially designed short-pass optical filter was used for
suppression of water's O-H vibration Raman peak. The authors calculated the
suppression effect of short-pass optical filter with linear and exponential
edges. The simulation shows that exponential edge filter has better performance
and can suppress water's O-H vibration Raman peak effectively. The experiment
also proves the calculation results. With the suppression optical filter, the
intensity of water's O-H vibration Raman signal and other dissolved matters'
become similar. And the influence of suppression optical filter on other
dissolved matters' Raman signal is little. So the suppression optical filter is
feasible for in-situ underwater Raman spectroscopy.
PMID- 22097843
TI - [Mid-infrared and Raman spectral analysis of geometrically frustrated natural
atacamite].
AB - At room temperature, the mid-infrared spectra of geometrically frustrated natural
atacamite (hydroxyl copper chloride, beta-Cu2(OH)3Cl) in the range of 4 000-400
cm(-1) were measured by FTIR spectrometers, and meanwhile its Raman spectrum in
the range of 4 000-95 cm(-1) was obtained by Jobin Yvon LabRAM HR800 Raman
spectrometer. According to its crystal structure parameters, the authors
confirmed the characteristic peaks of sample 4 000-2 500-1 000 cm(-1) in the
functional group region and 1 000-550-200-95 cm(-1) in the fingerprint region,
and also explored its microscopic origin Five distinct regions were assigned: the
hydroxyl stretching vibration v(O-H) determined by the overall environment around
the hydroxyl group; the overtones generated by the sum or multiplication of
fundamental frequencies of hydroxyl bending vibration; the hydroxyl bending
vibration modes delta(O-H) of the combination of delta(Cu-O-H) and delta(O
H...HCl); the vibration modes of strongly bonded planar CuO4 units; the vibration
modes of weakly bonded linear-triatomic chain Cl-Cu-O/Cl. The bands were assigned
in accordance with its crystal structure parameters, which is more reasonable to
establish the relationship between its molecular structure and its respective
spectral properties.
PMID- 22097844
TI - [3-D fluorescence properties of petrochemical wastewater].
AB - Petrochemical wastewater is one of major types of industrial wastewater in China.
It is of huge quantity and causes serious pollution. Wastewater contains lots of
fluorescence matters. Its fluorescence spectrum could exhibit organic components
and unique for each sample like fingerprint. Thus it is referred to as
fluorescence fingerprint of water quality, in brief aqueous fingerprint. This
paper presented that there were almost 10 peaks in the aqueous fingerprint of
petrochemical wastewater from a large-scale petrochemical plant, including the
peak at the excitation/emission wavelengths (lambda(ex)/lambda(em)) of around
230/340 nm. That peak exists in the fluorescence spectra of various petroleum
materials. The aqueous fingerprint was divided into 3 zones according to the
relationship of fluorescence intensity of peaks: the linear relation between the
peaks from different zones was not significant while that between the peaks from
same zone was significant with coefficients of above 0.85. The zone around
lambda(ex)/lambda(em) = 230/305 nm might relate to benzene compounds and the zone
around lambda(ex)/lambda(em) = 220-320/230-440 nm (excluding the zone around
lambda(ex)/lambda(em) = 230/305 nm) showed close relation with the raw materials
(petroleum materials). The intensity of each peak had a fixed range. That range
and relationships between peaks could be the evidences for diagnosing if the
performance of the production processes is proper.
PMID- 22097845
TI - [Study on the transient and steady state property of fluorescence of free radical
photoinitiator].
AB - The fluorescence characteristic of various free radical photoinitiators was
investigated by fluorescence spectroscopy. The influence of conjugated structure
on fluorescence spectrum was analyzed from the molecular structure. The results
show that: the wave length of fluorescence excitation spectrum gradually augments
with the conjugative effect enhancement, and so does the peak of fluorescence
emission spectrum. The transient fluorescence spectrum of photoinitiator is
affected by electron groups and the fluorescence decay of photoinitiators with
electron-withdrawing groups is faster than that of photoinitiators with electron
donating groups. The excitation peak of photoinitiator has evident red shift with
the polarity of solvent increasing, which shows that transition type is pi-pi*
transition, and the fluorescence decay is postponed with the solvent glutinosity
changing. When the photoinitiator density is at 10(-2) mol x L(-1), the
fluorescence decay is evidently fast because of quenching effect caused by self
absorption and collisions between particles.
PMID- 22097846
TI - [Studies on the interaction of rhein with bovine serum albumin by spectroscopic
and voltammetric methods].
AB - The interaction between rhein and bovine serum albumin(BSA) was studied by UV
Visible, fluorescence spectroscopy and circular dichroism in conjunction with
electrochemical method. The results indicated that rhein has a powerful ability
to quench the albumin's fluorescence in a static mode. The binding constants(KA)
and binding site numbers (n) obtained at different temperatures were 3.67 x
10(5), 0.99 (298 K) and 2.60 x 10(4), 0.83 (309 K) respectively. According to the
thermodynamic parameters the main sorts of binding force of rhein-BSA was fixed
as electrostatic. The distance between donor and acceptor in rhein-BSA was 3.28
nm based on the Forster energy transfer theory. Results of the circular dichroism
and synchronous fluorescence show that the binding can cause conformation change
of BSA.
PMID- 22097847
TI - [Using extraction of red edge position to validate consistency of hyperspectral
imaging and non-imaging data].
AB - Using Pushbroom imaging spectrometer (PIS) and FieldSpec ProFR2500 (ASD),
spectral reflectances of winter wheat and maize at different stages were
collected synchronously. In order to validate the reliability of imaging spectral
data, the red edge position of hyperspectral data for PIS and ASD were extracted
by different algorithms, respectively. The following results were obtained: (1)
The original spectrum of both instruments had high inosculation in red light
region (670-740 nm); (2) With the spectra collected under laboratory condition
(maize leaf), the extracted red edge position was is concentrated between 700 and
720 nm for the two instruments; (3) With the spectra collected undre field
condition (wheat leaf), the extracted red edge position for PIS and ASD were
different, the red edge position of PIS data was in 760 nm, while it was in 720
nm for ASD data. The main reason might be that the imaging spectral data were
influenced by oxygen absorbtion; (4) the red edge rangeability of PIS and ASD
were different, but the trends were the same. The above results could provide
some references for hyperspectral imaging data's extensive application.
PMID- 22097848
TI - [A method of endmember extraction in hyperspectral remote sensing images based on
discrete particle swarm optimization (D-PSO)].
AB - For the inaccuracy of endmember extraction caused by abnormal noises of data
during the mixed pixel decomposition process, particle swarm optimization (PSO),
a swarm intelligence algorithm was introduced and improved in the present paper.
By re-defining the position and velocity representation and data updating
strategies, the algorithm of discrete particle swarm optimization (D-PSO) was
proposed, which made it possible to search resolutions in discrete space and
ultimately resolve combinatorial optimization problems. In addition, by defining
objective functions and feasible solution spaces, endmember extraction was
converted to combinatorial optimization problem, which can be resolved by D-PSO.
After giving the detailed flow of applying D-PSO to endmember extraction and
experiments based on simulative data and real data, it has been verified the
algorithm's flexibility to handle data with abnormal noise and the reliability of
endmember extraction were verified. Furthermore, the influence of different
parameters on the algorithm's performances was analyzed thoroughly.
PMID- 22097849
TI - [Hyperspectral feature band selection based on mean confidence interval and tree
species discrimination].
AB - In the present study, based on the leaf-level hyperspectral data of BaiMu, LeiZhu
and WuHuanZi, the authors come up with two solutions through the theory of
statistics; the first one is that optimal discriminating band between tree
species is extracted by mean interval confidence, the other one is that tree
species is discriminated by the Manhattan distance and the Min Max interval
similarity. The research results showed that (1) the optimal discriminating bands
between BaiMu and LeiZhu are around 350-446, 497-527, 553-1 330, 1 355-2 400 and
2 436-2 500 nm; the optimal discriminating bands between BaiMu and WuHuanZi are
around 434-555, 580-1 903, 1 914-2 089, 2 172-2 457 and 2 475-2 500 nm; the
optimal discriminating bands between LeiZhu and WuHuanZi are around 434-555, 580
1 903, 1 914-2 089, 2 172-2 457 and 2 475-2 500 nm; and this result is helpful
for us to find maximum difference to identifying tree species respectively. (2)
In these optimal discriminating bands, we find that the Manhattan distance
between the same species is far less than the different species; but the Min-Max
interval similarity between the same species is far more than the different
species, so this result could help us to discriminate and identify different
types of tree species effectively.
PMID- 22097850
TI - [A remote sensing band simulation approach based on image spectral library].
AB - The authors proposed an image spectral library based band simulation method.
Firstly, the authors clustered the reference image which has the same class
composition with the target image by using its pixel spectrum similarity.
Secondly, the authors fetched sample from the reference image base on the former
cluster image, and then built the image spectral library. Thirdly, the authors
fetched the same count of each type of samples to train the simulation model.
Finally, the authors simulated the target band of the target image. The
experiment results show that: firstly, this method can be more precise to
simulate TM blue band, and increase more than 1.2 RMSE value than that of the
"Spectral Library-image" model and more than 0.6 RMSE value than that of the
"image-image" model. On the other hand, our method is more stable and reliable
than the "image-image" and "Spectral Library-Image" simulation model; finally,
this method can be successfully applied to the blue band simulation that SPOT and
MSS lacked.
PMID- 22097851
TI - [The hierarchical clustering analysis of hyperspectral image based on
probabilistic latent semantic analysis].
AB - The paper introduces the Probabilistic Latent Semantic Analysis (PLSA) to the
image clustering and an effective image clustering algorithm using the semantic
information from PLSA is proposed which is used for hyperspectral images.
Firstly, the ISODATA algorithm is used to obtain the initial clustering result of
hyperspectral image and the clusters of the initial clustering result are
considered as the visual words of the PLSA. Secondly, the object-oriented image
segmentation algorithm is used to partition the hyperspectral image and segments
with relatively pure pixels are regarded as documents in PLSA. Thirdly, a variety
of identification methods which can estimate the best number of cluster centers
is combined to get the number of latent semantic topics. Then the conditional
distributions of visual words in topics and the mixtures of topics in different
documents are estimated by using PLSA. Finally, the conditional probabilistic of
latent semantic topics are distinguished using statistical pattern recognition
method, the topic type for each visual in each document will be given and the
clustering result of hyperspectral image are then achieved. Experimental results
show the clusters of the proposed algorithm are better than K-MEANS and ISODATA
in terms of object-oriented property and the clustering result is closer to the
distribution of real spatial distribution of surface.
PMID- 22097852
TI - [Synthesis and spectral characteristic of pharmaceutical dipfluzine hydrochloride
benzoic acid co-crystal].
AB - Pharmaceutical co-crystals can improve the chemical and physical properties of
active pharmaceutical ingredient (API), which is new idea and expected to provide
new stable structures. Pharmaceutical co-crystals have the potential to be much
more useful in pharmaceutical products than salts, solvates or hydrates,
polymorphs and stoichiometric solvates (pseudo-polymorphs). In our study,
dipfluzine hydrochloride-benzoic acid co-crystal was synthesized by solid co
grinding. The samples were subjected to IR, DSC, XRD, Raman and THz spectral
analysis. The results indicated that dipfluzine hydrochloride-benzoic acid
complex was new phase compared with the single API and CCF. THz-TDS
characterization indicated that hydrogen bond formed between API and CCF, which
confirmed the formation of co-crystal.
PMID- 22097853
TI - [Quantitative spectrum analysis of characteristic gases of spontaneous combustion
coal].
AB - Aimed at the characteristics of spontaneous combustion gas such as a variety of
gases, lou limit of detection, and critical requirement of safety, Fourier
transform infrared (FTIR) spectral analysis is presented to analyze
characteristic gases of spontaneous combustion In this paper, analysis method is
introduced at first by combing characteristics of absorption spectra of analyte
and analysis requirement. Parameter setting method, sample preparation, feature
variable abstract and analysis model building are taken into consideration. The
methods of sample preparation, feature abstraction and analysis model are
introduced in detail. And then, eleven kinds of gases were tested with Tensor 27
spectrometer. CH4, C2H6, C3H8, iC4H10, nC4H10, C2 H4, C3 H6, C3 H2, SF6, CO and
CO2 were included. The optical path length was 10 cm while the spectra resolution
was set as 1 cm(-1). The testing results show that the detection limit of all
analytes is less than 2 x 10(-6). All the detection limits fit the measurement
requirement of spontaneous combustion gas, which means that FTIR may be an ideal
instrument and the analysis method used in this paper is competent for
spontaneous combustion gas measurement on line.
PMID- 22097854
TI - [Use of ensemble Kalman smoother algorithm for the time-series retrieval of leaf
area index from remote sensing data].
AB - In the present paper, the empirical LAI dynamic model was constructed using the
MOD15A2 data set, and the canopy radiative transfer model MCRM2 was coupled with
the LAI dynamic model through LAI. The scheme was proposed to retrieve LAI by
assimilating MOD09A1 data set into the coupled model. The ensemble Kalman
smoother (EnKS) method was first introduced. In order to preferably assess the
feasibility of EnKS, the LAI retrieval results of EnKS were compared with the
ensemble Kalman filter (EnKF) solutions and MODIS LAI product. The results
indicated that the EnKS method achieved ideal results. The retrieved LAI temporal
profiles by the EnKS method were smoother and more continuous than the EnKF
solutions and the MODIS LAI product, which were in good agreement with the
realistic LAI climatology. The developed inversion method in this paper can be
applied to retrieve LAI time--continuous profiles effectively.
PMID- 22097855
TI - [Effect of carbon nanotubes on the crystallization behaviors of MC nylon 6].
AB - Multi-walled carbon nanotubes bearing hydroxyl group were modified with toluene
diisocyanate (TDI) and stabilized with caprolactam. The functionalized carbon
nanotubes were used to prepare monomer casting polyamide 6(MC nylon 6)/carbon
nanotubes nanocomposites. The results of FTIR proved that isocynate groups have
been incorporated into carbon nanotubes successfully. XRD results showed that the
addition of the functionalized carbon nanotubes has no significant influence on
the crystal structure of MC nylon 6, whereas the untreated carbon nanotubes could
hinder the growth of alpha2-crystal of nylon 6; with the loading of 0.3 Wt% of
the functionalized carbon nanotubes, the crystallinity degree of the
nanocomposites is almost equal to that of pure MC nylon 6, whereas as the loading
increased to 0.5 Wt%, the crystallinity degree of MC nylon 6 decreased. DSC
revealed that carbon nanotubes in MC nylon 6 acted as effective nucleation
agents. The peak temperature of crystallization for MC nylon 6 increased by 10
degrees C from 173.4 to 183.5 degrees C, and the temperature range of
crystallization decreased, indicating that the carbon nanotubes act as
heterogeneous nucleation agents for MC nylon 6.
PMID- 22097856
TI - Coniferous canopy BRF simulation based on 3-D realistic scene.
AB - It is difficulties for the computer simulation method to study radiation regime
at large-scale. Simplified coniferous model was investigated in the present
study. It makes the computer simulation methods such as L-systems and radiosity
graphics combined method (RGM) more powerful in remote sensing of heterogeneous
coniferous forests over a large-scale region. L-systems is applied to render 3-D
coniferous forest scenarios, and RGM model was used to calculate BRF
(bidirectional reflectance factor) in visible and near-infrared regions. Results
in this study show that in most cases both agreed well. Meanwhile at a tree and
forest level, the results are also good.
PMID- 22097857
TI - Spectral reflectance characteristics of different snow and snow-covered land
surface objects and mixed spectrum fitting.
AB - The field spectroradiometer was used to measure spectra of different snow and
snow-covered land surface objects in Beijing area. The result showed that for a
pure snow spectrum, the snow reflectance peaks appeared from visible to 800 nm
band locations; there was an obvious absorption valley of snow spectrum near 1
030 nm wavelength. Compared with fresh snow, the reflection peaks of the old snow
and melting snow showed different degrees of decline in the ranges of 300-1 300,
1 700-1 800 and 2 200-2 300 nm, the lowest was from the compacted snow and frozen
ice. For the vegetation and snow mixed spectral characteristics, it was indicated
that the spectral reflectance increased for the snow-covered land types
(including pine leaf with snow and pine leaf on snow background), due to the
influence of snow background in the range of 350-1 300 nm. However, the spectrum
reflectance of mixed pixel remained a vegetation spectral characteristic. In the
end, based on the spectrum analysis of snow, vegetation, and mixed
snow/vegetation pixels, the mixed spectral fitting equations were established,
and the results showed that there was good correlation between spectral curves by
simulation fitting and observed ones (correlation coefficient R2 = 0.950 9).
PMID- 22097858
TI - [Study of ignition characteristic of DC voltage plasma ignitor].
AB - The changing law between interelectrode current, discharge characteristic and jet
characteristic of plasma ignitor under different inlet Ar pressure and working
current was researched by adopting self-made plasma ignitor. Still, four channels
CCD spectrometer was adopted to measure the spectrum characteristic at the exit
of ignitor and electron temperature of plasma was calculated according to the
spectrum characteristic. The results show that the interelectrode current
gradually reduced with rising inlet Ar pressure; The jet length of plasma ignitor
firstly increased then reduced with rising inlet Ar flowrate, and also increased
with rising working current; The working current of plasma ignitor reduced with
rising inlet Ar flowrate, and increased with rising source output current; the
electron temperature of plasma ignitor jet increased with rising working current
and reduced with rising Ar flowrate. The research results are of certain guidance
meanings and reference values for the practical application of plasma ignition
system in aeroengine.
PMID- 22097859
TI - [Light absorption characteristic of natural pyrite].
AB - In the present paper, the natural pyrite was the sample. With the UV-visible near
infrared spectrophotometer Cary 500, we measured the absorption and reflection
spectra of the sample within 200-2 000 nm range, calculated the absorption
coefficient and figured out the forbidden band of the sample according to the
Tauc regulation. The authors discovered that the light absorption coefficient of
natural pyrite measured is 10(5) order of magnitude; in the absorption pattern we
found obvious shoulder line structure and we can judge that the sample belongs to
indirect forbidden band semiconductor, its forbidden band was 0.64 eV in width
and the "limit conversion efficiency" corresponding to 0.64 eV could reach 14% or
so. With the comparison of the results of this paper and the forbidden band of
the solar battery materials at present in common, this paper mainly analyzed the
effect of the light absorption coefficient and forbidden band on the
photoelectric conversion efficiency of pyrite. The authors found that its high
absorption coefficient provided the possibility that pyrite will be used as solar
battery with the film form, but its forbidden band was narrower than the
theoretical number. The authors could broaden its forbidden band or make
composite films to use.
PMID- 22097860
TI - [Comparison between myoglobin and its mutant(D60K) interacting with hydrogen
peroxide by spectrum].
AB - To characterize the roles played by surface-charged residue Asp60 in the
structure stability of myoglobin when it was replaced with Lys, the interaction
of myoglobin[Mb(WT)] and its mutant[Mb(D60K)] with hydrogen peroxide (H2O2) were
studied by the method of ultraviolet-visible (UV-Vis) absorption spectroscopy,
fluorescence spectroscopy and stopped-flow fluorescence spectroscopy under
simulative physiological conditions. There are remarkable differences between
Mb(D60K) and Mb (WT) in the UV-Vis absorption spectroscopy and fluorescence
spectroscopy of iron porphyrin during the process of interaction. Although we
only altered one external amino acide, the data showed that the function and
structure stability of Mb(D60K) was greatly changed. Furthermore, results from
synchronous fluorescence spectroscopy and stopped-flow fluorescence spectroscopy
all indicated that H2O2 had less effect on the structure of Mb(D60K) while the
structure of Mb(WT) was notably changed. From a comprehensive and comparative
data analysis, the authors determined that the structure of Mb(D60K) was improved
when it interacted with H2O2.
PMID- 22097861
TI - [Determination of serum proteins by resonance light scattering method with
lidocaine as a probe].
AB - A new method for the determination of bovine serum albumin (BSA) and human serum
albumin (HSA) was developed by using resonance light scattering (RLS) technique
via an interaction of serum albumin with lidocaine and sodium dodecylbenzene
sulphonate (SDBS). The RLS intensity of serum albumin was enhanced in the
presence of lidocaine and SDBS. The influences of some experimental factors,
including incubation time, addition sequence of reagents, pH values, foreign
substances and the concentrations of lidocaine and SDBS, on the enhancement of
the RLS intensity were investigated. Under the optimal conditions, the enhanced
RLS intensities were proportional to the concentrations of serum albumin in the
range of 1.0-45.0 mg x L(-1) for BSA and 0.5-30.0 mg x L(-1) for HSA. The method
was successfully applied to the determination of real human serum samples, with
the relative standard deviations of 4.9%-5.7% (n = 5) and standard addition
recoveries of 90%-103%. The method only involves the use of conventional
fluorescence spectrometer and chemical reagents. It is simple, easy to operate
and sensitive with the limit of detection of 0.14 mg x L(-1). The fresh human
serum samples can be directly analyzed without the need of any prior
pretreatment. The method can be a good alternative of choice for the
determination of BSA and HSA.
PMID- 22097862
TI - [Spectroscopic study on the combustion reaction characteristics of
methylcyclohexane].
AB - Using a measurement system consisting of monochromators, photomultiplier tubes,
piezoelectric pressure sensors and a digital oscilloscope, characteristic
emissions of intermediate products OH, CH and C2 produced in the combustion
reaction of methylcyclohexane were measured behind reflected shock waves in a
shock tube, and ignition delay times of methylcyclohexane/ oxygen/argon were
acquired. Experimental conditions cover temperatures from 1 164 to 1 566 K,
pressures from 1.03 to 1.99 atm, a fuel concentration of 1.0%, and an equivalence
ratio of 1.0. Combustion reaction characteristics of methylcyclohexane were
obtained qualitatively by analyzing emissions from intermediate products OH, CH
and C2. The measured ignition delay times agree with available experimental data
and the prediction of a combustion reaction mechanism. Current work provides
experimental data for constructing and validating the combustion reaction
mechanism of methylcyclohexane.
PMID- 22097863
TI - [Research on spectral characteristics of Yb3+ doped double-cladding large-mode
area micro-structured optical fiber].
AB - Yb3+ doped double-cladding large-mode-area micro-structured optical fibers (Micro
structured fibers, MSF) are the ideal medium for the super high-power optical
fiber laser applications. In the present paper, the authors fabricated the Yb3+
doped silica-based glass using the method of non-chemical vapor deposition, and
fabricated the Yb3+ doped double-cladding large-mode-area MSF by stack-drawing
method using this glass as the core of MSF, according to the design requirements.
Fluorescence spectrum of the MSF was obtained using Ti: sapphire femtosecond
laser with the wavelength of 975 nm and LD laser with the wavelength of 980 nm as
pumping source. The experimental results show that the optical fiber has strong
fluorescence at the wavelength of 1 050 nm, and it can inhibit generation of
cooperative luminescence effectively.
PMID- 22097864
TI - [Preparation and catalytic activity of surface-modification CNTs/TiO2 composite
photocatalysts].
AB - A novel kind of carbon nanotubes/titanium dioxide (CNTs/TiO2) composite
photocatalyst was prepared by a modified sol-gel method in which the nanoscaled
TiO2 particles were uniformly deposited on the CNTs modified with poly(vinyl
pyrrolidone) (PVP). The composites were characterized by a range of analytical
techniques including high resolution transmission electron microscopy (TEM), X
ray diffraction (XRD), and X-ray photoelectron spectroscopy (XPS). The results
show the successful covering of the CNTs with PVP, forming core-shell structure.
The nanoscaled TiO2 particles were uniformly deposited on the surface of CNTs
reducing the bare CNTs which avoid losing the absorption and scattering of
photons. The combination of CNTs and TiO2 particles imply the enhanced
interactions between the CNTs and TiO2 interface which possibly becomes
heterojunction. The composites become mesoporous crystalline TiO2 (anatase)
clusters after annealing at 500 degrees C, and the surface area increases
obviously. The photocatalytic activities of surface modification CNTs/TiO2
(smCNTs/TiO2) composites are extremely enhanced from the results of the
photodegradation of methylene blue (MB).
PMID- 22097865
TI - [Study on the distribution of plasma parameters in electrodeless lamp using
emission spectrometry].
AB - Electrodeless lamp in pear shape was ignited using inductively coupled discharge
setup and Ar-Hg mixtures as working gas. The changes in electronic temperature
and density with axial and radial positions at 5 s of igniting were studied by
means of emission spectrometry. The changes in electronic temperature were
obtained according to the Ar line intensity ratio of 425.9 nm/ 750.4 nm. And the
variations in electronic density were analyzed using 750.4 nm line intensity. It
was found that plasma electronic temperature and density is various at different
axial or radial positions. The electronic temperatures first increase, then
decrease, and then increase quickly, and finally decline. While the electronic
density firstly increase quickly, the decrease, and then rise slowly and finally
decline again with axial distance increasing. With radial distance increasing,
electronic temperature increases to a stable area, then continues to rise, while
electronic density decreases.
PMID- 22097866
TI - [A real-time determination method with laser measurement for depth profile
analysis of GD-OES].
AB - This paper presents the traditional methods of GD depth analysis method and also
its limitations, and the earlier studies of real-time depth measurement
technology. A new method of real-time depth determination by laser technology for
GD-OES depth analysis is proposed. The real-time depth measurement system is
composed of laser displacement sensor and new designed Grimm-type GD source based
on laser measurement method, and the system design and technical principles are
described in detail. Sputtering depth measurement signal and element spectrum
signal can be synchronously collected by this system. The displacement phenomenon
of glow discharge source during real-time sputtering depth measurement process is
analyzed. The real-time sputtering depth measurement curve of zinc alloy standard
sample was tested by two laser displacement sensors measurement system. The
actual value of sputtering depth was obtained by adding the depth measurement
curve of sputtering surface and the reference plane curve, and the actual depth
result is in line with Dektak8-type surface profilometer.
PMID- 22097867
TI - [Plasma spectral analysis of laser cleaning process in air].
AB - It is quick and accurate to on-line monitor the sample condition of laser
cleaning by means of laser-induced plasma spectrum in air. In the present
article, the echelle grating spectrometer was used to detect the plasma spectral
lines induced by pulsed laser interaction with copper coin samples with or
without contamination. The spectrogram showed that there were clear Cu I spectrum
lines and air atom spectrum lines of N I and O I. In order to eliminate the
uncertainty of single measurement, the statistical regularity of N I and O I
spectrum lines was analyzed. Their intensity distribution laws were consistent
and their relative standard deviations were the same basically. So a single
measurement spectrum could be used to monitor cleaning process. The spectra of
copper samples with contamination consisted of many elements atomic spectral
lines and continuous spectral lines. But there are Cu I spectral lines in the
spectra of clean copper samples. As a result, the authors could detect the change
of spectral lines to judge whether the laser cleaning samples were clean.
PMID- 22097868
TI - [Quantitative analysis of Mn, Cr in steel based on laser-induced breakdown
spectroscopy].
AB - Quantitative analysis of trace elements such as manganese and chromium in steel
was performed employing laser-induced breakdown spectroscopy (LIBS) technique in
the present paper. The experimental measurements indicate that the optimal delay,
focal plane and detecting position from the sample surface are 2 micros, -3.5 mm
and 1.5 mm,respectively. Mn I: 403.07 nm and Cr I : 427.48 nm were selected as
the analytical lines and their contents in the target steel sample were analyzed
with traditional quantitative analysis and internal standard methods. Comparison
of the results with two kinds of quantitatively analytical methods show that the
coefficients of determination gained by internal standard method are 0.998 and
0.979 which are much better than the results obtained by traditional quantitative
analysis method. According to the established calibration curve by internal
standard method the detection limits of manganese and chromium calculated are
0.005% and 0.040 6%, respectively.
PMID- 22097869
TI - [Study on of deferrization mechanism of apoferritin and the effect of spectra
variation with holoferritin].
AB - The deferrization mechanism of apoferritin was established, and the spectra
variation of apoferritin was compared with that of holoferritin. Sodium
hyposulfite is a strong reducing agent, therefore, was applied to deoxidize
holoferritin to release iron ion, and connection of iron of buffer was measured
by the 2,2-dipyridyl. Apoferritin was detected by ICP-MS. Holoferritin was found
to have no absorption compared with apoferritin by UV analysis, and have no
fluorescence emission spectra in contrast with apoferritin by fluorescence
analysis.
PMID- 22097870
TI - Content of nutritional elements in sudangrass and ryegrass determined by ICP-AES.
AB - The sudangrass (Sorghum sudanense) and ryegrass (Lolium multi florum L.) rotation
is a new type of cropping system, which has developed rapidly in recent years in
the south of China. The contents of nutritional elements for forage grass in the
sudangrass and ryegrass rotation system were determined by ICP-AES. The results
showed that there were abundant and essential nutritional elements for animals in
sudangrass and ryegrass. The contents of P, K, Ca, Mg, S, Fe, B, Cu, Zn and Mn
for sudangrass were 0.20% -0.29%, 1.94%-2.57%, 0.62%-0.97%, 0.39%-0.69%, 0.12%
0.18%, 108.35-180.12, 3.04-5.96, 6.17-10.02, 20.37-31.36 and 46.80-101.29 mg x
kg(-1), respectively. The contents of P, K, Ca, Mg, S, Fe, B, Cu, Zn, Mn for
ryegrass were 0.39%-0.70%, 3.77%-5.07%, 0.61%-0.84%, 0.28% -0.47%, 0.32%-0.41%,
291.65- 632.20, 2.13-3.23, 13.29-15.19, 30.73-42.98 and 92.08-156.04 mg x kg(-1),
respectively, and there were differences between various periods in nutritional
elements in the two forage grasses. The application of ICP-AES could reflect fast
and efficiently the content of nutritional elements for forage grass as animals
feed.
PMID- 22097871
TI - [Determination of Cr, Ni, Cu, Mn, P, Si, Mo and Ti in high chromium cast iron by
inductively coupled plasma atomic emission spectrometry].
AB - The high-chromium cast iron sample was microwave-assisted digested with aqueous
regia in a closed vessel. Series standards were prepared with matching Fe matrix
and adding Y as internal standard. Line intensities of the prepared standards and
the digested sample solutions were determined by inductively coupled plasma
atomic emission spectrometry. Accuracy of the proposed method was verified by the
analysis of three national standard Materials GSBH 41018, GBW 01120 and GBW
01121, and the results were well agreed with the certification data.
PMID- 22097872
TI - [Study of symbolic element in roasting slag of pyrite by inductively coupled
plasma mass spectrometry].
AB - In the present paper, the correlations between sixteen elements from the dry
method roasted dust-slag of pyrite and sixteen elements from the soil layer near
and far from the store area were studied by ICP-MS. Similar radio and outstanding
different radio of the elements between the dust-slag and the soil were studied
too. It was discovered that in the pollution soil layer Tl, Cd, Cs, Cu, Zn, Mn,
Pb and Ni were easy to be identified and had similar radio with the elements in
the dust-slag. But only Tl, Cd, Zn and Ni are suitable for criterion of element
similar properties. In dust-slag and soil, distinct composition element radios of
Tl, Cs, Co, Mo, Zn, Cr, V, Sr, Sb, Pb, Rb, Mn and Ni had striking
differentiation. Only Tl, V, Sb and Cu corresponded to both the uncorrelated
elements analysis of surface layer and middle-base layer soil. Tl could be used
as an inspection target of similar elements and outstanding different elements
between the dust-slag and the soil in the meanwhile. So we suggested that Tl can
be used as a symbolic element in the roasting dust-slag of pyrite to find the
dust-slag of pyrite in dust-recognition and to differentiate the metallurgy dust
of pyrite and soil dust.
PMID- 22097873
TI - [Determination of metals in waste bag filter of steel works by microwave
digestion-flame atomic absorption spectrometry].
AB - A method of microwave digestion technique-flame atomic absorption spectrometry
was proposed to determine the total contents of Cu, Zn, Pb, Cd, Cr and Ni in five
different kinds of waste bag filters from a steel plant. The digestion effects of
the six acid systems on the heavy metals digestion were studied for the first
time. The relative standard deviation (RSD) of the method was between 1.02% and
9.35%, and the recovery rates obtained by standard addition method ranged from
87.7% to 105.6%. The results indicated that the proposed method exhibited the
advantages of simplicity, speediness, accuracy and repeatability, and it was
suitable for determining the metal elements of the waste bag filter. The results
also showed that different digestion systems should be used according to
different waste bag filters. The waste bag filter samples from different
production processes had different metal elements content. The Pb and Zn were the
highest in the waste bag filters, while the Cu, Ni, Cd and Cr were relatively
lower. These determination results provided the scientific data for further
treatment and disposal of the waste bag filter.
PMID- 22097874
TI - [XPS and SEM spectroscopy study of hyperdispersant on atrazine surface].
AB - The authors studied the electronic condition of comb-shaped copolymer of
polycarboxylic acid type hyperdispersant adsorbed on the surface of atrazine
particles with X-ray photoelectron spectroscopy (XPS). The thickness of the
adsorption was calculated. The results suggested that after adsorbing the
dispersant, the peak intensity of N(1s) and Cl(2p) of the interface of atrazine
particle decreased sharply, while the absorption of Cl(2s) almost diminished.
Meanwhile the peak intensity of C(1s), O (1s) and Na(1s) increased obviously
owing to the second emission of C, O, and Na from the dispersant molecule. After
adsorption, the dispersant formed a favorable protecting membrane on the surface
of atrazine particles whose thickness was about 24 nm. The authors also studied
the surface state of the sample with scanning electron microscope (SEM). The
results of which showed that after adsorption, the atrazine particles became
smaller and their dispersion was in good order. These changes allowed the
atrazine particle to have a stable suspension property. This study provided
significant information for the application of environment friendly atrazine
suspension concentrate.
PMID- 22097875
TI - [The influence of the gain on ignition and correction in X-ray fluorescence
spectrometry].
AB - Research on the gain on ignition question for preparing ore with fusion sample
preparation technique was done, and a new correction method was worked out. After
fusion sample preparation, sulphide ore would have a lot of sulfate, which would
change the sample matrix dramatically, and the matrix effect was corrected with
errors. In this paper, a new solution to measuring gain on ignition was put
forward, and it was committed step that the sample having gain on ignition was
redefined by sample having loss on ignition. Through conversion, the gain on
ignition was corrected by subtraction method, and the matrix effect was corrected
by theoretical influence coefficients. The analysis results of redefinition
samples were converted into the primal samples lastly. The project took copper
concentrates as the experimental object, it was found that the gain on ignition
of this method was obviously different from the gravimetric method, but through
influence evaluation, this error had little effect on test results, and may be
reduced by flux. After correction of the gain on ignition, the standard deviation
of the elements calibration curves for Cu, Fe and S were less than 0.14%, 0.074%
and 0.14% respectively, which were obviousely superior to 0.21%, 0.15% and 0.19%.
The results got from this method were in satisfactory agreement with certified
values.
PMID- 22097876
TI - [Searching QSO candidates and calculating their redshfit from a flood of
spectra].
AB - In the present paper the author offers a method to search the QSO candidates and
calculate their redshfit using their broad emission lines which are the most
important character of quasars. It is hard to identify the lines in the quasar's
spectra due to their redshifts distributing on a broad range. Spectra contain two
components. One is continuum and the other is lines. The author uses a method of
LFPS (low frequency points set) to build the continuum and detect the obvious
emission lines, a method that can avoid the broad emission lines as a part of the
continuum. The redshift can be calculated by comparing the extracted lines with
the line table. The classification can be done with both emission lines and the
redshift. For a better accurate rate to recognize the lines, this paper provides
a method to estimate the level of the local noise. The method this paper used is
independent of the flux calibration of the spectra. It can work for the spectra
of the present LAMOST.
PMID- 22097877
TI - [Galaxy/quasar classification based on nearest neighbor method].
AB - With the wide application of high-quality CCD in celestial spectrum imagery and
the implementation of many large sky survey programs (e. g., Sloan Digital Sky
Survey (SDSS), Two-degree-Field Galaxy Redshift Survey (2dF), Spectroscopic
Survey Telescope (SST), Large Sky Area Multi-Object Fiber Spectroscopic Telescope
(LAMOST) program and Large Synoptic Survey Telescope (LSST) program, etc.),
celestial observational data are coming into the world like torrential rain.
Therefore, to utilize them effectively and fully, research on automated
processing methods for celestial data is imperative. In the present work, we
investigated how to recognizing galaxies and quasars from spectra based on
nearest neighbor method. Galaxies and quasars are extragalactic objects, they are
far away from earth, and their spectra are usually contaminated by various noise.
Therefore, it is a typical problem to recognize these two types of spectra in
automatic spectra classification. Furthermore, the utilized method, nearest
neighbor, is one of the most typical, classic, mature algorithms in pattern
recognition and data mining, and often is used as a benchmark in developing novel
algorithm. For applicability in practice, it is shown that the recognition ratio
of nearest neighbor method (NN) is comparable to the best results reported in the
literature based on more complicated methods, and the superiority of NN is that
this method does not need to be trained, which is useful in incremental learning
and parallel computation in mass spectral data processing. In conclusion, the
results in this work are helpful for studying galaxies and quasars spectra
classification.
PMID- 22097878
TI - [One step method to design concave holographic grating for monochromator].
AB - The present paper put forward a new method, named one step method, to design
concave holographic grating for a monochromator. This new method is simple and
direct and easy to understand. Additionally, in this new method, we can control
the whole aberrations of concave grating very well. Genetic algorithm was applied
to optimize the objective function of this new method for its strong ability to
search the extremum of nonlinear functions and a comparison was made between this
new method and the classical method. The result shows that, for coma correction
or astigmatism correction, the imaging properties of the concave grating designed
by the new method is much better than the grating designed by the classical
method.
PMID- 22097880
TI - Bone segmentation applying rigid bone position and triple shadow check method
based on RF data.
AB - Noninvasive 3D reconstruction of a bone requires very accurate 2D navigated scans
of bone. The use of brightness-mode ultrasound seems to be promising, if some 2D
scans of bone are obtained in a fully automatic manner. This paper presents a
rapid and fully automatic method for segmenting bone in a standard 2D ultrasound
image (B-mode image). The algorithm focuses on segmenting bone in the B-mode
image using RF data of the image. The article introduces the signal-processing
scheme designed based on RF data to automatically segment bone in the B-mode
image. The segmentation accuracy was assessed by performing various tests for
this algorithm for various locations of the limbs of the human body. The
algorithm was tested for 120 images taken at different locations of limbs of the
human body. The sensitivity of these tests was calculated to be 0.99 and
specificity was found to be 1. The suggested segmentation approach provides a
reliable means of detecting bone in B-mode image.
PMID- 22097879
TI - QM/MM analysis suggests that Alkaline Phosphatase (AP) and nucleotide
pyrophosphatase/phosphodiesterase slightly tighten the transition state for
phosphate diester hydrolysis relative to solution: implication for catalytic
promiscuity in the AP superfamily.
AB - Several members of the Alkaline Phosphatase (AP) superfamily exhibit a high level
of catalytic proffciency and promiscuity in structurally similar active sites. A
thorough characterization of the nature of transition state for different
substrates in these enzymes is crucial for understanding the molecular mechanisms
that govern those remarkable catalytic properties. In this work, we study the
hydrolysis of a phosphate diester, MpNPP(-), in solution, two experimentally well
characterized variants of AP (R166S AP, R166S/E322Y AP) and wild type Nucleotide
pyrophosphatase/phosphodiesterase (NPP) by QM/MM calculations in which the QM
method is an approximate density functional theory previously parametrized for
phosphate hydrolysis (SCC-DFTBPR). The general agreements found between these
calculations and available experimental data for both solution and enzymes
support the use of SCC-DFTBPR/MM for a semiquantitative analysis of the catalytic
mechanism and nature of transition state in AP and NPP. Although phosphate
diesters are cognate substrates for NPP but promiscuous substrates for AP, the
calculations suggest that their hydrolysis reactions catalyzed by AP and NPP
feature similar synchronous transition states that are slightly tighter in nature
compared to that in solution, due in part to the geometry of the bimetallic zinc
motif. Therefore, this study provides the first direct computational support to
the hypothesis that enzymes in the AP superfamily catalyze cognate and
promiscuous substrates via similar transition states to those in solution. Our
calculations do not support the finding of recent QM/MM studies by Lopez-Canut
and co-workers, who suggested that the same diester substrate goes through a much
looser transition state in NPP/AP than in solution, a result likely biased by the
large structural distortion of the bimetallic zinc site in their simulations.
Finally, our calculations for different phosphate diester orientations and
phosphorothioate diesters highlight that the interpretation of thio-substitution
experiments is not always straightforward.
PMID- 22097881
TI - Hypoxia inducible factor-1alpha is involved in the neurodegeneration induced by
isoflurane in the brain of neonatal rats.
AB - More and more data show isoflurane, a commonly used volatile anesthetic has dual
effects on neuron fate. However, the underlying mechanisms that can explain the
apparent paradox are poorly understood. Hypoxia inducible factor (HIF)-1alpha, a
transcription factor, has been found regulating both prosurvival and prodeath
pathways in the CNS. Previously, we found that isoflurane can activate HIF-1alpha
under normoxic conditions in vitro and HIF-1alpha has been found to be involved
in the pre-conditioning effect of isoflurane in various organs. Here, we
investigated whether HIF-1alpha is a contributing factor in the neurodegenration
in rodent primary cultured neurons and in developing rat brain. Isoflurane dose
dependently induced apoptotic neurodegeneration in neonatal rats as assessed by
S100beta, cleaved caspase 3 and poly-(ADP-ribose) polymerase (PARP),
respectively. Notably, isoflurane up-regulates HIF-1alpha protein levels in vivo
and in vitro during induction of neurodegeneration. Likewise, isoflurane resulted
in a significant elevation of cytosonic calcium levels in neuron cultures.
Furthermore, knockdown of HIF-1alpha expression in cultured neurons attenuated
isoflurane-induced neurotoxicity. Finally, Morris water maze (MWM) test showed
neonatal exposure to isoflurane impaired juvenile learning and memory ability in
rats. These findings indicate that HIF-1alpha is involved in the
neurodegeneration induced by isoflurane in the brain of neonatal rats, suggesting
HIF-1alpha may be a candidate for the dual effects of isoflurane on neuron fate.
PMID- 22097882
TI - Enantioselective enolate protonation in sulfa-Michael addition to alpha
substituted N-acryloyloxazolidin-2-ones with bifunctional organocatalyst.
AB - Organocatalytic conjugate addition of thiols to alpha-substituted N
acryloyloxazolidin-2-ones followed by asymmetric protonation has been studied in
the presence of cinchona alkaloid derived thioureas. Both of the enantiomers are
accessible with the same level of enantioselectivity using pseudoenantiomeric
quinine/quinidine derived catalysts. The addition/protonation products have been
converted to useful biologically active molecules.
PMID- 22097884
TI - Severe lipoatrophy with insulin in type 1 diabetes.
PMID- 22097883
TI - Radical-mediated enzymatic methylation: a tale of two SAMS.
AB - Methylation is an essential and ubiquitous reaction that plays an important role
in a wide range of biological processes. Most biological methylations use S
adenosylmethionine (SAM) as the methyl donor and proceed via an S(N)2
displacement mechanism. However, researchers have discovered an increasing number
of methylations that involve radical chemistry. The enzymes known to catalyze
these reactions all belong to the radical SAM superfamily. This family of enzymes
utilizes a specialized [4Fe-4S] cluster for reductive cleavage of SAM to yield a
highly reactive 5'-deoxyadenosyl (dAdo) radical. Radical chemistry is then
imposed on a variety of organic substrates, leading to a diverse array of
transformations. Until recently, researchers had not fully understood how these
enzymes employ radical chemistry to mediate a methyl transfer reaction. Sequence
analyses reveal that the currently identified radical SAM methyltransferases
(RSMTs) can be grouped into three classes, which appear distinct in protein
architecture and mechanism. Class A RSMTs mainly include the rRNA
methyltransferases RlmN and Cfr from various origins. As exemplified by
Escherichia coli RlmN, these proteins have a single canonical radical SAM core
domain that includes an (betaalpha)(6) partial barrel most similar to that of
pyruvate formate lyase-activase. The exciting recent studies on RlmN and Cfr are
beginning to provide insights into the intriguing chemistry of class A RSMTs.
These enzymes utilize a methylene radical generated on a unique methylated
cysteine residue. However, based on the variety of substrates used by the other
classes of RSMTs, alternative mechanisms are likely to be discovered. Class B
RSMTs contain a proposed N-terminal cobalamin binding domain in addition to a
radical SAM domain at the C-terminus. This class of proteins methylates diverse
substrates at inert sp(3) carbons, aromatic heterocycles, and phosphinates,
possibly involving a cobalamin-mediated methyl transfer process. Class C RSMTs
share significant sequence similarity with coproporphyrinogen III oxidase HemN.
Despite methylating similar substrates (aromatic heterocycles), class C RSMTs
likely employ a mechanism distinct from that of class A because two conserved
cysteines that are required for class A are typically not found in class C RSMTs.
Class A and class B enzymes probably share the use of two molecules of SAM: one
to generate a dAdo radical and one to provide the methyl group to the substrate.
In class A, a cysteine would act as a conduit of the methyl group whereas in
class B cobalamin may serve this purpose. Currently no clues are available
regarding the mechanism of class C RSMTs, but the sequence similarities between
its members and HemN and the observation that HemN binds two SAM molecules
suggest that class C enzymes could use two SAM molecules for catalysis. The
diverse strategies for using two SAM molecules reflect the rich chemistry of
radical-mediated methylation reactions and the remarkable versatility of the
radical SAM superfamily.
PMID- 22097885
TI - Introducing routine trial of labour after caesarean section in a second level
hospital setting.
AB - OBJECTIVE: We analysed the impact on caesarean section (CS) rate of introducing a
routine trial of labour (TOL) for patients with a previous CS. STUDY DESIGN:
During 2007 and 2008, we offered a TOL to all women with one previous CS planning
to give birth in our hospital. The adherence to the procedure, success of vaginal
delivery, overall CS rate, incidence of symptomatic uterine rupture and other
complications were evaluated. Labour induction was allowed only using castor oil
or Amniotomy. RESULTS: One hundred and ninety-four women were considered eligible
for TOL. A total of 87.6% of them agreed to undergo the procedure (78.7% in the
first year versus 95.2% in the second year, p < 0.05). Of these, 63.5% delivered
successfully (42.3% in the first year versus 78.8% in the second year, p < 0.05);
10.6% underwent a primary CS because of failed spontaneous labour or failed
labour induction and 25.9% a secondary CS during labour. The CS rate decreased
significantly from 19.6% (in 2003-2006) to 14.9% (iN 2007-2008) (p < 0.05). One
case of symptomatic uterine rupture occurred, while no difference for other
complications was observed. CONCLUSIONS: The CS rate decreased dramatically
through introducing a TOL programme for patients with one previous CS. The
possibility of symptomatic uterine rupture should be however considered and
patients adequately informed.
PMID- 22097886
TI - The effects of methane and hydrogen gases produced by enteric bacteria on ileal
motility and colonic transit time.
AB - BACKGROUND: Gases produced by intestinal flora may modulate intestinal motor
function in healthy individuals as well as those with functional bowel disease.
Methane, produced by enteric bacteria in the human gut, is associated with slowed
intestinal transit and constipation. The effects of hydrogen, another main gas
produced by bacterial fermentation in the gut, on small bowel and colonic motor
function remains unrecognized. Therefore, we set out to investigate whether
intestinal gases including methane and hydrogen could influence the small bowel
motility and colonic transit. METHODS: Guinea pig ileum was placed in the
peristaltic bath with tension transducers attached to measure velocity and
amplitude of peristaltic contraction before and after the infusion of control,
hydrogen, and methane gases. Also, changes in the intraluminal pressures were
monitored before and after the gas infusions. KEY RESULTS: Methane decreased
peristaltic velocity and increased contraction amplitude significantly of guinea
pig ileum (P < 0.05). The AUC of intraluminal pressure was significantly
increased with methane in guinea pig ileum (P < 0.05). In a second experiment,
guinea pig colon was placed in the peristaltic bath to measure transit time
before and after control, hydrogen, methane, and methane-hydrogen mixture gas
infusions. Hydrogen shortened colonic transit time by 47% in the proximal colon,
and by 10% in the distal colon, when compared with baselines (P < 0.05).
CONCLUSIONS & INFERENCES: Methane delayed ileal peristaltic conduction velocity
by augmenting contractility. Hydrogen shortened colonic transit, and that effect
was more prominent in the proximal colon than distal colon.
PMID- 22097887
TI - Matching genetics with oceanography: directional gene flow in a Mediterranean
fish species.
AB - Genetic connectivity and geographic fragmentation are two opposing mechanisms
determining the population structure of species. While the first homogenizes the
genetic background across populations the second one allows their
differentiation. Therefore, knowledge of processes affecting dispersal of marine
organisms is crucial to understand their genetic distribution patterns and for
the effective management of their populations. In this study, we use genetic
analyses of eleven microsatellites in combination with oceanographic satellite
and dispersal simulation data to determine distribution patterns for Serranus
cabrilla, a ubiquitous demersal broadcast spawner, in the Mediterranean Sea.
Pairwise population F(ST) values ranged between -0.003 and 0.135. Two
genetically distinct clusters were identified, with a clear division located
between the oceanographic discontinuities at the Ibiza Channel (IC) and the
Almeria-Oran Front (AOF), revealing an admixed population in between. The
Balearic Front (BF) also appeared to dictate population structure. Directional
gene flow on the Spanish coast was observed as S. cabrilla dispersed from west to
east over the AOF, from north to south on the IC and from south of the IC towards
the Balearic Islands. Correlations between genetic and oceanographic data were
highly significant. Seasonal changes in current patterns and the relationship
between ocean circulation patterns and spawning season may also play an important
role in population structure around oceanographic fronts.
PMID- 22097888
TI - Effects of reactive oxygen species from activated leucocytes on human sperm
motility, viability and morphology.
AB - The accumulated data suggest that inflammation can increase the level of reactive
oxygen species (ROS), which contribute to impaired sperm function and male
infertility. Therefore, we propose that inflammation-mediated production of ROS
in male and female reproductive tracts hinder sperm fertilisation. To test this
hypothesis, phorbol myristate acetate (PMA) with polymorphonuclear leucocytes
(PMNs) was applied to generate endogenous ROS. We evaluated the time-dependent
effects of ROS on human sperm motility, viability and mitochondrial membrane
potential (MMP). The results showed that after treatment with PMA and PMNs, the
motility of human spermatozoa significantly decreased to 50% on Day 1 and 15% on
Day 4 compared with that of the, respectively, negative controls (P = 0.012). The
viability of human spermatozoa decreased on Day 4 of PMA + PMNs treatment (P =
0.028). The MMP of human spermatozoa significantly decreased from Day 2 to Day 4
in the PMA + PMN group compared with that of the controls (P = 0.019). Taken
together, the 4-day cultivation approach provided an accurate evaluation of sperm
quality, especially sperm motility and MMP. Our findings indicated that
endogenous inflammation increased ROS levels, which might induce sperm oxidative
damage. Additionally, sperm motility might be one of the earliest and most
sensitive indicators of this damage.
PMID- 22097889
TI - Association of tumor necrosis factor-alpha gene promoter polymorphism at sites
308 and -238 with non-alcoholic fatty liver disease: a meta-analysis.
AB - BACKGROUND AND AIM: Environmental and genetic factors play a role in the
pathogenesis and natural history of non-alcoholic fatty liver disease (NAFLD).
The objective of this study was to quantitatively evaluate the association
between tumor necrosis factor (TNF)-alpha gene promoter polymorphism at sites
308 and -238 and NAFLD susceptibility. METHODS: We performed an extensive search
of relevant studies and made a meta-analysis, including eight studies with 837
NAFLD cases and 990 controls in the association between TNF-alpha -308
polymorphism and NAFLD; and seven studies with 771 cases and 787 controls in TNF
alpha -238 polymorphism. RESULTS: The combined results showed that there was a
significant difference in TNF-alpha-238 genotype distribution between NAFLD and
control based on all studies (GA/AA vs GG [odds ratio = 2.06, 95% confidence
interval = 1.58-2.69, P < 0.000,01]). However, the combined results based on all
studies showed there was no evidence of association of TNF-alpha-308 genotype
distribution between NAFLD cases and controls (GA/AA vs GG [odds ratio = 1.08,
95% confidence interval = 0.82-1.42, P = 0.60]). When stratifying for race, the
significant results did not change materially compared with whole populations.
CONCLUSION: This meta-analysis suggested that TNF-alpha gene promoter
polymorphism at position -238 but not -308 might be a risk factor for NAFLD.
PMID- 22097890
TI - Chemical and antioxidant properties of casein peptide and its glucose Maillard
reaction products in fish oil-in-water emulsions.
AB - Maillard reaction products (MRPs) were prepared by reacting casein peptides with
different concentrations of glucose at 80 degrees C for up to 12 h. The chemical
properties of MRPs and their effects on lipid oxidation in fish oil-in-water
emulsions were investigated. Increasing browning development and absorbance in
294 nm in the MRPs caused an increase in DPPH radical scavenging, but a decrease
in iron chelation, which could be related to the loss of free amino groups in the
peptides. The MRPs produced with longer reaction time or higher glucose
concentrations were less effective in inhibiting lipid oxidation in emulsions at
pH 7.0 compared to casein peptides alone. However, the antioxidant activity of
MRPs in emulsions at pH 3.0 was not decreased by prolonged heating. The
bitterness of MRPs was less than that of the original casein peptides, and
bitterness decreased with increasing heating time and glucose concentrations.
Therefore, the Maillard reaction was a potential method to reduce the bitterness
of casein peptides while not strongly decreasing their antioxidant activity.
PMID- 22097891
TI - Immediate breast reconstruction and compliance with UK national cancer waiting
targets: the University Hospital Birmingham experience.
PMID- 22097893
TI - Neutrophil to lymphocyte ratio: a new marker for predicting steatohepatitis and
fibrosis in patients with nonalcoholic fatty liver disease.
AB - BACKGROUND: Nonalcoholic steatohepatitis (NASH), the most severe form of
nonalcoholic fatty liver disease (NAFLD), is associated with inflammation and
increased oxidative stress. The neutrophil/lymphocyte ratio (N/L) integrates
information on the inflammatory milieu and physiological stress. AIMS: The aim of
this study was to determine the utility of N/L ratio to predict the presence of
NASH in patients with NAFLD. METHODS: Our cohort consisted of 101 consecutive
patients undergoing liver biopsy for clinical suspicion of NAFLD. Patients were
divided into two groups: NASH group (n = 50) and not NASH group (n = 51). The
stage of fibrosis was measured using a 4-point scale. The total white cell count,
neutrophil and lymphocyte counts were recorded, and the N/L ratio was calculated.
RESULTS: The mean age was 49.5 (+/- 10.8) years and the mean BMI was 31.4 (+/-
4.9) kg/m(2) . Patients with NASH had a higher N/L ratio compared with patients
with not NASH [2.5 (1.9-3.3) and 1.6 (1.2-2.0), respectively, P < 0.001]. The N/L
ratio correlated with the NAFLD activity score and its individual components
(steatosis, inflammation and ballooning P < 0.001). Patients with advanced
fibrosis (F3-4) had an elevated N/L ratio [2.9 (2.0-3.9)] compared with patients
with fibrosis stage 1-2 [1.8 (1.2-2.2)], P < 0.001. For each one-unit increase in
N/L ratio, the likelihood of having NASH increased by 70% and the likelihood of
having fibrosis increased by 50%. CONCLUSION: The N/L ratio is higher in patients
with NASH and advanced fibrosis. This ratio can be used as a novel noninvasive
marker to predict advanced disease.
PMID- 22097894
TI - Tick saliva suppresses IFN signalling in dendritic cells upon Borrelia afzelii
infection.
AB - Type I interferons (IFN-alpha and IFN-beta) are crucial determinants of the host
immune response and tick saliva modulates this response, thus facilitating the
transmission of tickborne pathogens. The current study therefore examines the
effect of Ixodes ricinus tick saliva on IFN-beta signalling in murine dendritic
cells using lipopolysaccharide (LPS) and Borrelia afzelii spirochaetes as
inducers. Activated dendritic cells secret IFN that activates Signal Transducer
and Activator of Transcription 1 (STAT-1). Our results show that Borrelia-induced
activation of STAT-1 was suppressed by tick saliva. As the amount of secreted IFN
beta was not influenced by tick saliva, the results indicated that saliva
affected the interferon pathway at the IFN receptor or downstream of it. By using
recombinant IFN-beta, we show that tick saliva attenuates IFN-triggered STAT-1
activation. Tick saliva also inhibited LPS-induced IFN-beta production suggesting
that saliva interferes with the activation of the pathway that mediates IFN-beta
induction. Our data indicate that I. ricinus tick saliva may modulate the host
immune response by attenuating the initial signal transduction pathway of type I
IFN.
PMID- 22097895
TI - DFNB49 is an important cause of non-syndromic deafness in Czech Roma patients but
not in the general Czech population.
AB - Due to endogamy, the Roma have a higher risk for autosomal recessive (AR)
disorders. We used homozygosity mapping on single-nucleotide polymorphism chips
in one Czech Roma consanguineous family with non-syndromic hearing loss (NSHL).
The second largest homozygous region in a deaf patient was mapped to the
previously reported DFNB49 region. The MARVELD2 gene was recently reported as a
causal gene for NSHL DFNB49. Sequencing of the MARVELD2 gene revealed a
previously reported homozygous mutation c.1331+2 T>C (IVS4 + 2 T>C) in the deaf
child. Subsequently, the same mutation was found in two more Roma families from
an additional 19 unrelated Czech Roma patients with deafness tested for the
MARVELD2 gene. To explore the importance of MARVELD2 mutations and DFNB49 for the
general Czech and Central European population with early hearing loss we also
tested 40 unrelated Czech patients with AR NSHL. No pathogenic mutation in the
MARVELD2 gene was found in a group of 40 Czech non-Roma patients. Mutations in
the MARVELD2 gene seem to be a significant cause of early NSHL in Czech Roma and
this gene should be tested in this group of patients after GJB2.
PMID- 22097896
TI - Repair of rabbit osteochondral defects by an acellular technique with an
ultrapurified alginate gel containing stromal cell-derived factor-1.
AB - The objective of this study was to determine whether the local administration of
stromal cell-derived factor-1 (SDF-1) using ultrapurified alginate gel (UPAL gel)
could improve reparative tissues of osteochondral defects compared with those
without treatment. For the investigation, a full-thickness osteochondral defect
4.5 mm in diameter and 3 mm in depth was created in the patella groove of the
distal femur in rabbits. Local expression of SDF-1 protein was temporarily
upregulated at 1 week after creating the osteochondral defect. The local
administration of SDF-1 enhanced the migration of host cells, mainly bone marrow
stromal cells (BMSCs), to the site of the osteochondral defect. In vitro cell
migration assay supported this result. In the SDF-1 (UPAL gel containing SDF-1)
treatment group, the histological scores and the compressive modulus of
reparative tissues were significantly improved compared with the no-treatment and
vehicle (UPAL gel without SDF-1) groups. On the other hand, SDF-1 did not
influence the cellular proliferation and chondrogenesis of BMSCs. Based on the
results obtained here, we speculate that SDF-1 enhances the reparative process of
osteochondral injuries not through direct effects on the behavior of host cells,
but through increased migration of host cells to the injured site. UPAL gel, as a
vehicle material, may play an important role in chondrogenesis of recruited
cells, mainly BMSCs. The cell-free approach with local administration of SDF-1
may be an effective strategy for developing a minimally invasive technique for
cartilage tissue regeneration.
PMID- 22097897
TI - Prevalence of melanocytic nevi in 8- to 10-year-old children in Southern Spain
and analysis of associated factors.
AB - BACKGROUND: There is a known relationship between melanocytic nevi (MN) and
cutaneous melanoma. MN are related to genetic and environmental factors, and the
latter appear to be more important in childhood. OBJECTIVES: To determine the
prevalence of MN and its relationship with phenotypic traits and sun exposure
habits in 8- to 10-year-old children. SUBJECTS AND METHODS: We performed a cross
sectional study of 8- to 10-year-old primary school children in the city of
Granada (Spain), gathering data on phenotypic traits, sun protection measures,
sunburn frequency and the number and density of MN. RESULTS: We detected a mean
of 19.38 MN per child, predominantly <2 mm in diameter. MN count was associated
with low phototype, and was higher in boys vs. girls with low phototype. MN were
more numerous with higher age. The largest number of MN of all sizes was detected
in 10-year-old boys. MN were most frequently located on the torso and other sites
intermittently exposed to sunlight. CONCLUSIONS: A higher MN count is associated
with lower phototype (blonde hair and fair skin) and higher age. The mean number
of MN, including those of smaller size (<2 mm), was elevated in our series,
especially on intermittently exposed sites.
PMID- 22097898
TI - Long-term outcome of primary non-responders to tenofovir therapy in HIV/HBV-co
infected patients: impact of HBV genotype G.
AB - AIM: To evaluate the early virological response (EVR) to combined tenofovir
lamivudine or emtricitabine regimen in HBV/HIV-co-infected patients and the long
term efficacy of tenofovir. METHODS: In this retrospective monocentric study,
among the 166 HIV/HBV-co-infected patients regularly followed from 2003 to 2008
at Bichat Claude Bernard Hospital, 61 patients had received, either de novo
combination therapy with tenofovir and lamivudine or emtricitabine (group I, n =
15) or add-on tenofovir to lamivudine therapy (group II, n = 46). The HBV
polymerase region was sequenced and analysed for all patients with available
samples. RESULTS: All 15 group I patients achieved EVR vs 32 (82%) of group II
patients (P = 0.15). Seven adherent group II patients met criteria for primary
non-response, but achieved delayed response (DR) to therapy. In these seven
patients, when compared with the 39 group II patients, there was a trend to
longer duration of lamivudine pre-treatment and to higher rate of lamivudine
resistant mutants; and HBV genotype-G proportion was higher (P = 0.026). No
virological breakthrough occurred after a median of 46 months follow up.
CONCLUSION: In these HBV/HIV-co-infected patients, first-line HBV therapy with
tenofovir and emtricitabine or lamivudine was associated with EVR. However, DR to
tenofovir was observed in 15% of patients who added tenofovir to lamivudine
therapy, of whom four of seven (57%) had genotype G-HBV infection. No resistance
was evidenced after 46 months of therapy even in patients with DR to tenofovir.
At last, a good renal safety profile of TDF was observed after a median follow-up
of 4 years of therapy.
PMID- 22097899
TI - Fatigue-induced increase in intracortical communication between mid/anterior
insular and motor cortex during cycling exercise.
AB - In the present study, intracortical communication between mid/anterior insular
and motor cortex was investigated during a fatiguing cycling exercise. From 16
healthy male subjects performing a constant-load test at 60% peak oxygen
consumption (VO(2peak)) until volitional exhaustion, electroencephalography data
were analysed during repetitive, artefact-free periods of 1-min duration. To
quantify fatigue-induced intracortical communication, mean intra-hemispheric
lagged phase synchronization between mid/anterior insular and motor cortex was
calculated: (i) at the beginning of cycling; (ii) at the end of cycling; and
(iii) during recovery cycling. Results revealed significantly increased lagged
phase synchronization at the end of cycling, which returned to baseline during
recovery cycling after subjects' cessation of exercise. Following previous
imaging studies reporting the mid/anterior insular cortex as an essential
instance processing a variety of sensory stimuli and signalling forthcoming
physiological threat, our results provide further evidence that during a
fatiguing exercise this structure might not only integrate and evaluate sensory
information from the periphery, but also act in communication with the motor
cortex. To the best of our knowledge, this is the first study to empirically
demonstrate that muscle fatigue leads to changes in interaction between
structures of a brain's neural network.
PMID- 22097900
TI - Hydration of formate and acetate ions by dielectric relaxation spectroscopy.
AB - Dielectric relaxation (DR) spectra have been measured for aqueous solutions of
sodium formate (NaOFm) and sodium acetate (NaOAc) over a wide range of
frequencies (0.2 <= nu/GHz <= 89) up to solute concentrations c is less or
approximately equal to 3.2 M and is less or approximately equal to 3.7 M,
respectively, at 25 degrees C. Measurements were also made on NaOAc(aq) at 15 <=
T/ degrees C <= 35. In addition to the usual dominant bulk-water relaxation
process at ~20 GHz, one or two further relaxation modes were detected. One
process, centered at ~8 GHz and observed for both NaOFm(aq) and NaOAc(aq), was
attributed to the presence of slow water in the hydration shells of the anions. A
lower-frequency process at ~0.6 GHz, observed only for NaOAc(aq) at c is less or
approximately equal to 1 M, was thought to be due to the presence of very small
concentrations of ion pairs. Detailed analysis of the spectra indicated that very
few (<2 per anion) water molecules were irrotationally bound (frozen) on the DR
time scale. Nevertheless, both anions are strongly hydrated, as evidenced by the
significant amounts of slow water detected. Such H(2)O molecules with reduced
dynamics result from two distinct effects. The first is the relatively strong
hydrophilic interaction of water with the -COO(-) moiety, which is similar for
the two anions and little affected by increasing solute concentration. The second
(for OAc(-) only) is the hydrophobic hydration of the -CH(3) group, which is
fragile, decreasing markedly with increasing solute concentration and
temperature. The activation parameters for bulk-water relaxation in NaOAc(aq)
indicated a breakdown of the bulk water structure at high solute concentrations.
PMID- 22097903
TI - Circadian clocks and drug delivery systems: impact and opportunities in
chronotherapeutics.
AB - Chronotherapeutics aims at the adjustment of treatments to ~ 24 h rhythms, which
result from the moderation of most biological functions by the circadian timing
system (CTS). The integration of CTS-related knowledge in drug delivery concepts
challenges most current views, where steady-state constant drug levels are
synonymous to enhanced tolerability and efficacy. In contrast, robust molecular
clocks rhythmically control Phase I, II and III drug metabolism, as well as
pharmacodynamics. Thus, circadian timing of medications predictably modifies drug
tolerability and/or efficacy up to several-fold in rodents, as well as in
patients. Optimal dosing times indeed complement the recommendations for optimal
doses of glucocorticoids, NSAIDs, bronchodilators and so on. Clinically-driven in
vitro and in silico circadian data now provide mechanistic insights for the
effective translation of chronotherapeutic delivery, especially for cancer
therapies. Programmable-in-time electronic or polymeric drug delivery systems are
being used for improving health in patients with cancer or rheumatoid diseases,
respectively. Current research aims at the optimization of circadian amplitude
and phase of drug delivery according to CTS biomarkers. Intelligent drug delivery
systems could then integrate the critical rhythmic information stemming from the
individual patient and achieve a critical leap forward in the safe administration
of potentially toxic therapeutic agents.
PMID- 22097901
TI - Overnight changes in waking auditory evoked potential amplitude reflect altered
sleep homeostasis in major depression.
AB - OBJECTIVE: Sleep homeostasis is altered in major depressive disorder (MDD). Pre-
to postsleep decline in waking auditory evoked potential (AEP) amplitude has been
correlated with sleep slow wave activity (SWA), suggesting that overnight changes
in waking AEP amplitude are homeostatically regulated in healthy individuals.
This study investigated whether the overnight change in waking AEP amplitude and
its relation to SWA is altered in MDD. METHOD: Using 256-channel high-density
electroencephalography, all-night sleep polysomnography and single-tone waking
AEPs pre- and postsleep were collected in 15 healthy controls (HC) and 15 non
medicated individuals with MDD. RESULTS: N1 and P2 amplitudes of the waking AEP
declined after sleep in the HC group, but not in MDD. The reduction in N1
amplitude also correlated with fronto-central SWA in the HC group, but a
comparable relationship was not found in MDD, despite equivalent SWA between
groups. No pre- to postsleep differences were found for N1 or P2 latencies in
either group. These findings were not confounded by varying levels of alertness
or differences in sleep variables between groups. CONCLUSION: MDD involves
altered sleep homeostasis as measured by the overnight change in waking AEP
amplitude. Future research is required to determine the clinical implications of
these findings.
PMID- 22097904
TI - Advanced methodologies to formulate nanotheragnostic agents for combined drug
delivery and imaging.
AB - INTRODUCTION: Recent advances in nanoparticle synthesis engineering have made it
possible to combine disease diagnosis and therapy. This progress could help to
open the door to 'personalized' medicines. AREAS COVERED: This review highlights
the significant applications of theragnostic nanoparticles in therapy. The basic
elements to be included in the formulation of theragnostic nanotools are briefly
compiled and explained. Special attention is given to the analysis of current
formulation strategies from case studies in the literature published after 2000
for simultaneous selective disease imaging and efficient image-guided drug (gene)
delivery. This contribution provides a systematic overview of important features
in the formulation of theragnostic nanoparticulate systems. Special insight is
given to the introduction of passive and active targeting concepts in the
engineering of such multifunctional nanoplatforms to gain control of their
biological fate. EXPERT OPINION: Theragnostic nanotechnologies will optimize the
way of delivering therapeutic and imaging molecules to disease sites; as a
consequence, combined selective diagnosis and effective pharmacotherapy could be
used in unison to combat severe diseases. Nanotoxicity investigations, which
illustrate the risks of toxicity/immunogenicity associated with the use of such
nanoplatforms, will determine their introduction into the clinic.
PMID- 22097902
TI - Differential roles of cysteine residues in the cellular trafficking,
dimerization, and function of the high-density lipoprotein receptor, SR-BI.
AB - The scavenger receptor, class B, type I (SR-BI) binds high-density lipoprotein
(HDL) and mediates selective delivery of cholesteryl esters (CEs) to the liver
and steroidogenic cells of the adrenal glands and gonads. Although it is clear
that the large extracellular domain (ECD) of SR-BI binds HDL, the role of ECD in
the selective HDL-CE transport remains poorly understood. In this study, we used
a combination of mutational and chemical approaches to systematically evaluate
the contribution of cysteine residues, especially six cysteine residues of ECD,
in SR-BI-mediated selective HDL-CE uptake, intracellular trafficking, and SR-BI
dimerization. Pretreatment of SR-BI-overexpressing COS-7 cells with a disulfide
(S-S) bond reducing agent, beta-mercaptoethanol (100 mM) or dithiothreitol (DTT)
(10 mM), modestly but significantly impaired SR-BI-mediated selective HDL-CE
uptake. Treatment of SR-BI-overexpressing COS-7 cells with the optimal doses of
membrane permeant alkyl methanethiosulfonate (MTS) reagents, positively charged
MTSEA or neutral MMTS, that specifically react with the free sulfhydryl group of
cysteine reduced the rate of SR-BI-mediated selective HDL-CE uptake, indicating
that certain intracellular free cysteine residues may also be critically involved
in the selective cholesterol transport process. In contrast, use of membrane
impermeant MTS reagent, positively charged MTSET and negatively charged MTSES,
showed no such effect. Next, the importance of eight cysteine residues in SR-BI
expression, cell surface expression, dimer formation, and selective HDL-derived
CE transport was evaluated. These cysteine residues were replaced either singly
or in pairs with serine, and the mutant SR-BIs were expressed in either COS-7 or
CHO cells. Four mutations, C280S, C321S, C323S, and C334S, of the ECD, either
singly or in various pair combinations, resulted in significant decreases in SR
BI (HDL) binding activity, selective CE uptake, and trafficking to the cell
surface. Surprisingly, we found that mutation of the two remaining cysteine
residues, C251 and C384 of the ECD, had no effect on either SR-BI expression or
function. Other cysteine mutations and substitutions were also without effect.
Western blot data indicated that single and double mutations at C280, C321, C323,
and C334 residues strongly favor dimer formation. However, they are rendered
nonfunctional presumably because of mutation-induced formation of aberrant
disulfide linkages resulting in inhibition of optimal HDL binding and, thus,
selective HDL-CE uptake. These results provide novel insights into the functional
role of four cysteine residues, C280, C321, C323, and C334, of the SR-BI ECD in
SR-BI expression and trafficking to the cell surface, its dimerization, and
associated selective CE transport function.
PMID- 22097905
TI - Quality by design (QbD) approaches for the compression step of tableting.
AB - INTRODUCTION: Although tableting is one of the most commonly used processes in
drug manufacturing, the tablet formation process is still not fully understood,
nor can it be fully controlled. AREAS COVERED: In this paper, recent approaches
to correlate tablet mechanical properties with process parameters are discussed,
covering (mainly) the last 5 years. These approaches are the basis for a future
of rational formulation strategies, which may lead to optimum tablet properties
within a shorter timescale, in contrast to the present empirical approach. The
reader will on one hand gain an insight into current parameterization of the
tableting process and evaluation strategies and on the other hand will gain an
impression of the difficulties connected to the interpretation of a physically
complex process and its impact on predictive modeling. EXPERT OPINION: The main
consolidated findings are that even when using multivariate statistical
approaches, it cannot be expected to find a global evaluation method that fully
explains the mechanism of tableting, but that careful sequential evaluation is
required. For further improvement, there is a need to use more complex models and
alternative technologies, in order to increase both tablet quality and
productivity.
PMID- 22097906
TI - Spray granulation for drug formulation.
AB - INTRODUCTION: Granulation is a key unit process in the production of
pharmaceutical solid dosage forms and involves the agglomeration of fine
particles with the aid of a binding agent. Fluidized bed granulation, a classic
example of spray granulation, is a technique of particle agglomeration brought
about by the spray addition of the binding liquid onto a stationary bed of powder
particles that is transformed to a fluid-like state by the passage of air through
it. AREAS COVERED: The basic working principles, equipment set-up, advantages and
challenges of fluidized bed granulation are introduced in this review. This is
followed by an overview of the formulation and process-related variables
affecting granulation performance. Technological advances, particularly in the
application of process analytical tools, in the field of fluidized bed
granulation research are also discussed. EXPERT OPINION: Fluidized bed
granulation is a popular technique for pharmaceutical production, as it is a
highly economical and efficient one-pot process. The research and development of
process analytical technologies (PAT) has allowed greater process understanding
and control to be achieved, even for the lesser known fluidized bed techniques,
such as bottom spray and fluidized hot melt granulation. In view of its
consistent mixing, as well as continuous and concurrent wetting and drying
occurring throughout processing, fluidized bed granulation shows great potential
for continuous production although more research is required to fully implement,
validate and integrate the PAT tools in a production line.
PMID- 22097907
TI - Clinical trials of intranasal delivery for treating neurological disorders--a
critical review.
AB - INTRODUCTION: The intranasal delivery of therapeutics to the brain has achieved
great success in preclinical studies. These findings are important because there
are many neurological disorders without feasible treatments, due to a lack of
effective drug delivery methods to the brain. Translating such intranasal
delivery strategies from bench to bedside is an important step for curing these
neurological diseases. AREAS COVERED: This review summarizes recent clinical
trials that have investigated the intranasal delivery of drugs to the brain to
treat neurological disorders and their potential mechanisms of action. In
addition, the potential opportunities as well as challenges of intranasal
delivery in clinical trials are discussed. EXPERT OPINION: The intranasal
delivery of drugs to the brain is a novel method with great potential, and it may
provide an extraordinary approach to overcome the existing barriers of drug
delivery for treating some neurological disorders. Intranasal delivery of central
nervous system therapeutics has shown promise in several clinical trials, which
demonstrates both the need and importance of further research.
PMID- 22097908
TI - Prediction of lower extremities' movement by angle-angle diagrams and neural
networks.
AB - In contemporary science, the analysis of human walking is extensively used. The
prediction of leg motion, as well as rehabilitation, can be usable for orthosis
and prosthesis programing. Our work is focused on predicting of human walking by
angle-angle diagrams, also called cyclograms. The applications of cyclograms in
conjunction with artificial intelligence offers wide area of applications in
medicine. But until now, this approach has not been studied or applied in
practice.
PMID- 22097909
TI - Mathematical modelling of ciliary movement mechanism.
AB - The main purpose of the paper was to present a new approach to the mathematical
modelling of ciliary movements. This approach is based on the solution of the
inverse problem of the dynamics, which is described by Lagrange's equations for
the system of successively hinged rigid rods. In this case, as is well known, the
generalized forces may be found as the time functions. It is proposed to
represent these functions by the functions of generalized coordinates and
velocities and also of the model parameters, whose values are determined as a
solution of the parametric optimization problem. Besides, a special algorithm of
ciliary movement control was elaborated. This algorithm is based on the
hypothesis of variation of the equilibrium positions for cilia during one cycle
of beating. The numerical results are in a good agreement with the cilia
movements observed in Paramecium multimicronucleatum.
PMID- 22097910
TI - Generation of singlet oxygen by the glyoxal-peroxynitrite system.
AB - Diacetyl, methylglyoxal, and glyoxal are alpha-dicarbonyl catabolites prone to
nucleophilic additions of amino groups of proteins and nucleobases, thereby
triggering adverse biological responses. Because of their electrophilicity, in
aqueous medium, they exist in a phosphate-catalyzed dynamic equilibrium with
their hydrate forms. Diacetyl and methylglyoxal can be attacked by peroxynitrite
(k(2) ~ 1.0 * 10(4) M(-1) s(-1) and k(2) ~ 1.0 * 10(5) M(-1) s(-1),
respectively), a potent biological nucleophile and oxidant, yielding the acetyl
radical from the homolysis of peroxynitrosocarbonyl adducts, and acetate or
formate ions, respectively. We report here that glyoxal also reacts with
peroxynitrite, yielding formate ion at rates at least 1 order of magnitude
greater than does methylglyoxal. A triplet EPR signal (1:2:1; a(H) = 0.78 mT)
attributable to hydrated formyl radical was detected by direct flow experiments.
In the presence of the spin trap 2-methyl-2-nitrosopropane, the EPR spectrum
displays the di-tert-butyl nitroxide signal, another signal assignable to the
spin trapping adduct with hydrogen radical (a(N) = a(H) = 1.44 mT), probably
formed from formyl radical decarbonylation, and a third EPR signal assignable to
the formyl radical adduct of the spin trap (a(N) = 0.71 mT and a(H) = 0.14 mT).
The novelty here is the detection of singlet oxygen ((1)Delta(g)) monomol light
emission at 1270 nm during the reaction, probably formed by subsequent dioxygen
addition to formyl radical and a Russell reaction of nascent formylperoxyl
radicals. Accordingly, the near-infrared emission increases upon raising the
peroxynitrite concentration in D(2)O buffer and is suppressed upon addition of
O(2) ((1)Delta(g)) quenchers (NaN(3), l-His, H(2)O). Unequivocal evidence of O(2)
((1)Delta(g)) generation was also obtained by chemical trapping of (18)O(2)
((1)Delta(g)) with anthracene-9,10-divinylsulfonate, using HPLC/MS/MS for
detection of the corresponding 9,10-endoperoxide derivative. Our studies add
insights into the molecular events underlying nitrosative, oxidative, and
carbonyl stress in inflammatory processes and aging-associated maladies.
PMID- 22097911
TI - Exploratory study on the effect of osteoactivin on bone formation in the rat
critical-size calvarial defect model.
AB - BACKGROUND AND OBJECTIVE: Osteoactivin is a novel glycoprotein shown to exhibit
an important role in regulating osteoblast differentiation and function. The aim
of the present study was to evaluate the potential of osteoactivin to support
bone regeneration using an established defect model. MATERIAL AND METHODS:
Critical-size, 8-mm-diameter through-and-through calvarial osteotomy defects were
created in 60 adult male Sprague-Dawley rats. Test animals received 0.1 mL of
osteoactivin in phosphate-buffered saline (50 MUg/mL) soak-loaded onto an
absorbable collagen sponge. Controls received 0.1 mL of phosphate-buffered saline
soak-loaded onto the absorbable collagen sponge or no further intervention (sham
surgery). The animals were euthanized 2 and 4 wk after treatment and histometric
analyses were performed. RESULTS: The absorbable collagen sponge control (mean +/
standard deviation: 40.9 +/- 26.9%) showed borderline significant greater bone
fill compared with sham-surgery (22.9 +/- 15.8%; p = 0.10) and osteoactivin (20.2
+/- 11.8%; p = 0.07) treatments at 2 wk. In contrast, osteoactivin (84.7 +/-
15.8%) showed significantly greater bone fill than sham-surgery (28.4 +/- 9.6%; p
< 0.001) and absorbable collagen sponge (41.8 +/- 22.1%; p < 0.001) at 4 wk. No
animals receiving sham-surgery or absorbable collagen sponge exhibited complete
bone fill at 4 wk while 70% of the animals receiving osteoactivin showed complete
bone fill. CONCLUSION: Osteoactivin demonstrates a significant potential to
support bone regeneration/formation. Studies using discriminating large animal
models are necessary to explore clinical application for periodontal and
craniofacial indications.
PMID- 22097913
TI - Material aspects of growth plate modelling using Carter's and Stokes's
approaches.
AB - Growth plate, named also as physis, is the anatomical structure responsible for
the bone growth. Apart from numerous biological and biochemical factors,
biomechanics has also strong influence on its functioning. Loadings acting on the
bone element during its development can change (increase or decrease) the
velocity of growth. This way mechanobiological processes influence the skeletal
development. Several theories try to describe the relationship between loadings
acting on the physis and biological processes leading to bone growth and
development. Unfortunately, some serious discrepancies exist between them.
Additionally, difficulties occur during the modelling of the growth plate
activity, which results from the problems in determining material parameters of
the particular physis component. The aim of the study was to analyse the
influence of material properties of particular parts of the physis on
biomechanical conditions of the bone growth. Two concepts, based on the Carter's
and Stokes's approaches, were applied to estimate the biomechanical stimulation
of the bone growth occurring within the physis volume. Results of the numerical
simulations show that due to inhomogeneity of the physis structure, the complex 3
D stress state occurs within the growth plate even in the case of uniform axial
pressure acting on its surface. The value of the cartilage Poisson's ratio has a
significant influence on the biomechanics of the growth plate activity estimated
using both theories. Carter's model is additionally very sensitive to its
dilatational parameter. Both methods lead to non-uniform patterns of mechanical
stimulation of the bone growth within the volume of the cartilage. The
differences in the stiffness between cartilaginous and bone parts of the growth
plate are of fundamental importance for such phenomenon.
PMID- 22097912
TI - Effects of oxygen on zonal marker expression in human articular chondrocytes.
AB - Articular cartilage is organized in depth zones with phenotypically distinct
subpopulations of chondrocytes that are exposed to different oxygen tensions.
Despite growing evidence of the critical role for oxygen in chondrogenesis,
little is known about its effect on chondrocytes from different zones. This study
evaluates zonal marker expression of human articular chondrocytes from different
zones under various oxygen tensions. Chondrocytes isolated from full-thickness,
superficial, and middle/deep cartilage from knee replacement surgeries were
expanded and redifferentiated under hypoxic (5% O(2)) or normoxic (20% O(2))
conditions. Differentiation under hypoxia increased expression of hypoxia
inducible factors 1alpha and 2alpha and accumulation of extracellular matrix,
particularly in middle/deep chondrocytes, and favored re-expression of
proteoglycan 4 by superficial chondrocytes compared with middle/deep cells. Zone
dependent expression of clusterin varied with culture duration. These results
demonstrate that zonal chondrocytes retain important phenotypic differences
during in vitro cultivation, and that these characteristics can be improved by
altering the oxygen environment. However, transcript levels for pleiotrophin,
cartilage intermediate layer protein, and collagen type X were similar between
zones, challenging their reliability as zonal markers for tissue-engineered
cartilage from osteoarthritis patients. Key factors including oxygen tension and
cell source should be considered to prescribe zone-specific properties to tissue
engineered cartilage.
PMID- 22097918
TI - Modifications of stearidonic acid soybean oil by enzymatic acidolysis for the
production of human milk fat analogues.
AB - Structured lipids (SLs) from stearidonic acid (SDA) soybean oil pre-enriched with
palmitic acid (PA) at the sn-2 position with Novozym 435 (NSL) or Lipozyme TL IM
(LSL) from previous research were further enriched with gamma-linolenic acid
(GLA) or docosahexaenoic acid (DHA). Small-scale acidolysis reactions with
Lipozyme TL IM were performed to determine the optimal reaction conditions as 1:1
substrate mole ratio of NSL or LSL to free DHA at 65 degrees C for 24 h and a
1:0.5 substrate mole ratio of NSL or LSL to free GLA at 65 degrees C for 12 h.
Optimized SL products were scaled up in a 1 L stir-batch reactor, and the
resulting SLs of NSL:DHA (NDHA), LSL:DHA (LDHA), NSL:GLA (NGLA), and LSL:GLA
(LGLA) were chemically and physically characterized. The SLs contained >54% PA at
the sn-2 position with GLA >8% for the GLA SLs and DHA >10% for the DHA SLs. The
oxidative stabilities of the SLs were increased by the addition of 200 ppm TBHQ,
with NGLA being more stable due to higher tocopherol content than the other SLs.
The melting and crystallization profiles did not differ between the DHA SLs or
the GLA SLs. The triacylglycerol (TAG) species were similar for the GLA SLs but
differed between the DHA SLs, with tripalmitin being the major TAG species in all
SLs.
PMID- 22097919
TI - Expression of oxytocin receptor in diabetic rat penis.
AB - Oxytocin receptor (OTR) expressed in the rat penis and mediated the contractility
of the corpus cavernosum smooth muscle both in vitro and in vivo, and OTR could
maintain penile detumescence; however, the expression of OTR in diabetic rat
penis remains unknown. In the present study, we investigated the expression of
OTR in diabetic rat penis. The experimental rats were randomly divided into
control group and STZ-diabetic rats group. The expressions of mRNA and protein
were examined by real-time quantitative PCR, Western blotting and
immunohistochemistry respectively. Erectile function was evaluated by measuring
intracavernous pressure following electrostimulation of the cavernous nerves.
mRNA and protein expression of OTR significantly increased in diabetic rats group
compared with the control group. Erectile function of diabetic rats group
significantly decreased compared with the control group. Our data showed that the
expression of OTR significantly increased in diabetic rats group and OTR may
involve in the development of diabetic erectile dysfunction.
PMID- 22097920
TI - Slow gallbladder emptying reverts to normal but small intestinal transit of a
physiological meal remains slow in celiac patients during gluten-free diet.
AB - BACKGROUND: Alterations of small intestinal transit and gallbladder (GB) motility
have been reported in celiac disease (CD) in studies involving, in most cases,
non-physiological experimental conditions and artificial stimuli to motility. Our
aims were to quantitate non-invasively small intestinal transit time and GB
emptying during administration of a physiological and palatable solid meal, and
to assess the effect of gluten-free diet (GFD). METHODS: We simultaneously
measured mouth-to-cecum transit time (MCTT) using a validated H(2) breath test,
and GB motility using ultrasonography. We studied CD patients before (n = 19) and
during (n = 14) GFD, and healthy volunteers (n = 24) following administration of
a physiological solid meal (Kcal 539). KEY RESULTS: Mouth-to-cecum transit time
was more prolonged in CD (mean +/- SEM: 235 +/- 96 min) than in controls (169 +/-
65 min, P = 0.0039). The GB fasting volume and postprandial residual volume were
significantly higher in CD than in controls, and GB emptying constant was slower
in CD than in controls. During GFD, GB emptying reverted to normal, but MCTT
remained unchanged (229 +/- 69 min) and more prolonged in CD than in controls (P
= 0.0139). During GFD, duodenal infiltration with lymphocytes and mast cells
persisted higher than that in controls, and the number of mast cells lying in
proximity of nervous endings did not change. CONCLUSIONS & INFERENCES: Slow
postprandial MCTT in response to a physiological meal does not revert to normal
during GFD, an effect mirroring incomplete histopathologic recovery.
PMID- 22097921
TI - Simplistic partially limiting surgical guide for flapless implant placement: a
case report.
AB - The accurate positioning of implant in bone is important in order to meet the
biological, esthetic, and biomechanical requirements of the prosthesis. This
becomes crucial in flapless implant placement. The combination of bone sounding
and use of a surgical guide will allow precise placement of a dental implant,
abutment, and provisional restoration in a minimally invasive manner. A technique
to transfer the diagnostic information to the surgical guide is described in this
report. The chief advantage of the procedure is the ability to apply flapless
implant placement surgery and immediate loading.
PMID- 22097922
TI - A trimeric supercomplex of the oxygen-tolerant membrane-bound [NiFe]-hydrogenase
from Ralstonia eutropha H16.
AB - The oxygen-tolerant membrane-bound [NiFe]-hydrogenase (MBH) from Ralstonia
eutropha H16 consists of three subunits. The large subunit HoxG carries the
[NiFe] active site, and the small subunit HoxK contains three [FeS] clusters.
Both subunits form the so-called hydrogenase module, which is oriented toward the
periplasm. Membrane association is established by a membrane-integral cytochrome
b subunit (HoxZ) that transfers the electrons from the hydrogenase module to the
respiratory chain. So far, it was not possible to isolate the MBH in its native
heterotrimeric state due to the loss of HoxZ during the process of protein
solubilization. By using the very mild detergent digitonin, we were successful in
isolating the MBH hydrogenase module in complex with the cytochrome b. H(2)
dependent reduction of the two HoxZ-stemming heme centers demonstrated that the
hydrogenase module is productively connected to the cytochrome b. Further
investigation provided evidence that the MBH exists in the membrane as a high
molecular mass complex consisting of three heterotrimeric units. The lipids
phosphatidylethanolamine and phosphatidylglycerol were identified to play a role
in the interaction of the hydrogenase module with the cytochrome b subunit.
PMID- 22097924
TI - Primary cicatricial alopecia: recent advances in understanding and management.
AB - Primary cicatricial alopecias (PCA) are a rare group of disorders, in which the
hair follicle is the main target of destructive inflammation resulting in
irreversible hair loss with scarring of affected lesions. The most typical
clinical manifestation of PCA is the loss of visible follicular ostia. The
histopathological hallmark of a fully developed lesion is the replacement of the
hair follicle structure by fibrous tissue. PCA could share similar clinical
manifestations and eventually lead to "burn-out" alopecia. Some subsets are
hardly distinguishable histopathologically and the mechanisms that elicit such a
destructive reaction have not been fully elucidated. Thus, the management of PCA
represents one of the most challenging clinical problems for dermatologists. The
aim of this review is to provide a concise and comprehensive summary of recent
advances in PCA management, especially focusing on novel methodologies to aid
diagnosis, and updates on our understanding of the etiopathogenesis. Dermoscopy,
a new pathological preparation technique and direct immunofluorescence analysis
enable more accurate clinicopathological diagnosis of PCA. Microarray analysis
may be beneficial to distinguish PCA subtypes. Currently suggested mechanisms
underlying PCA include loss of immune protection of stem cells, impaired stem
cell self-maintenance, enhanced autoimmunity by pro-inflammatory cytokines and
environmental/genetic predispositions. Interestingly, recent data indicates the
association between lipid metabolism dysregulation and PCA development, implying
an important role of the sebaceous gland dysfunction in the etiopathogenesis.
Based on that hypothesis and observations, novel therapeutic approaches have been
proposed, including the use of peroxisome proliferator-activated receptor-gamma
agonist for lichen planopilaris.
PMID- 22097923
TI - Circulating anti-angiogenic factors during hypertensive pregnancy and increased
risk of respiratory distress syndrome in preterm neonates.
AB - OBJECTIVE: To test the hypothesis that high circulating concentrations of
maternal anti-angiogenic factors are associated with increased risk of
respiratory distress syndrome (RDS). STUDY DESIGN: This is a nested case-control
study of nulliparous women who delivered less than 37 weeks of gestation within
the Calcium for Preeclampsia Prevention (CPEP) trial. The study included 116
women with preeclampsia or gestational hypertension and 323 normotensive
controls. Soluble fms-like tyrosine kinase 1 (sFlt1), placental growth factor
(PlGF) and soluble endoglin (sEng) in maternal serum were measured at 21-32 weeks
of gestation. RESULTS: Preterm infants born to hypertensive mothers were more
likely to develop RDS (22.5% vs. 20.9%, p = 0.03). After adjustment for
gestational age at delivery, the odds ratio for the relationship between
hypertension in pregnancy and RDS was 2.18 (95% CI 1.08-4.39). In hypertensive
pregnancies women whose infants developed RDS had significantly higher
circulating mean sFlt1 levels during midpregnancy (21-32 weeks of gestation) even
after adjustment for gestational age at delivery (21,516 pg/mL vs. 7,000 pg/mL, p
= 0.01). CONCLUSIONS: Preterm preeclampsia and gestational hypertension,
characterized by high circulating levels of sFlt1, are associated with a twofold
increased risk of RDS in infants delivered before 37 weeks. Among women with
these hypertensive pregnancies circulating sFlt1 concentrations during
midpregnancy were substantially higher in women whose infants developed RDS.
PMID- 22097925
TI - Investigational NMDA receptor modulators for depression.
AB - INTRODUCTION: With regards to depression, the role of N-methyl-D-aspartate
receptor (NMDA) was pursued many years ago, mainly in the form of preclinical
studies. Since then, there have been several clinical data in the literature
indicating the efficacy of NMDA receptor antagonists of either stand-alone or as
an adjunct therapy in depression and depression-related diseases. AREAS COVERED:
The present review focuses on clinical data of well-known and recently discovered
NMDA receptor antagonists/modulators and their mechanisms of action. EXPERT
OPINION: Several NMDA receptor modulators have been tested in both human and
animal studies to examine their potential antidepressant activity. Most of the
compounds that exhibited beneficial properties in the animal tests and models of
depression either have never been tested or did not show efficacy in humans. For
some of them, such as ketamine, where a consistently reproducible antidepressant
effect was found, clinical use is limited by a variety of adverse effects.
However, ketamine has become a standard tool for identifying the biological
factors associated with rapid antidepressant action and, as such, is a novel
target for the development of new therapeutics.
PMID- 22097926
TI - Evolving concepts in primary sclerosing cholangitis.
AB - Patients suffering from primary sclerosing cholangitis (PSC) show considerable
differences regarding clinical manifestations (i.e. large duct versus small-duct
PSC, presence or absence of concomitant inflammatory bowel disease), disease
progression, risk for malignancy and response to therapy, raising the question
whether PSC may represent a mixed bag of diseases of different aetiologies. The
growing list of secondary causes and diseases 'mimicking' or even overlapping
with PSC (e.g. IgG4-associated sclerosing cholangitis), which frequently causes
problems in clear-cut discrimination from classic PSC and the emerging knowledge
about potential disease modifier genes (e.g. variants of CFTR, TGR5 and MDR3)
support such a conceptual view. In addition, PSC in children differs
significantly from PSC in adults in several aspects resulting in distinct
therapeutic concepts. From a clinical perspective, appropriate categorization and
careful differential diagnosis are essential for the management of concerned
patients. Therefore, the aim of the current review is to summarize current and
evolving pathophysiological concepts and to provide up-to-date perspectives
including future treatment strategies for PSC.
PMID- 22097927
TI - Uric and 1-methyluric acids: metabolic wastes or antiradical protectors?
AB - The reactions of uric and 1-methyluric acids in nonpolar environments, as well as
those of the corresponding urate anions in aqueous solution, with (*)OH,
(*)OCH(3), (*)OOH, and (*)OOCH(3) have been studied using the density functional
theory. Different mechanisms of reactions have been taken into account, and their
relative importance on the antiradical activity of these compounds is analyzed.
Both uric and methyluric acids are better scavengers in aqueous solution than in
nonpolar media, which indicates that the urate anions are the most active
species. The free radical scavenging activity of the studied compounds was found
to be excellent for (*)OH, and very good for (*)OCH(3). In addition, 1-methyluric
acid is predicted to moderately protect against peroxyl oxidation, while the
protective effects of uric acid against these particular species are not expected
to be significant. In addition, 1-methyluric acid was found to be a better
radical scavenger than its precursor, caffeine, suggesting that the antiradical
activity of the latter might be explained by the action of its metabolites,
rather than by its direct activity.
PMID- 22097929
TI - Reconstruction of a beech population bottleneck using archival demographic
information and Bayesian analysis of genetic data.
AB - Range expansion and contraction has occurred in the history of most species and
can seriously impact patterns of genetic diversity. Historical data about range
change are rare and generally appropriate for studies at large scales, whereas
the individual pollen and seed dispersal events that form the basis of geneflow
and colonization generally occur at a local scale. In this study, we investigated
range change in Fagus sylvatica on Mont Ventoux, France, using historical data
from 1838 to the present and approximate Bayesian computation (ABC) analyses of
genetic data. From the historical data, we identified a population minimum in
1845 and located remnant populations at least 200 years old. The ABC analysis
selected a demographic scenario with three populations, corresponding to two
remnant populations and one area of recent expansion. It also identified
expansion from a smaller ancestral population but did not find that this
expansion followed a population bottleneck, as suggested by the historical data.
Despite a strong support to the selected scenario for our data set, the ABC
approach showed a low power to discriminate among scenarios on average and a low
ability to accurately estimate effective population sizes and divergence dates,
probably due to the temporal scale of the study. This study provides an unusual
opportunity to test ABC analysis in a system with a well-documented demographic
history and identify discrepancies between the results of historical, classical
population genetic and ABC analyses. The results also provide valuable insights
into genetic processes at work at a fine spatial and temporal scale in range
change and colonization.
PMID- 22097928
TI - Do brain responses to emotional images and cigarette cues differ? An fMRI study
in smokers.
AB - Chronic smoking is thought to cause changes in brain reward systems that result
in overvaluation of cigarette-related stimuli and undervaluation of natural
rewards. We tested the hypotheses that, in smokers, brain circuits involved in
emotional processing: (i) would be more active during exposure to cigarette
related than neutral pictures; and (ii) would be less active to pleasant compared
with cigarette-related pictures, suggesting a devaluation of intrinsically
pleasant stimuli. We obtained whole-brain blood oxygenation level-dependent
(BOLD) functional magnetic resonance imaging data from 35 smokers during the
presentation of pleasant (erotica and romance), unpleasant (mutilations and sad),
neutral, and cigarette-related pictures. Whole-brain analyses showed
significantly larger BOLD responses during presentation of cigarette-related
pictures relative to neutral ones within the secondary visual areas, the
cingulate gyrus, the frontal gyrus, the dorsal striatum, and the left insula.
BOLD responses to erotic pictures exceeded responses to cigarette-related
pictures in all clusters except the insula. Within the left insula we observed
larger BOLD responses to cigarette-related pictures than to all other picture
categories. By including intrinsically pleasant and unpleasant pictures in
addition to neutral ones, we were able to conclude that the presentation of
cigarette-related pictures activates brain areas supporting emotional processes,
but we did not find evidence of overall reduced activation of the brain reward
systems in the presence of intrinsically pleasant stimuli.
PMID- 22097930
TI - MRI features of hepatocellular carcinoma expressing progenitor cell markers.
AB - BACKGROUND & AIMS: To determine whether magnetic resonance (MR) imaging features
differ between hepatocellular carcinomas (HCCs) with and without expression of
progenitor cell markers, such as cytokeratin (CK) 19 and epithelial cell adhesion
molecule (EpCAM). METHODS: Sixty-three patients with 71 HCCs who underwent
surgery after preoperative gadoxetic acid-enhanced MR imaging were evaluated.
HCCs expressing progenitor cell markers were defined as showing CK19 or EpCAM
expression. MR imaging features, including the fat component, arterial
enhancement (global vs. peripheral), dynamic enhancement (washout vs. progressive
or persistent), nodule-in-nodule appearance and MR gross morphology (expanding
vs. non-expanding), were compared between HCCs with and without progenitor cell
markers expression. Lesion-to-liver signal intensity ratio (SIR) and apparent
diffusion coefficient values were compared using an independent samples t-test.
Early recurrence rates were also compared. RESULTS: HCCs expressing progenitor
cell markers were more commonly of the non-expanding type (P = 0.016), more
frequently had a progressive or persistent dynamic enhancement pattern (P =
0.008) and less frequently demonstrated a nodule-in-nodule appearance (P =
0.009). HCCs expressing progenitor cell markers had significantly higher SIRs on
diffusion-weighted images (DWIs) (b = 50 and 800, P < 0.001; b = 400, P = 0.001)
and a significantly lower SIR on hepatobiliary phase images (P = 0.024). The
early recurrence rate was significantly higher in patients with prior HCCs that
expressed progenitor cell markers (P = 0.045). CONCLUSIONS: HCCs expressing
progenitor cell markers can be characterized according to their non-expanding MR
gross morphology, persistent or progressive dynamic enhancement patterns, higher
SIRs on DWIs, lower SIRs on hepatobiliary phase images and less frequent nodule
in-nodule appearance.
PMID- 22097931
TI - Characterization of microRNA expression profiles associated with hepatitis B
virus replication and clearance in vivo and in vitro.
AB - BACKGROUND AND AIM: Alpha interferon (IFN-alpha) is an approved treatment for
chronic hepatitis B (CHB). MicroRNA (miRNA) are currently known as a part of IFN
mediated antiviral defense. We aimed at characterizing the miRNA expression
associated with hepatitis B virus (HBV) replication and IFN-mediated HBV
clearance. METHODS: We investigated the expression patterns of cellular miRNA
induced by HBV replication and/or IFN-alpha treatment in HepG2 cells, and also
analyzed the miRNA response in peripheral blood mononuclear cells in CHB patients
on IFN-alpha treatment. The differentially expressed miRNA were verified using
quantitative real-time polymerase chain reaction and an miRNA expression pattern
was classified based on the final virological response. RESULTS: A total of 223
miRNA were differentially expressed (> 1.5 folds) between the HepG2.2.15 and
HepG2 cells, including 24 highly differentially expressed miRNA (> 5 folds). With
12 h of IFN-alpha treatment, 23 totally differentially expressed miRNA were
identified in HepG2 cells; whereas only five miRNA were identified in HepG2.2.15
cells. Similar amounts of the miRNA were regulated in patients with HBeAg or non
HBeAg seroconversion; whereas levels of eight miRNA were significantly
differentially expressed between the two groups. CONCLUSIONS: HBV replication
alters miRNA expression profiles and impairs IFN-inducible miRNA response in
HepG2 cells. The miRNA expression pattern of peripheral blood mononuclear cells
in CHB patients with IFN therapy can be associated with their therapeutic
outcome.
PMID- 22097932
TI - Narrowband ultraviolet B phototherapy decreased the serum IL-17E level in a
patient with psoriasis vulgaris.
PMID- 22097933
TI - Predictors of persistence in girls with attention deficit hyperactivity disorder:
results from an 11-year controlled follow-up study.
AB - OBJECTIVE: This study sought to examine the age-dependent persistence of
attention deficit hyperactivity disorder (ADHD) and its predictors in a large
sample of girls with and without ADHD followed prospectively for 11 years into
young adulthood. METHOD: Participants were girls with (N=96) and without (N=91)
ADHD and were 6-17 years old at the baseline assessment (mean age, 11 years) and
15-30 years old at the follow-up assessment (mean: 22 years). Participants were
comprehensively and blindly assessed with structured diagnostic interviews and
assessments of cognitive, social, school, and family functioning. RESULTS: At
the 11-year follow-up, 33.3% met full criteria for ADHD, 29.2% showed partial
persistence of the disorder, 10.4% had impaired functioning, and 4.2% were
remitted but treated (77.1% of the sample). Predictors of persistence were
psychiatric comorbidity, family history of psychopathology, and family and school
functioning at baseline. CONCLUSION: These long-term, prospective, follow-up
findings extend to girls findings that ADHD is persistent over the long term and
can be predicted from psychosocial adversity and psychiatric comorbidity
ascertained 11 years earlier.
PMID- 22097934
TI - Towards an evidence-based process for the clinical interpretation of copy number
variation.
AB - The evidence-based review (EBR) process has been widely used to develop standards
for medical decision-making and to explore complex clinical questions. This
approach can be applied to genetic tests, such as chromosomal microarrays, in
order to assist in the clinical interpretation of certain copy number variants
(CNVs), particularly those that are rare, and guide array design for optimal
clinical utility. To address these issues, the International Standards for
Cytogenomic Arrays Consortium has established an EBR Work Group charged with
building a framework to systematically assess the potential clinical relevance of
CNVs throughout the genome. This group has developed a rating system enumerating
the evidence supporting or refuting dosage sensitivity for individual genes and
regions that considers the following criteria: number of causative mutations
reported; patterns of inheritance; consistency of phenotype; evidence from large
scale case-control studies; mutational mechanisms; data from public genome
variation databases; and expert consensus opinion. The system is designed to be
dynamic in nature, with regions being reevaluated periodically to incorporate
emerging evidence. The evidence collected will be displayed within a publically
available database, and can be used in part to inform clinical laboratory CNV
interpretations as well as to guide array design.
PMID- 22097935
TI - Bone mass of the mandible and the risk of breast cancer among Japanese
postmenopausal women.
PMID- 22097936
TI - Microsatellite instability: detection and management in sporadic colorectal
cancer.
PMID- 22097937
TI - Can tertiary prevention of hepatocellular carcinoma be achieved by nucleos(t)ide
analogs therapy of hepatitis B?
PMID- 22097938
TI - Literature review in cases with exacerbation of ulcerative colitis induced by
treatment with interferon and/or ribavirin.
AB - Ulcerative colitis (UC) is an immune disorder of the gastrointestinal tract which
has been reported to be precipitated by interferon (IFN) therapy. We describe the
results of a literature review of cases in which the development or exacerbation
of UC was coincident with IFN and/or ribavirin (RIB) treatment for chronic
hepatitis C. We summarized the studies on the effectiveness of IFN for UC or
Crohn's disease, which were primarily carried out in Europe and the USA. In the
nine reported cases of UC exacerbation by IFN therapy in Japan, seven involved
IFN-alpha, one involved IFN-alpha2b plus RIB, and the other involved IFN-beta;
thus cases induced by IFN-alpha were more common. The period between the
initiation of IFN treatment and the development or exacerbation of UC varied
widely among the reported cases (from 1 day to 4.5 years). The reports have all
assumed a cause-and-effect correlation between IFN treatment and UC. However,
although combination therapy of IFN and RIB has become widespread in Japan, UC
development or exacerbation induced by IFN has not increased concurrently.
Conversely, numerous studies reporting the effectiveness of IFN for treating UC
and Crohn's disease have been published in Europe and the USA. One reason for
this finding may be the difference in the balance of T helper cell 1 and T helper
cell 2 between populations.
PMID- 22097939
TI - Hepatitis C recurrence after liver transplantation: has the human leukocyte
antigen mismatching at individual loci a role?
AB - BACKGROUND AND AIM: The objective of this 11-year cohort retrospective study
conducted in adult patients with chronic hepatitis C virus (HCV) who underwent
liver transplantation (LT) was to identify whether human leukocyte antigen (HLA)
mismatching is associated with the recurrence of HCV and with the time to
recurrence of HCV. METHODS: Among the 181 patients (74% men; mean age: 54 years,
range 25-71) who underwent a LT between 1995 and 2006 in the study center, 163
had relevant data in their medical chart documenting HCV recurrence, and 107
(65.64%) reported a histological evidence of HCV recurrence. RESULTS: Survival
was 78% at 5 years. There was no significant relationship between the total score
of HLA-mismatches and the recurrence of HCV. Similarly, there was no significant
relationship between the total score of HLA mismatches and the time to recurrence
of HCV. For the analyses at each individual locus, a significant relationship
between the individual scores of HLA-mismatches and the recurrence of HCV were
observed. Out of the 40 patients who experienced a rejection, the rate of
recurrence was not different according to the severity of the rejection (75%
mild, 64% moderate and 64% for severe rejection). CONCLUSIONS: In conclusion,
this large study did not demonstrate any relationship between the total score of
HLA mismatches and HCV-recurrence. Contrarily a significant relationship between
the individual scores of HLA mismatches (HLA-A3, HLA-B35, HLA-DR3, HLA-DR7, HLA
DQ2, HLA-DQ2-0) and the recurrence of HCV were observed.
PMID- 22097940
TI - Education and imaging. Gastrointestinal: asymptomatic spontaneous isolated
dissection of superior mesenteric artery diagnosed incidentally.
PMID- 22097941
TI - Education and imaging. Gastrointestinal: a gastrointestinal stromal tumour (GIST)
arising in a Meckel's diverticulum.
PMID- 22097942
TI - Education and imaging. Hepatobiliary and pancreatic: Niemann-Pick disease.
PMID- 22097945
TI - Bone formation analysis: effect of quantification procedures on the study
outcome.
AB - Quantification of the amount of newly formed bone is an essential part of bone
regeneration studies. Histomorphometry, based on histological sections of plastic
embedded specimens, is the most frequently applied technique in this assessment.
Before performing image analysis, a specific region of interest (ROI) has to be
determined. Based on the histological procedure, different areas within the ROI
can be discriminated and assigned to relevant tissue structures. However, in
literature not much attention is paid to the effect of the histological
procedures on the final outcome of the histomorphometrical measurements on bone
regeneration. In this study, the histomorphometrical bone formation of the
intramedullary cavity of the guinea pig tibia, filled with calcium phosphate
cement, was quantified in plastic-embedded and paraffin-embedded specimens and in
specimens analyzed with scanning electron microscopy in the backscattering mode
(SEM-BS). The data showed that the histological procedure significantly affected
the measured bone amount. Therefore, it is recommended that scaffold
characteristics are carefully considered in selecting a proper technique for the
analysis of bone formation in bone tissue engineering studies. The results of
this study identified high-resolution SEM-BS and elastic van Gieson staining of
decalcified histological sections as recommendable techniques for evaluating bone
formation.
PMID- 22097946
TI - Improved ruthenium catalysts for Z-selective olefin metathesis.
AB - Several new C-H-activated ruthenium catalysts for Z-selective olefin metathesis
have been synthesized. Both the carboxylate ligand and the aryl group of the N
heterocyclic carbene have been altered and the resulting catalysts evaluated
using a range of metathesis reactions. Substitution of bidentate with monodentate
X-type ligands led to a severe attenuation of metathesis activity and
selectivity, while minor differences were observed between bidentate ligands
within the same family (e.g., carboxylates). The use of nitrato-type ligands in
place of carboxylates afforded a significant improvement in metathesis activity
and selectivity. With these catalysts, turnover numbers approaching 1000 were
possible for a variety of cross-metathesis reactions, including the synthesis of
industrially relevant products.
PMID- 22097952
TI - Genetic prion disease-associated myelodysplasia and SIADH in siblings.
PMID- 22097953
TI - Termination of refractory focal status epilepticus by the P-glycoprotein
inhibitor verapamil.
PMID- 22097954
TI - De novo P102L mutation in a patient with Gerstmann-Straussler-Scheinker disease.
PMID- 22097955
TI - Procedure of generating the individually matched bone scaffolds.
AB - The pace of modern life forced continuous high readiness and proper condition of
motion systems on human beings. The techniques used in medicine and orthopaedics
enable treatment of even highly complicated injuries and pathological states. One
of them involves the use of bone scaffolding - the technique being intensively
developed, which seems to have a promising future. Based on a numerical
modelling, it is possible to match that type of implant to the needs of
individual patient, with consideration for both biomechanical factors (patient
weight, bone size and its defects) and the applicable implantation techniques.
Vast possibilities are offered by the application of the finite element method as
a technique enabling verification of an implant with the individually matched
geometry and material. The paper presents the procedure aimed at generating the
bone scaffold structure that enables the stresses created in the contact places
of implant with the surrounding bone tissue to be reduced. High stresses may lead
to local damages to the tissue and, in extreme cases, to the destruction of a
scaffold. The present procedure is based on the theory of genetic algorithms and,
due to several models widely known in biomechanics, allows stresses in places of
bone contact with implant to be significantly reduced.
PMID- 22097956
TI - A cutaneous vascular neoplasm with hobnail microscopic morphology and unusual
gross features.
AB - Vascular tumors are categorized into benign hemangiomas, frankly malignant
angiosarcomas and tumors with intermediate biological behavior
(hemangioendotheliomas). The latter group includes hemangioendotheliomas of the
epithelioid, kaposiform, retiform and composite subtypes. Furthermore, a
heterogeneous group of both benign and intermediate vascular tumors exhibits a
peculiar hobnail cell morphology. This heterogeneous group encompasses hobnail
hemangioma, retiform hemangioendothelioma, papillary intralymphatic
angioendothelioma and a subset of angiosarcoma. We herein present a case of a
cutaneous vascular neoplasm with hobnail morphology and unusual gross features.
PMID- 22097957
TI - Oral infection with Porphyromonas gingivalis and systemic cytokine profile in
C57BL/6.KOR-ApoE shl mice.
AB - BACKGROUND AND OBJECTIVE: Periodontal infection affects atherosclerotic diseases,
such as coronary heart diseases. Mouse models have revealed that oral infection
with Porphyromonas gingivalis induces changes in inflammatory- and lipid
metabolism-related gene expression, regardless of the development of
atherosclerotic lesions. However, the serum protein expression profile in the
oral infection model has not been investigated. The present study aimed to
analyse the effect of oral infection with P. gingivalis on the expression levels
of multiple cytokines in the serum in apolipoprotein E-deficient mice by using a
cytokine antibody array. MATERIAL AND METHODS: C57BL/6.KOR-Apoe(shl) mice were
orally infected with P. gingivalis five times at 3 day intervals and were then
killed. Splenocytes were isolated and analysed for proliferative activity and
immunoglobulin G (IgG) production in response to in vitro restimulation with P.
gingivalis. The expression levels of various cytokines in the sera were analysed
using a mouse antibody array glass chip. RESULTS: Splenocytes from P. gingivalis
infected mice demonstrated significantly greater proliferation and IgG production
in response to P. gingivalis compared with those from sham-infected mice.
Antibody array analysis revealed the selective upregulation of matrix
metalloproteinase 3, intercellular adhesion molecule 1, insulin-like growth
factor binding protein 2 and chemokine (C-X-C motif) ligand 7 and the
downregulation of interleukin-17, tumor necrosis factor-alpha and L-selectin.
CONCLUSION: These data demonstrate that oral infection with P. gingivalis induces
alterations in systemic cytokine production. These cytokines could play roles in
the development not only of periodontitis but also of atherosclerosis.
PMID- 22097958
TI - Molecular dynamics simulation and free energy calculation studies of the binding
mechanism of allosteric inhibitors with p38alpha MAP kinase.
AB - p38 MAP kinase is a promising target for anti-inflammatory treatment. The
classical kinase inhibitors imatinib and sorafenib as well as BI-1 and BIRB-796
were reported to bind in the DFG-out form of human p38alpha, known as type II or
allosteric kinase inhibitors. Although DFG-out conformation has attracted great
interest in the design of type II kinase inhibitors, the structural requirements
for binding and mechanism of stabilization of DFG-out conformation remain
unclear. As allosteric inhibition is important to the selectivity of kinase
inhibitor, herein the binding modes of imatinib, sorafenib, BI-1 and BIRB-796 to
p38alpha were investigated by molecular dynamics simulation. Binding free
energies were calculated by molecular mechanics/Poisson-Boltzmann surface area
method. The predicted binding affinities can give a good explanation of the
activity difference of the studied inhibitors. Furthermore, binding free energies
decomposition analysis and further structural analysis indicate that the
dominating effect of van der Waals interaction drives the binding process, and
key residues, such as Lys53, Gly71, Leu75, Ile84, Thr106, Met109, Leu167, Asp168,
and Phe169, play important roles by forming hydrogen bond, salt bridge, and
hydrophobic interactions with the DFG-out conformation of p38alpha. Finally, we
also conducted a detailed analysis of BI-1, imatinib, and sorafenib binding to
p38alpha in comparison with BIRB-796 exploited for gaining potency as well as
selectivity of p38 inhibitors. These results are expected to be useful for future
rational design of novel type II p38 inhibitors.
PMID- 22097959
TI - New species of Arostrilepis (Eucestoda: Hymenolepididae) in members of Cricetidae
and Geomyidae (Rodentia) from the western Nearctic.
AB - Abstract : Specimens originally identified as Arostrilepis horrida from the
Nearctic are revised, contributing to the recognition of a complex of cryptic
species distributed across the Holarctic region. Previously unrecognized species
are described based on specimens in cricetid (Neotominae) and geomyid rodents.
Arostrilepis mariettavogeae n. sp. in Peromyscus californicus from Monterey
County, California and Arostrilepis schilleri n. sp. in Thomomys bulbivorus
from Corvallis, Oregon are characterized. Consistent with recent studies defining
diversity in the genus, form, size, and spination (pattern, shape, and size) of
the cirrus are diagnostic; species are further distinguished by the relative
position and length of the cirrus sac and arrangement of the testes. Species of
Arostrilepis have not previously been described in rodents outside of the
Arvicolinae or from localities in the Nearctic. These studies emphasize the need
for routine deposition of archival specimens and information, from survey,
ecological, and biogeographic studies, in museum collections to serve as self
correcting records for biodiversity at local, regional, and continental scales.
PMID- 22097960
TI - Tryptophan 697 modulates hydride and interflavin electron transfer in human
methionine synthase reductase.
AB - Human methionine synthase reductase (MSR), a diflavin oxidoreductase, plays a
vital role in methionine and folate metabolism by sustaining methionine synthase
(MS) activity. MSR catalyzes the oxidation of NADPH and shuttles electrons via
its FAD and FMN cofactors to inactive MS-cob(II)alamin. A conserved aromatic
residue (Trp697) positioned next to the FAD isoalloxazine ring controls
nicotinamide binding and catalysis in related flavoproteins. We created four MSR
mutants (W697S, W697H, S698Delta, and S698A) and studied their associated kinetic
behavior. Multiwavelength stopped-flow analysis reveals that NADPH reduction of
the C-terminal Ser698 mutants occurs in three resolvable kinetic steps
encompassing transfer of a hydride ion to FAD, semiquinone formation (indicating
FAD to FMN electron transfer), and slow flavin reduction by a second molecule of
NADPH. Corresponding experiments with the W697 mutants show a two-step flavin
reduction without an observable semiquinone intermediate, indicating that W697
supports FAD to FMN electron transfer. Accelerated rates of FAD reduction, steady
state cytochrome c(3+) turnover, and uncoupled NADPH oxidation in the S698Delta
and W697H mutants may be attributed to a decrease in the energy barrier for
displacement of W697 by NADPH. Binding of NADP(+), but not 2',5'-ADP, is tighter
for all mutants than for native MSR. The combined studies demonstrate that while
W697 attenuates hydride transfer, it ensures coenzyme selectivity and accelerates
FAD to FMN electron transfer. Moreover, analysis of analogous cytochrome P450
reductase (CPR) variants points to key differences in the driving force for
flavin reduction and suggests that the conserved FAD stacking tryptophan residue
in CPR also promotes interflavin electron transfer.
PMID- 22097961
TI - Capsaicin instillation for postoperative pain following total knee arthroplasty.
PMID- 22097962
TI - Evaluation of risk factors and effect of physical activity in caesarean section
in nulliparous women.
AB - OBJECTIVE: The aim of this study was to evaluate risk factors for caesarean
section (CS) in nulliparous women and to determine the effect of physical
activity on ease of labour. METHODS: A prospective observational study, including
282 nulliparous women at 37-41 gestational weeks was conducted. Maternal
demographic characteristics, obstetric history and Modified Grimby scale for
evaluation of physical activity were noted. Patients were classified into
spontaneous labour and induction group. Multiple logistic regression model was
used to assess independent risk factors for type of delivery. RESULTS: Caesarean
rate was increasing with higher educational status (p < 0.001). Maternal height,
maternal and paternal age were higher, whereas dilatation on admission was lower
in CS group (p < 0.05). Maternal age, weight gain and CS rate were higher in
induction group (p < 0.05). Fetal distress as a cause of CS was detected higher
in induction group (p < 0.05). Physical activity has no significant effect on
mode of delivery (p > 0.05). Maternal height (p = 0.011), and cervical dilatation
on admission (p = 0.004) were identified as predictor factors for CS. CONCLUSION:
Cervical dilatation is the most important negative predictive factor for CS,
whereas, moderate physical activity has no effect on mode of delivery. Fetal
distress was the most frequent cause of CS in induction group.
PMID- 22097963
TI - Tunnel frit: a nonmetallic in-capillary frit for nanoflow ultra high-performance
liquid chromatography-mass spectrometryapplications.
AB - In this study, an easy method to fabricate a durable in-capillary frit was
developed for use in nanoflow liquid chromatography (nanoLC). A small orifice was
tunneled into the sol-gel frit during the polymerization process resulting in the
simple fabrication of a tunnel frit. A short packing tunnel frit column (2 cm,
C(18) particles) was able to sustain over 10,000 psi continuous liquid flow for
10 days without observation of particle loss, and back pressure variation was
less than 5%. The tunnel frit was successfully applied to the fabrication of
nanoflow ultra high-performance liquid chromatography (nano-UHPLC) trap and
analytical columns. In the analysis of tryptic peptides, the tunnel frit trap and
analytical columns were demonstrated to have high separation efficiency and
sensitivity. In analysis of phosphopeptides, the use of the nonmetallic tunnel
frit column showed better sensitivity than the metallic frit column. This design
can facilitate the preparation of nano-HPLC and nano-UHPLC columns and the
packing material can easily be refilled when the column is severely contaminated
or clogged.
PMID- 22097966
TI - Multiple lines of evidence for demographic and range expansion of a temperate
species (Hyla sarda) during the last glaciation.
AB - Many temperate species experienced demographic and range contractions in response
to climatic changes during Pleistocene glaciations. In this study, we investigate
the evolutionary history of the Tyrrhenian tree frog Hyla sarda, a species
inhabiting the Corsica-Sardinia island system (Western Mediterranean basin). We
used sequence analysis of two mitochondrial (overall 1229 bp) and three nuclear
(overall 1692 bp) gene fragments to assess the phylogeography and demographic
history of this species, and species distribution modelling (SDM) to predict its
range variation over time. Phylogeographic, historical demographic and SDM
analyses consistently indicate that H. sarda does not conform to the scenario
generally expected for temperate species but rather underwent demographic and
range expansion mostly during the last glacial phase. Palaeogeographic data and
SDM analyses suggest that such expansion was driven by the glaciation-induced
increase in lowland areas during marine regression. This unusual scenario
suggests that at least some temperate species may not have suffered the adverse
effects of glacial climate on their population size and range extent, owing to
the mitigating effects of other glaciations-induced palaeoenvironmental changes.
We discuss previous clues for the occurrence of such a scenario in other species
and some possible challenges with its identification. Early phylogeographic
literature suggested that responses to the Pleistocene glacial-interglacial
cycles were expected to vary among species and regions. Our results point out
that such variation may have been greater than previously thought.
PMID- 22097967
TI - Role of stress-induced NKG2D ligands in liver diseases.
AB - Cell death by apoptosis is a prominent feature in a variety of liver diseases. It
is likely that apoptosis is the initial cellular response to hepatocyte and
biliary injury, which then leads to the initiation of cellular and cytokine
cascades culminating in hepatocyte death with subsequent fibrosis and cirrhosis.
This sequence of events is of paramount clinical importance. Recently, soluble
forms of the major histocompatibility complex class I-related chains A and
closely related B (MIC A and B) were reported to be increased in patients with a
variety of liver diseases. MIC A and B are cell surface glycoproteins that
function as indicators for cellular stress and thus activate circulating
cytotoxic natural killer (NK) cells. The interaction between MIC A and B with
their cognate receptor natural killer group 2 member D (NKG2D) culminates in
enhanced liver cell death, which is mediated in part by apoptotic mechanisms. The
present overview focuses on the role of the stress-induced NKG2D ligands MIC A
and B in diverse liver diseases. Critical insights into these complex relations
may help to promote rationally based therapies in liver diseases. Importantly, we
hope that this overview will help to stimulate further studies into mechanisms by
which stress ligands mediate cell death and its sequale.
PMID- 22097968
TI - Structural and dynamical insights into the molten-globule form of ovalbumin.
AB - Ovalbumin is a 45 kDa egg-white glycoprotein which belongs to the class of serpin
superfamily. We have studied the structural properties of both native and
partially unfolded molten-globule forms of ovalbumin using a diverse array of
spectroscopic tools. Time-resolved fluorescence measurements provided important
structural and dynamical insights into the native and molten-globule states.
Fluorescence anisotropy decay analysis indicated that there is a conformational
swelling from the native to the molten-globule form of ovalbumin. We have also
carried out red-edge excitation shift measurements to probe the dipolar
relaxation dynamics around the intrinsic tryptophan residues. Additionally,
stopped-flow fluorescence experiments revealed that the conformational transition
from the native to the molten-globule form proceeds in a stepwise manner
involving a burst-phase with a submillisecond conformational change followed by
biphasic slower conformational reorganizations on the millisecond time scale
leading to the final molten-globule state.
PMID- 22097965
TI - Toxicological considerations when creating nanoparticle-based drugs and drug
delivery systems.
AB - INTRODUCTION: The biggest challenge faced by the scientific community involved in
drug development is to deliver safe and effective dosage of drugs without causing
systemic toxicity. Therefore, novel nano-based delivery vehicles specifically
targeting tumors but not normal tissues are urgently needed. AREAS COVERED:
Nanoparticles have beneficial aspects but can be toxic themselves, which is
always a concern for any drug or delivery agent. This review examines and details
the toxicological aspects that should be considered when planning to use
nanoparticles in animals or in man for drug delivery or imaging. Subjects
discussed in this review include i) overviews of applications of various
nanoparticles for drug delivery and imaging; ii) toxicological aspects to
consider when selecting particular nanoparticles for use in various applications
in animals or man; iii) hurdles faced when examining nanoparticle toxicity; and
iv) current approaches for assessing nanoparticle toxicity. EXPERT OPINION:
Nanotechnology has significant potential for advancing therapeutic efficacy and
imaging in cancer; however, these agents can be toxic. Therefore, toxicity needs
to be considered when selecting nanoparticles for a particular application.
Methods for assessing nanoparticle toxicity need to be improved and standardized
across all nanotechnology platforms in order to speed up the application of
nanoparticle use in humans.
PMID- 22097969
TI - Cyclooxygenase-2 and Akt mediate multiple growth-factor-induced epithelial
mesenchymal transition in human hepatocellular carcinoma.
AB - BACKGROUND AND AIM: Cancer invasion and metastasis are characterized by
epithelial-mesenchymal transition (EMT). Hepatocellular carcinoma (HCC) causes
metastasis and significant mortality. Elucidating factors promoting EMT in HCC
are necessary to develop effective therapeutic strategies. METHODS: The LH86 cell
line was developed in our laboratory from well-differentiated HCC without
associated hepatitis or cirrhosis and used as a model to study EMT in HCC.
Effects of transforming growth factor beta-1, epidermal growth factor, hepatocyte
growth factor and basic fibroblast growth factor (bFGF) were examined using
morphology, molecular markers, effects on migration and tumorigenicity. The
involvement of cyclooxygenase-2 (COX-2) and Akt were examined. RESULTS: LH86
cells display epithelial morphology. Transforming-growth-factor-beta-1-,
epidermal-growth-factor-, hepatocyte-growth-factor- and basic-fibroblast-growth
factor-induced mesenchymal changes in them were associated with loss of E
cadherin, albumin, alpha-1 anti-trypsin expression and increased expression of
vimentin, collagen I and fibronectin. There was associated increased migration,
tumorigenicity and increased expression of COX-2, prostaglandin E2 (PGE2), Akt
and phosphorylated Akt. Inhibition of COX-2 and Akt pathways led to inhibition of
characteristics of EMT. CONCLUSIONS: Multiple growth factors induce EMT in HCC.
COX-2 and Akt may mediate EMT-associated development and progression of HCC and
molecular targeting of COX-2 and Akt may be an effective therapeutic or
chemopreventive strategy in advanced and metastatic HCC.
PMID- 22097970
TI - Patent blue dye and an atypical anaphylactic reaction after sentinel lymph node
biopsy in early breast cancer.
PMID- 22097971
TI - The use of tumescent local anaesthesia in ablative laser treatments.
PMID- 22097975
TI - Outcomes of the Seventh International Conference on Pediatric Mechanical
Circulatory Support Systems and Pediatric Cardiopulmonary Perfusion and Second
Annual Meeting of the International Society for Pediatric Mechanical
Cardiopulmonary Support.
PMID- 22097972
TI - Five years of treatment with adefovir dipivoxil in Chinese patients with HBeAg
positive chronic hepatitis B.
AB - BACKGROUND: Adefovir dipivoxil (ADV) is a nucleotide analogue with proven
efficacy in chronic hepatitis B (CHB). AIMS: This study investigated long-term
ADV treatment in HBeAg-positive patients. METHODS: A total of 480 Chinese
subjects with HBeAg-positive CHB who participated in a 1-year, double-blind,
placebo-controlled study of ADV 10 mg daily were offered open-label continuation
for a further 208 weeks. RESULTS: A total of 390 subjects completed 5 years of
treatment. Baseline median hepatitis B virus (HBV) DNA was 8.8 log(10) copies/ml
and alanine aminotransferase (ALT) 2.6 * upper limit of normal. Treatment with
ADV resulted in sustained suppression of median HBV DNA by 4.8, 5.0, 5.1, 5.4 and
5.5 log(10) copies/ml after 1, 2, 3, 4 and 5 years respectively. Continuous
treatment with ADV led to a progressive increase in the proportion of subjects
achieving undetectable HBV DNA, from 28% after 1 year to 58% after 5 years. HBeAg
seroconversion rates increased cumulatively from 11% after 1 year to 29% after 5
years. HBsAg seroconversion was achieved by 1.0% of patients. ADV resulted in ALT
normalization that was maintained throughout this study in 75-79% of subjects.
Virological breakthrough associated with ADV resistant mutations (rtN236T and
rtA181V) occurred in 14.6% of subjects. ADV was well tolerated. CONCLUSION: Five
years of ADV treatment in Chinese subjects with HBeAg-positive CHB resulted in
increasing virological and serological responses and sustained biochemical
responses over time. Virological resistance was identified in 14.6% of patients.
Urgent switch or add-on therapy with a nucleoside analogue is necessary if ADV
resistant mutations are detected, particularly rtN236T. Treatment was well
tolerated.
PMID- 22097976
TI - Istanbul Symposiums on Pediatric Extracorporeal Life Support Systems.
PMID- 22097977
TI - The impact of extracorporeal membrane oxygenation on survival in pediatric
patients with respiratory and heart failure: review of our experience.
AB - Extracorporeal membrane oxygenation (ECMO) is widely used for circulatory support
in pediatric cardiac patients with low cardiac output and hypoxemia. We
retrospectively evaluated the efficacy of ECMO support for respiratory and heart
failure in infants and children. From April 2002 to February 2011, 14 patients
aged 19 days to 20 years old (average 44 months), with body weight 2.6 kg to 71
kg (median 14.1 kg), underwent ECMO support for failing cardiac function,
hypoxemia, and low cardiac output syndrome. In 12 patients, ECMO was introduced
after operation for congenital heart disease (four with complete repair including
Fontan circulation, and eight with palliative repair). In one patient, ECMO was
introduced after partial pulmonary resection for congenital cystic adenomatoid
malformation because of respiratory failure. ECMO was introduced in a patient
with severe heart failure caused by fulminant myocarditis. Patients'
demographics, duration of extracorporeal membrane oxygenation, additional
support, and outcomes were analyzed. Ten patients (71%) were successfully weaned
from ECMO, and eight patients (57%) were discharged from the hospital. The mean
duration of ECMO support was 332 h (range 11-2030 h). Although management of the
ECMO circuit, including anticoagulation (activated clotting time: 150-250), was
conducted following the institutional practice guidelines, it was difficult to
control the bleeding. Seven patients required renal replacement therapy during
ECMO support using peritoneal dialysis or continuous hemodiafiltration. Five
patients had additional operative procedures: systemic-pulmonary shunt in two,
bidirectional Glenn takedown with right modified Blalock-Taussig shunt, total
cavopulmonary connection takedown, and redo ECMO in one patient each. The patient
who had the longest ECMO support for respiratory failure due to acute respiratory
distress syndrome after lung surgery was successfully weaned from ECMO because
high-frequency oscillation (HFO) improved respiratory function. ECMO for heart
and respiratory failure in infants and children is effective and allows time for
recovery of cardiac dysfunction and acute hypoxic insult. The long-term ECMO
support for over 2000 h was very rare, but it was possible to wean this patient
from ECMO using HFO.
PMID- 22097978
TI - Comparison of parameters for detection of splanchnic hypoxia in children
undergoing cardiopulmonary bypass with pulsatile versus nonpulsatile normothermia
or hypothermia during congenital heart surgeries.
AB - The aim of this study is to evaluate gastric mucosal oxygenation together with
whole-body oxygen changes in infants undergoing congenital heart surgery with
cardiopulmonary bypass (CPB) procedure and the use of either pulsatile or
nonpulsatile mode of perfusion with normothermia and pulsatile or nonpulsatile
moderate hypothermia. Sixty infants undergoing congenital cardiac surgery were
randomized into four groups as: nonpulsatile normothermia CPB (NNCPB, n = 15),
pulsatile normothermia CPB (PNCPB, n = 15), nonpulsatile moderate hypothermia CPB
(NHCPB, n = 15), and pulsatile moderate hypothermia CPB (PHCPB, n = 15) groups.
In NNCPB and PNCPB groups, mild hypothermia was used (35 degrees C), whereas in
NHCPB and PHCPB groups, moderate hypothermia (28 degrees C) was used. Gastric
intramucosal pH (pHi), whole-body oxygen delivery (DO(2)) and consumption
(VO(2)), and whole-body oxygen extraction fraction were measured at sequential
time points intraoperatively and up to 2 h postoperatively. The measurement of
continuous tonometry data was collected at desired intervals. The values of
DO(2), VO(2), and whole-body oxygen extraction fraction were not different
between groups before CPB and during CPB, whereas the PNCPB group showed higher
values of DO(2), VO(2), and whole-body oxygen extraction fraction compared to the
other groups at the measurement levels of 20 and 60 min after aortic cross clamp,
end of CPB, and 2 h after CPB (P < 0.0001). Between groups, no difference was
observed for pHi, lactate, and cardiac index values (P > 0.05). This study shows
that the use of normothermic pulsatile perfusion (35 degrees C) provides better
gastric mucosal oxygenation as compared to other perfusion strategies in neonates
and infants undergoing congenital heart surgery with CPB procedures.
PMID- 22097979
TI - A method for anticoagulation of children on mechanical circulatory support.
AB - Anticoagulation of children on mechanical circulatory support presents a
challenge. We implanted 28 devices in children and infants using a consistent
anticoagulation protocol. We performed a retrospective review of all children
implanted in our program with mechanical assist devices since 1997. Heparin,
dipyridamole, and aspirin were used for anticoagulation and antiaggregation.
Coagulation monitoring included thromboelastography (TEG), platelet aggregration
studies, international normalized ratio, partial thromboplastin time, and
platelet count. Twenty-eight children, ages 1 month to 16 years (mean 5.3; median
2.4 years), were implanted for 3-107 days (mean 27; median 17). Eighteen received
left ventricular assist devices, seven received biventricular assist devices, and
three received total artificial hearts. Adverse events during the 720 days of
device support included the following: six (21%) reoperations for bleeding; seven
strokes (25%): two fatal, two with a mild residual deficit, and three without
deficit; and three (11%) visceral emboli: two fatal and one nonfatal. There were
eight deaths (29%). Causes of death were embolic (four), graft failure post
transplantation (one), preimplant anoxic brain damage (two), and postexplant
heart failure (one). 24/28 (86%) survived to transplantation or weaning from
device and 20/28 (71%) were discharged from the hospital, 10 after
transplantation and 10 after native heart recovery. All 20 early survivors
survived long term. We describe an anticoagulation protocol based upon TEG and
platelet aggregation studies and using heparin, aspirin, and dipyridamole.
Adequate anticoagulation is more difficult in children. However, 71% of the
patients in our study survived long term.
PMID- 22097980
TI - Antithrombin replacement during extracorporeal membrane oxygenation.
AB - Heparin remains the predominant anticoagulant during extracorporeal membrane
oxygenation (ECMO). Heparin acts by potentiating the anticoagulant effect of
antithrombin (ATIII). Acquired ATIII deficiency, common in pediatric patients
requiring ECMO, may result in ineffective anticoagulation with heparin. ATIII
replacement may result in increased bleeding. Our objective is to determine
ATIII's effect on anticoagulation and blood loss during ECMO. A retrospective
chart review was performed of all patients at Children's Hospital of Wisconsin
who received ATIII while supported on ECMO in 2009. ATIII activity levels,
heparin drip rate, and activated clotting times (ACT) were compared before, 4, 8,
and 24 h after ATIII administration. Chest tube output and packed red blood cell
(pRBC) transfusion volume were compared from 24 h before ATIII administration to
24 h after. Twenty-eight patients received ATIII as a bolus dose during the
course of 31 separate times on ECMO support. The median age of these patients was
0.3 years (range 1 day-19.5 years). ATIII activity increased significantly at 8
and 24 h after administration. No significant difference was noted in heparin
drip rate, ACT levels, chest tube output, or pRBC transfusion volume. ATIII
administration resulted in higher ATIII activity levels for 24 h without a
significant effect on heparin dose, ACT, or measures of bleeding.
PMID- 22097981
TI - Influence of mild metabolic acidosis on cardiac contractility and isoprenaline
response in isolated ovine myocardium.
AB - The postoperative course after major surgical procedures such as cardiothoracic
operations is often accompanied by acute metabolic abnormalities due to large
volume and temperature shifts. In general, those intervention-induced trauma
might cause the use of catecholamines to stabilize hemodynamics. Within the
cardiac community, there are still controversial discussions about standardized
medical therapy to treat postoperative acidosis, for example, buffering versus
nonbuffering for improving catecholaminergic response of myocardial
contractility. The aim of this study was to investigate the influence of mild
(and thus clinically relevant) acidosis on myocardial contractility and
catecholamine response in explanted trabeculae of ovine hearts. Intact trabeculae
(n = 24) were isolated from the right ventricle of healthy sheep hearts. Two
different groups (group 1: pH = 7.40, n = 9 and group 2: pH = 7.20, n = 13) were
investigated, and force amplitudes were measured at frequencies between 30 and
180 beats per minute and increasing catecholamine concentrations (isoprenaline 0
3 * 10(-6) mM). Force-frequency relation experiments in the presence of a
physiological and/or mild acidotic pH solution showed no significant differences.
Mean force amplitudes normalized to the lowest frequency showing no significant
differences in force development between 0.5 and 3 Hz (n = 9 vs. 13, P = n.s.)
(0.5 Hz absolute values 3.1 +/- 2.6 for pH = 7.40 vs. 3.8 +/- 2.6 mN/mm(2) for pH
= 7.20, P = n.s.). Moreover, there was no significant difference in relaxation
kinetics between the two groups. Furthermore, the experiments showed similar
catecholamine responses in both groups. Force amplitudes normalized to baseline
and maximum force showed no significant differences in force development between
baseline and maximum isoprenaline concentrations (n = 6 vs. 9, P = n.s.)
(baseline absolute values 4.3 +/- 4.0 for pH = 7.40 vs. 3.9 +/- 1.2 mN/mm(2) for
pH = 7.20, P = n.s.). Additionally, relaxation kinetics did not show differences
after catecholamine stimulation. The presented experiments revealed no
significant negative inotropic effects on isometrically contracting ovine
trabeculae with mild metabolic acidosis (pH = 7.2) compared with physiological pH
(7.4). Additionally, similar catecholamine responses were seen in both groups.
Further investigations (e.g., in vivo and/or in failing hearts with reduced
compensatory reserves) will be necessary to examine optimal medical treatment for
metabolic abnormalities after cardiac surgery.
PMID- 22097982
TI - Cardioplegia and angiotensin II receptor antagonists modulate signal transducers
and activators of transcription activation in neonatal rat myocytes.
AB - Previous investigations have shown that the signal transducers and activators of
transcription (STATs) signaling pathway play an important role in the modulation
of apoptosis after ischemia and reperfusion. The mechanism for this enhanced
cardioprotection is unknown, but we believe that alterations STATs may play a
role. To investigate this hypothesis, we examined the effects of angiotension II
type 1 (AT1) and angiotension II type 2 (AT2) receptor antagonist added to
cardioplegia on the downstream response of different STATs, connected with
proinflammatory pathways (STAT2, STAT5) and prohypertrophic and antiapoptotic
pathways (STAT3). Isolated, nonworking hearts (n = 3 per group) from neonatal
rats were perfused aerobically (4 degrees C) for 20 min in the Langendorff mode
with the modified St. Thomas' Hospital no. 2 (MSTH2) cardioplegic solution (Group
1), the MSTH2 cardioplegic solution + AT1 receptor antagonist (Group 2), and
MSTH2 cardioplegic solution + AT2 receptor antagonist (Group 3). Thus, myocytes
were isolated by enzymatic digestion, and STAT2, STAT3, and STAT5 were
investigated in Western blot studies. Times to arrest after cardioplegia were 8
12 s for all groups. Total cardioplegia delivery volume was about 300 mL for the
20 min. Perfusion with the MSTH2 cardioplegic solution supplemented with AT1
receptor antagonist (Group 2) induced a significant reduction in STAT2 and STAT5
tyrosine phosphorylation (-58 and -63%, respectively, vs. Group 1, P < 0.05).
Conversely, STAT2 and STAT5 activation were unaffected by perfusion with the
MSTH2 cardioplegic solution supplemented with AT2 receptor antagonist (Group 3).
The decreased activation of STAT2 and STAT5 observed in Group 2 was accompanied
by reduction of interleukin-1beta (-57% in Group 2 vs. Group 1, P < 0.05). There
were no significant differences in STAT3 phosphorylation among all groups. Only
the addition of AT1 receptor antagonist to MSTH2 cardioplegia significantly
decreases the inflammatory response of the neonatal rat cardiomyocytes without
affecting antiapoptotic influence provided by tyrosine phosphorylation of STAT3.
AT1 receptor antagonist added to cardioplegia represents an additional modality
for enhancing myocardial protection during cardiac surgery and could contribute
to optimize the ischemia tolerance of the pediatric heart.
PMID- 22097983
TI - Development of magnetic bearing system for a new third-generation blood pump.
AB - A magnetic bearing system is a crucial component in a third-generation blood
pump, particularly when we consider aspects such as system durability and blood
compatibility. Many factors such as efficiency, occupying volume, hemodynamic
stability in the flow path, mechanical stability, and stiffness need to be
considered for the use of a magnetic bearing system in a third-generation blood
pump, and a number of studies have been conducted to develop novel magnetic
bearing design for better handling of these factors. In this study, we developed
and evaluated a new magnetic bearing system having a motor for a new third
generation blood pump. This magnetic bearing system consists of a magnetic
levitation compartment and a brushless direct current (BLDC) motor compartment.
The active-control degree of freedom is one; this control is used for controlling
the levitation in the axial direction. The levitation in the radial direction has
a passive magnetic levitation structure. In order to improve the system
efficiency, we separated the magnetic circuit for axial levitation by using a
magnetic circuit for motor drive. Each magnetic circuit in the bearing system was
designed to have a minimum gap by placing mechanical parts, such as the impeller
blades, outside the circuit. A custom-designed noncontact gap sensor was used for
minimizing the system volume. We fabricated an experimental prototype of the
proposed magnetic bearing system and evaluated its performance by a control
system using the Matlab xPC Target system. The noncontact gap sensor was an eddy
current gap sensor with an outer diameter of 2.38 mm, thickness of 0.88 mm, and
resolution of 5 um. The BLDC motor compartment was designed to have an outer
diameter of 20 mm, length of 28.75 mm, and power of 4.5 W. It exhibited a torque
of 8.6 mNm at 5000 rpm. The entire bearing system, including the motor and the
sensor, had an outer diameter of 22 mm and a length of 97 mm. The prototype
exhibited sufficient levitation performance in the stop state and the rotation
state with a gap of 0.2 mm between the rotor and the stator. The system had a
steady position error of 0.01 um in the stop state and a position error of 0.02
um at a rotational speed of 5000 rpm; the current consumption rates were 0.15 A
and 0.17 A in the stop state and the rotation state, respectively. In summary, we
developed and evaluated a unique magnetic bearing system with an integrated
motor. We believe that our design will be an important basis for the further
development of the design of an entire third-generation blood pump system.
PMID- 22097984
TI - Incidence of healthcare-associated infections in a pediatric population with an
extracorporeal ventricular assist device.
AB - During the last decade, ventricular assist devices (VADs) have become a precious
tool to support children with end-stage heart failure. However, thromboembolic
events, bleeding, and infections may have a considerable impact on outcome. We
retrospectively analyzed the incidence of healthcare-associated infections (HAIs)
in nine patients supported by EXCOR Pediatric (Berlin Heart [BH]) VAD in a
pediatric cardiosurgical intensive care unit between January 1, 2009 and March
31, 2011 (27 months). Median age was 8 months (interquartile range [IQR] 6-11),
median weight 7.5 kg (IQR 4.5-8.5). Seven patients were supported with a left
VAD, two with a biventricular VAD (BiVAD). Six patients with a left VAD underwent
heart transplant after 89 days (median, IQR 41-143) of support. One patient is
still on the waiting list. All patients with BiVAD died after 12 days of
assistance due to VAD malfunction. Sixteen HAIs were reported in five out of nine
patients (56%). All infected patients were supported by a left VAD. When compared
with noninfected patients, they had a longer mechanical support period (median
131 days, IQR 75-164, vs. 25 days, IQR 11-61, P = 0.03), a longer intensive care
unit stay (median 159 days, IQR 85-188, vs. 48 days, IQR 17-87, P = 0.06) and a
longer length of hospital stay (median 186 days, IQR 105-222, vs. 64 days, IQR 34
113, P = 0.06). Overall, nine mechanical devices were replaced for thromboembolic
issues, most of them (67%) in patients with VAD-related infections. Overall,
infection rate was 17.6 per 1000 patients days, 1.3 BH endocarditis per 1000 BH
days, 4.0 surgical sites infections per 1000 BH days, 12.5 central line
associated blood stream infections per 1000 central venous catheter days, 5
catheter-associated urinary tract infections per 1000 urinary catheter days, and
13.5 ventilator-associated pneumonia cases per 1000 mechanical ventilation days.
Overall, VAD-related infections were 5.4 per 1000 BH days. Of the 17 isolated
pathogens, 53% were Gram-negative rods, with a prevalence of Pseudomonas
aeruginosa (35.3%). Four bacteria were multidrug resistant (25%), three were
carbapenem-resistant P. aeruginosa (50% of all isolated pseudomonads), and one
was a methicillin-resistant S. aureus. VADs used as a bridge to cardiac
transplantation are associated with a large number of HAIs. Patients with
infected VADs were admitted for longer time in intensive care and in hospital
with increased healthcare costs but with no impact on survival.
PMID- 22097985
TI - Sodium alginate hydrogel-based bioprinting using a novel multinozzle bioprinting
system.
AB - Bioprinting is a technology for constructing bioartificial tissue or organs of
complex three-dimensional (3-D) structure with high-precision spatial shape
forming ability in larger scale than conventional tissue engineering methods and
simultaneous multiple components composition ability. It utilizes computer
controlled 3-D printer mechanism or solid free-form fabrication technologies. In
this study, sodium alginate hydrogel that can be utilized for large-dimension
tissue fabrication with its fast gelation property was studied regarding material
specific printing technique and printing parameters using a multinozzle
bioprinting system developed by the authors. A sodium alginate solution was
prepared with a concentration of 1% (wt/vol), and 1% CaCl(2) solution was used as
cross-linker for the gelation. The two materials were loaded in each of two
nozzles in the multinozzle bioprinting system that has a total of four nozzles of
which the injection speed can be independently controlled. A 3-D alginate
structure was fabricated through layer-by-layer printing. Each layer was formed
through two phases of printing, the first phase with the sodium alginate solution
and the second phase with the calcium chloride solution, in identical printing
pattern and speed condition. The target patterns were lattice shaped with 2-mm
spacing and two different line widths. The nozzle moving speed was 6.67 mm/s, and
the injection head speed was 10 um/s. For the two different line widths, two
injection needles with inner diameters of 260 and 410 um were used. The number of
layers accumulated was five in this experiment. By varying the nozzle moving
speed and the injection speed, various pattern widths could be achieved. The
feasibility of sodium alginate hydrogel free-form formation by alternate printing
of alginate solution and sodium chloride solution was confirmed in the developed
multinozzle bioprinting system.
PMID- 22097987
TI - Systemic contact dermatitis.
AB - Systemic contact dermatitis is an inflammatory skin disease that may occur in
persons with contact allergy when they are exposed to the hapten orally,
transcutaneously, per rectum, intravesically, intravenously, or by inhalation.
The most common causes of systemic contact dermatitis are drugs used both
topically and systemically. Other causes are ubiquitously occurring haptens, such
as the metals nickel, cobalt, gold, and chromate, and aromatic substances such as
spices. Avoidance of the offending hapten is the most obvious treatment. For some
haptens, such as nickel, diet treatment may be effective. Chelation therapy with
disulfiram is another therapeutic option in nickel-allergic patients with
systemic contact dermatitis. Hyposensitization therapy has been attempted with
some success in systemic contact dermatitis caused by nickel and Parthenium
hysterophorus.
PMID- 22097988
TI - Central centrifugal cicatricial alopecia - an approach to diagnosis and
management.
AB - Central centrifugal cicatricial alopecia (CCCA) occurs primarily in African
American women and is the most common cause of scarring hair loss in this
population. Since the mid 20th century, hair care practices of African-American
women have been associated with CCCA, although there is developing evidence that
the etiology of CCCA may be multifactorial. Clinically diagnosing CCCA may be
challenging because it can resemble female pattern hair loss, alopecia areata,
lichen planopilaris, or telogen effluvium. Therapeutic options are limited, thus
the goal of treatment is to prevent progression of disease because once scar
formation occurs, it is irreversible.
PMID- 22097989
TI - Hypopigmented papules on the upper trunk and forearm of a young man.
PMID- 22097990
TI - A nodule developing within a congenital verrucous-surfaced plaque on the lower
back.
PMID- 22097991
TI - Immunohistochemical profile of cytokeratins in pseudoepitheliomatous hyperplasia
of cutaneous leishmaniasis.
AB - BACKGROUND: The purpose of this study was to evaluate the immunohistochemical
profile of cytokeratins (Ck) in pseudoepitheliomatous hyperplasia of cutaneous
leishmaniasis (CL). METHODS: The tissue samples, with pseudoepitheliomatous
hyperplasia, were collected from 37 patients (age 6-85 years old) with indolent
ulcers in skin confirmed as CL. The lesions were submitted to immunolabeling for
Ck pairs 4-13, 5-14, and 6-16. RESULTS: In the 37 CL cases, Ck4 and Ck13 staining
were, in the majority of cases, negative. Of them, 33 and 35 cases were negative
for Ck4 and Ck13, respectively. The Ck4 immunostaining was found in basal and
parabasal layers, and Ck13 was viewed in the basal compartment of epithelium. Ck5
was found in 29 cases distributed homogeneously in all layers. Ck14 and Ck6 were
found in all samples in all layers, and Ck16 was positive for all cases but
heterogeneously found in the basal layer. CONCLUSION: In summary, we noticed that
the pattern of some Ck staining in pseudoepitheliomatous hyperplasia in CL
lesions revealed intense epithelial reinforcement, protection, and proliferation.
PMID- 22097992
TI - Radiotherapy-induced pemphigus vulgaris with autoantibodies targeting a 110 kDa
epidermal antigen.
AB - BACKGROUND: Pemphigus is an autoimmune intraepidermal blistering disease mediated
by autoantibodies targeting desmosomes. It can be induced by many triggers, such
as ionizing radiation. METHODS: We report a case of radiotherapy-induced
pemphigus (RIP) with a review of the published cases in the English and French
literature. RESULTS: A 61-year old man was diagnosed to have epidermoid carcinoma
of the piriform sinus and then received a 70 Gy radiation therapy. One month
after the treatment completion, multiple blisters and erosions occurred initially
on the site of irradiation, then in other skin areas. Histological examination
showed an intraepidermal blister with acantholysis and necrosis of individual
keratinocytes. Direct immunofluorescence and indirect immunofluorescence were
typical of pemphigus. Immunoblot revealed antibodies reacting with a 110 kDa
antigen. This feature was consistent with the diagnosis of RIP. Less than 20
cases of RIP have been reported previously. Mean age at diagnosis was 64.2 years,
and there is a slight female preponderance. RIP occurred, in most cases,
initially within the area of irradiation. CONCLUSION: Our patient showed some
distinctive findings never reported previously in RIP: a histological focal
keratinocyte necrosis, and the presence of autoantibodies reacting with a 110 kDa
keratinocytic protein in immunoblot analysis. Because of a different prognosis,
it is important to differentiate RIP and paraneoplastic pemphigus (PNP), although
cases of ionizing radiation-induced PNP had also been described. As in our
patient, RIP seems to respond well to systemic corticosteroids and
immunosuppressive therapy, which induce remission within a few months.
PMID- 22097993
TI - Pityriasis rubra pilaris: the clinical context of acantholysis and other
histologic features.
AB - BACKGROUND: Acantholysis has been described in biopsies of pityriasis rubra
pilaris (PRP), but this has not been emphasized in the dermatology literature. It
is helpful for dermatologists to associate acantholysis with PRP in the correct
clinical setting. OBJECTIVE: This study aims to further elucidate the clinical
context and associated histologic features of acantholysis in PRP. METHODS: Eight
cases of PRP with acantholysis, 16 other cases of PRP, 26 cases of psoriasis, and
17 cases of erythroderma of different causes were studied in an academic setting.
RESULTS: The presence of acantholysis initially confounded the diagnosis in two
cases of PRP. Acantholysis was focal or extensive and resembled Darier's disease,
Hailey-Hailey disease, or pemphigus vulgaris. Acantholysis was seen in biopsies
from early, isolated papulosquamous lesions from the trunk as well as from
erythroderma. By comparison, 26 cases of psoriasis and 17 cases of erythroderma
showed only focal acantholysis in two cases of erythroderma. CONCLUSIONS:
Acantholysis is a histologic feature of PRP and can serve as a histologic clue to
the diagnosis of PRP before the onset of erythroderma. Eosinophils and/or a
lichenoid infiltrate may also be evident.
PMID- 22097994
TI - Correlation and agreement of self-assessed and objective skin disease severity in
a cross-sectional study of patients with acne, psoriasis, and atopic eczema.
AB - BACKGROUND: Previous studies have shown variable correlation of patients' self
assessed skin severity measures and clinician-assessed objective measures of
severity. But, generally, correlation has not been as good as might be expected
for conditions in which the objective physical extent of skin disease is apparent
to the sufferer to an extent that is not applicable in many other diseases.
METHODS: This paper reports agreement and correlation of self-assessed and
objective severity measures in a study of 108 subjects with acne, psoriasis, or
atopic eczema. The study was a cross-sectional study examining psychological
associations of these skin diseases. Objective severity was assessed with the
Leeds technique (acne), the Psoriasis Area and Severity Index, and Six Area Six
Sign Atopic Dermatitis instruments. Agreement is a more appropriate measure than
correlation in this situation and was measured with weighted kappa, while
correlation was measured with Spearman's rank correlation. RESULTS: There was a
modest correlation of rho = 0.46 and similarly very modest agreement of 0.35
(weighted kappa) of self-assessed and clinician-assessed disease severity.
Furthermore, self-assessed (but not clinician-assessed) severity was
statistically associated with psychological morbidity in this study; i.e. -
depression, anxiety, and overall psychological morbidity. CONCLUSIONS: Clinicians
should consider psychological sequelae of skin disease, not only in those with
objectively more severe disease but in patients across the severity spectrum.
Both observational and interventional studies of skin disease should include both
clinician-assessed and self-assessed measures of severity among assessed
variables.
PMID- 22097995
TI - Linear sclerodermic lupus erythematosus, a distinct variant of linear morphea and
chronic cutaneous lupus erythematous.
AB - BACKGROUND: Overlap syndromes represent disorders that combine diagnostic
criteria of two or more different connective tissue diseases. METHODS: We herein
describe the case of a 34-year-old patient. RESULTS: Our patient developed a
lesion on the scalp and forehead following Blaschko's line typical for linear
morphea "en coup de sabre", while histopathological features were consistent with
both chronic cutaneous lupus erythematosus and linear morphea, a cutaneous
overlap syndrome previously described as linear sclerodermiform lupus
erythematosus. The patient was given oral antimalarials in association with
topical steroids and calcineurin inhibitors with good response. CONCLUSIONS:
Knowledge of this peculiar cutaneous overlap syndrome is warranted, since its
management and prognosis is probably different from classical linear morphea.
PMID- 22097996
TI - Augmented diagnostic capability using videodermatoscopy on selected infectious
and non-infectious penile growths.
AB - BACKGROUND: A large variety of infectious and non-infectious penile growths may
occur on the glans or shaft with variable clinical presentation. Although most of
these penile growths can be diagnosed through medical history and clinical
examination, small, single or early lesions may not be easily recognized or
differentiated by their clinical features. Videodermatoscopy (VD) is a non
invasive technique that has greatly improved the diagnostic accuracy for
pigmented and non-pigmented skin disorders. MATERIALS AND METHODS: Selected cases
of penile growths from our Genital Dermatology Clinic have been evaluated by VD
in order to identify specific VD patterns. RESULTS: Pearly penile papules,
Fordyce's spots, genital warts, molluscum contagiosum, angiokeratoma of Fordyce,
and median raphe penile cysts were found to show specific VD features, which are
described and analyzed in detail. CONCLUSIONS: VD offers the possibility to
enhance diagnostic accuracy and aids in the differential diagnosis of selected
infectious and non-infectious penile growths. In case of single or not clinically
specific lesions, VD allows non-invasive recognition of specific patterns and
features in order to make a more definitive diagnosis and to rule out clinically
similar growths, thus avoiding biopsy and other invasive measures in some cases.
PMID- 22097997
TI - Unusual and recently described cutaneous atrophic disorders.
AB - Cutaneous atrophic conditions are typically caused by changes in the dermis or
subcutaneous tissue, sometimes consisting of the loss of a single fiber type.
Since a significant decrease of subepidermal tissue is necessary for these
lesions to be macroscopically atrophic, many conditions may not be appreciated as
atrophy in the clinical setting. Clinicians should be familiar with the common or
classic disorders causing cutaneous atrophy; however, there are a few new or
rarely described atrophic conditions which are more difficult to identify and may
not be atrophic clinically. This paper serves to describe the salient clinical
and histological features of these new or rare disorders.
PMID- 22097998
TI - Interesting effect of Malassezia spp. infection on dermatoses of other origins.
AB - BACKGROUND: Tinea versicolor (TV) is a superficial fungal infection affecting as
many as 40% of the population in the tropics. We noticed an unusual affect of TV
on dermatoses of other origins. METHODS: In this prospective clinical study, we
examined patients attending our dermatology outpatient department over a period
of one year for coexistence of TV with any unrelated dermatoses. We confirmed the
diagnosis of TV by microscopy of skin scrapings. Skin biopsy was performed when
necessary to confirm the diagnosis of associated dermatoses. RESULTS: We describe
four cases in which unrelated dermatoses (viral exanthem, acute generalized
exanthematous pustulosis, polymorphous light eruption, and irritant contact
dermatitis) specifically spared sites affected with TV. CONCLUSIONS: Recent
research has elucidated the immunomodulatory properties of Malassezia spp. in
vitro. Our cases serve as possible in vivo models illustrating such properties.
Further studies based on these reports could lead to the isolation of molecules
from Malassezia, which may have potential use in anti-inflammatory drug
formulations.
PMID- 22097999
TI - Diagnosing leprosy: revisiting the role of the slit-skin smear with critical
analysis of the applicability of polymerase chain reaction in diagnosis.
AB - BACKGROUND: Diagnosing leprosy is challenging, especially in early-stage cases,
and the need for a sensitive diagnostic tool is urgent. Polymerase chain reaction
(PCR) holds promise as a simple and sensitive diagnostic tool, but its usefulness
in the Indian context requires further evaluation. Slit-skin smear (SSS) remains
the conventional method of leprosy detection. Hence, this study was undertaken to
evaluate and compare the diagnostic efficacy of PCR versus that of SSS. METHODS:
Punch biopsy of skin and SSS were obtained from the active margins of lesions.
Cases were clinically grouped according to whether they were multibacillary (MB)
or paucibacillary (PB) and classified into tuberculoid (TT), borderline
tuberculoid (BT), borderline lepromatous (BL), lepromatous (LL), histoid, and
indeterminate groups after clinicopathological correlation. DNA was extracted
from biopsy specimens, and multiplex PCR was carried out incorporating primers
intended for the amplification of a specific 372-bp fragment of a repetitive
sequence of Mycobacterium leprae DNA. RESULTS: Among 164 patients, PCR was
positive in 82.3%. The sensitivity of PCR was significantly greater (P < 0.0001)
than that of SSS in both the MB (85.9% vs. 59.8%) and PB (75.4% vs. 1.8%)
subgroups; the difference in sensitivity in the PB subgroup is remarkable.
Positivity by PCR and SSS was found in 100% of LL and histoid leprosy, but PCR
had significantly greater (P < 0.0001) positivity in BT leprosy and was of
definite increased value in indeterminate and TT leprosy. CONCLUSIONS: Polymerase
chain reaction had higher sensitivity compared with SSS, especially in
diagnostically challenging and PB cases. Thus, the use of this costly but
sensitive tool should be restricted to this subgroup, because SSS is sufficiently
sensitive in the diagnosis of LL and histoid leprosy.
PMID- 22098000
TI - A morbilliform variant of linear IgA dermatosis: a new case.
PMID- 22098001
TI - Adult colloid milium: a case report and literature review.
PMID- 22098002
TI - Diffuse polymorphic eosinophilic cellulitis in a patient with metallic alloy
implants: a possible association?
PMID- 22098003
TI - Successful treatment of leg ulcer caused by cryofibrinogenemia by using a
systemic corticosteroid and warfarin.
PMID- 22098004
TI - Canine melanoma: a comparison with human pigmented epithelioid melanocytoma.
PMID- 22098005
TI - Progressive nodular histiocytosis: a case report and literature review.
PMID- 22098006
TI - Multiple squamous cell carcinomas in the setting of psoriasis treated with
etanercept: a report of four cases and review of the literature.
AB - BACKGROUND: Psoriasis is a common, chronic, hyperproliferative disease of the
skin characterized by overexpression of type 1 cytokines, including tumor
necrosis factor alpha. There is concern that antitumor necrosis factor agents
such as etanercept may increase the incidence of cutaneous malignancies; however,
the data are conflicting. Our objective was to further understand the
characteristics and association of squamous cell carcinoma (SCC) development in
patients with psoriasis who used etanercept. METHODS: Four patients with
psoriasis were identified as having SCCs in the setting of etanercept. The
histories of these patients were reviewed retrospectively. RESULTS: All four
patients had lifelong psoriasis. The mean time of SCC onset was 11 months after
etanercept therapy was begun (range, 1-17 months), and the number of SCCs in each
patient ranged from five to more than 50. CONCLUSIONS: Currently, reports are
conflicting about the effect of etanercept on SCC development. We present the
first case series of patients in whom SCC developed in the setting of etanercept
therapy. More research is needed to better characterize the effects of etanercept
on the development and behavior of SCC in patients with psoriasis.
PMID- 22098007
TI - A method for distinguishing the intended margins for a melanoma from the tissue
cones after surgical excision.
AB - BACKGROUND: Surgical excision of severely dysplastic nevi and thin cutaneous
melanomas (<1 mm in depth) remains the most effective treatment to date. However,
sometimes a severely dysplastic nevus may be upstaged to a melanoma in situ, or a
melanoma in situ may be upgraded to an invasive melanoma once the completely
excised specimen is reviewed microscopically. This then requires a re-excision
around the entire scar at follow-up as the dermatological surgeon can be
perplexed as to where the precise locations of the pigmented lesion and the
tissue cones are, thereby generating a longer scar. OBJECTIVE: We want to
introduce a simple, cost-effective and easy-to-implement approach that permits
the dermatological surgeon to distinguish from a linear scar the site of the
original pigmented lesion and the lengths of the tissue cones. Therefore, if a re
excision is necessary, instead of surgical removal around the entire scar, only a
focal directed excision of the pigmented lesion is necessary and this will result
in a shorter scar; this will be useful for cosmetically sensitive areas on the
face. A case is included to illustrate our objective. RESULTS AND DISCUSSION:
Using our surgical method on a patient's left cheek pigmented lesion originally
diagnosed as melanoma in situ with a subsequent revised diagnosis of invasive
melanoma generated a shorter scar and a favorable cosmetic outcome.
PMID- 22098008
TI - Effect of isotretinoin treatment on plasma holotranscobalamin, vitamin B12, folic
acid, and homocysteine levels: non-controlled study.
AB - Isotretinoin (Iso) has been used for the treatment of acne. Some previous studies
reported elevated homocysteine (Hcy) levels after treatment with Iso. Some side
effects have clinical presentations similar to vitamin B12, folic acid
deficiencies, and hyperhomocysteinemia. In the present study we evaluated the
plasma Hcy levels, the vitamins involved in its metabolism (vitamin B12 and folic
acid), and holotranscobalamin (HoloTC), a transport system for vitamin B12
absorption in patients receiving Iso treatment for acne vulgaris. A total of 66
patients with acne vulgaris between the ages of 18 and 40 years were included.
Screening for hemoglobin, creatinine, SGOT, SGPT, total cholesterol,
triglycerides, high-density lipoprotein cholesterol (HDL-C), low-density
lipoprotein cholesterol (LDL-C), and very low-density lipoprotein cholesterol
(VLDL-C), folic acid, vitamin B12, Hcy, and HoloTC were done just before
initiation (pretreatment) and after four months of Iso treatment (posttreatment).
Posttreatment vitamin B12, folic acid, and HoloTC levels were significantly lower
while Hcy levels were significantly higher compared with initial values.
Posttreatment total cholesterol, LDL-C, triglycerides, VLDL-C, SGPT, and SGOT
levels were also higher, and HDL-C levels were lower compared with initial values
while there was no change in hemoglobin levels during Iso treatment. We found
that Iso usage might cause decreased vitamin B12, folic acid, and HoloTC. These
Iso side effects might contribute to the missing link between Iso usage,
hyperhomocysteinemia, and neuropsychiatric disorders. Trials may be made with the
aim of demonstrating (clearly) if starting vitamin B12 and folic acid replacement
therapies with Iso treatment initialization could be useful for preventing
hyperhomocysteinemia and possibly related disorders.
PMID- 22098009
TI - Hematological abnormalities and the use of granulocyte-colony-stimulating factor
in patients with Stevens-Johnson syndrome and toxic epidermal necrolysis.
AB - BACKGROUND: Derangements in blood cell counts have been described in patients
with Stevens-Johnson syndrome (SJS) and toxic epidermal necrolysis (TEN) but are
not well characterized. We aim to describe the relationship between our patients'
hematological results and the evolution of disease and hypothesize on the
possible roles of granulocyte-colony-stimulating factor (G-CSF) in the management
of these conditions. MATERIALS AND METHODS: Clinical records of our patients with
SJS and TEN from January 2005 to 2010 were analyzed. RESULTS: Anemia and
lymphopenia were most commonly seen, while thrombocytopenia was uncommon.
Leukopenia and neutropenia were seen in patients with more severe disease, and
the trend of leukopenia and neutropenia followed the evolution of disease. Two
patients received G-CSF for febrile neutropenia and had a rapid recovery of their
neutrophil counts as well as a shorter time to re-epithelialization. CONCLUSION:
Our patients tended to have leukopenia and neutropenia that followed a
predictable trend of decline and subsequent improvement depending on the stage of
disease. This may be of pathogenic significance, and G-CSF may be used in these
cases to manage febrile neutropenia and aid re-epithelialization. Further basic
science research is required to prove our hypotheses.
PMID- 22098010
TI - Mucosal psoriasis: a new insight toward a systemic inflammatory disease.
PMID- 22098011
TI - On granulomatous hypersensitivity.
PMID- 22098013
TI - Pseudoacne of the nasal crease in a 10-year-old boy.
PMID- 22098012
TI - Palisaded neutrophilic granulomatous dermatitis caused by cellulitis in a patient
with systemic lupus erythematosus.
PMID- 22098014
TI - Unusual excessive xanthogranuloma in an adult.
PMID- 22098015
TI - Prurigo nodularis as the first manifestation of a chronic autoimmune cholestatic
hepatitis.
PMID- 22098016
TI - 66th Annual Congress, Brazilian Society of Dermatology, Florianopolis, August 3
6, 2011.
PMID- 22098018
TI - Deep brain stimulation in Pantothenate Kinase Associated Neurodegeneration:
challenges for the future.
PMID- 22098019
TI - Infliximab or cyclosporine for acute severe ulcerative colitis: a retrospective
analysis.
AB - BACKGROUND AND AIM: Medical treatment of steroid-refractory ulcerative colitis
(UC) is limited to either cyclosporine or infliximab. Studies comparing
cyclosporine with either placebo or intravenous methylprednisone showed promise
for cyclosporine, but associated it with significant toxicity. There is
conflicting, but increasingly positive evidence for using infliximab. There are
no studies directly comparing these two treatments. Our aim was to compare the
outcomes of patients with steroid-refractory UC treated with either intravenous
cyclosporine or infliximab. METHODS: We carried out a retrospective review of
inpatients with steroid-refractory UC, treated with either intravenous
cyclosporine or infliximab, at Waitemata District Health Board, between January
2001 and February 2010. The primary end-points were time to colectomy, and
colectomy rates at 3 and 12 months. Secondary end-points were time to discharge
from initiation of treatment, steroid dependence at 12 months, and reported
adverse events. RESULTS: The total study population was 38, with 19 in the
infliximab group. Follow up to 12 months was complete in all patients. At 3
months, the colectomy rate was 63% for cyclosporine, compared to 21% (P =
0.0094). By 12 months the rate was 68% and 37% for cyclosporine and infliximab,
respectively (P = 0.06). Patients in the cyclosporine group required an
additional 5 days in hospital (P = 0.0086). Steroid dependence at 12 months was
50% for cyclosporine versus 25% for infliximab (P = 0.36). Cyclosporine caused
more adverse events (P = 0.17). CONCLUSIONS: Infliximab improved clinical
outcomes compared to the previous use of intravenous cyclosporine in patients
admitted with steroid-refractory acute severe UC.
PMID- 22098020
TI - Creation of bioorthogonal redox systems depending on nicotinamide flucytosine
dinucleotide.
AB - Many enzymes catalyzing biological redox chemistry depend on the omnipresent
cofactor, nicotinamide adenine dinucleotide (NAD). NAD is also involved in
various nonredox processes. It remains challenging to disconnect one particular
NAD-dependent reaction from all others. Here we present a bioorthogonal system
that catalyzes the oxidative decarboxylation of l-malate with a dedicated abiotic
cofactor, nicotinamide flucytosine dinucleotide (NFCD). By screening the
multisite saturated mutagenesis libraries of the NAD-dependent malic enzyme (ME),
we identified the mutant ME-L310R/Q401C, which showed excellent activity with
NFCD, yet marginal activity with NAD. We found that another synthetic cofactor,
nicotinamide cytosine dinucleotide (NCD), also displayed similar activity with
the ME mutants. Inspired by these observations, we mutated d-lactate
dehydrogenase (DLDH) and malate dehydrogenase (MDH) to DLDH-V152R and MDH-L6R,
respectively, and both mutants showed fully active with NFCD. When coupled with
DLDH-V152R, ME-L310R/Q401C required only a catalytic amount of NFCD to convert l
malate. Our results opened the window to engineer bioorthogonal redox systems for
a wide variety of applications in systems biology and synthetic biology.
PMID- 22098021
TI - Residues of sulfadiazine and doxycycline in broiler liver and muscle tissue due
to cross-contamination of feed.
AB - Veterinary drugs, such as antimicrobial compounds, are widely used in poultry and
may lead to the presence of residues in matrices of animal origin, such as muscle
and liver tissue. In this study, broilers received an experimental feed
containing sulfadiazine or doxycycline at cross-contamination levels of 2.5, 5
and 10% of the therapeutic dose in feed. Breast and thigh muscle and liver
samples were collected during treatment and depletion period and analysed via
liquid chromatography-tandem mass spectrometry (LC-MS/MS). Concentrations reached
a plateau phase 3-5 days after the start of experimental feeding. A rapid
depletion of residues was noted after withdrawal of the experimental feed. No
significant differences in measured concentrations were observed between the
various muscle types. Residue concentrations for some experimental groups; the
10% group of sulfadiazine and the 5 and 10% group of doxycycline, however,
exceeded their corresponding maximum residue limits (MRLs).
PMID- 22098022
TI - Loss of implant-bone interface following distal radial locking-plate
endoprosthesis limb-sparing surgery in a dog.
AB - An eight-year-old, neutered female Rottweiler was presented with lameness of
seven days duration. Radiographs were consistent with a distal radial bone
tumour. Limb-sparing surgery was performed using a commercially available
endoprosthesis with a locking bone plate. Histopathological examination of the
resected bone revealed an intraosseous fibrosarcoma, and postoperative adjuvant
chemotherapy was initiated three weeks after surgery. Despite initial
satisfactory limb function, lameness worsened four months after surgery.
Radiographs revealed large areas of bone lysis around the proximal and distal
screws, leading to significant resorption of the radius and radial carpal bone
with subsequent construct failure. Further treatment was declined by the owner
and the dog was subsequently euthanased. This case illustrates that implant
failure is not necessarily averted by the use of locking (compared with non
locking) implants combined with an endoprosthesis to treat distal radial tumours
in dogs.
PMID- 22098030
TI - Infectious mononucleosis and monoclonal B lymphocytosis in an elderly man.
PMID- 22098031
TI - Subjective quality of life in older community-dwelling adults in the kingdom of
Bhutan and Japan.
PMID- 22098032
TI - Fifteen-item geriatric depression scale predicts 8-year mortality in older
Japanese.
PMID- 22098033
TI - A new crisis in Japan-social isolation in old age.
PMID- 22098034
TI - Physiologically relaxing effect of a hospital rooftop forest on older women
requiring care.
PMID- 22098035
TI - Self-neglect and elder abuse: related phenomena?
PMID- 22098029
TI - Review: molecular pathology in adult high-grade gliomas: from molecular
diagnostics to target therapies.
AB - The classification of malignant gliomas is moving from a morphology-based guide
to a system built on molecular criteria. The development of a genomic landscape
for gliomas and a better understanding of its functional consequences have led to
the development of internally consistent molecular classifiers. However,
development of a biologically insightful classification to guide therapy is still
a work in progress. Response to targeted treatments is based not only on the
presence of drugable targets, but rather on the molecular circuitry of the cells.
Further, tumours are heterogeneous and change and adapt in response to drugs.
Therefore, the challenge of developing molecular classifiers that provide
meaningful ways to stratify patients for therapy remains a major challenge for
the field. In this review, we examine the potential role of MGMT methylation,
IDH1/2 mutations, 1p/19q deletions, aberrant epidermal growth factor receptor and
PI3K pathways, abnormal p53/Rb pathways, cancer stem-cell markers and microRNAs
as prognostic and predictive molecular markers in the setting of adult high-grade
gliomas and we outline the clinically relevant subtypes of glioblastoma with
genomic, transcriptomic and proteomic integrated analyses. Furthermore, we
describe how these advances, especially in epidermal growth factor
receptor/PI3K/mTOR signalling pathway, affect our approaches towards targeted
therapy, raising new challenges and identifying new leads.
PMID- 22098036
TI - Effectiveness of thrombolysis with intravenous alteplase for acute ischemic
stroke in older adults.
PMID- 22098037
TI - Depressive symptoms increase the risk of mortality in older Mexican community
dwelling adults.
PMID- 22098038
TI - A 5-year review of the immunization profile of older adults with liver disease at
a community hospital.
PMID- 22098039
TI - Heparin bridging therapy and bleeding events in octogenarian inpatients with
atrial fibrillation starting anticoagulation: results of an ancillary study.
PMID- 22098040
TI - Sicca syndrome in hospitalized older adults: prevalence and comparison of
objective and subjective symptoms.
PMID- 22098041
TI - Asymptomatic colonization by Clostridium difficile in older adults admitted to a
geriatric unit: a prospective cohort study.
PMID- 22098042
TI - Does memantine improve the gait of individuals with Alzheimer's disease?
PMID- 22098043
TI - Quality of the rating of mini-mental state examination in a well-defined
population of French general practitioners.
PMID- 22098044
TI - Objective sleep duration and quality in hospitalized older adults: associations
with blood pressure and mood.
PMID- 22098045
TI - Geriatric MyHealth Passport: a pilot study of a portable health summary in an
elderly population.
PMID- 22098046
TI - Timed up and go test predicts cognitive decline in healthy adults aged 80 and
older in Okinawa: Keys to Optimal Cognitive Aging (KOCOA) Project.
PMID- 22098047
TI - Guidelines for non-medical care providers to detect illnesses in elderly evacuees
after the 2011 earthquake off the Pacific coast of Tohoku.
PMID- 22098048
TI - Gait velocity versus the timed up and go test: which one to use for the
prediction of falls and other adverse health outcomes in primary care?
PMID- 22098050
TI - Undiagnosed cognitive impairment in nursing home residents.
PMID- 22098051
TI - Functional recovery after stroke.
PMID- 22098053
TI - Addressing challenges of identifying geometrically diverse sets of crystalline
porous materials.
AB - Crystalline porous materials have a variety of uses, such as for catalysis and
separations. Identifying suitable materials for a given application can, in
principle, be done by screening material databases. Such a screening requires
automated high-throughput analysis tools that calculate topological and
geometrical parameters describing pores. These descriptors can be used to
compare, select, group, and classify materials. Here, we present a descriptor
that captures shape and geometry characteristics of pores. Together with proposed
similarity measures, it can be used to perform diversity selection on a set of
porous materials. Our representations are histogram encodings of the probe
accessible fragment of the Voronoi network representing the void space of a
material. We discuss and demonstrate the application of our approach on the
International Zeolite Association (IZA) database of zeolite frameworks and the
Deem database of hypothetical zeolites, as well as zeolitic imidazolate
frameworks constructed from IZA zeolite structures. The diverse structures
retrieved by our method are complementary to those expected by emphasizing
diversity in existing one-dimensional descriptors, e.g., surface area, and
similar to those obtainable by a (subjective) manual selection based on
materials' visual representations. Our technique allows for reduction of large
sets of structures and thus enables the material researcher to focus efforts on
maximally dissimilar structures.
PMID- 22098054
TI - Round window membrane motion before and after stapedotomy surgery - an
experimental study.
AB - This paper presents the results of non-contact measurements of the human ear
round window (RW) membrane motion in freshly harvested human cadaver temporal
bone specimens. A PSV 400 Scanning Laser Vibrometer system (Polytec, Waldbronn,
Germany) was used to determine the effect of stapedotomy on the sound-induced
displacement amplitude of the RW membrane. The vibration patterns were measured
in the frequency range of 0.4-10 kHz in four specimens with air conduction
stimulation at 90 dB SPL applied to the external auditory canal. It was shown
that the vibration amplitude of the RW membrane after stapes piston prosthesis
implantation, in comparison with a physiological specimen, was reduced several
times. The motion of the RW membrane can be used to determine the cochlear
stimulation for the evaluation of middle ear ossicle reconstruction, especially
in the case of otosclerosis surgery.
PMID- 22098056
TI - Effect of denture adhesive on the micro-organisms in vivo.
AB - BACKGROUND: Denture adhesives increase the retention and stability of dentures
in edentulous patients, especially in cases where salivary flow is impaired or in
the management of traumatised oral mucosa. OBJECTIVES: The effect of a denture
adhesive on the oral flora at different time intervals. METHOD: Thirty denture
wearing patients were involved in this study. While half of the group received a
denture adhesive, the other half did not. At baseline, 1 and 2 months after
delivering the dentures, smear samples were obtained from the saliva, palate and
the dentures. Candida albicans, Candida krusei, Candida glabrata, Candida spp.,
Staphylococcus aureus, Moraxella catarrhalis, alpha-haemolytic streptococci, beta
haemolytic streptococci, Pneumococcus aureus, S. anginosus, S. intermedius, S.
constellatus, S. sanguis, S. gordonii, S. mitis, S. mutans, S. salivarius, and
yeasts were investigated. The data were statistically analysed using anova and
repeated measures. RESULTS: Most types of the micro-organisms were not seen and
could not be analysed statistically except alpha-haemolytic streptococci and C.
albicans. No statistically significant difference was found for alpha-haemolytic
streptococci and C. albicans in saliva, palate and the denture at all time
intervals. CONCLUSIONS: Prolonged use of the denture adhesive tested up to 2
months did not yield to increase in micro-organisms of the oral flora.
PMID- 22098057
TI - Structure and property engineering of alpha-D-glucans synthesized by
dextransucrase mutants.
AB - Seven dextran types, displaying from 3 to 20% alpha(1->3) glycosidic linkages,
were synthesized in vitro from sucrose by mutants of dextransucrase DSR-S from
Leuconostoc mesenteroides NRRL B-512F, obtained by combinatorial engineering. The
structural and physicochemical properties of these original biopolymers were
characterized. When asymmetrical flow field flow fractionation coupled with
multiangle laser light scattering was used, it was determined that weight average
molar masses and radii of gyration ranged from 0.76 to 6.02 * 10(8) g.mol(-1) and
from 55 to 206 nm, respectively. The nu(G) values reveal that dextrans Gcn6 and
Gcn7, which contain 15 and 20% alpha(1->3) linkages, are highly branched and
contain long ramifications, while Gcn1 is rather linear with only 3% alpha(1->3)
linkages. Others display intermediate molecular structures. Rheological
investigation shows that all of these polymers present a classical non-Newtonian
pseudoplastic behavior. However, Gcn_DvDelta4N, Gcn2, Gcn3, and Gcn7 form weak
gels, while others display a viscoelastic behavior that is typical of entangled
polymer solutions. Finally, glass transition temperature T(g) was measured by
differential scanning calorimetry. Interestingly, the T(g) of Gcn1 and Gcn5 are
equal to 19.0 and 29.8 degrees C, respectively. Because of this low T(g), these
two original dextrans are able to form rubber and flexible films at ambient
temperature without any plasticizer addition. The mechanical parameters
determined for Gcn1 films from tensile tests are very promising in comparison to
the films obtained with other polysaccharides extracted from plants, algae or
microbial fermentation. These results lead the way to using these dextrans as
innovative biosourced materials.
PMID- 22098058
TI - A rural undergraduate campus in England: virtue from opportunity and necessity.
AB - The implementation of new curriculum at Keele University Medical School, UK has
made heavy use of general practice as a locus for learning. This has necessitated
a substantial expansion in the School's teaching network. The School's hinterland
includes a large rural area with a number of excellent general practices and
associated community hospitals that, to date, have been unable to teach
undergraduates because of their inaccessibility. This article describes how the
School and its partners articulated a vision to establish a rural campus with an
associated rural accommodation hub, and the challenges involved in establishing
and sustaining the campus.
PMID- 22098059
TI - Diaphragmatic tear in pregnancy induced by intractable vomiting: a case report
and review of the literature.
AB - OBJECTIVE: Nausea and vomiting of pregnancy, the most common medical condition of
pregnancy, affects up to 80% of all pregnancies to some extent, and hyperemesis
gravidarum does less than 1% of pregnant women. When hyperemesis gravidarum
induces diaphragmatic tear, diagnosis can be missed because of nonspecific
presentation with abdominal pain, nausea and vomiting. METHODS: We reported a
pregnant case suffering from intractable vomiting at the beginning of the second
trimester (the 13th week of gestation) with delayed diagnosis of diaphragmatic
tearing. RESULTS: The patient was misdiagnosed initially, which delayed the
surgical intervention and unnecessary abortion. CONCLUSION: It is worthwhile
considering the maternal diaphragmatic cause as an unusual one of refractory
vomiting accompanied by clinically significant progressive epigastric pain,
distension and respiratory embarrassment.
PMID- 22098060
TI - Biomolecule-recognition gating membrane using biomolecular cross-linking and
polymer phase transition.
AB - We present for the first time a biomolecule-recognition gating system that
responds to small signals of biomolecules by the cooperation of biorecognition
cross-linking and polymer phase transition in nanosized pores. The biomolecule
recognition gating membrane immobilizes the stimuli-responsive polymer, including
the biomolecule-recognition receptor, onto the pore surface of a porous membrane.
The pore state (open/closed) of this gating membrane depends on the formation of
specific biorecognition cross-linking in the pores: a specific biomolecule having
multibinding sites can be recognized by several receptors and acts as the cross
linker of the grafted polymer, whereas a nonspecific molecule cannot. The pore
state can be distinguished by a volume phase transition of the grafted polymer.
In the present study, the principle of the proposed system is demonstrated using
poly(N-isopropylacrylamide) as the stimuli-responsive polymer and avidin-biotin
as a multibindable biomolecule-specific receptor. As a result of the selective
response to the specific biomolecule, a clear permeability change of an order of
magnitude was achieved. The principle is versatile and can be applied to many
combinations of multibindable analyte-specific receptors, including antibody
antigen and lectin-sugar analogues. The new gating system can find wide
application in the bioanalytical field and aid the design of novel biodevices.
PMID- 22098061
TI - Unravelling the peculiarities of island life: vicariance, dispersal and the
diversification of the extinct and extant giant Galapagos tortoises.
AB - In isolated oceanic islands, colonization patterns are often interpreted as
resulting from dispersal rather than vicariant events. Such inferences may not be
appropriate when island associations change over time and new islands do not form
in a simple linear trend. Further complexity in the phylogeography of ocean
islands arises when dealing with endangered taxa as extinctions, uncertainty on
the number of evolutionary 'units', and human activities can obscure the
progression of colonization events. Here, we address these issues through a
reconstruction of the evolutionary history of giant Galapagos tortoises,
integrating DNA data from extinct and extant species with information on recent
human activities and newly available geological data. Our results show that only
three of the five extinct or nearly extinct species should be considered
independent evolutionary units. Dispersal from mainland South America started at
approximately 3.2 Ma after the emergence of the two oldest islands of San
Cristobal and Espanola. Dispersal from older to younger islands began
approximately 1.74 Ma and was followed by multiple colonizations from different
sources within the archipelago. Vicariant events, spurred by island formation,
coalescence, and separation, contributed to lineage diversifications on Pinzon
and Floreana dating from 1.26 and 0.85 Ma. This work provides an example of how
to reconstruct the history of endangered taxa in spite of extinctions and human
mediated dispersal events and highlights the need to take into account both
vicariance and dispersal when dealing with organisms from islands whose
associations are not simply explained by a linear emergence model.
PMID- 22098062
TI - Screening of de novo tumors after liver transplantation.
AB - De novo malignancies are frequent complications after liver transplantation. They
are one of the leading causes of late death. Some authors have reported promising
results following implementation of extensive cancer surveillance programs.
Screening protocols might benefit patients by providing a diagnosis at an earlier
stage when tumors may be cured. These protocols should be based on the specific
risk factors of every patient. Unfortunately, the scientific evidence supporting
screening protocols is still very weak both in the general population and in the
transplant patients. On this basis, there is not enough evidence to recommend
routine screening for all liver transplant recipients, apart from the
recommendations accepted for the general population. Multicenter studies in
selected groups of patients at high risk for malignancy may be the only way of
defining the potential benefit of screening programs post-transplantation.
PMID- 22098063
TI - High level use and satisfaction with internet-based breast cancer survivorship
care plans.
PMID- 22098064
TI - Tenofovir plus entecavir as rescue therapy for multidrug-resistant chronic
hepatitis B.
PMID- 22098065
TI - Clinical and pharmacokinetic evaluation of satraplatin.
AB - INTRODUCTION: The toxicities of cisplatin, that is, nephrotoxicity, neurotoxicity
and emesis, provided the impetus for the development of more tolerable platinum
analogs. Satraplatin is an investigational third-generation orally available
lipophilic platinum, which has demonstrated safety and antitumor activity in
multiple settings. AREAS COVERED: The clinical activity of satraplatin in
metastatic castrate-resistant prostate cancer (mCRPC), breast, lung and other
advanced solid tumors is discussed with a focus on its pharmacokinetic
properties. The article was formulated using publications found through PubMed
search in addition to presentations given at major conferences. EXPERT OPINION:
Satraplatin was associated with dose-limiting myelosuppression, but no
significant ototoxicity, neurotoxicity or nephrotoxicity. Despite the activity of
satraplatin in mCRPC, survival was not extended in an unselected population
included in a Phase III trial. While further development of satraplatin in large
Phase III trials is not planned at this time, efforts are ongoing to develop
tailored therapy in mCRPC based on excision repair cross-complementing group 1
expression or BRCAness. Moreover, based on potentially better central nervous
system penetration due to lipophilicity, evaluation in patients with brain tumors
is ongoing. Given the favorable toxicity profile and convenient oral
administration, satraplatin may warrant development in settings that preclude
cisplatin, for example, underlying renal dysfunction, elderly age and poor
performance status.
PMID- 22098066
TI - Probing side-chain dynamics in proteins by the measurement of nine deuterium
relaxation rates per methyl group.
AB - We demonstrate the feasibility of the measurement of up to nine deuterium spin
relaxation rates in 13CHD2 and 13CH2D methyl isotopomers of small proteins. In
addition to five measurable 2H relaxation rates in a 13CH2D methyl group (Millet,
O.; Muhandiram, D. R.; Skrynnikov, N. R.; Kay, L. E. J. Am. Chem. Soc. 2002, 124,
6439-48), the measurement of additional four rates of (nearly) single
exponentially decaying magnetization terms in methyl groups of the 13CHD2 variety
is reported. Consistency relationships between 2H spin relaxation rates measured
in the two different types of methyl groups are derived and verified
experimentally for a subset of methyl-containing side chains in the protein
ubiquitin. A detailed comparison of methyl-bearing side-chain dynamics parameters
obtained from relaxation measurements in 13CH2D and 13CHD2 methyls of ubiquitin
at 10, 27, and 40 degrees C reveals that transverse 2H relaxation rates in
13CHD2 groups are reliable and accurate reporters of the amplitudes of methyl 3
fold axis motions (S(axis)2) for protein molecules with global molecular tumbling
times tau(C) >~9 ns. For smaller molecules, simple correction of transverse 2H
relaxation rates in 13CHD2 groups is sufficient for the derivation of robust
measures of order. Residue-specific distributions of S(axis)2 are consistent with
atomic-detail molecular dynamics (MD) results. Both 13CHD2- and 13CH2D-derived
S(axis)2 values are in good overall agreement with those obtained from 1 MUs MD
simulations at all the three temperatures, although some differences in the site
specific temperature dependence between MD- and 2H-relaxation-derived S(axis)2
values are observed.
PMID- 22098067
TI - The currently used commercial DNA-extraction methods give different results of
clostridial and actinobacterial populations derived from human fecal samples.
AB - Recently several human health-related microbiota studies have had partly
contradictory results. As some differences may be explained by methodologies
applied, we evaluated how different storage conditions and commonly used DNA
extraction kits affect bacterial composition, diversity, and numbers of human
fecal microbiota. According to our results, the DNA-extraction did not affect the
diversity, composition, or quantity of Bacteroides spp., whereas after a week's
storage at -20 degrees C, the numbers of Bacteroides spp. were 1.6-2.5 log units
lower (P < 0.05). Furthermore, the numbers of predominant bacteria, Eubacterium
rectale (Erec)-group, Clostridium leptum group, bifidobacteria, and Atopobium
group were 0.5-4 log units higher (P < 0.05) after mechanical DNA-extraction as
detected with qPCR, regardless of storage. Furthermore, the bacterial composition
of Erec-group differed significantly after different DNA-extractions; after
enzymatic DNA-extraction, the most prevalent genera detected were Roseburia (39%
of clones) and Coprococcus (10%), whereas after mechanical DNA-extraction, the
most prevalent genera were Blautia (30%), Coprococcus (13%), and Dorea (10%).
According to our results, rigorous mechanical lysis enables detection of higher
bacterial numbers and diversity from human fecal samples. As it was shown that
the results of clostridial and actinobacterial populations are highly dependent
on the DNA-extraction methods applied, the use of different DNA-extraction
protocols may explain the contradictory results previously obtained.
PMID- 22098069
TI - Identification of a galactose-specific flocculin essential for non-sexual
flocculation and filamentous growth in Schizosaccharomyces pombe.
AB - Although various mutant strains of the fission yeast Schizosaccharomyces pombe
exhibit non-sexual flocculation, little is known about the mechanistic basis for
this phenomenon, nor have genes encoding the implicated flocculin been
identified. In the budding yeast Saccharomyces cerevisiae, the transcription
factor Flo8 controls expression of some of the genes involved in non-sexual
flocculation. We have found that overexpression of S. cerevisiae FLO8 induced non
sexual flocculation in S. pombe. This non-sexual flocculation was Ca(2+)
dependent, and was inhibited by addition of galactose, but not by mannose,
glucose or sucrose. In the FLO8-overexpressing strain, a gene designated gsf2(+)
(galactose-specific flocculation) was specifically induced. The gsf2(+) gene was
also highly expressed in lkh1Delta, tup12Delta and gsf1 mutants, all of which
exhibited non-sexual flocculation dependent on gsf2(+) . We show that the N
terminal region of Gsf2 recognizes galactose in mediating cell-cell interaction.
Disruption of gsf2(+) also abolished the adhesion phenotype and invasive growth
of the wild-type strain cultured in low ammonium medium. The newly identified
flocculin Gsf2 in fission yeast was not only required for non-sexual flocculation
but was also required for adhesion and filamentous growth through recognition of
galactose residues on cell surface glycoconjugates.
PMID- 22098070
TI - Clinicians' views of formats of performance comparisons.
AB - RATIONALE, AIMS AND OBJECTIVES: Comparisons of the performance of health care
providers are increasingly being used. Despite one key audience being clinicians,
there has been little research on the format and content of such comparisons. Our
aim was to explore clinicians' comprehension and preferences of format and
content in displaying provider outcomes using comparisons of patient reported
outcome measures data. METHOD: A qualitative study, based on seven meetings
involving 107 clinicians (mostly consultant and junior doctors, and nurses),
revealed their views on nine formats and five aspects of content. RESULTS: Key
findings were the desire for data in more than one format, explicit display of
comparative performance (rank order) and the need for explanations (e.g. of
unfamiliar formats and of statistical uncertainty). CONCLUSIONS: Several themes
were identified that shaped clinicians' views. Results were sufficiently clear to
permit recommendations for the form and content of standard reports for the
National Health Service.
PMID- 22098068
TI - Somatostatin stimulates the migration of hepatic oval cells in the injured rat
liver.
AB - BACKGROUND: Somatostatin is a pleiotropic peptide, exerting a variety of effects
through its receptor subtypes. Recently, somatostatin has been shown to act as a
chemoattractant for haematopoietic progenitor cells and hepatic oval cells (HOC)
via receptor subtype 2 and subtype 4 (SSTR4) respectively. AIMS: We investigated
the in vivo effect of somatostatin/SSTR4 on HOC migration in the injured liver
model of rats and the type of signalling molecules associated with the
chemotactic function. METHODS: Migration assay, HOC transplantation and
phosphatidylinositol-3-kinase (PI3K) signalling were assessed with or without
somatostatin and an analogue of somatostatin (TT232) that specifically binds to
SSTR4. RESULTS: TT232 was shown to have an antimigratory action on HOC induced by
somatostatin in vitro. In HOC transplantation experiments, a lower number of
donor-derived cells were detected in TT232-treated animals, as compared with
control animals. Activation of PI3K was observed in HOC exposed to somatostatin,
and this activation was suppressed by either SSTR4 antibody or TT232
pretreatment. In addition, a PI3K inhibitor abrogated the motility of HOC.
CONCLUSION: Together, these data suggest that somatostatin stimulates the
migration of HOC within injured liver through SSTR4, and this action appears to
be mediated by the PI3K pathway.
PMID- 22098071
TI - Patients' profile, burden of disease and quality of life in recurrent herpes
labialis: a survey conducted in USA and France.
PMID- 22098072
TI - Retrospective evaluation of the use of amiodarone in dogs with arrhythmias (from
2003 to 2010).
AB - OBJECTIVES: To evaluate the efficacy of amiodarone in dogs with refractory
supraventricular and ventricular arrhythmias and to document the side effects in
treated dogs. METHODS: Records of 28 dogs were retrospectively searched to
document indication for amiodarone administration, heart rate, alkaline
phosphatase, alanine aminotransferase, thyroxine (T4) and thyroid stimulating
hormone values before and after starting treatment and during follow-up periods.
RESULTS: Sixteen dogs with supraventricular and 12 dogs with ventricular
arrhythmias were treated with amiodarone. Amiodarone treatment significantly
reduced the heart rate (P<0.001) and resulted in improvement in the severity of
the arrhythmia and clinical signs in 26 dogs. There were no significant
differences in alkaline phosphatase (P=0.596), alanine aminotransferase
(P=0.842), T4 (P=0.789) and thyroid stimulating hormone (P=0.064) before and
after starting amiodarone. On maintenance therapy, median amiodarone blood levels
were within the accepted reference range (0.5 to 2.0 mg/L) at 0.8 mg/L (range 0.2
to 11.6 mg/L), but the majority of the desethylamiodarone levels were below
normal at 0.1 mg/L (range 0.1 to 0.9 mg/L), based on human reference intervals
(0.5 to 2.0 mg/L). CLINICAL SIGNIFICANCE: Amiodarone may be an effective and safe
alternative to treat supraventricular and ventricular arrhythmias in dogs, when
common anti-arrhythmic drugs are not effective or contraindicated.
PMID- 22098073
TI - Anatomy and functional role of the inferior longitudinal fasciculus: a search
that has just begun.
PMID- 22098075
TI - Baseline plasma levels of interleukin-8 in stage IV non-small-cell lung cancer
patients: relationship with nutritional status and prognosis.
AB - Interleukin (IL)-8 promotes cellular proliferation and angiogenesis in patients
with non-small-cell lung cancer (NSCLC) and may be related to cachexia. Our aim
was to investigate the relationship of IL-8 levels with nutritional status, and
clinical outcome of patients with NSCLC. Patients with metastatic NSCLC referred
for first-line therapy were eligible. Baseline IL-8 levels were measured in
plasma. The Mini Nutritional Assessment (MNA) was used for the evaluation of the
nutritional status, and patients were classified into 3 groups: A (score 24-30)
"well nourished," B (score 17-23.5) "risk of malnutrition," and C (0-16.5)
"malnourishment." Response to first-line chemotherapy, time-to-tumor progression
(TTP), and overall survival (OS) were also recorded. In total, 114 patients (101
males, 88.5%; mean age = 67.5 yr) were evaluated. Performance status was 0-1 in
62% of the patients. According to the MNA, the majority of patients (71%) was
either at nutritional risk or malnourished. IL-8 levels were significantly
different between MNA groups (P = 0.023) and correlated with TTP (P = 0.013) and
OS (P = 0.001) in univariate analysis. Baseline IL-8 levels correlate with the
nutritional status of patients with metastatic NSCLC, suggesting that this
cytokine may be related with cachexia.
PMID- 22098076
TI - Diagnostic yield of dual-phase computed tomography enterography in patients with
obscure gastrointestinal bleeding and a non-diagnostic capsule endoscopy.
AB - BACKGROUND AND AIM: In patients with obscure gastrointestinal (GI) bleeding,
capsule endoscopy is widely used to determine the source of bleeding. However,
there is currently no consensus on how to further evaluate patients with obscure
GI bleeding with a non-diagnostic capsule endoscopy examination. This study aims
to determine the diagnostic yield of dual-phase computed tomographic enterography
(CTE) in patients with obscure GI bleeding and a non-diagnostic capsule
endoscopy. METHODS: Patients with obscure GI bleeding who were referred for
capsule endoscopy were prospectively enrolled. Obscure GI bleeding was defined as
overt if there was obvious GI bleeding; otherwise it was defined as occult.
Patients with a non-diagnostic capsule endoscopy and no contraindications
underwent a CTE. RESULTS: Capsule endoscopy was performed in 52 patients; 26
patients (50%) had occult GI bleeding and 26 patients (50%) had overt GI
bleeding. CTE was then performed in 25 of the 48 patients without a definitive
source of bleeding seen on capsule endoscopy. The diagnostic yield of CTE was 0%
(0/11) in patients with occult bleeding versus 50% (7/14) in patients with overt
bleeding (P < 0.01). Using clinical follow up as the gold standard, for the 25
patients with a non-diagnostic capsule, CTE had a sensitivity of 33% (95%
confidence interval 0.15, 0.56) and a specificity of 75% (95% confidence interval
0.22, 0.99). CONCLUSIONS: In patients with a non-diagnostic capsule endoscopy
examination, CTE is useful for detecting a source of GI bleeding in patients with
overt, but not occult, obscure GI bleeding.
PMID- 22098077
TI - Urotensin II levels in patients with chronic kidney disease and kidney
transplants.
AB - OBJECTIVE: Urotensin II is a potent vasoactive peptide that has been implicated
in the pathophysiology of many diseases. There is no study reporting the role and
level of this peptide in recipients of kidney transplant. So we aimed to study
the plasma levels of urotensin II in this group of patients. METHODS: Plasma
urotensin II levels were analyzed in 110 subjects, who were divided into three
groups: group 1 (35 kidney transplant recipients), group 2 (36 patients with
chronic kidney disease), and group 3 (39 healthy controls). RESULTS: Analysis of
logarithmic transformation of urotensin II, i.e. log (urotensin II * 1000)
levels, with a one-way analysis of variance yielded a P value of 0.001. Post-hoc
analysis showed significantly higher log (urotensin II * 1000) levels in group 1
than groups 2 and 3 (P = 0.001 and 0.017, respectively). One of the important
features of the subjects of this group was that they were taking
immunosuppressive drugs because of renal transplantation. CONCLUSIONS: High
urotensin II levels in recipients of kidney transplants could be drug-related
(immunosuppressive drugs) and may be of practical importance that may be used to
improve the long-term outcome of the patients.
PMID- 22098078
TI - Homeless people as target population in the scientific investigation.
PMID- 22098079
TI - Short communication: Phenotypic protease inhibitor resistance and cross
resistance in the clinic from 2006 to 2008 and mutational prevalences in HIV from
patients with discordant tipranavir and darunavir susceptibility phenotypes.
AB - To test tipranavir (TPV) or darunavir (DRV) as treatment options for patients
with phenotypic resistance to protease inhibitors (PIs), including lopinavir,
saquinavir, atazanavir, and fosamprenavir, the PhenoSense GT database was
analyzed for susceptibility to DRV or TPV among PI-resistant isolates. The
Monogram Biosciences HIV database (South San Francisco, CA) containing 7775
clinical isolates (2006-2008) not susceptible to at least one first-generation PI
was analyzed. Phenotypic responses [resistant (R), partially susceptible (PS), or
susceptible (S)] were defined by upper and lower clinical cut-offs to each PI.
Genotypes were screened for amino acid substitutions associated with TPV-R/DRV-S
and TPV-S/DRV-R phenotypes. In all, 4.9% (378) of isolates were resistant to all
six PIs and 31.0% (2407) were resistant to none. Among isolates resistant to all
four first-generation PIs, DRV resistance increased from 21.2% to 41.9% from 2006
to 2008, respectively, and resistance to TPV remained steady (53.9 to 57.3%,
respectively). Higher prevalence substitutions in DRV-S/TPV-R isolates versus DRV
R/TPV-S isolates, respectively, were 82L/T (44.4% vs. 0%) and 83D (5.8% vs. 0%).
Higher prevalence substitutions in DRV-R/TPV-S virus were 50V (0.0% vs. 28.9%),
54L (1.0% vs. 36.1%), and 76V (0.4% vs. 15.5%). Mutations to help predict
discordant susceptibility to DRV and TPV in isolates with reduced susceptibility
to other PIs were identified. DRV resistance mutations associated with improved
virologic response to TPV were more prevalent in DRV-R/TPV-S isolates. TPV
resistance mutations were more prevalent in TPV-R and DRV-S isolates. These
results confirm the impact of genotype on phenotype, illustrating how HIV
genotype and phenotype data assist regimen optimization.
PMID- 22098080
TI - Drug effect prediction by polypharmacology-based interaction profiling.
AB - Most drugs exert their effects via multitarget interactions, as hypothesized by
polypharmacology. While these multitarget interactions are responsible for the
clinical effect profiles of drugs, current methods have failed to uncover the
complex relationships between them. Here, we introduce an approach which is able
to relate complex drug-protein interaction profiles with effect profiles.
Structural data and registered effect profiles of all small-molecule drugs were
collected, and interactions to a series of nontarget protein binding sites of
each drug were calculated. Statistical analyses confirmed a close relationship
between the studied 177 major effect categories and interaction profiles of ca.
1200 FDA-approved small-molecule drugs. On the basis of this relationship, the
effect profiles of drugs were revealed in their entirety, and hitherto uncovered
effects could be predicted in a systematic manner. Our results show that the
prediction power is independent of the composition of the protein set used for
interaction profile generation.
PMID- 22098081
TI - Identifying individual cell types in heterogeneous cultures using secondary ion
mass spectrometry imaging with C60 etching and multivariate analysis.
AB - Tissue engineering approaches fabricate and subsequently implant cell-seeded and
unseeded scaffold biomaterials. Once in the body, these biomaterials are
repopulated with somatic cells of various phenotypes whose identification upon
explantation can be expensive and time-consuming. We show that imaging time-of
flight secondary ion mass spectrometry (TOF-SIMS) can be used to distinguish
mammalian cell types in heterogeneous cultures. Primary rat esophageal epithelial
cells (REEC) were cultured with NIH 3T3 mouse fibroblasts on tissue culture
polystyrene and freeze-dried before TOF-SIMS imaging. Results show that a short
etching sequence with C(60)(+) ions can be used to clean the sample surface and
improve the TOF-SIMS image quality. Principal component analysis (PCA) and
partial least-squares discriminant analysis (PLS-DA) were used to identify peaks
whose contributions to the total variance in the multivariate model were due to
either the two cell types or the substrate. Using PLS-DA, unknown regions of
cellularity that were otherwise unidentifiable by SIMS could be classified. From
the loadings in the PLS-DA model, peaks were selected that were indicative of the
two cell types and TOF-SIMS images were created and overlaid that showed the
ability of this method to distinguish features visually.
PMID- 22098083
TI - The role of metacognitive beliefs in stress sensitisation, self-esteem
variability, and the generation of paranoia.
AB - INTRODUCTION. Stress sensitisation may play a key role in the formation of
psychosis. The authors examined whether metacognitive beliefs and self-esteem
moderate affective response to stress, and whether subtle fluctuations in self
esteem act as a mediator between stress and attenuated psychotic phenomena.
METHOD. 70 healthy volunteers completed two conditions of the same experimental
tasks, which were designed to be either neutral or stress inducing. Ambulant
assessments of negative affect, self-esteem, and suspicious thoughts were taken
before and after each task, and standardised questionnaires were completed at the
beginning or end of each session. RESULTS. Metacognitive belief subscales, but
not self-esteem, moderated the association between stress and resultant negative
affect, and negative affect and suspicious thinking. Individuals who placed
greater emphasis on controlling their thoughts had greater variability in their
self-esteem during the stress condition, which in turn predicted the severity of
their attenuated psychotic phenomena. DISCUSSION. Metacognitive beliefs may
sensitise an individual to minor stressors, by increasing affective reactivity
and causing subtle shifts in appraisals of self-worth. Psychosocial intervention
may wish to target these beliefs in order to desensitise an individual to
negative events.
PMID- 22098087
TI - Synthesis of metal-organic frameworks (MOFs): routes to various MOF topologies,
morphologies, and composites.
PMID- 22098088
TI - Synthesis of (+)-ambrisentan via chiral ketone-catalyzed asymmetric epoxidation.
AB - The synthesis of optically pure (+)-ambrisentan has been achieved from 3,3
diphenylacrylate in four steps with 53% overall yield and >99% ee at the >100 g
scale without column purification. The chiral epoxide intermediate was prepared
via asymmetric epoxidation with a fructose-derived diacetate ketone as catalyst.
PMID- 22098089
TI - Construction-conditioned rollback in total knee replacement: fluoroscopic
results.
AB - Firstly, the way of implementing approximatively the initial rollback of the
natural tibiofemoral joint (TFJ) in a total knee replacement (AEQUOS G1 TKR) is
discussed. By configuration of the curvatures of the medial and lateral
articulating surfaces a cam gear mechanism with positive drive can be installed,
which works under force closure of the femoral and tibial surfaces. Briefly the
geometric design features in flexion/extension are described and construction
conditioned kinematical and functional properties that arise are discussed. Due
to a positive drive of the cam gear under the force closure during the stance
phase of gait the articulating surfaces predominantly roll. As a result of
rolling, a sliding friction is avoided, thus the resistance to motion is reduced
during the stance phase. Secondly, in vivo fluoroscopic measurements of the
patella tendon angle during flexion/extension are presented. The patella tendon
angle/ knee flexion angle characteristic and the kinematic profile in trend were
similar to those observed in the native knee during gait (0 degrees -60 degrees
).
PMID- 22098090
TI - Effect of daily consumption of probiotic yoghurt on lipid profiles in pregnant
women: a randomized controlled clinical trial.
AB - BACKGROUND: Due to enhanced fat storage primarily during the mid-pregnancy
period, pregnancy is associated with elevated levels of lipid profiles. To our
knowledge, no reports are available indicating the effects of probiotic yoghurt
consumption on serum lipid profiles in pregnant women. OBJECTIVE: This study was
designed to determine the effects of daily consumption of probiotic yoghurt on
lipid profiles of Iranian pregnant women. METHODS: This randomized single-blinded
controlled clinical trial was performed among 70 pregnant women, primigravida,
aged 18-30 years old who were carrying singleton pregnancy at their third
trimester. Subjects were randomly assigned to consume 200 g/d of conventional
(n=33) or the probiotic group (n=37) for 9 weeks. The probiotic yoghurt was a
commercially available product prepared with the starter cultures of
Streptococcus thermophilus and Lactobacillus bulgaricus, enriched with probiotic
culture of two strains of lactobacilli (Lactobacillus acidophilus LA5) and
bifidobacteria (Bifidobacterium animalis BB12) with a total of min 1 * 10(7)
colony-forming units. The conventional yoghurt contained the starter cultures of
S. thermophilus and L. bulgaricus. Fasting blood samples were taken at baseline
and after 9-weeks intervention to measure serum lipid profiles. RESULTS: Although
consumption of probiotic yogurt for 9 weeks had been resulted in a significant
reduction in serum total- (-53.7 mg/dL, p=0.001), LDL- (-35.2 mg/dL, p=0.006) and
HDL-cholesterol levels (-9.8 mg/dL, p=0.002) as well as serum triglyceride
concentrations (-42.8 mg/dL, p=0.029), no significant differences were found
comparing probiotic and conventional yogurts in terms of their effect on serum
lipid profiles. Within-Group differences in conventional yogurt group revealed a
significant reduction in HDL-cholesterol levels (-8.4 mg/dL, p=0.005) and
borderline significant reduction in serum total cholesterol concentrations (-21.6
mg/dL, p=0.08). CONCLUSION: In conclusion, consumption of probiotic yogurt among
pregnant women could not affect serum lipid profiles as compared to the
conventional yogurt.
PMID- 22098091
TI - A scoping review and research synthesis on financing and regulating oral care in
long-term care facilities.
AB - BACKGROUND: Oral health care for frail elders is grossly inadequate almost
everywhere, and our knowledge of regulating and financing oral care in this
context is unclear. OBJECTIVE: This scoping study examined and summarised the
published literature available and the gaps in knowledge about regulating and
financing oral care in long-term care (LTC) facilities. METHODS: We limited the
electronic search to reports on regulating and financing oral care, including
reports, commentaries, reviews and policy statements on financing and regulating
oral health-related services. RESULTS: The broad electronic search identified
1168 citations, which produced 42 references, including 26 pieces of grey
literature for a total of 68 papers. Specific information was found on public and
private funding of care and on difficulties regulating care because of
professional segregation, difficulties assessing need for care, uncertainty on
appropriateness of treatments and issues around scope of professional practice. A
wide range of information along with 19 implications and 18 specific gaps in
knowledge emerged relevant to financing and regulating oral healthcare services
in LTC facilities. CONCLUSIONS: Effort has been given to enhancing oral care for
frail elders, but there is little agreement on how the care should be regulated
or financed within the LTC sector.
PMID- 22098092
TI - Rendering clinical psychology an evidence-based scientific discipline: a case
study.
AB - RATIONALE, AIMS AND OBJECTIVES: Both modern neuroscience and clinical psychology
taken as separate fields have failed to reveal the explanatory mechanisms
underlying mental disorders. The evidence acquired inside the mono-disciplinary
matrices of neurobiology, clinical psychology and psychopathology are deeply
insufficient in terms of their validity, reliability and utility. Further, no
effective trans-disciplinary connections have been developed between them.
ARGUMENT: In this context, our case study aims at illustrating some specific
facets of clinical psychology as a crucial discipline for explaining and
understanding mental disorder. The methods employed in clinical psychology are
scrutinized using the exemplar case of the Minnesota Multiphasic Personality
Inventory (MMPI). We demonstrate that a clinical interview and a clinical
psychological rating scale consist of the same kind of cognitive content. The
provisional difference can be described in terms of its having two comparable
complementary cognitive structures. The test is composed of self-evaluation
reports (items) formulated as questions or statements. The psychopathological
structured interview is formulated in terms of subjective experience indicated as
symptoms (these are self-reports recorded by the physician), complemented with
the so-called 'signs' or the presumably 'objective' observations of the overt
behaviours of the patient. However, the cognitive content of clinical judgment is
beyond any doubt as subjective as the narrative of the patient. None of the
components of the structured psychopathological interview is independent of the
inter-subjective system created in the situation of clinical assessment.
CONCLUSION: Therefore, the protocols from various clinicians that serve to
sustain the reliability claim of the 'scientific' Diagnostic Statistical Manual
of Mental Disorders cannot be regarded as independent measurements of the
cognitive content and value of the psychological rating scales or vice versa.
PMID- 22098094
TI - Insight into fractal self-assembly of poly(diallyldimethylammonium
chloride)/sodium carboxymethyl cellulose polyelectrolyte complex nanoparticles.
AB - Poly(diallyldimethylammonium chloride)-sodium carboxymethyl cellulose
polyelectrolyte complexes (PDDA-CMCNa PECs) solids were prepared and dispersed in
NaOH aqueous solution. Self-assembly of PECs nanoparticles during the solvent
evaporation was examined by field emission electron microscopy (FESEM), atomic
force microscopy (AFM), and fractal dimension analysis. It was found that tree
shaped fractal patterns formed after the solvent (water) was dried at ambient
temperatures, and the fractal pattern is composed of needle-shaped PEC aggregate
(PECA) nanoparticles. Time-dependent FESEM observation revealed that the fractal
pattern started with the formation of initial nucleon and it is growing, during
which the diffusion limited aggregation (DLA) mechanism revealed and made the
pattern branched. Physical insight into the DLA mechanism was discussed in
detail. Effects of PEC concentrations, PEC compositions, solvent evaporation
temperatures, pH of PEC dispersion, and chemical structures of PECs on the
formation of self-assembled fractal pattern were studied. Generally, it was found
that the morphologies, charge characters of PEC particles, and the solvent
evaporation conditions play important roles during the fractal self-assembly
process.
PMID- 22098095
TI - Clinical signs and left atrial size in cats with cardiovascular disease in
general practice.
AB - OBJECTIVES: To evaluate population characteristics, clinical signs and simple
echocardiographic measurements of a general practice population of cats, in
identifying characteristics that reliably distinguish cats with heart disease
from others, including those with respiratory disease, using widely available
techniques. METHODS: Cats presented with heart disease (n=103), respiratory
disease (n=19) and a normal group (n=29) were prospectively recruited. All cats
were subject to full clinical examination, echocardiography and additional
diagnostic procedures as appropriate to establish definitive diagnosis. Cats were
classified as Group 1: no heart disease +/- respiratory disease; Group 2: heart
disease with no clinical signs; Group 3: heart disease with clinical signs.
Murmur, gallop sound and arrhythmia prevalence and left atrial size were compared
between the groups. RESULTS: Low heart rates prevailed in Group 3. Murmurs were
prevalent in Group 2, but in Group 3 prevalence was significantly lower.
Dyspnoea, gallop sounds, arrhythmias and left atrial diameter were significantly
different between groups. CLINICAL SIGNIFICANCE: Heart rate is unreliable for
diagnosing heart failure in cats. Absence of murmur is prevalent in cats with
clinical signs of heart failure, but arrhythmia and gallop sounds are prevalent.
Echocardiographic measurement of left atrial diameter >16.5 mm may distinguish
heart failure from respiratory disease in general practice.
PMID- 22098093
TI - Soil bacterial communities of a calcium-supplemented and a reference watershed at
the Hubbard Brook Experimental Forest (HBEF), New Hampshire, USA.
AB - Soil Ca depletion because of acidic deposition-related soil chemistry changes has
led to the decline of forest productivity and carbon sequestration in the
northeastern USA. In 1999, acidic watershed (WS) 1 at the Hubbard Brook
Experimental Forest (HBEF), NH, USA was amended with Ca silicate to restore soil
Ca pools. In 2006, soil samples were collected from the Ca-amended (WS1) and
reference watershed (WS3) for comparison of bacterial community composition
between the two watersheds. The sites were about 125 m apart and were known to
have similar stream chemistry and tree populations before Ca amendment. Ca
amended soil had higher Ca and P, and lower Al and acidity as compared with the
reference soils. Analysis of bacterial populations by PhyloChip revealed that the
bacterial community structure in the Ca-amended and the reference soils was
significantly different and that the differences were more pronounced in the
mineral soils. Overall, the relative abundance of 300 taxa was significantly
affected. Numbers of detectable taxa in families such as Acidobacteriaceae,
Comamonadaceae, and Pseudomonadaceae were lower in the Ca-amended soils, while
Flavobacteriaceae and Geobacteraceae were higher. The other functionally
important groups, e.g. ammonia-oxidizing Nitrosomonadaceae, had lower numbers of
taxa in the Ca-amended organic soil but higher in the mineral soil.
PMID- 22098096
TI - Risk factors for hepatitis C virus acquisition and predictors of persistence
among Egyptian children.
AB - BACKGROUND: Hepatitis C virus (HCV) has a lower prevalence in children and
knowledge is limited regarding the natural outcome of HCV infection in children.
AIM: To study the risk factors of HCV acquisition and predictors of persistence
in Egyptian children. METHODS: Children, 1-9 years of age, were evaluated for
acquisition of HCV (anti-HCV positive regardless of viraemia) and persistence of
HCV (anti-HCV and HCV-RNA positive) at two paediatric hepatology clinics in Cairo
at enrollment and at 3 monthly intervals. Spontaneous clearance of HCV was
defined as >= two positive anti-HCV antibody tests with negative HCV-RNA at least
6 months apart. RESULTS: Over a 33-month-period a total of 226 children <9 years
of age were screened for HCV antibodies. Of those, 146 (65%) were anti-HCV
positive of which 87 (60%) were HCV-RNA positive. The HCV acquisition was more
likely to occur in older children (P = 0.003) with comorbid conditions (P < 0.01)
compared to anti-HCV negative children. In a multivariate logistic regression
analysis, the highest risk factors for HCV acquisition were surgical
interventions [odds ratio (OR): 4.7] and blood transfusions (OR: 2.3). The
highest risk factor for HCV persistence was dental treatment (OR: 16.9) and male
gender (OR: 7.5). HCV persistence was also strongly associated with elevated
baseline alanine aminotransaminase (ALT) levels (OR: 4.9) and fluctuating
aspartate aminotransferase (AST) levels (OR: 8.1). CONCLUSION: Although surgical
interventions and blood transfusion are significant risk factors for HCV
acquisition in Egyptian children, dental treatment remains the highest risk
factor for HCV chronic persistence in children.
PMID- 22098097
TI - Mortality and cancer risk related to primary sclerosing cholangitis in a Swedish
population-based cohort.
AB - BACKGROUND: Population-based studies on the epidemiology of primary sclerosing
cholangitis (PSC) are sparse. AIMS: To investigate mortality and risk of cancer,
and to identify risk factors for hepatobiliary cancer and the combined end-point
liver related death or liver transplantation (OLT) in a population-based PSC
cohort in Vastra Gotaland, Sweden. METHODS: Primary sclerosing cholangitis cases
were identified in diagnostic registries. Case validation and follow up was
provided through individual review of case files and linkage to the Swedish
Cancer and Cause of Death registries. Standardized mortality ratio (SMR) and
standardized incidence ratio (SIR) for cancer were calculated in relation to the
background population. Cox's proportional hazards analysis was used to calculate
crude and adjusted relative risks (RRs). RESULTS: A total of 199 PSC patients
were identified between 1992 and 2005. SMR in the PSC cohort was 4.20 (95%
confidence interval (CI), 3.01-5.69). SIR for hepatobiliary cancer,
cholangiocarcinoma and colorectal cancer were 177 (110-271), 868 (505-1390) and
2.87 (0.33-10.4) respectively. Age (RR=1.25 (1.01-1.53) per decade), female
gender (RR=2.01 (1.09-3.72)), cholangitis (RR=2.56 (1.20-5.64)) and bilirubin
(RR=3.95 (1.96-10.75) highest vs lowest quartile) were associated with the risk
of liver related death or OLT. Age was associated with the risk of hepatobiliary
cancer (RR 1.40 (1.01-1.95) per decade). CONCLUSIONS: Primary sclerosing
cholangitis was associated with a four-fold increase in mortality in this
population-based study. In accordance with previous studies, the risk of
hepatobiliary cancer was dramatically increased. However, the increased risk of
colorectal cancer reported in previous studies could not be confirmed.
PMID- 22098098
TI - Beneficial use of steroids in hereditary neuropathy with liability to pressure
palsy.
AB - Management of hereditary neuropathy with liability to pressure palsy (HNPP) is
primarily conservative, aimed at preventing nerve injury by avoiding trauma or
other potential aggravating factors. No pharmacological treatment is known to be
beneficial. We describe two adolescents, one with HNPP (male; aged 15y) and
another with a clinical picture suggestive of HNPP (genetically unconfirmed;
female; aged 14y), who showed considerable improvement of their symptoms after
receiving corticosteroid therapy. Both individuals were symptomatic for at least
5 months before the treatment. Following corticosteroids, both individuals
demonstrated rapid improvement leading to near-complete recovery of muscle power.
Clinical improvement after corticosteroid therapy has been reported in some
individuals with other hereditary neuropathies. Our cases demonstrate that
corticosteroid therapy may also be beneficial in individuals with HNPP who have a
protracted or incomplete course of recovery.
PMID- 22098099
TI - Future candidates for indications of Helicobacter pylori eradication: do the
indications need to be revised?
AB - Since the discovery of Helicobacter pylori in 1982, the development of several
treatment guidelines has allowed a consensus on the indications for H. pylori
eradication. Beyond these currently accepted indications, including various upper
gastrointestinal disorders and extragastric diseases, a significant amount of new
information regarding H. pylori eradication is emerging. Certain types of acute
gastritis, such as nodular gastritis, hypertrophic gastritis, Menetrier's
disease, hemorrhagic gastritis, and granulomatous gastritis are reversible after
H. pylori eradication. Further, for chronic gastritis, closed-type atrophic
gastritis and complete-type intestinal metaplasia appear to be more reversible
after H. pylori eradication than open-type atrophic gastritis and incomplete-type
intestinal metaplasia. Eradication can also be considered in subjects younger
than 40 years who have a family history of gastric cancer and in subjects with
long-term medications that might lead to bleeding (antiplatelet agents) or
atrophy (proton pump inhibitors). Emerging evidence indicates that H. pylori
eradication could be an effective treatment for some extragastric diseases that
are unresponsive to conventional therapy. In such conditions, routine screening
for eradication of H. pylori has not previously been recommended; a "test-and
treat" approach is suggested in the aforementioned situations. Given that H.
pylori eradication is effective when the gastritis is reversible, future
indications should be expanded to include acute gastric lesions that show marked
improvement upon H. pylori eradication rather than just focusing on chronic
gastric lesions. Future indications for H. pylori eradication should focus more
on reversible lesions before preneoplastic conditions develop.
PMID- 22098100
TI - How is sesamin metabolised in the human liver to show its biological effects?
AB - INTRODUCTION: Sesamin is a major lignan found in sesame and is known to have
various biological effects. Some of these biological effects occur following its
metabolic conversion to corresponding catechols and, therefore, the study of
sesamin metabolism is quite important. There is currently a need to identify the
enzymes responsible for metabolism of sesamin so that scientists will be more
able to predict sesamin-drug interactions. AREAS COVERED: The authors reviewed
all the published literature with a focus on papers that dealt with metabolism of
sesamin by drug-metabolising enzymes in rat and/or human liver, such as
cytochrome P450 and UDP-glucuronosyltransferase. The article also reviews papers
that dealt with the inhibition of enzymes by sesamin including drug-metabolising
enzymes and other physiologically important enzymes. Additionally, the authors
discuss the species-based differences in the metabolism of sesamin between rats
and humans. EXPERT OPINION: A remarkable species-based difference was found in
sesamin metabolism between humans and other animals; thus, it is very important
that precautions are taken when predicting the physiological effects in humans
from animal data. A mechanism-based inhibition of human CYP2C9 by sesamin was
recently discovered, suggesting that it is important to evaluate the interaction
between sesamin and drugs that are mainly metabolised by CYP2C9. Furthermore,
further analysis of sesamin and episesamin and their molecular mechanisms are
needed to make better use of sesamin supplements.
PMID- 22098101
TI - RNA mimicry, a decoy for regulatory proteins.
AB - Small non-coding RNA molecules (sRNA) are key regulators participating in complex
networks, which adapt metabolism in response to environmental changes. In this
issue of Molecular Microbiology, and in a related paper in Proc. Natl. Acad. Sci.
USA, Moreno et al. (2011) and Sonnleitner et al. (2009) report on novel sRNAs,
which act as decoys to inhibit the activity of the master post-transcriptional
regulatory protein Crc. Crc is a key protein involved in carbon catabolite
repression that optimizes metabolism improving the adaptation of the bacteria to
their diverse habitats. Crc is a novel RNA-binding protein that regulates
translation of multiple target mRNAs. Two regulatory sRNAs in Pseudomonas putida
mimic the natural mRNA targets of Crc and counteract the action of Crc by
sequestrating the protein when catabolite repression is absent. Crc trapping by a
sRNA is a mechanism reminiscent to the regulation of the repressor of secondary
metabolites (RsmA) in Pseudomonas, and highlights the suitability of RNA
dependent regulation to rapidly adjust cell growth in response to environmental
changes.
PMID- 22098102
TI - Primary cutaneous Ewing sarcoma: a systematic review focused on treatment and
outcome.
AB - BACKGROUND: Despite intensive treatment, the overall prognosis of Ewing sarcoma
of the bone remains poor. Primary cutaneous Ewing sarcoma very rarely occurs and
the prognosis has been reported to be better in some small series. All cases of
Ewing sarcoma are currently treated in the same way, regardless of their
location. OBJECTIVES: To determine whether Ewing sarcoma of the bone and primary
cutaneous Ewing sarcoma are different in terms of epidemiology and prognosis.
METHODS: A systematic review of the literature was carried out using the keywords
'cutaneous Ewing's sarcoma', 'primary Ewing's sarcoma of skin' and 'primary
neuroectodermal tumour and skin' in the Medline database. Series of five or more
cases were included. RESULTS: Six series met the inclusion criteria, making a
total of 61 patients. Median age at diagnosis was 17 years and 33% were male. The
median size of the tumour was 2.3 cm. The treatment consisted of surgery in all
cases, adjuvant multiagent chemotherapy in 69% of cases, adjuvant
chemoradiotherapy in 38% of cases and adjuvant radiotherapy without adjuvant
chemotherapy in 3% of cases. Six patients developed metastases, four of whom
died. The overall survival was 93% and the 10-year probability of survival was
estimated at 91% (95% confidence interval 83-100). CONCLUSION: This systematic
review demonstrated epidemiological and prognostic differences between Ewing
sarcoma of the bone and primary cutaneous Ewing sarcoma. Primary cutaneous Ewing
sarcoma has a female predominance, occurs at a later age, but, more importantly,
has a better outcome. Multimodal therapy for Ewing sarcoma is associated with
immediate and long-term morbidity and mortality. Although the size of our study
does not allow a definitive conclusion about treatment modalities, we suggest
that a less toxic approach compared with conventional treatment should be
investigated in primary cutaneous Ewing sarcoma.
PMID- 22098103
TI - Comparison of clinical characteristics and management of inflammatory bowel
disease in Hong Kong versus Melbourne.
AB - BACKGROUND AND AIM: Inflammatory bowel disease (IBD), common in Melbourne, was
rare but is now increasing in incidence in Hong Kong (HK). To investigate whether
these are the same diseases in the West and East, potential causes of changing
incidence, and to plan resource needs, an appreciation of clinical
characteristics in contrasting populations is essential. METHODS: Disease
characteristics were collected from prospectively populated IBD databases in two
specialist centers in Melbourne, Australia and HK. RESULTS: Of 795 patients
(Crohn's disease [CD] : ulcerative colitis [UC] Melbourne 272:159 and HK
161:203), the age of diagnosis was higher, there were proportionally more male
patients with CD but no UC sex difference, fewer patients were current or ex
smokers (CD 8% vs 50%; UC 17% vs 35%) and a family history of IBD was less common
(2% vs 11%; P < 0.001) in HK compared to Melbourne. Stricturing and perianal CD
were more common in HK (12% vs 6%; P < 0.001; and 29% vs 16%; P = 0.001,
respectively). In HK for UC, more patients had extensive disease at diagnosis
(42% vs 22%) but colectomy was less common (7% vs 20%; P < 0.001). In Melbourne
there was greater steroid use at diagnosis and patients were more likely to
receive an immunomodulator or anti-tumor necrosis factor agent. CONCLUSIONS: IBD
in HK was diagnosed at an older age, and had more complicated disease behavior
than in Melbourne. Medical therapy, however, was less intense in HK. These
differences may relate to real differences in disease or delayed diagnosis due to
late presentation and less disease recognition in HK.
PMID- 22098104
TI - Clinicopathological and prognostic role of cyclin D1 in esophageal squamous cell
carcinoma: a meta-analysis.
AB - Cyclin D1 is one of the most commonly over-expressed oncogenes; however, its role
in esophageal squamous cell carcinoma (ESCC) remains controversial. We conducted
a meta-analysis of 20 studies, comprising 2,041 patients to clarify this issue.
In all studies, paraffin-embedded surgical specimens were collected and the
status of cyclin D1 was determined by immunohistochemistry (IHC). The combined
odds ratios (Ors) for cyclin D1 expression were 0.74 (95% confidence interval
[CI]: 0.58-0.93) for well and moderately differentiated versus poorly
differentiated tumors, 0.65 (95% CI: 0.45-0.94) for T1/T2 versus T3/ T4 tumors,
0.59 (95% CI: 0.39-0.90) for N0 versus N1 tumors, and 0.48 (95% CI: 0.33-0.71)
for stage I/II versus stage III/IV diseases, respectively. The association
between cyclin D1 expression and prognosis was examined in 10 studies, and the
combined hazard ratio was 1.78 (95% CI: 1.49-2.12). Cyclin D1 expression level
detected by IHC is associated with worst clinicopathological features and
prognosis for ESCC.
PMID- 22098105
TI - Tissue imaging using nanospray desorption electrospray ionization mass
spectrometry.
AB - Ambient ionization imaging mass spectrometry is uniquely suited for detailed
spatially resolved chemical characterization of biological samples in their
native environment. However, the spatial resolution attainable using existing
approaches is limited by the ion transfer efficiency from the ionization region
into the mass spectrometer. Here, we present a first study of ambient imaging of
biological samples using nanospray desorption ionization (nano-DESI). Nano-DESI
is a new ambient pressure ionization technique that uses minute amounts of
solvent confined between two capillaries comprising the nano-DESI probe and the
solid analyte for controlled desorption of molecules present on the substrate
followed by ionization through self-aspirating nanospray. We demonstrate highly
sensitive spatially resolved analysis of tissue samples without sample
preparation. Our first proof-of-principle experiments indicate the potential of
nano-DESI for ambient imaging with a spatial resolution of better than 12 MUm.
The significant improvement of the spatial resolution offered by nano-DESI
imaging combined with high detection efficiency will enable new imaging mass
spectrometry applications in clinical diagnostics, drug discovery, molecular
biology, and biochemistry.
PMID- 22098106
TI - Parallel allochronic divergence in a winter moth due to disruption of
reproductive period by winter harshness.
AB - The disruption of reproductive timing by climatic harshness may result in the
temporal isolation of conspecific populations and, ultimately, in speciation.
However, whether temporal isolation alone can act as the force initiating
speciation and how often the same type of climatic disruption results in the
divergence of allochronic populations in a lineage are largely unknown. The
reproductive period of the winter geometrid moth Inurois punctigera is separated
into early and late winter in habitats with severe winters, but not in habitats
with mild winters, suggesting that the reproductive season is disrupted by the
harshness of the mid-winter period. Here, we show that sympatric pairs of early-
and late-winter populations that differ in origin exist in different regions,
suggesting a parallel divergence of reproductive timing. In each region,
significant genetic differentiation exists between these early- and late-winter
populations, suggesting that the temporal reproductive isolation has persisted.
Moreover, we demonstrate that the temporal isolation, in comparison with
geographic isolation, contributes greatly to the genetic differentiation among
geographic and temporal populations by an analysis of molecular variance and by a
comparison of genetic differentiations (F(ST) ) between geographic populations
with and without difference in reproductive season. Our results suggest that
adaptive divergence of allochronically reproducing populations has occurred
independently in different regions, implying the generality of the role of
temporal isolation in initiating speciation in a winter moth lineage.
PMID- 22098107
TI - 'Real-time' PCR-based detection of Coxiella burnetii using conventional
techniques.
AB - The diagnosis of Q fever (Coxiella burnetii infection) relies primarily on the
serological detection of specific antibodies. Recently, PCR-based methods have
been introduced in diagnostic laboratories. Unfortunately, the fastest and most
reliable 'real-time' detection method, which employs the 'online' detection of
target nucleotide sequences while the amplification process is still in progress,
requires expensive devices and consumables. In this study, we present a simple
method that combines the simplicity of conventional PCR with new technical and
methodical enhancements, resulting in a fast, specific and easy method for the
molecular detection of C. burnetii. A collection of C. burnetii reference strains
was tested with the modified conventional gel-based PCR approach applying a
particluar PCR buffer (QIAGEN((r)) Fast Cycling PCR kit) and using a closed ready
to-use gel-cassette-system (FlashGel((r))) for the visualization of specific PCR
products. The modified conventional PCR method reached nearly the speed of the
LightCycler((r)) HybProbe real-time PCR assay (120 vs. 90 min) and showed equal
sensitivity and specificity. The general cost per PCR run was 25% less than that
for the LightCycler method. These improvements make this method suitable for
small laboratories with limited resources and for deployable PCR diagnostics in
field laboratories.
PMID- 22098108
TI - Inhibition of IGF-1 signaling by genistein: modulation of E-cadherin expression
and downregulation of beta-catenin signaling in hormone refractory PC-3 prostate
cancer cells.
AB - Elevated levels of insulin-like growth factor-1 (IGF-1) are associated with an
increased risk of several different cancers, including prostate cancer.
Inhibition of IGF-1 and the downstream signaling pathways mediated by the
activation of the IGF-1 receptor (IGF-1R) may be involved in inhibiting prostate
carcinogenesis. We investigated whether genistein downregulated the IGF-1/IGF-1R
signaling pathway and inhibited cell growth in hormone refractory PC-3 prostate
cancer cells. Genistein treatment caused a significant inhibition of IGF-1
stimulated cell growth. Flow cytometry analysis revealed that genistein
significantly decreased the number of IGF-1-stimulated cells in the G0/G1 phase
of the cell cycle. In IGF-1-treated cells, genistein effectively inhibited the
phosphorylation of IGF-1R and the phosphorylation of its downstream targets, such
as Src, Akt, and glycogen synthase kinase-3beta (GSk-3beta). IGF-1 treatment
decreased the levels of E-cadherin but increased the levels of beta-catenin and
cyclin D1. However, genistein treatment greatly attenuated IGF-1-induced beta
catenin signaling that correlated with increasing the levels of E-cadherin and
decreasing cyclin D1 levels in PC-3 cells. In addition, genistein inhibited T
cell factor/lymphoid enhancer factor (TCF/LEF)-dependent transcriptional
activity. These results showed that genistein effectively inhibited cell growth
in IGF-1-stimulated PC-3 cells, possibly by inhibiting downstream of IGF-1R
activation.
PMID- 22098109
TI - Asymmetric hydrogenation of heteroarenes and arenes.
PMID- 22098110
TI - The effect of erythropoietin on platelet and endothelial activation markers: a
prospective trial in healthy volunteers.
AB - Erythropoietin (EPO) enhances formation of red blood cells and also affects
thrombopoiesis and platelet function. We hypothesized that the effect of EPO may
be reflected by changes in thromboxane B2 (TXB2) and endothelial cell function.
Six male and six female subjects received recombinant human epoetin alpha
(Erypo(r)) intravenously (300 U/kg). Biomarker levels were assessed at baseline
and 4, 24, 48 and 72 hours after infusion. Epoetin alpha increased TXB2 levels by
140%, which reached significance at 48 hours (6.6 +/- 5 ng/ml vs. 15 +/- 9 ng/ml;
p = 0.044) and remained at that level at 72 hours. In line, epoetin alpha
increased E-selectin levels by 25% already at 24 hours (39 +/- 21 ng/ml vs. 49 +/
26 ng/ml; p < 0.001) which stayed at this level until 72 hours (p < 0.001). The
raise in platelet activation markers corresponded to an 88% increase in
reticulocyte count (43 +/- 10 * 10(9)/l vs. 81 +/- 17 * 10(9)/l; p < 0.001) and a
9% increase in platelet count at 72 hours (224 +/- 45 * 10(9)/l vs. 244 +/- 52 *
10(9)/l; p = 0.005). Thrombomodulin and von Willebrand factor concentrations were
not significantly altered by epoetin alpha. Interestingly, gender differences in
the baseline levels of E-selectin and thrombomodulin were observed. E-selectin
and thrombomodulin levels were doubled in men compared to women (51 +/- 24 and 28
+/- 10 ng/ml; p = 0.025 and 30 +/- 5 ng/ml vs. 16 +/- 5 ng/ml; p = 0.002,
respectively). EPO increases TXB2 serum levels and soluble E-selectin. Further
studies are needed to investigate whether these markers might be useful for
estimation of thromboembolic risk during EPO-therapy and whether inhibition of
thromboxane formation may lower thrombotic complications during EPO treatment:
NCT01392612.
PMID- 22098111
TI - Systematic knockdown of morphine pathway enzymes in opium poppy using virus
induced gene silencing.
AB - Opium poppy (Papaver somniferum) remains the sole commercial source for several
pharmaceutical alkaloids including the narcotic analgesics codeine and morphine,
and the semi-synthetic drugs oxycodone, buprenorphine and naltrexone. Although
most of the biosynthetic genes have been identified, the post-transcriptional
regulation of the morphinan alkaloid pathway has not been determined. We have
used virus-induced gene silencing (VIGS) as a functional genomics tool to
investigate the regulation of morphine biosynthesis via a systematic reduction in
enzyme levels responsible for the final six steps in the pathway. Specific gene
silencing was confirmed at the transcript level by real-time quantitative PCR
(polymerase chain reaction), and at the protein level by immunoblot analysis
using antibodies raised against salutaridine synthase (SalSyn), salutaridine
reductase (SalR), salutaridine 7-O-acetyltransferase (SalAT), thebaine 6-O
demethylase (T6ODM), codeinone reductase (COR), and codeine O-demethylase (CODM).
In some cases, silencing a specific biosynthetic gene resulted in a predictable
accumulation of the substrate for the corresponding enzyme. Reduced SalSyn, SalR,
T6ODM and CODM protein levels correlated with lower morphine levels and a
substantial increase in the accumulation of reticuline, salutaridine, thebaine
and codeine, respectively. In contrast, the silencing of genes encoding SalAT and
COR resulted in the accumulation of salutaridine and reticuline, respectively,
which are not the corresponding enzymatic substrates. The silencing of alkaloid
biosynthetic genes using VIGS confirms the physiological function of enzymes
previously characterized in vitro, provides insight into the biochemical
regulation of morphine biosynthesis, and demonstrates the immense potential for
metabolic engineering in opium poppy.
PMID- 22098112
TI - Oxypurinol, allopurinol and allopurinol-1-riboside in plasma following an acute
overdose of allopurinol in a patient with advanced chronic kidney disease.
PMID- 22098113
TI - Comparison of combinatorial clustering methods on pharmacological data sets
represented by machine learning-selected real molecular descriptors.
AB - Cluster algorithms play an important role in diversity related tasks of modern
chemoinformatics, with the widest applications being in pharmaceutical industry
drug discovery programs. The performance of these grouping strategies depends on
various factors such as molecular representation, mathematical method,
algorithmical technique, and statistical distribution of data. For this reason,
introduction and comparison of new methods are necessary in order to find the
model that best fits the problem at hand. Earlier comparative studies report on
Ward's algorithm using fingerprints for molecular description as generally
superior in this field. However, problems still remain, i.e., other types of
numerical descriptions have been little exploited, current descriptors selection
strategy is trial and error-driven, and no previous comparative studies
considering a broader domain of the combinatorial methods in grouping
chemoinformatic data sets have been conducted. In this work, a comparison between
combinatorial methods is performed,with five of them being novel in
cheminformatics. The experiments are carried out using eight data sets that are
well established and validated in the medical chemistry literature. Each drug
data set was represented by real molecular descriptors selected by machine
learning techniques, which are consistent with the neighborhood principle.
Statistical analysis of the results demonstrates that pharmacological activities
of the eight data sets can be modeled with a few of families with 2D and 3D
molecular descriptors, avoiding classification problems associated with the
presence of nonrelevant features. Three out of five of the proposed cluster
algorithms show superior performance over most classical algorithms and are
similar (or slightly superior in the most optimistic sense) to Ward's algorithm.
The usefulness of these algorithms is also assessed in a comparative experiment
to potent QSAR and machine learning classifiers, where they perform similarly in
some cases.
PMID- 22098114
TI - Trends in maternal mortality over 29 years in a Kuwait Tertiary Teaching
Hospital: signs of progress?
AB - This study aims at (1) Assessing trends in maternal mortality in kuwait (2)
Define strategies for prevention. METHODS: Retrospective analysis of maternal
deaths that occurred among, 55,979 live births at a tertiary hospital, between
1980 and 2009. RESULTS: There were 14 maternal deaths, and 55,979 live births,
giving a maternal mortality rate of 25 per 100,000 live birth. In terms of
decades maternal mortality declined from 54.8 in 1980-90 to 28.4 in 1990-2000 and
continued to decline to 12.2 in 2000-2009. Thromboembolism (28.6%), Obstetric
haemorrhage (21.5%) and Eclampsia (14.3%) were the leading causes of direct
deaths. Cardiac disease is the most common cause of indirect deaths (14.3%)
followed by H1N1 pneumonia 7.1%. Eclampsia contributed to 40% of deaths, only in
the 1980s. Thromboembolism caused 28.6% of deaths, 50% of which were in the last
9 years. Indirect deaths from cardiomyopathies (66.7%) gained prominence in the
1990s. No deaths from puerperal sepsis were reported after the 1980s (14.3%).
CONCLUSIONS: Maternal mortality rates are decreasing significantly (p<0.01) at
our institution over the last 29 years. Obstetric haemorrhage and thromboembolism
remain important causes of maternal mortality. Substandard care was identified in
70% of Direct and 55% of indirect deaths.
PMID- 22098115
TI - Systematic review and meta-analysis of bifrontal electroconvulsive therapy versus
bilateral and unilateral electroconvulsive therapy in depression.
AB - OBJECTIVES: Our aim was to perform a meta-analysis of randomized controlled
trials comparing efficacy and side effects of bifrontal (BF) ECT to bitemporal
(BT) or unilateral (RUL) ECT in depression. METHODS: We performed a systematic
review of randomized controlled trials comparing BF ECT with RUL or BT ECT in
depression. Eight trials (n=617) reported some cognitive outcome. Efficacy was
measured by reduction in Hamilton Depression Rating Scale score. Cognitive
outcomes were limited to Mini-Mental State Examination (MMSE) in seven studies,
with two studies measuring each of: Complex-figure delayed recall, Trail-making
tests and verbal learning. RESULTS: Efficacy was equal between BF and BT ECT
(Hedges's g=0.102, P=0.345, confidence interval (CI): -0.110, 0.313) and BF and
RUL ECT (standardized mean difference=-0.12, P=0.365, CI: -0.378, 0.139). Post
treatment MMSE score decline was less for BF than BT ECT (g=0.89, CI: 0.054,
1.724) but not RUL ECT. RUL ECT impaired Complex figure recall more than BF ECT
(g=0.76, CI :0.487, 1.035), but BF ECT impaired word recall more than RUL ECT (g=
1.45, CI: -2.75, -0.15). CONCLUSIONS: Bifrontal ECT is not more effective than BT
or RUL ECT but may have modest short-term benefits for specific memory domains.
BF ECT has potential advantages, but given longer experience with BT and RUL,
bifrontal ECT requires better characterization.
PMID- 22098116
TI - Treatment of extrahepatic congenital portosystemic shunts in dogs - what is the
evidence base?
AB - A variety of surgical treatments and medical therapies are recommended for dogs
with extrahepatic congenital portosystemic shunts (CPSS). The objective of this
review was to assess the evidence base for the management of extrahepatic CPSS in
dogs. An online bibliographic search was performed in November 2010 to identify
articles relating to the question "Which of the treatment options for
extrahepatic CPSS in dogs offers the best short- and long-term outcomes?"
Articles were assigned a level of evidence based on a modified grading system.
Thirty-eight articles were included in the review. Thirty-six articles were
classified as grade 4 and two as grade 5. The timings and methods of assessment
of short- and long-term outcomes varied widely between studies. One prospective
study (grade 4a) showed that surgically treated dogs survived significantly
longer than medically treated dogs. Four retrospective studies (grade 4b)
compared the outcome of two surgical techniques but there were no statistically
significant differences between treatment groups in terms of complications or
outcome. The review found that the evidence base for the treatment of
extrahepatic CPSS is weak. There is a lack of evidence of short- and long-term
outcomes to recommend one treatment over another.
PMID- 22098117
TI - Effect of toothbrushing, chemical disinfection and thermocycling procedures on
the surface microroughness of denture base acrylic resins.
AB - OBJECTIVE: This study verified the surface microroughness of denture acrylic
resins submitted to toothbrushing, chemical disinfection and thermocycling
procedures. MATERIAL AND METHODS: Samples were prepared according to
conventional, microwaved and boiled resins and submitted to microroughness
measurements before and after procedures using a profilometer (Ra). Data were
subjected to anova and Tukey's test (5%). RESULTS: Before thermocycling, a
difference was found among treatments for microwaved and boiled resins, with
greater values for toothbrushing and lower values for Efferdent and hypochlorite;
control was intermediate. Differences among resins were observed for treatments,
with higher values for boiled resin and lower values for conventional and
microwaved resins. After thermocycling, differences were found for microwaved
resin, with a higher value for toothbrushing and a lower value for Efferdent and
hypochlorite; control was intermediate. Tooth-brushed boiled resin presented
higher values and hypochlorite lower values; control and Efferdent were
intermediates. Differences among resins were seen for treatments, with higher
values for boiled resin and lower values for conventional and microwaved resins.
Boiled resin presented differences for toothbrushing and hypochlorite, before and
after thermocycling procedures were compared. CONCLUSIONS: For microwaved and
boiled resins, toothbrushing and chemical disinfection promoted different levels
of surface microroughness when associated or not with thermocycling.
PMID- 22098118
TI - Pump-dump-probe and pump-repump-probe ultrafast spectroscopy resolves cross
section of an early ground state intermediate and stimulated emission in the
photoreactions of the Pr ground state of the cyanobacterial phytochrome Cph1.
AB - The primary photoreactions of the red absorbing ground state (Pr) of the
cyanobacterial phytochrome Cph1 from Synechocystis PCC 6803 involve C15?C16 Z-E
photoisomerization of its phycocyanobilin chromophore. The first observable
product intermediate in pump-probe measurements of the photocycle, "Lumi-R", is
formed with picosecond kinetics and involves excited state decay reactions that
have 3 and 14 ps time constants. Here, we have studied the photochemical
formation of the Lumi-R intermediate using multipulse picosecond visible
spectroscopy. Pump-dump-probe (PDP) and pump-repump-probe (PRP) experiments were
carried out by employing two femtosecond visible pulses with 1, 14, and 160 ps
delays, together with a broadband dispersive visible probe. The time delays
between the two excitation pulses have been selected to allow interaction with
the dominant (3 and 14 ps) kinetic phases of Lumi-R formation. The frequency
dependence of the PDP and PRP amplitudes was investigated at 620, 640, 660, and
680 nm, covering excited state absorption (lambda(max) = 620 nm), ground state
absorption (lambda(max) = 660 nm), and stimulated emission (lambda(max) = 680 nm)
cross sections. Experimental double difference transient absorbance signals
(DeltaDeltaOD), from the PDP and PRP measurements, required corrections to remove
contributions from ground state repumping. The sensitivity of the resulting
DeltaDeltaOD signals was systematically investigated for possible connectivity
schemes and photochemical parameters. When applying a homogeneous (sequentially
decaying) connectivity scheme in both the 3 and 14 ps kinetic phases, evidence
for repumping of an intermediate that has an electronic ground state
configuration (GSI) is taken from the dump-induced S1 formation with 620, 640,
and 660 nm wavelengths and 1 and 14 ps repump delays. Evidence for repumping a
GSI is also seen, for the same excitation wavelengths, when imposing a target
connectivity scheme proposed in the literature for the 1 ps repump delay. In
contrast, using a 680 nm dump pulse, ground state formation is observed for all
models examined. The DeltaDeltaOD signals were dominated by stimulated emission,
at both 1 and 14 ps delays for the longer wavelength excitation. The GSI, which
is revealed by the PRP measurements and not resolved from pump-probe
measurements, is found to be directly formed from the excited state of Pr, and
its formation is considered using heterogeneous, homogeneous, and target models
to globally fit the data.
PMID- 22098119
TI - Pretransplant severe hepatic encephalopathy, peritransplant sodium and post-liver
transplantation morbidity and mortality.
AB - BACKGROUND: Hepatic encephalopathy (HE) does not enhance the prediction of model
of end-stage liver disease (MELD) wait-list mortality, but its influence on post
liver transplantation (LT) morbidity and mortality is largely unknown. AIMS: To
examine the association between severe pre-LT HE and peri-LT serum sodium levels
as well as post-LT length of stay (LOS) and survival. METHODS: A retrospective
cohort of 393 adult patients undergoing first LT for end-stage liver disease and
followed for a median of 4 years post-LT was performed to evaluate the
association between severe HE within the 30 days prior to LT and selected in
hospital post-LT outcomes. RESULTS: Thirty-nine (10%) of the cohort had severe HE
pre-LT. Patients with severe HE more frequently had Na changes of >=15 mmol/L in
the peri-LT period (P = 0.002). LOS was significantly longer for severe HE than
non-severe HE patients (16 vs. 8 days, P < 0.0001) and this association was
independent of MELD, presence of hepatocellular carcinoma, pre-LT nadir serum
sodium and pre- to post-LT change in serum sodium. The 1-year mortality was 15%
in the severe HE vs. 7% in the non-severe HE groups (HR = 2.19, P = 0.08), and
this difference was attenuated by adjusting for pre-LT severe hypernatremia, but
increased by adjusting for donor risk index. CONCLUSION: Severe HE mainly affects
LOS, and this association is independent of MELD. Whether the large changes in
peri-LT serum Na, more frequently seen in the severe HE group, contribute to post
LT morbidity requires further study.
PMID- 22098120
TI - Synthesis of (+)-phenserine using an interrupted Fischer indolization reaction.
AB - A concise synthesis of the Alzheimer's therapeutic (+)-phenserine is described.
The approach features an interrupted Fischer indolization to construct the
pyrrolidinoindoline core, in addition to a classical resolution to arrive at
phenserine in enantioenriched form.
PMID- 22098121
TI - "Normal" liver stiffness values differ between men and women: a prospective study
for healthy living liver and kidney donors in a native Korean population.
AB - BACKGROUND AND AIM: Liver stiffness (LS) measurement can distinguish individuals
with potential liver disease (LD) from the general population. However, if LS is
sex-sensitive, prevalence of LD may be incorrectly estimated when the same
reference LS value is applied irrespective of sex. Here, we evaluated whether
normal ranges of LS differ between healthy men and women. METHODS: LS was
measured in a cohort of healthy living liver and kidney donors, none of whom
suffered from diabetes mellitus, hypertension, hepatitis B or C virus infection,
heart or liver dysfunction, or metabolic syndrome. Patients with abnormal
laboratory findings related to potential LD (platelet count < 150 * 10(3) /uL;
aspartate aminotransferase > 40 IU/L; alanine aminotransferase [ALT] > 40 IU/L;
albumin < 3.3 g/dL; total bilirubin > 1.2 mg/dL; gamma-glutamyl transpeptidase >
54 IU/L; alkaline phosphatase > 115 IU/L) were excluded. RESULTS: Among 242
patients analyzed, the mean age was 34.1 for men (n = 121) and 40.5 years for
women (n = 121) (P < 0.001). Men had a higher mean LS value than women (5.2 +/-
1.2 vs 4.8 +/- 1.1 kPa/P < 0.001). Multivariate-linear regression analysis
identified sex as the only independent factor for LS values (beta = 0.361/P =
0.021). Using the 5th-95th percentiles, we determined normal LS ranges of 3.7-7.0
kPa in men and 3.3-6.8 kPa in women. In subgroups with ALT < 30 IU/L (subgroup-1,
n = 216) and ALT < 20 IU/L (subgroup-2, n = 163), men had significantly higher LS
values than women (5.2 +/- 1.3 vs 4.7 +/- 1.1 kPa/P = 0.003 and 5.1 +/- 1.2 vs
4.7 +/- 1.1 kPa/P = 0.030, respectively), demonstrating an independent sex effect
(beta = 0.483/P = 0.003 and beta = 0.389/P = 0.030, respectively). CONCLUSIONS:
An independent sex effect on LS values was confirmed. Thus, sex-specific
references should be used for effective screening based on LS measurements.
PMID- 22098123
TI - Dermatological phenotype in Costello syndrome: consequences of Ras dysregulation
in development.
AB - BACKGROUND: The RASopathies are a class of human genetic syndromes caused by
germline mutations in genes that encode protein components of the Ras/mitogen
activated protein kinase (MAPK) pathway. Costello syndrome (CS) is a RASopathy
caused by mutations in the HRAS gene, a key regulator of signal transduction.
OBJECTIVE: To quantify the specific cutaneous phenotype observed in 46
individuals with Costello syndrome with confirmed HRAS mutations. METHODS: This
was a cross-sectional study. Dermatological surveys were designed by the authors
and were completed by parents of mutation-positive individuals with CS at the
Costello Syndrome Family Network (CSFN) conferences in 2007 and 2009.
Dermatological examinations were performed by the authors at the CSFN
conferences. RESULTS: Cutaneous papillomas were reported in 33 of the 46 (72%)
participants, with age of onset ranging from infancy to 22years. Individuals with
CS are more likely than patients with cardiofaciocutaneous syndrome (CFC) to
present with cutaneous papillomas (72% vs. 5%, P<0.001) and palmoplantar
keratoderma (76% vs. 36%, P<0.001). Individuals with CS are less likely than
individuals with CFC to present with sparse or absent eyebrows (9% vs. 90%,
P<0.001) or keratosis pilaris (33% vs. 80%, P=0.001). This study also identified
that loose, redundant skin on the hands and feet, 'stippled' dermatoglyphs
(pachydermatoglyphia) on the fingertips (eight of 26, 31%) and acanthosis
nigricans (17 of 46, 37%) are frequent features of CS. CONCLUSIONS: While there
is significant phenotypic overlap among syndromes of the Ras/MAPK pathway,
individuals with CS are more likely than individuals with CFC syndrome to present
with cutaneous papillomas, palmoplantar keratoderma and full eyebrows, and are
less likely to present with ulerythema ophryogenes, keratosis pilaris or multiple
naevi. The dermatological features of CS, a Ras dysregulation syndrome, share
many features with cutaneous paraneoplastic syndromes. This may provide further
insight into the role of Ras signalling in cutaneous paraneoplastic syndromes.
PMID- 22098124
TI - The influence of knee marker placement error on evaluation of gait kinematic
parameters.
AB - Vicon motion system is an accurate equipment for objective gait analysis.
According to clinical experience the most important source of errors in
kinematics is marker misplacement. It seems that knee marker placement is
especially important because of its direct influence on two body segments: thigh
and shank. There is little data in the literature on how the misplacement of knee
marker determines the changes of kinematic parameters. Therefore the aim of this
study was to collect the kinematic data of subjects with different knee
pathologies (one with knee flexion contracture, the second with knee
hyperextension) while knee marker position was changed in a systematical way.
They were walking with their natural, preferred speed. The data were collected
using VICON460 motion system, the Helen Hayes marker set and Plug-In-Gait model.
Then they were processed based on Polygon software. The results of both subjects
showed the changes of kinematics, depending on the knee marker misplacement. The
assessed joint ranges of angle change were: in knee, 18 degrees in sagittal
plane and 20 degrees in frontal plane; in hip, 10 degrees in sagittal plane and
24 degrees in transversal plane; in ankle, 10 degrees in sagittal plane and 25
degrees in transversal plane. This paper presents the detailed data which could
help the users of such systems to interpret the kinematic data.
PMID- 22098126
TI - Bioactive actions of pomegranate fruit extracts on leukemia cell lines in vitro
hold promise for new therapeutic agents for leukemia.
AB - Studies suggest that pomegranates contain bioactive chemicals with potential for
treatment and prevention of cancer. Pomegranate juice extracts (PJE) have been
shown to inhibit cellular proliferation and tumor growth and induce cell death
via apoptosis in a number of cancer cell lines. However, to date, few studies
have investigated the potential of PJE in the treatment of leukemia. We
investigated the potential effect of PJE on induction of apoptosis and inhibition
of cellular proliferation in 8 leukemia cell lines (4 lymphoid and 4 myeloid) and
nontumor hematopoietic stem cells (control cells). Apoptosis was assessed by 2
methods: Annexin V-FITC/propidium iodide staining with flow cytometric analysis
and 4'-6-diamidino-2-phenylindole (DAPI) morphological assessment. Cell cycle
stage was investigated using propidum iodide staining of DNA content and flow
cytometric analysis. Live cell counts were also performed using a trypan
exclusion assay. PJE significantly induced apoptosis in all cell lines, including
nontumor control cells, although lymphoid cells and 2 of the myeloid cell lines
were more sensitive. Furthermore, PJE induced cell cycle arrest. These results
were confirmed by DAPI analysis and viable cell counts using trypan blue
exclusion assay. Our results provide evidence that PJE contain bioactive
compounds that could be used in the treatment of leukemia.
PMID- 22098125
TI - Atypical timing and presentation of periventricular haemorrhagic infarction in
preterm infants: the role of thrombophilia.
AB - AIM: Periventricular haemorrhagic infarction (PVHI) is a complication of preterm
birth associated with cardiorespiratory instability. To date, the role of
thrombophilia as a possible additional risk factor in infants with atypical
timing and presentation of PVHI has not been investigated. METHOD: This was a
retrospective cohort study of preterm infants who developed PVHI with an atypical
timing and presentation either of antenatal onset or late in the postnatal course
in the absence of a preceding sudden deterioration of their clinical condition.
In infants with atypical PVHI mutation analysis of the factor V Leiden (G1691A),
prothrombin (G20210A) gene, and C677T and A1298C polymorphisms in the MTHFR gene
was performed, and plasma lipoprotein(a) and homocysteine levels were measured.
RESULTS: Sixty-two preterm infants who presented with a PVHI were studied.
Seventeen had an atypical presentation (seven males, 10 females; median
birthweight 1170g [range 580-1990g]; median gestational age 30.6wks [range 28.7
33.7wks]). The typical PVHI group comprised 28 males and 17 females (median
birthweight 1200g [range 670-2210g]; median gestational age 29.6wks [range 25.3
33.6wks]). Among the 17 infants with atypical presentation, the factor V Leiden
mutation was found in seven infants (41%) as well as in the mothers of six of
these seven infants; in one infant this was concomitant with a prothrombin gene
mutation. A polymorphism in the MTHFR gene was also present in these infants. In
two infants with an atypical presentation who were tested for this, a mutation in
the COL4A1 gene was found (reported previously). All but two of the infants with
an atypical presentation developed spastic unilateral cerebral palsy.
INTERPRETATION: An atypical presentation of PVHI in preterm infants tends to
occur more often in the presence of thrombophilia. Testing of thrombophilia,
especially factor V Leiden and prothrombin gene mutation, is recommended in these
infants.
PMID- 22098127
TI - Sustained attention to response task (SART) shows impaired vigilance in a
spectrum of disorders of excessive daytime sleepiness.
AB - The sustained attention to response task comprises withholding key presses to one
in nine of 225 target stimuli; it proved to be a sensitive measure of vigilance
in a small group of narcoleptics. We studied sustained attention to response task
results in 96 patients from a tertiary narcolepsy referral centre. Diagnoses
according to ICSD-2 criteria were narcolepsy with (n=42) and without cataplexy
(n=5), idiopathic hypersomnia without long sleep time (n=37), and obstructive
sleep apnoea syndrome (n=12). The sustained attention to response task was
administered prior to each of five multiple sleep latency test sessions. Analysis
concerned error rates, mean reaction time, reaction time variability and post
error slowing, as well as the correlation of sustained attention to response task
results with mean latency of the multiple sleep latency test and possible time of
day influences. Median sustained attention to response task error scores ranged
from 8.4 to 11.1, and mean reaction times from 332 to 366ms. Sustained attention
to response task error score and mean reaction time did not differ significantly
between patient groups. Sustained attention to response task error score did not
correlate with multiple sleep latency test sleep latency. Reaction time was more
variable as the error score was higher. Sustained attention to response task
error score was highest for the first session. We conclude that a high sustained
attention to response task error rate reflects vigilance impairment in excessive
daytime sleepiness irrespective of its cause. The sustained attention to response
task and the multiple sleep latency test reflect different aspects of
sleep/wakefulness and are complementary.
PMID- 22098128
TI - Global gene expression profiling of Ehrlichia ruminantium at different stages of
development.
AB - Ehrlichia ruminantium (ER), the causative agent of heartwater on ruminants, is an
obligate intracellular bacterium transmitted by ticks of the genus Amblyomma.
Previous studies have shown that early stages of development may be critical for
Ehrlichia pathogenicity. To gain insights into the biology of intracellular ER,
we determined the genome-wide transcriptional profile of ER replicating inside
bovine aortic endothelial cells using DNA microarrays. At intermediate and late
stages of infection (reticulate and elementary bodies, respectively), a total of
54 genes were differentially expressed. Among them, we measured by q-RTPCR the
overexpression of 11 of 14 genes. A number of genes involved in metabolism,
nutrient exchange, and defense mechanisms, including those involved in resistance
to oxidative stress, were significantly induced in ER reticulate bodies. This is
consistent with the oxidative stress condition and nutrient starvation that seem
to occur in Ehrlichia-containing vacuoles. During the lysis stage of development,
when ER is infectious, we showed the overexpression of a transcription factor,
dksA, which is also known to induce virulence in other pathogens such as
Salmonella typhimurium. Our results suggest a possible role of these genes in
promoting ER development and pathogenicity.
PMID- 22098129
TI - 50th anniversary of the discovery of ibuprofen: an interview with Dr Stewart
Adams.
AB - 2011 marks the 50th anniversary of the discovery of ibuprofen. This article is a
focus on the personal reflections and career of Dr Stewart Adams OBE, the
scientist whose research lead to the discovery of the cyclooxygenase inhibitor.
When Dr Adams discovered ibuprofen, he was working as a pharmacologist in the
Research Department for the Boots Pure Drug Company Ltd. Dr Adams was assigned to
work on rheumatoid arthritis (RA) and chose in 1953 to search for a drug that
would be effective in RA but would not be a corticosteroid. He was one of the
first workers in this field that later became known as NSAIDs (Non-Steroidal Anti
Inflammatory Drugs). In 1961, Dr Adams with John Nicholson, the organic chemist,
filed a patent for the compound 2-(4-isobutylphenyl) propionic acid, later to
become one of the most successful NSAIDs in the modern world, ibuprofen. In this
article, Dr Adams gives his modest insight into the early stages and initial
observations which led to this world-wide success.
PMID- 22098130
TI - Drug-induced immune thrombocytopenia: a descriptive survey in the French
PharmacoVigilance database.
AB - The aim of this survey was to describe drug-induced immune thrombocytopenia (ITP)
reported in the French PharmacoVigilance database (FPVD) and to discuss the drugs
involved. The request to the FPVD used the seven most accurate diagnosis codes to
identify ITP. The study was restricted to cases reported from 2007 to 2010 and at
least "possible" according to the French causality assessment score. We then
described the population's characteristics, the drugs involved, the clinical
symptoms, and the course of ITP. For each drug, we estimated the causality
assessment score developed by George for drug-induced ITP. We included 59 drug
induced ITP. Among them, 45.8% were post-vaccinal: they mainly occurred in
children (median age: 16 years). Main vaccines were diphtheria-tetanus
poliomyelitis (DTP, 9 cases), influenza (n=8) and measles, mumps, and rubella
(MMR, n=7). Regarding the 33 non-vaccinal cases: some drugs are well-known as
triggering ITP (e.g. non-steroidal anti-inflammatory drugs (NSAIDs), n=5;
abciximab, n=4, acetaminophen, n=2). Other drugs inducing ITP were involved, like
serotonin reuptake inhibitors or bevacizumab. Mean age was 57.1 +/- 21.7; 60.6%
of the patients were male and 25% had autoimmune antecedents. Among the 55
suspected drugs, three were classified as "probable" and 28 as "possible"
according to George scale. In both vaccinal and other cases, median delay was 14
days, median platelet value at nadir was<10000 ul(-1) and hemorrhagic symptoms
were rarely severe (only 2 gastrointestinal hemorrhages). Specific treatment was
introduced in 45 (76.3%) patients. Five drug-induced cases led to chronicity.
Among them, ezetimibe was suspected in two reports. In the FPVD, DTP, MMR, and
influenza vaccines are the most often reported vaccines inducing ITP, perhaps
because of their wide use. Our study confirmed that NSAIDs, abciximab, and
acetaminophen frequently trigger ITP. It also allows to suspect other drugs like
serotonin reuptake inhibitors or bevacizumab. Ezetimibe may induce chronic ITP.
Drug-induced ITP is rarely severe. Finally, this study also shows that chronicity
of ITP does not rule out the possibility of an iatrogenic cause.
PMID- 22098131
TI - Evaluation of hemostatic balance in blood from patients with polycythemia vera by
means of thromboelastography: the effect of isovolemic erythrocytapheresis.
AB - Polycythemia vera (PV) is associated with an increased frequency of thrombotic
complications. This study was undertaken to evaluate the hemostatic balance in
the blood of PV patients by means of thromboelastography (TEG). The effect of
isovolemic erythrocytapheresis (ECP) on the hemostasis of PV patients was also
studied. We assessed the coagulation status of 76 PV patients undergoing ECP and
50 of healthy controls. TEG measurements were performed immediately before and
after the ECP procedure. Coagulation was triggered by recalcification in freshly
collected citrated blood. We recorded clotting time (R), alpha angle, and maximum
amplitude (MA) of the clot. The results presented here show that, compared with
healthy controls, PV patients demonstrated an increase in alpha angle (p<0.005)
and in MA (p=0.14). In the subgroup of PV patients with high (>440 * 10(9)l(-1))
platelet (PLT) count, differences in MA (p<0.01) and alpha angle (p<0.001) were
more significant. Following ECP procedure, a significant (p <= 0.01) reduction of
R time, a rise of alpha angle, and MA were observed, indicating augmentation of a
hypercoagulable state. In PV patients, the rise in alpha angle positively
correlated (r=0.549) with platelet count but not with the number of erythrocytes
and leukocytes. Following ECP, this correlation was reduced (r=0.382). Dilution
(with saline) of blood from PV patients and of healthy controls, to a degree
similar to that used during the ECP procedure, resulted in reduction of R and
rise of the alpha angle. In conclusion, TEG measurements show that the majority
of PV patients demonstrate abnormal hemostasis in which a major role is played by
platelets rather than plasma factors. The hypercoagulable state in PV patients is
significantly augmented following the ECP and may be related to the hemodilution
intrinsically included in this procedure. TEG may help to assess the thrombotic
risk in individual PV patients.
PMID- 22098132
TI - Characterizing organic monolithic columns using capillary flow porometry and
scanning electron microscopy.
AB - Polyethylene glycol diacrylate monoliths prepared using different amounts of
monomer, porogen ratio, and capillary dimensions were characterized using
capillary flow porometry (CFP) and scanning electron microscopy (SEM). Our
results reveal good agreement between SEM and CFP measurements for through-pore
size distribution. The CFP measurements for monoliths prepared by the same
procedure in capillaries with different diameters (i.e., 75, 150, and 250 MUm)
clearly confirmed a change in through-pore size distribution with capillary
diameter, thus, certifying the need for in-column measurement techniques over
bulk measurements (e.g., mercury intrusion porosimetry). The mean through-pore
size varied from 3.52 to 1.50 MUm with a change in capillary diameter from 75 to
250 MUm. Consistent mean through-pore size distribution for capillary columns
with the same internal diameter but with different lengths (1.5, 2, and 3 cm)
confirms the high interconnectivity of the pores and independence of CFP
measurements with respect to capillary length. CFP and SEM measurements not only
allow pore structure analysis but also prediction of relative column performance.
Monoliths with narrow through-pore size distribution (0.8-1.2 MUm), small mean
through-pore size, and thin skeletal size (0.55 MUm) gave the best performance in
terms of efficiency for polyethylene glycol diacrylate monoliths.
PMID- 22098133
TI - Effect of pretreatment with Lactobacillus gasseri OLL2716 on first-line
Helicobacter pylori eradication therapy.
AB - BACKGROUND AND AIM: Helicobacter pylori eradication clearly decreases peptic
ulcer recurrence rates. H. pylori eradication is achieved in 70-90% of cases, but
treatment failures due to poor patient compliance and resistant organisms do
occur. Lactobacillus gasseri can suppress both clarithromycin-susceptible and
resistant strains of H. pylori in vitro. The aim of this study was to determine
the effect of pretreatment with L. gasseri- containing yogurt on H. pylori
eradication. We conducted a randomized, controlled clinical trial in patients
with H. pylori infection. METHODS: A total of 229 patients were randomized into
either a 1-week triple therapy of rabeprazole (10 mg bid), amoxicillin (750 mg
bid), and clarithromycin (200 mg bid) or triple therapy plus L. gasseri
containing yogurt. In the yogurt-plus-triple therapy groups, yogurt containing L.
gasseri OLL2716 (112 g) was given twice daily for 4 weeks (3 weeks pretreatment
and also 1 week during eradication therapy). Clarithromycin resistance was
determined by the detection of a mutation in 23S rRNA using nested polymerase
chain reaction and the direct sequencing of DNA from pretreatment feces. H.
pylori eradication was diagnosed based on the urea breath test and a stool
antigen test after 8 weeks of eradication. RESULTS: The status of H. pylori
susceptibility to clarithromycin was successively determined in 188 out of 229
samples. The rate of infection with clarithromycin-resistant strains of H. pylori
was 27.1%. Overall eradication (intention to treat/per protocol) was 69.3/74.5%
for the triple-only group, and 82.6/85.6% for the yogurt-plus-triple group (P =
0.018/P = 0.041). Eradication of primary clarithromycin-resistant strains tended
to be higher for yogurt-plus-triple therapy than triple-only therapy (38.5 vs
28.0%, respectively, P = 0.458). CONCLUSION: This study confirmed that the major
cause of treatment failure is resistance to clarithromycin. A 4-week treatment
with L. gasseri-containing yogurt improves the efficacy of triple therapy in
patients with H. pylori infection.
PMID- 22098134
TI - AGD1, a class 1 ARF-GAP, acts in common signaling pathways with phosphoinositide
metabolism and the actin cytoskeleton in controlling Arabidopsis root hair
polarity.
AB - The Arabidopsis thaliana AGD1 gene encodes a class 1 adenosine diphosphate
ribosylation factor-gtpase-activating protein (ARF-GAP). Previously, we found
that agd1 mutants have root hairs that exhibit wavy growth and have two tips that
originate from a single initiation point. To gain new insights into how AGD1
modulates root hair polarity we analyzed double mutants of agd1 and other loci
involved in root hair development, and evaluated dynamics of various components
of root hair tip growth in agd1 by live cell microscopy. Because AGD1 contains a
phosphoinositide (PI) binding pleckstrin homology (PH) domain, we focused on
genetic interactions between agd1 and root hair mutants altered in PI metabolism.
Rhd4, which is knocked-out in a gene encoding a phosphatidylinositol-4-phosphate
(PI-4P) phosphatase, was epistatic to agd1. In contrast, mutations to PIP5K3 and
COW1, which encode a type B phosphatidylinositol-4-phosphate 5-kinase 3 and a
phosphatidylinositol transfer protein, respectively, enhanced the root hair
defects of agd1. Enhanced root hair defects were also observed in double mutants
to AGD1 and ACT2, a root hair-expressed vegetative actin isoform. Consistent with
our double-mutant studies, targeting of tip growth components involved in PI
signaling (PI-4P), secretion (RABA4b) and actin regulation (ROP2), were altered
in agd1 root hairs. Furthermore, tip cytosolic calcium ([Ca2+](cyt) )
oscillations were disrupted in root hairs of agd1. Taken together, our results
indicate that AGD1 links PI signaling to cytoskeletal-, [Ca2+](cyt-) , ROP2-, and
RABA4b-mediated root hair development.
PMID- 22098135
TI - Malaria prevalence and treatment of febrile patients at health facilities and
medicine retailers in Cameroon.
AB - OBJECTIVE: To investigate the quality of malaria case management in Cameroon 5
years after the adoption of artemisinin-based combination therapy (ACT).
Treatment patterns were examined in different types of facility, and the factors
associated with being prescribed or receiving an ACT were investigated. METHODS:
A cross-sectional cluster survey was conducted among individuals of all ages who
left public and private health facilities and medicine retailers in Cameroon and
who reported seeking treatment for a fever. Prevalence of malaria was determined
by rapid diagnostic tests (RDTs) in consenting patients attending the facilities
and medicine retailers. RESULTS: Among the patients, 73% were prescribed or
received an antimalarial, and 51% were prescribed or received an ACT. Treatment
provided to patients significantly differed by type of facility: 65% of patients
at public facilities, 55% of patients at private facilities and 45% of patients
at medicine retailers were prescribed or received an ACT (P = 0.023). The odds of
a febrile patient being prescribed or receiving an ACT were significantly higher
for patients who asked for an ACT (OR = 24.1, P < 0.001), were examined by the
health worker (OR = 1.88, P = 0.021), had not previously sought an antimalarial
for the illness (OR = 2.29, P = 0.001) and sought treatment at a public (OR =
3.55) or private facility (OR = 1.99, P = 0.003). Malaria was confirmed in 29% of
patients and 70% of patients with a negative result were prescribed or received
an antimalarial. CONCLUSIONS: Malaria case management could be improved.
Symptomatic diagnosis is inefficient because two-thirds of febrile patients do
not have malaria. Government plans to extend malaria testing should promote
rational use of ACT; though, the introduction of rapid diagnostic testing needs
to be accompanied by updated clinical guidelines that provide clear guidance for
the treatment of patients with negative test results.
PMID- 22098139
TI - A phase I study to characterize the multiple-dose pharmacokinetics,
pharmacodynamics and safety of new enteric-coated triflusal formulations in
healthy male volunteers.
AB - OBJECTIVES: An enteric-coated formulation of triflusal (triflusal EC), an
antiplatelet agent, was developed to reduce the high incidence of
gastrointestinal adverse events (AEs). The aim of this study is to compare the
pharmacokinetics, pharmacodynamics and safety of triflusal EC with triflusal in
healthy Korean male subjects to determine bioequivalence and non-inferiority for
the purposes of marketing approval. METHODS: A randomized, open-label, two
period, crossover study was conducted in 38 subjects. Either triflusal EC or
triflusal was administered orally as a single 900 mg loading dose (day 1)
followed by eight 600 mg/day maintenance doses on days 2 - 9, with a 13-day
washout period. The plasma concentrations of 2-hydroxy-4-trifluoromethyl benzoic
acid (HTB), the predominant active metabolite of triflusal, were assessed after
administration of the loading dose, using HPLC/MS/MS. The platelet aggregation
response to arachidonic acid was determined using turbidimetric aggregometry.
RESULTS: The 90% CIs, for the geometric mean ratios of the log-transformed
AUC(tau) and C(max) of HTB were seen to be within the predetermined range of 0.8
1.25. Triflusal EC was also shown to be non-inferior in its anti-aggregatory
effect. No serious AEs were reported during this study. CONCLUSIONS: The
pharmacokinetic and pharmacodynamic profiles of the two triflusal formulations
met the requirements for bioequivalence and non-inferiority, respectively. Both
formulations were well tolerated.
PMID- 22098140
TI - Improvements in the reliability of in vitro genotoxicity testing.
AB - INTRODUCTION: In vitro genotoxicity assays have a high sensitivity to detect
rodent carcinogens, but mammalian cell tests have a propensity for misleading
positive results (poor specificity). Recent data show a greater risk of
misleading positive results in p53-deficient rodent cell lines than in p53
competent human cells. Measures of cytotoxicity, source and stability of cells
used are also important. AREAS COVERED: In this review, potential reduction in
the top concentration for testing (10 mM) is discussed. Indirect effects on non
DNA targets, which may not be relevant for humans or may exhibit a threshold,
have been identified. EXPERT OPINION: The reliability of in vitro genotoxicity
tests could be improved by selecting p53-proficient, human cells. The provenance
and stability of the cells used should be demonstrated. Measures of cytotoxicity
based on cell proliferation should be used. Lowering the top concentration for
testing from 10 mM to 4 mM or 2000 MUg/ml, whichever is the lower, as proposed by
some experts, would seem to be justified. Artefacts that may be caused by
reaction of test substance with culture medium should be avoided. Better
understanding and investigation of the potential for threshold and irrelevant
modes of action are encouraged.
PMID- 22098141
TI - Performance of lecithin-sphingomyelin ratio as a reflex test for documenting
fetal lung maturity in late preterm and term fetuses.
AB - OBJECTIVE: To determine if infants delivered after immature or indeterminate TDx
FLM II testing and a mature reflex test are at increased risk for neonatal
respiratory complications. METHODS: The primary analysis compared neonatal
respiratory morbidity (RDS or TTN) in 34-39-week fetuses delivered after either
(i) mature TDx-FLM II testing, or (ii) indeterminate or immature TDx-FLM II and a
positive reflex test (PG or L/S ratio). RESULTS: Fifty patients delivered after
mature TDx-FLM II, and 30 after immature or indeterminate TDx-FLM II with an L/S
>= 2.0. Respiratory morbidity was significantly higher in the group delivered
after mature reflex testing compared with mature TDx-FLM II (23% vs. 2%, p <
0.01). When PG was present, there were no cases of RDS or TTN. CONCLUSIONS:
Utilizing L/S ratios as a reflex test to confirm lung maturity was associated
with a high risk for respiratory morbidity, particularly when PG was not present.
PMID- 22098143
TI - Family-centred or family-censored care?
PMID- 22098136
TI - Peroxiredoxins in parasites.
AB - SIGNIFICANCE: Parasite survival and virulence relies on effective defenses
against reactive oxygen and nitrogen species produced by the host immune system.
Peroxiredoxins (Prxs) are ubiquitous enzymes now thought to be central to such
defenses and, as such, have potential value as drug targets and vaccine antigens.
RECENT ADVANCES: Plasmodial and kinetoplastid Prx systems are the most
extensively studied, yet remain inadequately understood. For many other parasites
our knowledge is even less well developed. Through parasite genome sequencing
efforts, however, the key players are being discovered and characterized. Here we
describe what is known about the biochemistry, regulation, and cell biology of
Prxs in parasitic protozoa, helminths, and fungi. At least one Prx is found in
each parasite with a sequenced genome, and a notable theme is the common patterns
of expression, localization, and functionality among sequence-similar Prxs in
related species. CRITICAL ISSUES: The nomenclature of Prxs from parasites is in a
state of disarray, causing confusion and making comparative inferences difficult.
Here we introduce a systematic Prx naming convention that is consistent between
organisms and informative about structural and evolutionary relationships. FUTURE
DIRECTIONS: The new nomenclature should stimulate the crossfertilization of ideas
among parasitologists and with the broader redox research community. The diverse
parasite developmental stages and host environments present complex systems in
which to explore the variety of roles played by Prxs, with a view toward
parlaying what is learned into novel therapies and vaccines that are urgently
needed.
PMID- 22098144
TI - Residual ridge atrophy in complete denture wearers and relationship with
densitometric values of a cervical spine: a hierarchical regression analysis.
AB - BACKGROUND: The rate of residual ridge atrophy (RRR) and its association with
mineral density of other bones have not yet been fully explained. OBJECTIVE: To
measure RRR over a 5-year period in complete denture wearers and relate it to the
density of a cervical spine (CSBD). MATERIALS AND METHODS: Sixty-two patients
(different gender, age, body mass index, duration of edentulousness (DE) and
different denture-wearing habits) participated. A copper stepwedge was attached
to the cassette, and 50 lateral radiograms met the criteria to be included.
RESULTS: A significant decrease in vertical height was observed in all measured
sites. The amount of RRR was highest in frontal areas of both jaws and decreased
gradually towards lateral regions. Hierarchical regression analysis revealed that
the amount of RRR in the maxillary frontal area could be explained up to 48.4% by
the variable DE and only up to 6.1% by the CSBD, while gender had almost no
influence (1%). Similar results were obtained for the lateral maxillary RRR
(33.9%; 7%; 2%), frontal mandibular RRR (40; 8.4; 0.4%) and lateral mandibular
RRR (31.5%; 3.4%; 7.7%). CONCLUSION: Skeletal bone density, reflecting systemic
and hereditary factors, is weakly related to RRR (3.4-8.4%).
PMID- 22098145
TI - Methylated arsenic species in plants originate from soil microorganisms.
AB - * Inorganic arsenic (iAs) is a ubiquitous human carcinogen, and rice (Oryza
sativa) is the main contributor to iAs in the diet. Methylated pentavalent As
species are less toxic and are routinely found in plants; however, it is
currently unknown whether plants are able to methylate As. * Rice, tomato
(Solanum lycopersicum) and red clover (Trifolium pratense) were exposed to iAs,
monomethylarsonic acid (MMA(V)), or dimethylarsinic acid (DMA(V)), under axenic
conditions. Rice seedlings were also grown in two soils under nonsterile flooded
conditions, and rice plants exposed to arsenite or DMA(V) were grown to maturity
in nonsterile hydroponic culture. Arsenic speciation in samples was determined by
HPLC-ICP-MS. * Methylated arsenicals were not found in the three plant species
exposed to iAs under axenic conditions. Axenically grown rice was able to take up
MMA(V) or DMA(V), and reduce MMA(V) to MMA(III) but not convert it to DMA(V).
Methylated As was detected in the shoots of soil-grown rice, and in rice grain
from nonsterile hydroponic culture. GeoChip analysis of microbial genes in a
Bangladeshi paddy soil showed the presence of the microbial As methyltransferase
gene arsM. * Our results suggest that plants are unable to methylate iAs, and
instead take up methylated As produced by microorganisms.
PMID- 22098146
TI - Activity-aware clustering of high throughput screening data and elucidation of
orthogonal structure-activity relationships.
AB - From a medicinal chemistry point of view, one of the primary goals of high
throughput screening (HTS) hit list assessment is the identification of
chemotypes with an informative structure-activity relationship (SAR). Such
chemotypes may enable optimization of the primary potency, as well as selectivity
and phamacokinetic properties. A common way to prioritize them is molecular
clustering of the hits. Typical clustering techniques, however, rely on a general
notion of chemical similarity or standard rules of scaffold decomposition and are
thus insensitive to molecular features that are enriched in biologically active
compounds. This hinders SAR analysis, because compounds sharing the same
pharmacophore might not end up in the same cluster and thus are not directly
compared to each other by the medicinal chemist. Similarly, common chemotypes
that are not related to activity may contaminate clusters, distracting from
important chemical motifs. We combined molecular similarity and Bayesian models
and introduce (I) a robust, activity-aware clustering approach and (II) a feature
mapping method for the elucidation of distinct SAR determinants in
polypharmacologic compounds. We evaluated the method on 462 dose-response assays
from the Pubchem Bioassay repository. Activity-aware clustering grouped compounds
sharing molecular cores that were specific for the target or pathway at hand,
rather than grouping inactive scaffolds commonly found in compound series. Many
of these core structures we also found in literature that discussed SARs of the
respective targets. A numerical comparison of cores allowed for identification of
the structural prerequisites for polypharmacology, i.e., distinct bioactive
regions within a single compound, and pointed toward selectivity-conferring
medchem strategies. The method presented here is generally applicable to any type
of activity data and may help bridge the gap between hit list assessment and
designing a medchem strategy.
PMID- 22098147
TI - The potential role of Marginal Structural Models (MSMs) in testing the
effectiveness of antidepressants in the treatment of patients with major
depression in everyday clinical practice.
AB - OBJECTIVES: To better evaluate the effectiveness of antidepressant drugs in the
treatment of major depression in clinical practice. METHODS: A simulation
experiment was used to illustrate an application of marginal structural models
(MSMs) via inverse probability of treatment weighting (IPTW) approach in the
context of non-randomized data on N = 1,000 depressed subjects, initially
subjected to "watchful waiting". In simulation we assumed that subjects with
worse intermediate outcome have a higher probability of being subsequently
assigned to antidepressant treatment while those who receive antidepressant
treatment are more likely to reach remission and less likely to reach relapse
state. The outcomes from multiple (500) simulated data sets are analyzed using
simple unadjusted analysis based on logistic regression and using MSM. RESULTS:
In contrast to unadjusted analysis, but consistent with the treatment
assumptions, using MSM via IPTW results in strong evidence of the effectiveness
of the antidepressant treatment. Furthermore MSM via IPTW substantially reduces
the probability of wrongly rejecting the null hypothesis. However, the
instability of weights due to the sparse data and incorrectly specified MSM may
still result in inflation of Type I error rates. CONCLUSIONS: MSMs may allow
evaluating the causal effects associated with antidepressant treatment from the
data observed in clinical practice.
PMID- 22098148
TI - Low plasma vascular endothelial growth factor (VEGF) associated with completed
suicide.
AB - OBJECTIVES: Immunological differences have previously been associated with
depression and suicidal behaviour. Several cytokines have been identified as
potentially important in understanding the pathophysiology of mood disorders and
suicidality. Here we aimed to identify new inflammatory biomarkers for suicide
prediction. METHODS: Plasma concentrations of interleukin (IL) 1-a , IL1-b, IL-2,
IL-4, IL-6, IL-8, IL-10, interferon-gamma (IFNG), tumor necrosis factor-a (TNF
a), monocyte chemotactic protein-1 (MCP-1), epidermal growth factor (EGF), and
vascular endothelial growth factor (VEGF) were measured in 58 suicide attempters
with a high throughput automated biochip immunoassay system. Patients were
evaluated using the Montgomery-Asberg Depression Rating Scale (MADRS) and the
Suicide Intent Scale (SIS). All patients were followed up for cause of death.
RESULTS: We found significantly lower levels of VEGF in the seven patients who
upon a mean follow-up of 13 years were found to have completed suicide. VEGF also
showed a trend for negative correlation with the planning subscale of SIS. A
trend could be shown for lower IL-2 and for higher IFNG levels in suicide
victims. CONCLUSIONS: Our study provides further support for a role of
inflammation in the pathophysiology of suicidality. VEGF may be related with
suicide risk.
PMID- 22098149
TI - Surface behavior, aggregation and phase separation of aqueous mixtures of dodecyl
trimethylammonium bromide and sodium oligoarene sulfonates: the transition to
polyelectrolyte/surfactant behavior.
AB - The properties and phase diagrams of aqueous mixtures of dodecyltrimethylammonium
bromide (C(12)TAB) with the sodium oligoarene sulphonates (POSn), POS2, POS3,
POS4, and POS6 have been studied using surface tension and neutron reflectometry
to study the surface, and neutron small angle scattering and fluorescence to
study the bulk solution. The behavior of POS2 and POS3 is reasonably consistent
with mixed micelles of C(12)TAB and POSn-(C(12)TA)(n). These systems exhibit a
single critical micelle concentration (CMC) at which the surface tension reaches
the usual plateau. This is contrary to a recent report which suggests that the
onset of the surface tension plateau does not coincide with the CMC. In the POS3
system, the micelles conform to the core-shell model, are slightly ellipsoidal,
and have aggregation numbers in the range 70-100. In addition, the dissociation
constant for ionization of the micelles is significantly lower than for free
C(12)TAB micelles, indicating binding of the POS3 ion to the micelles. Estimation
of the CMCs of the POSn-(C(12)TA)(n) from n = 1-3 assuming ideal mixing of the
two component surfactants and the observed values of the mixed CMC gives values
that are consistent with the nearest related gemini surfactant. The POS4 and POS6
systems are different. They both phase separate slowly to form a dilute and a
concentrated (dense) phase. Fluorescence of POS4 has been used to show that the
onset of aggregation of surfactant (critical aggregation concentration, CAC)
occurs at the onset of the surface tension plateau and that, at the slightly
higher concentration of the phase separation, the concentration of POS4 and
C(12)TAB in the dilute phase is at or below its concentration at the CAC, that
is, this is a clear case of complex coacervation. The surface layer of the
C(12)TA ion in the surface tension plateau region, studied directly by neutron
reflectometry, was found to be higher than a simple monolayer (observed for POS2
and POS3) for both the POS4 and POS6 systems. In POS6 this evolved after a few
hours to a structure consisting of a monolayer with an attached subsurface
bilayer, closely resembling that observed for one class of
polyelectrolyte/surfactant mixtures. It is suggested that this structured layer,
which must be present on the surface of the dilute phase of the coacervated
system, is a thin wetting film of the dense phase. The close resemblance of the
properties of the POS6 system to that of one large group of
polyelectrolyte/surfactant mixtures shows that the surface behavior of
oligoion/surfactant mixtures can quickly become representative of that of true
polyelectrolyte/surfactant mixtures. In addition, the more precise
characterization possible for the POS6 system identifies an unusual feature of
the surface behavior of some polyelectrolyte/surfactant systems and that is that
the surface tension can remain low and constant through a
precipitation/coacervation region because of the characteristics of two phase
wetting. The well-defined fixed charge distribution in POS6 also suggests that
rigidity and charge separation are the factors that control whether a given
system will exhibit a flat surface tension plateau or the alternative of a peak
on the surface tension plateau.
PMID- 22098150
TI - Synthesis of 1,2,4,5-tetrasubstituted imidazoles by a sequential aza
Wittig/Michael/isomerization reaction.
AB - Carbodiimides 4, obtained from aza-Wittig reactions of iminophosphorane 3 with
aryl isocyanates, reacted with secondary amines in the presence of a catalytic
amount of sodium alkoxide to give 1,2,4,5-tetrasubstituted imidazoles 7 in good
yields. However, 4-acylimidazoles 11 were obtained, as phenols were used in the
presence of a catalytic amount of potassium carbonate due to further air
oxidation of the expected products 10.
PMID- 22098151
TI - Pachyonychia congenita patients with mutations in KRT6A have more extensive
disease compared with patients who have mutations in KRT16.
AB - BACKGROUND: Pachyonychia congenita (PC) is an autosomal dominant, very rare
keratin disorder caused by mutations in any of at least four genes (KRT6A, KRT6B,
KRT16 or KRT17), which can lead to hypertrophic nail dystrophy and palmoplantar
keratoderma, among other manifestations. Classically, patients with mutations in
KRT6A and KRT16 have been grouped to the PC-1 subtype (Jadassohn-Lewandowsky
type) and KRT6B and KRT17 to PC-2 (Jackson-Lawler type). OBJECTIVES: To describe
clinical heterogeneity among patients with PC who have genetic mutations in KRT6A
and KRT16. METHODS: In 2004, the Pachyonychia Congenita Project established the
International PC Research Registry (IPCRR) for patients with PC. All patients
reporting here underwent genetic testing and responded to a standardized,
validated survey about their PC symptoms. We report results from 89 patients with
KRT6A mutations and 68 patients with KRT16 mutations. RESULTS: Patients with PC
who have KRT6A and KRT16 mutations display distinct phenotypic differences.
Patients with PC-K6a experience earlier onset, more extensive nail disease and
more substantial disease outside palms and soles, as they reported a higher
prevalence of oral leucokeratosis (P < 0.001), cysts (P < 0.001) and follicular
hyperkeratosis (P < 0.001) compared with their PC-K16 counterparts. CONCLUSION:
Phenotypic differences between patients with KRT6A and KRT16 mutations support
adoption of a new classification system based on the mutant gene (PC-6a, PC-16)
rather than the PC-1 nomenclature.
PMID- 22098152
TI - Severity and timing of progression predict refractoriness to transarterial
chemoembolization in hepatocellular carcinoma.
AB - BACKGROUND AND AIM: Patients with hepatocellular carcinoma (HCC) that is
refractory to repeated transarterial chemoembolization (TACE) are considered for
systemic therapy, but TACE refractoriness is not well defined. The aim of this
study was to determine the characteristics of patients whose HCC is refractory to
repetitive TACE. METHODS: We evaluated 264 patients with intermediate-stage HCC
who underwent TACE between January 2006 and September 2009. We designated the
development of vascular invasion or extrahepatic spread during follow up as
"stage progression" (SP), and hypothesized that SP might be the surrogate end
point for TACE refractoriness. RESULTS: The median follow up was 18.2 months, and
median number of TACE was 3.0 (range, 1-13). Median time-to-progression was 5.5
months (95% confidence interval, 4.8-6.2), and median overall survival was 25.3
months (95% confidence interval, 21.6-29.0). We classified the patients according
to disease course as: no progressive disease (PD(-); n = 33); PD without SP
(PD(+)SP(-); n = 113); PD followed by SP (PD->SP; n = 47); and simultaneous PD
and SP (PD&SP; n = 64). PD(-) and PD(+)SP(-) groups showed no difference in
overall survival, PD->SP group had worse overall survival than PD(-) and PD(+)SP(
) groups, and PD&SP group had the worst overall survival. The significant
prognostic factors for SP-free survival were development of PD and need for three
sessions of TACE during the first 6 months. CONCLUSIONS: SP-free survival can be
regarded as an end-point for TACE refractoriness. Development of progression or
need for three sessions of TACE within the first 6 months could be predictive of
TACE refractoriness.
PMID- 22098153
TI - Scutellaria litwinowii induces apoptosis through both extrinsic and intrinsic
apoptotic pathways in human promyelocytic leukemia cells.
AB - Scutellaria is a genus of Lamiaceae with known antiproliferative potentials.
Scutellaria litwinowii Bornm. & Sint. ex Bornm. is one of the Iranian species of
Scutellaria. Although there are widespread reports about the cytotoxic and
antitumor effects of some species of this genus, research on the molecular
mechanism responsible for the anticancer effects of S. litwinowii has not yet
been conducted. In the current study, the apoptotic effects of S. litwinowii on 2
myeloid cell lines, apoptosis-proficient HL60 cells and apoptosis-resistant K562
cells, were analyzed. An increase in the activity of caspases-3, -8, and -9, poly
(ADP ribose) polymerase (PARP) cleavage, detection of phosphatidylserine on the
outer layer of cell membrane and sub-G1 peak in the flow cytometry histogram of
treated cells, suggested the induction of apoptosis. S. litwinowii also increased
the Bax/Bcl-2 ratio. It could be concluded that S. litwinowii induced apoptosis
in both apoptosis-proficient and apoptosis-resistant leukemic cells and it might
be considered as a novel candidate in the treatment of hematological
malignancies.
PMID- 22098154
TI - Evaluation of the kinematic parameters of normal-paced gait in subjects with
gonarthrosis and the influence of gonarthrosis on the function of the ankle joint
and hip joint.
AB - The aim of the study was to assess the variability of parameters characterising
the gait of persons suffering from degenerative changes of the knee joint and
their influence on the ankle and hip joints. The values of the angular changes in
the knee, ankle and hip joints in the three planes of motion were assessed.
Locomotion tests were performed on 27 persons, aged between 60 and 74, using
Vicon 250, the three-dimensional analysis system. The sharpest deviations from
the results of the control group were revealed in the transverse and frontal
planes. Degenerative knee joint disease has changed the gait stereotype causing a
reduction in the economy of gonarthrosis patients' locomotion, the influence of
the disease on the function of the neighbouring joints is also distinctly marked.
PMID- 22098155
TI - PLOD2 induced under hypoxia is a novel prognostic factor for hepatocellular
carcinoma after curative resection.
AB - BACKGROUND: Under hypoxia, tumour cells undergo genetic and adaptive changes that
allow their survival. Previously, we reported that high expression of hypoxia
inducible factor (HIF)-1 was a significant predictive factor for recurrence in
hepatocellular carcinoma (HCC). Hypoxia also stimulates expression of procollagen
lysine, 2-oxoglutarate 5-dioxygenase (PLOD) genes via the HIF-1 pathway. AIMS:
The aim was to evaluate the relationship between hypoxia stress and expression of
PLOD genes in HCC in vitro and to identify a new prognostic marker in HCC
patients. METHODS: The PLOD2 expression was assessed under hypoxia in hepatoma
cell lines and characterized in 139 HCC samples following hepatic resection using
microarray experiments, quantitative RT-PCR and immunohistochemistry. Prognostic
factors in HCC patients were assessed using univariate and multivariate analyses.
RESULTS: The PLOD2 expression was induced under the hypoxia in vitro. Disease
free survival in the high PLOD2 expression group of HCC patients was
significantly shorter when compared with the low-expression group (P = 0.002). In
a subset of HCCs, we found that the PLOD2 expression of microarray was correlated
with data of quantitative RT-PCR and immunohistochemistry. Of clinicopathological
factors, PLOD2 expression was significantly correlated with tumour size (P =
0.022) and macroscopic intrahepatic metastasis (P = 0.049). In univariate
analysis, six prognostic factors (tumour multiplicity, macroscopic intrahepatic
metastasis, histological grade, microscopic portal invasion, microscopic
intrahepatic metastasis and PLOD2 expression) were significant for disease-free
survival. PLOD2 expression was identified as a significant, independent factor of
poor prognosis (P = 0.013). CONCLUSIONS: PLOD2 is a potential novel prognostic
factor for HCC patients following surgery.
PMID- 22098156
TI - Cancer stem cell marker ALDH1 expression is associated with lymph node metastasis
and poor survival in esophageal squamous cell carcinoma: a study from high
incidence area of northern China.
AB - Tumor recurrence and metastasis is the leading cause of death in esophageal
squamous cell carcinoma (ESCC). Cancer stem cell (CSC) may be responsible for
tumor growth and maintenance of aggressive behavior. Aldehyde dehydrogenase 1
(ALDH1) has been proposed as one of the possible candidates for a CSC marker. The
expression of ALDH1 may be correlated with the clinicopathologic factor and
clinical outcome of patients with ESCC. The purpose of this study was to
investigate the expression of ALDH1 protein in human ESCC tissues, and evaluated
the clinical implication of ALDH1 expression for these patients. All 79 patients
who underwent esophagectomy for ESCC between January 2005 and June 2006 were
enrolled in this study. The expression of ALDH1 in ESCC and adjacent noncancerous
tissues was analyzed by immunohistochemistry. ALDH1 was mainly expressed in ESCC
cell nucleus. For the 79 ESCC patients, increased nuclear accumulation of ALDH1
was found in 12 (15.2%) specimens. ALDH1 expression was correlated with poor
histological differentiation (P= 0.003), lymph node metastasis (P= 0.011), and
late pathologic TNM classification (pTNM) staging (P= 0.003). Patients in ALDH1
positive group had a significantly poor 5-year overall survival than those in the
negative group (8.3% vs. 52.2%, P= 0.025). We have demonstrated for the first
time that the CSC marker, ALDH1, is expressed in human ESCC. The expression of
ALDH1 protein in nucleus of the ESCC is significantly associated with lymph node
metastasis and poor survival. Our results highly indicate the involvement of
ALDH1 in the aggressive behavior of ESCC.
PMID- 22098157
TI - Embracing an era of rising family quality of life research.
PMID- 22098158
TI - Relevance-driven information search in "pseudodiagnostic" reasoning.
AB - When faced with two competing hypotheses, people sometimes prefer to look at
multiple sources of information in support of one hypothesis rather than to
establish the diagnostic value of a single piece of information for the two
hypotheses. This is termed pseudodiagnostic reasoning and has often been
understood to reflect, among other things, poor information search strategies.
Past research suggests that diagnostic reasoning may be more easily fostered when
participants seek data to help in the selection of one of two competing courses
of action as opposed to situations where they seek data to help infer which of
two competing hypotheses is true. In the experiment reported here, we provide the
first empirical evidence demonstrating that manipulating the relevance of the
feature for which participants initially receive information determines whether
they will make a nominally diagnostic or pseudodiagnostic selection. The
discussion of these findings focuses on implications for the ability to engage in
diagnostic hypothesis testing.
PMID- 22098160
TI - Lysosomal function and dysfunction: mechanism and disease.
AB - SIGNIFICANCE: Lysosomes are organelles in which cellular degradation occurs in a
controlled manner, separated from other cellular components. As several pathways
terminate in the lysosome, lysosomal dysfunction has a profound impact on cell
homeostasis, resulting in manifold pathological situations, including infectious
diseases, neurodegeneration, and aging. RECENT ADVANCES: Lysosomal biology
demonstrates that in addition to regulating the final steps of catabolic
processes, lysosomes are essential up-stream modulators of autophagy and other
essential lysosomal pathways. FUTURE DIRECTIONS AND CRITICAL ISSUES: Lysosomal
membrane permeabilization offers therapeutic potential in the treatment of
cancer, though the molecular regulators of this process remain obscure. This
review focuses on recent discoveries in lysosomal function and dysfunction,
primarily in in vivo situations.
PMID- 22098159
TI - Deregulation of Hippo kinase signalling in human hepatic malignancies.
AB - BACKGROUND/AIMS: Hepatocellular carcinoma (HCC), cholangiocarcinoma (CC) and
hepatoblastoma (HB) are the main hepatic malignancies with limited treatment
options and high mortality. Recent studies have implicated Hippo kinase pathway
in cancer development, but detailed analysis of Hippo kinase signalling in human
hepatic malignancies, especially CC and HB, is lacking. METHODS: We investigated
Hippo kinase signalling in HCC, CC and HB using cells and patient samples.
RESULTS: Increased expression of yes-associated protein (Yap), the downstream
effector of the Hippo kinase pathway, was observed in HCC cells, and siRNA
mediated knockdown of Yap resulted in decreased survival of HCC cells. The
density-dependent activation of Hippo kinase pathway characteristic of normal
cells was not observed in HCC cells and CCLP cells, a cholangiocarcinoma cell
line. Immunohistochemistry of Yap in HCC, CC and HB tissues indicated extensive
nuclear localization of Yap in majority of tissues. Western blot analysis
performed using total cell extracts from patient samples and normal livers showed
extensive activation of Yap. Marked induction of Glypican-3, CTGF and Survivin,
the three Yap target genes was observed in the tumour samples. Further analysis
revealed significant decrease in expression and activity of Lats kinase, the main
upstream regulator of Yap. However, no change in activation of Mst-2 kinase, the
upstream regulator of Lats kinase was observed. CONCLUSIONS: These data show that
Yap induction mediated by inactivation of Lats is observed in hepatic
malignancies. These studies highlight Hippo kinase pathway as a novel therapeutic
target for hepatic malignancies.
PMID- 22098161
TI - Barley grains, deficient in cytosolic small subunit of ADP-glucose
pyrophosphorylase, reveal coordinate adjustment of C:N metabolism mediated by an
overlapping metabolic-hormonal control.
AB - The barley Riso16 mutation leads to inactivation of cytosolic ADP-Glc
pyrophosphorylase, and results in decreased ADP-Glc and endospermal starch
levels. Here we show that this mutation is accompanied by a decrease in storage
protein accumulation and seed size, which indicates that alteration of a single
enzymatic step can change the network of storage metabolism as a whole. We used
comprehensive transcript, metabolite and hormonal profiling to compare grain
metabolism and development of Riso16 and wild-type endosperm. Despite increased
sugar availability in mutant endosperm, glycolytic intermediates downstream of
hexose phosphates remained unchanged or decreased, while several glycolytic
enzymes were downregulated at the transcriptional level. Metabolite and
transcript profiling also indicated an inhibition of the tricarboxylic acid cycle
at the level of mitochondrial nicotinamide adenine dinucleotide (NAD)-isocitrate
dehydrogenase and an attendant decrease in alpha-ketoglutarate and amino acids
levels in Riso16, compared with wild type. Decreased levels of cytokinins in
Riso16 endosperm suggested co-regulation between starch synthesis, abscisic acid
(ABA) deficiency and cytokinin biosynthesis. Comparative cis-element analysis in
promoters of jointly downregulated genes in Riso16 revealed an overlap between
metabolic and hormonal regulation, which leds to a coordinated downregulation of
endosperm-specific and ABA-inducible gene expression (storage proteins) together
with repression by sugars (isocitrate dehydrogenase, amylases). Such co
regulation ensured that decreased carbon fluxes into starch lead to a coordinated
inhibition of glycolysis, amino acid and storage proteins biosynthesis, which is
useful in the prevention of osmotic imbalances and oxidative stress due to
increased accumulation of sugars.
PMID- 22098162
TI - Early use of high-dose riboflavin in a case of Brown-Vialetto-Van Laere syndrome.
AB - Brown-Vialetto-Van Laere syndrome (BVVLS) is a genetic condition caused by a
mutation in the C20orf54 gene, which also codes for an intestinal riboflavin
transporter. We report the case of a female who presented at 22 months with acute
onset stridor and generalized muscle weakness, in whom a genetic diagnosis of
BVVLS was made, and whose symptoms improved on therapy with high-dose riboflavin.
She had previously been developing normally and was able to walk at 11 months,
then developed progressive muscle weakness at 22 months, and within 2 weeks was
unable to sit without support. She also demonstrated stridor and paradoxical
breathing indicating diaphragmatic weakness, and required continuous non-invasive
ventilation (NIV) through a tracheostomy. After treatment with riboflavin she was
able to walk unaided, and her Gross Motor Functional Classification level
improved from level IV to level I, having fully regained the motor function she
showed before symptom onset. There were no longer signs of diaphragmatic
paralysis while on NIV, and she was able to tolerate 10-minute periods off NIV
before paradoxical breathing again became apparent. We therefore recommend that
in all cases suspected to be in the BVVLS or Fazio-Londe spectrum, early
treatment with high-dose riboflavin must be considered.
PMID- 22098163
TI - Aptamer capturing of enzymes on magnetic beads to enhance assay specificity and
sensitivity.
AB - Activity and specificity of enzyme molecules are important to enzymatic reactions
and enzyme assays. We describe an aptamer capturing approach that improves the
specificity and the sensitivity of enzyme detection. An aptamer recognizing the
target enzyme molecule is conjugated on a magnetic bead, increasing the local
concentration, and serves as an affinity probe to capture and separate minute
amounts of the enzyme. The captured enzymes catalyze the subsequent conversion of
fluorogenic substrate to fluorescent products, enabling a sensitive measure of
the active enzyme. The feasibility of this technique is demonstrated through
assays for human alpha thrombin and human neutrophil elastase (HNE), two
important enzymes. Thrombin (2 fM) and 100 fM HNE can be detected. The
incorporation of two binding events, substrate recognition and aptamer binding,
greatly improves assay specificity. With its simplicity, this approach is
applicable to biosensing and detection of disease biomarkers.
PMID- 22098164
TI - Inflammatory stress exacerbates hepatic cholesterol accumulation via disrupting
cellular cholesterol export.
AB - BACKGROUND AND AIM: Both inflammation and cholesterol accumulation play important
roles in the development of non-alcoholic fatty liver disease. This study was
undertaken to investigate whether inflammation aggravated cholesterol
accumulation via disrupting hepatic cholesterol export and we explored the
underlying mechanisms. METHODS: We used casein injection in C57BL/6J mice, and
tumor necrosis factor alpha (TNF-alpha) stimulation in human hepatoblastoma cell
line (HepG2) cells to induce inflammation. Intracellular cholesterol level was
examined by Oil Red O staining and quantitative analysis. Bile acid level was
quantified by colorimetric analysis. (3)[H] cholesterol assay by scintillation
counting was performed to evaluate the cholesterol efflux. The mRNA and protein
expression was examined by real-time polymerase chain reaction and Western blot.
RESULTS: Inflammation increased cholesterol accumulation in livers of C57BL/6J
mice and in HepG2 cells. High-fat diet in mice and low-density lipoprotein (LDL)
loading in HepG2 cells increased bile acid synthesis and cholesterol efflux,
enhanced the mRNA and protein expression of liver X receptor alpha (LXRalpha),
peroxisome proliferator-activated receptors (PPARalpha, gamma), cholesterol
7alpha-hydroxylase (CYP7A1) and ATP-binding cassette transporter A1 (ABCA1).
However, inflammation reduced bile acid synthesis and cholesterol efflux even in
high-fat-diet-fed mice and HepG2 cells in the presence of LDL loading. The
enhanced effects of these genes and proteins expression due to high-fat diet and
LDL loading were inhibited by inflammation both in vivo and in vitro.
CONCLUSIONS: Inflammation disrupted PPAR-LXR-CYP7A1/ABCA1-mediated bile acid
synthesis and cholesterol efflux resulting in exacerbated cholesterol
accumulation in livers of C57BL/6J mice and HepG2 cells.
PMID- 22098165
TI - Foxp3(+)CD4(+)CD25(+) regulatory T cells are increased in patients with Coxiella
burnetii endocarditis.
AB - Chronic Q fever, which principally manifests as endocarditis, is characterized by
Coxiella burnetii persistence and an impaired cell-mediated immune response. The
long-term persistence of pathogens has been associated with the expansion of
regulatory T cells (Tregs), the CD4(+) T-cell subset that is characterized by
the expression of CD25 and Foxp3. We investigated the presence of Tregs in
patients with acute Q fever (n = 17), known to exhibit an efficient immune
response, patients with Q fever endocarditis (n = 54) and controls (n = 27) by
flow cytometry. The proportion of CD3(+) , CD4(+) and CD8(+) T cells was
similar in controls and patients with Q fever. The percentage of CD4(+) T cells
that expressed CD25 was similar in controls and patients with Q fever. The
population of CD4(+) T cells that expressed both CD25 and Foxp3 was
significantly (P < 0.001) increased in patients with Q fever endocarditis
compared with controls. Our data suggest that the expansion of Tregs may be
critical for the chronic evolution of Q fever.
PMID- 22098166
TI - Chorionic villus sampling for abnormal screening compared to historical
indications: prevalence of abnormal karyotypes.
AB - OBJECTIVE: To determine the prevalence of abnormal karyotype results in women
undergoing chorionic villus sampling (CVS) for abnormal first trimester screening
compared to CVS for historical indications (advanced maternal age (AMA) or prior
aneuploidy). METHODS: Retrospective cohort of all patients undergoing CVS at
Oregon Health & Science University from January 2006 to June 2010. Patients were
separated based on CVS indication: (1) positive ultrasound (U/S) or serum
screening; or (2) AMA or prior aneuploidy with normal or no screening. Prevalence
of abnormal karyotype results were compared between groups. RESULTS: Fetal
karyotyping was successful in 500 of 506 CVS procedures performed. 203 CVS were
performed for positive screening with 69 abnormal karyotypes (34.0%). 264 CVS
were performed for historical indications with 11 abnormal karyotypes (4.2%).
This difference was statistically significant (chi(2) 71.9, p < 0.001; OR 11.8
[95% CI 5.8, 24.6]). There were two age-related aneuplodies in AMA women without
positive screening. 42 out of 44 AMA women diagnosed with aneuploidy (95.5%) had
abnormal U/S and/or serum screening (35 U/S, 4 serum, 3 U/S and serum).
CONCLUSIONS: Combined ultrasound and serum screening should be recommended to all
women, including AMA women, prior to undergoing invasive testing to improve risk
based counseling and minimize morbidity.
PMID- 22098167
TI - Audiovisual distraction reduces pain perception during shockwave lithotripsy.
AB - BACKGROUND AND PURPOSE: Lithotripsy is an established method to fragment kidney
stones that can be performed without general anesthesia in the outpatient
setting. Discomfort and/or noise, however, may deter some patients. It has been
demonstrated that audiovisual distraction (AV) can reduce sedoanalgesic
requirements and improve patient satisfaction in nonurologic settings, but to our
knowledge, this has not been investigated with lithotripsy. This randomized
controlled trial was designed to test the hypothesis that AV distraction can
reduce perceived pain during lithotripsy. PATIENTS AND METHODS: All patients in
the study received identical analgesia before a complete session of lithotripsy
on a fixed-site Storz Modulith SLX F2 lithotripter. Patients were randomized to
two groups: One group (n=61) received AV distraction via a wall-mounted 32" (82
cm) television with wireless headphones; the other group (n=57) received no AV
distraction. The mean intensity of treatment was comparable in both groups.
Patients used a visual analogue scale (0-10) to record independent pain and
distress scores and a nonverbal pain score was documented by the radiographer
during the procedure (0-4). RESULTS: In the group that received AV distraction,
all measures of pain perception were statistically lower. The patient-reported
pain score was reduced from a mean of 6.1 to 2.4 (P<0.0001), and the distress
score was reduced from a mean of 4.4 to 1.0 (P=0.0001). The mean nonverbal score
recorded by the radiographer was reduced from 1.5 to 0.5 (<0.0001). CONCLUSIONS:
AV distraction significantly lowered patients' reported pain and distress scores.
This correlated with the nonverbal scores reported by the radiographer. We
conclude that AV distraction is a simple method of improving acceptance of
lithotripsy and optimizing treatment.
PMID- 22098168
TI - Induction of a viable-but-non-culturable state in bacteria treated with gas
discharge plasma.
PMID- 22098169
TI - Approximating protein flexibility through dynamic pharmacophore models:
application to fatty acid amide hydrolase (FAAH).
AB - A structure-based drug discovery method is described that incorporates target
flexibility through the use of an ensemble of protein conformations. The approach
was applied to fatty acid amide hydrolase (FAAH), a key deactivating enzyme in
the endocannabinoid system. The resultant dynamic pharmacophore models are
rapidly able to identify known FAAH inhibitors over drug-like decoys. Different
sources of FAAH conformational ensembles were explored, with both snapshots from
molecular dynamics simulations and a group of X-ray structures performing well.
Results were compared to those from docking and pharmacophore models generated
from a single X-ray structure. Increasing conformational sampling consistently
improved the pharmacophore models, emphasizing the importance of incorporating
target flexibility in structure-based drug design.
PMID- 22098171
TI - Disaster risk reduction capacity assessment for precarious settlements in
Guatemala City.
AB - This study presents findings of an institutional capacity analysis of urban
disaster risk reduction for informal settlements in the Guatemala Metropolitan
Region. It uses a resource access perspective of vulnerability, actor-network
theory, and qualitative data collection. The analysis reveals that there is
interest in disaster risk reduction for the informal settlements; however, there
is little in the way of direct financial or oversight relationships between
informal settlement residents and all other actors. Respondents observed that
informal settlements would probably remain inhabited; thus, there is a need for
disaster risk reduction within these settlements. Disaster risk reduction
capacity for informal settlements exists and can be further leveraged, as long as
steps are taken to ensure appropriate access to and control of resources and
oversight. Further, the nascent institutional arrangements should be strengthened
through increased communication and coordination between actors, a
decentralization of oversight and financial relationships, and mediation of
identified resource conflicts.
PMID- 22098170
TI - An alternative strategy of dismantling of the chloroplasts during leaf senescence
observed in a high-yield variety of barley.
AB - Changes in function and composition of the photosynthetic apparatus as well as
the ultrastructure of chloroplasts in mesophyll cells were analyzed in flag
leaves of the high-yield barley (Hordeum vulgare) variety cv. Lomerit during
senescence under field conditions in two successive years. In contrast to
previous results obtained with the elder variety cv. Carina photosystem II
efficiency measured by F(v)/F(m) was found to be rather stable until a very late
stage of senescence. Chlorophyll a fluorescence and P700 absorbance measurements
revealed that the activities of the two photosystems declined in parallel. An
increase in the chlorophyll a/b ratio at a late stage of senescence was observed
to coincide with a decline in the level of the Lhcb1 apoprotein of the light
harvesting complex (LHC) and the level of the corresponding transcript.
Ultrastructural investigations revealed the presence of gerontoplasts that have
long, single or pairwise thylakoids and lack large grana stacks. It is
hypothesized that the early degradation of grana thylakoids harboring the major
LHC reduced the risk of photoinhibition and might be causally related to the high
yield of the barley variety cv. Lomerit.
PMID- 22098172
TI - Convergent formal synthesis of (+/-)-roseophilin.
AB - A facile convergent synthesis of the tricyclic core 2 of roseophilin is
described, which represents the shortest route so far for the formal synthesis of
roseophilin. The key step was a tandem pyrrole acylation-Nazarov cyclization
reaction to form the cyclopenta[b]pyrrole moiety 4.
PMID- 22098173
TI - Enhancing the effect of the nanofiber network structure on thermoresponsive
wettability switching.
AB - This letter reports the enhancing effects of a nanofiber network structure on
stimuli-responsive wettability switching. Thermoresponsive coatings composed of
nanofibers were prepared by electrospinning from thermoresponsive polymer poly(N
isopropylacrylamide) (PNIPAAm). The nanofiber coatings showed a large amplitude
of thermoresponsive change in the wettability from hydrophilic to hydrophobic
states compared to a smooth cast film. In particular, the combination of the
surface chemistry and unique topology of the electrospun nanofiber coatings
enables a transition from the Wenzel state to the metastable Cassie-Baxter state
with an increase in temperature and consequently an enhanced amplitude of change
in the water contact angles: the apparent contact angle differences between 25
and 50 degrees C are Deltatheta*(25-50 degrees C )= 108 and 10 degrees for the
nanofiber coatings with a diameter of 830 nm and a smooth cast film,
respectively. The fabrication of the 3D nanofiber network structure by
electrospinning from stimuli-responsive materials is a promising option for
highly responsive surfaces in wettability.
PMID- 22098174
TI - Synthesis of the cancer preventive peptide lunasin by lactic acid bacteria during
sourdough fermentation.
AB - This study aimed to exploit the potential of sourdough lactic acid bacteria to
release lunasin during fermentation of cereal and nonconventional flours. The
peptidase activities of a large number of sourdough lactic acid bacteria were
screened using synthetic substrates. Selected lactic acid bacteria were used as
sourdough starters to ferment wholemeal wheat, soybean, barley, amaranth, and rye
flours. Proteinase activity during fermentation was characterized by SDS-PAGE
analysis of the water-soluble extracts. Albumins having molecular masses of 18 to
22 kDa, which included the size of lunasin precursors, were markedly affected by
proteolysis of lactic acid bacteria. After fermentation, lunasin from the water
soluble extracts was quantified, purified, and identified through RP-HPLC and
nano-LC-ESI-MS analyses. Compared to control doughs, the concentration of lunasin
increased up to 2-4 times during fermentation. Lactobacillus curvatus SAL33 and
Lactobacillus brevis AM7 synthesized the highest concentrations of lunasin in all
the flours. Besides the presence of the entire lunasin sequence, fragments
containing the immunoreactive epitope RGDDDDDDDDD were also found. This study
shows that fermentation by lactic acid bacteria increased the concentration of
lunasin to levels that would suggest new possibilities for the biological
synthesis and for the formulation of functional foods.
PMID- 22098175
TI - Academic achievement and smoking initiation in adolescence: a general growth
mixture analysis.
AB - AIMS: This study aims to: (i) explore the relations between smoking initiation
and different profiles of academic achievement trajectories in early to mid
adolescence; and (ii) to investigate whether background characteristics (gender,
ethnicity, grade repetition, parental education) and proximal processes (parental
practices, extra-curricular involvement) predicted class membership and smoking
initiation. DESIGN: Four-year longitudinal cohort study (7th-10th grade).
SETTING: Adolescents completed the questionnaires during school hours.
PARTICIPANTS: At total of 741 adolescents with no history of smoking in grade 7
participating in the Montreal Adolescent Depression Development Project.
MEASUREMENTS: Self-report questionnaires were used to assess predictors and
previous smoking in year 1, and smoking initiation by the end of the study. Grade
point average (GPA) was obtained twice yearly from school records. FINDINGS:
Three academic achievement trajectories were identified and found to differ
significantly in rates of smoking initiation: persistently high achievers (7.1%
smoking), average achievers (15.1% smokers) and unstable low achievers (49.1%
smoking). Further, results showed that general parenting practices and parental
education indirectly reduced the likelihood of smoking by reducing the risk of
membership in classes with lower GPA. CONCLUSIONS: Adolescents who do well in
school are less likely to smoke and it may be cost-effective for smoking
prevention to focus on the few (12%) easy to identify unstable low achievers who
form 35% of smoking onsets. In addition, as parental support and democratic
control reduced the likelihood of poor academic performance, promoting essential
generic parenting skills from a young age may also prevent future onsets of
smoking in adolescence.
PMID- 22098176
TI - Multiple effects of Honokiol on the life cycle of hepatitis C virus.
AB - BACKGROUND: Honokiol, a small active molecular compound extracted from magnolia,
has recently been shown to inhibit hepatitis C virus (HCV) infection in vitro.
AIMS: This study further characterized aspects of the HCV lifecycle affected by
the antiviral functions of honokiol. METHODS: The influence of honokiol on HCV
infection, entry, translation and replication was assessed in Huh-7.5.1 cells
using cell culture-derived HCV (HCVcc), HCV pseudo-type (HCVpp) and sub-genomic
replicons. RESULTS: Honokiol had strong antiviral effect against HCVcc infection
at non-toxic concentrations. Combined with interferon-alpha, its inhibitory
effect on HCVcc was more profound than that of ribavirin. Honokiol inhibited the
cell entry of lentiviral particles pseudo-typed with glycoproteins from HCV
genotypes 1a, 1b, and 2a, but not of the vesicular stomatitis virus. It had
inefficient activity on HCV internal ribosome entry site (IRES)-translation at
concentrations with significant anti-HCVcc effects. The expression levels of
components of replication complex, NS3, NS5A and NS5B, were down-regulated by
honokiol in a dose-dependent manner. It also inhibited HCV replication dose
dependently in both genotypes 1b and 2a sub-genomic replicons. CONCLUSIONS:
Honokiol inhibits HCV infection by targeting cell entry and replication and, only
at a concentration >30 MUM, IRES-mediated translation of HCV life cycle. Based on
its high therapeutic index (LD(50) /EC(90) = 5.4), honokiol may be a promising
drug for the treatment of HCV infection.
PMID- 22098177
TI - The influence of YMDD mutation patterns on clinical outcomes in patients with
adefovir add-on lamivudine combination treatment.
AB - BACKGROUND/AIM: The aim of this study was to assess the patterns of lamivudine
(LAM)-resistant mutations and the influence on biochemical and virological
responses to adefovir (ADV) add-on LAM combination therapy in patients with LAM
resistant chronic hepatitis B (CHB). METHODS: Seventy-eight CHB patients with
confirmed genotypic resistance to LAM, who initiated ADV add-on LAM combination
treatment, were enrolled at our institution between April 2007 and April 2009.
RESULTS: The baseline tyrosine-methionine-aspartate-aspartate (YMDD) mutation
patterns were as follows: rtM204I 45 (57.7%); and rtM204V + rtM204I/V 33 (42.3%).
The decrease in the mean +/- standard deviation (SD) serum log(10) HBV-DNA level
did not differ between the patients carrying the rtM204I vs. rtM204IV +rtM204I/V
mutations at 3, 6 and 12 months after the initiation of ADV add-on LAM
combination treatment. The proportion of patients who achieved ALT normalization
(<40 IU/L) 12 months after the initiation of ADV add-on LAM combination treatment
were significantly higher in patients with a rtM204I mutation than rtM204V+
rtM204I/V mutations (39 [86.7%] vs. 22 [66.7%], P = 0.05). The proportion of
patients in whom the log(10) HBV-DNA decreased <2 log(10) copies/ml, 6 months
after the initiation of ADV add-on LAM combination treatment (non-responders),
was significantly higher in patients with a rtM204V + rtM204I/V mutations than
rtM204I mutation (7 [21.2%] vs. 2 [4.4%], P = 0.032). CONCLUSION: Biochemical
response at 12 months from baseline was better in patients with a rtM204I
mutation than rtM204V+ rtM204I/V mutations. In addition, early treatment failure
was more common in patients with rtM204V+ rtM204I/V mutations than a rtM204I
mutation.
PMID- 22098178
TI - The frailty syndrome: a comprehensive review.
AB - The frailty syndrome is defined as unintentional weight and muscle loss,
exhaustion, and declines in grip strength, gait speed, and activity. Evidence
with respect to the clinical definition, epidemiology, mechanisms, interactions,
assessment, prevention, and treatment of frailty in the older adult is reviewed.
PMID- 22098179
TI - Effectiveness of educational interventions to improve food safety practices among
older adults.
AB - The purpose of the study was to develop and evaluate the effectiveness of using
Web-based and print materials for improving food safety practices to reduce the
risk of foodborne illness among older adults. The study used a randomized
controlled design, with participants assigned to an intervention group or control
group. Although we observed small improvements in both groups, the difference in
the changes between the two groups was nonsignificant, suggesting the educational
materials did not impact participant behavior. We did, however, observe a trend
improvement in one measure: the recommendation to avoid eating cold (not
reheated) deli meats. The lack of program impact may be attributable to
limitations of the evaluation (e.g., measurement effects) or the intervention
(e.g., lack of personal contact). Based on the survey findings, improvements in
older adults' food safety practices regarding reheating deli meats to steaming
hot and cooking eggs until the yolks and whites are firm are needed. The current
study and previous research suggest that current cohorts of older adults may be
more receptive to print materials than Web-based materials. To improve retention
and adoption of recommended food safety practices among older adults, future
educational interventions should focus on a limited number of practices and
combine print materials with personal contact.
PMID- 22098181
TI - Obesity is more strongly associated with inappropriate eating behaviors than with
mental health in older adults receiving congregate meals.
AB - This study explored the relationships of inappropriate eating behaviors and
mental health with obesity in congregate meal participants in Georgia (N = 120,
mean age = 75 years, 75% female, 43% African American). Inappropriate eating
behaviors were evaluated with the Three-Factor Eating Questionnaire (18
questions); mental health was assessed with the Depression Anxiety Stress Scale
(21 questions); history of depression was assessed with the Behavioral Risk
Factor Surveillance Survey; and height and weight were measured to calculate body
mass index (BMI) and obesity (52% >= 30 kg/m(2)). In bivariate analyses, obesity
was associated with cognitive restraint (rho = 0.49, p < 0.0001), uncontrolled
eating (rho = 0.22; p < 0.01), emotional eating (rho = 0.32, p < 0.001), and
stress (rho = 0.18, p < 0.05), but not with depression or anxiety. In
multivariate regression analyses including all six eating behavior and mental
health indices, only cognitive restraint and emotional eating were consistently
associated with obesity (p < 0.05) when controlled for potential confounders
(demographics, food insecurity, and chronic health conditions). Thus, mental
health symptoms and inappropriate eating behaviors, particularly cognitive
restraint and emotional eating, may be important targets for future research and
intervention. Additional research is needed to better understand how cognitive
restraint and emotional eating contribute to obesity in this population so that
interdisciplinary research and health care teams can appropriately prevent and
manage obesity in congregate meal participants.
PMID- 22098180
TI - A theoretically based Behavioral Nutrition Intervention for Community Elders at
high risk: the B-NICE randomized controlled clinical trial.
AB - We conducted a study designed to evaluate the efficacy and feasibility of a
multilevel self-management intervention to improve nutritional intake in a group
of older adults receiving Medicare home health services who were at especially
high risk for experiencing undernutrition. The Behavioral Nutrition Intervention
for Community Elders (B-NICE) trial used a prospective randomized controlled
design to determine whether individually tailored counseling focused on social
and behavioral aspects of eating resulted in increased caloric intake and
improved nutrition-related health outcomes in a high-risk population of older
adults. The study was guided by the theoretical approaches of the Ecological
Model and Social Cognitive Theory. The development and implementation of the B
NICE protocol, including the theoretical framework, methodology, specific
elements of the behavioral intervention, and assurances of the treatment
fidelity, as well as the health policy implications of the trial results, are
presented in this article.
PMID- 22098186
TI - Demodex-associated bacterial proteins induce neutrophil activation.
AB - BACKGROUND: Patients with rosacea demonstrate a higher density of Demodex mites
in their skin than do controls. A bacterium isolated from a Demodex mite from a
patient with papulopustular rosacea (PPR) was previously shown to provoke an
immune response in patients with PPR or ocular rosacea, thus suggesting a
possible role for bacterial proteins in the aetiology of this condition.
OBJECTIVES: To examine the response of neutrophils to proteins derived from a
bacterium isolated from a Demodex mite. METHODS: Bacterial cells were lysed and
proteins were partially purified by AKTA fast protein liquid chromatography.
Isolated neutrophils were exposed to bacterial proteins and monitored for
alterations in migration, degranulation and cytokine production. RESULTS:
Neutrophils exposed to proteins from Bacillus cells demonstrated increased levels
of migration and elevated release of matrix metalloprotease 9, an enzyme known to
degrade collagen, and cathelicidin, an antimicrobial peptide. In addition,
neutrophils exposed to the bacterial proteins demonstrated elevated rates of
interleukin 8 and tumour necrosis factor-alpha production. CONCLUSIONS: Proteins
produced by a bacterium isolated from a Demodex mite have the ability to increase
the migration, degranulation and cytokine production abilities of neutrophils.
These results suggest that bacteria may play a role in the inflammatory erythema
associated with rosacea.
PMID- 22098187
TI - Detailed features of palisade vessels as a marker of the esophageal mucosa
revealed by magnifying endoscopy with narrow band imaging.
AB - The palisade vessels present at the distal end of the esophagus are considered to
be a landmark of the esophagogastric junction and indispensable for diagnosis of
columnar-lined esophagus on the basis of the Japanese criteria. Here we clarified
the features of normal palisade vessels at the esophagogastric junction using
magnifying endoscopy. We prospectively studied palisade vessels in 15 patients
undergoing upper gastrointestinal endoscopy using a GIF-H260Z instrument (Olympus
Medical Systems Co., Tokyo, Japan). All views of the palisade vessels were
obtained at the maximum magnification power in the narrow band imaging mode. We
divided the area in which palisade vessels were present into three sections: the
area from the squamocolumnar junction (SCJ) to about 1 cm orad within the
esophagus (Section 1); the area between sections 1 and 3 (Section 2); and the
area from the upper limit of the palisade vessels to about 1 cm distal within the
esophagus (Section 3). In each section, we analyzed the vessel density, caliber
of the palisade vessels, and their branching pattern. The vessel density in
Sections 1, 2, and 3 was 9.1 +/- 2.1, 8.0 +/- 2.6, and 3.3 +/- 1.3 per high-power
field (mean +/- standard deviation [SD]), respectively, and the differences were
significant between Sections 1 and 2 (P= 0.0086) and between Sections 2 and 3 (P
< 0.0001). The palisade vessel caliber in Sections 1, 2, and 3 was 127.6 +/- 52.4
um, 149.6 +/- 58.6 um, and 199.5 +/- 75.1 um (mean +/- SD), respectively, and the
differences between Sections 1 and 2, and between Sections 2 and 3, were
significant (P < 0.0001). With regard to branching form, the frequency of
branching was highest in Section 1, and the 'normal Y' shape was observed more
frequently than in Sections 2 and 3. Toward the oral side, the frequency of
branching diminished, and the frequency of the 'upside down Y' shape increased.
The differences in branching form were significant among the three sections (P <
0.0001). These results indicate that the density of palisade vessels is highest
near the SCJ, and that towards their upper limit they gradually become more
confluent and show an increase of thickness. Within a limited area near the SCJ,
observations of branching form suggest that palisade vessels merge abruptly on
the distal side. We have demonstrated that palisade vessels are a useful marker
for endoscopic recognition of the lower esophagus.
PMID- 22098185
TI - Evaluation of the adverse effect of premature discontinuation of pegylated
interferon alpha-2b and ribavirin treatment for chronic hepatitis C virus
infection: results from Kyushu University Liver Disease Study.
AB - BACKGROUND AND AIMS: Pegylated interferon (PEG-IFN) alpha-2b and ribavirin (RBV)
treatment of chronic hepatitis C virus (HCV) infection is associated with a
substantially elevated risk of discontinuation. The aim of this study is to
evaluate the reason for premature discontinuation during PEG-IFN alpha-2b and RBV
treatment due to adverse effects in patients with chronic HCV infection. METHODS:
A total of 2871 Japanese patients who had chronic HCV infection treated with PEG
IFN alpha-2b and RBV were screened. We prospectively investigated the reasons for
premature discontinuation of treatment classified by sex and age, and analyzed
the timing of discontinuation. RESULTS: Of the 2871 patients, 250 (8.7%)
discontinued treatment because of adverse effects. The main reasons for premature
discontinuation were neurovegetative symptoms (n = 77, 30.8%), depression-related
syndrome (n = 46, 18.4%), hematologic effects (n = 41, 16.4%) and dermatologic
effects (n = 27, 10.8%). The rate of discontinuation of treatment for patients
aged >= 65 years was significantly higher than for patients aged < 65 years, for
both men (P < 0.0001) and women (P = 0.0121). Moreover, the frequency of
discontinuation due to neurovegetative symptoms, depression-related syndrome, and
hematologic effects for men aged >= 65 years was significantly higher than for
those aged < 65 years (P = 0.0001, P = 0.0016, and P = 0.0170, respectively), but
not for women. CONCLUSION: Premature discontinuation due to the adverse effects
of PEG-IFN alpha-2b and RBV treatment by patients with chronic HCV infection is
mainly due to neuropsychiatric symptoms and is more common for older than for
younger patients.
PMID- 22098188
TI - Kinematic and kinetic analyses of novice running in dress shoes and running
shoes.
AB - The purpose of the study was to investigate how novice runners adjust their lower
extremities in heel-toe running while they wear dress shoes and running shoes.
Ten novice male runners repeatedly ran across a force plate at 4 m/s in each type
of shoes. Joint kinematics and kinetics, vertical ground reaction force, and
utilized coefficient of friction during the stance phase were quantified. The
results obtained showed no differences in impact peaks, stance time, stride
length and joint kinematics. However, dorsiflexion moment was significantly
greater with dress shoes (407 Nm) compared to that with running shoes (304 Nm;
p<0.05). Compared to the runners in running shoes (0.23), the runners in dress
shoes (0.20) achieved a significantly lower utilized coefficient of friction (
p<0.05). When running in dress shoes, novice runners tended to use better a
dorsiflexion moment than when running in running shoes. This adaptation appears
to minimize the chances of slipping at the moment of heel strike.
PMID- 22098190
TI - The molecular pathogenesis of corticotroph tumours.
AB - BACKGROUND: The pathogenesis of tumour formation in the anterior pituitary
including adrenocorticotropic hormone (ACTH)-secreting tumours has been
intensively studied, but the causative mechanisms involved in pituitary cell
transformation and tumourigenesis remain unclear. MATERIALS AND METHODS: We
searched PubMed on any paper related with molecular pathology of pituitary
corticotroph adenomas and have included to this review all relevant references
published up to June 2011. RESULTS: Current studies increased our knowledge on
the genetic basis of McCune-Albright syndrome (MAS), multiple endocrine neoplasia
type 1 (MEN1), Carney complex (CNC), pituitary adenoma predisposition syndromes
and tuberous sclerosis, but they have performed little to elucidate the causes of
sporadic pituitary tumours including Cushing disease. DISCUSSION: The aim of this
review was to focus on the most recently published advances in the molecular
pathology of corticotroph adenomas, which are presented in the context of changes
seen in all types of pituitary adenomas, as well as in terms of corticotrophin
releasing hormone/ACTH/cortisol-specific pathways. CONCLUSIONS: We would expect
that over the next 5 years, more detailed analysis of inter-cellular
communication pathways between pituitary cells, including the cadherins and
integrins, and their interactions with other signalling pathways such as the beta
catenin cascade will help elucidate what exactly goes awry in the formation of a
benign corticotroph adenoma. This should in turn predict novel forms of
pharmacological tumour control.
PMID- 22098189
TI - NADPH oxidase 1-mediated oxidative stress leads to dopamine neuron death in
Parkinson's disease.
AB - AIM: Oxidative stress has long been considered as a major contributing factor in
the pathogenesis of Parkinson's disease. However, molecular sources for reactive
oxygen species in Parkinson's disease have not been clearly elucidated. Herein,
we sought to investigate whether a superoxide-producing NADPH oxidases (NOXs) are
implicated in oxidative stress-mediated dopaminergic neuronal degeneration.
RESULTS: Expression of various Nox isoforms and cytoplasmic components were
investigated in N27, rat dopaminergic cells. While most of Nox isoforms were
constitutively expressed, Nox1 expression was significantly increased after
treatment with 6-hydroxydopamine. Rac1, a key regulator in the Nox1 system, was
also activated. Striatal injection of 6-hydroxydopamine increased Nox1 expression
in dopaminergic neurons in the rat substantia nigra. Interestingly, it was
localized into the nucleus, and immunostaining for DNA oxidative stress marker, 8
oxo-dG, was increased. Nox1 expression was also found in the nucleus of
dopaminergic neurons in the substantia nigra of Parkinson's disease patients.
Adeno-associated virus-mediated Nox1 knockdown or Rac1 inhibition reduced 6
hydroxydopamine-induced oxidative DNA damage and dopaminergic neuronal
degeneration significantly. INNOVATION: Nox1/Rac1 could serve as a potential
therapeutic target for Parkinson's disease. CONCLUSION: We provide evidence that
dopaminergic neurons are equipped with the Nox1/Rac1 superoxide-generating
system. Stress-induced Nox1/Rac1 activation causes oxidative DNA damage and
neurodegeneration. Reduced dopaminergic neuronal death achieved by targeting
Nox1/Rac1, emphasizes the impact of oxidative stress caused by this system on the
pathogenesis and therapy in Parkinson's disease.
PMID- 22098191
TI - Crystal structure of 6-SST/6-SFT from Pachysandra terminalis, a plant fructan
biosynthesizing enzyme in complex with its acceptor substrate 6-kestose.
AB - Fructans play important roles as reserve carbohydrates and stress protectants in
plants, and additionally serve as prebiotics with emerging antioxidant
properties. Various fructan types are synthesized by an array of plant
fructosyltransferases belonging to family 32 of the glycoside hydrolases (GH32),
clustering together with GH68 in Clan-J. Here, the 3D structure of a plant
fructosyltransferase from a native source, the Pachysandra terminalis 6-SST/6-SFT
(Pt6-SST/6-SFT), is reported. In addition to its 1-SST (1-kestose-forming) and
hydrolytic side activities, the enzyme uses sucrose to create graminan- and levan
type fructans, which are probably associated with cold tolerance in this species.
Furthermore, a Pt6-SST/6-SFT complex with 6-kestose was generated, representing a
genuine acceptor binding modus at the +1, +2 and +3 subsites in the active site.
The enzyme shows a unique configuration in the vicinity of its active site,
including a unique D/Q couple located at the +1 subsite that plays a dual role in
donor and acceptor substrate binding. Furthermore, it shows a unique orientation
of some hydrophobic residues, probably contributing to its specific
functionality. A model is presented showing formation of a beta(2-6) fructosyl
linkage on 6-kestose to create 6,6-nystose, a mechanism that differs from the
creation of a beta(2-1) fructosyl linkage on sucrose to produce 1-kestose. The
structures shed light on the evolution of plant fructosyltransferases from their
vacuolar invertase ancestors, and contribute to further understanding of the
complex structure-function relationships within plant GH32 members.
PMID- 22098192
TI - Meta-analysis for evaluating the accuracy of endoscopy with narrow band imaging
in detecting colorectal adenomas.
AB - BACKGROUND AND AIM: The aim of this study was to determine whether the use of the
narrow band imaging (NBI) system could enhance the accuracy of adenoma detection
during an endoscopic examination of the colon and rectum. METHODS: MEDLINE,
EMBASE, and the Cochrane Library databases were searched along with a hand search
of abstracts from relevant conferences up to June 2011. The rates of adenoma and
flat adenoma detection, and withdrawal time were analyzed using Review Manager
4.2. RESULTS: A total of 3049 subjects in eight trials were included. Meta
analysis revealed that there was no statistically significant difference in the
rates of adenoma detection between the NBI group and the white light colonoscopy
group (pooled relative risk [RR]: 1.09, 95% confidence interval [CI]: 1.00-1.19,
P = 0.05). However, after exclusion of high-definition television modalities, the
rate of adenoma detection by NBI was significantly higher than that by white
light, particularly for patients with one adenoma (pooled RR 1.36, 95%CI 1.07
1.71, P = 0.02). Endoscopy with the NBI system significantly increased the rate
of flat adenoma detection (pooled RR 1.96, 95%CI 1.09-3.52, P = 0.02). However,
endoscopy with NBI had longer withdrawal time than that with white light (pooled
weighted mean difference: 0.90, 95%CI: 0.38-1.42, P = 0.0006). CONCLUSIONS:
Endoscopy with NBI seems to improve the detection of flat adenomas, particularly
with high-definition technology, but prolongs the withdrawal time. These results
indicate that endoscopy routinely using the NBI system for the surveillance of
adenomas may be recommended after the technique is further modified.
PMID- 22098193
TI - Adsorption of water molecules on selected charged sodium-chloride clusters.
AB - The adsorption of water molecules (H(2)O) on sodium chloride cluster cations and
anions was studied at 298 K over a mass range of 100-1200 amu using a custom
built laser desorption ionization reactor and mass spectrometer. Under the
conditions used, the cations Na(3)Cl(2)(+) and Na(4)Cl(3)(+) bind up to three
water molecules, whereas the larger cations, Na(5)Cl(4)(+) to Na(19)Cl(18)(+),
formed hydrates with one or two only. The overall trend is a decrease in
hydration with increasing cluster size, with an abrupt drop occurring at the
closed-shell Na(14)Cl(13)(+). As compared to the cluster cations, the cluster
anions showed almost no adsorption. Among smaller clusters, a weak adsorption of
one water molecule was observed for the cluster anions Na(6)Cl(7)(-) and
Na(7)Cl(8)(-). In the higher mass region, a substantial adsorption of one water
molecule was observed for Na(14)Cl(15)(-). Density functional theory (DFT)
computations were carried out for the adsorption of one molecule of H(2)O on the
cations Na(n)Cl(n-1)(+), for n = 2-8, and the anions Na(n)Cl(n+1)(-), for n = 1
7. For each ion, the structure of the hydrate, the hydration energy, and the
standard-state enthalpy, entropy, and Gibbs energy of hydration at 298 K were
computed. In addition, it was useful to compute the distortion energy, defined as
the electronic energy lost due to weakening of the Na-Cl bonds upon adsorption of
H(2)O. The results show that strong adsorption of a H(2)O molecule occurs for the
linear cations only at an end Na ion and for the nonlinear cations only at a
corner Na ion bonded to two Cl ions. An unexpected result of the theoretical
investigation for the anions is that certain low-energy isomers of Na(6)Cl(7)(-)
and Na(7)Cl(8)(-) bind H(2)O strongly enough to produce the observed weak
adsorption. The possible implications of these results for the initial hydration
of extended NaCl surfaces are discussed.
PMID- 22098194
TI - Traumatic brain injury or decompression.
PMID- 22098196
TI - An episode of severely suppressed electrocerebral activity recorded by
electroencephalography during endoscopic resection of a colloid cyst.
AB - Intraoperative neuromonitoring utilizing electroencephalography (EEG) is rarely
performed during neuroendoscopy. The authors present a case in which this
monitoring modality was used for a patient with a colloid cyst in preparation for
an open craniotomy should an endoscopic approach fail. In this case, EEG
serendipitously captured near-complete cessation of electrocerebral activity that
occurred during intraventricular irrigation in response to ventricular collapse
and resulted in no postoperative deficits. To the authors' knowledge, this is the
first reported case of severe suppression of electrical activity captured by EEG
during neuroendoscopy. Although they describe a transient phenomenon that
resulted in no residual cognitive or neurological deficits, the importance of
cautious introduction of ventricular irrigation, the need to carefully monitor
intracranial pressure during neuroendoscopic procedures, and the need to pay
close attention to irrigation temperature and composition should not be
underestimated. Additional studies regarding the utility of EEG in alerting
neurosurgeons to adverse electrical cerebral activity during neuroendoscopy are
warranted.
PMID- 22098195
TI - A multicenter pilot study of subcallosal cingulate area deep brain stimulation
for treatment-resistant depression.
AB - OBJECT: Deep brain stimulation (DBS) has been recently investigated as a
treatment for major depression. One of the proposed targets for this application
is the subcallosal cingulate gyrus (SCG). To date, promising results after SCG
DBS have been reported by a single center. In the present study the authors
investigated whether these findings may be replicated at different institutions.
They conducted a 3-center prospective open-label trial of SCG DBS for 12 months
in patients with treatment-resistant depression. METHODS: Twenty-one patients
underwent implantation of bilateral SCG electrodes. The authors examined the
reduction in Hamilton Rating Scale for Depression (HRSD-17) score from baseline
(RESP50). RESULTS: Patients treated with SCG DBS had an RESP50 of 57% at 1 month,
48% at 6 months, and 29% at 12 months. The response rate after 12 months of DBS,
however, increased to 62% when defined as a reduction in the baseline HRSD-17 of
40% or more. Reductions in depressive symptomatology were associated with
amelioration in disease severity in patients who responded to surgery.
CONCLUSIONS: Overall, findings from this study corroborate the results of
previous reports showing that outcome of SCG DBS may be replicated across
centers.
PMID- 22098197
TI - Ischemia.
PMID- 22098198
TI - The constant flow ventricular infusion test: a simple and useful study in the
diagnosis of third ventriculostomy failure.
AB - OBJECT: The evaluation of third ventriculostomy function in hydrocephalic
patients is challenging. The utility of the constant flow infusion test in
predicting response to shunt insertion in normal-pressure hydrocephalus, as well
as in identifying shunt malfunction, has been previously demonstrated. The object
of this study was to evaluate its usefulness in determining whether a revision
CSF diversion procedure was indicated in patients presenting with recurring
symptoms and persisting ventriculomegaly after endoscopic third ventriculostomy
(ETV). METHODS: The authors conducted a prospective study of all patients who,
after undergoing ETV at their institution, presented postoperatively with
recurring symptoms and persisting ventriculomegaly. RESULTS: Forty-six patients
(mean age 40.7 years, including 11 patients younger than 18 years) underwent 56
constant flow ventricular infusion tests (VITs) at a mean of 24.7 months post
ETV. Thirty-three patients with resistance to CSF outflow (R(out)) less than 13
mm Hg/ml/min underwent follow-up (median 17 months) and experienced resolution of
symptoms. In 10 episodes R(out) was greater than 13 mm Hg/ml/min; the patients in
these cases underwent revisional CSF diversion. Two patients demonstrated high
and frequent B (slow) waves despite a low R(out); these patients also underwent
successful revisions. Patients who improved after surgery had increased B wave
activity in the plateau phase of the VIT (p = 0.01). Thirty-four patients
underwent MR imaging at the same time; 4 had high R(out) despite evidence of flow
across the stoma. These 4 patients underwent surgery and experienced resolution
of symptoms. Of 9 patients without flow, R(out) was less than 13 mm Hg/ml/min in
4; these patients were successfully treated conservatively. CONCLUSIONS: The VIT
is a useful and safe adjunct to clinical and MR imaging evaluation when ETV
failure is suspected.
PMID- 22098199
TI - Deep brain stimulation and depression.
PMID- 22098200
TI - Percutaneous biopsy of lesions in the cavernous sinus region through the foramen
ovale: diagnostic accuracy and limits in 50 patients.
AB - OBJECT: The cavernous sinus and surrounding regions-specifically the Meckel cave,
posterior sector of the cavernous sinus itself, and the upper part of the
petroclival region-are the location of a large variety of lesions that require
individual consideration regarding treatment strategy. These regions may be
reached for biopsy by a percutaneous needle inserted through the foramen ovale.
The aim of this retrospective study was to evaluate the diagnostic accuracy of
percutaneous biopsy in a consecutive series of 50 patients referred for surgery
between 1991 and 2010. METHODS: Seven biopsies (14%) were unproductive and 43
(86%) were productive, among which 28 lesions subsequently underwent
histopathological examination during a second (open) surgery. To evaluate the
diagnostic accuracy of the procedure, results from surgery were compared with
those from the biopsy. RESULTS: Sensitivity of the percutaneous biopsy was 0.83
(95% CI 0.52-0.98), specificity was 1 (95% CI 0.79-1), and kappa coefficient was
0.81. CONCLUSIONS: Because of its valuable diagnostic accuracy, percutaneous
biopsy of the cavernous sinus and surrounding regions should be performed in
patients with parasellar masses when neuroimaging does not provide sufficient
information of a histopathological nature. This procedure would enable patients
to obtain the most appropriate therapy, such as resective surgery,
corticosteroids, chemotherapy, radiotherapy, or radiosurgery.
PMID- 22098201
TI - Deep brain stimulation interruption and suicidality.
PMID- 22098202
TI - Craniovertebral junction abnormalities with hindbrain herniation and
syringomyelia: regression of syringomyelia after removal of ventral
craniovertebral junction compression.
AB - OBJECT: Hindbrain herniation syndrome, or Chiari malformation Type I (CM-I),
occurs frequently with craniovertebral junction (CVJ) abnormalities when there is
reduction in the posterior fossa volume. Syringomyelia is often present.
Posterior fossa dorsal decompression (PFDD) is typically performed but has
adverse results when ventral bone abnormality exists. This paper presents the
results of a prospective study on CVJ abnormalities in patients with CM-I and
syringomyelia. METHODS: Between 1984 and 2008 (the MR imaging era), 298 patients
with CVJ abnormalities and CM-I underwent ventral cervicomedullary decompression.
Eighty-four patients had associated syringomyelia (15 with secondary invagination
and 69 with primary basilar invagination, os odontoideum, or malunion of
fractures). Of these 84 patients with CVJ abnormalities, CM-I, and syringomyelia,
46 had previously undergone PFDD, and 28 had previously undergone PFDD combined
with fusion procedures or shunt placements. Of the 84 patients, a cervicothoracic
syrinx was observed in 57, thoracic syrinx in 14, and holocord syrinx in 13.
Studies included CT, MR imaging, and cine flow studies. All 298 patients who
underwent ventral CVJ decompression had irreducible or partially reducible
pathology. All 84 with syringomyelia showed brainstem dysfunction, lower cranial
nerve symptoms, or myelopathy. RESULTS: Brainstem signs improved in 66 of the 84
patients, myelopathy improved in 58, and syringomyelia regressed in 64.
CONCLUSIONS: Neurological improvement and syringomyelia resolution can occur
using only ventral cervicomedullary junction decompression in patients with
basilar invagination and basilar impression. This is likely due to the relief of
neural encroachment and reestablishment of CSF pathways.
PMID- 22098204
TI - Stereochemically consistent reaction mapping and identification of multiple
reaction mechanisms through integer linear optimization.
AB - Reaction mappings are of fundamental importance to researchers studying the
mechanisms of chemical reactions and analyzing biochemical pathways. We have
developed an automated method based on integer linear optimization, ILP, to
identify optimal reaction mappings that minimize the number of bond changes. An
alternate objective function is also proposed that minimizes the number of bond
order changes. In contrast to previous approaches, our method produces mappings
that respect stereochemistry. We also show how to locate multiple reaction
mappings efficiently and determine which of those mappings correspond to distinct
reaction mechanisms by automatically detecting molecular symmetries. We
demonstrate our techniques through a number of computational studies on the GRI
Mech, KEGG LIGAND, and BioPath databases. The computational studies indicate that
99% of the 8078 reactions tested can be addressed within 1 CPU hour. The proposed
framework has been incorporated into the Web tool DREAM (
http://selene.princeton.edu/dream/ ), which is freely available to the scientific
community.
PMID- 22098205
TI - Acquired cytochrome C oxidase impairment in apheresis platelets during storage: a
possible mechanism for depletion of metabolic adenosine triphosphate.
AB - BACKGROUND: Intracellular adenosine triphosphate (ATP) levels decline
significantly during storage of platelet (PLT) products, in part due to PLT
degranulation. However, metabolic ATP stores also become depleted during storage
through an unclear mechanism. Since both anaerobic glycolysis and oxidative
phosphorylation are important for PLT ATP production, it is possible that the
reduction in metabolic ATP reflects impaired oxidative phosphorylation. To assess
this, we evaluated the kinetic activity and protein expression of cytochrome C
oxidase (CcOX) in stored apheresis PLTs. STUDY DESIGN AND METHODS: Apheresis PLTs
were collected and stored with agitation at 22 +/- 2 degrees C for 7 days. In
vitro measurements of PLT metabolic state, function, and activation were
performed on Days 0, 2, 4, and 7 of storage. Total PLT ATP content, steady-state
CcOX kinetic activity, and protein immunoblotting for CcOX Subunits I and IV were
also performed using isolated PLT mitochondria from simultaneously collected
samples. RESULTS: Intra-PLT ATP and steady-state PLT CcOX activity declined
significantly and in a progressive manner throughout storage while steady-state
levels of CcOX I and IV protein remained unchanged. Time-dependent decline in
CcOX activity correlated with progressive ATP depletion over time. CONCLUSION:
During storage of apheresis PLTs for 7 days, the parallel decline in CcOX
function and intra-PLT ATP suggests development of an acquired impairment in PLT
oxidative phosphorylation associated with perturbed ATP homeostasis in stored
PLTs.
PMID- 22098203
TI - Comparison of induced hypertension, fluid bolus, and blood transfusion to augment
cerebral oxygen delivery after subarachnoid hemorrhage.
AB - OBJECT: Critical reductions in oxygen delivery (DO(2)) underlie the development
of delayed cerebral ischemia (DCI) after subarachnoid hemorrhage (SAH). If DO(2)
is not promptly restored, then irreversible injury (that is, cerebral infarction)
may result. Hemodynamic therapies for DCI (that is, induced hypertension [IH] and
hypervolemia) aim to improve DO(2) by raising cerebral blood flow (CBF). Red
blood cell (RBC) transfusion may be an alternate strategy that augments DO(2) by
improving arterial O(2) content. The authors compared the relative ability of
these 3 interventions to improve cerebral DO(2), specifically their ability to
restore DO(2) to regions where it is impaired. METHODS: The authors compared 3
prospective physiological studies in which PET imaging was used to measure global
and regional CBF and DO(2) before and after the following treatments: 1) fluid
bolus of 15 ml/kg normal saline (9 patients); 2) raising mean arterial pressure
25% (12 patients); and 3) transfusing 1 U of RBCs (17 patients) in 38 individuals
with aneurysmal SAH at risk for DCI. Response between groups in regions with low
DO(2) (< 4.5 ml/100 g/min) was compared using repeated-measures ANOVA. RESULTS:
Groups were similar except that the fluid bolus cohort had more patients with
symptoms of DCI and lower baseline CBF. Global CBF or DO(2) did not rise
significantly after any of the interventions, except after transfusion in
patients with hemoglobin levels < 9 g/dl. All 3 treatments improved CBF and DO(2)
to regions with impaired baseline DO(2), with a greater improvement after
transfusion (23%) than hypertension (14%) or volume loading (10%); p < 0.001.
Transfusion also resulted in a nonsignificantly greater (47%) reduction in the
number of brain regions with low DO(2) when compared with fluid bolus (7%) and
hypertension (12%) (p = 0.33). CONCLUSIONS: The IH, fluid bolus, and blood
transfusion interventions all improve DO(2) to vulnerable brain regions at risk
for ischemia after SAH. Transfusion appeared to provide a physiological benefit
at least comparable to IH, especially among patients with anemia, but transfusion
is associated with risks. The clinical significance of these findings remains to
be established in controlled clinical trials.
PMID- 22098206
TI - The living environment and children's fears following the Indonesian tsunami.
AB - The tsunami that struck South-east Asia on 26 December 2004 left more than
500,000 people in Aceh, Indonesia, homeless and displaced to temporary barracks
and other communities. This study examines the associations between prolonged
habitation in barracks and the nature of fears reported by school-age children
and adolescents. In mid-2007, 30 months after the tsunami, the authors
interviewed 155 child and parent dyads. Logistic regression analysis was used to
compare the fears reported by children and adolescents living in barracks with
those reported by their peers who were living in villages. After adjusting for
demographic factors and tsunami exposure, the data reveals that children and
adolescents living in barracks were three times more likely than those living in
villages to report tsunami-related fears. The study demonstrates that continued
residence in barracks 30 months after the tsunami is associated with higher rates
of reporting tsunami-related fears, suggesting that barracks habitation has had a
significant impact on the psychological experience of children and adolescents
since the tsunami.
PMID- 22098207
TI - A large asymptomatic hepatic mass.
PMID- 22098208
TI - Detection of acylated homoserine lactones produced by Vibrio spp. and related
species isolated from water and aquatic organisms.
AB - AIMS: To assess the diversity in production of acylated homoserine lactones
(AHLs) among Vibrio spp and related species. METHODS AND RESULTS: A total of 106
isolates, with representatives of 28 Vibrio spp and related species, were
investigated for the production of AHLs. For this, a rapid method for the
screening of AHLs was developed based on the use of bacterial biosensors using a
double-layer microplate assay. At least one bacterial biosensor was activated in
20 species, Agrobacterium tumefaciens being the most frequently activated
biosensor. One isolate of Vibrio anguillarum, Vibrio rotiferianus and Vibrio
metschnikovii activated the Chromobacterium violaceum biosensor, which is not
common among the Vibrionaceae family. For those species with more than one
isolate, the biosensor activation profile was the same except for two species, V.
anguillarum and V. metschnikovii, which varied among the different isolates.
CONCLUSIONS: AHL production was observed in the majority of the studied species,
with a diverse biosensor activation profile. SIGNIFICANCE AND IMPACT OF THE
STUDY: The high diversity in AHL production is in consistence with the high
diversity in ecological niches of the Vibrionaceae family. The absence of AHL
detection in eight species warrants further work on their quorum-sensing systems.
PMID- 22098209
TI - Assessment in rural health professional education.
PMID- 22098210
TI - Urban-rural influences on suicidality: gaps in the existing literature and
recommendations for future research.
AB - Suicide is a major public health issue of particular concern among rural
populations, which experience a consistently higher suicide rate than urban
areas. Although extensive research efforts have been directed towards
understanding suicidality and related factors, there is a continued lack of
clinically useful factors to target preventive measures, particularly among some
regional and demographic groups. This suggests limitations in the
conceptualisation of this important construct. A review of the literature was
undertaken, using a snowballing and saturation approach. Literature was
considered relevant if it addressed the research question 'what are the current
limitations in research on urban/rural influences on suicidality?' Findings were
used to develop a set of guidelines to inform future research. A number of gaps
in existing research relevant to limitations in rural suicide research were
identified: inconsistencies in terminology; a focus on high-end suicidal
behaviours; a disproportionate focus on urban populations; a dominance of cross
sectional research; and a high use of clinical samples. These limitations are
discussed in terms of their implications for rural suicidality, and are used to
support the development of recommendations for future research, with a focus on
encouraging consistency and standardisation. A number of limitations can be
identified in existing research on suicide. Targeting these specific areas can be
an important step in addressing the current gaps in knowledge relating to rural
suicide prevention.
PMID- 22098211
TI - Performance pressure: simulated patients and high-stakes examinations in a
regional clinical school.
AB - OBJECTIVE: To investigate the effects and challenges of being a simulated patient
(SP) in a high-stakes clinical examination context in a regional setting. DESIGN:
Mixed methods, using a written survey, focus groups, and a retrospective postal
survey. SETTING: A university clinical school in a Victorian regional city.
PARTICIPANTS: Nineteen SP volunteers (from an existing database of 55 people) who
had been involved in mid-year, summative Objective Structured Clinical
Examination (OSCE) role-play performances. MAIN OUTCOME MEASURES: Challenges of
the OSCE role-play experience and the reported effects on SPs. The implications
of these factors have an impact on the sustainability of SP programs in regional
settings. RESULTS: Physical and emotional effects like exhaustion were reported,
as well as empathy and concern for the medical students. The retrospective postal
survey indicated that the SPs had no long-term negative effects from their high
stakes examination experiences. Participants also reported that a level of
decision making and improvisation was needed in the performance of their OSCE
role plays. CONCLUSIONS: Our study reveals the complexity and demands on SPs in
performing in high-stakes clinical examinations. The results highlight that SP
roles involve more than the transfer of scripted information. SPs should be
considered as members of the examination team when preparing and implementing
high-stakes examinations to assist in maintaining standardised performance during
and across OSCE role plays. Relationships between SPs and educational institutes
need to be nurtured to ensure that the ability to continue high-stakes OSCEs in a
regional setting is maintained.
PMID- 22098212
TI - Factors influencing the selection of rural practice locations for early career
pharmacists in Victoria.
AB - OBJECTIVE: To identify factors influencing the choice of practice location for
early career pharmacists working and living in Victoria. DESIGN: Survey.
SETTING: Victorian pharmacies. PARTICIPANTS: Pharmacists living in Victoria
in April 2009, who had registered with the Pharmacy Board of Victoria after 1
October 2004, stratified into major city and rural areas. MAIN OUTCOME MEASURES:
Questionnaire responses analysed via descriptive statistics, chi-square and
direct logistic regression. RESULTS: Early career pharmacists were more likely
to practice in a rural location if they had undertaken a rural internship, had a
spouse or partner with a non-metropolitan background and were not practicing in a
hospital pharmacy. Pharmacists who had lived in a rural area during their
childhood and had studied pharmacy at a rural university were four times more
likely to have undertaken a rural internship than those that studied at a major
city university. CONCLUSIONS: The strongest indicator for future practice
location was a pharmacist's internship location. Childhood location and pharmacy
education location were indicators of internship location. Pharmacists with a
rural childhood location, especially those who study at rural universities, are
more likely to work in rural areas.
PMID- 22098213
TI - Travelling all over the countryside: travel-related burden and financial
difficulties reported by cancer patients in New South Wales and Victoria.
AB - OBJECTIVE: To describe travel burden and travel-related financial burden
experienced by cancer patients over the first year after diagnosis. DESIGN,
SETTING, PARTICIPANTS: Population-based longitudinal cohort of recent adult
cancer patients diagnosed with the eight most incident cancers recruited from New
South Wales and Victorian Cancer Registries. Self-report survey data were
collected at 6 and 12 months after diagnosis from 1410 participants (city: n =
890; regional/remote: n = 520). MAIN OUTCOME MEASURES: Travel time to cancer
treatment, living away from home for treatment, travel-related treatment
decisions, extent of financial issues, unmet need for financial help. RESULTS:
During the first 12 months after diagnosis, outer regional/remote residents had
the greatest travel burden; 61% (n = 79) travelled at least 2 hours one way to
receive treatment, and 49% (n = 66) lived away from home to receive treatment.
Strongest associates of travel burden were living in regional/remote areas (odds
ratio (OR) = 18.9-135.7), having received surgery (OR = 6.7) or radiotherapy (OR
= 3.6). Between 6 and 12 months after diagnosis, 2% (n = 24) of patients declined
cancer treatment because of the time it would take to get to treatment. Patients
who travelled more than 2 hours or lived away for treatment reported
significantly greater financial difficulties (38%; 40%) than those who did not
(12%; 14%), even after adjusting for covariates. CONCLUSIONS: Travel burden is
greatest for rural patients, and is associated with greater financial burden.
Appropriate and adequate provision of travel and accommodation assistance schemes
remains paramount to achieving equitable delivery of cancer services.
PMID- 22098214
TI - Rural maternity care and health policy: parents' experiences.
AB - OBJECTIVE: To explore rural residents' experiences of access to maternity care
with consideration of the policy context. DESIGN: This paper describes findings
from focus groups with parents which formed part of case study data from a larger
study. SETTING: Four north Queensland rural towns. PARTICIPANTS: Thirty-three
parents living in one of the four rural towns. MAIN OUTCOME MEASURES: Identifying
prevalent themes in case studies regarding rural parents' expectations and
experiences in accessing maternity care. RESULTS: Parents desired a local, safe
and consistent maternity service. Removing or downgrading rural services
introduced new barriers to care for rural residents: (i) increased financial
costs; (ii) family issues; and (iii) safety concerns. CONCLUSIONS: Although
concerns about rural residents' health status and health care access have
received significant policy attention for over a decade, many of the problems
which prompted these policy initiatives remain today. Current policy approaches
should be re-evaluated in order to improve rural Australians' access to vital
health services such as maternity care.
PMID- 22098215
TI - Young mothers' lived experiences prior to becoming pregnant in rural Victoria: a
phenomenological study.
AB - OBJECTIVE: To explore the lived experiences and social context prior to becoming
pregnant, of women who became mothers during adolescence in rural Victoria.
DESIGN: Qualitative interpretive phenomenological study using semistructured
interviews. SETTING: Rural community in North East Victoria, Australia.
PARTICIPANTS: Four rural women who gave birth to a child between the ages of 15
and 19. RESULTS: Five themes emerged from the data as being essential to the
participants' experiences prior to pregnancy. These included feeling isolated;
life change: transition into adulthood; support and understanding in sexual
relationships; feeling dissatisfied; and overcoming adversity. Participants'
provided practical recommendations to improve life for young people in rural
areas through reflecting on their own experiences. CONCLUSION: These findings
highlight the complex nature of rural young women's experiences leading up to
pregnancy and suggest that early motherhood might be largely reflective of the
social environment in which one lives prior to pregnancy. Providing somewhere
safe to go, organised and appropriate social activities and increasing access to
health services were identified as being pertinent to improving experiences for
rural young people prior to pregnancy. Health professionals should consider the
importance of supporting young women through non-judgemental, approachable and
accessible services.
PMID- 22098216
TI - Asian migrants' lived experience and acculturation to Western health care in
rural Tasmania.
AB - OBJECTIVES: The study was designed to explore the lived experience of Asian
migrants' health care-seeking behaviour in Tasmania, to discern the acculturation
process by which Asian migrants are enabled to use the health system and to
identify strategies, which assist migrants to understand and use the health
system better. METHODS: Qualitative research was adopted. Semistructured
interviews were conducted with 36 Asian migrants residing in North, South and
North West Tasmania, which were recruited through purposive sampling. RESULTS:
Six main themes emerged from the interviews: the acculturation process,
interactions with the health care system, access issues, culturally appropriate
health care, positive health care in Tasmania and suggestions for improving
health care. CONCLUSIONS: The findings indicated that Asian migrants' views
affected their health care-seeking behaviours because of the lack of information,
poor communication, limited access and choices in Tasmania. Interestingly, those
married to local Tasmanians had the shortest trajectory to health system
acculturation. The study recommended developing health and well-being for Asian
migrants by increasing access to information regarding navigating the health
system and improving access to and awareness of language services. In addition,
ensuring adequate, appropriately written, culturally specific and congruent
information should be available to assist migrants' transition into a new health
care system. Lastly, greater cultural awareness within the health profession to
meet the needs of culturally specific individuals and communities is required
when they seek care.
PMID- 22098217
TI - Developing a sustainable model of rural cancer care: the Western Australian
Cancer Network project.
AB - PROBLEM: Cancer-related mortality is higher in rural areas than in urban centres.
One of the contributing factors is limited access to treatment options in rural
areas. DESIGN: An evaluation of the effectiveness of the Western Australian
Cancer Network (CanNET WA) pilot project was undertaken using qualitative methods
and document analysis. SETTING: CanNET WA was established in the Great Southern
region of Western Australia. KEY MEASURES FOR IMPROVEMENT: Three measures were
assessed: impact of the CanNET WA on consumers, care providers and changes to
systems and processes. STRATEGIES FOR CHANGE: CanNET WA comprised a number of
initiatives that together led to an improvement in cancer care. These included a
multidisciplinary cancer team, improved access to visiting medical specialists,
formal links with tertiary cancer centres, increased primary health involvement
in cancer care and increased education regarding cancer care for local health
care providers. EFFECTS OF CHANGE: Changes in the three key outcome measures were
reported. Consumers had greater choice of treatment options and had more
involvement in decision making. Health professionals reported improvements in
care coordination and in peer support related to the new multidisciplinary cancer
care team, and improved links with tertiary cancer centres in Perth. Systemic
changes included mapping of referral pathways and tumour-specific care pathways.
LESSONS LEARNT: CanNET WA has demonstrated the need for coordinated cancer care
for rural people that offers care locally whenever possible. The success of the
project paved the way for the rollout of the CanNET WA concept into other
regional areas of Western Australia.
PMID- 22098218
TI - Re It's not just about the HbA1c.
PMID- 22098219
TI - Support, incentives and evidence needed to improve oral health in rural areas.
PMID- 22098220
TI - Education for remote and isolated practice.
PMID- 22098222
TI - Facile preparation of graphene-copper nanoparticle composite by in situ chemical
reduction for electrochemical sensing of carbohydrates.
AB - A novel graphene-copper nanoparticle composite was prepared by the in situ
chemical reduction of a mixture containing graphene oxide and copper(II) ions
using potassium borohydride as a reductant. It was mixed with paraffin oil and
packed into one end of a fused capillary to fabricate microdisc electrodes for
sensing carbohydrates. The morphology and structure of the graphene-copper
nanoparticle composite were investigated by scanning electron microscopy, X-ray
diffraction, and Fourier transform-infrared spectroscopy. The results indicated
that copper nanoparticles with an average diameter of 20.8 nm were successfully
deposited on graphene nanosheets to form a well interconnected hybrid network.
The analytical performance of these unique graphene-copper nanoparticle composite
paste electrodes was demonstrated by sensing five carbohydrates in combination
with cyclic voltammetry and capillary electrophoresis (CE). The advantages of the
composite detectors include higher sensitivity, satisfactory stability, surface
renewability, bulk modification, and low expense of fabrication. They should find
applications in microchip CE, flowing-injection analysis, and other microfluidic
analysis systems.
PMID- 22098223
TI - Heat-killed Lactobacillus gasseri TMC0356 protects mice against influenza virus
infection by stimulating gut and respiratory immune responses.
AB - This study investigated whether heat-killed Lactobacillus protects host animal
against influenza virus infection and stimulates their immunity. Heat-killed
Lactobacillus gasseri TMC0356 was orally administered to BALB/c mice for 19 days;
the mice were intranasally infected with Flu A/PR/8/34 (H1N1) on day 14, and
clinical symptoms were monitored. After 6 days, the mice were sacrificed, and
pulmonary virus titres were determined. Splenic activation of natural killer (NK)
cells and the mRNA expression of cytokines and other immune molecules in the lung
and Peyer's patch (PP) were analysed. Clinical symptom scores of mice orally fed
TMC0356 ameliorated significantly (P < 0.01); their pulmonary virus titres
decreased significantly compared with those of control mice (P < 0.05); their
mRNA expression of interleukin (IL)-12, IL-15 and IL-21 in PP and the pulmonary
mRNA expression of IFN-gamma, TNF, IL-12a, IL-12rbl, IL-2rb and perforin 1
increased significantly (P < 0.05). Oral administration of heat-killed
lactobacilli may protect against influenza virus infection by stimulating local
and systemic immune responses. Cellular components of lactobacilli may be pivotal
in protecting against viral infection by enhancing gut and respiratory immune
responses.
PMID- 22098225
TI - Mineralocorticoid receptor antagonists for heart failure.
PMID- 22098224
TI - Bioavailability of phytochemical constituents from a novel soy fortified lycopene
rich tomato juice developed for targeted cancer prevention trials.
AB - Studies suggest that tomato and soy foods may contribute to a lower risk of
certain cancers. We developed a novel soy germ tomato juice to be used in
controlled cancer prevention trials. This study describes an initial test of
compliance, phytochemical bioavailability, and effects on biomarkers of blood
lipids. Healthy men and women (n = 18) consumed a soy germ-fortified juice daily
(300 mL supplying 66 mg isoflavones and 22 mg lycopene) for 8 wk. A single-dose
bioavailability study was completed on day 1 and isoflavones in plasma and urine,
and lycopene in the plasma, were measured. All subjects completed the trial, with
97.7% +/- 3.5% (mean +/- SD) of the scheduled juice consumed. No adverse effects
were documented. The postprandial study indicated that 3.1% +/- 2.3% of lycopene
was absorbed and that 49.3% +/- 12.1% isoflavones ingested were recovered in 24-h
urines. Lycopene plasma concentration changed from 0.60 +/- 0.22 to 1.24 +/- 0.30
MUmol/L during 8 wk of consumption. Juice consumption significantly improved
resistance of LDL+VLDL-C to Cu(2+)-mediated oxidation (P = 0.039), HDL-C (47.3 +/
15.8 to 51.7 +/- 14.8 mg/dL, P < 0.001), and the ratio of total-C/HDL-C (4.25 +/
1.59 to 3.63 +/- 1.16, P < 0.001) at 8 wk. A well-characterized soy-fortified
tomato juice can be produced in large scale for multiinstitutional long-term
cancer prevention trials and showed excellent compliance with no toxicity, while
demonstrating absorption of biologically active phytochemicals.
PMID- 22098226
TI - Could the underestimation of bipolarity obstruct the search for novel
antidepressant drugs?
AB - INTRODUCTION: Despite the clinical and social relevance of depression, and the
availability of numerous antidepressants and non-pharmacological interventions,
response rates remain unsatisfactory and novel therapeutic targets are being
explored. AREAS COVERED: This review starts with a brief overview of the
evolution of the current antidepressant drug scenario and ends with a focus on
the potential influence of the underestimation of bipolarity on the exploration
of novel antidepressant drugs. EXPERT OPINION: The field of antidepressant drug
development has suffered from a relative decline recently and, with the exception
of agomelatine, innovative non-monoaminergic antidepressants have yet to be
developed. The need for more effective compounds is evident. Clinicians and
researchers should pay greater attention to the impact of bipolarity in
depression. The ultimate goal of this review is not to discourage the use of
antidepressants but rather to encourage judicious prescriptions, and also to
solicit a better collaboration between clinicians and preclinical researchers so
that more reliable diagnostic criteria can be adopted.
PMID- 22098227
TI - Treatment strategies for chronic stable angina.
AB - INTRODUCTION: Stable angina pectoris - generally the expression of an imbalance
between myocardial oxygen demand and supply - is often the first manifestation of
ischemic heart disease. The effective management of this highly prevalent
condition is largely dependent on the identification of the prevailing pathogenic
mechanism, the implementation of lifestyle changes and the appropriate use of
pharmacological agents and revascularization techniques. There is abundant
literature on management of chronic stable angina, but publications are generally
devoted to focused areas. There is a need for a comprehensive review that
addresses both the different types of angina and their pathogenic mechanisms, as
well as rational approaches to patient management. AREAS COVERED: This paper
reviews the pathogenesis and pathophysiological mechanisms of myocardial
ischemia, along with its consequences and current treatment options. Relevant
papers in the English literature were identified via PubMed, using the following
keywords relating to chronic stable angina: ischemic heart disease, coronary
artery disease and antianginal therapy. EXPERT OPINION: The treatment of chronic
stable angina has improved in recent years as a result of a better understanding
of its pathogenic mechanisms, the implementation of lifestyle changes and
aggressive management of risk factors, as well as pharmacological advances and
better revascularization techniques. Understanding the pathogenesis of the
disease is important to identify effective treatment strategies. A careful
clinical history, the implementation of appropriate diagnostic tests and a
rational use of antianginal drugs and revascularization protocols often ensure
the successful control of the patient's symptoms.
PMID- 22098228
TI - Treating chronic lymphocytic leukemia with thalidomide and lenalidomide.
AB - INTRODUCTION: Chronic lymphocytic leukemia (CLL) is biologically, as well as
clinically, highly heterogeneous. In CLL patients, immunosuppression is a
consequence of the disease, which plays a key role in effecting the quality of
life and overall survival. Treatment modalities should ideally not only reduce
tumor burden, but also augment immune function in CLL patients. AREAS COVERED:
The current review summarizes biological and clinical data on thalidomide and
lenalidomide in CLL. EXPERT OPINION: Immunomodulatory drugs such as thalidomide
and lenalidomide show both antitumor activity and immunostimulation. Three main
mechanisms of action seem to play a role in cancer, including i) anti-angiogenic,
ii) immunomodulatory and iii) tumoricidal effects. The exact contributions of
these effects seem to be unique for different diseases. The two representatives
of this family of drugs studied in CLL include thalidomide and its analog
lenalidomide. These drugs proved to be effective as single agents and in the
combination setting in CLL. Toxicities have been identified but largely
controlled by a low starting dose, with gradual dose escalation.
PMID- 22098229
TI - Progress and contrasts of the development of tivozanib for therapy of kidney
cancer.
AB - INTRODUCTION: Targets for drug development for the treatment of kidney cancer
(renal cell carcinoma; RCC) include vascular endothelial growth factor (VEGF) and
its receptors and mammalian target of rapamycin. Currently available oral
multitargeted VEGF tyrosine kinase inhibitors (TKIs) that have been approved by
the US Food and Drug Administration for advanced RCC, include sunitinib,
sorafenib and pazopanib. Off-target TKI inhibition can potentially preclude full
dose combination with other targeted and chemotherapeutic agents. There is a need
to develop more potent and selective targeted agents for RCC therapy, which are
more effective and have minimal off-target effects. AREAS COVERED: This drug
evaluation review addresses the ongoing development for the treatment of RCC with
tivozanib: a potent, selective and long-half-life VEGF TKI. The testing for
clinical efficacy alone or in combination with other therapies for RCC and for
other tumor types, and the clinical and market relevance of introducing another
RCC therapy are discussed. EXPERT OPINION: Tivozanib is distinguished by its high
potency, selectivity, long-half-life and its potential to be effectively combined
with other agents in RCC. This may offer more effective, yet well-tolerated
treatment options. The relative clinical and market relevance remain to be seen,
both for RCC therapy and other tumor types.
PMID- 22098230
TI - Desvenlafaxine in the treatment of major depressive disorder.
AB - Desvenlafaxine (DESV) is a newer antidepressant, which inhibits serotonin
norepinephrine reuptake neurotransmission, similarly to venlafaxine, milnacipran
and duloxetine. It was approved in February 2008 by the FDA for the treatment of
major depressive disorder (MDD), based on well-controlled and adequately powered,
large clinical trials demonstrating efficacy and safety for patients with MDD.
Currently available data show that DESV has proven efficacy, acceptable safety
and tolerability profiles, convenient once-daily dosing and minimal impact on the
cytochrome P450 enzyme system in patients with MDD. This mini-review summarizes
the clinical data and practical use of DESV under this approved indication.
PMID- 22098231
TI - Innovative antidepressants: jumping out of the monoamine-based theory?
PMID- 22098233
TI - Pleiotropic functions of brain proteinases: methodological considerations and
search for caspase substrates.
AB - Analysis of the literature and our own data suggest that the so-called
"apoptotic" proteinases play important roles in brain function. However,
mechanisms of their involvement in normal neuronal plasticity remain obscure. One
of the main reasons for this is broad substrate specificity of proteinases; the
number of potential substrates of each can reach several thousands. Obviously, a
real approach to study functions of "apoptotic" proteinases, caspase-3 in
particular, is to identify their intracellular substrates. It is the nature of a
substrate that defines the direction of signal transduction or metabolic changes;
therefore, identification of molecular partners of particular proteases should be
the key study, not just measuring its activity or respective protein or mRNA
expression. This approach will allow studying regulatory mechanisms not only for
proteinases, but also for other pleiotropic enzymes usually possessing broad
substrate specificity.
PMID- 22098234
TI - Constitutive androstane receptor (CAR) is a xenosensor and target for therapy.
AB - Constitutive androstane receptor (CAR, NR1I3), which is under consideration in
this review, is a member of the superfamily of nuclear receptors. However,
certain features distinguish CAR from the variety of nuclear receptors. First,
this receptor has structural features that allow it to display constitutive
activity in the absence of a ligand and to interact in a species-specific manner
with a huge number of ligands diverse in chemical structure and origin. Second,
recently many researchers are focused on CAR because the significance is
increasingly shown of its influence on a variety of physiological functions, such
as gluconeogenesis, metabolism of xenobiotics, fatty acids, bilirubin, and bile
acids, hormonal regulation, etc. In addition to the fundamental scientific
interest, the study of CAR is of practical importance because changes in CAR
activity can lead to disorders in physiological processes, which finally can
result in changes in pathological states. However, despite intensive studies,
many mechanisms are still unclear, which makes it difficult to understand the
role of CAR in the overall picture of molecular regulation of physiological
processes. This review analyzes the features and diversity of the functions of
CAR.
PMID- 22098235
TI - Characteristics of sigma-dependent pausing by RNA polymerases from Escherichia
coli and Thermus aquaticus.
AB - The sigma(70) subunit of RNA polymerase (RNAP) is the major transcription
initiation factor in Escherichia coli. During transcription initiation, conserved
region 2 of the sigma(70) subunit interacts with the -10 promoter element and
plays a key role in DNA melting around the starting point of transcription.
During transcription elongation, the sigma(70) subunit can induce pauses in RNA
synthesis owing to interactions of region 2 with DNA regions similar to the -10
promoter element. We demonstrated that the major sigma subunit from Thermus
aquaticus (sigma(A)) is also able to induce transcription pausing by T. aquaticus
RNAP. However, hybrid RNAP containing the sigma(A) subunit and E. coli core RNAP
is unable to form pauses during elongation, while being able to recognize
promoters and initiate transcription. Inability of the sigma(A) subunit to induce
pausing by E. coli RNAP is explained by the substitutions of non-conserved amino
acids in region 2, in the subregions interacting with the RNAP core enzyme. Thus,
changes in the structure of region 2 of the sigma(70) subunit have stronger
effects on transcription pausing than on promoter recognition, likely by
weakening the interactions of the sigma subunit with the core RNAP during
transcription elongation.
PMID- 22098236
TI - Coherent phenomena of charge separation in reaction centers of LL131H and
LL131H/LM160H/FM197H mutants of Rhodobacter sphaeroides.
AB - Primary stage of charge separation and transfer of charges was studied in
reaction centers (RCs) of point mutants LL131H and LL131H/LM160H/FM197H of the
purple bacterium Rhodobacter sphaeroides by differential absorption spectroscopy
with temporal resolution of 18 fsec at 90 K. Difference absorption spectra
measured at 0-4 psec delays after excitation of dimer P at 870 nm with 30 fsec
step were obtained in the spectral range of 935-1060 nm. It was found that a
decay of P* due to charge separation is considerably slower in the mutant RCs in
comparison with native RCs of Rba. sphaeroides. Coherent oscillations were found
in the kinetics of stimulated emission of the P* state at 940 nm. Fourier
analysis of the oscillations revealed a set of characteristic bands in the
frequency range of 20-500 cm(-1). The most intense band has the frequency of ~130
cm(-1) in RCs of mutant LL131H and in native RCs and the frequency of ~100 cm(-1)
in RCs of the triple mutant. It was found that an absorption band of
bacteriochlorophyll anion B(A)(-) which is registered in the difference
absorption spectra of native RCs at 1020 nm is absent in the analogous spectra of
the mutants. The results are analyzed in terms of the participation of the B(A)
molecule in the primary electron transfer in the presence of a nuclear wave
packet moving along the inharmonic surface of P* potential energy.
PMID- 22098237
TI - Programmed cell death in plants: protective effect of mitochondrial-targeted
quinones.
AB - Ubiquinone or plastoquinone covalently linked to synthetic
decyltriphenylphosphonium (DTPP(+)) or rhodamine cations prevent programmed cell
death (PCD) in pea leaf epidermis induced by chitosan or CN(-). PCD was monitored
by recording the destruction of cell nuclei. CN(-) induced the destruction of
nuclei in both epidermal cells (EC) and guard cells (GC), whereas chitosan
destroyed nuclei in EC not in GC. The half-maximum concentrations for the
protective effects of the quinone derivatives were within the pico- and nanomolar
range. The protective effect of the quinones was removed by a protonophoric
uncoupler and reduced by tetraphenylphosphonium cations. CN(-)-Induced PCD was
accelerated by the tested quinone derivatives at concentrations above 10(-8)-10(
7) M. Unlike plastoquinone linked to the rhodamine cation (SkQR1), DTPP(+)
derivatives of quinones suppressed menadione-induced H(2)O(2) generation in the
cells. The CN(-)-induced destruction of GC nuclei was prevented by DTPP(+)
derivatives in the dark not in the light. SkQR1 inhibited this process both in
the dark and in the light, and its effect in the light was similar to that of
rhodamine 6G. The data on the protective effect of cationic quinone derivatives
indicate that mitochondria are involved in PCD in plants.
PMID- 22098238
TI - New Actinoporins from sea anemone Heteractis crispa: cloning and functional
expression.
AB - A new actinoporin Hct-S4 (molecular mass 19,414 +/- 10 Da) belonging to the
sphingomyelin-inhibited alpha-pore forming toxin (alpha-PFT) family was isolated
from the tropical sea anemone Heteractis crispa (also called Radianthus
macrodactylus) and purified by methods of protein chemistry. The N-terminal
nucleotide sequence (encoding 20 amino acid residues) of actinoporin Hct-S4 was
determined. Genes encoding 18 new isoforms of H. crispa actinoporins were cloned
and sequenced. These genes form a multigene Hct-S family characterized by
presence of N-terminal serine in the mature proteins. Highly conserved residues
comprising the aromatic phosphorylcholine-binding site and significant structure
function changes in the N-terminal segment (10-27 amino acid residues) of
actinoporins were established. Two expressed recombinant actinoporins (rHct-S5
and rHct-S6) were one order less hemolytically active than native actinoporins.
PMID- 22098239
TI - Identification of proteins responding to adrenergic receptor subtype-specific
hypertrophy in cardiomyocytes by proteomic approaches.
AB - The individual signaling pathways underlying cardiac hypertrophy, which is
induced by either alpha or beta adrenergic receptor (AR), are different.
Activation of different AR subtypes couples to different G proteins and induction
of specific signaling pathways, which ultimately results in subtype-specific
regulation of cardiac function. We present the first proteomics study identifying
proteins that are related to AR subtype-specific hypertrophy in cardiomyocytes by
comparing the two-dimensional electrophoresis patterns between neonatal rat
cardiomyocytes treated by phenylepinephrin (PE) and by isoproterenol (ISO). An
improved 2-DE strategy was used in these comparative experiments. Twenty-five
differentially expressed proteins in cardiomyocytes treated by PE or treated by
ISO were successfully analyzed and identified using matrix-assisted laser
desorption/ionization-time of flight mass spectrometry, especially those that
might be responsible to intracellular oxidative stress such as dismutase,
peroxiredoxin, and thioredoxin-like protein p46. In addition, induced reactive
oxygen species were also found to be AR subtype-specifically relevant to
endoplasmic reticulum proteinase ERK1/2 phosphorylation during the development of
hypertrophy induced by different AR subtypes. The results will help to better
understand the underlying mechanisms of different adrenergic receptor subtype
induced hypertrophy.
PMID- 22098240
TI - Site-directed mutagenesis of cysteine residues of Luciola mingrelica firefly
luciferase.
AB - Single mutants (C62S, C62V, C86S, C146S, C164S), double mutants (C62/146S,
C62/164S, C86/146S, C146/164S), and triple mutant C62/146/164S of the Luciola
mingrelica firefly luciferase carrying C-terminal His(6)-tag were obtained on the
basis of plasmid pETL7 by site-directed mutagenesis. Bioluminescence and
fluorescence spectra were not altered by the introduced mutations. In the case of
mutants C86S, C86/146S, C62/164S, and the triple mutant C62/146/164S, the
K(m)(ATP) and K(m)(LH)(2) values were increased by a factor of ~1.5-1.9. Their
expression level, specific activity, and thermal stability were significantly
decreased. The other mutations had almost no effect on the K(m)(ATP) and
K(m)(LH)(2) values, specific activity, and thermal stability of the enzyme.
Thermal stability of the C146S mutant was increased by a factor of ~2 and 1.3 at
37 and 42 degrees C, respectively. The possible mechanism of the influence of
these mutations on properties and structure of the enzyme is discussed.
PMID- 22098241
TI - Self-assembly of soluble unlinked and cross-linked fibrin oligomers.
AB - Self-assembly of soluble unlinked and cross-linked fibrin oligomers formed from
desA-fibrin monomer under the influence of factor XIIIa was studied in the
presence of non-denaturing urea concentrations. By methods of elastic and dynamic
light scattering combined with analytical ultracentrifugation, desA-fibrin
oligomers formed in both the presence and absence of the factor XIIIa were shown
to be ensembles consisting of soluble rod-like double-stranded protofibrils with
diverse weight and size. Unlinked and cross-linked soluble double-stranded
protofibrils can reach the length of 350-450 nm. The structure of soluble
covalently-linked protofibrils is stabilized by isopeptide gamma-dimers.
Electrophoretic data indicate a complete absence of isopeptide bonds between
alpha-chains of desA-fibrin molecules. The molecular mechanism of formation of
soluble rod-like fibrin structures and specific features of its covalent
stabilization under the influence of factor XIIIa are discussed.
PMID- 22098242
TI - Methylglyoxal induces mitochondria-dependent apoptosis in sarcoma.
AB - In the preceding paper (A. Ghosh et al. (2011) Biochemistry (Moscow), 76, 1051
1060), using several comparable tissue materials, it has been convincingly
demonstrated that methylglyoxal, a normal metabolite, inhibits mitochondrial
complex I of specifically malignant cells. This suggests a distinct alteration of
complex I, a highly important enzyme for energy (ATP) production, in malignancy.
The present paper shows that as a consequence of this inhibition mitochondrial
membrane potential is drastically reduced in sarcoma tissue but not in normal
skeletal muscle. This was estimated spectrofluorimetrically using the dye
rhodamine 123. As a consequence, cytochrome c was released from the sarcoma
mitochondria as evidenced by Western blot analysis. Moreover, on treatment with
methylglyoxal membrane potential collapse of sarcoma 180 cells was also indicated
by fluorescence-activated cell sorter analysis. Atomic force microscopic study
demonstrated gross structural alteration specifically of tumor mitochondria on
methylglyoxal treatment. All these studies suggest that methylglyoxal might
initiate an apoptotic event in malignant cells.
PMID- 22098243
TI - Effect of cholinergic drugs on the activity of basic carboxypeptidases in rat
nervous tissue.
AB - Effects of a single administration of cholinergic drugs (arecoline, atropine,
nicotine, mecamylamine) on the activity of carboxypeptidase H and of
phenylmethylsulfonyl fluoride-inhibited carboxypeptidase, which are involved in
metabolism of neuropeptides, were studied in brain parts and the adrenal glands
of rats. The enzyme activities were determined fluorimetrically using specific
inhibitors and substrates. In the majority of cases the enzyme activities
decreased, and this decrease was retained for at least 72 h. Changes in the
activities of the studied enzymes depended on the type of cholinergic action, the
nervous system part, and the time after the injection. The changes in activities
of the studied carboxypeptidases are supposed to be a possible mechanism
responsible for changes in the levels of neuropeptides under the influence of
high doses of the drugs.
PMID- 22098244
TI - Contents of mRNAs encoding endosome/lysosome components in normal human aorta and
in stage II of atherogenesis: a hidden regulation.
AB - Contents of mRNAs encoding endosome/lysosome components EEA1, Rab5a, Lamp1,
Lamp2, p62 (SQSTM1), and CD63 were measured by quantitative PCR and compared in
intact fragments of human aorta and in aorta fragments with atherosclerotic
lesions of stage II (fatty streaks) of the same donors. During atherogenesis an
increase was detected only in the level of p62 mRNA but not in other mRNAs.
Nevertheless, correlation analysis revealed a profound rearrangement of inter
gene correlations: only 30% of correlations found in the fatty streaks coincided
with the correlations in normal fragments. Thus, new constellations were formed
in fatty streaks concurrently with disappearance of correlations between mRNAs
under study and mRNAs encoding factors of lipid accumulation, reverse cholesterol
transfer, and some lipid sensors/transcription regulators of lipid metabolism.
PMID- 22098245
TI - Carbohydrate specificity of chicken and human tandem-repeat-type galectins-8 in
composition of cells.
AB - The network of adhesion/growth-regulatory galectins in chicken (chicken galectin,
CG) has only one tandem-repeat-type protein, CG8. Using a cell-based assay and
probing galectin reactivity with a panel of fluorescent neoglycoconjugates
(glycoprobes), its glycan-binding profile was determined. For internal
validation, human galectin-8 (HG8) was tested. In comparison to HG8, CG8 showed a
rather similar specificity: both galectins displayed high affinity to blood group
ABH antigens as well as to 3'-sialylated and 3'-sulfated lactosamine chains. The
most remarkable difference was found to be an ability of HG8 (but not CG8) to
bind the disaccharide Galbeta1-3GlcNAc (Le(c)) as well as branched and linear
oligolactosamines. The glycan-binding profile was shown to be influenced by
glycocalix of the cell, where the galectin is anchored. Particularly, glycosidase
treatment of galectin-loaded cells led to the change of the profile. Thus, we
suppose the involvement of cis-glycans in the interaction of cell-anchored
galectins with external glycoconjugates.
PMID- 22098246
TI - Single nucleotide polymorphisms associated with metastatic tumour antigen 1
overexpression in patients with hepatocellular carcinoma.
AB - BACKGROUNDS/AIMS: Metastatic tumour antigen 1 (MTA1) promotes angiogenesis by
stabilizing hypoxia-inducible factor-1alpha (HIF-1alpha), which is closely
associated with frequent postoperative recurrence and poor survival in patients
with HCC. In this study, we determined single nucleotide polymorphisms (SNPs) in
angiogenesis-related genes that are associated with MTA1 overexpression in HCC
tissues. METHODS: A total of 376 patients with HCC who had received curative
surgical resection or liver transplantation were enrolled (312/21/43;
HBV/HCV/NBNC). MTA1 expression was determined via immunohistochemistry. Thirty
three common SNPs sites (frequency >=5%) in the angiogenic protein gene that are
closely connected to one another were selected, including MTA1, VEGF, HIF-1alpha,
FGF-2, and IGF-II. RESULTS: Expression of MTA1 was detected in 120 HCC tissues
(31%). An A allele at position IVS4-81G/A of the MTA1 gene (P = 0.016) and the TT
genotype at position +12916C of the VEGF gene (P = 0.023) were significantly
associated with MTA1 overexpression. However, the TT genotype at position -13021C
(P = 0.011) and the haplotypes CT-CT (-11228C; -13021C) of the IGF-II gene
(P(cor) = 0.033) were more common in patients with MTA1-negative HCC. Using
multivariate analysis, the A allele at IVS4-81G/A in MTA1 gene (P = 0.015) and a
T allele (TT+CT genotype) at -13021C in IGF-II (P = 0.002) were independent risk
factors in HCC recurrence after curative surgical resection. CONCLUSIONS: The
genetic polymorphisms IVS4-81G/A in MTA1 and +12916C in VEGF genes were
correlated with MTA1 overexpression. The SNPs in MTA1 and IGF-II genes may be
important risk factors for the recurrence of HCC.
PMID- 22098247
TI - Fabrication of flocculation-resistant pH/ionic strength/temperature
multiresponsive hollow microspheres and their controlled release.
AB - pH/ionic strength/temperature multiresponsive hollow microspheres were
successfully prepared by the Ce(IV) initiated grafting polymerization of N
isopropylacrylamide (NIPAm) onto the multilayered polyelectrolyte shells
encapsulating the polystyrene sulfonate (PSS) microsphere templates fabricated by
the layer-by-layer assembly of chitosan (CS) and alginate (SAL), after etching
the templates by dialysis. The hollow structure of the obtained multiresponsive
hollow microspheres was characterized by transmission electron microscopy (TEM),
which indicated that the inner diameter of the hollow microspheres was about 200
nm. The environmental responsive properties of the multiresponsive hollow
microspheres were characterized with dynamic light scattering (DLS) in an aqueous
system. The introduction of poly(N-isopropylacrylamide) (PNIPAm) brushes onto the
pH/ionic strength dual-responsive hollow microspheres achieved temperature
responsive characteristics. It also could prevent flocculation among the obtained
multiresponsive hollow microspheres in a solution with higher salt concentration.
Their controlled release of drug molecules (a model hydrophobic drug,
dipyridamole (DIP)) was also investigated.
PMID- 22098249
TI - Income-related inequalities in denture-wearing by Europeans aged 50 and above.
AB - BACKGROUND: Despite its importance for the planning of future treatment needs and
an optimised allocation of health care resources, only little is known about
socio-economic inequalities in denture-wearing by late middle-aged and elderly
generations. OBJECTIVES: To describe income-related inequalities in denture
wearing by elderly populations residing in different European countries. MATERIAL
AND METHODS: Data from the Survey of Health, Ageing and Retirement in Europe
(SHARE Wave 2) were used to assess income-related inequalities in denture-wearing
by means of Concentration Indices (CI) for populations aged 50+ from 14 different
European countries. RESULTS: We could identify a significant disproportionate
concentration of denture-wearing amongst the poor elderly populations in Denmark
(CI = -0.3534, corresponding to the highest level of inequality), Sweden (CI =
0.3479), Switzerland (CI = -0.2013), Greece (CI = -0.1953), the Netherlands (CI =
-0.1413), France (CI = -0.1339), Austria (CI = -0.0974), Czech Republic (CI =
0.0959), Belgium (CI = -0.0947), Germany (CI = -0.0762), Ireland (CI = -0.0575)
and Spain (CI = -0.0482, corresponding to the lowest level of pro-poor
inequality). Poland became evident as the only country in which individuals from
the upper end of the income scale wear more dentures than their peers from the
lower end of the income scale (CI = 0.0379). No significant income-related
inequalities were observable in Italy. CONCLUSIONS: There is considerable income
related inequality in denture-wearing by several elderly populations in Europe.
Future resource planning for prosthetic care should, thus, specifically
distinguish between the treatment needs of different socio-economic groups within
elderly populations.
PMID- 22098248
TI - Glutamatergic input is selectively increased in dorsal raphe subfield 5-HT
neurons: role of morphology, topography and selective innervation.
AB - Characterization of glutamatergic input to dorsal raphe (DR) serotonin (5-HT)
neurons is crucial for understanding how the glutamate and 5-HT systems interact
in psychiatric disorders. Markers of glutamatergic terminals, vGlut1, 2 and 3,
reflect inputs from specific forebrain and midbrain regions. Punctate staining of
vGlut2 was homogeneous throughout the mouse DR whereas vGlut1 and vGlut3 puncta
were less dense in the lateral wing (lwDR) compared with the ventromedial (vmDR)
subregion. The distribution of glutamate terminals was consistent with the lower
miniature excitatory postsynaptic current frequency found in the lwDR; however,
it was not predictive of glutamatergic synaptic input with local activity intact,
as spontaneous excitatory postsynaptic current (sEPSC) frequency was higher in
the lwDR. We examined the morphology of recorded cells to determine if variations
in dendrite structure contributed to differences in synaptic input. Although lwDR
neurons had longer, more complex dendrites than vmDR neurons, glutamatergic input
was not correlated with dendrite length in the lwDR, suggesting that dendrite
length did not contribute to subregional differences in sEPSC frequency. Overall,
glutamatergic input in the DR was the result of selective innervation of
subpopulations of 5-HT neurons and was rooted in the topography of DR neurons and
the activity of glutamate neurons located within the midbrain slice. Increased
glutamatergic input to lwDR cells potentially synergizes with previously reported
increased intrinsic excitability of lwDR cells to increase 5-HT output in lwDR
target regions. Because the vmDR and lwDR are involved in unique circuits,
subregional differences in glutamate modulation may result in diverse effects on
5-HT output in stress-related psychopathology.
PMID- 22098250
TI - Effect of copper hydroxide sprays for citrus canker control on wild-type
Escherichia coli.
AB - AIMS: To show that application of copper hydroxide citrus sprays mixed with
field source water (possibly contaminated) will not support Escherichia coli on
plant surfaces. Environmental stresses of transient phyllosphere bacteria and
presence of copper will eradicate these bacteria before harvest. METHODS AND
RESULTS: Studies were performed in vitro with bacteria grown in broth and then
subjected to field spray copper hydroxide concentrations in the broth and on
citrus leaves. Escherichia coli exposed to copper hydroxide in vitro were
eradicated from the broth within 6-8 h depending on the broth pH. Even with near
neutral pH (7.2), cells began to die immediately after exposure to copper. No E.
coli survived on leaf surfaces sprayed with copper. CONCLUSIONS: Copper field
sprays mixed with water that may contain E. coli can help eliminate E. coli from
plant surfaces. SIGNIFICANCE AND IMPACT OF THE STUDY: HACCP mandates are
becoming more restrictive because of the increased illness resulting from food
pathogens on fresh produce. Use of potable water in fields, a proposed mandate,
is not feasible for large grove owners. These data show that copper sprays aimed
at reducing citrus canker also affect E. coli and may help to ease water quality
mandates.
PMID- 22098251
TI - Preconditioning donor liver with Nodosin perfusion lessens rat ischemia
reperfusion injury via heme oxygenase-1 upregulation.
AB - BACKGROUND AND AIM: Ischemia reperfusion injury (IRI) remains a major cause of
graft injury, dysfunction and even failure post-transplantation. Heme oxygenase 1
(HO-1) has been found to be an attractive target for anti-inflammatory therapies
and a potential candidate responsible for cell injury. The objective of this
study was to investigate whether preconditioning the donor liver with Nodosin
perfusion upregulates HO-1 and then lessens IRI in rat models. METHODS: Wistar
rats were divided into four groups: experimental group, control group, positive
control group and negative control group in which the donor liver was
preconditioned with Nodosin, lactated ringer's solution, cobalt protoporphyrin
and zinc protoporphyrin perfusion, respectively. We measured HO-1 expression and
enzyme activity in rat livers of each group ex vivo at 0, 1 and 2 h after
perfusion. At 1 h after perfusion, donor livers of Wistar rats were transplanted
into Sprague-Dawley rats orthotopically. Serum transaminase levels, degree of
cell apoptosis and Suzuki's score were used to assess ischemia/reperfusion injury
in recipients at 24 h after transplantation. RESULTS: Ex vivo, donor liver
preconditioning with Nodosin perfusion induced HO-1 expression and enzyme
activity significantly, compared with the control group (P < 0.05). In vivo,
serum transaminase levels, cell apoptosis degree and Suzuki's score of
representative recipients in the Nodosin group were lower than that in the
control group (P < 0.05). Preconditioning with Nodosin perfusion induced HO-1
protein mainly in Kupffer cells. CONCLUSIONS: This study suggests that
preconditioning with Nodosin perfusion provides a potential protective effect
through inducing HO-1 expression to attenuate ischemia/reperfusion injury in
liver transplantation.
PMID- 22098252
TI - Predictive factors of PACU stay after herniorraphy in infant: a classification
and regression tree analysis.
AB - INTRODUCTION: Herniorraphy is a common surgical intervention in infants,
particularly in those born prematurely. Prematurity and perioperative sedation
have been shown to be risk factors for postoperative apnea. However, their
influence upon PACU stay duration has not been evaluated. The goal of this study
was to investigate predictive factors for PACU stay in infants undergoing
herniorraphy. MATERIAL AND METHODS: This study is a retrospective analysis of
perioperative data in infants <6 months of age undergoing herniorraphy during the
period November 2007-November 2009. Collected data included age, gestational age
at birth, post-conceptional age, weight, weight at birth, type of anesthesia
(spinal vs general), perioperative administration of opioids and paracetamol,
duration of surgery, duration of PACU stay, and apnea in PACU. Data analysis used
classification and regression trees (CART) with a 10-fold cross-validation.
RESULTS: Two hundred and ninety-six patients were included in the analysis. Five
parameters were found to predict the duration of PACU stay: a post-conceptional
age below 45 weeks, prematurity, general anesthesia, postoperative opioid
administration, and the use of intraoperative regional analgesia. CRT method
allows constructing a decision tree with eight terminal nodes. The percentage of
explained variability of the model and the cross-validation were 79.7% and 76.6%,
respectively. DISCUSSION: Our study allows construction of an accurate predictive
tree for PACU stay during herniorraphy in infants <6 months. Parameters found to
influence the duration of PACU stay were related to anesthesia techniques and
perinatal outcomes.
PMID- 22098253
TI - Bacteriology of hidradenitis suppurativa exacerbations and deep tissue cultures
obtained during carbon dioxide laser treatment.
AB - BACKGROUND: Previous studies have shown different bacteria in hidradenitis
suppurativa (HS) lesions, but the literature regarding bacteria in acute
exacerbation of HS is sparse. OBJECTIVES: To determine the type of bacteria
isolated from HS lesions during exacerbations of the disease. METHODS: Patients
with HS with acute nodules or abscesses were examined and treated by carbon
dioxide laser vaporization. Bacterial samples for aerobic and anaerobic cultures
were taken from the skin surface (before surgery) and then from the deeper layers
(during surgery) of the lesions. At each level two samples were taken, one with a
punch biopsy and one by pressing a soft agar gel against the skin. The bacterial
findings were typed and quantified. RESULTS: A total of 10 patients (eight women
and two men), with a mean age of 37.2 years and a mean HS duration of 14.5 years,
were included. All of them had an ongoing exacerbation (mean duration 5.6 days)
of their HS, with one inflamed lesion that was treated by carbon dioxide laser
vaporization. Coagulase-negative staphylococci (CNS) were found in the deep
layers in all 10 patients. Nine of the patients carried Corynebacterium spp. and
two alpha-haemolytic streptococci at various levels. Among the anaerobic
microorganisms, Gram-positive cocci were the most common bacteria. CONCLUSIONS:
As found in a previous study, CNS were the most common bacteria, but contrary to
what we expected, Staphylococcus aureus was not found in any cultures from acute
inflammatory nodules of HS exacerbations.
PMID- 22098254
TI - Surface characterization, collagen adsorption and cell behaviour on poly(L
lactide-co-glycolide).
AB - Poly(L-lactide-co-glycolide) (PLG) was modified through the adsorption of
collagen to improve the behaviour of fibroblasts and osteoblasts. As reference
materials cell-resistant polystyrene (PS) and cell-conductive tissue-culture
polystyrene (TCPS) were also evaluated. The physicochemical surface properties of
the materials were studied by X-ray photoelectron spectroscopy (XPS), atomic
force microscopy (AFM), and water contact angle measurements. The morphology of
cells was examined using optical microscopy, while their growth was evaluated by
both crystal violet and MTT tests. Nitric oxide level and protein concentration
were tested in cell supernatants. The results showed that the adsorbed amount and
the organization of the adsorbed collagen were influenced by surface
hydrophobicity. Cell culture experiments on native substrates revealed that cell
attachment, spreading and growth enhanced, depending on the substrate, in the
following order: PS60) showed equal improvement of the OHRQoL. In the IFPD
group, patients older than 60 years showed better improvement (p < 0.05). There
were no significant differences dependent on gender and antagonistic teeth (p >
0.05). CONCLUSION: The FPD and the IFPD treatment showed significant improvement
of OHRQoL. The FPD treatment improved OHRQoL equally in both age groups, while
the IFPD treatment improved OHRQoL better in older patients.
PMID- 22098295
TI - Developmental regulation of G protein-gated inwardly-rectifying K+ (GIRK/Kir3)
channel subunits in the brain.
AB - G protein-gated inwardly-rectifying K(+) (GIRK/family 3 of inwardly-rectifying
K(+) ) channels are coupled to neurotransmitter action and can play important
roles in modulating neuronal excitability. We investigated the temporal and
spatial expression of GIRK1, GIRK2 and GIRK3 subunits in the developing and adult
brain of mice and rats using biochemical, immunohistochemical and immunoelectron
microscopic techniques. At all ages analysed, the overall distribution patterns
of GIRK1-3 were very similar, with high expression levels in the neocortex,
cerebellum, hippocampus and thalamus. Focusing on the hippocampus, histoblotting
and immunohistochemistry showed that GIRK1-3 protein levels increased with age,
and this was accompanied by a shift in the subcellular localization of the
subunits. Early in development (postnatal day 5), GIRK subunits were
predominantly localized to the endoplasmic reticulum in the pyramidal cells, but
by postnatal day 60 they were mostly found along the plasma membrane. During
development, GIRK1 and GIRK2 were found primarily at postsynaptic sites, whereas
GIRK3 was predominantly detected at presynaptic sites. In addition, GIRK1 and
GIRK2 expression on the spine plasma membrane showed identical proximal-to-distal
gradients that differed from GIRK3 distribution. Furthermore, although GIRK1 was
never found within the postsynaptic density (PSD), the level of GIRK2 in the PSD
progressively increased and GIRK3 did not change in the PSD during development.
Together, these findings shed new light on the developmental regulation and
subcellular diversity of neuronal GIRK channels, and support the contention that
distinct subpopulations of GIRK channels exert separable influences on neuronal
excitability. The ability to selectively target specific subpopulations of GIRK
channels may prove effective in the treatment of disorders of excitability.
PMID- 22098297
TI - Energy decomposition analysis in solution based on the fragment molecular orbital
method.
AB - We develop the pair interaction energy decomposition analysis (PIEDA) in solution
by combining the fragment molecular orbital (FMO) method with the polarizable
continuum model (PCM). The solvent screening of the electrostatic interaction and
the desolvation penalty in complex formation are described by this approach from
ab initio calculations of fragments and their pairs. The applications to the
complex of solvated sodium and chlorine ions, as well as to lysine and aspartic
acid, show how the analysis helps reveal the physical picture. The PIEDA/PCM
method is also applied to a small protein chignolin (PDB: 1UAO), and the solvent
screening of the pair interactions is discussed.
PMID- 22098296
TI - Role of vascular endothelial growth factor in angiodysplasia: an interventional
study with thalidomide.
AB - BACKGROUND AND AIM: The pathogenesis of angiodysplasia is still not fully
understood and effective therapy is not available. Thalidomide was reported to be
effective in the treatment of angiodysplasia, but the mechanisms underlying its
activity are, as yet, unknown. We aimed to investigate the expression of vascular
endothelial growth factor (VEGF) in angiodysplasia tissues, and the role of
hypoxia-inducible factor-1alpha (HIF-1alpha) and basic fibroblast growth factor
(bFGF) on VEGF expression in human umbilical vein endothelial cells (HUVEC).
Additionally, we aimed to study the role of thalidomide in these parameters.
METHODS: Immunohistochemistry was performed to visualize VEGF in angiodysplasia
lesions. HUVEC were incubated under hypoxic conditions or in the presence of
bFGF. Effects of exposure to thalidomide were studied. Cell growth was assessed
in methylthiazolyte-trazolium assays. Enzyme-linked immunosorbent assays and real
time polymerase chain reaction were performed to assess the expression of VEGF at
protein and mRNA levels. Western blot was performed to detect the expression of
HIF-1alpha under hypoxic conditions. RESULTS: VEGF was strongly expressed in 75%
of patients with angiodysplasia lesions, as compared to expression in patients
without angiodysplasia lesions. VEGF was also induced in HUVEC under hypoxic
conditions (P < 0.05). bFGF was found to stimulate the proliferation of HUVEC and
enhance the expression of VEGF. Thalidomide suppressed bFGF-induced proliferation
significantly and decreased VEGF expression, both at the protein and mRNA levels.
Thalidomide also inhibited HIF-1alpha in a dose-dependent manner (P < 0.05).
CONCLUSIONS: VEGF may play an important role in the pathogenesis of
angiodysplasia. Thalidomide can suppress VEGF, either induced by HIF-1alpha or
bFGF.
PMID- 22098299
TI - Evaluating the material parameters of the human cornea in a numerical model.
AB - PURPOSE: The values of the biomechanical human eyeball model parameters reported
in the literature are still being disputed. The primary motivation behind this
work was to predict the material parameters of the cornea through numerical
simulations and to assess the applicability of the ubiquitously accepted law of
applanation tonometry - the Imbert-Fick equation. METHODS: Numerical simulations
of a few states of eyeball loading were run to determine the stroma material
parameters. In the computations, the elasticity moduli of the material were
related to the stress sign, instead of the orientation in space. RESULTS: Stroma
elasticity secant modulus E was predicted to be close to 0.3 MPa. The numerically
simulated applanation tonometer readings for the cornea with the calibration
dimensions were found to be lower by 11 mmHg then IOP = 48 mmHg. CONCLUSIONS:
This discrepancy is the result of a strictly mechanical phenomenon taking place
in the tensioned and simultaneously flattened corneal shell and is not related to
the tonometer measuring accuracy. The observed deviation has not been amenable to
any GAT corrections, contradicting the Imbert-Fick law. This means a new approach
to the calculation of corrections for GAT readings is needed.
PMID- 22098298
TI - Mutagenesis of cysteine 81 prevents dimerization of the APS1 subunit of ADP
glucose pyrophosphorylase and alters diurnal starch turnover in Arabidopsis
thaliana leaves.
AB - Many plants, including Arabidopsis thaliana, retain a substantial portion of
their photosynthate in leaves in the form of starch, which is remobilized to
support metabolism and growth at night. ADP-glucose pyrophosphorylase (AGPase)
catalyses the first committed step in the pathway of starch synthesis, the
production of ADP-glucose. The enzyme is redox-activated in the light and in
response to sucrose accumulation, via reversible breakage of an intermolecular
cysteine bridge between the two small (APS1) subunits. The biological function of
this regulatory mechanism was investigated by complementing an aps1 null mutant
(adg1) with a series of constructs containing a full-length APS1 gene encoding
either the wild-type APS1 protein or mutated forms in which one of the five
cysteine residues was replaced by serine. Substitution of Cys81 by serine
prevented APS1 dimerization, whereas mutation of the other cysteines had no
effect. Thus, Cys81 is both necessary and sufficient for dimerization of APS1.
Compared to control plants, the adg1/APS1(C81S) lines had higher levels of ADP
glucose and maltose, and either increased rates of starch synthesis or a starch
excess phenotype, depending on the daylength. APS1 protein levels were five- to
tenfold lower in adg1/APS1(C81S) lines than in control plants. These results
show that redox modulation of AGPase contributes to the diurnal regulation of
starch turnover, with inappropriate regulation of the enzyme having an unexpected
impact on starch breakdown, and that Cys81 may play an important role in the
regulation of AGPase turnover.
PMID- 22098300
TI - Oxygen regulates tissue nitrite metabolism.
AB - AIMS: Once dismissed as an inert byproduct of nitric oxide (NO) auto-oxidation,
nitrite (NO(2)(-)) is now accepted as an endocrine reservoir of NO that elicits
biological responses in major organs. While it is known that tissue nitrite is
derived from NO oxidation and the diet, little is known about how nitrite is
metabolized by tissue, particularly at intermediate oxygen tensions. We
investigated the rates and mechanisms of tissue nitrite metabolism over a range
of oxygen concentrations. RESULTS: We show that the rate of nitrite consumption
differs in each organ. Further, oxygen regulates the rate and products of nitrite
metabolism. In anoxia, nitrite is reduced to NO, with significant formation of
iron-nitrosyl proteins and S-nitrosothiols. This hypoxic nitrite metabolism is
mediated by different nitrite reductases in each tissue. In contrast, low
concentrations (~3.5 MUM) of oxygen increase the rate of nitrite consumption by
shifting nitrite metabolism to oxidative pathways, yielding nitrate. While
cytochrome P(450) and myoglobin contribute in the liver and heart, respectively,
mitochondrial cytochrome c oxidase plays a significant role in nitrite oxidation,
which is inhibited by cyanide. Using cyanide to prevent artifactual nitrite
decay, we measure metabolism of oral and intraperitoneally administered nitrite
in mice. INNOVATION: These data provide insight into the fate of nitrite in
tissue, the enzymes involved in nitrite metabolism, and the role of oxygen in
regulating these processes. CONCLUSION: We demonstrate that even at low
concentrations, oxygen is a potent regulator of the rate and products of tissue
nitrite metabolism.
PMID- 22098302
TI - Statistical perspectives: all together NOT.
PMID- 22098301
TI - Rapid and slow nitric oxide responses during conducted vasodilation in the in
vivo intestine and brain cortex microvasculatures.
AB - Conduction of arteriolar vasodilation is initiated by activation of nitric oxide
(NO) mechanisms, but dependent on conduction of hyperpolarization. Most studies
have used brief (<1 second) activation of the initial vasodilation to evaluate
the fast conduction processes. However, most arteriolar mechanisms involving NO
production persist for minutes. In this study, fast and slower components of
arteriolar conduction in the in vivo rat brain and small intestine were compared
using three-minute stimulation of NO-dependent vasodilation and measurement of
[NO] at the distal sites. Within 10-15 seconds, both vasculatures had a rapidly
conducted vasodilation and dilation at distance had a fast but small [NO]
component. A slower but larger distal vasodilation occurred after 60-90 seconds
in the intestine, but not the brain, and was associated with a substantial
increase in [NO]. This slowly developed dilation appeared to be caused by flow
mediated responses of larger arterioles as smaller arterioles dilated to lower
downstream resistance. These results indicate while the intestinal and cerebral
arterioles have a fast conducted vasodilation system, the intestinal arterioles
also have a slower but larger dilation of major arterioles that is NO related and
dependent on the conduction of vasodilation between small arterioles.
PMID- 22098304
TI - Evaluation of serum boron levels and lipid profile in pregnancies with or without
gestational diabetes.
AB - AIMS: Animal research has demonstrated that boron has effects on triglycerides
and glucose and may act as a metabolic regulator in several enzymatic systems.
Gestational diabetes mellitus (GDM) is a prevalent obstetrical complication and
the lack of data on maternal status of boron in normal/diabetic pregnancies,
prompted us to undertake this study. METHODS: Maternal blood samples were
collected during screening and diagnosis of GDM at 24-28 weeks. Serum lipids
(total cholesterol, high-density cholesterol, low density cholesterol,
triglycerides, lipoprotein-a, apolipoprotein-A-I and apolipoprotein-B) and boron
levels were determined. Fifteen non-GDM and 19 GDM women constituted the study
population. RESULTS: The mean age was 30.1+/-5 years. The median boron levels
were 15.2 MUg/L (0.0152 ppm; range, 8.4-25.4 MUg/L). When GDM and non-GDM cases
were compared for age, gravidity, parity, lipid profiles and serum boron levels,
no significant differences were found (P>0.05). No correlation was found between
lipids and boron levels. CONCLUSION: This preliminary study contributes to the
limited information about the metabolic aspects of boron. Considering the
evidence that boron acts as a regulator of energy substrate utilization, the
effect of dietary boron on glucose metabolism deserves further research.
PMID- 22098305
TI - Vitamin D status during normal pregnancy and postpartum. A longitudinal study in
141 Danish women.
AB - BACKGROUND/OBJECTIVES: To assess vitamin D status during normal pregnancy and in
the postpartum lactation period. SUBJECTS/METHODS: The study comprised 141
healthy, ethnic Danish women with normal pregnancies, who were residents in
Greater Copenhagen. Serum 25-hydroxy-vitamin D2+D3(25-OH-vitamin D) was measured
at 18, 32 and 39 weeks' gestation and 8 weeks postpartum. RESULTS: There was a
significant increase in 25-OH-vitamin D from 18 to 32 weeks' gestation (P=0.0001)
followed by a significant decrease from 32 to 39 weeks (P=0.001) as well as from
39 weeks to 8 weeks postpartum (P<0.0001). At 18, 32, 39 weeks' gestation and 8
weeks postpartum, median 25-OH-vitamin D values were 77, 98, 91, and 73 nmol/L,
respectively. During pregnancy and postpartum, none of the women displayed severe
vitamin D deficiency (values <13 nmol/L). Between 1.4% and 4.3% of the
participants displayed moderate vitamin D deficiency (values 13-24 nmol/L), 16
19% displayed vitamin D insufficiency (values 25-50 nmol/L), 77-84% had values
>50 nmol/L and 0.7-2.8% had values >200 nmol/L. CONCLUSIONS: Low vitamin D status
is frequent among pregnant Danish women, especially in late pregnancy and during
lactation. Median dietary intake of vitamin D in women of reproductive age was
2.4 MUg/day (10-90 percentile range=1.4-5.0). The recommendations for vitamin D
supplementation prior to conception, during pregnancy and lactation should be
reconsidered.
PMID- 22098306
TI - How does the duration of active pushing in labor affect neonatal outcomes?
AB - AIM: To assess the effect of time of active pushing (TAP) on neonatal outcome.
MATERIALS AND METHODS: The study population (n=36,432) was taken from a Swedish
randomized control trial on intrapartum monitoring, a European Union fetal
electrocardiogram trial, and from Molndal Hospital. After validation of acid-base
samples and TAP, 22,812 cases were accepted for analysis. RESULTS: The median
active TAP was 36 min for P0 and 13 min for P>=1 (P<0.001). After adjustments for
parity, epidural, labor induction, birth weight, and gender, pushing for 15-29
min (n=6589) relative to pushing for <15 min (n=7264) increased the OR of a cord
artery pH of <7.00 to 3.20 (95% CI 1.7-6.0), and that of a base deficit in
extracellular fluid of >12 mmol/L to 3.5 (95% CI 1.3-9.0). The group with a cord
artery pH of <7.00 had a longer TAP than the group with pH>=7.00: median (5th
95th percentile), 38 (9-107) min vs. 23 (5-87) min, P<0.001. The probability of a
spontaneous vaginal delivery decreased significantly with every subsequent
increase of 30 min in TAP (P<0.05). CONCLUSION: The risks of severe acidemia,
metabolic acidosis, and deteriorated neonatal outcome gradually increased with
the length of TAP (>15 min), while the probability of a spontaneous vaginal
delivery decreased with the duration of pushing. We suggest active physiological
evaluation of the labor progress together with continuous electronic fetal
monitoring during pushing irrespective of guideline thresholds.
PMID- 22098307
TI - Expression changes of sex hormone binding globulin in GDM placental tissues.
AB - OBJECTIVE: To compare the expression of sex hormone binding globulin (SHBG) in
normal placental tissues with placental tissues from patients with gestational
diabetes mellitus (GDM) and to deduce the mechanism affecting placental SHBG in
GDM. METHODS: We detected SHBG localization and measured SHBG mRNA and protein
using immunohistochemistry, reverse-transcription polymerase chain reaction, and
Western blotting, respectively, in normal and GDM placental tissues. The
distribution of SHBG in placental cells was examined using immune electron
microscopy. RESULTS: Compared to controls, placental tissues from patients in the
GDM group displayed disordered cell surface microvilli that were decreased in
quantity, swollen, and had narrowed and broken gap junctions. Intracellular
abnormalities included expanded rough endoplasmic reticula, swollen mitochondria,
and irregular nuclear morphologies with non-uniform chromatin. SHBG localized
primarily to trophoblast cell membranes and cytoplasm. SHBG was strongly
expressed on the microvilli side and weakly expressed on the basement membrane
with uneven staining. SHBG also was expressed in villous stromal cells and
vascular endothelial cells. Compared to the controls, placental tissues from the
GDM group displayed significantly decreased immunostaining rates for SHBG, as
well as significantly lower levels of SHBG mRNA and protein expression (P<0.05).
CONCLUSION: SHBG was detected in placental trophoblast cells from patients with
GDM, and the synthesis and secretion of SHBG were reduced when trophoblast cells
were irregular. A decrease in SHBG could affect placental function or aggravate
GDM. Our results suggest that placental SHBG plays an important role in the
pathogenesis of GDM.
PMID- 22098308
TI - The impact of hospital mergers on treatment intensity and health outcomes.
AB - OBJECTIVE: To analyze the impact of hospital mergers on treatment intensity and
health outcomes. DATA: Hospital inpatient data from California for 1990 through
2006, encompassing 40 mergers. STUDY DESIGN: I used a geographic-based IV
approach to determine the effect of a zip code's exposure to a merger. The merged
facility's market share represents exposure, instrumented with combined premerge
shares. Additional specifications include Herfindahl Index (HHI), instrumented
with predicted change in HHI. RESULTS: The primary specification results indicate
that merger completion is associated with a 3.7 percent increase in the
utilization of bypass surgery and angioplasty and a 1.7 percent increase in
inpatient mortality above averages in 2000 for the average zip code. Isolating
the competition mechanism mutes the treatment intensity result slightly, but it
more than doubles the merger exposure effect on inpatient mortality to a 3.9
percent increase. The competition mechanism is associated with a sizeable
increase in number of procedures. CONCLUSIONS: Unlike previous studies, this
analysis finds that hospital mergers are associated with increased treatment
intensity and higher inpatient mortality rates among heart disease patients.
Access to additional outcome measures such as 30-day mortality and readmission
rates might shed additional light on whether the relationship between these
outcomes is causal.
PMID- 22098309
TI - Successful management of severe infant bullous pemphigoid with omalizumab.
PMID- 22098310
TI - The extremophile Acidithiobacillus ferrooxidans possesses a c-di-GMP signalling
pathway that could play a significant role during bioleaching of minerals.
AB - AIMS: The primary goal of this study was to characterize the existence of a
functional c-di-GMP pathway in the bioleaching bacterium Acidithiobacillus
ferrooxidans. METHODS AND RESULTS: A bioinformatic search revealed that the
genome sequence of At. ferrooxidans ATCC 23270 codes for several proteins
involved in the c-di-GMP pathway, including diguanylate cyclases (DGC),
phosphodiesterases and PilZ effector proteins. Overexpression in Escherichia coli
demonstrated that four At. ferrooxidans genes code for proteins containing
GGDEF/EAL domains with functional DGC activity. MS/MS analysis allowed the
identification of c-di-GMP in nucleotide preparations obtained from At.
ferrooxidans cells. In addition, c-di-GMP levels in cells grown on the surface of
solid energetic substrates such as sulfur prills or pyrite were higher than those
measured in ferrous iron planktonic cells. CONCLUSIONS: At. ferrooxidans
possesses a functional c-di-GMP pathway that could play a key role in At.
ferrooxidans biofilm formation during bioleaching processes. SIGNIFICANCE AND
IMPACT OF THE STUDY: This is the first global study about the c-di-GMP pathway
in an acidophilic bacterium of great interest for the biomining industry. It
opens a new way to explore the regulation of biofilm formation by biomining micro
organisms during the bioleaching process.
PMID- 22098311
TI - Northward shifts of the distributions of Spanish reptiles in association with
climate change.
AB - It is predicted that climate change will drive extinctions of some reptiles and
that the number of these extinctions will depend on whether reptiles are able to
change their distribution. Whether the latitudinal distribution of reptiles may
change in response to increases in temperature is unknown. We used data on
reptile distributions collected during the 20th century to analyze whether
changes in the distributions of reptiles in Spain are associated with increases
in temperature. We controlled for biases in sampling effort and found a mean,
statistically significant, northward shift of the northern extent of reptile
distributions of about 15.2 km from 1940-1975 to 1991-2005. The southern extent
of the distributions did not change significantly. Thus, our results suggest that
the latitudinal distributions of reptiles may be changing in response to climate
change.
PMID- 22098312
TI - Amelioration of acute graft-versus-host disease by adoptive transfer of ex vivo
expanded human cord blood CD4+CD25+ forkhead box protein 3+ regulatory T cells is
associated with the polarization of Treg/Th17 balance in a mouse model.
AB - BACKGROUND: Human cord blood (CB) is a superior source of regulatory T cells
(Tregs) compared with peripheral blood. Initial studies have shown that CB
derived Tregs can be effectively expanded ex vivo. However, in vitro suppressor
activity of expanded CB-Tregs and their efficacy in the prevention of acute graft
versus-host disease (aGVHD) in vivo are poorly understood. STUDY DESIGN AND
METHODS: In vitro, human CB CD4+CD25+ T cells expanded with anti-CD3/CD28 beads
plus interleukin (IL)-2 and the phenotypes, expression of cytokines, and
suppression of expanded cells were analyzed after two cycles of stimulation. In
vivo, the addition of human CB-Tregs was transferred in the major
histocompatibility complex-mismatched aGVHD mouse model. Survival, body weight,
GVHD scoring, histopathologic specimens, serum cytokines, and Th subsets were
analyzed in CB-Treg-treated mice and untreated controls. RESULTS: After being
expanded ex vivo, human CB-derived Tregs with potent suppressor function could
meet clinical demands. Up to 85% of mice with CB-Tregs treatment survived beyond
Day 63 after bone marrow transplantation; however, all aGVHD mice died within 18
days. In the serum of the CB-Treg-treated mice, the production of transforming
growth factor-beta increased continuously, as opposed to IL-17, which decreased
quickly. Consistent with the changes of cytokines, the percentage of mouse CD4+
forkhead box protein 3+ Tregs increased while that of Th17 cells decreased.
CONCLUSION: Ex vivo expanded human CB-Tregs significantly prevented allogeneic
aGVHD in vivo by modulating various cytokine secretion and polarizing the
Treg/Th17 balance toward Treg, which suggests the potential use of expanded CB
Tregs as a therapeutic approach for GVHD.
PMID- 22098313
TI - Lead-free NaNbO3 nanowires for a high output piezoelectric nanogenerator.
AB - Perovskite ferroelectric nanowires have rarely been used for the conversion of
tiny mechanical vibrations into electricity, in spite of their large
piezoelectricity. Here we present a lead-free NaNbO(3) nanowire-based
piezoelectric device as a high output and cost-effective flexible nanogenerator.
The device consists of a NaNbO(3) nanowire-poly(dimethylsiloxane) (PDMS) polymer
composite and Au/Cr-coated polymer films. High-quality NaNbO(3) nanowires can be
grown by hydrothermal method at low temperature and can be poled by an electric
field at room temperature. The NaNbO(3) nanowire-PDMS polymer composite device
shows an output voltage of 3.2 V and output current of 72 nA (current density of
16 nA/cm(2)) under a compressive strain of 0.23%. These results imply that
NaNbO(3) nanowires should be quite useful for large-scale lead-free piezoelectric
nanogenerator applications.
PMID- 22098314
TI - An audit of anesthetic management and complications of tracheo-esophageal fistula
and esophageal atresia repair.
AB - BACKGROUND: Many different anesthetic techniques have been suggested for the
management of tracheo-oesophageal fistula/oesophageal atresia (TOF/OA) although
the incidence of ventilation difficulty is not well known and it is unclear which
technique is best in managing this. The aim of our audit was to determine the
incidence of ventilation difficulty during repair of TOF/OA. We also recorded the
current practice for anesthesia and analgesia in these children as well as the
incidence of comorbidities and surgical complications. METHODS: We
retrospectively audited cases of TOF/OA repair over a 3-year period in four
hospitals, recording demographics, comorbidities, surgical data, postoperative
complications, and anesthetic technique, including ventilation difficulty and
management strategy. RESULTS: A total of 111 patients were identified with
TOF/OA, and 106 patient notes and 101 anesthetic records were found. 42% of
patients were premature, and 57.5% had significant comorbidities. Death was most
likely in infants with low birth weight and low gestational age at birth and in
those with major cardiac comorbidity. A range of techniques were used for
induction, maintenance, extubation, and pain control. There were ventilation
difficulties recorded at induction in seven patients, and significant
desaturations were recorded in 15 patients intraoperatively. CONCLUSIONS: This
audit adds to the data already published about incidences of complications and
comorbidities associated with TOF/OA repair. Defining anesthetic practice with
regard to ventilation and analgesic strategies is important in comparing the
adequacy and risk of techniques used. Our audit shows that a range of differing
anesthetic techniques are still employed by different anesthetists and
institutions and details some of the techniques being used for managing difficult
ventilation.
PMID- 22098316
TI - In vitro propagation of Candidatus Rickettsia andeanae isolated from Amblyomma
maculatum.
AB - Candidatus Rickettsia andeanae was identified during an investigation of a
febrile outbreak in northwestern Peru (2002). DNA sequencing from two ticks
(Amblyomma maculatum, Ixodes boliviensis) collected during the investigation
revealed a novel Rickettsia agent with similarity to the spotted fever group
rickettsiae. Since then, Candidatus R. andeanae has been detected in A. maculatum
ticks collected in the southeastern and southcentral United States, Argentina,
and Peru. To date, Candidatus R. andeanae has not been successfully cultivated in
the laboratory. We present evidence for the continuous cultivation in three cell
lines of Candidatus R. andeanae isolated from an A. maculatum tick (Portsmouth,
Virginia).
PMID- 22098318
TI - Salicylic acid derivatives: synthesis, features and usage as therapeutic tools.
AB - INTRODUCTION: In the field of medicinal chemistry, there is a growing interest in
the use of small molecules. Although acetyl salicylic acid is well known for
medical applications, little is known about other salicylic acid derivatives, and
there is serious lack of data and information on the effects and biological
evaluation that connect them. AREAS COVERED: This review covers the synthesis and
drug potencies of salicylic acid derivatives. After a brief overview of the
information on salicylic acid and its features, a detailed review of salicylic
acids as drugs and prodrugs, usage as cyclooxygenase inhibitors, properties in
plants, synthesis and recent patents, is developed. EXPERT OPINION: Salicylic
acid research is still an important area and innovations continue to arise, which
offer hope for new therapeutics in related fields. It is anticipated that this
review will guide the direction of long-term drug/nutraceutical safety trials and
stimulate ideas for future research.
PMID- 22098317
TI - Asymmetric dimethylarginine (ADMA) determines the improvement of hepatic
endothelial dysfunction by vitamin E in cirrhotic rats.
AB - BACKGROUND: Hepatic endothelial dysfunction (HED), which is caused by decreased
hepatic nitric oxide (NO) bioavailability and increased lipid peroxidation,
contributes to portal hypertension, which is a characteristic of cirrhosis.
Asymmetric dimethylarginine (ADMA), an endogenous inhibitor of nitric oxide
synthase (NOS), is involved in cirrhosis-related HED and portal hypertension.
AIMS: We evaluated the effect of vitamin E treatment on the lipid peroxidation,
HED and portal hypertension in cirrhotic rats. METHODS: The common bile duct
ligation (BDL)-induced cirrhotic rats were treated orally either with vehicle or
with vitamin E for 1 month immediately after BDL. Systemic and portal
haemodynamics, the magnitude of the increase in portal pressure induced by volume
expansion, HED, oxidative stress, levels of ADMA, various proteins and mRNAs were
then measured. RESULTS: In the vitamin E-treated BDL rats, a decrease in portal
pressure was associated with an attenuation of the increased portal pressure
induced by volume expansion. In isolated and perfused BDL rat livers, the vitamin
E treatment significantly inhibited the (paradoxical) vasoconstriction response
to methoxamine and acetylcholine (HED), and this was abolished by the presence of
NOS. Vitamin E decreased ADMA synthesizing enzyme PRMT1 expression and the level
of thiobarbituric acid-reactive substances (TBARS) in the liver, while increasing
the levels of hepatic ADMA metabolizing enzyme DDAH2, eNOS, phosphor-eNOS, ADMA
level and superoxide dismutase activity. CONCLUSIONS: The administration of
vitamin E suppressed hepatic ADMA and oxidative stress in the cirrhotic liver
circulation, and therefore increases NO bioavailability, which improved HED and
portal hypertension.
PMID- 22098319
TI - Nicotinic acetylcholine receptor ligands, a patent review (2006-2011).
AB - INTRODUCTION: Nicotinic acetylcholine receptors (nAChRs), pentameric ligand-gated
cation channels, are potential targets for the development of therapeutics for a
variety of disease states. AREAS COVERED: This article is reviewing recent
advances in the development of small-molecule ligands for diverse nAChR subtypes
and is a continuation of an earlier review in this journal. EXPERT OPINION: The
development of nAChR ligands with preference for alpha4beta2 or alpha7 subtypes
for the treatment of central nervous system disorders are in the most advanced
developmental stage. In addition, there is a fast growing interest to generate so
called PAMs, positive allosteric modulators, to influence the channels'
functionalities.
PMID- 22098320
TI - Nuclear factor-kappa B inhibitors; a patent review (2006-2010).
AB - INTRODUCTION: Nuclear factor (NF)-kappaB, as transcription factor, is linked to
the expression of various genes and plays an essential role in immune and
inflammatory responses. Abnormal NF-kappaB signaling results in human diseases,
such as immune disorders, inflammation and various cancers. Therefore, regulation
of NF-kappaB may treat or improve the symptoms in human disorders. AREAS COVERED:
This review provides information on recent NF-kappaB inhibitor-related patents
from 2006 to 2010. The patents are explained and categorized by mechanism. The
reader will gain an understanding of NF-kappaB function and the structure and
biological activity of recently developed NF-kappaB inhibitors that may be new
drug candidates. EXPERT OPINION: NF-kappaB plays an essential role in the human
body and thus regulation of NF-kappaB is very important for the treatment of
diseases. Furthermore, patented compounds and peptides are available as lead
compounds in drug development studies.
PMID- 22098322
TI - Hepatobiliary transporter expression and post-operative jaundice in patients
undergoing partial hepatectomy.
AB - BACKGROUND AND AIMS: Post-operative hyperbilirubinaemia in patients undergoing
liver resections is associated with high morbidity and mortality. Apart from
different known factors responsible for the development of post-operative
jaundice, little is known about the role of hepatobiliary transport systems in
the pathogenesis of post-operative jaundice in humans after liver resection.
METHODS: Two liver tissue samples were taken from 14 patients undergoing liver
resection before and after Pringle manoeuvre. Patients were retrospectively
divided into two groups according to post-operative bilirubin serum levels. The
two groups were analysed comparing the results of hepatobiliary transporter [Na
taurocholate cotransporter (NTCP); multidrug resistance gene/phospholipid export
pump(MDR3); bile salt export pump (BSEP); canalicular bile salt export pump
(MRP2)], heat shock protein 70 (HSP70) expression as well as the results of
routinely taken post-operative liver chemistry tests. RESULTS: Patients with low
post-operative bilirubin had lower levels of NTCP, MDR3 and BSEP mRNA compared to
those with high bilirubin after Pringle manoeuvre. HSP70 levels were
significantly higher after ischaemia-reperfusion (IR) injury in both groups
resulting in 4.5-fold median increase. Baseline median mRNA expression of all
four transporters prior to Pringle manoeuvre tended to be lower in the low
bilirubin group whereas expression of HSP70 was higher in the low bilirubin group
compared to the high bilirubin group. DISCUSSION: Higher mRNA levels of HSP70 in
the low bilirubin group could indicate a possible protective effect of high HSP70
levels against IR injury. Although the exact role of hepatobiliary transport
systems in the development of post-operative hyper bilirubinemia is not yet
completely understood, this study provides new insights into the molecular
aspects of post-operative jaundice after liver surgery.
PMID- 22098323
TI - Postural stability and occlusal status among Japanese elderly.
AB - BACKGROUND: There are still no data available on the relationship between
postural stability and occlusal status among the elderly. OBJECTIVES: To examine
relationships between postural stability and occlusal status through a cohort
study among elderly Japanese. METHOD: Oral examination, occlusal status, postural
stability and a questionnaire were conducted and given to 87 community-dwelling
Japanese at enrolment. RESULTS: The average occlusal pressure of the female group
was statistically higher than the male group while average occlusal pressure and
postural stability length were lesser in the group with more remaining teeth.
Postural stability area and number of remaining teeth showed statistically
significant correlations. Postural stability length was lesser in the group with
strong occlusal force. Furthermore, the number of decayed teeth was fewer in the
good hygiene group. CONCLUSIONS: This study identified a close relationship
between occlusal status and postural stability of Japanese older individuals.
Occlusal hypofunction was observed more in those with occlusal problems, and a
decrease in their occlusal functions resulted in postural instability.
PMID- 22098324
TI - The impact of psychotherapy, pharmacotherapy, and their combination on quality of
life in depression.
AB - BACKGROUND: Quality of life (QOL) is known to be negatively affected during the
course of major depressive disorder. Various studies have documented the benefits
of pharmacotherapy or psychotherapy alone on QOL in depression, with few studies
examining combined treatment. This review will examine the evidence for the
impact of each modality, as well as their combination, on QOL in depression.
METHODS: Using the key terms depression, depress*, major depress*, quality of
life, antidepressant*, and psychotherapy, MEDLINE and PsycINFO searches were
conducted to identify treatment-outcome studies that used known QOL measurements
over the past twenty-six years (1984 to 2010). RESULTS: Significant improvements
in depressive symptomatology and QOL measurements were found with
pharmacotherapy, psychotherapy, and their combination, with some studies showing
greater improvement following combined treatment than with either intervention
alone. CONCLUSIONS: Substantial evidence suggests that psychotherapy,
pharmacotherapy, and their combination have favorable effects on QOL in
depression. While some studies have shown that combined therapy is superior than
either of the two forms alone in improving QOL, additional research is needed to
elucidate this effect. QOL measurement is an important dimension of treatment
outcome assessment in patients with depression.
PMID- 22098325
TI - Narcissistic interpersonal problems in clinical practice.
AB - Pathological narcissism is associated with significant interpersonal problems,
which are unlikely to be acknowledged by narcissistic patients as clinical
issues. Although a substantial clinical and theoretical literature deals with
narcissism, a succinct overview of core narcissistic interpersonal problems is
lacking, particularly in terms of their presentation in clinical settings. This
article provides a descriptive overview of the major types of interpersonal
problems associated with pathological narcissism: dominance, vindictiveness, and
intrusiveness. We outline how these problems can manifest in patients' relations
with others and in treatment situations. Clinical vignettes are provided to
highlight the presentation of narcissistic interpersonal dysfunction in various
types of clinical encounters, and to facilitate discussion of treatment
implications.
PMID- 22098326
TI - When the lightning rod leaves home: a family therapy case characterized by
successive generations of familial conflict during a transition into young
adulthood.
PMID- 22098327
TI - The Tunisian Campaign, war neuroses, and the reorientation of American psychiatry
during World War II.
PMID- 22098328
TI - Women and aging.
PMID- 22098330
TI - Coordination numbers of hydrated divalent transition metal ions investigated with
IRPD spectroscopy.
AB - Hydration of the divalent transition metal ions, Mn, Fe, Co, Ni, Cu, and Zn, with
5-8 water molecules attached was investigated using infrared photodissociation
spectroscopy and photodissociation kinetics. At 215 K, spectral intensities in
both the bonded-OH and free-OH stretch regions indicate that the average
coordination number (CN) of Mn(2+), Fe(2+), Co(2+), and Ni(2+) is ~6, and these
CN values are greater than those of Cu(2+) and Zn(2+). Ni has the highest CN,
with no evidence for any population of structures with a water molecule in a
second solvation shell for the hexa-hydrate at temperatures up to 331 K. Mn(2+),
Fe(2+), and Co(2+) have similar CN at low temperature, but spectra of
Mn(2+)(H(2)O)(6) indicate a second population of structures with a water molecule
in a second solvent shell, i.e., a CN < 6, that increases in abundance at higher
temperature (305 K). The propensity for these ions to undergo charge separation
reactions at small cluster size roughly correlates with the ordering of the
hydrolysis constants of these ions in aqueous solution and is consistent with the
ordering of average CN values established from the infrared spectra of these
ions.
PMID- 22098332
TI - Effect of bed head elevation during sleep in symptomatic patients of nocturnal
gastroesophageal reflux.
AB - BACKGROUND AND AIM: Nocturnal gastro-esophageal reflux causes heartburn and sleep
disturbances impairing quality of life. Lifestyle modifications, like bed head
elevation during sleep, are thought to alleviate the symptoms of gastroesophageal
reflux. We tested the hypothesis that bed head elevation might decrease recumbent
acid exposure compared to sleeping in a flat bed. METHODS: Patients of
symptomatic nocturnal reflux and documented recumbent (supine) reflux verified by
esophageal pH test entered the trial. On day 1, baseline pH was measured while
the patient slept on a flat bed. Then patients slept on a bed with the head end
elevated by a 20-cm block for the next 6 consecutive days from day 2 to day 7.
The pH test was repeated on day 2 and day 7. Each patient acted as his own
control. RESULTS: Twenty of 24 (83.3%) patients with mean age of 36 +/- 5.5 years
completed the trial. The mean (+/- SD) supine reflux time %, acid clearance time,
number of refluxes 5 min longer and symptom score on day 1 and day 7 were 15.0 +/
8.4 and 13.7 +/- 7.2; P = 0.001, 3.8 +/- 2.0 and 3.0 +/- 1.6; P = 0.001, 3.3 +/-
2.2 and 1.0 +/- 1.2; P = 0.001, and 2.3 +/- 0.6 and 1.5 +/- 0.6; P = 0.04,
respectively. The sleep disturbances improved in 13 (65%) patients. CONCLUSIONS:
Bed head elevation reduced esophageal acid exposure and acid clearance time in
nocturnal (supine) refluxers and led to some relief from heartburn and sleep
disturbance.
PMID- 22098331
TI - Cortical activity patterns predict robust speech discrimination ability in noise.
AB - The neural mechanisms that support speech discrimination in noisy conditions are
poorly understood. In quiet conditions, spike timing information appears to be
used in the discrimination of speech sounds. In this study, we evaluated the
hypothesis that spike timing is also used to distinguish between speech sounds in
noisy conditions that significantly degrade neural responses to speech sounds. We
tested speech sound discrimination in rats and recorded primary auditory cortex
(A1) responses to speech sounds in background noise of different intensities and
spectral compositions. Our behavioral results indicate that rats, like humans,
are able to accurately discriminate consonant sounds even in the presence of
background noise that is as loud as the speech signal. Our neural recordings
confirm that speech sounds evoke degraded but detectable responses in noise.
Finally, we developed a novel neural classifier that mimics behavioral
discrimination. The classifier discriminates between speech sounds by comparing
the A1 spatiotemporal activity patterns evoked on single trials with the average
spatiotemporal patterns evoked by known sounds. Unlike classifiers in most
previous studies, this classifier is not provided with the stimulus onset time.
Neural activity analyzed with the use of relative spike timing was well
correlated with behavioral speech discrimination in quiet and in noise. Spike
timing information integrated over longer intervals was required to accurately
predict rat behavioral speech discrimination in noisy conditions. The similarity
of neural and behavioral discrimination of speech in noise suggests that humans
and rats may employ similar brain mechanisms to solve this problem.
PMID- 22098333
TI - The procedure of evaluating the practical adhesion strength of new biocompatible
nano- and micro-thin films in accordance with international standards.
AB - The possibilities of using newly developed nano- and micro-thin films in
biomedicine are intensively studied at the present time. Many research
institutions are looking for ways to evaluate mechanical properties of these
films. One of the most important and frequently studied characteristics is
practical adhesion. A very important method for evaluating the practical adhesion
strength is scratch test. Often, however, the research teams use a method based
on the disunity evaluation of adhesion of biocompatible surface layer. This makes
the quantitative comparison of research results impossible. We designed and
tested new evaluation method and procedure based on international standards in
order to eliminate these problems. This article is aimed at showing the new
possibility of using established standards for evaluating adhesion of nano- and
micro-thin biocompatible films and at showing the application of the standards to
evaluate the often studied DLC biocompatible layers. The thickness of the film
was 470 nm. As a substrate a titanium alloy Ti6Al4V was used.
PMID- 22098334
TI - The paradox of triple negative breast cancer: novel approaches to treatment.
AB - Breast cancer that lacks expression of estrogen/progesterone receptors and
overexpression of the human epidermal growth factor receptor2 (HER2), i.e. triple
negative breast cancer (TNBC), is not amenable to current targeted therapies and
carries a poor prognosis. This review discusses the natural history of TNBC and
published literature in the relevant treatment landscape, with a focus on newer
therapies. Compared with other subtypes of breast cancer, TN tumors have higher
response rates to neoadjuvant chemotherapy; however, this advantage is not
clearly translated into the metastatic setting and has not improved these
patients' overall survival. Numerous cytotoxic and targeted strategies have
demonstrated efficacy or are under investigation. Strategies showing promise in
this difficult-to-treat group of patients include cytotoxic therapy with platinum
containing agents, ixabepilone, and novel targeted approaches such as poly(ADP
ribose) polymerase inhibitors.
PMID- 22098335
TI - Unraveling the regulatory network of the MADS box transcription factor RIN in
fruit ripening.
AB - The MADS box transcription factor RIN is a global regulator of fruit ripening.
However, the direct targets modulated by RIN and the mechanisms underlying the
transcriptional regulation remain largely unknown. Here we identified 41 protein
spots representing 35 individual genes as potential targets of RIN by comparative
proteomic analysis of a rin mutant in tomato fruits. Gene expression analysis
showed that the mRNA level of 26 genes correlated well with the protein level.
After examining the promoter regions of the candidate genes, a variable number of
RIN binding sites were found. Five genes (E8, TomloxC, PNAE, PGK and ADH2) were
identified as novel direct targets of RIN by chromatin immunoprecipitation. The
results of a gel mobility shift assay confirmed the direct binding of RIN to the
promoters of these genes. Of the direct target genes, TomloxC and ADH2, which
encode lipoxygenase (LOX) and alcohol dehydrogenase, respectively, are critical
for the production of characteristic tomato aromas derived from LOX pathway.
Further study indicated that RIN also directly regulates the expression of HPL,
which encodes hydroperoxide lyase, another rate-limiting enzyme in the LOX
pathway. Loss of function of RIN causes de-regulation of the LOX pathway, leading
to a specific defect in the generation of aroma compounds derived from this
pathway. These results indicate that RIN modulates aroma formation by direct and
rigorous regulation of expression of genes in the LOX pathway. Taken together,
our findings suggest that the regulatory effect of RIN on fruit ripening is
achieved by targeting specific molecular pathways.
PMID- 22098336
TI - Extracellular calcium-sensing receptor is critical in hypoxic pulmonary
vasoconstriction.
AB - AIMS: The initiation of hypoxic pulmonary vasoconstriction (HPV) involves an
increase in cytosolic calcium ([Ca(2+)](i)) in pulmonary artery (PA) smooth
muscle cells (PASMCs). Both the processes depend on extracellular Ca(2+).
Extracellular Ca(2+) can be sensed by extracellular calcium-sensing receptor
(CaSR). This study aims at determining whether CaSR is pivotal in the initiation
of HPV. RESULTS: Experiments were performed in cultured PASMCs, isolated PAs, and
rats including CaSR knockdown preparations. Both hypoxia and H(2)O(2) equivalent
to the level achieved by hypoxia increased [Ca(2+)](i) in an extracellular Ca(2+)
dependent manner in PASMCs, and this was inhibited by CaSR knockdown or its
negative allosteric modulator, Calhex231. Hypoxia-increased H(2)O(2) generation
was diminished by mitochondria depletion. Mitochondria depletion abolished
hypoxia-induced [Ca(2+)](i) increase (HICI), which was reversed by H(2)O(2)
repletion. CaSR knockdown or Calhex231, however, prevented the reversible effect
of H(2)O(2). HICI was abolished by catalase-polyethylene glycol (PEG-Catalase),
not superoxide dismutase-polyethylene glycol (PEG-SOD) pretreatment, attenuated
by ryanodine receptor3-knockdown or inhibition of store-operated Ca(2+) entry.
HPV in vitro and in vivo was inhibited by Calhex231 and by CaSR knockdown.
INNOVATION: A novel mechanism underlying HPV is revealed by the role of CaSR in
orchestrating reactive oxygen species and [Ca(2+)](i) signaling. CONCLUSIONS: The
activation of mitochondrial H(2)O(2)-sensitized CaSR by extracellular Ca(2+)
mediates HICI in PASMCs and, thus, initiates HPV.
PMID- 22098337
TI - The Clavibacter michiganensis subsp. michiganensis-tomato interactome reveals the
perception of pathogen by the host and suggests mechanisms of infection.
AB - The Gram-positive bacterium Clavibacter michiganensis subsp. michiganensis (Cmm)
causes wilt and canker disease of tomato (Solanum lycopersicum). Mechanisms of
Cmm pathogenicity and tomato response to Cmm infection are not well understood.
To explore the interaction between Cmm and tomato, multidimensional protein
identification technology (MudPIT) and tandem mass spectrometry were used to
analyze in vitro and in planta generated samples. The results show that during
infection Cmm senses the plant environment, transmits signals, induces, and then
secretes multiple hydrolytic enzymes, including serine proteases of the Pat-1,
Ppa, and Sbt familes, the CelA, XysA, and NagA glycosyl hydrolases, and other
cell wall-degrading enzymes. Tomato induction of pathogenesis-related (PR)
proteins, LOX1, and other defense-related proteins during infection indicates
that the plant senses the invading bacterium and mounts a basal defense response,
although partial with some suppressed components including class III peroxidases
and a secreted serine peptidase. The tomato ethylene-synthesizing enzyme ACC
oxidase was induced during infection with the wild-type Cmm but not during
infection with an endophytic Cmm strain, identifying Cmm-triggered host synthesis
of ethylene as an important factor in disease symptom development. The proteomic
data were also used to improve Cmm genome annotation, and thousands of Cmm gene
models were confirmed or expanded.
PMID- 22098338
TI - Detection of Acidovorax valerianellae, the causing agent of bacterial leaf spots
in corn salad [Valerianella locusta (L.) Laterr.], in corn salad seeds.
AB - AIM: The black leaf spot disease on corn salad caused by the bacterium
Acidovorax valerianellae has been observed in Europe for several years and causes
economic losses in corn salad cropping. Contaminated seeds or infested soil are
considered as the major infection sources. The use of healthy seed material is
the only way to prevent disease outbreaks. Therefore, a sensitive diagnostic
method for seed testing should be developed. METHODS AND RESULTS: Using a
triple antibody sandwich ELISA with a high-specific monoclonal antibody, a quick
and reliable detection method for contamination of seed lots with the pathogen
was developed. This method allowed to detect contaminated seed lots as well as
contamination with A. valerianellae in single seeds. Furthermore, the occurrence
and distribution of the pathogen could be shown in symptomatic corn salad leaves
and in naturally infested seeds by transmission electron microscopy and
immunogold labelling for the first time. CONCLUSION: Our results confirm the
seed transmission of this corn salad disease. Pathogen load and distribution vary
between positively tested seed lots. SIGNIFICANCE AND IMPACT OF THE STUDY: With
this method, not only routine testing of seed material to eliminate contaminated
seed lots from production is possible but also the control of sanitation
procedures to reduce contamination.
PMID- 22098339
TI - Botulinum A toxin and brachioradial pruritus.
PMID- 22098340
TI - Xenotropic murine leukemia virus-related virus does not pose a risk to blood
recipient safety.
AB - BACKGROUND: When xenotropic murine leukemia virus-related virus (XMRV) was first
reported in association with chronic fatigue syndrome, it was suggested that it
might offer a risk to blood safety. Thus, the prevalence of the virus among blood
donors and, if present, its transmissibility by transfusion need to be defined.
STUDY DESIGN AND METHODS: Two populations of routine blood donor samples (1435
and 13,399) were obtained for prevalence evaluations; samples from a linked donor
recipient repository were also evaluated. Samples were tested for the presence of
antibodies to XMRV-related recombinant antigens and/or for XMRV RNA, using
validated, high-throughput systems. RESULTS: The presence of antibodies to XMRV
could not be confirmed among a total of 17,249 blood donors or recipients (0%;
95% confidence interval [CI], 0%-0.017%); 1763 tested samples were nonreactive
for XMRV RNA (0%; 95% CI, 0%-0.17%). Evidence of infection was absent from 109
recipients and 830 evaluable blood samples tested after transfusion of a total of
3741 blood components. CONCLUSIONS: XMRV and related murine leukemia virus (MLV)
markers are not present among a large population of blood donors and evidence of
transfusion transmission could not be detected. Thus, these viruses do not
currently pose a threat to blood recipient safety and further actions relating to
XMRV and MLV are not justified.
PMID- 22098341
TI - An integrated approach for predicting fates of reintroductions with demographic
data from multiple populations.
AB - We devised a novel approach to model reintroduced populations whereby demographic
data collected from multiple sites are integrated into a Bayesian hierarchical
model. Integrating data from multiple reintroductions allows more precise
population-growth projections to be made, especially for populations for which
data are sparse, and allows projections that account for random site-to-site
variation to be made before new reintroductions are attempted. We used data from
reintroductions of the North Island Robin (Petroica longipes), an endemic New
Zealand passerine, to 10 sites where non-native mammalian predators are
controlled. A comparison of candidate models that we based on deviance
information criterion showed that rat-tracking rate (an index of rat density) was
a useful predictor of robin fecundity and adult female survival, that landscape
connectivity and a binary measure of whether sites were on a peninsula were
useful predictors of apparent juvenile survival (probably due to differential
dispersal away from reintroduction sites), and that there was unexplained random
variation among sites in all demographic rates. We used the two best supported
models to estimate the finite rate of increase (lambda) for populations at each
of the 10 sites, and for a proposed reintroduction site, under different levels
of rat control. Only three of the reintroduction sites had lambda distributions
completely >1 for either model. At two sites, lambda was expected to be >1 if rat
tracking rates were <5%. At the other five reintroduction sites, lambda was
predicted to be close to 1, and it was unclear whether growth was expected.
Predictions of lambda for the proposed reintroduction site were less precise than
for other sites because distributions incorporated the full range of site-to-site
random variation in vital rates. Our methods can be applied to any species for
which postrelease data on demographic rates are available and potentially can be
extended to model multiple species simultaneously.
PMID- 22098342
TI - Management systems, patient quality improvement, resource availability, and
substance abuse treatment quality.
AB - OBJECTIVE: To examine the relationships among general management systems, patient
focused quality management/continuous process improvement (TQM/CPI) processes,
resource availability, and multiple dimensions of substance use disorder (SUD)
treatment. DATA SOURCES/STUDY SETTING: Data are from a nationally representative
sample of 221 SUD treatment centers through the National Treatment Center Study
(NTCS). STUDY DESIGN: The design was a cross-sectional field study using latent
variable structural equation models. The key variables are management practices,
TQM/continuous quality improvement (CQI) practices, resource availability, and
treatment center performance. DATA COLLECTION: Interviews and questionnaires
provided data from treatment center administrative directors and clinical
directors in 2007-2008. PRINCIPAL FINDINGS: Patient-focused TQM/CQI practices
fully mediated the relationship between internal management practices and
performance. The effects of TQM/CQI on performance are significantly larger for
treatment centers with higher levels of staff per patient. CONCLUSIONS: Internal
management practices may create a setting that supports implementation of
specific patient-focused practices and protocols inherent to TQM/CQI processes.
However, the positive effects of internal management practices on treatment
center performance occur through use of specific patient-focused TQM/CPI
practices and have more impact when greater amounts of supporting resources are
present.
PMID- 22098343
TI - Anesthetic and perioperative risk in the patient with Ataxia-Telangiectasia.
AB - OBJECTIVES/AIM: To report our relatively large experience with perioperative care
for patients with Ataxia-Telangiectasia (A-T) and to identify the nature and
frequency of complications. BACKGROUND: Ataxia-Telangiectasia is a rare autosomal
recessive genetic disorder resulting in progressive multisystem degeneration and
characteristic findings including complex neurodegeneration, immunodeficiency,
increased risk of malignancy, and lung disease. Anecdotal reports have suggested
high perioperative morbidity in patients with A-T, but few data exist.
METHODS/MATERIALS: The Ataxia-Telangiectasia Clinical Center database was cross
referenced with operative records between 1995 and 2009 to identify patients with
perioperative A-T, and medical records were reviewed for preoperative history,
management techniques, and complications. RESULTS: Twenty-one patients with A-T
underwent 34 anesthetics during the study period. The median age was 12.5 years
(range 6-33 years). Common comorbidities included neurologic (100%), pulmonary
(68%), immunologic (50%), oncologic (47%), and gastroenterologic (35%) disorders.
Supplemental oxygen was required on postanesthesia care unit discharge for 24% of
patients with a maximal duration of 24 h. Although mild postoperative hypothermia
was relatively common (44% of anesthetics), there were no major complications, no
unplanned admissions, and no mortality in this series. CONCLUSIONS: Although
limited by its retrospective nature, this is the first series describing
perioperative risk for patients with A-T. Our results indicate that general
anesthesia, airway manipulation, and perioperative mechanical ventilation may be
tolerated with only minor postoperative anesthetic concerns. Perioperative
providers should be aware of the complex multisystem medical concerns that may
arise in these patients.
PMID- 22098344
TI - Innovations in the treatment of cystic fibrosis: outriders for the treatment of
diseases with other genetic defects?
PMID- 22098345
TI - Subsystem quantum mechanics and in silico medicinal and biological chemistry.
PMID- 22098347
TI - Drug discovery in the 21st century.
AB - The Advanced Pharmaceutical Chemistry conference entitled was held on 6-7 June
2011 at the Broad Institute in Cambridge, MA, USA. This 2-day conference focused
on four specific areas: applying biophysical techniques to address current
challenges in medicinal chemistry and drug discovery; treatment interventions for
Alzheimer's disease; drug discovery for orphan, rare and neglected diseases; and
kinase drug discovery for chronic and rare diseases. The meeting attracted 80
attendees, approximately 95% of whom were drawn from the local biopharmaceutical
industry.
PMID- 22098348
TI - Iron chelation: deciphering novel molecular targets for cancer therapy. The tip
of the iceberg of a web of iron-regulated molecules.
AB - The response of cells to cellular iron depletion is complex with multiple
molecules and signaling pathways being involved. Indeed, this is far broader than
just the effect on the classical target, ribonucleotide reductase. It is likely
that a network of interactions exists between the molecular players and that the
relationships currently known only represent the 'tip of an iceberg' in terms of
understanding the response of cells to iron deprivation. This article describes
some of the research being undertaken in this area by the Richardson group at the
University of Sydney, Australia [corrected].
PMID- 22098349
TI - Antiproliferative effect of 1-deamino-8-D-arginine vasopressin analogs on human
breast cancer cells.
AB - BACKGROUND: Desmopressin (dDAVP), a synthetic nonapeptide derivative of arginine
vasopressin, is a safe antidiuretic and hemostatic compound that acts as a
selective agonist for the vasopressin V2 membrane receptor (V2R). It is known
that dDAVP can inhibit progression of residual metastatic cells in preclinical
models. Among other mechanisms, the compound induces an agonist effect on V2R
present in tumor cells. RESULTS/DISCUSSION: Looking for novel analogs with
improved anti-tumor activity, positions 4 and 5, at the conformational peptide
loop, were substituted. The analog [V(4)Q(5)]dDAVP ([4-valine 5-glutamine]
desmopressin) exhibited a significantly higher antiproliferative effect than
dDAVP in cultures of MCF-7, a V2R-expressing human breast carcinoma cell line.
The chiral isomer of this analog and tetrapeptide fragments corresponding to the
loop region were also assessed. CONCLUSION: Preclinical evaluation of the anti
tumor activity of [V(4)Q(5)]dDAVP in animal models is warranted.
PMID- 22098350
TI - Collaboration versus outsourcing: the need to think outside the box.
AB - As has been widely reviewed elsewhere, the pharmaceutical industry is
experiencing an 'innovation deficit' as evidenced by the decline in new chemical
entity output. This decline, compounded by increased costs and regulatory
requirements highlights the need to significantly revise strategic options across
the drug-discovery spectrum. Within such revision(s), much of the focus has been
on outsourcing to reduce, or at least contain, costs, but if the underlying
predominance of 'closed collaborations' is not challenged to allow better use of
combined knowledge and, thus, move towards a more genuine collaborative process
then a 'numbers only' approach will not bring medium-to-long-term survival. There
are many problems to confront in evolving new sustainable strategies, a real need
to think differently exists and should to be cultivated. This article reviews
current outsourcing and collaboration strategies to provide a perspective on how
great knowledge sharing could help revise the drug-discovery process.
PMID- 22098351
TI - Druggability assessment of protein-protein interfaces.
AB - Recent success stories concerning the targeting of protein-protein interactions
(PPIs) have led to an increased focus on this challenging target class for drug
discovery. This article explores various avenues to assess the druggability of
PPIs and describes a druggability decision flow chart, which can be applied to
any PPI target. This flow chart not only covers small molecules but also
peptidomimetics, peptides and conformationally restricted peptides as potential
modalities for targeting PPIs. Additionally, a retrospective analysis of PPI
druggability using various computational tools is summarized. The application of
a systematic approach as presented in this paper will increase confidence that
modulators (e.g., small organic molecules or peptides) can ultimately be
identified for a particular target before a decision is made to commit
significant discovery resources.
PMID- 22098352
TI - Isoform-specific inhibitors of ACATs: recent advances and promising developments.
AB - Acyl-CoA:cholesterol acyltransferase (ACAT) is a promising therapeutic target for
cardiovascular diseases. Although a number of synthetic ACAT inhibitors have been
developed, they have failed to show efficacy in clinical trials. Now, the
presence of two ACAT isoforms with distinct functions, ACAT1 and ACAT2, has been
discovered. Thus, the selectivity of ACAT inhibitors toward the two isoforms is
important for their development as novel anti-atherosclerotic agents. The
selectivity study indicated that fungal pyripyropene A (PPPA) is only an ACAT2
specific inhibitor. Furthermore, PPPA proved orally active in atherogenic mouse
models, indicating it possessed cholesterol-lowering and atheroprotective
activities. Certain PPPA derivatives, semi-synthetically prepared, possessed more
potent and selective in vitro activity than PPPA against ACAT2. This review
covers these studies and describes the future prospects of ACAT2-specific
inhibitors.
PMID- 22098353
TI - Drug-permeability and transporter assays in Caco-2 and MDCK cell lines.
AB - The human colon adenocarcinoma Caco-2 and Madin-Darby canine kidney epithelial
cell lines provide in vitro tools to assess a drug's permeability and transporter
interactions during discovery and development. The cells, when cultured on
semiporous filters, form confluent monolayers that model the intestinal
epithelial barrier for permeability, transporter and drug-interaction assays. The
applications of these assays in pharmaceutical research include qualitative
prediction and ranking of absorption, determining mechanism(s) of permeability,
formulation effects on drug permeability, and the potential for transporter
mediated drug-drug interactions. This review focuses on recent examples of Caco-2
and Madin-Darby canine kidney cells assays for drug permeability including
transfected and knock-down cells, miniaturization and automation, and assay
combinations to better understand and predict intestinal drug absorption.
PMID- 22098354
TI - Dynamics, flexibility and ligand-induced conformational changes in biological
macromolecules: a computational approach.
AB - Biomolecules possess important dynamical properties that enable them to adapt and
alternate their conformation as a response to environmental stimuli. Recent
advancements in computational resources and methodology allow a higher capability
to mimic in vitro conditions and open up the possibility of studying large
systems over longer timescales. Here, we describe commonly used computational
approaches for studying the dynamic properties of proteins. We review a selected
set of simulation studies on ligand-induced changes in the chaperonin GroEL
GroES, a molecular folding machine, maltose-binding protein, a prototypical
member of the periplasmic binding proteins, and the bacterial ribosomal A-site,
focusing on aminoglycoside antibiotic recognition. We also discuss a recent
quantitative reconstruction of the binding process of benzamidine and trypsin.
These studies contribute to the understanding and further development of the
medicinal regulation of large biomolecular systems.
PMID- 22098357
TI - Protein candidates for the serodiagnosis of rickettsioses.
AB - The laboratory diagnosis of rickettsioses is based on serology (reference
method), cell culture and/or molecular tools. However, the main drawback of
serology is its incapacity to provide identification of Rickettsiae at the level
of species. The aim of this study was to propose the versatile protein markers
able to discriminate the patients with murine typhus from those with
Mediterranean spotted fever. We have cloned and expressed 20 proteins of
Rickettsia prowazekii and Rickettsia rickettsii, respectively, using the GATEWAY
approach. These recombinant proteins were screened by ELISA with sera of infected
patients with Rickettsia typhi and Rickettsia conorii, respectively. We
identified several potential markers which allowed infection due to R. typhi to
be discriminated from those due to R. conorii. However, the values of test
operating parameters were not sufficient for its 'routine' clinical use. Our
diagnostic test requires further optimization for be applied as a point-of-care
strategy in the management of patients with suspected cases of rickettsiosis.
PMID- 22098358
TI - Use of lasers in dermatology.
PMID- 22098355
TI - Advances in HIV microbicide development.
AB - There is an urgent need control the spread of the global HIV pandemic. A
microbicide, or topical drug applied to the mucosal environment to block
transmission, is a promising HIV prevention strategy. The development of a safe
and efficacious microbicide requires a thorough understanding of the mucosal
environment and its role in HIV transmission. Knowledge of the key events in
viral infection identifies points at which the virus might be most effectively
targeted by a microbicide. The cervicovaginal and rectal mucosa play an important
role in the innate defense against HIV, and microbicides must not interfere with
these functions. In this review, we discuss the current research on HIV
microbicide development.
PMID- 22098359
TI - Mathematical models of laser-induced tissue thermal damage.
AB - Laser sources are under increasing study for in vivo tumour ablation. Photo
thermal ablation in tissues varies tremendously in governing physical phenomena,
depending on wavelength, owing to wide variation in the optical properties of
tissues, specifically the dominant chromophore and degree and type of scattering.
Once converted into local tissue heating, however, the governing thermodynamic
principles remain the same. Observed irreversible thermal alterations range from
substantial structural disruption due to steam evolution in high temperature
short-term activations to low temperature rise, longer-term initiation of the
complex protein cascades that result in apoptosis and/or necroptosis. The usual
mathematical model in hyperthermia studies, the thermal isoeffect dose, arising
from the relative reaction rate formulation, is not an effective description of
the higher temperature effects because multiple processes occur in parallel. The
Arrhenius formulation based on the theory of absolute reaction rates is much more
useful and descriptive in laser heating since the multiple thermodynamically
independent processes may be studied separately.
PMID- 22098361
TI - Treatment of leg veins with indocyanine green and lasers investigated with
mathematical modelling.
AB - PURPOSE: The treatment of leg veins is routinely performed in clinical practice
using near infrared (NIR) lasers. However, due to low absorption of NIR light in
blood vessels, the clinical results are still suboptimal. The absorption of the
NIR light can be significantly increased with intravenous introduction of an
indocyanine green (ICG) dye. In this work a mathematical model was used to
delineate clinically valid settings for ICG and NIR lasers for the treatment of
leg veins. METHODS: A finite element commercial package was used to simulate
light propagation and absorption and heat generation in a skin-like geometry. The
simulations were conducted for 755 nm and 810 nm light wavelengths, which are
emitted by alexandrite and diode lasers, respectively. Five different laser
settings, six different vessel diameters (0.1-2 mm) and three ICG concentrations
(0, 1 or 2 mg/kg body weight (BW)) were used to calculate the temperature field
spatial distribution as a function of time. RESULTS: The diameter of the blood
vessels affects the temperature distribution during and following laser
irradiation, with and without ICG. Adding 1 or 2 mg/kg bw of ICG will cause
significant temperature increase (15-35 degrees C, p <= 0.001) in blood vessels
with a diameter of 0.1-1 mm and steep temperature gradients in 1.5-2 mm diameter
blood vessels. CONCLUSIONS: Intravenous application of ICG at 1-2 mg/kg may
improve coagulation of blood vessels with 0.1-1 mm diameter irradiated with
either a diode or alexandrite laser. This should be confirmed with clinical
trials in the near future.
PMID- 22098360
TI - Model-based planning and real-time predictive control for laser-induced thermal
therapy.
AB - In this article, the major idea and mathematical aspects of model-based planning
and real-time predictive control for laser-induced thermal therapy (LITT) are
presented. In particular, a computational framework and its major components
developed by authors in recent years are reviewed. The framework provides the
backbone for not only treatment planning but also real-time surgical monitoring
and control with a focus on MR thermometry enabled predictive control and
applications to image-guided LITT, or MRgLITT. Although this computational
framework is designed for LITT in treating prostate cancer, it is further
applicable to other thermal therapies in focal lesions induced by radio-frequency
(RF), microwave and high-intensity-focused ultrasound (HIFU). Moreover, the model
based dynamic closed-loop predictive control algorithms in the framework,
facilitated by the coupling of mathematical modelling and computer simulation
with real-time imaging feedback, has great potential to enable a novel
methodology in thermal medicine. Such technology could dramatically increase
treatment efficacy and reduce morbidity.
PMID- 22098362
TI - MR temperature imaging of nanoshell mediated laser ablation.
AB - Minimally invasive thermal therapy using high-power diode lasers is an active
area of clinical research. Gold nanoshells (AuNS) can be tuned to absorb light in
the range used for laser ablation and may facilitate more conformal tumor heating
and sparing of normal tissue via enhanced tumor specific heating. This concept
was investigated in a xenograft model of prostate cancer (PC-3) using MR
temperature imaging (MRTI) in a 1.5T scanner to characterize the spatiotemporal
temperature distribution resulting from nanoparticle mediated heating. Tumors
with and without intravenously injected AuNS were exposed to an external laser
tuned to 808 nm for 180 sec at 4 W/cm(2) under real-time monitoring with proton
resonance frequency shift based MRTI. Microscopy indicated that these
nanoparticles (140-150 nm) accumulated passively in the tumor and remained close
to the tumor microvasculature. MRTI measured a statistically significant (p <
0.001) increase in maximum temperature in the tumor cortex (mean = 21 +/- 7
degrees C) in +AuNS tumors versus control tumors. Analysis of the temperature
maps helped demonstrate that the overall distribution of temperature within +AuNS
tumors was demonstrably higher versus control, and resulted in damage visible on
histopathology. This research demonstrates that passive uptake of intravenously
injected AuNS in PC-3 xenografts converts the tumor vasculature into a potent
heating source for nanoparticle mediated ablation at power levels which do not
generate significant damage in normal tissue. When used in conjunction with MRTI,
this has implications for development and validation of more conformal delivery
of therapy for interstitial laser ablations.
PMID- 22098363
TI - Heat shock protein expression and temperature distribution in prostate tumours
treated with laser irradiation and nanoshells.
AB - PURPOSE: Sub-lethal temperature elevations in the tumour incurred during laser
cancer therapy can induce heat shock protein (HSP) expression leading to enhanced
tumour survival and recurrence. Nanoshells utilised in combination with laser
therapy can potentially enable selective heat deposition, greater thermal injury,
and diminished HSP expression in the tumour. The study objective was to measure
the distribution of temperature and HSP expression in prostate tumours in
response to laser therapy alone or with nanoshells to determine if these
combinatorial therapies can minimise HSP expression. METHODS: PC3 cells were
inoculated in the backs of CB17-Prkd c SCID/J mice and treated with external
laser irradiation (wavelength of 810 nm, irradiance of 5 W/cm(2), spot size of 5
mm, and heating duration of 3 min) alone or in combination with gold nanoshells
(diameter of 55 nm and outer gold shell thickness of 10 nm) introduced into the
tumour 24 h prior to laser treatment. Magnetic resonance temperature imaging was
used to measure the distribution of temperature elevation in the tumours during
laser treatment. Tumours were sectioned 16 h following laser treatment, stained
for Hsp27 and Hsp70, imaged with a confocal microscope, and HSP expression levels
were quantified as a function of depth in the tumours. RESULTS: Maximum
temperature elevations at the tumour surface were 28 degrees C for laser
treatment only and 50 degrees C for laser heating in combination with gold
nanoshells. Laser therapy alone caused significant induction of HSP expression in
the first few millimeters of the tumour depth, whereas decreasing HSP expression
occurred with greater tumour depth. Tumours treated with laser and nanoshells
experienced substantial temperatures (73-78 degrees C) at the tumour surface and
temperatures greater than 53 degrees C in the first few millimeters which
eliminated HSP expression. CONCLUSION: Inclusion of nanoshells in laser therapy
can provide a mechanism for enhancing heat deposition capable of eliminating HSP
expression within a larger tumour region compared to laser heating alone.
PMID- 22098365
TI - Electronic properties and dissociative photoionization of thiocyanates. Part II.
Valence and shallow-core (sulfur and chlorine 2p) regions of chloromethyl
thiocyanate, CH2ClSCN.
AB - A combination of photoelectron spectroscopy and synchrotron based photoelectron
photoion coincidence (PEPICO) spectra has been applied to investigate the
electronic structure and the dissociative ionization of the CH(2)ClSCN molecule
in the valence region. The PES is assigned with the electronic structure
calculations at the outer-valence Green's function and symmetry adapted
cluster/configuration interaction (SAC-CI) levels offer an explanation of our
experimental results. Upon vacuum ultraviolet irradiation the low-lying radical
cation, located at 10.39 eV is formed. The molecular ion is observed in the time
of-flight mass spectra, together with the CH(2)SCN(+) and CH(2)Cl(+) daughter
ions. The total ion yield spectra have been measured in the S 2p and Cl 2p
regions and several channels have been determined in dissociative photoionization
events for the core-excited species. Thus, by using time-of-flight mass
spectrometry and synchrotron radiation the relative abundances of the ionic
fragments and their kinetic energy release values were obtained from both PEPICO
and photoelectron photoion photoion coincidence spectra. Possible fragmentation
processes are discussed and compared with that found for the related CH(3)SCN
species.
PMID- 22098364
TI - Sensorimotor integration for speech motor learning involves the inferior parietal
cortex.
AB - Sensorimotor integration is important for motor learning. The inferior parietal
lobe, through its connections with the frontal lobe and cerebellum, has been
associated with multisensory integration and sensorimotor adaptation for motor
behaviors other than speech. In the present study, the contribution of the
inferior parietal cortex to speech motor learning was evaluated using repetitive
transcranial magnetic stimulation (rTMS) prior to a speech motor adaptation task.
Subjects' auditory feedback was altered in a manner consistent with the auditory
consequences of an unintended change in tongue position during speech production,
and adaptation performance was used to evaluate sensorimotor plasticity and short
term learning. Prior to the feedback alteration, rTMS or sham stimulation was
applied over the left supramarginal gyrus (SMG). Subjects who underwent the sham
stimulation exhibited a robust adaptive response to the feedback alteration
whereas subjects who underwent rTMS exhibited a diminished adaptive response. The
results suggest that the inferior parietal region, in and around SMG, plays a
role in sensorimotor adaptation for speech. The interconnections of the inferior
parietal cortex with inferior frontal cortex, cerebellum and primary sensory
areas suggest that this region may be an important component in learning and
adapting sensorimotor patterns for speech.
PMID- 22098366
TI - Surgical approach to pulmonary metastases from breast cancer.
AB - One of the main characteristics of breast cancer is its capability to
disseminate. Solitary pulmonary metastases from breast cancer occur rarely
(0.4%). The aim of this study is to check whether or not the outcome following
the surgical treatment of pulmonary metastases in patients with breast cancer is
in accordance with the data in the literature and based on it to identify
prognostic factors. We have reviewed retrospectively data for 33 patients who
underwent 43 curative resections of breast cancer pulmonary metastases between
1997 and 2002 at our department. Potential prognostic factors affecting survival,
namely survival after lung metastasectomy, assessed were disease-free interval
(DFI), the number and location of lung metastases, the diameter in mm of
metastases and the extent of pulmonary resection. The median survival for 33
patients with pulmonary breast cancer metastatic lesions after metastasectomy was
73.2 months. Mean 5-year survival was 54.5%. There was a statistically
significant difference in survival time with better prognosis for patients with
DFI > 36 months (p = 0.0007), complete metastasectomy (p = 0.0153), unilateral
pulmonary metastases (p=0.0267) and for patients who underwent multiple
operations (p = 0.0211). In multivariate analysis there was significant influence
for long-term prognosis for patients with DFI > 36 months (p = 0.0446) and for
complete resection of the metastases (p = 0.0275). Analysis of the survival rates
for patients with solitary pulmonary metastasis, with different size of tumors
and after different types of pulmonary resection showed no significant
differences. It was concluded that resection of lung metastases from breast
cancer may offer a significant survival benefit for selected patients. The
identified prognostic factor for survival after metastasectomy is DFI longer than
36 months and complete resection of the metastases. In our group of patients, DFI
longer than 36 months, unilateral pulmonary metastases and number of operations
significantly influenced survival. Also, the results showed that lung
metastasectomy by conventional surgery is a safe procedure with low perioperative
morbidity and mortality rate.
PMID- 22098367
TI - Recognizing and preventing death from compensated cirrhosis in the community.
PMID- 22098368
TI - Impairment of cellulose- and cellobiose-degrading soil Bacteria by two acidic
herbicides.
AB - Herbicides have the potential to impair the metabolism of soil microorganisms.
The current study addressed the toxic effect of bentazon and 4-chloro-2
methylphenoxyacetic acid on aerobic and anaerobic Bacteria that are involved in
cellulose and cellobiose degradation in an agricultural soil. Aerobic saccharide
degradation was reduced at concentrations of herbicides above environmental
values. Microbial processes (e.g. fermentations, ferric iron reduction) that were
linked to anaerobic cellulose and cellobiose degradation were reduced in the
presence of both herbicides at concentrations above and at those that occur in
crop field soil. 16S rRNA gene transcript numbers of total Bacteria, and selected
bacterial taxa (Clostridia [Group I], Planctomycetaceae, and two uncultivated
taxa of Bacteroidetes) decreased more in anoxic than in oxic cellulose
supplemented soil microcosms in the presence of both herbicides. Collectively,
the results suggested that the metabolism of anaerobic cellulose-degrading
Bacteria was impaired by typical in situ herbicide concentrations, whereas in
situ concentrations did not impair metabolism of aerobic cellulose- and
cellobiose-degrading soil Bacteria.
PMID- 22098369
TI - The influence of exercises under isokinetic conditions on heart rate in males
aged between 40 and 51.
AB - The aim of the study was to estimate changes in heart rate (HR) values in
response to the exercise under isokinetic conditions, with defined protocol using
three different angular velocities and 2 minute break. The subjects were divided
into two groups. The first group contained 18 males aged between 40 and 50, and
the second group contained 20 males who were 20-30 years old. The heart rate was
monitored before, during and after the strength moment measurement under
isokinetic conditions of extensors and flexors of knee joint. The strength moment
was measured with an angular velocity of 180 degrees /s, 120 degrees /s and 60
degrees /s. The number of repetitions of extension and flexion of the knee joint
was 10 for the angular velocity of 180 degrees /s, 8 for the angular velocity of
120 degrees /s and 5 for the angular velocity of 60 degrees /s. The break
between each series of repetitions took 2 minutes. The peak torques for extensors
and flexors of both lower extremities were measured. The peak torque and heart
rate values increased with a decrease in the preset angular velocity and were
lower in the second group. The results were within the norm accepted for
submaximal heart rate index in both age groups.
PMID- 22098370
TI - Rebound peer review: a viable recourse for aggrieved authors?
AB - Scholarly peer review represents the linchpin of academic publishing. Recognized
benefits of the peer review system are manifold. Critics raise several valid
concerns that deserve attention. Several studies show that the current peer
review system lacks robustness and is subject to bias in favor of well
established research groups and "mainstream" theories. Hypotheses that harmonize
with that of the leaders in the field are more likely to be accepted for
publication in prestigious journals than heretic or radical ones. Then, there is
the risk posed by the potentially unscrupulous reviewer. Alternatives to
traditional peer review have been tried but the outcomes fall much short of
expectations. Postreview rejection can be equally frustrating for the author and
editor particularly when they are victims of limitations of the blinded forms of
review. To provide recourse for authors who felt that their work has been
rejected not because of the quality of science but because of the constraints of
the peer review system, ARS introduces a rebound track for peer review (
www.liebertpub.com/ars ). The rebound peer review track is a two-tier process
that represents a hybrid of partially blinded and open peer review systems. The
goal is to make sure that every author has the opportunity to rescue their
rejected work which they feel may have been victimized by the glitches of the
current peer review system. I invite affected authors to make full use of this
experimental mechanism so we know whether the rebound peer review should prevail
as a viable recourse.
PMID- 22098371
TI - Use of contrast echocardiography to detect displacement of the needle during
pericardiocentesis.
AB - Bedside pericardiocentesis is a safe and routinely practiced procedure. We report
a case in which echocardiography-guided pericardiocentesis was performed urgently
for cardiac tamponade. In this case, there was displacement of the
periocardiocentesis needle that was only detected by change in the color of
aspirated fluid and confirmed by the use of contrast echocardiography. We
prescribe the use of routine echocardiographic monitoring during
pericardiocentesis to avoid this type of complication.
PMID- 22098372
TI - NMR metabolomic analysis of dairy cows reveals milk glycerophosphocholine to
phosphocholine ratio as prognostic biomarker for risk of ketosis.
AB - Ketosis is a common metabolic disease in dairy cows. Diagnostic markers for
ketosis such as acetone and beta-hydroxybutyric acid (BHBA) are known, but
disease prediction remains an unsolved challenge. Milk is a steadily available
biofluid and routinely collected on a daily basis. This high availability makes
milk superior to blood or urine samples for diagnostic purposes. In this
contribution, we show that high milk glycerophosphocholine (GPC) levels and high
ratios of GPC to phosphocholine (PC) allow for the reliable selection of healthy
and metabolically stable cows for breeding purposes. Throughout lactation, high
GPC values are connected with a low ketosis incidence. During the first month of
lactation, molar GPC/PC ratios equal or greater than 2.5 indicate a very low risk
for developing ketosis. This threshold was validated for different breeds
(Holstein-Friesian, Brown Swiss, and Simmental Fleckvieh) and for animals in
different lactations, with observed odds ratios between 1.5 and 2.38. In contrast
to acetone and BHBA, these measures are independent of the acute disease status.
A possible explanation for the predictive effect is that GPC and PC are measures
for the ability to break down phospholipids as a fatty acid source to meet the
enhanced energy requirements of early lactation.
PMID- 22098373
TI - Identification of kinase substrates by bimolecular complementation assays.
AB - As a consequence of the transient nature of kinase-substrate interactions, the
detection of kinase targets, although central for understanding many biological
processes, has remained challenging. Here we present a straightforward procedure
that relies on the comparison of wild type with activation-loop mutants in the
kinase of interest by bimolecular complementation assays. As a proof of
functionality, we present the identification and in vivo confirmation of
substrates of the major cell-cycle kinase in Arabidopsis, revealing a direct link
between cell proliferation and the control of the redox state.
PMID- 22098374
TI - Protease-antiprotease imbalance may be linked to potential defects in
profilaggrin proteolysis in atopic dermatitis.
PMID- 22098375
TI - Rapidly progressive B-cell dominated inflammatory neuropathy and littoral cell
angioma of the spleen associated with plasmablastic B-cell lymphoma.
PMID- 22098376
TI - How do I implement a hospital-based blood management program?
PMID- 22098377
TI - Assessing the effectiveness of marine reserves on unsustainably harvested long
lived sessile invertebrates.
AB - Although the rapid recovery of fishes after establishment of a marine reserve is
well known, much less is known about the response of long-lived, sessile, benthic
organisms to establishment of such reserves. Since antiquity, Mediterranean red
coral (Corallium rubrum) has been harvested intensively for use in jewelry, and
its distribution is currently smaller than its historical size throughout the
Mediterranean Sea. To assess whether establishment of marine reserves is
associated with a change in the size and number of red coral colonies that
historically were not harvested sustainably, we analyzed temporal changes in mean
colony diameter and density from 1992 to 2005 within red coral populations at
different study sites in the Medes Islands Marine Reserve (established in 1992)
and in adjacent unprotected areas. Moreover, we compared colony size in the Medes
Islands Marine Reserve, where recreational diving is allowed and poaching has
been observed after reserve establishment, with colony size in three other marine
protected areas (Banyuls, Carry-le-Rouet, and Scandola) with the enforced
prohibition of fishing and diving. At the end of the study, the size of red coral
colonies at all sampling sites in the Medes Islands was significantly smaller
than predicted by growth models and smaller than those in marine protected areas
without fishing and diving. The annual number of recreational dives and the
percent change in the basal diameter of red coral colonies were negatively
correlated, which suggests that abrasion by divers may increase the mortality
rates of the largest red coral colonies within this reserve . Our study is the
first quantitative assessment of a poaching event, which was detected during our
monitoring in 2002, inside the marine reserve. Poaching was associated with a
loss of approximately 60% of the biomass of red coral colonies.
PMID- 22098378
TI - High-level resistance to gentamicin: genetic transfer between Enterococcus
faecalis isolated from food of animal origin and human microbiota.
AB - AIMS: To investigate the in vivo gene transfer of high-level gentamicin
resistance (HLRG) from Enterococcus faecalis isolated from the food of animal
origin to a human isolate, using a mouse model of intestinally colonized human
microbiota. METHODS AND RESULTS: In vitro study: The presence of plasmids
involved in HLRG coding was investigated. After the conjugation experiment, the
recipient strain, Ent. faecalis JH2-SS, acquired a plasmid responsible for HLRG
[minimal inhibitory concentration (MIC) >800 MUg ml(-1) ], in a similar position
to the donor cells. In vivo study: Seven BALB/c mice were dosed with ceftriaxone
(400 mg kg(-1) ) and then inoculated with a dilution of 1/100 of human faeces
(HFc). After 72 h, Ent. faecalis JH2-SS (recipient) was inoculated and then,
after a further 72 h, the animals were given Ent. faecalis CS19, isolated from
the food of animal origin, involved in HLRG (donor). The presence of
transconjugant strains in HFc was subsequently recorded on a daily basis until
the end of the experiment. The clonal relationship between Ent. faecalis and
Escherichia coli in faeces was assessed by RAPD-PCR. Both the in vitro and in
vivo studies showed that the receptor strain acquired a plasmid responsible for
HLRG (MICs >800 MUg ml(-1) ), which migrated with a similar relative mobility
value. Transconjugant strains were detected from 24 h after the donor strain
inoculation and persisted until the end of the experiment. CONCLUSIONS: The in
vivo gene transfer of HLRG from Ent. faecalis strains, isolated from the food of
animal origin, to human microbiota has been demonstrated in a mouse model.
SIGNIFICANCE AND IMPACT OF THE STUDY: The complexity found on the therapeutic
responses of invasive infectious diseases caused by Ent. faecalis facilitates the
assessment of food of animal origin as a resistant pathogen reservoir. In
addition, this study may contribute to the understanding of antimicrobials'
resistance gene transfer between Ent. faecalis strains from food and human GI
tract.
PMID- 22098379
TI - Bottom-up photonic crystal lasers.
AB - The directed growth of III-V nanopillars is used to demonstrate bottom-up
photonic crystal lasers. Simultaneous formation of both the photonic band gap and
active gain region is achieved via catalyst-free selective-area metal-organic
chemical vapor deposition on masked GaAs substrates. The nanopillars implement a
GaAs/InGaAs/GaAs axial double heterostructure for accurate, arbitrary placement
of gain within the cavity and lateral InGaP shells to reduce surface
recombination. The lasers operate single-mode at room temperature with low
threshold peak power density of ~625 W/cm2. Cavity resonance and lasing
wavelength is lithographically defined by controlling pillar pitch and diameter
to vary from 960 to 989 nm. We envision this bottom-up approach to pillar-based
devices as a new platform for photonic systems integration.
PMID- 22098380
TI - Isoflavone composition and antioxidant capacity of modified-lipoxygenase soybeans
grown in Maryland.
AB - Maryland-grown soybean lines modified for low lipoxygenase-1 (LOX-1) content and
a traditional nonmodified cultivar were analyzed for fatty acid composition,
total phenolic content (TPC), isoflavone composition, relative DPPH* scavenging
capacity (RDSC), and hydroxyl radical scavenging capacity (HOSC). Soybean lines
included black, brown, and yellow soybeans. TPC of all soybean lines ranged from
2.84 to 4.74 mg gallic acid equiv (GAE)/g flour. Total isoflavones were between
2.78 and 8.66 MUmol/g flour. RDSC of all lines was between 0.48 and 14.62 MUmol
Trolox equiv (TE)/g flour, and HOSC ranged from 53.57 to 135.52 MUmol TE/g flour.
Some modified-LOX genotypes demonstrated antioxidant capacity and/or isoflavone
content similar to or higher than those of the nonmodified cultivar (P < 0.05).
Black soybeans demonstrated higher TPC and RDSC than most yellow soybean lines,
although they did not have higher isoflavone content. The results demonstrate
that modification of the LOX trait did not necessarily alter the antioxidant
capacity or chemical composition of the experimental soybean lines when compared
with a nonmodified cultivar. These soybean lines may be studied further for
nutraceutical properties and use in functional foods.
PMID- 22098381
TI - Invariant natural killer T cells in chronic obstructive pulmonary disease.
AB - BACKGROUND AND OBJECTIVE: Invariant natural killer T (iNKT) cells may play an
important role in regulating the innate and acquired immune systems in chronic
obstructive pulmonary disease (COPD). However, there is little information
regarding the potential role of iNKT cells in the pathogenesis of COPD. To
investigate whether iNKT cells have an important role in COPD, the frequency of
iNKT cells in peripheral blood of patients with COPD was analysed. METHODS: This
was a comparative study of 28 patients with COPD and 19 age-matched healthy
control subjects. Blood iNKT cells were stained with 6B11 mAb, anti-T cell
receptor Valpha24 mAb, anti-T cell receptor Vbeta11 mAb or alpha
galactosylceramide-loaded CD1d-tetramer, and analysed by flow cytometry. RESULTS:
The frequency of CD4(+) 6B11(+) iNKT, CD4(+) Valpha24(+) iNKT, CD4(+) Vbeta11(+)
iNKT and CD3(+) 6B11(+) iNKT cells was significantly lower in peripheral blood of
patients with COPD than in that of healthy control subjects. The frequency of
CD4(+) 6B11(+) iNKT cells was significantly lower in patients with exacerbations
of COPD compared with those with stable COPD. CONCLUSIONS: The frequency of iNKT
was decreased in peripheral blood of patients with COPD. These results strongly
suggest that iNKT cells may play an important role in the pathogenesis of COPD.
PMID- 22098382
TI - Hepatitis C virus nonstructural protein specific T cells are associated with
virological responses to combination therapy in chronic HCV patients.
AB - BACKGROUND: Virus-specific T-cell responses play a major role in antiviral immune
response. However, the effect of hepatitis C virus (HCV)-specific T-cell
responses on combination therapy still remains controversial. AIMS: To identify
the association between HCV-specific T cell responses and efficiency of
combination therapy. METHODS: To address this issue, a longitudinal analysis of
HCV-specific T-cell responses to overlapping peptides covering HCV-nonstructural
protein (NS) was performed using ELISpot assay in 48 chronically infected HCV-1b
patients during combination treatment with peginterferon-alfa and ribavirin.
RESULTS: Fifty-two percent of chronic HCV patients showed detectable HCV-NS3, NS4
or NS5A specific T-cell responses before therapy, with NS3 appearing to be the
most immunodominant protein followed by NS5A and NS4. In addition, the percentage
of patients responding to peptide stimulation was higher in patients with
sustained virological response (SVR) when compared with those without SVR.
Dynamics of HCV-NS-specific T-cell responses were further analysed; we found that
HCV-specific T-cell responses maintained higher levels at 12 weeks into treatment
in patients with SVR. In contrast, HCV-specific T-cell responses in patients
without SVR declined significantly at 4 weeks into treatment and maintained low
levels at 12 weeks. CONCLUSION: We found that the HCV-specific T-cell responses
were associated with good viral control in patients with combination therapy.
PMID- 22098383
TI - Effect of a static magnetic field on nanodosimetric quantities in a DNA volume.
AB - PURPOSE: With the advent of magnetic resonance imaging (MRI)-guided radiation
therapy it is becoming increasingly important to consider the potential influence
of a magnetic field on ionising radiation. This paper aims to study the effect of
a magnetic field on the track structure of radiation to determine if the
biological effectiveness may be altered. METHODS: Using the Geant4-DNA (GEometry
ANd Tracking 4) Monte Carlo simulation toolkit, nanodosimetric track structure
parameters were calculated for electrons, protons and alpha particles moving in
transverse magnetic fields up to 10 Tesla. Applying the model proposed by Garty
et al., the track structure parameters were used to derive the probability of
producing a double-strand break (DSB). RESULTS: For simulated primary particles
of electrons (200 eV-10 keV), protons (300 keV-30 MeV) and alpha particles (1-9
MeV) the application of a magnetic field was shown to have no significant effect
(within statistical uncertainty limits) on the parameters characterizing
radiation track structure or the probability of producing a DSB. CONCLUSIONS: The
null result found here implies that if the presence of a magnetic field were to
induce a change in the biological effectiveness of radiation, the effect would
likely not be due to a change in the track structure of the radiation.
PMID- 22098385
TI - Bibliometric awareness in nursing scholarship: can we afford to ignore it any
longer?
AB - In contemporary nursing academia, it is unthinkable that topics such as research
methods, evidence-based practice, and the translation of research into practice
would be omitted from nursing curricula at any level. What is surprising,
however, is that despite a broad educational emphasis on "teaching research", the
rising importance of bibliometrics appears to have been largely neglected. If
nursing scholarship and nursing scholars are to prosper in the highly competitive
field of modern health research, a sophisticated understanding of citation-based
methods is clearly required. Armed with this knowledge, one can more successfully
argue why scarce research funding, that might otherwise be channeled elsewhere,
ought to be assigned to nursing researchers. We hereby urge readers to reflect on
the extent to which bibliometrics is covered within formal nursing curricula at
their college or university. It is no longer a case of if a graduate nurse will
need this skill set for their future professional development, but when.
PMID- 22098384
TI - Nursing home work environment and the risk of pressure ulcers and incontinence.
AB - OBJECTIVE: To examine the association between nursing home (NH) work environment
attributes such as teams, consistent assignment and staff cohesion, and the risk
of pressure ulcers and incontinence. DATA SOURCES/SETTING: Minimum dataset for
46,044 residents in 162 facilities in New York State, for June 2006-July 2007,
and survey responses from 7,418 workers in the same facilities. STUDY DESIGN: For
each individual and facility, primary and secondary data were linked. Random
effects logistic models were used to develop/validate outcome measures.
Generalized estimating equation models with robust standard errors and
probability weights were employed to examine the association between outcomes and
work environment attributes. Key independent variables were staff cohesion,
percent staff in daily care teams, and percent staff with consistent assignment.
Other facility factors were also included. PRINCIPAL FINDINGS: Residents in
facilities with worse staff cohesion had significantly greater odds of pressure
ulcers and incontinence, compared with residents in facilities with better
cohesion scores. Residents in facilities with greater penetration of self-managed
teams had lower risk of pressure ulcers, but not of incontinence. Prevalence of
consistent assignment was not significantly associated with the outcome measures.
CONCLUSIONS: NH environments and management practices influence residents' health
outcomes. These findings provide important lessons for administrators and
regulators interested in promoting NH quality improvement.
PMID- 22098386
TI - Energy relaxation dynamics of the hydration complex of hydroxide.
AB - We use polarization-resolved mid-infrared pump-probe spectroscopy to study the
dynamics of the hydration shells of hydroxide ions (OH(-)). We excite the OH
stretch vibrations of H(2)O molecules solvating the OH(-) ion and observe that
this excitation decays with a relaxation time constant T(1) of 200 fs. This
relaxation is followed by a thermalization process that becomes slower with
increasing concentration of OH(-). The prethermalized state is observed to be
anisotropic, showing that the energy of the excited OH stretch vibrations is
dissipated within the hydration complex. The anisotropy of the prethermalized
state decays both as a result of the reorientation of the OH(-) hydration complex
and heat diffusion from the excited complexes to unexcited complexes. Modeling
the anisotropy data at different concentrations allows for an accurate estimate
of the number of water molecules in the hydration shell of OH(-), the
reorientation dynamics of the OH(-) hydration complex, and the molecular-scale
heat diffusivity.
PMID- 22098387
TI - High-dose esomeprazole is required for intraesophageal acid control in
gastroesophageal reflux disease patients with hiatus hernia.
AB - BACKGROUND AND AIM: The aim of this study was to assess whether the efficacy of
proton pump inhibitors (PPI) therapy at a standard dose in esophageal acid
control is affected by the presence of hiatus hernia in Chinese gastroesophageal
reflux disease patients, and whether a higher dose of PPI is required for acid
control. METHODS: Consecutive gastroesophageal reflux disease patients who had
typical reflux symptoms and abnormal baseline 24-h esophageal pH and underwent
upper endoscopy were enrolled to receive esomeprazole at 40 mg once daily for 4
weeks. Patients underwent the dual-channel 24-h pH test at the end of 4-week
therapy. If the 24-h esophageal pH was still abnormal at the end of 4-week
therapy, then esomeprazole at 40 mg twice daily was given for another 4 weeks
after a washout interval of 1 week, and a 24-h pH test was repeated at the end of
the therapy. RESULTS: Overall, 76 patients were included, 13 with hiatus hernia.
Of the 76 patients treated with a 40 mg of esomeprazole daily, esophageal acid
exposure was normalized in 64 (84.2%). Normalization of acid exposure was
achieved by standard PPI therapy in 53.2% (7/13) of patients with hiatus hernia
and 90.5% (57/63) of those without (P = 0.004). A double dose of esomeprazole was
successful in normalizing the esophageal pH in all 12 non-responders to the
standard dose of esomeprazole, including the six patients with hiatus hernia and
six patients without. CONCLUSIONS: The standard-dose of esomeprazole fails to
normalize the esophageal pH in almost 50% of patients with hiatus hernia, in whom
the "double-dose" esomeprazole therapy is required.
PMID- 22098388
TI - Thirteen novel deoxynivalenol-degrading bacteria are classified within two genera
with distinct degradation mechanisms.
AB - The mycotoxin deoxynivalenol (DON), a secondary metabolite produced by species of
the plant pathogen Fusarium, causes serious problems in cereal crop production
because of its toxicity towards humans and livestock. A biological approach for
the degradation of DON using a DON-degrading bacterium (DDB) appears to be
promising, although information about DDBs is limited. We isolated 13 aerobic
DDBs from a variety of environmental samples, including field soils and wheat
leaves. Of these 13 strains, nine belonged to the Gram-positive genus
Nocardioides and other four to the Gram-negative genus Devosia. The degradation
phenotypes of the two Gram types were clearly different; all washed cells of the
13 strains degraded 100 MUg mL(-1) DON to below the detection limit (0.5 MUg mL(
1)), but the conditions inducing the DON-degrading activities differed between
the two Gram types. The HPLC profiles of the DON metabolites were also distinct
between the two genera, although all strains produced 3-epi-deoxynivalenol. The
Gram-positive strains showed DON assimilation in media containing DON as a carbon
source, whereas the Gram-negatives did not. Our results suggest that aerobic DDBs
are distributed within at least two phylogenetically restricted genera,
suggesting independent evolution of the DON-degradation mechanisms.
PMID- 22098389
TI - The addition of internists to a breast health program.
AB - With the increases in complexity of care for breast health concerns, there is a
growing need for efficient and effective clinical evaluation, especially for
vulnerable populations at risk for poor outcomes. The Breast Health Center at
Boston Medical Center is a multidisciplinary program, with internists providing
care alongside breast surgeons, radiologists, and patient navigators. Using a
triage system previously shown to have high provider and patient satisfaction,
and the ability to provide timely care, patients are assigned to either a breast
surgeon or internist. From 2007 to 2009, internists cared for 2,408 women,
representing half of all referrals. Women served were diverse in terms of race
(33% black, 30% Hispanic, 5% Asian), language (34% require language interpreter),
and insurance status (51% had no insurance or public insurance). Most presented
with an abnormal screen (breast examination 54% or imaging 4%) while the
remainder were seen for symptoms such as pain (26%), non-bloody nipple discharge
(4%), or risk assessment (7%). A majority of final diagnoses were made through
clinical evaluation alone (n = 1,760, 73%), without the need for additional
diagnostic imaging or tissue sampling; 9% (n = 214) received a benign diagnosis
with the aid of breast imaging; 19% (n = 463) required tissue sampling. Only 4%
went on to see a breast surgeon. Internists diagnosed 15 incident cancers with a
median time to diagnosis of 19 days. Patient and provider satisfaction was high.
These data suggest that a group of appropriately trained internists can provide
quality breast care to a vulnerable population in a multidisciplinary setting.
Replication of this model requires the availability of more clinical training
programs for non-surgical providers.
PMID- 22098390
TI - Evaluation of clinical specimens for Rickettsia, Bartonella, Borrelia, Coxiella,
Anaplasma, Franciscella and Diplorickettsia positivity using serological and
molecular biology methods.
AB - We monitored clinical samples from patients of different age groups from selected
regions in Slovakia. Overall seroprevalence evaluated by immunofluorescence (IFA)
using nine Bartonella, two Borrelia, six rickettsial (spotted fever and typhus
group), two Coxiella, and one human granulocytic ehrlichiosis Anaplasma,
Franciscella tularensis and Diplorickettsia massiliensis antigens, in rural and
city populations of Slovak Republic, was found to be 32% positive for spotted
fever group rickettsiae. Only five (10%) of the rickettsia-positive cases
evaluated by IFA were confirmed by polymerase chain reaction. Rickettsia
helvetica, Rickettsia slovaca, and Rickettsia raoultii infection appear to be
prevalent in Slovakia. Furthermore, Coxiella burnetii, Borrelia and, for the
first time, Bartonella elisabethae were confirmed in Slovakia.
PMID- 22098392
TI - Cerebellopontine angle metastasis of a parotid mucoepidermoid carcinoma arising
from perineural invasion along the facial nerve.
AB - A 72-year-old male was referred with left sided hearing loss, tinnitus and
disequilibrium with radiological appearances suggestive of an intracanalicular
left vestibular schwannoma. The patient then developed left sided trigeminal
nerve sensory loss over the next 9 months with an enlarging parotid swelling. The
eventual diagnosis was a destructive lesion in the left cerebellopontine angle
(CPA) arising from metastatic perineural invasion along the facial nerve by a
parotid mucoepidermoid carcinoma. Surgical resection and targeted beam
radiotherapy achieved a survival period of 9 months.
PMID- 22098393
TI - Comparison of CT perfusion parameters and microvessel density in intracranial
hemangiopericytomas with peritumoral edema.
AB - PURPOSE: Intracranial hemangiopericytomas (HPCs) are rare, and they have a
tendency for local recurrence and metastases. The purpose of this study was to
evaluate the relationship between CT perfusion (CTP) parameters and microvessel
density (MVD) of HPCs and compare CTP parameters in parenchyma and peritumoral
edema of HPCs. MATERIALS AND METHODS: The study was approved by the ethics
committee, and written informed consent was obtained. Ten patients with HPCs and
peritumoral edema, confirmed by pathological results, received 64-slice CT
perfusion imaging before operation. To evaluate vascular attenuation of tumoral
parenchyma, we immunostained the specimen sections for CD-34, measured the
integrated optical density of all the positive stained CD-34 cells in the
microscopic field, and calculated its ratio to total area of field as MVD.
Perfusion analysis was calculated using the Patlak method. Using a 1-cm distance
from the outer enhancing tumor margin as a boundary, the peritumoral edema was
divided into an immediate and a distant part. The quantitative CTP parameters,
including cerebral blood volume (CBV), permeability-surface area product (PS) of
parenchyma, and immediate and distant peritumoral edemas, were compared. CBV and
PS in parenchyma and immediate and distant peritumoral edemas of HPCs were also
compared to their respective contralateral normal white matter. The correlations
between MVD, CBV, and PS of tumoral parenchyma were analyzed. RESULTS: Positive
correlations existed between CBV and MVD, PS and MVD (P < 0.05) respectively in
the 10 patients. Furthermore, the values of CBV and PS in parenchyma of HPCs were
significantly higher than those of the contralateral normal white matter and
peritumoral edema (P < 0.05). The value of CBV in peritumoral edema of HPCs were
lower than that of contralateral normal white matter (P < 0.05), while the value
of PS in immediate and distant peritumoral edemas of HPCs were not significantly
difference with that of contralateral normal white matter (P > 0.05). Finally,
the values of CBV and PS did not show a significant difference between immediate
and distant peritumoral edemas. CONCLUSIONS: CT perfusion imaging, especially
determination of maximal CBV and corresponding PS values in the parenchyma, may
be a useful and non-invasive technique for the preoperative evaluation of
hemodynamic features of HPCs with peritumoral edema. CBV of peritumoral edema
indicate that HPCs have a possibility of infiltration, this need further
radiological-pathological research.
PMID- 22098394
TI - Successful treatment of cutaneous venous malformations in a patient with blue
rubber bleb naevus syndrome by Nd:YAG laser.
PMID- 22098391
TI - The integrity of cholinergic basal forebrain neurons depends on expression of
Nkx2-1.
AB - The transcription factor Nkx2-1 belongs to the homeobox-encoding family of
proteins that have essential functions in prenatal brain development. Nkx2-1 is
required for the specification of cortical interneurons and several neuronal
subtypes of the ventral forebrain. Moreover, this transcription factor is
involved in migratory processes by regulating the expression of guidance
molecules. Interestingly, Nkx2-1 expression was recently detected in the mouse
brain at postnatal stages. Using two transgenic mouse lines that allow prenatal
or postnatal cell type-specific deletion of Nkx2-1, we show that continuous
expression of the transcription factor is essential for the maturation and
maintenance of cholinergic basal forebrain neurons in mice. Notably, prenatal
deletion of Nkx2-1 in GAD67-expressing neurons leads to a nearly complete loss of
cholinergic neurons and parvalbumin-containing GABAergic neurons in the basal
forebrain. We also show that postnatal mutation of Nkx2-1 in choline
acetyltransferase-expressing cells causes a striking reduction in their number.
These degenerative changes are accompanied by partial denervation of their target
structures and results in a discrete impairment of spatial memory.
PMID- 22098395
TI - The interaction between seaweed farming as an alternative occupation and fisher
numbers in the central Philippines.
AB - Alternative occupations are frequently promoted as a means to reduce the number
of people exploiting declining fisheries. However, there is little evidence that
alternative occupations reduce fisher numbers. Seaweed farming is frequently
promoted as a lucrative alternative occupation for artisanal fishers in Southeast
Asia. We examined how the introduction of seaweed farming has affected village
level changes in the number of fishers on Danajon Bank, central Philippines,
where unsustainable fishing has led to declining fishery yields. To determine how
fisher numbers had changed since seaweed farming started, we interviewed the
heads of household from 300 households in 10 villages to examine their
perceptions of how fisher numbers had changed in their village and the reasons
they associated with these changes. We then asked key informants (people with
detailed knowledge of village members) to estimate fisher numbers in these
villages before seaweed farming began and at the time of the survey. We compared
the results of how fisher numbers had changed in each village with the wealth,
education, seaweed farm sizes, and other attributes of households in these
villages, which we collected through interviews, and with village-level factors
such as distance to markets. We also asked people why they either continued to
engage in or ceased fishing. In four villages, respondents thought seaweed
farming and low fish catches had reduced fisher numbers, at least temporarily. In
one of these villages, there was a recent return to fishing due to declines in
the price of seaweed and increased theft of seaweed. In another four villages,
fisher numbers increased as human population increased, despite the widespread
uptake of seaweed farming. Seaweed farming failed for technical reasons in two
other villages. Our results suggest seaweed farming has reduced fisher numbers in
some villages, a result that may be correlated with socioeconomic status, but the
heterogeneity of outcomes is consistent with suggestions that alternative
occupations are not a substitute for more direct forms of resource management.
PMID- 22098397
TI - An investigation of strong sodium retention mechanisms in nanopore environments
using nuclear magnetic resonance spectroscopy.
AB - Recent experimental research into the adsorption of various cations on zeolite
minerals has shown that nanopore channels of approximately 0.5 nm or less can
create an effect whereby the adsorption of ions, especially those that are weakly
hydrated, can be significantly enhanced. This enhanced adsorption occurs due to
the removal of hydrating water molecules which in turn is caused by the nanopore
channel's small size. A new adsorption model, called the nanopore inner-sphere
enhancement (NISE) effect, has been proposed that explains this unusual
adsorption mechanism. To further validate this model a series of nuclear magnetic
resonance (NMR) spectroscopy studies is presented here. NMR spectra were gathered
for Na adsorbed on three zeolite minerals of similar chemical composition but
differing nanoporosities: zeolite Y with a limiting dimension of 0.76 nm, ZSM-5
with a limiting dimension of 0.51 nm, and mordenite with a limiting dimension of
0.26 nm. The NMR experiments validated the predictions of the NISE model whereby
Na adsorbed via outer-sphere on zeolite Y, inner-sphere on ZSM-5, and a
combination of both mechanisms on mordenite. The strong Na adsorption observed in
these nanoporous minerals conflicts with sodium's general designation as a weak
electrolyte.
PMID- 22098396
TI - Analysis of 120 pediatric patients with nonmalignant disorders transplanted using
unrelated plasma-depleted or -reduced cord blood.
AB - BACKGROUND: Unrelated cord blood (CB) is an important stem cell source for
unrelated hematopoietic cell transplantation (HCT) of patients with nonmalignant
disorders. Processing methods to prepare red blood cell-reduced CB units incur
significant nucleated cell loss. In contrast, plasma depletion or reduction (PDR)
processing of CB units entails the removal of only a portion of the plasma with
minimal nucleated cell loss. However, there are relatively limited data regarding
outcomes of CB transplants using units processed by PDR. STUDY DESIGN AND
METHODS: A Center for International Blood and Marrow Transplant Research (CIBMTR)
audited analysis was performed on 120 pediatric patients with nonmalignant
disorders transplanted between November 2001 and January 2008 at 29 US and 17
international centers using PDR CB units from two CB banks. RESULTS: Transplant
characteristics were as follows: median age, 3.5 years (range, 0.1-14 years);
median patient weight, 15 kg (range, 4-61 kg); 58% male; HLA matches
(intermediate-resolution HLA-A and HLA-B and high-resolution HLA-DRB1) of the
units used in these patients six of six in 26, five of six in 48, four of six in
47, and three of six or two of six in 6; median prefreeze total nucleated cell
dose, 10.5*10(7)/kg; median prefreeze CD34+ dose, 3.7*10(5)/kg; and
nonmyeloablative regimen in 24%. The median times to myeloid and platelet
engraftment were 21 and 49 days, respectively. The cumulative incidence of
reported Grade II to IV acute graft-versus-host disease (aGVHD) was 38+/-5%, and
19+/-4% had Grade III to IV aGVHD. The Kaplan-Meier estimates of 3-year
transplant-related mortality, overall survival, and disease-free survival were
20+/-4, 79+/-4, and 70+/-6%, respectively. CONCLUSION: These data demonstrate the
effectiveness of PDR CB units for HCT.
PMID- 22098398
TI - Should cirrhosis change our attitude towards treating non-hepatic cancer?
AB - Cirrhosis is a major cause of morbidity and mortality and is the end stage of any
chronic liver disease. Cancer, a leading cause of death worldwide, is a growing
global health issue. There are limited data in the literature on the incidence,
prevalence and management of non-hepatic cancers (NHC) in cirrhotic patients. The
aim of this brief review was to underline the main concerns, pitfalls and
warnings regarding practice for these patients. Survival of patients with
compensated cirrhosis is significantly longer than that of decompensated
cirrhosis and patients with NHC and in Child-Pugh class C should not be
candidates for cytotoxic chemotherapy. It is important before starting cytotoxic
chemotherapy to assess the aetiology and stage of liver disease and to screen
these patients for portal hypertension and fluid retention. During cytotoxic
chemotherapy, the effectiveness of cancer treatment, as well the appearance of
early signs of hepatic decompensation, must be thoroughly monitored. Future phase
3 trial designs in oncology should include a share of patients with compensated
cirrhosis to obtain specific information in this setting. Identification of tests
able to measure the global degree of hepatic impairment caused by cirrhosis could
help in the management of this particular clinical situation.
PMID- 22098399
TI - Role of left ventricular dyssynchrony in predicting remodeling after ST elevation
myocardial infarction.
AB - BACKGROUND: Intraventricular dyssynchrony is associated with worsening systolic
function, adverse remodeling, and clinical events. The aim of this study is to
investigate whether intraventricular dyssynchrony assessed by tissue Doppler
imaging (TDI) can predict left ventricular (LV) remodeling after first ST segment
elevation myocardial infarction (STEMI) treated successfully with primary
percutaneous coronary intervention (pPCI). METHODS: Fifty-two consecutive
patients who presented with first acute STEMI were included in the study. All
patients underwent successful pPCI. Standard echocardiography was performed
within 48 hours of admission. LV dyssynchrony was assessed by color-coded TDI.
Dyssynchrony (Ts-diff) was calculated by maximal temporal difference between time
to peak systolic velocities (Ts) of six basal segments. Echocardiographic
examination was repeated after 6 months to reassess LV volumes. LV remodeling was
defined as >15% increase in LV end-systolic volume index (LVESVI) after 6 months.
RESULTS: Eleven patients (23%) developed LV remodeling. Baseline dyssynchrony was
found to be correlated with percent change in LVESVI and LV end-diastolic volume
index (LVEDVI) after 6 months. Ts-diff, creatine kinase-MB and mitral inflow E
wave deceleration time (DT) were the independent predictors of remodeling after
STEMI in multivariate logistic regression analysis. Receiver operating
characteristic curve analysis showed that Ts-diff >56 msec had 72.7% sensitivity
and 83.8% specificity for predicting remodeling. CONCLUSIONS: LV dyssynchrony is
a strong predictor of LV remodeling after acute myocardial infarction (AMI). It
could be useful in risk stratification of patients after AMI.
PMID- 22098400
TI - Evaluation of dynamic formation of cervical spine column based on functional
radiological studies in patients after cervical spine injury.
AB - The purpose of this study was to evaluate cervical spine function, based on our
own functional method of roentgenometric analysis in patients who suffered from
cervical spine sprain injury. Study involved 72 patients who suffered from
cervical spine whiplash injury. Conventional plain radiographs in all patients
included three lateral views: maximum flexion, neutral (resting) and maximum
extension. All views allowed roentgenometric evaluation of ligament instability
of the lower cervical spine C5-C7 according to the White and Panjabi criteria.
Furthermore, based on literature analysis and their own clinical observations,
the authors proposed new classification of dynamic formation of cervical spine
column. The dynamic formation of cervical column is evaluated based on
pathomechanical chain of being between normal and unstable. Authors' own
evaluation system in flexion views can be useful in diagnosis and treatment of
this type of injury.
PMID- 22098401
TI - Complementary therapies in rehabilitation: stroke patients' narratives. Part 2.
AB - AIMS AND OBJECTIVES: To document the narratives of nursing staff and patients in
a Thailand Rehabilitation Centre where complementary therapies are used and to
discuss perceived progress of these stroke patients. Specific complementary
therapies used at this Rehabilitation Centre include Thai massage and herbal
therapies. BACKGROUND: Whilst there has been a small amount of quantitative
research on stroke patients and complementary therapies there has been no
qualitative research on the experience of stroke patients and the use of
complementary therapies. DESIGN: Qualitative pilot study using narrative inquiry
and discourse analysis framed by poststructural theory. METHOD: Six stroke
patients were interviewed about their involvement in complementary therapy
practice and treatments and their experiences of these therapies in
rehabilitation. RESULTS: This study represents a reflective mining of patients'
stories and captures the main theme of changes in stroke patients' embodiment and
a main discourse of attending to and enabling progress. Throughout the storylines
there are many other discourses such as hope, desire, helplessness, despair,
fear, motivation, gratefulness, gladness and fragility. CONCLUSION: Threaded
throughout the stroke patients' stories of their rehabilitation there is a
layering of discourses involving tensions and a longing to overcome their
disability. There are twists and detours in their stories that show the
complexity of the illness experience. Progress is not straightforward with a
quick recovery. Many contexts or variables influence progress such as spatiality,
temporality and people. RELEVANCE TO CLINICAL PRACTICE: What is offered here is
the reality of the rehabilitation process for stroke patients. Through the use of
narrative inquiry the contexts of people, spatiality (environment) and
temporality (time) are an important part of rehabilitation process.
PMID- 22098403
TI - The absolute monocyte count is associated with overall survival in patients newly
diagnosed with follicular lymphoma.
AB - Follicular lymphoma is characterized by a highly variable clinical course ranging
from early transformation and disease-related mortality to prolonged periods of
disease stability or even spontaneous remissions. This clinical heterogeneity is
likely explained by differences in the tumor microenvironment, including variable
infiltration by monocyte-derived cells. Therefore, we examined the absolute
monocyte count obtained from a standard complete blood count with differential at
the time of diagnosis as a prognostic factor in a cohort of patients with
follicular lymphoma (n = 355) treated at a single institution between 1998 and
2007. We found that the absolute monocyte count at diagnosis is associated with
overall survival, independent of the Follicular Lymphoma International Prognostic
Index (FLIPI). Furthermore, the absolute monocyte count improved the ability to
identify high-risk patients when used in conjunction with the FLIPI. These
results further support the central role of non-neoplastic myeloid-lineage cells
in follicular lymphoma biology.
PMID- 22098404
TI - Very poor outcome of leukemic transformation in myelofibrosis: a single center
experience with 13 patients.
PMID- 22098405
TI - Valproic acid combined with cytosine arabinoside in elderly patients with acute
myeloid leukemia has in vitro but limited clinical activity.
AB - Elderly patients with acute myeloid leukemia (AML) have a poor prognosis. The
authors examined the in vitro and clinical activity of the histone deacetylase
inhibitor valproic acid (VA) combined with cytosine arabinoside (AraC) in elderly
patients with AML unsuited to intensive therapy. For the in vitro studies,
primary AML cells from 11 patients were treated with AraC and VA and analyzed for
apoptosis, cytostatic effects, differentiation and acetyl histone H3 induction.
VA (alone and with AraC) enhanced apoptosis and induced acetyl histone H3. VA
inhibited cell proliferation. For the clinical trial, 15 patients were treated
with VA and subcutaneous AraC and assessed for toxicity and response. No complete
or partial remissions were achieved. In conclusion, VA has in vitro activity
against AML and has additional activity with AraC. However, in this study, this
combination demonstrated limited clinical activity in elderly patients with AML.
PMID- 22098406
TI - High rates of surveillance imaging for treated diffuse large B-cell lymphoma:
findings from a large national database.
AB - We aimed to characterize surveillance imaging and circumstances of relapse for
patients with diffuse large B-cell lymphoma (DLBCL) in the National Comprehensive
Cancer Network Non-Hodgkin's Lymphoma Outcomes Database, a prospective cohort
study collecting clinical and outcome data at seven comprehensive cancer centers.
Patients presenting with newly diagnosed DLBCL in remission >=3 months after
initial therapy and who had accrued 2 years of follow-up were eligible for
analysis (n = 625). The median number of imaging studies was 2.5/year
(institutional range 0.5-3.5, p < 0.0001); 48.4% received only dedicated computed
tomography (CT) scans, 14.6% received only positron emission tomography (PET)
inclusive modalities, 32.8% received a combination and 4.2% received no imaging.
Among all eligible patients, 50 (8.0%) experienced relapse, and approximately one
quarter of subclinical relapses were detected through routine imaging. Our
results suggest that despite limited data regarding its effect on outcomes,
surveillance imaging is prevalent in DLBCL, and a majority of patients receive
PET scans at some point during follow-up.
PMID- 22098409
TI - New relationships connecting the dipole polarizability, radius, and second
ionization potential for atoms.
AB - The atomic dipole polarizability alpha of the 101 elements from He to No is
related to the second ionization potential I2 and the Waber-Cromer radius r(WC).
Our recommended model is the function alpha = P1.I2-4 + P2.r(WC)(3) I2(y). With
the parameters P1 = 2.26, P2 = 3.912, and y = 0.439, it reproduces the
polarizabilities of all 101 elements with a mean absolute deviation of 7.5 au.
PMID- 22098408
TI - Hepatic gene transfer in neonatal mice by adeno-associated virus serotype 8
vector.
AB - For genetic diseases that manifest at a young age with irreversible consequences,
early treatment is critical and essential. Neonatal gene therapy has the
advantages of achieving therapeutic effects before disease manifestation, a low
vector requirement and high vector-to-cell ratio, and a relatively immature
immune system. Therapeutic effects or long-term rescue of neonatal lethality have
been demonstrated in several animal models. However, vigorous cell proliferation
in the newborn stage is a significant challenge for nonintegrating vectors, such
as adeno-associated viral (AAV) vector. Slightly delaying the injection age, and
readministration at a later time, are two of the alternative strategies to solve
this problem. In this study, we demonstrated robust and efficient hepatic gene
transfer by self-complementary AAV8 vector in neonatal mice. However,
transduction quickly decreased over a few weeks because of vector dilution caused
by fast proliferation. Delaying the injection age improved sustained expression,
although it also increased neutralizing antibody (NAb) responses to AAV capsid.
This approach can be used to treat genetic diseases with slow progression. For
genetic diseases with early onset and severe consequences, early treatment is
essential. A second injection of vector of a different serotype at a later time
may overcome preexisting NAb and achieve sustained therapeutic effects.
PMID- 22098410
TI - Development of a Chip/Chip/SRM platform using digital chip isoelectric focusing
and LC-Chip mass spectrometry for enrichment and quantitation of low abundance
protein biomarkers in human plasma.
AB - Protein biomarkers are critical for diagnosis, prognosis, and treatment of
disease. The transition from protein biomarker discovery to verification can be a
rate limiting step in clinical development of new diagnostics. Liquid
chromatography-selected reaction monitoring mass spectrometry (LC-SRM MS) is
becoming an important tool for biomarker verification studies in highly complex
biological samples. Analyte enrichment or sample fractionation is often necessary
to reduce sample complexity and improve sensitivity of SRM for quantitation of
clinically relevant biomarker candidates present at the low ng/mL range in blood.
In this paper, we describe an alternative method for sample preparation for LC
SRM MS, which does not rely on availability of antibodies. This new platform is
based on selective enrichment of proteotypic peptides from complex biological
peptide mixtures via isoelectric focusing (IEF) on a digital ProteomeChip (dPC)
for SRM quantitation using a triple quadrupole (QQQ) instrument with an LC-Chip
(Chip/Chip/SRM). To demonstrate the value of this approach, the optimization of
the Chip/Chip/SRM platform was performed using prostate specific antigen (PSA)
added to female plasma as a model system. The combination of immunodepletion of
albumin and IgG with peptide fractionation on the dPC, followed by SRM analysis,
resulted in a limit of quantitation of PSA added to female plasma at the level of
~1-2.5 ng/mL with a CV of ~13%. The optimized platform was applied to measure
levels of PSA in plasma of a small cohort of male patients with prostate cancer
(PCa) and healthy matched controls with concentrations ranging from 1.5 to 25
ng/mL. A good correlation (r(2) = 0.9459) was observed between standard clinical
ELISA tests and the SRM-based assay. Our data demonstrate that the combination of
IEF on the dPC and SRM (Chip/Chip/SRM) can be successfully applied for
verification of low abundance protein biomarkers in complex samples.
PMID- 22098411
TI - Potent hepatitis B surface antigen response to treatment of hepatitis-B-e-antigen
positive chronic hepatitis B with alpha-interferon plus a nucleos(t)ide analog.
AB - BACKGROUND AND AIM: Hepatitis B surface antigen (HBsAg) clearance is the closest
cure outcome in hepatitis B. The goal of this study was to investigate clinical
features in chronic hepatitis B patients achieving seroconversion of HBsAg after
treatment with alpha-interferon (IFN-alpha) and a nucleos(t)ide analog. METHODS:
This retrospective study enrolled 38 chronic hepatitis B patients treated with
IFN-alpha plus a nucleos(t)ide analog who achieved HBsAg seroconversion during
the period from June 2001 to May 2009. Clinical and laboratory data of the
patients were collected before and after treatment every 3 months. All patients
with HBsAg seroconversion in this study were followed up for at least 12 months
post-treatment. RESULTS: A total of 38 out of 142 patients achieved HBsAg
seroconversion after treatment with IFN-alpha and a nucleos(t)tide analog for a
prolonged period of time (medium 31 months). The median time to hepatitis B e
antigen seroconversion and to HBsAg seroconversion was 19.5 months (range 3-60
months) and 25.5 months (range 9-63 months), respectively. Thirty-six patients
(95%) sustained HBsAg seroconversion during the post-treatment follow up. Three
different HBsAg response patterns were observed with classical model accounting
for 57.9% (22/38 cases), simultaneous transition mode accounting for 23.7% (9/38
cases), and HBsAg prior transition model accounting for 18.4% (7/38 cases).
CONCLUSIONS: Extended treatment with IFN-alpha in combination with a
nucleos(t)ide analog in patients with hepatitis-B-e-antigen-positive appears to
be a promising approach for achieving a high rate of HBsAg clearance-the closest
outcome to cure.
PMID- 22098412
TI - Nonimage-guided fine needle aspiration biopsy of palpable axillary lymph nodes in
breast cancer patients.
AB - We report the utility of office-based, nonimaged guided fine needle aspiration of
palpable axillary lymph nodes in breast cancer patients. We examine the
sensitivity and specificity of this procedure, and examine factors associated
with a positive fine needle aspiration biopsy result. Although the utility of
ultrasound-guided fine needle aspiration biopsy (FNA) of axillary lymph nodes is
well established, there is little data on nonimage guided office-based FNA of
palpable axillary lymphadenopathy. We investigated the sensitivity and
specificity of nonimage-guided FNA of axillary lymphadenopathy in patients
presenting with breast cancer, and report factors associated with a positive FNA
result. Retrospective study of 94 patients who underwent office-based FNA of
palpable axillary lymph nodes between 2004 and 2008 was conducted. Cytology
results were compared with pathology after axillary sentinel node or lymph node
dissection. Nonimage-guided axillary FNA was 86% sensitive and 100% specific. On
univariate analysis, patients with positive FNA cytology had larger breast tumors
(p = 0.007), more pathologic positive lymph nodes (p < 0.0001), and were more
likely to present with a palpable breast mass (p = 0.006) or with radiographic
lymphadenopathy (p = 0.002). FNA-positive patients had an increased presence of
lymphovascular invasion (p = 0.001), higher stage of disease (p < 0.001), higher
N stage (p < 0.0001), and higher rate of HER2/neu expression (p = 0.008). On
multivariate analysis, radiographic lymphadenopathy (p = 0.03) and number of
positive lymph nodes (p = 0.04) were associated with a positive FNA result.
Nonimage-guided FNA of palpable axillary lymphadenopathy in breast cancer
patients is an inexpensive, sensitive, and specific test. Prompt determination of
lymph node positivity benefits select patients, permitting avoidance of axillary
ultrasound, sentinel lymph node biopsy, or delay in receiving neoadjuvant
therapy. This results in time and cost savings for the health care system, and
expedites definitive management.
PMID- 22098413
TI - Main and interactive effects of social support in predicting mental health
symptoms in men and women following military stressor exposure.
AB - Evidence across a multitude of contexts indicates that social support is
associated with reduced risk for mental health symptoms. More information is
needed on the effectiveness of different sources of support, as well as sex
differences in support. Associations between social support from two sources -
the military unit and friends and family - and mental health symptoms were
examined in a study of 1571 Marine recruits assessed at the beginning and end of
a highly stressful 13-week training program. Military social support buffered the
stressor exposure-posttraumatic stress symptomatology (PTSS) relationship,
whereas the relationship between stressor exposure and PTSS was highest when
civilian social support was high. Further inspection of the interactions revealed
that military support was most important at high levels of stressor exposure. Sex
differences in the relationship between social support and symptoms were found,
such that support from military peers was associated with lower levels of PTSS
for men, whereas civilian support was associated with lower PTSS for women. While
civilian social support was associated with lower levels of depression symptom
severity in both women and men, the relationship was stronger for women. Reviewed
implications focus on the importance of considering the recipient, source, and
context of social support.
PMID- 22098415
TI - EPOTRAN: a full-differential Monte Carlo code for electron and positron transport
in liquid and gaseous water.
AB - PURPOSE: We describe here a novel full-differential Monte Carlo (MC) event-by
event simulation, for modelling electron and positron histories in liquid and
gaseous water, with impact energies ranging from the water excitation threshold
(7.4 eV) to 10 keV. This new track-structure code is named EPOTRAN, an acronym
for Electron and POsitron TRANsport in water. MATERIAL AND METHODS: All the
processes induced by both electrons and positrons are studied in detail via
theoretical differential and total cross sections, calculated within the quantum
mechanical framework by using the partial-wave method. Elastic and inelastic
interactions are then successively reviewed, including in particular an original
description of the positron-induced capture process leading to Positronium
formation. RESULTS: Total and differential cross sections are reported and
compared with a large set of existing measurements. Rather good agreement is
generally observed over the considered energy range. CONCLUSIONS: This work
reports the theoretical cross sections used in a special purpose Monte Carlo
simulation suitable for electron and positron transport in gaseous and liquid
water. This MC code should represent an accurate tool for dose calculation at the
nanometric scale, by providing a detailed spatial distribution of energy
deposits. Furthermore, positron trajectory studies made possible by this approach
should prove useful for evaluating the real contribution of the positron range on
the overall spatial resolution of PET (Positron Emission Tomography) imaging.
PMID- 22098416
TI - IL28B polymorphisms are markers of therapy response and are influenced by genetic
ancestry in chronic hepatitis C patients from an admixed population.
AB - BACKGROUND: IL28B polymorphisms are predictors of therapy response in hepatitis C
virus (HCV) patients. We do not know whether they are markers of treatment
response in admixed populations or not. AIMS: To determine whether IL28B
polymorphisms are predictors of therapy response in patients with HCV from an
admixed population and are influenced by genetic ancestry. METHODS: rs12979860
and rs8099917 were genotyped in 222 HCV patients treated with pegylated
interferon and ribavirin. Ancestry was determined using genetic markers. RESULTS:
IL28B rs12979860 C/C was associated with sustained virological response (SVR),
whereas C/T and T/T were associated with failure to therapy (P = 1.12 * 10(-5) ).
IL28B rs8099917 T/T was associated with SVR, and G/G and G/T were associated with
nonresponse/relapse (NR/R) (P = 8.00 * 10(-3) ). Among HCV genotype 1 patients
with C/C genotype, genomic ancestry did not interfere with therapy response.
Among patients with rs12979860 T/T genotype, African genetic contribution was
greater in the NR/R group (P = 1.51 * 10(-3) ), whereas Amerindian and European
genetic ancestry contribution were higher in the SVR group (P = 3.77 * 10(-3) and
P = 2.16 * 10(-2) respectively). Among HCV type 1 patients with rs8099917 T/T,
African genetic contribution was significantly greater in the NR/R group (P = 5.0
* 10(-3) ); Amerindian and European ancestry genetic contribution were greater in
the SVR group. CONCLUSION: IL28B rs12979860 and rs8099917 polymorphisms were
predictors of therapy response in HCV genotypes 1, 2 and 3 subjects from an
admixed population. Genomic ancestry did not interfere with response to therapy
in patients with rs12979860 C/C, whereas it interfered in patients with C/T and
T/T genotypes. Among HCV genotype 1 rs8099917 T/T patients, genomic ancestry
interfered with response to therapy.
PMID- 22098417
TI - Role of the spleen in Bartonella spp. infection.
AB - Bartonella spp. are intra-erythrocytic pathogens of mammals. In this study, we
investigated the role of the spleen, and other tissue and organs in Bartonella
infection. Using an in vivo model of mice infection by Bartonella birtlesii, we
detected accumulation of bacteria in the spleen, with transient infection of the
liver, but failed to detect any bacteria in brain or lymph nodes. We then
compared bacteraemia in normal Balb/C mice and in splenectomized mice.
Bacteraemia in splenectomized mice was 10-fold higher than in normal mice and
lasted 2 weeks longer. In conclusion, the spleen seems to retain and filter
infected erythrocytes rather than to be a sanctuary for chronic Bartonella
infection.
PMID- 22098418
TI - Patient-physician role relationships and patient activation among individuals
with chronic illness.
AB - OBJECTIVE: To examine whether chronically ill patients' perceptions of their role
relationships with their physicians are associated with levels of patient
activation. DATA SOURCES: Random digit dial survey of 8,140 chronically ill
patients and the Area Resource File. STUDY DESIGN: Cross-sectional, multivariate
analysis of the relationship between dimensions of patient-physician role
relationships and level of patient activation. The study controlled for variables
related to patient demographics, socioeconomic status, health status, and market
and family context. PRINCIPAL FINDINGS: Higher perceived quality of interpersonal
exchange with physicians, greater fairness in the treatment process, and more out
of-office contact with physicians were associated with higher levels of patient
activation. Treatment goal setting was not significantly associated with patient
activation. CONCLUSION: Patient-physician relationships are an important factor
in patients taking a more active role in their health and health care. Efforts to
increase activation that focus only on individual patients ignore the important
fact that the nature of roles and relationships between provider and patient can
shape the behaviors and attitudes of patients in ways that support or discourage
patient activation.
PMID- 22098419
TI - Antioxidant and anti-inflammatory potential of hesperetin metabolites obtained
from hesperetin-administered rat serum: an ex vivo approach.
AB - In recent years much attention has been focused on the pharmaceutical relevance
of bioflavonoids, especially hesperidin and its aglycon hesperetin in terms of
their antioxidant and anti-inflammatory actions. However, the bioactivity of
their metabolites, the real molecules in vivo hesperetin glucuronides/sulfates
produced after ingestion, has been poorly understood. Thus, the study using an ex
vivo approach is aimed to compare the antioxidant and anti-inflammatory
activities of hesperidin/hesperetin or hesperetin metabolites derived from
hesperetin-administered rat serum. We found that hesperetin metabolites (2.5-20
MUM) showed higher antioxidant activity against various oxidative systems,
including superoxide anion scavenging, reducing power, and metal chelating
effects, than that of hesperidin or hesperetin. The data also showed that
pretreatment of hesperetin metabolites (1-10 MUM) within the range of
physiological concentrations, compared to hesperetin, significantly inhibited
nitric oxide (NO) and prostaglandin E(2) (PGE(2)) production, as evidenced by the
inhibition of their precursors, inducible nitric oxide synthase (iNOS) and
cyclooxygenase-2 (COX-2) protein levels without appreciable cytotoxicity on LPS
activated RAW264.7 macrophages or A7r5 smooth muscle cells. Concomitantly,
hesperetin metabolites dose-dependently inhibited LPS-induced intracellular
reactive oxygen species (ROS). Furthermore, hesperetin metabolites significantly
downregulate LPS-induced nuclear factor-kappaB (NF-kappaB) activation followed by
the suppression of inhibitor-kappaB (I-kappaB) degradation and phosphorylation of
c-Jun N-terminal kinase1/2 (JNK1/2) and p38 MAPKs after challenge with LPS.
Hesperetin metabolites ex vivo showed potent antioxidant and anti-inflammatory
activity in comparison with hesperidin/hesperetin.
PMID- 22098420
TI - Construction of Escherichia coli-Arthrobacter-Rhodococcus shuttle vectors based
on a cryptic plasmid from Arthrobacter rhombi and investigation of their
application for functional screening.
AB - A cryptic plasmid from Arthrobacter rhombi PRH1, designated as pPRH, was
sequenced and characterized. It was 5000 bp in length with a G+C content of 66
mol%. The plasmid pPRH was predicted to encode six putative open reading frames
(ORFs), in which ORF2 and ORF3 formed the minimal replicon of plasmid pPRH and
shared 55-61% and 60-69% homology, respectively, with the RepA and RepB proteins
of reported rhodococcal plasmids. Sequence analysis revealed a typical ColE2-type
ori located 45 bp upstream of the gene repA. Sequence and phylogenetic analysis
led to the conclusion that pPRH is a representative of a novel group of pAL5000
subfamily of ColE2 family plasmids. Three shuttle vectors pRMU824, pRMU824Km and
pRMU824Tc, encoding chloramphenicol resistance, were constructed. The latter two
harboured additional antibiotic resistance genes kan and tet, respectively. All
vectors successfully replicated in Escherichia coli, Arthrobacter and Rhodococcus
spp. The vector pRMU824Km was employed for functional screening of 2
hydroxypyridine catabolism encoding genes from Arthrobacter sp. PY22. Sequence
analysis of the cloned 6-kb DNA fragment revealed eight putative ORFs, among
which hpyB gene encoded a putative monooxygenase.
PMID- 22098421
TI - Quantifying the spatial dependence of Culicoides midge samples collected by
Onderstepoort-type blacklight traps: an experimental approach to infer the range
of attraction of light traps.
AB - The emergence of bluetongue disease in Europe has led several countries to
rapidly establish large-scale entomological surveys of its vectors, which are
midges belonging to the genus Culicoides Latreille, 1809 (Diptera:
Ceratopogonidae). These surveys have largely been based on the use of
Onderstepoort-type blacklight traps. However, the range of attraction of the
traps and the spatial dependence of the samples they provide are unknown, which
somewhat complicates subsequent analyses. This paper investigates spatial
interaction between Onderstepoort-type blacklight traps based on catches at a
central trap placed close to two traps set in consecutive on/off modes. The
spatial interaction is inferred from the drop in the number of midges collected
in the central trap when nearby traps positioned at 50 m, 100 m or 200 m are
turned on. The results showed a significant spatial interaction between traps
separated by 50 m for female Culicoides obsoletus/Culicoides scoticus and
Culicoides dewulfi. No significant interaction was found for female Culicoides of
other species, for male Culicoides, or for traps spaced at >=100 m. Based on the
experimental design geometry and on simple assumptions on the distribution of
Culicoides midges in the neighbourhood of the traps, the paper also presents a
method to infer the range of attraction of the traps.
PMID- 22098423
TI - Home hemodialysis in the remote Canadian north: treatment in Manitoba fly-in
communities.
AB - Manitoba has the highest prevalence of ESRD in Canada. Northern Manitoba is a
very sparsely settled area with a high proportion of aboriginal ESRD patients.
Relocating to urban areas for dialysis is psychosocially and culturally stressful
to patients. Delivering dialysis care in a home setting has demonstrated
advantages in both clinical, economic, and health related quality of life
domains. Establishing home hemodialysis in very remote communities has
significant challenges, including poor and inadequate housing, unreliable water
supply, limited community medical backup, and poor road access to communities
especially for delivery of supplies. These challenges necessitate the development
of strong community partnerships, and well documented processes for
contingencies. A dedicated interdisciplinary support and training team at the
urban hub is essential.
PMID- 22098424
TI - Room-temperature tunnel magnetoresistance in self-assembled chemically
synthesized metallic iron nanoparticles.
AB - We report on room temperature magnetoresistance in networks of chemically
synthesized metallic Fe nanoparticles surrounded by two types of organic
barriers. Electrical properties, featuring Coulomb blockade, and magnetotransport
measurements show that this magnetoresistance arises from spin-dependent
tunnelling, so the organic ligands stabilizing the nanoparticles are efficient
spin-conservative tunnel barrier. These results demonstrate the feasibility of an
all-chemistry approach for room temperature spintronics.
PMID- 22098422
TI - Altered apoptotic responses in neurons lacking RhoB GTPase.
AB - Caspase 3 activation has been linked to the acute neurotoxic effects of central
nervous system damage, as in traumatic brain injury or cerebral ischaemia, and
also to the early events leading to long-term neurodegeneration, as in
Alzheimer's disease. However, the precise mechanisms activating caspase 3 in
neuronal injury are unclear. RhoB is a member of the Rho GTPase family that is
dramatically induced by cerebral ischaemia or neurotrauma, both in preclinical
models and clinically. In the current study, we tested the hypothesis that RhoB
might directly modulate caspase 3 activity and apoptotic or necrotic responses in
neurons. Over-expression of RhoB in the NG108-15 neuronal cell line or in
cultured corticohippocampal neurons elevated caspase 3 activity without inducing
overt toxicity. Cultured corticohippocampal neurons from RhoB knockout mice did
not show any differences in sensitivity to a necrotic stimulus - acute calcium
ionophore exposure - compared with neurons from wild-type mice. However,
corticohippocampal neurons lacking RhoB exhibited a reduction in the degree of
DNA fragmentation and caspase 3 activation induced by the apoptotic agent
staurosporine, in parallel with increased neuronal survival. Staurosporine
induction of caspase 9 activity was also suppressed. RhoB knockout mice showed
reduced basal levels of caspase 3 activity in the adult brain. These data
directly implicate neuronal RhoB in caspase 3 activation and the initial stages
of programmed cell death, and suggest that RhoB may represent an attractive
target for therapeutic intervention in conditions involving elevated caspase 3
activity in the central nervous system.
PMID- 22098425
TI - Obesity and melanoma.
PMID- 22098426
TI - Tuning of the critical temperature in iron(II) spin-crossover materials based on
bridging polycyanidometallates: pentacyanidonitrosylferrate(II) and
hexacyanidoplatinate(IV).
AB - The reactions of iron(II) sulfate, 4-amino-3,5-di-2-pyridyl-4H-1,2,4-triazole
(abpt), and pentacyanidonitrosylferrate(II) or hexacyanidoplatinate(IV) resulted
in the formation of one-dimensional iron(II) spin-crossover compounds
[Fe(abpt)(2)(MU-Fe(CN)(5)(NO))](n) (1) and [Fe(abpt)(2)(MU-Pt(CN)(6))](n) (2)
with the spin-transition critical temperature near or above room temperature
accompanied by thermochromism. Furthermore, it has been proven that the critical
temperature T(c) is influenced by the type of dianionic polycyanidometallate
within the series of discussed systems, and it changes in the sequence of
[Fe(CN)(5)(NO)](2-) < [Pt(CN)(6))](2-) < [Ni(CN)(4))](2-) ~ [Pd(CN)(4))](2-) ~
[Pt(CN)(4))](2-).
PMID- 22098427
TI - High-throughput multiplex PCR genotyping for 35 red blood cell antigens in blood
donors.
AB - BACKGROUND AND OBJECTIVES: One to two per cent of patients in need of red cell
transfusion carry irregular antibodies to red blood cell (RBC) antigens and have
to be supplied with specially selected blood units. To be able to respond to
those requests, blood centres have to screen a significant number of donors for a
variety of antigens serologically, which is a costly and through the shortage of
reagents, also limited procedure. To make this procedure more efficient, the
Austrian Red Cross has developed a genotyping assay as an alternative approach
for high throughput RBC typing. MATERIALS AND METHODS: A multiplex polymerase
chain reaction (PCR) assay was designed for typing 35 RBC antigens in six
reaction mixes. The assay includes both common as well as high-frequency-alleles:
MNS1, MNS2, MNS3 and MNS4; LU1, LU2, LU8 and LU14; KEL1, KEL2, KEL3, KEL4, KEL6,
KEL7, KEL11, KEL17 and KEL21; FY1, FY2, FYB(WK) and FY0 (FYB(ES)); JK1 and JK2;
DI1, DI2, DI3 and DI4; YT1 and YT2; DO1 and DO2; CO1 and CO2; IN1 and IN2. The
assay was validated using 370 selected serologically typed samples. Subsequently
6000 individuals were screened to identify high frequency antigen (HFA)-negative
donors and to facilitate the search for compatible blood for alloimmunized
patients. RESULTS: All controls showed complete concordance for the tested
markers. The screening of 6000 donors revealed 57 new HFA-negative donors and the
blood group database was extended by approximately 210,000 results. CONCLUSION:
The study shows that in practice, this high-throughput genotyping assay is
feasible, fast and provides reliable results. Compared to serological testing,
this molecular approach is also very cost-efficient.
PMID- 22098428
TI - Left ventricular systolic dyssynchrony index by three-dimensional
echocardiography in patients with decreased left ventricular function: comparison
with tissue Doppler echocardiography.
AB - BACKGROUND: Three-dimensional echocardiography (3DE) can simultaneously assess
left ventricular (LV) regional systolic motion and global LV mechanical
dyssynchrony. METHODS: We used 3DE to measure systolic dyssynchrony index (SDI)
(standard deviation of the time from cardiac cycle onset to minimum systolic
volume in 17 LV segments) in 100 patients and analyzed the association of SDI
with other parameters for LV systolic function or dyssynchrony. Eighteen patients
who underwent cardiac resynchronization therapy (CRT) were also evaluated at 6
months after CRT, and the association of baseline SDI and tissue Doppler imaging
(TDI) dyssynchrony index (Ts-SD) with the change of LV end-systolic volume (ESV)
analyzed. Ts-SD was calculated using the standard deviation of the time from the
QRS complex to peak systolic velocity. RESULTS: There was a significant inverse
correlation between LVEF and SDI (r =-0.686, P < 0.0001). QRS duration was also
significantly correlated to SDI (r = 0.407, P < 0.0001). There was a significant
positive correlation between baseline SDI and the decrease in LVESV after CRT (r
= 0.42). Baseline SDI was significantly greater in responders (10 patients) than
in nonresponders (16.4 +/- 5.1 vs. 7.9 +/- 2.4%, P < 0.01), but there was no
significant difference in Ts-SD. SDI > 11.9% predicted CRT response with a
sensitivity of 90% and a specificity of 75%. CONCLUSIONS: SDI derived from 3DE is
a useful parameter to assess global LV systolic dyssynchrony and predict
responses to CRT.
PMID- 22098429
TI - Stilbene-chalcone hybrids: design, synthesis, and evaluation as a new class of
antimalarial scaffolds that trigger cell death through stage specific apoptosis.
AB - Novel stilbene-chalcone (S-C) hybrids were synthesized via a sequential Claisen
Schmidt-Knoevenagel-Heck approach and evaluated for antiplasmodial activity in in
vitro red cell culture using SYBR Green I assay. The most potent hybrid (11)
showed IC(50) of 2.2, 1.4, and 6.4 MUM against 3D7 (chloroquine sensitive), Indo,
and Dd2 (chloroquine resistant) strains of Plasmodium falciparum, respectively.
Interestingly, the respective individual stilbene (IC(50) > 100 MUM), chalcone
(IC(50) = 11.5 MUM), or an equimolar mixture of stilbene and chalcone (IC(50) =
32.5 MUM) were less potent than 11. Studies done using specific stage enriched
cultures and parasite in continuous culture indicate that 11 and 18 spare the
schizont but block the progression of the parasite life cycle at the ring or the
trophozoite stages. Further, 11 and 18 caused chromatin condensation, DNA
fragmentation, and loss of mitochondrial membrane potential in Plasmodium
falciparum, thereby suggesting their ability to cause apoptosis in malaria
parasite.
PMID- 22098430
TI - Carbon fiber-reinforced cyanate ester/nano-ZrW2O8 composites with tailored
thermal expansion.
AB - Fiber-reinforced composites are widely used in the design and fabrication of a
variety of high performance aerospace components. The mismatch in coefficient of
thermal expansion (CTE) between the high CTE polymer matrix and low CTE fiber
reinforcements in such composite systems can lead to dimensional instability and
deterioration of material lifetimes due to development of residual thermal
stresses. The magnitude of thermally induced residual stresses in fiber
reinforced composite systems can be minimized by replacement of conventional
polymer matrices with a low CTE, polymer nanocomposite matrix. Zirconium
tungstate (ZrW(2)O(8)) is a unique ceramic material that exhibits isotropic
negative thermal expansion and has excellent potential as a filler for
development of low CTE polymer nanocomposites. In this paper, we report the
fabrication and thermal characterization of novel, multiscale, macro-nano hybrid
composite laminates comprising bisphenol E cyanate ester (BECy)/ZrW(2)O(8)
nanocomposite matrices reinforced with unidirectional carbon fibers. The results
reveal that incorporation of nanoparticles facilitates a reduction in CTE of the
composite systems, which in turn results in a reduction in panel warpage and
curvature after the cure because of mitigation of thermally induced residual
stresses.
PMID- 22098431
TI - Relevance of the inner mitochondrial membrane enzyme F1F0-ATPase as an
autoantigen in autoimmune liver disorders.
AB - BACKGROUND AND AIMS: Recently, a non-M2-related mitochondrial 60 kDa protein
found to be recognized by antimitochondrial antibody (AMA) negative sera from
patients with primary biliary cirrhosis (PBC) has been shown to contain parts of
the five F(1)-ATPase subunits alpha, beta, gamma, delta and epsilon. In this
study, we examined whether this enzyme is, indeed, a target antigen in PBC.
METHODS: Analysed were 60 AMA-positive/anti-M2-negative and 103 anti-M2-positive
PBC patients, 46 patients with autoimmune hepatitis (AIH), 35 patients with
primary sclerosing cholangitis (PSC), 110 patients with viral hepatitis, 40
patients with inflammatory bowel diseases (IBD), 33 patients with connective
tissue diseases (systemic lupus erythematosus, mixed connective tissue disease,
Sjogren disease, systemic sclerosis) and 25 blood donors. The F(1)-ATPase
subunits alpha-delta were recombinantly expressed in Escherichia coli, purified
and applied to ELISA and Western blotting. RESULTS: In all, 40 of the 60 AMA
positive/anti-M2-negative (67%) and 44 (43%) of the 103 anti-M2-positive PBC-sera
reacted with at least one of the F(1)-subunits alpha-delta. The beta- and gamma
subunits were preferentially recognized. However, also up to 57% of patients with
AIH and 34% of patients with PSC had anti-beta- or gamma-antibodies, while
patients with viral hepatitis had these antibodies in up to 13%. Patients with
IBD had anti-beta and anti-gamma-antibodies in up to 20 and 5% respectively. None
of the patients with connective tissue diseases had antibodies to the beta- and
only 6% to the gamma-subunit. Sera from healthy blood donors were negative.
CONCLUSIONS: Antibodies to the beta- and gamma-subunits of F(1)-ATPase are
further AMAs in PBC but occur also in other autoimmune liver disorders; they may
be, therefore, indicators for a general autoimmune process of the liver.
PMID- 22098433
TI - Clinical comparison of new monoclonal antibody-based nephelometric assays for
free light chain kappa and lambda to polyclonal antibody-based assays and
immunofixation electrophoresis.
AB - BACKGROUND: New monoclonal antibody-based assays for serum-free light chains
(FLC) have become available. METHODS: In a clinical study with 541 patients, the
new N Latex FLC assays were compared with the Freelite FLC assays and
immunofixation electrophoresis (IF). RESULTS: Comparison of the different FLC
kappa (kappa) assays showed a slope of 0.99 with a deviation of 5.0%, rs=0.92,
for FLC lambda (lambda) a slope of 1.22, deviation 13.8%, rs=0.90 and for the
kappa/lambda ratio a slope of 0.72, deviation -4.6%, rs=0.72. The concordance for
the FLC kappa assays was 91%, for FLC lambda 85% and kappa/lambda ratio 95%. The
clinical sensitivity and specificity of the kappa/lambda ratios in the study were
comparable: 60% and 99% for the N Latex FLC assay and 61% and 97% for the
Freelite assay. In IF-FLC positive samples, the N Latex FLC kappa/lambda ratio
scored 20/23 (87%) samples outside the reference range and Freelite 21/23 (91%).
For IF-FLC negative samples, N Latex FLC assay kappa/lambda ratio scored 338/350
(97%) within the reference range and Freelite scored 332/350 (95%). CONCLUSIONS:
The concordance scores and the clinical sensitivity and specificity of the new N
Latex FLC assays and Freelite assays appeared comparable, but there are some
differences in measurement of concentrations between the methods.
PMID- 22098435
TI - Urinary 8-hydroxy-2'-deoxyguanosine level and plasma paraoxonase 1 activity with
Alzheimer's disease.
AB - BACKGROUND: Alzheimer's disease (AD) is the most frequent cause of dementia and
age is the most important risk factor for AD. Aging is associated with increased
free radical production and oxidative stress plays an important role in the
pathogenesis of AD. 8-Hydroxy-2'-deoxyguanosine (8-OHdG) is a biomarker
indicating oxidative DNA damage. Paraoxonase 1 (PON1) is a high-density
lipoprotein (HDL)-associated antioxidant enzyme and prevents especially oxidation
of low-density lipoproteins. The aim of this study is to measure urinary 8-OHdG
levels and serum PON1 activity in patients with AD. METHODS: A total of 21
elderly patients diagnosed with moderate AD (10 men and 11 women, aged 76 +/- 7.8
years) were included in the study. A total of 20 healthy elderly volunteers (11
men and nine women, aged 81 +/- 7.2 years) were enrolled as a control group.
Levels of urinary 8-OHdG, serum PON1 activity and lipid profile were determined
in patients and controls. RESULTS: Urinary 8-OHdG levels were significantly
increased, but serum PON1 activity was significantly decreased in patients
compared to controls. Lipid profile did not show a difference between the groups.
There was a negative correlation between 8-OHdG levels and PON1 activity only in
the patient group (r=-0.536). Analytical performance characteristics of the
methods used were satisfactory. CONCLUSIONS: In this study, evidence of increased
oxidative DNA damage was determined in AD patients as well as decreased serum
PON1 activity. Oxidant stress and oxidative DNA damage are important pathological
processes in AD. The biomarkers, urinary 8-OHdG level and serum PON1 activity can
be used to determine and monitor the status of patients with AD.
PMID- 22098436
TI - Minimum analytical quality specifications of inter-laboratory comparisons:
agreement among Spanish EQAP organizers.
AB - BACKGROUND: Four Spanish scientific societies organizing external quality
assessment programs (EQAP) formed a working group to promote the use of common
minimum quality specifications for clinical tests. Laboratories that do not meet
the minimum specifications are encouraged to make immediate review of the
analytical procedure affected and to implement corrective actions if necessary.
METHODS: The philosophy was to use the 95th percentile of results sent to EQAP
(expressed in terms of percentage deviation from the target value) obtained for
all results (except the outliers) during a cycle of 1 year. The target value for
a number of analytes of the basic biochemistry program was established as the
overall mean. However, because of the substantial discrepancies between routine
methods for basic hematology, hormones, proteins, therapeutic drugs and tumor
markers, the target in these cases was the peer group mean. RESULTS: The
resulting specifications were quite similar to those established in the US
(CLIA), and Germany (Richtlinie). CONCLUSIONS: The proposed specifications stand
for the minimum level of quality to be attained for laboratories, to assure
harmonized service performance. They have nothing to do with satisfying clinical
requirements, which are the final level of quality to be reached, and that is
strongly recommended in our organizations by means of documents, courses,
symposiums and all types of educational activities.
PMID- 22098438
TI - The relationship between antinuclear antibody data and antibodies against
extractable nuclear antigens in a large laboratory cohort.
AB - BACKGROUND: Anti-extractable nuclear antigen antibodies (anti-ENA) have
diagnostic significance in systemic rheumatic disease (SRD). METHODS: Anti-ENA
were tested in 1685/30,196 sera that were submitted sequentially for antinuclear
antibody (ANA) testing. Frequency was stratified by ANA titer and pattern, by
referral source, by submitted diagnosis and by patient age and sex. RESULTS: Anti
ENA frequency increased with ANA titer (7.3% at < 32%-43.3% at >= 1024). Anti
histone (11.6%) and anti-SSA/SSB (13.9%) were the most frequent finding with a
homo?xadgeneous pattern; anti-SSA/SSB (39.7%) and anti-RNP/anti-Sm (37.7%) were
the most frequent finding with a speckled pattern. Sera with speckled, multiple
and homogenous ANA patterns accounted for 92.6% of positive anti-ENA findings. At
ANA titer >= 256, 29.2% of these sera were tested for anti-ENA, of which 41.2%
were positive; frequency was higher with an accompanying diagnosis of SRD (53.5%
vs. 36.5%, p < 0.004 by chi2-test) but not with referral by rheumatologists
(43.5% vs. 35.9%) and did not differ by patient age or sex. CONCLUSIONS:
Reflexive anti-ENA testing may be helpful among sera with ANA titer >= 256 and
homogeneous, speckled or multiple patterns, irrespective of referral source or
accompanying diagnosis. Further work is needed to evaluate the clinical impact of
this protocol.
PMID- 22098440
TI - A pilot study of the novel offset-tip papillotome for selective biliary
cannulation in ERCP.
AB - INTRODUCTION: We developed a new offset-tip papillotome to facilitate biliary
cannulation and reduce the incidence of unintended contrast injection into the
pancreatic duct during ERCP. The aim of the present retrospective cohort study
was to evaluate the utility of the novel offset-tip papillotome in achievement of
biliary cannulation, and prevention of unintended contrast injection into the
pancreatic duct during ERCP, compared with a standard straight-tip catheter.
MATERIAL AND METHODS: Patients with native papilla who required biliary ERCP were
retrospectively reviewed. Biliary ERCPs were performed by two experienced
endoscopists using either the offset-tip papillotome or a standard catheter.
Patients in whom ERCP involved fellows in training were excluded. RESULTS: Eighty
five patients were included and divided into two cohorts: The offset-tip
papillotome (OT; n = 40) and the standard straight-tip cohort (ST; n = 45).
Biliary cannulation success rates in OT and ST cohort were 92.5% and 88.9%,
respectively. The frequency of unintended contrast injection into the pancreatic
duct and time to biliary cannulation of the OT cohort during biliary cannulation
were significantly less than those of the ST cohort (0.56 vs. 1.65 times and
103.3 vs. 287.9 seconds). CONCLUSION: The novel offset-tip papillotome could
reduce the incidence of unintended contrast injection into the pancreatic duct
and the time to biliary cannulation.
PMID- 22098441
TI - Laparoscopic approaches for splenic artery aneurysms.
AB - BACKGROUND: Therapeutic options for splenic artery aneurysm include endovascular
management, laparoscopic surgery, and open surgery, although their indications
and applications as standard therapy remain controversial. METHODS: Between
August 2009 and March 2011, three patients with splenic artery aneurysm were
treated at our institution. All patients underwent laparoscopic surgery. RESULTS:
There was no conversion to open surgery. The mean operative time was 204.7 min
(range: 147-265 min) and the mean intraoperative blood loss was 30 mL (range: 0
90 mL). There was no mortality or morbidity. CONCLUSIONS: The laparoscopic
approaches for splenic artery aneurysm were safe procedures.
PMID- 22098442
TI - The fibres and polyphenols in sea buckthorn (Hippophae rhamnoides) extraction
residues delay postprandial lipemia.
AB - The triacylglycerol (TAG) response to fatty meals containing dried and crushed
berries or berry extraction residues was studied in a postprandial cross-over
study with healthy normal-weight male volunteers. The berry material included sea
buckthorn berries, sea buckthorn CO2 extraction residue (CO2-sea buckthorn) and
sea buckthorn or black currant CO2 and ethanol extraction residue (CO2-EtOH-sea
buckthorn, CO2-EtOH-black currant). Extraction residues were used in order to
advance the potential use of valuable side stream components containing
polyphenols and fibre as human food. Compared to the berry-depleted control, all
berry meals delayed lipemia, whereas there were no differences in the total area
under the TAG response curve. The lipemic delay largely derived from the fibre
rather than from the polyphenols. Even so, the effect of polyphenols may be
complementary since sea buckthorn and CO2-sea buckthorn showed significant
differences in relation to control in a wider range of TAG areas than polyphenol
depleted CO2-EtOH-sea buckthorn.
PMID- 22098443
TI - Liver protein profiling in chronic hepatitis C: identification of potential
predictive markers for interferon therapy outcome.
AB - The current anti-hepatitis C virus (HCV) therapy, based on pegylated-interferon
alpha and ribavirin, has limited success rate and is accompanied by several side
effects. The aim of this study was to identify protein profiles in pretreatment
liver biopsies of HCV patients correlating with the outcome of antiviral therapy.
Cytosolic or membrane/organelle-enriched protein extracts from liver biopsies of
eight HCV patients were analyzed by two-dimensional fluorescence difference gel
electrophoresis and mass spectrometry. Overall, this analysis identified 21
proteins whose expression levels correlate with therapy response. These factors
are involved in interferon-mediated antiviral activity, stress response, and
energy metabolism. Moreover, we found that post-translational modifications of
dihydroxyacetone kinase were also associated with therapy outcome. Differential
expression of the five best performing markers (STAT1, Mx1, DD4, DAK, and PD
ECGF) was confirmed by immunoblotting assays in an independent group of HCV
patients. Finally, we showed that a prediction model based on the expression
levels of these markers classifies responder and nonresponder patients with an
accuracy of 85.7%. These results provide evidence that the analysis of
pretreatment liver protein profiles is valuable for discriminating between
responder and nonresponder HCV patients, and may contribute to reduce the number
of nonresponder patients exposed to therapy-associated risks.
PMID- 22098444
TI - Effects of transglucosidase on diabetes, cardiovascular risk factors and hepatic
biomarkers in patients with type 2 diabetes: a 12-week, randomized, double-blind,
placebo-controlled trial.
AB - In this 12-week, randomized, double-blind, placebo-controlled trial, the efficacy
and safety of transglucosidase (TGD) were compared with placebo in patients with
type 2 diabetes mellitus (T2DM). At 12 weeks, TGD 300 mg/day and TGD 900 mg/day
significantly reduced HbA1c (0.18 and 0.21%) and insulin concentration (19.4 and
25.0 pmol/l), respectively, vs. placebo. TGD 300 mg/day and TGD 900 mg/day also
significantly reduced low-density lipoprotein cholesterol (0.22 and 0.17 mmol/l,
respectively). TGD 900 mg/day significantly reduced triglyceride by 0.24 mmol/l
and diastolic blood pressure by 8 mmHg. Placebo was associated with a significant
increase from baseline in body mass index, alanine aminotransferase and aspartate
aminotransferase (0.17 kg/m(2) , 3 and 2 U/l, respectively), whereas TGD was not.
TGD 300 mg/day significantly increased high-molecular-weight adiponectin by 0.6
ug/ml. Adverse events did not differ significantly between the groups. TGD
resulted in lowering of HbA1c and blood insulin level and improvements in
metabolic and cardiovascular risk factors in T2DM.
PMID- 22098445
TI - The emerging discipline of behavioral neuroimaging.
PMID- 22098446
TI - Functional magnetic resonance imaging in awake animals.
AB - Awake animal imaging is becoming an important tool in behavioral neuroscience and
preclinical drug discovery. Non-invasive ultra-high-field, functional magnetic
resonance imaging (fMRI) provides a window to the mind, making it possible to
image changes in brain activity across distributed, integrated neural circuits
with high temporal and spatial resolution. In theory, changes in brain function,
anatomy, and chemistry can be recorded in the same animal from early life into
old age under stable or changing environmental conditions. This prospective
capability of animal imaging to follow changes in brain neurobiology after
genetic or environmental insult has great value to the fields of psychiatry and
neurology and probably stands as the key advantage of MRI over other methods in
the neuroscience toolbox. In addition, awake animal imaging offers the ability to
record signal changes across the entire brain in seconds. When combined with the
use of 3D segmented, annotated, brain atlases, and computational analysis, it is
possible to reconstruct distributed, integrated neural circuits or 'fingerprints'
of brain activity. These fingerprints can be used to characterize the activity
and function of new psychotherapeutics in preclinical development and to study
the neurobiology of integrated neural circuits controlling cognition and emotion.
In this review, we describe the methods used to image awake animals and the
recent advances in the radiofrequency electronics, pulse sequences, and the
development of 3D segmented atlases and software for image analysis. Results from
pharmacological MRI studies and from studies using provocation paradigms to
elicit emotional responses are provided as a small sample of the number of
different applications possible with awake animal imaging.
PMID- 22098447
TI - Motion-tracking technique in unrestrained small-animal single-photon emission
computed tomography.
AB - Medical researchers have used structural and functional imaging techniques to
study various neurological phenomena. Humans are typically conscious for both
structural and functional neuroimaging studies. The use of functional neuro
imaging techniques in mouse-based animal models is typically accomplished with
restrained or anesthetized mice. A system was developed to perform functional
imaging with single-photon emission computed tomography of awake mice to avoid
the confounding influences of anesthesia or physical restraint. This review
article provides an overview of the technique and how it is presently being used.
The system is designed for brain imaging and uses infrared reflectors to track
the head position as a function of time. The detected photons are acquired in
list mode and are time-stamped. The position of the rotating gamma camera is also
recorded as a function of time. These three sets of data are integrated together
in an iterative image reconstruction program that performs motion compensation.
The successful performance of the system is demonstrated in moving phantom and
awake animal studies. The system and methodology has the potential of being a
powerful tool in behavioral neuroimaging studies involving awake, unrestrained
mice.
PMID- 22098448
TI - Manganese enhanced MRI (MEMRI): neurophysiological applications.
AB - Manganese ion (Mn(2+)) is a calcium (Ca(2+)) analog that can enter neurons and
other excitable cells through voltage gated Ca(2+) channels. Mn(2+) is also a
paramagnetic that shortens the spin-lattice relaxation time constant (T(1)) of
tissues where it has accumulated, resulting in positive contrast enhancement.
Mn(2+) was first investigated as a magnetic resonance imaging (MRI) contrast
agent approximately 20 years ago to assess the toxicity of the metal in rats. In
the late 1990s, Alan Koretsky and colleagues pioneered the use of manganese
enhanced MRI (MEMRI) towards studying brain activity, tract tracing and enhancing
anatomical detail. This review will describe the methodologies and applications
of MEMRI in the following areas: monitoring brain activity in animal models, in
vivo neuronal tract tracing and using MEMRI to assess in vivo axonal transport
rates.
PMID- 22098449
TI - Integrating PET with behavioral neuroscience using RatCAP tomography.
AB - Behavioral studies are an important part of neuroscience. They allow inferences
about the functions of the brain and any internal states and processes it
controls. Positron emission tomography (PET) is an in vivo imaging technique that
provides insights into the mechanisms of neuronal communication. In this review,
we focus on some of the contributions of PET to the field of behavioral
neuroscience. Small animals typically require anesthesia to remain still during
PET imaging, which places a burden on behavioral studies. Our approach integrates
PET with behavioral observations using a miniature PET scanner that rats wear on
the head, a mobility system to facilitate animal movement and ways to integrate
the PET data with behavioral measures. We summarize our studies that assessed
spontaneous, self-initiated behavioral activity and dopamine D2 receptor
functions simultaneously.
PMID- 22098450
TI - Fine epitope mapping within the pathogenic thyroglobulin peptide 2340-2359:
minimal epitopes retaining antigenicity across various MHC haplotypes are not
necessarily immunogenic.
AB - We have previously reported that the 20-mer peptide p2340 (amino acids 2340
2359), of human thyroglobulin (Tg) has the unique feature that it causes
experimental autoimmune thyroiditis (EAT) in mouse strains bearing high-responder
(HR) or low-responder (LR) MHC haplotypes in Tg-induced EAT. In this study, we
have employed fine epitope mapping to examine whether this property of p2340 is
the result of recognition of distinct or shared minimal T-cell epitopes in the
context of HR or LR MHC class II molecules. Use of overlapping peptides showed
that a core minimal 9-mer epitope (LTWVQTHIR, amino acids 2344-2352) was
recognized by p2340-primed T cells from both HR (H2(k,s) ) and LR (H2(b,d) )
strains, whereas a second 9-mer epitope (HIRGFGGDP, amino acids 2350-2358) was
antigenic only in H2(s) hosts. Truncation analysis of LTWVQTHIR and HIRGFGGDP
peptides delineated them as the minimal epitopes recognized by p2340-primed T
cells from the above strains. Subcutaneous challenge of all mouse strains with
the 9-mer core peptide LTWVQTHIR in adjuvant elicited specific lymph node cell
proliferative responses and mild EAT only in HR hosts, highlighting this sequence
as a minimal pathogenic Tg peptide in EAT. The 9-mer peptide HIRGFGGDP was not
found to be immunogenic in H2(s) hosts. These data demonstrate that minimal T
cell epitopes, defined as autoantigenic in hosts of various MHC haplotypes, are
not intrinsically immunogenic. Activation of naive autoreactive T cells may
require contributions from flanking residues within longer peptide sequences
encompassing these epitopes.
PMID- 22098452
TI - Acute-on-chronic liver failure in chronic hepatitis B.
AB - Acute-on-chronic liver failure (ACLF) in chronic hepatitis B (CHB) is most
commonly caused by acute severe exacerbation of CHB. The pathophysiology of ACLF
in CHB is still poorly understood. Despite the identification of important
predisposing factors and prognostic markers, ACLF in CHB remains a disease
associated with high mortality. The majority of studies using nucleoside analog
therapy did not show any significant improvement in survival, although larger
prospective studies are needed. Liver transplantation is the definitive treatment
for ACLF in CHB. The challenge ahead would be prognosticating cases with
favorable or unfavorable outcomes in order to streamline patients for early
transplantation or for medical therapy.
PMID- 22098451
TI - Risk factors for complications of radiation therapy on tissue expander breast
reconstructions.
AB - Radiation therapy has been shown to increase complication rates of tissue
expander/implant breast reconstructions. The purpose of this study was to
evaluate patient characteristics to assess their impact on complications. A
retrospective review of patients who underwent mastectomy plus tissue
expander/implant reconstruction from January 2000 to December 2006 was performed.
The main outcome of interest was the development of postoperative complications.
Analyses were performed to detect risk factors for complications. A total of 560
patients were included in the study. A total of 385 patients underwent unilateral
and 174 underwent bilateral tissue expander/implant reconstructions, for a total
of 733 reconstructions. A total complication rate of 31.8% and a major
complication rate of 24.4% were calculated. The risk factors associated with a
significantly increased incidence of complications were age greater than 50
years, body mass index (BMI) greater than 30, and radiation. Women younger than
50 years had a complication rate of 28.4%, whereas women older than 50 years had
a complication rate of 37.0%. Women with a BMI less than 30 had a complication
rate of 27.5%, whereas women with a BMI greater than 30 had a complication rate
of 49%. The major complication rate in nonradiated and radiated patients was
21.2% and 45.4%, respectively. Despite higher complication rates, tissue
expander/implant reconstructions were successful in 70.1% of radiated patients.
Based on this study, the ideal radiated patient would have a BMI less than 30 and
be younger than 50 years of age to maximize the likelihood of a successful tissue
expander/implant reconstruction.
PMID- 22098453
TI - The risk factors effecting the dry mouth in inpatients in Hospital in west
Anatolia.
AB - AIM: The aim of the present study was to evaluate the inpatients with dry mouth
and the associated risk factors. BACKGROUND: Dry mouth is defined as the
excessive decrease in the amount of saliva. Hyposalivation may lead to rapid
deterioration in oral health and may facilitate the development of opportunistic
oral infections. Oral hygiene and evaluation of oral health are basic nursing
activities. DESIGN: Cross-sectional. PARTICIPANTS: The sample size was determined
to be 90 inpatients according to the power analysis calculated for the patients
with dry mouth who were able or unable to take oral liquids. The study was
completed with 247 inpatients in the Internal Medicine Clinic. METHODS: A patient
information form was used to collect the data for the present study. Saliva
samples taken for analyses of flow rates. RESULTS: The amount of saliva of
patients who were unable to take oral liquid was 10.7 times lower than those
taking >1500 ml of liquids daily. The amount of saliva of patients receiving
humidified oxygen was 2.3 times lower than those not receiving humidified oxygen.
The amount of saliva of those receiving anticholinergic drugs was 3.64 times
lower than those not receiving anticholinergic drugs. CONCLUSIONS: Inability to
take oral liquids and receiving humidified oxygen and anticholinergic drug
therapy were significant factors for the development of dry mouth. RELEVANCE TO
CLINICAL PRACTICE: The results are important for determining the risk factors for
dry mouth. Being aware of dry mouth and knowing the associated risk factors are
valuable information for nurses to initiate required procedures, as well as to
prevent the development of oral health problems.
PMID- 22098454
TI - Imaging protein-protein interactions inside living cells via interaction
dependent fluorophore ligation.
AB - We report a new method, Interaction-Dependent PRobe Incorporation Mediated by
Enzymes, or ID-PRIME, for imaging protein-protein interactions (PPIs) inside
living cells. ID-PRIME utilizes a mutant of Escherichia coli lipoic acid
ligase, LplA(W37V), which can catalyze the covalent ligation of a coumarin
fluorophore onto a peptide recognition sequence called LAP1. The affinity between
the ligase and LAP1 is tuned such that, when each is fused to a protein partner
of interest, LplA(W37V) labels LAP1 with coumarin only when the protein partners
to which they are fused bring them together. Coumarin labeling in the absence of
such interaction is low or undetectable. Characterization of ID-PRIME in living
mammalian cells shows that multiple protein-protein interactions can be imaged
(FRB-FKBP, Fos-Jun, and neuroligin-PSD-95), with as little as 10 min of coumarin
treatment. The signal intensity and detection sensitivity are similar to those of
the widely used fluorescent protein complementation technique (BiFC) for PPI
detection, without the disadvantage of irreversible complex trapping. ID-PRIME
provides a powerful and complementary approach to existing methods for
visualization of PPIs in living cells with spatial and temporal resolution.
PMID- 22098456
TI - Corynebacterium glutamicum whcB, a stationary phase-specific regulatory gene.
AB - The function of whcB, one of the four whiB homologues of Corynebacterium
glutamicum, was assessed. Cells carrying the P(180)-whcB clone, and thus
overexpressing the whcB gene, showed retarded growth, probably due to increased
sensitivity to oxidants, whereas cells lacking whcB (DeltawhcB) did not. However,
growth retardation was not observed in cells with additionally whcE deleted.
Furthermore, the DeltawhcE phenotype, characterized by slow growth and
sensitivity to oxidants, was reversed in cells carrying P(180)-whcB. Like the
whcE gene, which is also known as a whiB homologue, the whcB gene was
preferentially expressed in stationary phase. Determination of the genes under
regulation of whcB using two-dimensional polyacrylamide gel electrophoresis
identified several genes involved in electron transfer reactions that were
regulated in cells carrying P(180)-whcB. Collectively, these findings indicate
that whcB function requires whcE. Furthermore, whcB and whcE are paralogues but
perform distinct regulatory roles during growth under oxidative stress.
PMID- 22098455
TI - Artificial feeding synchronizes behavioral, hormonal, metabolic and neural
parameters in mother-deprived neonatal rabbit pups.
AB - Nursing in the rabbit is under circadian control, and pups have a daily
anticipatory behavioral arousal synchronized to this unique event, but it is not
known which signal is the main entraining cue. In the present study, we
hypothesized that food is the main entraining signal. Using mother-deprived pups,
we tested the effects of artificial feeding on the synchronization of locomotor
behavior, plasma glucose, corticosterone, c-Fos (FOS) and PERIOD1 (PER1) rhythms
in suprachiasmatic, supraoptic, paraventricular and tuberomammillary nuclei. At
postnatal day 1, an intragastric tube was placed by gastrostomy. The next day and
for the rest of the experiment, pups were fed with a milk formula through the
cannula at either 02:00 h or 10:00 h [feeding time = zeitgeber time (ZT)0]. At
postnatal days 5-7, pups exhibited behavioral arousal, with a significant
increase in locomotor behavior 60 min before feeding. Glucose levels increased
after feeding, peaking at ZT4-ZT12 and then declining. Corticosterone levels were
highest around the time of feeding, and then decreased to trough concentrations
at ZT12-ZT16, increasing again in anticipation of the next feeding bout. In the
brain, the suprachiasmatic nucleus had a rhythm of FOS and PER1 that was not
significantly affected by the feeding schedule. Conversely, the supraoptic,
paraventricular and tuberomammillary nuclei had rhythms of both FOS and PER1
induced by the time of scheduled feeding. We conclude that the nursing rabbit pup
is a natural model of food entrainment, as food, in this case milk formula, is a
strong synchronizing signal for behavioral, hormonal, metabolic and neural
parameters.
PMID- 22098457
TI - Effect of antimicrobial residues on early adhesion and biofilm formation by wild
type and benzalkonium chloride-adapted Pseudomonas aeruginosa.
AB - Antimicrobial residue deposition can change the physico-chemical properties of
bacteria and surfaces and thus promote or impair bacterial adhesion. This study
focuses on benzalkonium chloride (BC) deposition on polystyrene (PS) surfaces and
the influence of this conditioning film on the physico-chemical properties of PS
and on early adhesion and biofilm formation by Pseudomonas aeruginosa wild-type
and its laboratory BC-adapted strain. The latter readily acquired the ability to
grow in BC, and also exhibited physico-chemical surface changes. The existence of
residues on PS surfaces altered their hydrophobicity and favoured adhesion as
determined by the free energy and early adhesion characterization. Adapted
bacteria revealed a higher ability to adhere to surfaces and to develop biofilms,
especially on BC-conditioned surfaces, which thereby could enhance resistance to
sanitation attempts. These findings highlight the importance of investigations
concerning the antimicrobial deposition effect after cleaning procedures, which
may encourage bacterial adhesion, especially of bacteria that have been
previously exposed to chemical stresses.
PMID- 22098458
TI - Implications for risk assessment.
PMID- 22098459
TI - Structural, magnetic, and electronic properties of phenolic oxime complexes of Cu
and Ni.
AB - Square planar complexes of the type Ni(L(1))(2), Ni(L(2))(2), Cu(L(1))(2), and
Cu(L(2))(2), where L(1)H = 2-hydroxy-5-t-octylacetophenone oxime and L(2)H = 2
hydroxy-5-n-propylacetophenone oxime, have been prepared and characterized by
single-crystal X-ray diffraction, cyclic voltammetry, UV/vis spectroscopy, field
effect-transistor measurements, density functional theory (DFT) and time
dependent DFT (TDDFT) calculations, and, in the case of the paramagnetic species,
electron paramagnetic resonance (EPR) and magnetic susceptibility. Variation of
alkyl groups on the ligand from t-octyl to n-propyl enabled electronic isolation
of the complexes in the crystal structures of M(L(1))(2) contrasting with pi
stacking interactions for M(L(2))(2) (M = Ni, Cu). This was evidenced by a one
dimensional antiferromagnetic chain for Cu(L(2))(2) but ideal paramagnetic
behavior for Cu(L(1))(2) down to 1.8 K. Despite isostructural single crystal
structures for M(L(2))(2), thin-film X-ray diffraction and scanning electron
microscopy (SEM) revealed different morphologies depending on the metal and the
deposition method (vapor or solution). The Cu complexes displayed limited
electronic interaction between the central metal and the delocalized ligands,
with more mixing in the case of Ni(II), as shown by electrochemistry and UV/vis
spectroscopy. The complexes M(L(2))(2) showed poor charge transport in a field
effect transistor (FET) device despite the ability to form pi-stacking
structures, and this provides design insights for metal complexes to be used in
conductive thin-film devices.
PMID- 22098460
TI - CD48 as a novel molecular target for antibody therapy in multiple myeloma.
AB - Monoclonal antibody (mAb) drugs are desirable for the improvement of multiple
myeloma (MM) treatment. In this study, we found for the first time that CD48 was
highly expressed on MM plasma cells. In 22 out of 24 MM patients, CD48 was
expressed on more than 90% of MM plasma cells at significantly higher levels than
it was on normal lymphocytes and monocytes. CD48 was only weakly expressed on
some CD34(+) haematopoietic stem/progenitor cells, and not expressed on
erythrocytes or platelets. We next examined whether CD48 could serve as a target
antigen for mAb therapy against MM. A newly generated in-house anti-CD48 mAb
induced mild antibody-dependent cell-mediated cytotoxicity and marked complement
dependent cytotoxicity against not only MM cell lines but also primary MM plasma
cells in vitro. Administration of the anti-CD48 mAb significantly inhibited
tumour growth in severe combined immunodeficient mice inoculated subcutaneously
with MM cells. Furthermore, anti-CD48 mAb treatment inhibited growth of MM cells
transplanted directly into murine bone marrow. Finally and importantly, we
demonstrated that the anti-CD48 mAb did not damage normal CD34(+) haematopoietic
stem/progenitor cells. These results suggest that the anti-CD48 mAb has the
potential to become an effective therapeutic mAb against MM.
PMID- 22098461
TI - Administration of prednisolone intravenously or dexamethason orally in random
donors reveals equal results in granulocyte collection: a single centre
experience.
AB - Since cytokine--mobilization for granulocyte collections in random donors--is not
licensed in Austria, we investigated in a prospective analysis the effects of
prednisolone or dexamethasone (DXM) in 153 donors (35 women/118 men) and in the
collected products. Either prednisolone (50 mg) intravenously 4 h before
granulocyte collection or DXM (8 mg) orally 12 h before collection was given.
Collections were performed with the Cobe Spectra (CardianBCT, Lakewood). We
recorded a significantly higher percentage of granulocytes in the peripheral
blood of the DXM. However, the collected number of granulocytes was equal in both
groups.
PMID- 22098462
TI - Effects of high temperature stress at different development stages on soybean
isoflavone and tocopherol concentrations.
AB - Soybean contains a range of compounds with putative health benefits including
isoflavones and tocopherols. A study was conducted to determine the effects on
these compounds of high temperature stress imposed at specific development stages
[i.e., none, pre-emergence, vegetative, early reproductive (R1-4), late
reproductive (R5-8), or all stages]. Two cultivars (AC Proteina and OAC Champion)
were grown in growth chambers set at contrasting temperatures [i.e., stress
conditions of 33/25 degrees C (day/night temperature) and control conditions of
23/15 degrees C] in order to generate these treatments. Isoflavone and
tocopherol concentrations in mature seeds were determined using high-performance
liquid chromatography. In both cultivars isoflavone response was greatest when
stress occurred during the R5-8 stages and during all development stages, these
treatments reducing total isoflavone concentration by an average of 85% compared
to the control. Stress imposed at other stages also affected isoflavone
concentration although the response was smaller. For example, stress during the
vegetative stages reduced total isoflavones by 33% in OAC Champion. Stress
imposed pre-emergence had an opposite effect increasing daidzein concentration by
24% in AC Proteina. Tocopherol concentrations were affected the most when stress
was imposed during all stages of development, followed by stress restricted to
stages R5-8; response to stress during other stages was limited. The specific
response of tocopherols differed, alpha-tocopherol being increased by high
temperature by as much as 752%, the reverse being observed for delta-tocopherol
and gamma-tocopherol. The present study demonstrates that while isoflavone and
tocopherol concentrations in soybeans are affected the most by stress occurring
during seed formation, concentrations can also be affected by stress occurring at
other stages including stages as early as pre-emergence.
PMID- 22098463
TI - Intensified daily dialysis: the best chronic dialysis option for children?
AB - Children receiving chronic hemodialysis (HD) three times a week have many
obstacles to overcome. Not only do they have to endure dietary restrictions, but
they also need to take various medications on a daily basis, which contribute to
anorexia. Children on such conventional dialysis programs often have poorly
controlled blood pressure (which can lead to left ventricular hypertrophy and/or
left ventricular dysfunction) and impaired statural growth. Therefore, the need
for more frequent and/or intensive dialysis is recognized. Nevertheless despite
limited center experience, daily dialysis is currently most often limited as a
rescue treatment. When performed, daily intensified HD provides a modality for
preserving cardiovascular health and promoting normal growth in children.
Therefore, the time spent on chronic dialysis preserves their chances of the best
possible outcome.
PMID- 22098464
TI - Activated lymphocytes and high liver expression of IFN-gamma are associated with
fulminant hepatic failure in patients.
AB - BACKGROUND & AIMS: To study immunological mechanisms of fulminant hepatic failure
(FHF) derived from extensive liver lesions, 14 patients with FHF induced by
different aetiologies were investigated by observance of both lymphocyte
phenotyping and cytokine levels. METHODS: Five patients bearing benign acute
hepatitis B (AHB) and seven healthy liver donors (HC) were used as controls.
Samples of liver and blood from both FHF patients and HC were obtained during
transplantation procedures. Plasma levels of IL-1beta, IL-4, IL-6, IL-8, IL-10,
IFN-gamma, TNF-alpha, MCP-1, RANTES and MIP-1alpha were quantified using a
multiplex immunoassay. Cell characterization was carried out by flow cytometry.
IFN-gamma staining was performed on liver sections using immunofluorescence
methods. RESULTS: An increase of peripheral frequency of natural killer (NK)
cells expressing early activation markers (CD69, HLA-DR and CD38) and adhesion
molecule CD44 was observed in FHF patients. Elevated frequency of T lymphocytes
CD4(+) and CD8(+) expressing CD38 and adhesion molecules CD29 and CD44 was also
observed in FHF. Additionally, an increase of natural killer T cells (NKT) was
detected in FHF patients. High plasma cytokine levels were not statistically
different between FHF and AHB patients. In comparison to HC, a strong liver
expression of IFN-gamma was detected in FHF patients. The increased frequency of
CD4(+) CD44(+) and IL-8 cytokine was found in patients with poor prognosis.
CONCLUSIONS: These findings indicate the involvement of NK and NKT cells as well
as T lymphocytes CD4(+) and CD8(+) in the inflammatory process inducing FHF,
confirmed by the high hepatic expression of IFN-gamma.
PMID- 22098465
TI - The biological basis of severe outcomes in Anaplasma phagocytophilum infection.
AB - Anaplasma phagocytophilum causes granulocytic anaplasmosis, an acute disease in
humans that is also often subclinical. However, 36% are hospitalized, 7% need
intensive care, and the case fatality rate is 0.6%. The biological basis for
severe disease is not understood. Despite A. phagocytophilum's mechanisms to
subvert neutrophil antimicrobial responses, whether these mechanisms lead to
disease is unclear. In animals, inflammatory lesions track with IFNgamma and IL
10 expression and infection of Ifng(-/-) mice leads to increased pathogen load
but inhibition of inflammation. Suppression of STAT signaling in horses impacts
IL-10 and IFN-gamma expression, and also suppresses disease severity. Similar
inhibition of inflammation with infection of NKT-deficient mice suggests that
innate immune responses are key for disease. With severe disease, tissues can
demonstrate hemophagocytosis, and measures of macrophage
activation/hemophagocytic syndromes (MAS/HPS) support the concept of human
granulocytic anaplasmosis as an immunopathologic disease. MAS/HPS are related to
defective cytotoxic lymphocytes that ordinarily diminish inflammation. Pilot
studies in mice show cytotoxic lymphocyte activation with A. phagocytophilum
infection, yet suppression of cytotoxic responses from both NKT and CD8 cells,
consistent with the development of MAS/HPS. Whether severity relates to microbial
factors or genetically determined diversity in human immune and inflammatory
response needs more investigation.
PMID- 22098467
TI - Noninfectious diverticulum of mitral valve causing severe mitral regurgitation.
PMID- 22098466
TI - Discovery of a novel and potent class of F. tularensis enoyl-reductase (FabI)
inhibitors by molecular shape and electrostatic matching.
AB - Enoyl-acyl carrier protein (ACP) reductase, FabI, is a key enzyme in the
bacterial fatty acid biosynthesis pathway (FAS II). FabI is an NADH-dependent
oxidoreductase that acts to reduce enoyl-ACP substrates in a final step of the
pathway. The absence of this enzyme in humans makes it an attractive target for
the development of new antibacterial agents. FabI is known to be unresponsive to
structure-based design efforts due to a high degree of induced fit and a mobile
flexible loop encompassing the active site. Here we discuss the development,
validation, and careful application of a ligand-based virtual screen used for the
identification of novel inhibitors of the Francisella tularensis FabI target.
In this study, four known classes of FabI inhibitors were used as templates for
virtual screens that involved molecular shape and electrostatic matching. The
program ROCS was used to search a high-throughput screening library for compounds
that matched any of the four molecular shape queries. Matching compounds were
further refined using the program EON, which compares and scores compounds by
matching electrostatic properties. Using these techniques, 50 compounds were
selected, ordered, and tested. The tested compounds possessed novel chemical
scaffolds when compared to the input query compounds. Several hits with low
micromolar activity were identified and follow-up scaffold-based searches
resulted in the identification of a lead series with submicromolar enzyme
inhibition, high ligand efficiency, and a novel scaffold. Additionally, one of
the most active compounds showed promising whole-cell antibacterial activity
against several Gram-positive and Gram-negative species, including the target
pathogen. The results of a preliminary structure-activity relationship analysis
are presented.
PMID- 22098468
TI - Pilot application of iTRAQ to the retinal disease Macular Telangiectasia.
AB - We used the comparative proteomic technique iTRAQ coupled with offline 2DLC-MS/MS
to analyze a rare specimen of the poorly understood, potentially blinding
ophthalmic condition Macular Telangiectasia type 2 (MacTel type 2). We refined
the technique using an internal standard consisting of pooled samples for each
iTRAQ experiment to allow for multiple comparisons between different regions of
the retina and different tissue donors. A total of 594 nonredundant proteins were
identified in the retina and 168 in the vitreous, of which approximately half
were found in significantly different abundance in the various comparisons made.
The most prominent differences were found within the glycolytic pathway, where 8
proteins were reduced in the diseased macula compared with peripheral retina of
the same eye, and 10 were also reduced in comparison with the macula of a control
eye. Furthermore, Muller cell-associated proteins, including GFAP, VIME, and
GLNA, were also reduced in the diseased macula, consistent with a link between
the glycolytic pathway and Muller cells. These changes were validated by Western
blotting and immunohistochemical studies. Proteomic analysis of the vitreous
revealed an increase of proteins that were reduced in the retina. This supports
proteomic analysis of the more easily available vitreous, which may reveal retina
specific protein changes associated with disease. Furthermore, our study has
highlighted changes in the glycolytic pathway as a possible component of MacTel
type 2 pathobiology.
PMID- 22098470
TI - NSC 74859-mediated inhibition of STAT3 enhances the anti-proliferative activity
of cetuximab in hepatocellular carcinoma.
AB - BACKGROUND: Cetuximab [an epidermal growth factor receptor (EGFR) inhibitor],
which was shown to be effective in rectal and non-small cell lung cancers
(NSCLCs), was only modestly effective in clinical trials of hepatocellular
carcinoma (HCC). STAT3, which is thought to be a determinant of HCC sensitivity
to antitumour drugs, may be involved. AIMS: To evaluate the efficacy of
combination therapy using cetuximab and NSC 74859 (a novel STAT3 inhibitor) in
EGFR and STAT3 overexpressing hepatoma cells. METHODS: Hepatoma cell lines were
treated with cetuximab, NSC 74859 or a combination of both drugs. Efficacy of
treatment was evaluated by determining cell viability using MTT assays and
proliferation by cell counting. Expression and activation of STAT3 were
determined using Western blot analysis. We evaluated the role of STAT3 in single
and combination therapy using siRNA-mediated knock-down of STAT3 or STAT3
overexpression strategies. RESULTS: HepG2 and Huh-7 cells, which had lower levels
of pSTAT3 than SK-HEP1 cells, were more sensitive to cetuximab treatment when
compared with SK-HEP1 cells. Although none of these cell lines was sensitive to
NSC 74859 alone, NSC 74859 potentiated the antiproliferative effect of cetuximab
in all three cell lines. siRNA knock-down of STAT3 increased the sensitivity of
these cell lines to cetuximab, whereas STAT3 overexpression antagonized these
effects. CONCLUSIONS: Enhanced growth inhibition in hepatoma cells treated with
both NSC 74859 and cetuximab suggests that cetuximab resistance is probably
mediated via STAT3. Combination therapy using both inhibitors of EGFR and STAT3
signalling warrants further investigation under in vivo condition.
PMID- 22098471
TI - The distribution of fibrillin-2 and LTBP-2, and their co-localisation with
fibrillin-1 in adult bovine tail disc.
AB - We investigated the distribution of fibrillin-2 and LTBP-2 (latent TGF-beta
binding protein-2) in the intervertebral disc of the adult bovine tail. The
association of fibrillin-2 and of LTBP-2 with fibrillin-1 was examined by dual
immunofluorescence staining. Both fibrillin-2 and LTBP-2 were found extensively
distributed in all regions of the disc with the organisation of the network
varying significantly region to region. In the outer annulus fibrosus (OAF) both
fibrillin-2 and LTBP-2 co-localised with fibrillin-1 forming fibres running
parallel to the collagen fibres of the lamellae with the microfibrillar network
staining densely in between the adjacent lamellae and also at the boundaries of
the collagen bundle compartments. In the inner annulus fibrosus (IAF) and nucleus
pulposus (NP), co-localised fibrillin-1,2 and LTBP-2 formed a chondron-like
structure around the cell. By contrast, the inter-territorial matrix of the IAF
and NP contained a dense network of fibrillin-2 but only sparse/filamentous
fibres of fibrillin-1 and LTBP-2. Dual immunostaining revealed that in this
region, fibrillin-2 was highly colocalised with elastin. The LTBP-2 network co
localised well with that of fibrillin-1 in all regions and indeed is reported to
bind strongly to fibrillin-1. However, interestingly LTBP-2 but not fibrillin-1
or fibrillin-2 was removed by hyaluronidase but not collagenase pre-digestion.
Our results suggest that fibrillin-2 and LTBP-2 could play an important role in
disc function.
PMID- 22098472
TI - Saxagliptin and sitagliptin in adult patients with type 2 diabetes: a systematic
review and meta-analysis.
AB - The objective of this study is to compare the efficacy and safety of sitagliptin
and saxagliptin with placebo and other hypoglycaemic medications in adults with
type 2 diabetes. We searched MEDLINE(r), Embase, the Cochrane Library and the
International Pharmaceuticals from their inception through 3 February 2011.
Studies were included of adults with type 2 diabetes that were 12 weeks or more
in duration. Meta-analyses were conducted when included studies were homogenous
enough to justify combining their results. A total of 32 articles met inclusion
criteria. Sitagliptin 100 mg monotherapy and saxagliptin 5 mg resulted in greater
HbA1c reduction compared to placebo [weighted mean difference (WMD) -0.82%, 95%
CI -0.95 to -0.70 and WMD -0.70, 95% CI -0.84 to -0.56, respectively].
Sitagliptin was similar to sulfonylureas for HbA1c reduction (WMD 0.08%, 95% CI 0
0.16, 3 trials) and to saxagliptin in one head-to-head trial. There was no
statistically significant difference in hypoglycaemia between sitagliptin (pooled
RR 1.55, 95% CI 0.55-4.36) or saxagliptin (pooled RR 1.04, 95% CI 0.28-3.81) and
placebo. Sitagliptin and saxagliptin result in similar modest HbA1c reductions
and do not increase the risk of hypoglycaemia unless combined with other
therapies. Their role in the long-term treatment of type 2 diabetes remains
unclear given the lack of long-term data on efficacy, harms and health outcomes.
PMID- 22098473
TI - Aging and temporal order memory: a comparison of direct and indirect measures.
AB - The purpose of the present study is to compare the effect of aging on direct and
indirect measures of temporal order memory, derived from the Rey Auditory Verbal
Learning Test (AVLT). The spontaneous order in which the list was recalled in
Trial 5 served as the indirect measure, and the explicit reordering of the words
into their original order of presentation (i.e., Trial 10) served as the direct
measure. Based on previously reported norms (n = 528) on the Rey AVLT, the
effects of age (20-91 years) on the two measures of temporal order were analyzed.
The results demonstrated that the direct measure was much more sensitive to the
effect of age than the indirect measure. Furthermore, the direct measure was more
significantly correlated with other verbal memory measures derived from the Rey
AVLT. These results are consistent with studies that have documented that the
frontal lobes, implicated in temporal memory, show the most significant
degenerative changes over the years. As a result, the effortful and direct
cognitive tasks in general and particularly in memory are more vulnerable to the
effects of aging. These results lend further support to the dissociation between
direct and indirect measures of memory in older adults. These temporal order
measures, which are not usually assessed in standard batteries, could now be
derived from a standard, frequently used test (i.e., Rey AVLT) and increase its
diagnostic value.
PMID- 22098474
TI - Optical detection of brain function: simultaneous imaging of cerebral vascular
response, tissue metabolism, and cellular activity in vivo.
AB - It is known that a remaining challenge for functional brain imaging is to
distinguish the coupling and decoupling effects among neuronal activity, cerebral
metabolism, and vascular hemodynamics, which highlights the need for new tools to
enable simultaneous measures of these three properties in vivo. Here, we review
current neuroimaging techniques and their prospects and potential limitations for
tackling this challenge. We then report a novel dual-wavelength laser speckle
imaging (DW-LSI) tool developed in our labs that enables simultaneous imaging of
cerebral blood flow (CBF), cerebral blood volume, and tissue hemoglobin
oxygenation, which allows us to monitor neurovascular and tissue metabolic
activities at high spatiotemporal resolutions over a relatively large field of
view. Moreover, we report digital frequency ramping Doppler optical coherence
tomography (DFR-OCT) that allows for quantitative 3D imaging of the CBF network
in vivo. In parallel, we review calcium imaging techniques to track neuronal
activity, including intracellular calcium approach using Rhod2 fluorescence
technique that we develop to detect neuronal activity in vivo. We report a new
multimodality imaging platform that combines DW-LSI, DFR-OCT, and calcium
fluorescence imaging for simultaneous detection of cortical hemodynamics,
cerebral metabolism, and neuronal activities of the animal brain in vivo, as well
as its integration with microprobes for imaging neuronal function in deep brain
regions in vivo. Promising results of in vivo animal brain functional studies
suggest the potential of this multimodality approach for future awake animal and
behavioral studies.
PMID- 22098475
TI - Heterosis for carotenoid concentration and profile in maize hybrids.
AB - Production of high-lutein maize grain is of particular interest as a value-added
feed source to produce high-lutein eggs. In this paper, it is demonstrated that
heterosis for total carotenoid concentration and for the ratio of lutein to
zeaxanthin (L:Z ratio), or profile type, exists infrequently in yellow dent
crosses. However, yellow dent inbred maize lines A619 and CG102, both possessing
high-lutein profiles, produce F1 seed with a classic overdominant expression of
lutein levels (i.e., 49 ug/g dry weight (DW) above the high-parent value).
Reciprocal crosses of A619 and CG102 with one another and with two high
zeaxanthin (i.e., low lutein), high-carotenoid lines both suggest that the A619
and CG102 high-lutein phenotypes are achieved by different and complementary
genotypes. The contribution of CG102 to the heterotic response was examined using
a QTL-based approach that involved phenotyping the mapping population in a
testcross to A619. Significant QTL were found at loci known to be involved in the
carotenoid pathway but also at loci proximate to, but separate from, known
carotenoid pathway steps. Exploiting an overdominant heterotic response for
lutein and total carotenoids should be given strong consideration as a viable
method of producing high-carotenoid hybrid maize lines.
PMID- 22098476
TI - Aqueous garlic extract and its phytochemical profile; special reference to
antioxidant status.
AB - Garlic (Allium sativum L) has distinct nutritional profile with special reference
to its bioactive components and is used in different diet-based therapies to cure
various lifestyle-related disorders. For this purpose, characterization and
extraction of garlic were carried out followed by antioxidant assays. Different
solvents (50% aqueous ethanol, 50% aqueous methanol and water) at different time
intervals (4, 5 and 6 h) at 60 degrees C were used to optimize aqueous extraction
efficiency of garlic. Among the solvents, water extract resulted in better
extraction yield (31.85 +/- 2.09 g/25 g) at 5 h. The antioxidant potential of all
these solvents was estimated through in vitro studies. In this context, it was
observed that higher amount of total phenolic contents was present in aqueous
methanol 71.87 +/- 1.69% at 45 min. Antiradical (1,1-diphenyl-2-picrylhydrazyl
assay) and antioxidant activity showed that the maximum value was 73.80 +/- 3.69
and 83.83 +/- 0.16%, respectively, in methanolic extract at 45 min while glucose
diffusion and ferric reducing antioxidant power were 97.00 +/- 0.20 and 32.66 +/-
0.72% at p < 0.05, respectively. Aqueous garlic extract was selected as the best
treatment on the basis of percentage yield and safety modulation in human body
absorption. Aqueous garlic extract was subjected to pH, acidity, total soluble
solids (TSS) and colour. It was observed that the pH of aqueous garlic extract
decreased with the passage of time while acidity increased. It was also concluded
that storage affected the value of TSS and colour significantly. L* values for
colour on 0 day were 34.18 +/- 0.08, whereas those on 28th day were 38.84 +/-
0.03. It was predicted that 28 days storage resulted in significant increase in
L* value, while a* value decreased from 4.31 +/- 0.01 to 0.32 +/- 0.01 at the end
of storage study.
PMID- 22098477
TI - Bidirectional pattern-specific plasticity of the slow afterhyperpolarization in
rats: role for high-voltage activated Ca2+ channels and I h.
AB - A burst of action potentials in hippocampal neurons is followed by a slow
afterhyperpolarization (sAHP) that serves to limit subsequent firing. A reduction
in the sAHP accompanies acquisition of several types of learning, whereas
increases in the sAHP are correlated with cognitive impairment. The present study
demonstrates in vitro that activity-dependent bidirectional plasticity of the
sAHP does not require synaptic activation, and depends on the pattern of action
potential firing. Whole-cell current-clamp recordings from CA1 pyramidal neurons
in hippocampal slices from young rats (postnatal days 14-24) were performed in
blockers of synaptic transmission. The sAHP was evoked by action potential firing
at gamma-related (50 Hz, gamma-AHP) or theta frequencies (5 Hz, theta-AHP), two
firing frequencies implicated in attention and memory. Interestingly, when the
gamma-AHP and theta-AHP were evoked in the same cell, a gradual potentiation of
the gamma-AHP (186 +/- 31%) was observed that was blocked using Ca(2+) channel
blockers nimodipine (10 MUm) or omega-conotoxin MVIIC (1 MUm). In experiments
that exclusively evoked the sAHP with 50 Hz firing, the gamma-AHP was similarly
potentiated (198 +/- 44%). However, theta-burst firing pattern alone resulted in
a decrease (65 +/- 19%) of the sAHP. In these experiments, application of the h
channel blocker ZD7288 (25 MUm) selectively prevented enhancement of the gamma
AHP. These data demonstrate that induction requirements for bidirectional AHP
plasticity depend on the pattern of action potential firing, and result from
distinct mechanisms. The identification of novel mechanisms underlying AHP
plasticity in vitro provides additional insight into the dynamic processes that
may regulate neuronal excitability during learning in vivo.
PMID- 22098478
TI - Tumor local chemohyperthermia using docetaxel-embedded magnetoliposomes:
Interaction of chemotherapy and hyperthermia.
AB - BACKGROUND AND AIM: We have studied and reported the usefulness of tumor local
chemohyperthermia at a low-grade temperature below 43 degrees C with docetaxel
embedded magnetoliposome (DML) and an applied alternating current magnetic field.
However, the mechanisms of this treatment and the dynamics of the injected
docetaxel were not investigated in our previous study. Thus, we investigated the
interaction of chemotherapy and hyperthermia in the treated tumor. METHODS: Human
MKN45 gastric cancer cells were implanted in the hind limbs of Balb-c/nu/nu mice.
DML, magnetite-loaded liposome, and docetaxel were injected into the tumors with
or without being exposed to an alternating current magnetic field. Docetaxel and
tumor necrosis factor-alpha concentrations, the cell cycle, and cell death rates
in the tumor were examined. RESULTS: Docetaxel concentrations were significantly
higher in the DML-injected group than in the docetaxel-injected group 3 days
after injection. A G2/M peak was observed 1 day after treatment in the DML
injected and exposed group and the docetaxel-injected group, while it was
observed 3 days after treatment in the DML-injected without heating group and the
magnetite-loaded liposome group. The tumor cell death rate gradually increased in
the DML-injected group, with or without being exposed, while it gradually
decreased after its peak in other groups. The tumor necrosis factor-alpha
concentration in the tumor treated with DML with heating remained at a high level
on the 7th day after treatment, while it decreased after its peak in other
groups. CONCLUSION: The antitumor effect of this treatment derives from a
combination of hyperthermia and chemotherapy locally in the tumor.
PMID- 22098479
TI - An integrative review of interventions promoting self-care of patients with heart
failure.
AB - AIM AND OBJECTIVE: To examine the interventions used to improve self-care of
heart failure patients. The specific objectives were to examine the efficacy of
interventions to improve heart failure self-care (self-maintenance and self
management behaviours) and patient-related factors such as knowledge about heart
failure, self-efficacy for heart failure self-care (confidence) and beliefs
regarding heart failure self-care. BACKGROUND: Despite the significant advances
in the treatment and management of heart failure, there continues to be poor
patient outcomes associated with this clinical syndrome. DESIGN: An integrative
review. METHOD: A search of MEDLINE, PsychINFO, Cochrane data base of clinical
trials and the cumulative index of nursing and allied health literature (CINAHL)
databases was conducted using 14 search terms for a period from 2000-2010. Hand
searching of reference lists and author lists was also conducted. Nineteen
eligible self-care intervention studies were included in this review. RESULTS:
Cognitive-behavioural intervention mechanisms were most frequently used to
improve patient's heart failure self-care. In the majority of the studies, the
interventions demonstrated efficacy by improving heart failure patients' self
care maintenance and management behaviours. Intervention group subjects, in the
majority of studies, had significantly higher levels of knowledge pertaining to
heart failure and heart failure related self-care. RELEVANCE TO CLINICAL
PRACTICE: Based on these findings, there are improved patient outcomes when
standard patient education for heart failure is augmented using cognitive
behavioural strategies that include additional evidence-based education and
counselling.
PMID- 22098480
TI - Identification and characterization of low molecular weight polyphenols in berry
leaf extracts by HPLC-DAD and LC-ESI/MS.
AB - This paper reports the results of qualitative and quantitative analyses of low
molecular weight phenolics from five berry leaf extract using HPLC-DAD and LC
ESI/MS. The identification of the black currant, raspberry, bilberry,
honeysuckle, and strawberry leaf phytochemicals was based on the comparison of UV
vis absorption maxima (lambda(max)) and mass spectral analysis. The peak
identification in samples was also based on comparisons of the retention times
(t(R)) of the isolated phytochemical standards. Knowledge of the precise phenolic
profile of berry leaves may offer a scientific basis to put the underutilized
berry leaves to good use as very cheap raw materials for polyphenol extract
production. These studies indicated that these leaves can be used as a good and
cheap source of bioactive constituents. These results suggest that berry leaves
are a potential source of phenolics and have potential pro-healthy properties to
contribute to human health.
PMID- 22098481
TI - Enhancement of the mechanical properties of directly spun CNT fibers by chemical
treatment.
AB - Translating the remarkable mechanical properties of individual carbon nanotubes
to macroscopic assemblies presents a unique challenge in maximizing the potential
of these remarkable entities for new materials. Infinitely long individual
nanotubes would represent the ideal molecular building blocks; however, in the
case of length-limited nanotubes, typically in the range of micro- and
millimeters, an alternative strategy could be based on the improvement of the
mechanical coherency between bundles assembling the macroscopic materials, like
fibers or films. Here, we present a method to enhance the mechanical performance
of fibers continuously spun from a CVD reactor, by a postproduction processing
methodology utilizing a chemical agent aided by UV irradiation. The treatment
results in an increase of 100% in specific strength and 300% in toughness of the
fibers with strength values rocketing to as high as 3.5 GPa SG(-1). An attempt
has been made to explore the nature of the chemical modifications introduced in
the fiber and the consequential effects on its properties.
PMID- 22098482
TI - Expression of multiple cytokines and CCR4 in primary testicular diffuse large B
cell lymphoma, not otherwise specified, involving the skin.
PMID- 22098483
TI - Visual acuity changes in cone and cone-rod dystrophies.
AB - PURPOSE: The purpose of the study was to evaluate longitudinal visual acuity (VA)
changes in cone (CD) and cone-rod dystrophies (CRD) in order to develop
recommendations for follow-up strategies and to define an optimal time for
potential therapeutic intervention. METHODS: Patients with clinically defined CD
and CRD, who had at least three clinical examinations within a follow-up period
of a minimum of 2 years, were included in the study. The observation period was
divided into segments: between 1-2 visits and 2-3 visits in intervals of 2 years,
and between 3-4 visits in 3-year intervals. Disease history was collected during
the baseline examination. Median age of onset, age at first examination, and
period between disease onset and 1st visit (latency) were estimated. Medians with
25th and 75th quantile of VA decrease in logMAR for each segment of observation
were calculated. The median percentage of VA decrease was also calculated.
RESULTS: Initial results of the Tuebingen longitudinal study of VA changes in CRD
and CD are presented as medians with 25th and 75th quintiles. Twenty-nine
patients (14 men and 15 women) were studied. Nineteen of them had CRD and 10 CD.
Median age at the baseline visit was 18 (11, 31) years for CRD and 26 (8, 41.5)
years for CD. Median age of disease onset was 9 (8, 25) years for CRD and 7.5 (5,
15) years for CD. The median latency was 6.5 (3; 8.25) years in CD and 4 (2, 10)
years in CRD patients. VA in CD and CRD patients was significantly different only
during the first visit (p < 0.03). VA decrease was highest in the period between
2-3 visits with a median VA decrease of 36%, for CDR and between 3-4 visits for
CD with a median VA decrease of 80%. In the CRD group the rate of VA decline was
fairly even over the four visits, whereas in the CD group the decline appeared to
progressively increase towards the end of the follow-up. CONCLUSION: CRD patients
were younger than those with CD at a baseline visit and had a longer period of
follow-up. A statistically significant difference in VA in CRD and CD was
observed at the first ophthalmological examination only. VA decrease was most
prominent in the second decade of life in CRD and in third decade in CD patients.
CRD was characterized by a more progressive VA decrease than CD. CRD had a high
decline of VA over the second and the third examination, whereas VA decline in CD
progressed towards the end of follow-up period (fourth examination). These
results should be considered when advising and following up such patients on a
long-term basis.
PMID- 22098484
TI - Synthesis, structural characterization, photophysical, electrochemical, and anion
sensing studies of luminescent homo- and heteroleptic ruthenium(II) and
osmium(II) complexes based on terpyridyl-imidazole ligand.
AB - A series of hetero- and homoleptic tridentate ruthenium(II) and osmium(II)
complexes of compositions [(tpy-PhCH(3))Ru(tpy-HImzphen)](ClO(4))(2) (1),
[(H(2)pbbzim)Ru(tpy-HImzphen)](ClO(4))(2) (2), and [M(tpy
HImzphen)(2)](ClO(4))(2) [M = Ru(II) (3) and Os(II) (4)], where tpy-PhCH(3) = 4'
(4-methylphenyl)-2,2':6',2"-terpyridine, H(2)pbbzim = 2,6-bis(benzimidazole-2
yl)pyridine and tpy-HImzphen = 2-(4-[2,2':6',2"]terpyridine-4'-yl-phenyl)-1H
phenanthro[9,10-d]imidazole, have been synthesized and characterized by using
standard analytical and spectroscopic techniques. X-ray crystal structures of
three complexes 2, 3, and 4 have been determined. The absorption spectra, redox
behavior, and luminescence properties of the complexes have been thoroughly
investigated. All of the complexes display moderately strong luminescence at room
temperature with lifetimes in the range of 10-55 ns. The effect of solvents on
the absorption and emission spectral behavior of the complexes has also been
studied in detail. The anion sensing properties of all the complexes have been
studied in solution using absorption, emission, and (1)H NMR spectral studies and
by cyclic voltammetric (CV) measurements. It has been observed that the complexes
1, 3, and 4 act as sensors for F(-)only, whereas 2 acts as sensor for F(-), AcO(
), and to some extent for H(2)PO(4)(-). It is evident that in the presence of
excess of anions deprotonation of the imidazole N-H fragment(s) occurs in all
cases, an event which is signaled by the development of vivid colors visible with
the naked eye. The receptor-anion binding/equilibrium constants have been
evaluated.
PMID- 22098485
TI - Decoding the roles of pilotins and accessory proteins in secretin escort
services.
AB - Secretins are channels that allow translocation of macromolecules across the
outer membranes of Gram-negative bacteria. Virulence, natural competence, and
motility are among the functions mediated by these large oligomeric protein
assemblies. Filamentous phage also uses secretins to exit their bacterial host
without causing cell lysis. However, the secretin is only a part of a larger
membrane-spanning complex, and additional proteins are often required for its
formation. A class of outer membrane lipoproteins called pilotins has been
implicated in secretin assembly and/or localization. Additional accessory
proteins may also be involved in secretin stability. Significant progress has
recently been made toward deciphering the complex interactions required for
functional secretin assembly. To allow for easier comparison between different
systems, we have classified the secretins into five different classes based on
their requirements for proteins involved in their assembly, localization, and
stability. An overview of pilotin and accessory protein structures, functions,
and characterized modes of interaction with the secretin is presented.
PMID- 22098486
TI - Intra- and inter-session reliability of vertical jump performance in healthy
middle-aged and older men and women.
AB - Despite its widespread use in performance assessment, the reliability of vertical
jump in an ageing population has not been addressed properly. The aim of the
present study was to assess intra- and inter-day reliability of countermovement
jump in healthy middle-aged (55-65 years) and older (66-75 years) men and women.
Eighty-two participants were recruited and asked to perform countermovement jumps
on two different occasions interspersed by 4 weeks. The middle-aged groups
exhibited excellent absolute reliability for flight height, jump height, peak
force, peak power, peak force/body mass, and peak power/body mass, with
coefficients of variation ranging from 2.9% to 7.2% in men and from 3.6% to 6.9%
in women and moderate-to-high intraclass correlations (0.75 to 0.97 in men; 0.77
to 0.95 in women). The older groups displayed good coefficients of variation
(4.2% to 10.8% in men and 3.4% to 9.5% in women), but the intraclass correlations
were low-to-high (0.43 to 0.84 in men; 0.42 to 0.93 in women). Overall, intra
session reliability was higher than inter-session reliability. Peak power was by
far the most consistent variable, whereas flight and jump height had the most
marked variability. The minimum detectable change varied from 10.5% to 33%,
depending on the variable examined, suggesting important implications for
intervention studies.
PMID- 22098487
TI - The natural history of hepatitis C virus infection acquired during childhood.
AB - BACKGROUND: The outcome of patients with hepatitis C virus (HCV) infection
acquired during childhood in the absence of antiviral therapy is not clear. AIMS:
The purpose of this study was to review the outcome of untreated HCV acquired in
childhood. Only population-based studies were included, as referred cases would
be predicted to have more severe disease. METHODS: A systematic review of the
literature was completed up to October 2010 to identify studies where a
population was screened for HCV infection that was presumably acquired during
childhood. Demographical and clinical data were collected on infected patients
who had not been treated with an antiviral. Primary outcome was development of a
severe adverse outcome (cirrhosis, hepatoma, need for a liver transplant or liver
related death). RESULTS: There were 25 studies reporting a total of 733 infected
patients. Liver biopsy results were provided for 180 patients (25%), revealing
cirrhosis in eight (1.0% of the total and 4.0% of those who had a biopsy). None
of the other patients developed a severe adverse outcome. As a result of the
small number of patients with a severe adverse outcome, risk factors for HCV
progression could not be identified. CONCLUSION: Although HCV can lead to liver
transplantation and death during childhood, the vast majority of patients with
disease acquired during childhood have slowly progressive disease. There is no
clear indication for antiviral therapy in the majority of children with HCV
infection.
PMID- 22098488
TI - Freezing 'stem cells' in a bag and tube under various freezing conditions?
PMID- 22098489
TI - The indirect consequences of a mutualism: comparing positive and negative
components of the net interaction between honeydew-tending ants and host plants.
AB - 1. In ecological webs, net indirect interactions between species are composed of
interactions that vary in sign and magnitude. Most studies have focused on
negative component interactions (e.g. predation, herbivory) without considering
the relative importance of positive interactions (e.g. mutualism, facilitation)
for determining net indirect effects. 2. In plant/arthropod communities, ants
have multiple top-down effects via mutualisms with honeydew-producing herbivores
and harassment of and predation on other herbivores; these ant effects provide
opportunities for testing the relative importance of positive and negative
interspecific interactions. We manipulated the presence of ants, honeydew
producing membracids and leaf-chewing beetles on perennial host plants in field
experiments in Colorado to quantify the relative strength of these different
types of interactions and their impact on the ant's net indirect effect on
plants. 3. In 2007, we demonstrated that ants simultaneously had a positive
effect on membracids and a negative effect on beetles, resulting in less beetle
damage on plants hosting the mutualism. 4. In 2008, we used structural equation
modelling to describe interaction strengths through the entire insect herbivore
community on plants with and without ants. The ant's mutualism with membracids
was the sole strong interaction contributing to the net indirect effect of ants
on plants. Predation, herbivory and facilitation were weak, and the net effect of
ants reduced plant reproduction. This net indirect effect was also partially
because of behavioural changes of herbivores in the presence of ants. An
additional membracid manipulation showed that the membracid's effect on ant
activity was largely responsible for the ant's net effect on plants; ant workers
were nearly ten times as abundant on plants with mutualists, and effects on other
herbivores were similar to those in the ant manipulation experiment. 5. These
results demonstrate that mutualisms can be strong relative to negative direct
interspecific interactions and that positive interactions deserve attention as
important components of ecological webs.
PMID- 22098490
TI - Neuro-inflammatory sequelae of minimal trauma in the non-traumatized human brain.
A microdialysis study.
AB - Cytokine measurement directly from the brain parenchyma by means of microdialysis
has documented the activation of certain procedures in vivo, after brain trauma
in humans. However, the intercalation of the micro-catheter insertion with the
phenomena triggered by the head trauma renders the assessment of the findings
problematic. The present study attempts to elucidate the pure effect of minimal
trauma, represented by the insertion of the micro-catheter, on the non
traumatized human brain. Microdialysis catheters were implanted to twelve
patients with drug-resistant epilepsy, subjected to invasive electroencephalogram
recording with intracranial electrodes. Samples were collected during the first 5
days of monitoring. The dialysate was analyzed using bead flow cytometry, and the
concentrations of IL-1, IL-6, IL-8, IL-10, IL-12, and TNFa were measured. The
levels of IL-1 and IL-8 were found raised until 48 hours post-implantation and
thereafter they reached a plateau of presumably basic values. The temporal
profile of the IL-6 variation was different, the raise being much prolonged, as
its concentration had not returned to basic levels at the fifth day post
insertion. TNFa was found significantly raised only 2 hours after the
implantation. Interleukins 10 and 12 did not present any significant response to
micro-trauma. These findings, probably more clearly detected in an environment
disengaged by the sequelae of severe brain injury, imply that the reaction of the
neuro-inflammatory mechanisms of the brain exists even after minimal trauma and
is unexpectedly intense concerning IL-6. This response concerns also IL-1, IL-8
and TNFa, while IL-10 and IL-12 may necessitate more severe injuries to be
activated. An approach to the question of basic cytokine levels in the normal
brain parenchyma is attempted. Finally, questions may rise regarding the
objectivity of findings attributed by some studies to inflammatory perturbation
after head injury.
PMID- 22098491
TI - Hypoxic hepatitis.
AB - Hypoxic hepatitis (HH), an acute liver injury also known as 'ischaemic hepatitis'
or 'shock liver', is frequently observed in intensive care units. HH is heralded
by a massive but transient rise in serum aminotransferase activities caused by
anoxic necrosis of centrilobular liver cells. Cardiac failure, respiratory
failure and toxic-septic shock are the main underlying conditions accounting for
more than 90% of cases, but HH may also occur in other circumstances. Until
recently, liver ischaemia, i.e. a drop in hepatic blood flow, was considered the
leading, and even the sole, hemodynamic mechanism responsible for HH, and it was
generally held that a shock state was required. In reality, other hemodynamic
mechanisms of hypoxia, such as passive congestion of the liver, arterial
hypoxaemia and dysoxia, play an important role while a shock state is observed in
only 50% of cases. Accordingly, 'ischaemic hepatitis' and 'shock liver' are
misnomers. Therapy of HH depends primarily on the nature of the underlying
condition. The prognosis is poor, with more than half of patients dying during
the hospital stay.
PMID- 22098492
TI - Three-dimensional echocardiographic evaluation of the Fontan conduit for
thrombus.
AB - INTRODUCTION: Total caval pulmonary connection (Fontan circuit) is the final
common pathway for the palliation of single ventricle congenital heart disease.
Flow within the Fontan circuit results in an environment that is prone to
thrombus formation putting the patient at risk for pulmonary and/or systemic
embolus. To prevent these problems, patients are placed on antithrombotic therapy
and periodically evaluated for thrombus within the conduit. Two-dimensional (2D)
echocardiography, although commonly used to evaluate these patients, has been
shown to have limited ability in accurately identifying thrombi within the Fontan
conduit. The diagnosis of intracardiac thrombi has been augmented by three
dimensional (3D) echocardiography and the patients in this series were evaluated
with 3D imaging (3D transthoracic echocardiography and/or 3D transesophageal
echocardiography) to determine if thrombi could be detected or excluded within
the Fontan conduit. To the author's knowledge, this constitutes the first case
series describing the use of 3D echocardiography to evaluate the Fontan conduit
for thrombus. METHODS: The four patients described in this case series underwent
3D echocardiography to evaluate the Fontan conduit for a suspected or potential
thrombus. RESULTS: The Fontan conduit was imaged and thrombi were felt to be
documented or excluded in all four patients with 3D echocardiography.
CONCLUSIONS: Three-dimensional echocardiography may enhance the ability to detect
or exclude thrombi within the Fontan conduit. Further studies are needed to
determine if this will prove to be an effective and reliable technique in
evaluating the Fontan conduit for thrombus.
PMID- 22098493
TI - Challenges and expectations of the Mental Capacity Act 2005: an interview-based
study of community-based specialist nurses working in dementia care.
AB - AIMS: This study aimed to explore experiences of specialist community nurses
providing information about the Mental Capacity Act and supporting people with
dementia and carers. BACKGROUND: The role of specialist community nurses and case
managers, such as Admiral Nurses, suggests that providing information about the
recent Mental Capacity Act (2005) in England and Wales would be appreciated by
people with dementia and carers and would assist in assessment and support.
DESIGN: In-depth qualitative methodology was adopted to explore experiences and
opinions of Admiral Nurses using the Mental Capacity Act. METHOD: A volunteer
sample of 15 Admiral Nurses were interviewed in 2008 about their experiences of
explaining the legal framework to carers and people with dementia and
expectations of the Act. Thematic analysis identified textual consistencies in
the interviews. RESULTS: Most participants reported positively about the Mental
Capacity Act and considered it beneficial when working with people with dementia
and carers. Specific themes included knowledge acquisition and training,
alongside limited confidence with implementation; practice experiences in the
community and the empowering nature of the Mental Capacity Act; practice
expectations and challenges with implementation. CONCLUSION: The Mental Capacity
Act has potential for supporting the safeguarding and empowerment role of
community nurses. However, not all participants felt confident using it and
speculated this would improve with greater familiarity and use, which should be
facilitated by refresher training and supervision. RELEVANCE TO CLINICAL
PRACTICE: The article concludes that nurses providing support to carers and of
people with dementia may need greater familiarity about legal provisions. This
may assist them in providing general information, making timely referrals to
sources of specialist legal advice, and in using the Act to reduce anxiety,
conflict and disputes.
PMID- 22098494
TI - Cyclic hydroxyamidines as amide isosteres: discovery of oxadiazolines and
oxadiazines as potent and highly efficacious gamma-secretase modulators in vivo.
AB - Cyclic hydroxyamidines were designed and validated as isosteric replacements of
the amide functionality. Compounds with these structural motifs were found to be
metabolically stable and to possess highly desirable pharmacokinetic profiles.
These designs were applied in the identification of gamma-secretase modulators
leading to highly efficacious agents for reduction of central nervous system
Abeta(42) in various animal models.
PMID- 22098495
TI - Biological determinants of bleeding in patients with heterozygous factor XI
deficiency.
AB - Bleeding risk is not predictable in patients with factor XI (FXI; F11)
deficiency. In this prospective study, our objectives were to determine the
biological determinants for bleeding risk in patients with heterozygous FXI
deficiency. Patients were classified as either bleeding patients or non-bleeding
patients by calculating the bleeding score (BS) described for von Willebrand
disease. Primary haemostasis, thrombin generation, thromboelastometry,
procoagulant proteins, inhibitors, fibrinolysis, and F11 gene mutations were
compared between bleeding and non-bleeding patients. Thirty-nine patients were
included. BS significantly correlated with clinical assessment (P=0.001), and a
score over 3 discriminated between bleeding (n=15) and non-bleeding (n=24)
patients (P=0.034). Despite normal values, von Willebrand factor (VWF) and
thrombomodulin (TM) plasma levels were significantly lower in bleeding patients
than non-bleeding patients [ristocetin cofactor activity (VWF:RCo)=80.6+/-29.7
iu/dl and 101.8+/-29.5iu/dl respectively, P=0.043; and VWF antigen
(VWF:Ag)=84.0+/-28.0 iu/dl and 106.3+/-36.1 iu/dl respectively, P=0.035; and
TM=17.7+/-11.7ng/ml and 23.6+/-9.7ng/ml respectively, P=0.043]. When considering
BS as a continuous variable, only VWF:RCo remained significant (P=0.042), which
accounted for 11% of the variability in BS.
PMID- 22098496
TI - Occurrence and profiles of polycyclic aromatic hydrocarbons (PAHs),
polychlorinated biphenyls (PCBs) and organochlorine pesticides (OCPs) in soils
from a typical e-waste recycling area in Southeast China.
AB - Surface soils collected from Taizhou, a typical e-waste recycling area in
Southeast China, were analyzed for the residues of polycyclic aromatic
hydrocarbons (PAHs), polychlorinated biphenyls (PCBs), and organochlorine
pesticides (OCPs) by using microwave-assisted extraction and gas chromatography
mass spectrometry (GC-MS). The total concentrations of 16 PAHs in US EPA's
priority list (SigmaPAHs), six indicator PCBs (SigmaPCBs), 15 OCPs widely used in
China (SigmaOCPs) in soils ranged from 125 to 4737 ng/g (average: 854 ng/g), from
not detected to 55.4 ng/g (3.16 ng/g), and from 47.9 to 820 ng/g (276 ng/g),
respectively. Individual PAHs were ubiquitously found in soil samples with
detected ratio of 96% and their residual levels were comparable with those of
serious polluted sites. Principal component analysis in combination with
diagnostic ratios suggested that the combustion of coal, wood, and plastic wastes
that are closely associated with illegal and unsafe recycling operations of e
wastes was the main source of PAHs in this area. Compared with other polluted
sites, the PCBs residues in soils were generally low except for those in the
major recycling site. The residual levels of OCPs in this region were also
relatively higher and hexachlorocyclohexane (HCH),
dichlorodiphenyltrichloroethane (DDT) and its metabolite forms including
dichlorodiphenyldichloroethane (DDD) and dichlorodiphenyldichloroethylene (DDE),
hexachlorobenzene (HCB), and dicofol were dominant species. The composition
analysis indicated that the HCHs residues in soils might originate from the
application of lindane (pure gamma-HCH) and parts of DDTs possibly from the wide
use of dicofol with high impurity of DDT compounds in this region.
PMID- 22098497
TI - Expression profile of genes involved in pathogenesis of pediatric Crohn's
disease.
AB - BACKGROUND AND AIM: Expression profiling of genes specific to pediatric Crohn's
Disease (CD) patients was performed to elucidate the molecular mechanisms
underlying disease cause and pathogenesis at disease onset. METHODS: We used
suppressive subtractive hybridization (SSH) and differential screening analysis
to profile the mRNA expression patterns of children with CD and age- and sex
matched controls without inflammatory bowel disease (IBD). RESULTS: Sequence
analysis of 1000 clones enriched by SSH identified 75 functionally annotated
human genes, represented by 430 clones. The 75 genes have potential involvement
in gene networks, such as antigen presentation, inflammation, infection
mechanism, connective tissue development, cell cycle and cancer. Twenty-eight
genes were previously described in association with CD, while 47 were new genes
not previously reported in the context of IBD. Additionally, 29 of the 75 genes
have been previously implicated in bacterial and viral infections. Quantitative
real-time reverse transcription polymerase chain reaction performed on ileal
derived RNA from 13 CD and nine non-IBD patients confirmed the upregulation of
extracellular matrix gene MMP2 (P = 0.001), and cell proliferation gene REG1A (P
= 0.063) in our pediatric CD cohort. CONCLUSION: The retrieval of 28 genes
previously reported in association with adult CD emphasizes the importance of
these genes in the pediatric setting. The observed upregulation of REG1A and
MMP2, and their known impact on cell proliferation and extracellular matrix
remodeling, agrees with the clinical behavior of the disease. Moreover, the
expressions of bacterial- and virus-related genes in our CD-patient tissues
support the concept that microbial agents are important in the etiopathogenesis
of CD.
PMID- 22098501
TI - Evidence of nanocrystalline semiconducting graphene monoxide during thermal
reduction of graphene oxide in vacuum.
AB - As silicon-based electronics are reaching the nanosize limits of the
semiconductor roadmap, carbon-based nanoelectronics has become a rapidly growing
field, with great interest in tuning the properties of carbon-based materials.
Chemical functionalization is a proposed route, but syntheses of graphene oxide
(G-O) produce disordered, nonstoichiometric materials with poor electronic
properties. We report synthesis of an ordered, stoichiometric, solid-state carbon
oxide that has never been observed in nature and coexists with graphene.
Formation of this material, graphene monoxide (GMO), is achieved by annealing
multilayered G-O. Our results indicate that the resulting thermally reduced G-O
(TRG-O) consists of a two-dimensional nanocrystalline phase segregation:
unoxidized graphitic regions are separated from highly oxidized regions of GMO.
GMO has a quasi-hexagonal unit cell, an unusually high 1:1 O:C ratio, and a
calculated direct band gap of ~0.9 eV.
PMID- 22098502
TI - Development of a duplex real-time PCR for the detection of Rickettsia spp. and
typhus group rickettsia in clinical samples.
AB - Molecular diagnosis using real-time polymerase chain reaction (PCR) may allow
earlier diagnosis of rickettsiosis. We developed a duplex real-time PCR that
amplifies (1) DNA of any rickettsial species and (2) DNA of both typhus group
rickettsia, that is, Rickettsia prowazekii and Rickettsia typhi. Primers and
probes were selected to amplify a segment of the 16S rRNA gene of Rickettsia spp.
for the pan-rickettsial PCR and the citrate synthase gene (gltA) for the typhus
group rickettsia PCR. Analytical sensitivity was 10 copies of control plasmid DNA
per reaction. No cross-amplification was observed when testing human DNA and 22
pathogens or skin commensals. Real-time PCR was applied to 16 clinical samples.
Rickettsial DNA was detected in the skin biopsies of three patients. In one
patient with severe murine typhus, the typhus group PCR was positive in a skin
biopsy from a petechial lesion and seroconversion was later documented. The two
other patients with negative typhus group PCR suffered from Mediterranean and
African spotted fever, respectively; in both cases, skin biopsy was performed on
the eschar. Our duplex real-time PCR showed a good analytical sensitivity and
specificity, allowing early diagnosis of rickettsiosis among three patients, and
recognition of typhus in one of them.
PMID- 22098504
TI - Palladium-catalyzed Heck-type reactions of alkyl iodides.
AB - A palladium-catalyzed Heck-type reaction of unactivated alkyl iodides is
described. This process displays broad substrate scope with respect to both
alkene and alkyl iodide components and provides efficient access to a variety of
cyclic products. The reaction is proposed to proceed via a hybrid organometallic
radical mechanism, facilitating the Heck-type process with alkyl halide coupling
partners. Initial intermolecular studies are also reported, demonstrating the
potentially wide applicability of this approach in synthesis.
PMID- 22098503
TI - Ablation of connexin30 in transgenic mice alters expression patterns of
connexin26 and connexin32 in glial cells and leptomeninges.
AB - Expression of connexin26 (Cx26), Cx30 and Cx43 in astrocytes and expression of
Cx29, Cx32 and Cx47 in oligodendrocytes of adult rodent brain has been well
documented, as has the interdependence of connexin expression patterns of
macroglial cells in Cx32- and Cx47-knockout mice. To investigate this
interdependence further, we examined immunofluorescence labelling of glial
connexins in transgenic Cx30 null mice. Ablation of astrocytic Cx30, confirmed by
the absence of immunolabelling for this connexin in all brain regions, resulted
in the loss of its coupling partner Cx32 on the oligodendrocyte side of astrocyte
oligodendrocyte (A/O) gap junctions, but had no effect on the localization of
astrocytic Cx43 and oligodendrocytic Cx47 at these junctions or on the
distribution of Cx32 along myelinated fibres. Surprisingly, gene deletion of Cx30
led to the near total elimination of immunofluorescence labelling for Cx26 in all
leptomeningeal tissues covering brain surfaces as well as in astrocytes of brain
parenchyma. Moreover northern blot analysis revealed downregulation of Cx26 mRNA
in Cx30-knockout brains. Our results support earlier observations on the
interdependency of Cx30/Cx32 targeting to A/O gap junctions and further suggest
that Cx26 mRNA expression is affected by Cx30 gene expression. In addition, Cx30
protein may be required for co-stabilization of gap junctions or for co
trafficking in cells.
PMID- 22098505
TI - Simultaneous determination of seven bioactive components in Oolong tea Camellia
sinensis: quality control by chemical composition and HPLC fingerprints.
AB - A simple and reliable method of high-performance liquid chromatography (HPLC) was
developed for the quality control of oolong tea (the dry leaves of Camellia
sinensis ): the quality control included the HPLC fingerprint and the
quantitative determination of seven bioactive compounds chemicals, namely, (-)
gallocatechin, (-)-epigallocatechin, (-)-epigallocatechin gallate, caffeine, (-)
epicatechin, gallocatechin gallate, and (-)-epicatechin gallate. The developed
analyses of the chemicals excelled in quantifying the chemicals in oolong tea.
The chemical fingerprint of oolong tea was established using the raw materials of
three main production sites in China, that is, Fujian (southern and northern
parts), Taiwan, and Guangdong. The fingerprints from different cultivated sources
were analyzed by hierarchical cluster analysis, similarity analysis, principal
component analysis (PCA), analysis of variance (ANOVA), and discriminant
analysis. The results indicated that the combination of chromatographic
fingerprint and quantification analysEs could be used for the quality assessment
of oolong tea and its derived products.
PMID- 22098506
TI - Giant tubular adenoma of the breast: a rare entity.
PMID- 22098507
TI - Implications of the Frequent Hemodialysis Network-Daily Trial.
AB - Despite many years of experience with hemodialysis, the outcomes of maintenance
dialysis patients remain poor. The Frequent Hemodialysis Network-Daily (FHN
Daily) Trial found that six times per week in-center short hemodialysis decreased
left ventricular mass and improved self-reported physical functioning over a 1
year intervention period compared with conventional thrice-weekly dialysis.
Despite the promising results, caution is needed in the projection of these
intermediate outcomes to hard clinical endpoints, such as cardiovascular events
and death.
PMID- 22098508
TI - Operative innovations for colorectal cancer.
PMID- 22098509
TI - Sealed Orifice Laparoscopic or Endoscopic (SOLE) Surgery: technology and
technique convergence for next-step colorectal surgery.
AB - The new avenue of minimally invasive surgery, referred to as single
incision/access laparoscopy, is often presented as an alternative to standard
multiport approaches, whereas in fact it is more usefully perceived as a
complementary modality. The emergence of the technique can be of greater use both
to patients and to the colorectal specialty if its principles can be merged into
next-stage evolution by synergy with more conventional practice. In particular,
rather than device specificity, what is needed is convergence of capability that
can be applied by the same surgeon in differing scenarios depending on the
individualized patient and disease characteristics. We detail here the global
applicability of a simple access device construct that allows the provision of
simple and complex single-port laparoscopy as well as contributing to multiport
laparoscopic and transanal resections in a manner that is reliable, reproducible,
ergonomical and economical.
PMID- 22098510
TI - Optimizing patient outcomes in laparoscopic surgery.
AB - There is increasing recognition that the entire peri-operative care delivered
plays a vital role in determining patient's outcome. Optimisation of this care
helps to prevent complications beyond immediate morbidity and mortality. Of the
20 factors described in Enhanced Recovery Programmes, some have a greater impact
than others, with analgesia and fluid therapy being two of the main factors. 1
Analgesia - The main analgesic regimes used so far for laparoscopic colorectal
surgery have been continuous thoracic epidural and patient controlled analgesia.
There is a growing body of opinion that epidural analgesia may not be required
for laparoscopic surgery. 2 Individualised goal directed therapy - It is now
recognized that measuring flow rather than pressure within the cardiovascular
system is more important. Fluid therapy impacts on the outcome by minimizing
fluid shifts, optimizing stroke volume and restricting the salt load given whilst
maintaining normovolaemia. Analgesia and fluid therapy, together with the
remaining enhanced recovery criteria have led to the development of the trimodal
approach.
PMID- 22098511
TI - Near-infrared laparoscopy for real-time intra-operative arterial and lymphatic
perfusion imaging.
AB - Multimodal laparoscopic imaging systems possessing the capability for extended
spectrum irradiation and visualization within a unified camera system are now
available to provide enhanced intracorporeal operative anatomic and dynamic
perfusion assessment and potentially augmented patient outcome. While ultraviolet
range energies have limited penetration and hence are probably more useful for
endoscopic mucosal interrogation, the near-infrared (NIR) spectrum is of greater
potential utility for the purposes of examining inducible fluorescence in
abdominopelvic tissue that can be achieved by administration of specific tracer
agents, either directly into the circulation (e.g. for anastomotic perfusion
assessment at the time of stapling) or into the lymphatic system (e.g. for lymph
basin road-mapping and/or focussed target nodal assessment). This technology is
also capable of supplementing anatomic recognition of the biliary system while
implantable fibres can also be inserted intraoperatively for the purpose of
safeguarding vital structures such as the oesphagus and ureters especially in
difficult reoperations. It is likely that this technological capability will find
a clear and common indication in colorectal specialist and general surgical
departments worldwide in the near future.
PMID- 22098512
TI - Early, minimally invasive closure of anastomotic leaks: a new concept.
AB - Chronic pelvic sepsis after ileoanal or coloanal anastomosis precludes ileostomy
closure and, even if closure is ultimately possible, function of the neorectum is
badly affected. Early closure of the anastomotic leak might prevent chronic
pelvic sepsis and its adverse sequelae. In our experience of early closure in a
consecutive group of six patients with a leaking low anastomosis (five with
ileoanal pouch anastomosis and one after a low anterior resection), we were able
to achieve anastomotic closure in five by means of initial endosponge therapy
followed either by early suture (four patients) or endoscopic clip repair (one
patient). Early minimally invasive closure of low anastomotic leaks is therefore
possible provided that the para-anastomotic cavity is drained well prior to
closure and the anastomosis is defunctioned.
PMID- 22098513
TI - Transanal extraction of the specimen during laparoscopic colectomy.
AB - AIM: To assess the current state of the art of transanal specimen extraction in
colonic resections. METHOD: A systematic literature search was conducted
including the terms 'transrectal or transanal specimen extraction', 'Natural
Orifice Specimen Extraction' and 'laparoscopic colectomy' for the period from
1955 to May 2011. Exclusion criteria were abdomino-perineal resections, pull
through technique, experimental studies and paediatric population. RESULTS:
Nineteen studies met the inclusion criteria, representing 154 patients. The
overall postoperative complication rate was 10%. The risks of peritoneal
contamination and sphincter dysfunction were evaluated by a single study of each.
CONCLUSION: Transanal extraction is a feasible option to minimize incisions in
colorectal surgery.
PMID- 22098514
TI - Laparoscopic low anterior resection and transanal pull-through for low rectal
cancer: a Natural Orifice Specimen Extraction (NOSE) technique.
AB - Ultralow anterior resection with coloanal anastomosis has been proven to be
oncologically sound and the majority of patients will have acceptable functional
outcome. Here we describe a technique that combines laparoscopic ultralow total
mesorectal excision with an intersphincteric dissection in order to allow the
mobilized rectum and descending colon to be extracted via the muscular anal canal
and so avoid any further abdominal incision other than laparoscopic port sites.
We believe this novel approach to have significant clinical potential in selected
patients.
PMID- 22098515
TI - Extending the role of Transanal Endoscopic Microsurgery (TEM) in rectal cancer.
AB - Increasingly it is becoming clear that there is a role for local excision of
early rectal cancer by Transanal Endoscopic Microsurgery (TEM) as part of an
organ-preservation strategy. This role is based on careful preoperative
assessment, thorough postoperative histopathological examination and standardized
follow up, with recourse to completion or salvage radical surgery in the face of
poor prognostic factors, or early concerns over recurrent disease. Additionally,
TEM is also proposed in selected circumstances after neoadjuvant treatment for
rectal cancer. This latter topic is even more controversial with clinical
evidence still evolving, but specialist centres report impressive results that
cannot be ignored in the modern management of rectal cancer.
PMID- 22098516
TI - Can mesorectal lymph node excision be avoided in rectal cancer surgery?
AB - Rectal excision is the standard in rectal cancer treatment. The morbidity of
rectal excision, together with the low rate of positive lymph nodes in patients
with a good response after radiochemotherapy, raises the challenging concept of
organ preservation. Patients with a complete response can benefit from a
nonoperative strategy based on a strict follow up. Those with a complete or
subcomplete response can be treated by local excision. Limitations in accurately
assessing a complete response by conventional and modern imaging modalities
suggest that local excision is more appropriate for the majority of patients when
organ preservation is being considered. The encouraging results of retrospective
series of local excision in downstaged clinical T2/T3 low rectal cancer after
radiochemotherapy, however, need to be confirmed by the ongoing multicentre phase
II United States and phase III French trials before routinely proposing organ
preservation in patients with a good response.
PMID- 22098517
TI - Totally transrectal endoscopic total mesorectal excision (TME).
AB - Surgical treatment has been in constant evolution in the search for minimizing
incisions regardless of the complexity of the operation. Natural Orifice
Translumenal Endoscopic Surgery (NOTES) represents this progression of surgery to
less invasive procedures. Transanal endoscopic microsurgery (TEM) is an ideal
NOTES platform to access the peritoneal cavity endoscopically through the anus
and specifically to allow colorectal resections be performed through smaller, or
indeed without, abdominal incisions. Transanal rectosigmoidectomy with total
mesorectal excision (TME) using TEM is a feasible and oncologically safe option.
Such use of currently available combined hybrid laparoendoscopic systems provides
a safe platform to define future clinical applications and advantages of NOTES.
Furthermore, it stimulates the active development of technologies that will
support and enable it.
PMID- 22098518
TI - Natural orifice transluminal endoscopic surgery (NOTES) and colorectal cancer?
AB - Surgical techniques and technologies are rapidly evolving. In the field of
colorectal surgery the transanal video-assisted approach was introduced by Buess,
30 years ago, with transanal endoscopic microsurgery (TEM). In more recent years
different techniques and technologies have been proposed, including natural
orifice specimen extraction (NOSE), natural orifice transluminal endoscopic
surgery (NOTES) and single-access surgery. Furthermore, a better understanding of
the prognostic and risk factors of rectal cancer has allowed TEM to expand its
indications to local resection of selected tumours, and more recently there have
been proposals for sentinel node biopsy in colon and rectal cancer.
PMID- 22098519
TI - Transrectal natural orifice translumenal endoscopic surgery (NOTES) for
colorectal resection.
AB - Natural orifice translumenal endoscopic surgery (NOTES) is a new surgical
paradigm involving performance of intra-abdominal surgery via a natural orifice
and thereafter peritoneal access through an intentionally created hole in a
hollow viscus. The vast majority of research in this rapidly evolving field had
involved access via an oral or vaginal route. Access via a transanal route, other
than the obvious concern over contamination, has many appealing attributes. In
addition, transanal surgery has long been a common procedure lending a valuable
clinical experience to the foundation of this field of research. Examples of
preclinical and clinical research on transanal NOTES colorectal resections are
here presented and discussed.
PMID- 22098520
TI - Safe sigmoid access for natural orifice transluminal endoscopic surgery (NOTES).
AB - One of the main challenges in transluminal surgery is sterile and safe access.
For many interventions, a transanal approach would be ideal but it is considered
too risky because of contamination and the danger of secondary leakage. A new
safe and sterile transanal access was developed, combining four basic principles:
(i) the creation of a decontaminating hydroperitoneum, (ii) the use of an
overtube, (iii) defining the entry point with ultrasound and (iv) dedicated
closure technique. Applicability and reliability was first proven in extensive
animal experiments. Feasibility of the concept in humans was subsequently
demonstrated in cadavers.
PMID- 22098521
TI - Individualization of surgical management for early-stage colonic cancer.
AB - A significant number of patients each year undergo radical segmental colectomy
for node-negative colonic cancer in the UK. They are likely to represent 50% of
our colonic cancer population in the future due to the implementation of the
National Bowel Cancer Screening Programme. Short-term morbidity rates of up to
40% are associated with the current surgical treatment and need serious
consideration. In this article, we discuss a combination of two techniques that
will allow minimally invasive treatment in an increasing number of patients with
early colonic cancer.
PMID- 22098522
TI - Current clinical status of sentinel lymph nodes in colon and proximal rectal
cancer.
AB - The postoperative report of the lymph node status of colorectal cancer in
clinical practice is not a given fact. Among other factors, it is dependent on
the experience and technique of the surgeon as well as the pathologist. Therefore
a method like sentinel lymph node biopsy (SLNB) that identifies and provides for
analysis the lymph node at highest risk for tumour involvement would be highly
beneficial. Unlike in breast cancer or melanoma, SLNB is more difficult to apply
in colorectal cancer and is still not ready for clinical routine application for
these tumor entities. However, careful patient selection and expertise of the
involved specialists can improve the quality and results of SLNB in colorectal
cancer. Especially in the early stage cancer patients, SLNB may be helpful to
identify the earliest signs of lymphatic dissemination (thereby upstaging the
patient) or to encourage a limited extent of resection.
PMID- 22098523
TI - Laparoscopic lymphatic roadmapping with blue dye and radioisotope in colon
cancer.
AB - Lymphatic mapping (LM) and sentinel lymph node (SLN) identification by blue dye
in colon cancer is a procedure feasible during minimally invasive surgery, with
good specificity, but still a low sensitivity (78% in our series). These results
are in accordance with the literature and have limited more widespread diffusion
of the method, both as a tool for upstaging and more controversially, as a
potential roadmap to a tailored lymphadenectomy. It is possible to improve the
results of LM with careful selection of patients and by the use of an
intraoperative gamma camera. The preliminary results of intra-operative
lymphoscintigraphy are promising in a well-selected small group of patients, with
high levels of sensitivity and specificity. If these results are confirmed in
further prospective analyses, it may be possible to undertake selected, tailored
lymphadenectomy.
PMID- 22098524
TI - Near infrared fluorescence lymphatic laparoscopy of the colon and mesocolon.
AB - During surgery, a surgeon relies on the vision of his eyes and the touch of his
hands. While laparoscopic surgery for colon cancer has proven to be safe and
effective, it still remains a technically difficult procedure. Although it is
associated with reduced haptic feedback, by enforcing the power of visual
guidance, the loss of this feedback can be (partly) compensated for. Here we
describe how the use of near-infrared dyes and fluorescence laparoscopy could
help improve tumour staging and therefore lead to better selection of patients
for postoperative adjuvant chemotherapy. More controversially, and analogous to
melanoma and breast cancer surgery with sentinel node biopsy, we speculate that
local resection with SLN harvesting in early colon cancer might change the
therapeutic and surgical strategy in colon cancer.
PMID- 22098525
TI - Extended resections for colorectal cancer - indications for supraradical
lymphadenectomy.
AB - The laparoscopic approach to standard resections in colorectal cancer has proven
that it may provide equal rates of R0 resections and adequate retrieval of lymph
nodes as open procedures if performed by experienced hands. There might be
difficulties in more advanced tumors or those with lymphatic spread beyond
typical drainage areas and more extensive operations might be required. An
atypical pattern of lymphatic spread often is associated with other adverse
factors such as multifocality of primary cancers and adverse tumorbiological
factors such as grade. Such patient subgroups may be defined beforehand and
include particularly patients with underlying ulcerative colitis. Repetitively,
extended lymph node dissection approaches have been advocated, however neither in
randomised trails nor in meta-analysis has a more favourable outcome of patients
undergoing such extended lymphatic dissections been demonstrated. Sticking to the
rules of classical dissection of lymphatic drainage basins with removal of
adequate lymph node numbers is one corner stone for successful treatment of
colorectal cancer. The other one refers to a detailed description of the
procedure performed in order to make the surgical procedure trackable.
PMID- 22098526
TI - Robotic platforms for general and colorectal surgery.
AB - Surgeons are increasingly turning to new technologies to help them overcome the
barriers imposed by minimally invasive surgery (MIS). Robotics is an enabling
technology with obvious applications to MIS. This manuscript looks at robotic
platforms for general surgical application and explores the advantages,
limitations and possible future roles.
PMID- 22098527
TI - Multiplex ligation-dependent probe amplification (MLPA): a reliable alternative
for fetal chromosome analysis?
AB - OBJECTIVE: To determine whether molecular karyotyping using multiple ligation
probe amplification (MLPA) is a reliable alternative for quick and accurate
diagnosis of fetal chromosomal abnormalities. METHODS: MLPA, using specialised
probe sets designed to detect aneuploidy, major chromosomal rearrangements and
recognised microdeletion syndromes, was used to analyse chorionic villi or
amniocytes left after traditional karyotyping of 476 fetuses for clinical
indications. RESULTS: An abnormal result was obtained in 190 cases, including 124
trisomies, 21 sex chromosome anomalies, 14 triploidies, and 31 rearrangements or
mosaics. All trisomies were detected by all three techniques, but triploidies
were only detected by karyotyping and QF-PCR. In 19 of the 31 cases of
rearrangements or mosaicism there was an uncertain or high risk of adverse
outcome. Traditional karyotyping detected 13 of the 19 pathogenic rearrangements,
MLPA detected 18, and QF-PCR did not detect any. CONCLUSION: MLPA, using
specialized probe sets, detects more chromosomal rearrangements, conferring
significant risk of adverse outcome than karyotyping. A combination of qfPCR and
MLPA could be a good, rapid alternative to current practice. In the future, used
in conjunction with non-invasive prenatal diagnosis based on cell free fetal DNA
it might provide a rapid and efficient approach to fetal karyotyping.
PMID- 22098528
TI - Quantification of the adverse effect of ethinylestradiol containing oral
contraceptive pills when used in conjunction with growth hormone replacement in
routine practice.
AB - OBJECTIVE: Oestrogen antagonizes the action of growth hormone (GH). For women
with combined GH and oestrogen deficiency, transdermal oestradiol is more
favourable in this regard compared to oral oestradiol. Oral contraceptive pills
containing ethinylestradiol (EE) are commonly used in young women with GHD and
there is little information on the impact of this form of oestrogen. DESIGN: A
case note review of women with growth hormone deficiency (GHD) attending a
tertiary endocrine clinic comparing the dose of GH and serum insulin-like growth
factor 1 concentrations and the type of exogenous oestrogen. METHODS: All women
with GHD between the ages of 18 and 47 attending University College London
Hospitals (UCLH) were included and grouped according to type of oestrogen
replacement. Weight, GH dose and serum IGF-I concentrations were recorded at 121
visits in 88 women. RESULTS: The daily dose of GH was significantly higher and
the GH responsivity was significantly lower in the EE group compared to those
taking no oestrogen and transdermal oestrogen. The additional cost of GH for
women using EE compared to transdermal oestradiol was L6016 per patient per year.
Effectiveness of GH improved in all women changing from EE to another form of
oestrogen. CONCLUSION: Use of oral contraceptive pills containing EE should be
avoided in women receiving treatment with GH. Alternative options include oral or
transdermal hormone replacement therapy (HRT) preparations for those that require
oestrogen replacement or a progesterone-based regimen for contraceptive purposes.
PMID- 22098529
TI - Ultrasensitive Pb(II) potentiometric sensor based on copolyaniline nanoparticles
in a plasticizer-free membrane with a long lifetime.
AB - A newly designed Pb(II) potentiometric sensor based on intrinsically conducting
nanoparticles of solid poly(aniline-co-2-hydroxy-5-sulfonic aniline) possessing
many ligating functional groups like -NH-, -N=, -OH, -SO(3)H, -NH(2) as
ionophores in plasticizer-free vinyl resin solid membranes has been fabricated. A
linear Nernstian response is obtained within a wide Pb(II) activity range from
1.0 * 10(-3) to 1.0 * 10(-10) M with a detection limit as low as 2.2 * 10(-11) M.
The pH independent plateau ranges between 3.5 and 7.0. After 15 months' usage,
the sensor maintains 95% performance parameters. Its anti-interference ability to
Cu(II), Cd(II), Ag(I), and Hg(II) is much stronger than other sensors with a
detection limit at (sub)nanomolar level. Electrochemical impedance spectroscopy
reveals that the solid sensing membrane has a diffusion coefficient of around 5 *
10(-14) to 1 * 10(-13) cm(2) s(-1). The much lower diffusion coefficient for
Pb(II) is highly beneficial for the elimination of Pb(II) flux across the
membrane. The wide detection concentration range, low detection limit, high
selectivity, extensive pH window, and long lifetime make for a robust sensor
giving reliable measurement of Pb(II) content with potential application in real
world samples at trace levels.
PMID- 22098530
TI - Versatile behavior of the fluorophosphinidene ligand in iron carbonyl chemistry.
AB - Fluorophosphinidene (PF) is a versatile ligand found experimentally in the
transient species M(CO)(5)(PF) (M = Cr, Mo) as well as the stable cluster
Ru(5)(CO)(15)(MU(4)-PF). The PF ligand can function as either a bent two-electron
donor or a linear four-electron donor with the former being more common. The
mononuclear tetracarbonyl Fe(PF)(CO)(4) is predicted to have a trigonal
bipyramidal structure analogous to Fe(CO)(5) but with a bent PF ligand replacing
one of the equatorial CO groups. The tricarbonyl Fe(PF)(CO)(3) is predicted to
have two low-energy singlet structures, namely, one with a bent PF ligand and a
16-electron iron configuration and the other with a linear PF ligand and the
favored 18-electron iron configuration. Low-energy structures of the dicarbonyl
Fe(PF)(CO)(2) have bent PF ligands and triplet spin multiplicities. The lowest
energy structures of the binuclear Fe(2)(PF)(CO)(8) and Fe(2)(PF)(2)(CO)(7)
derivatives are triply bridged structures analogous to the experimental structure
of the analogous Fe(2)(CO)(9). The three bridges in each Fe(2)(PF)(CO)(8) and
Fe(2)(PF)(2)(CO)(7) structure include all of the PF ligands. Other types of low
energy Fe(2)(PF)(2)(CO)(7) structures include the phosphorus-bridging carbonyl
structure (FP)(2)COFe(2)(CO)(6), lying only ~2 kcal/mol above the global minimum,
as well as an Fe(2)(CO)(7)(MU-P(2)F(2)) structure in which the two PF groups have
coupled to form a difluorodiphosphene ligand unsymmetrically bridging the central
Fe(2) unit.
PMID- 22098531
TI - Manifestation of diffuse yellowish keratoderma on the palms and soles in
autosomal recessive congenital ichthyosis patients may be indicative of mutations
in NIPAL4.
AB - Ichthyosis is a heterogeneous disorder characterized by abnormal skin scaling
over the whole body. Autosomal recessive congenital ichthyosis (ARCI) comprises
various forms, the most important of which are lamellar ichthyosis (LI) and
congenital ichthyosiform erythroderma (CIE). Seven genes have been identified to
be causative of ARCI, and these account for disease in 60-80% of the patients.
There is notable phenotypic overlap between the major forms of ARCI, and a strong
genotype-phenotype correlation has not been found. Here, we initially aimed to
identify the causative gene in a large Iranian ARCI pedigree, and subsequently
performed genetic analysis on four other affected pedigrees. A genotype-phenotype
correlation was sought. Whole genome homozygosity mapping using high-density
single nucleotide polymorphism chips was performed on the large pedigree. Linkage
to chromosome 5 and a mutation in NIPAL4 causing p.G297R were identified. The
same mutation was also identified in two of the remaining four Iranian pedigrees.
Two of the NIPAL4 mutation bearing pedigrees were classified as CIE and one as
LI. Notably, all NIPAL4 mutation-bearing patients manifested diffuse yellowish
keratoderma on the palms and soles. We provide evidence suggesting presentation
of this diffuse yellowish keratoderma may be indicative of mutations in NIPAL4,
providing an easily assessable genotype-phenotype correlation.
PMID- 22098532
TI - Lower limb joint kinetics and ankle joint stiffness in the sprint start push-off.
AB - Sprint push-off technique is fundamental to sprint performance and joint
stiffness has been identified as a performance-related variable during dynamic
movements. However, joint stiffness for the push-off and its relationship with
performance (times and velocities) has not been reported. The aim of this study
was to quantify and explain lower limb net joint moments and mechanical powers,
and ankle stiffness during the first stance phase of the push-off. One elite
sprinter performed 10 maximal sprint starts. An automatic motion analysis system
(CODA, 200 Hz) with synchronized force plates (Kistler, 1000 Hz) collected
kinematic profiles at the hip, knee, and ankle and ground reaction forces,
providing input for inverse dynamics analyses. The lower-limb joints
predominately extended and revealed a proximal-to-distal sequential pattern of
maximal extensor angular velocity and positive power production. Pearson
correlations revealed relationships (P < 0.05) between ankle stiffness (5.93 +/-
0.75 N x m x deg(-1)) and selected performance variables. Relationships between
negative power phase ankle stiffness and horizontal (r = -0.79) and vertical (r =
0.74) centre of mass velocities were opposite in direction to the positive power
phase ankle stiffness (horizontal: r = 0.85; vertical: r = -0.54). Thus ankle
stiffness may affect the goals of the sprint push-off in different ways,
depending on the phase of stance considered.
PMID- 22098533
TI - Comparative study of the in situ immune response in oral and nasal mucosal
leishmaniasis.
AB - Mucosal Leishmaniasis (ML) may occur in both nasal and oral mucosa. However,
despite the impressive tissue destruction, little is known about the oral
involvement. To compare some changes underlying inflammation in oral and nasal
ML, we performed immunohistochemistry on mucosal tissue of 20 patients with ML
(nasal [n = 12]; oral [n = 8] lesions) and 20 healthy donors using antibodies
that recognize inflammatory markers (CD3, CD4, CD8, CD22, CD68, neutrophil
elastase, CD1a, CLA, Ki67, Bcl-2, NOS2, CD62E, Fas and FasL). A significantly
larger number of cells, mainly T cells and macrophages, were observed in lesions
than in healthy tissue. In addition, high nitric oxide synthase 2 (NOS2)
expression was associated with a reduced detection of parasites, highlighting the
importance of NOS2 for parasite elimination. Oral lesions had higher numbers of
neutrophils, parasites, proliferating cells and NOS2 than nasal lesions. These
findings, together with the shorter duration of oral lesions and more intense
symptoms, suggest a more recent inflammatory process. It could be explained by
lesion-induced oral cavity changes that lead to eating difficulties and social
stigma. In addition, the frequent poor tooth conservation and gingival
inflammation tend to amplify tissue destruction and symptoms and may impair and
confuse the correct diagnosis, thus delaying the onset of specific treatment.
PMID- 22098534
TI - Partitioning the effects of an ecosystem engineer: kangaroo rats control
community structure via multiple pathways.
AB - 1. Ecosystem engineers impact communities by altering habitat conditions, but
they can also have strong effects through consumptive, competitive and other non
engineering pathways. 2. Engineering effects can lead to fundamentally different
community dynamics than non-engineering effects, but the relative strengths of
these interactions are seldom quantified. 3. We combined structural equation
modelling and exclosure experiments to partition the effects of a keystone
engineer, the giant kangaroo rat (Dipodomys ingens), on plants, invertebrates and
vertebrates in a semi-arid California grassland. 4. We separated the effects of
burrow creation from kangaroo rat density and found that kangaroo rats increased
the diversity and abundance of other species via both engineering and non
engineering pathways. 5. Engineering was the primary factor structuring plant and
small mammal communities, whereas non-engineering effects structured invertebrate
communities and increased lizard abundance. 6. These results highlight the
importance of the non-engineering effects of ecosystem engineers and shed new
light on the multiple pathways by which strong-interactors shape communities.
PMID- 22098535
TI - Iron-catalyzed rearrangements and cycloaddition reactions of 2H-chromenes.
AB - Iron(III) salts catalyze the tandem rearrangement/hetero-Diels-Alder reaction of
2H-chromenes to yield tetrahydrochromeno heterocycles. The process can occur as a
homodimerization and cycloaddition process using electron-rich dienophiles.
Deuterium labeling and mechanistic studies revealed a hydride shift and ortho
quinone methide cycloaddition reaction pathway.
PMID- 22098536
TI - Use of antiviral therapy in surveillance: impact on outcome of hepatitis B
related hepatocellular carcinoma.
AB - BACKGROUND: Antiviral therapy for hepatitis B virus (HBV) infection is frequently
prescribed for patients with chronic HBV infection during surveillance for
hepatocellular carcinoma (HCC). In patients who subsequently develop HCC, the
impact of antiviral therapy on the outcome of HCC remains unclear. AIMS: We aimed
to study the impact of antiviral therapy on the survival of patients who
developed HCC. METHODS: From two prospective surveillance cohorts, the use of
antiviral therapy for patients with HCC was retrospectively reviewed. We compared
the overall survival, liver function and tumour characteristics between patients
with and without antiviral therapy during surveillance. Multivariate analysis was
conducted to determine the independent prognostication of antiviral therapy.
RESULTS: During a median follow-up of 10.1 years of 1429 patients, 148 cases of
HCC were diagnosed and followed up for a median of 5.7 years. Twenty-nine
patients were given antiviral therapy during surveillance and continued treatment
after diagnosis of HCC. The median survival of this group of patients was better
than the rest of cohorts (hazard ratio: 0.472; 95% CI: 0.25-0.89; P = 0.0191).
Use of antiviral therapy remained an independent prognostic factor after
adjustment for demographic factors and tumour staging on multivariate analysis.
Exploratory analysis revealed that patients who commenced antiviral therapy
during surveillance had lower HBV DNA, lower serum alanine transaminase, better
hepatic reserves and higher rate of local treatment at diagnosis of HCC.
CONCLUSION: This study provides evidence that commencement of antiviral therapy
during the surveillance period is associated with improvement in overall survival
in HBV-related HCC.
PMID- 22098537
TI - A possible involvement of p62/sequestosome-1 in the process of biliary epithelial
autophagy and senescence in primary biliary cirrhosis.
AB - BACKGROUND AND AIMS: Given autophagy is involved in the pathogenesis in primary
biliary cirrhosis (PBC), we examined an involvement of p62 sequestosome-1 (p62),
a specific cargo for autophagy, in the process of autophagy and cellular
senescence in PBC. METHODS: We examined immunohistochemically the expression of
p62 in livers taken from patients with PBC (n = 46) and control livers (n = 78)
and its colocalization with microtubule-associated proteins-light chain 3beta
(LC3), lysosome-associated membrane protein-1 (LAMP-1) and senescent markers
(p16(INK) (4a) and p21(WAF) (1/Cip1) ). We examined the expression of p62 and LC3
in cultured biliary epithelial cells (BECs) treated with various stress. The
effect of p62 knockdown with siRNA on stress-induced autophagy and cellular
senescence was also assessed. RESULTS: The expression of p62 was specifically
seen in cytoplasmic aggregates in BECs in the inflamed and damaged small bile
ducts (SBDs) in PBC, when compared with non-inflamed ones in PBC and in control
livers (P < 0.01). The co-expression of p62 with LC3, LAMP-1 and senescent
markers was seen in the inflamed SBDs in PBC, but the intracytoplasmic
localization was different. The expression of p62 and LC3 was significantly
upregulated in BECs treated with various stress (P < 0.01) and pretreatment with
bafilomycin A1 enhanced the accumulation of p62-positive aggregates in BECs with
serum deprivation. The knockdown of p62 decreased stress-induced autophagy and
cellular senescence. CONCLUSION: The aggregation of p62 is specifically increased
in the damage bile ducts in PBC and may reflect dysfunctional autophagy, followed
by cellular senescence in the pathogenesis of bile duct lesions in PBC.
PMID- 22098538
TI - The utility of near infrared spectroscopy in detecting intracranial hemorrhage in
children.
AB - A prospective case-control study was conducted in a tertiary care pediatric
intensive care unit (PICU) to evaluate the use of near infrared spectroscopy
(NIRS) for the detection of intracranial hemorrhage (ICH) in children. Subjects 0
14 years of age who had a computed tomography (CT) scan of the head performed as
part of clinical care were eligible for enrollment. The children were stratified
into two groups based on whether the CT was normal or abnormal. Children in the
abnormal imaging cohort were further divided into those with ICH and those with
other abnormalities of the brain parenchyma (contusions, diffuse axonal injury
[DAI], or cerebral edema) or fractures. NIRS measurements were performed on all
subjects within 24 h of head CT. The NIRS operator was blinded to the presence or
absence of ICH. NIRS measurements were performed in eight different scalp
locations (four bilaterally). A total of 103 measurements were made. The optical
density (OD) was automatically calculated by comparing the reflected and diffused
optical signal. A DeltaOD>0.2 between hemispheres in any scalp location was
considered abnormal. NIRS was performed in a total of 28 subjects: 7 had normal
imaging and 21 had abnormal imaging. Of those with abnormal imaging, 12 had ICH.
The sensitivity and specificity of NIRS at detecting ICH was 1.0 and 0.8,
respectively. The positive and negative predictive values were 0.8 and 1.0,
respectively. In conclusion, NIRS correctly identified all cases of ICH in this
pilot study. Our preliminary results suggest that NIRS may be beneficial in the
evaluation of a child with possible ICH.
PMID- 22098539
TI - Indexed left atrial volume is superior to left atrial diameter in predicting
nonvalvular atrial fibrillation recurrence after successful cardioversion: a
prospective study.
AB - BACKGROUND: Although indexed left atrial volume (iLAV) is the most accurate
measure of left atrial size, it has not been evaluated prospectively as predictor
of recurrence of atrial fibrillation (AFib) after successful cardioversion (CV).
METHODS: We prospectively selected 76 patients (mean age 66.1 +/- 13.6 years,
65.8% men) with AFib who underwent successful CV. Baseline clinical and
echocardiographic characteristics were obtained before CV. LAV was measured using
Simpson's method and indexed to body surface area. All patients were scheduled
for follow-up visit at 1, 6, 12 months, and then annually. A 24-hour Holter ECG
was performed within 6 months and each time the patients reported symptoms
suggestive of arrhythmia. RESULTS: The 52 patients (68.4%) with AFib recurrence
had larger iLAV (35.5 +/- 8.9 mL/m(2) vs 27.0 +/- 6.7 mL/m(2) , P < 0.001).
Anteroposterior LA diameter was not associated with AFib relapse (OR 1.08, 95%
CI: 0.96-1.21, P = 0.09). Each unit increase in iLAV was associated with a 1.15
fold increased risk of recurrence (OR 1.15, 95% CI: 1.06-1.25, P < 0.001). In a
multivariable model, iLAV remained the only independent predictor of relapse
(adjusted OR 1.14, 95% CI: 1.02-1.28, P = 0.02). The area under ROC curves,
generated to compare LA diameter, and iLAV as predictors of AFib recurrence were
0.56 (SE 0.07) versus 0.78 (SE 0.05), respectively (P = 0.003). CONCLUSION: This
is the first prospective study to show that larger iLAV, as a more accurate
measure of LA remodeling than anteroposterior diameter, is strongly and
independently associated with a higher risk of AFib recurrence after CV.
PMID- 22098540
TI - Effects of music intervention with nursing presence and recorded music on psycho
physiological indices of cancer patient caregivers.
AB - AIMS AND OBJECTIVES: To compare the effects of music intervention with nursing
presence and recorded music on blood volume pulse amplitude, the low/high
frequency ratio component of heart rate variability, depression, anxiety and
sleep quality in cancer patient caregivers; to compare the participants
evaluation of these two forms of musical intervention. BACKGROUND: Presence is
one of the activities of caring. However, little is known about the effect of
music intervention with nursing presence on psycho-physiological indices. DESIGN:
Randomised crossover controlled trial. METHOD: Thirty-four female participants
were randomly assigned to a music intervention with nursing presence/recorded
music sequence or recorded music/music intervention with nursing presence
sequence. Each intervention lasted 30 minutes and was held at the participant's
home. The music intervention with nursing presence consisted of an erhu and
recorder performance. In the recorded music session, participants listened to
prerecorded music for 30 minutes. Continuous measurements of blood volume pulse
and low/high frequency ratio were taken throughout the procedure. Depression,
anxiety and sleep quality were measured before and after each intervention.
RESULTS: Both music intervention with nursing presence and recorded music
interventions had beneficial effects on anxiety, depression and blood volume
pulse amplitude. Significant differences between the two interventions were also
observed for anxiety. Music intervention with nursing presence was more effective
in lessening anxiety and on improving the ease of getting to sleep compared with
recorded music (p < 0.05). All participants reported that they preferred music
intervention with nursing presence to recorded music. Significant differences
were found in music evaluation scores between the two interventions in terms of
harmony and friendliness (p < 0.05). CONCLUSIONS: Both music interventions were
beneficial, as measured on psycho-physiological indices. The music intervention
with nursing presence provided a more friendly music experience to the listeners.
RELEVANCE TO CLINICAL PRACTICE: The findings provide evidence for nurses that the
therapeutic use of music and nursing presence as a research-based nursing
intervention for the welfare of caregivers.
PMID- 22098541
TI - RD-CODOX-M/IVAC with rituximab and intrathecal liposomal cytarabine in adult
Burkitt lymphoma and 'unclassifiable' highly aggressive B-cell lymphoma.
AB - Specific trials on adult Burkitt lymphoma (BL) and 'unclassifiable' lymphomas
with features intermediate between BL and diffuse large B-cell lymphoma
(BL/DLBCL) are advocated which include substantial numbers of older patients, to
improve treatment feasibility, while countering risks of systemic and central
nervous system (CNS) recurrences. We prospectively evaluated a modified CODOX
M/IVAC (CODOX-M: cyclophosphamide, vincristine, doxorubicin, high-dose
methotrexate; IVAC: ifosfamide, etoposide and high-dose cytarabine) regimen by
the addition of rituximab (R) and liposome-encapsulated cytarabine (D) to
increase antitumour activity and halve the number of intrathecal treatments.
Thirty adults (40% >60years) with BL (n=15) and BL/DLBCL (n=15) were accrued.
Primary endpoints were progression-free survival (PFS), CNS recurrence, and
liposomal cytarabine-associated toxicity. Eighty percent of patients received the
whole treatment programme, the remaining cases received at least three full
courses. Application of the RD-CODOX-M/IVAC regimen resulted in remarkable 4-year
PFS (78%) and complete remission (CR) rates (93%). However, PFS was significantly
lower in patients older than 60years as compared to younger ones (49%vs 93%,
P=0.03; median, 36months), despite high actual dose-intensity, CR rate and
tolerability. Reduced-intensity intratechal prophylaxis through liposomal
cytarabine was effective because the CNS failure rate was low (3.4%) and without
severe neurological toxicities. The RD-CODOX-M/IVAC strategy is feasible and
highly effective, but improving outcomes in elderly patients remains a priority.
PMID- 22098542
TI - Osthole enhances glucose uptake through activation of AMP-activated protein
kinase in skeletal muscle cells.
AB - AMP-activated protein kinase (AMPK) is an energy sensor that regulates cellular
metabolism. Activation of AMPK in skeletal muscles, the liver, and adipose
tissues results in a favorable metabolic milieu for preventing and treating type
2 diabetes, i.e., decreased levels of circulating glucose, plasma lipids, and
ectopic fat accumulation and enhanced insulin sensitivity. Osthole was extracted
from a Chinese herbal medicine, and we found that it had glucose lowering
activity in our previous study. However, the detailed glucose lowering mechanisms
of osthole are still unclear. In this study, we used skeletal muscle cells to
examine the underlying molecular mechanisms of osthole's glucose lowering
activity. A Western blot analysis revealed that osthole significantly induced
phosphorylation of AMPK and acetyl-CoA carboxylase (ACC). Next, we found that
osthole significantly increased the level of translocation of glucose transporter
4 (GLUT4) to plasma membranes and glucose uptake in a dose-dependent manner.
Osthole-induced glucose uptake was reversed by treatment with Compound C, an AMPK
inhibitor, suggesting that osthole-induced glucose uptake was mediated in an AMPK
dependent manner. The increase in the AMP:ATP ratio was involved in osthole's
activation of AMPK. Finally, we found that osthole counteracted hyperglycemia in
mice with streptozotocin-induced diabetes. These results suggest that the
increase in the AMP:ATP ratio by osthole triggered activation of the AMPK
signaling pathway and led to increases in plasma membrane GLUT4 content and
glucose uptake level. Therefore, osthole might have potential as an antidiabetic
agent for treating diabetes.
PMID- 22098543
TI - Myelin imaging compound (MIC) enhanced magnetic resonance imaging of myelination.
AB - The vertebrate nervous system is characterized by myelination, a fundamental
biological process that protects the axons and facilitates electric pulse
transduction. Damage to myelin is considered a major effect of autoimmune
diseases such as multiple sclerosis (MS). Currently, therapeutic interventions
are focused on protecting myelin integrity and promoting myelin repair. These
efforts need to be accompanied by an effective imaging tool that correlates the
disease progression with the extent of myelination. To date, magnetic resonance
imaging (MRI) is the primary imaging technique to detect brain lesions in MS.
However, conventional MRI cannot differentiate demyelinated lesions from other
inflammatory lesions and therefore cannot predict disease progression in MS. To
address this problem, we have prepared a Gd-based contrast agent, termed MIC
(myelin imaging compound), which binds to myelin with high specificity. In this
work, we demonstrate that MIC exhibits a high kinetic stability toward
transmetalation with promising relaxometric properties. MIC was used for in vivo
imaging of myelination following intracerebroventricular infusion in the rat
brain. MIC was found to distribute preferentially in highly myelinated regions
and was able to detect regions of focally induced demyelination.
PMID- 22098548
TI - Plasminogen activator inhibitor 1 RNAi suppresses gastric cancer metastasis in
vivo.
AB - Cancer metastasis remains the primary cause of pain, suffering, and death in
cancer patients, and even the most current therapeutic strategies have not been
highly successful in preventing or inhibiting metastasis. In most patients with
scirrhous gastric cancer (one of the most aggressive of diffuse-type gastric
cancer), recurrence occurs even after potentially curative resection, most
frequently in the form of peritoneal metastasis. Given that the occurrence of
diffuse-type gastric cancers has been increasing, the development of new
strategies to combat metastasis of this disease is critically important.
Plasminogen activator inhibitor-1 (PAI-1) is a critical factor in cancer
progression; thus, PAI-1 RNAi may be an effective therapy against cancer
metastasis. In the present study, we used an RNAi technique to reduce PAI-1
expression in an in vivo model system for gastric cancer metastasis. Ex vivo
plasmid transfection and adenovirus infection were tested as mechanisms to
incorporate specific PAI-1 RNAi vectors into human gastric carcinoma cells. Both
approaches significantly decreased peritoneal tumor growth and the formation of
bloody ascites in the mouse model, suggesting that this approach may provide a
new, effective strategy for inhibiting cancer metastasis.
PMID- 22098549
TI - Filariasis presenting as gynecomastia.
PMID- 22098550
TI - Liver disease in Viet Nam: screening, surveillance, management and education: a 5
year plan and call to action.
AB - Despite a high prevalence of liver disease in Viet Nam, there has been no
nationwide approach to the disease and no systematic screening of at-risk
individuals. Risk factors include chronic hepatitis B (estimated prevalence of
12%), chronic hepatitis C (at least 2% prevalence), and heavy consumption of
alcohol among men. This combination of factors has resulted in liver cancer being
the most common cause of cancer death in Viet Nam. There is a general lack of
understanding by both the general public and health-care providers about the
major risk to health that liver disease represents. We report here the initial
steps taken as part of a comprehensive approach to liver disease that will
ultimately include nationwide education for health-care providers, health
educators, and the public; expansion of nationwide screening for hepatitis B and
C followed by hepatitis B virus vaccination or treatment of chronic hepatitis B
and/or hepatitis C; education about alcoholic liver disease; long-term
surveillance for liver cancer; reduction of infection transmission related to
medical, commercial, and personal re-use of contaminated needles, syringes, sharp
instruments, razors, and inadequately sterilized medical equipment; and ongoing
collection and analysis of data about the prevalence of all forms of liver
disease and the results of the expanded screening, vaccination, and treatment
programs. We report the beginning results of our pilot hepatitis B screening
program. We believe that this comprehensive nationwide approach could
substantially reduce the morbidity and mortality from liver disease and greatly
lessen the burden in terms of both lives lost and health-care costs.
PMID- 22098551
TI - Characterization of Bordetella holmesii isolates from patients with pertussis
like illness in The Netherlands.
AB - Bordetella holmesii is a recently described human pathogen mainly isolated from
blood. However, in the US and Canada, B. holmesii has also been cultured from the
nasopharynx of patients with pertussis-like symptoms. To the best of our
knowledge, respiratory isolates from Europe have not been characterized. Here, we
report the isolation and characterization of B. holmesii from Dutch patients with
pertussis-like illness. Species determination was confirmed by 16S rRNA gene
sequencing and detection by PCR of IS481 and bhoE, a gene not found in Bordetella
pertussis but present in B. holmesii. Comparative genomic hybridization (CGH)
with microarrays revealed that the Dutch isolates formed a cluster distinct from
isolates from the US and UK suggesting a distinct population or an
epidemiological relationship between the Dutch isolates. All isolates contained a
locus involved in iron uptake, previously suggested to originate from B.
pertussis. The causes for the apparent increase in the isolation of B. holmesii
are discussed.
PMID- 22098552
TI - Photon-regulated DNA-enzymatic nanostructures by molecular assembly.
AB - Future smart nanostructures will have to rely on molecular assembly for unique or
advanced desired functions. For example, the evolved ribosome in nature is one
example of functional self-assembly of nucleic acids and proteins employed in
nature to perform specific tasks. Artificial self-assembled nanodevices have also
been developed to mimic key biofunctions, and various nucleic acid- and protein
based functional nanoassemblies have been reported. However, functionally
regulating these nanostructures is still a major challenge. Here we report a
general approach to fine-tune the catalytic function of DNA-enzymatic nanosized
assemblies by taking advantage of the trans-cis isomerization of azobenzene
molecules. To the best of our knowledge, this is the first study to precisely
modulate the structures and functions of an enzymatic assembly based on light
induced DNA scaffold switching. Via photocontrolled DNA conformational switching,
the proximity of multiple enzyme catalytic centers can be adjusted, as well as
the catalytic efficiency of cofactor-mediated DNAzymes. We expect that this
approach will lead to the advancement of DNA-enzymatic functional nanostructures
in future biomedical and analytical applications.
PMID- 22098553
TI - Impacts of HIV infection and long-term use of antiretroviral therapy on the
prevalence of oral human papilloma virus type 16.
AB - BACKGROUND: The objectives of this study were to determine (i) the prevalence and
the copy numbers of oral human papilloma virus type 16 (HPV-16) in HIV-infected
patients compared with non-HIV controls, and (ii) the effects of antiretroviral
therapy (ART) and its duration on the virus. METHODS: A cross-sectional study was
carried out in HIV-infected patients with and without ART and in non-HIV
controls. Saliva samples were collected, and the DNA extracted from those samples
was used as a template to detect HPV-16 E6 and E7 by quantitative polymerase
chain reaction. Student's t-test and ANOVA test were performed to determine the
prevalence rates among groups. RESULTS: Forty-nine HIV-infected patients: 37 on
ART (age range, 23-54 years; mean, 37 years), 12 not on ART (age range, 20-40
years; mean, 31 years), and 20 non-HIV controls (age range, 19-53 years; mean, 31
years) were enrolled. The prevalence of oral HPV-16 infection and the copy
numbers of the virus were significantly higher in HIV-infected patients than in
non-HIV controls when using E6 assay (geometric mean = 10696 vs. 563 copies/10(5)
cells, P < 0.001), but not E7 assay. No significant difference was observed
between those who were and were not on ART. Long-term use of ART did not
significantly change the prevalence of oral HPV-16 infection and the copy numbers
of the virus (P = 0.567). CONCLUSION: We conclude that the prevalence of oral HPV
16 infection and the copy numbers of the virus are increased by HIV infection.
Neither the use of ART nor its duration significantly affected the virus.
PMID- 22098554
TI - Nestin-positive hair follicle pluripotent stem cells can promote regeneration of
impinged peripheral nerve injury.
AB - Nestin-positive, keratin 15 (K15)-negative multipotent hair follicle stem cells
are located above the hair follicle bulge. We have termed this location the hair
follicle pluripotent stem cell area. We have previously shown that
transplantation of nestin-expressing hair follicle stem cells can regenerate
peripheral nerve and spinal cord injuries. In the present study, we regenerated
the impinged sciatic nerve by transplanting hair follicle pluripotent stem cells.
Human hair follicle stem cells were transplanted around the impinged sciatic
nerve of ICR nude (nu/nu) mice. The hair follicle stem cells were transplanted
between impinged sciatic nerve fragments of the mouse where they differentiated
into glial fibrillary acidic protein-positive Schwann cells and promoted the
recovery of pre-existing axons. The regenerated sciatic nerve functionally
recovered. These multipotent hair follicle stem cells thereby provide a potential
accessible, autologous source of stem cells for regeneration therapy of nerves
degenerated by compression between bony or other hard surfaces.
PMID- 22098555
TI - On the trail of a cereal killer: recent advances in Fusarium graminearum
pathogenomics and host resistance.
AB - The ascomycete fungal pathogen Fusarium graminearum (sexual stage: Gibberella
zeae) causes the devastating head blight or scab disease on wheat and barley, and
cob or ear rot disease on maize. Fusarium graminearum infection causes
significant crop and quality losses. In addition to roles as virulence factors
during pathogenesis, trichothecene mycotoxins (e.g. deoxynivalenol) produced by
this pathogen constitute a significant threat to human and animal health if
consumed in respective food or feed products. In the last few years, significant
progress has been made towards a better understanding of the processes involved
in F. graminearum pathogenesis, toxin biosynthesis and host resistance mechanisms
through the use of high-throughput genomic and phenomic technologies. In this
article, we briefly review these new advances and also discuss how future
research can contribute to the development of sustainable plant protection
strategies against this important plant pathogen.
PMID- 22098556
TI - A stereocontrolled synthesis of (+)-saxitoxin.
AB - A concise stereoselective total synthesis of (+)-saxitoxin is described. A
silver(I)-initiated hydroamination cascade constructs the bicyclic guanidinium
ion core from a alkynyl bisguanidine. This sequence creates two C-N bonds, one C
O bond, and three rings and forms a single stereoisomer in a single synthetic
transformation. This process enabled us to complete the synthesis of (+)
saxitoxin in 14 steps from N-Boc-l-serine methyl ester.
PMID- 22098557
TI - Gap junction-mediated calcium waves define communication networks among murine
postnatal neural progenitor cells.
AB - In the postnatal neurogenic niche, two populations of astrocyte-like cells (B
cells) persist, one acting as neural progenitor cells (NPCs, B1 cells) and one
forming a structural boundary between the neurogenic niche and the striatum (B2
cells, niche astrocytes). Despite being viewed as two distinct entities, we found
that B1 and B2 cells express the gap junction protein connexin 43 and display
functional coupling involving 50-60 cells. Using neonatal electroporation to
label slowly cycling radial glia-derived B1 cells, which send a basal process
onto blood vessels, we further confirmed dye coupling between NPCs. To assess the
functionality of the coupling, we used calcium imaging in a preparation
preserving the three-dimensional architecture of the subventricular zone.
Intercellular calcium waves were observed among B cells. These waves travelled
bidirectionally between B1 and B2 cells and propagated on blood vessels. Inter-B
cell calcium waves were absent in the presence of a gap junction blocker but
persisted with purinergic receptor blockers. These findings show that privileged
microdomains of communication networks exist among NPCs and niche astrocytes.
Such functional coupling between these two cell types suggests that niche
astrocytes do not merely have a structural role, but may play an active role in
shaping the behavior of NPCs.
PMID- 22098558
TI - Effect of photochemical smog associated with synoptic weather patterns on
cardiovascular and respiratory hospital admissions in metropolitan Taipei.
AB - This study focuses on the relationship between photochemical smog (PS) (hourly
ozone conc. >100 ppb), PS-related diseases, and the synoptic weather patterns
during 2005-2009 in metropolitan Taipei. The results show that compared to
respiratory ailments (ICD code 460-519) and asthma (ICD code 493), cardiovascular
ailments (ICD code 390-459) were more clearly influenced by PS events. On the PS
event day, the number of admissions of babies, children, and adults increased by
0.04 [95% CI 0.01-0.06], 0.03 [95% CI 0.00-0.07], and 1.12 [95% CI 0.36-1.89] (P
< 0.05), respectively. The investigation showed that more than 70% of the PS
events were associated primarily with the peripheral circulation of typhoons,
Pacific pressure, and discrete Pacific pressure. PS events are a threat to public
health. To avoid the ill effects of air pollution, residents should be informed
about the daily weather patterns and air quality.
PMID- 22098559
TI - Filarial and Wolbachia genomics.
AB - Filarial nematode parasites, the causative agents for a spectrum of acute and
chronic diseases including lymphatic filariasis and river blindness, threaten the
well-being and livelihood of hundreds of millions of people in the developing
regions of the world. The 2007 publication on a draft assembly of the 95-Mb
genome of the human filarial parasite Brugia malayi- representing the first
helminth parasite genome to be sequenced - has been followed in rapid succession
by projects that have resulted in the genome sequencing of six additional
filarial species, seven nonfilarial nematode parasites of animals and nearly 30
plant parasitic and free-living species. Parallel to the genomic sequencing,
transcriptomic and proteomic projects have facilitated genome annotation,
expanded our understanding of stage-associated gene expression and provided a
first look at the role of epigenetic regulation of filarial genomes through
microRNAs. The expansion in filarial genomics will also provide a significant
enrichment in our knowledge of the diversity and variability in the genomes of
the endosymbiotic bacterium Wolbachia leading to a better understanding of the
genetic principles that govern filarial-Wolbachia mutualism. The goal here is to
provide an overview of the trends and advances in filarial and Wolbachia
genomics.
PMID- 22098561
TI - Update of the original HDLS kindred: divergent clinical courses.
AB - BACKGROUND: Hereditary diffuse leukoencephalopathy with spheroids (HDLS) was
first identified among a Swedish kindred with 17 cases. The average age of onset
was 36 years. Autopsy in four cases revealed the presence of axonal spheroids.
The causative gene is unknown. METHODS: We performed genealogical and
longitudinal observations of the original kindred. Forty members were examined,
five telephone-interviewed, and one of the original HDLS cases from 1984 was
neuropathologically examined. The clinical course was documented. The
cerebrospinal fluid (CSF) findings of two recently affected cases were examined,
and one of those autopsied. RESULTS: Of those examined, two developed HDLS during
our survey and 38 were healthy. Those interviewed by telephone were healthy. One
had symptoms suggestive of HDLS in 1984, but autopsy during our survey showed no
spheroids. This patient, two relatives healthy at our examination and one without
symptoms at telephone interview had HDLS diagnoses in the 1984 report. Thus, four
HDLS diagnoses were unconfirmed. The number of identified patients amounts to 15
among 75 individuals in four generations, including two recent cases who
demonstrated a subacute multisystem encephalopathy in Case 1 and an insidious
course in Case 2. CSF showed signs of neurodegeneration without inflammation, and
autopsy verified HDLS in Case 1. CONCLUSIONS: Some HDLS cases were misdiagnosed
with unspecified psychiatric diagnoses in affected relatives from the original
1984 publication. However, HDLS is an encephalopathy dominated by a frontal lobe
syndrome with an inexorably progressive and fatal course, where the different
symptomatology in two recent cases confirmed the existence of acute and chronic
variants.
PMID- 22098560
TI - Reprogramming urokinase into an antibody-recruiting anticancer agent.
AB - Synthetic compounds for controlling or creating human immunity have the potential
to revolutionize disease treatment. Motivated by challenges in this arena, we
report herein a strategy to target metastatic cancer cells for immune-mediated
destruction by targeting the urokinase-type plasminogen activator receptor
(uPAR). Urokinase-type plasminogen activator (uPA) and uPAR are overexpressed on
the surfaces of a wide range of invasive cancer cells and are believed to
contribute substantially to the migratory propensities of these cells. The key
component of our approach is an antibody-recruiting molecule that targets the
urokinase receptor (ARM-U). This bifunctional construct is formed by selectively,
covalently attaching an antibody-binding small molecule to the active site of the
urokinase enzyme. We demonstrate that ARM-U is capable of directing antibodies to
the surfaces of target cancer cells and mediating both antibody-dependent
cellular phagocytosis (ADCP) and antibody-dependent cellular cytotoxicity (ADCC)
against multiple human cancer cell lines. We believe that the reported strategy
has the potential to inform novel treatment options for a variety of deadly,
invasive cancers.
PMID- 22098562
TI - Validity and reliability of a new field test (Carminatti's test) for soccer
players compared with laboratory-based measures.
AB - The aim of this study was to assess the validity (Study 1) and reliability (Study
2) of a novel intermittent running test (Carminatti's test) for physiological
assessment of soccer players. In Study 1, 28 players performed Carminatti's test,
a repeated sprint ability test, and an intermittent treadmill test. In Study 2,
24 players performed Carminatti's test twice within 72 h to determine test-retest
reliability. Carminatti's test required the participants to complete repeated
bouts of 5 * 12 s shuttle running at progressively faster speeds until volitional
exhaustion. The 12 s bouts were separated by 6 s recovery periods, making each
stage 90 s in duration. The initial running distance was set at 15 m and was
increased by 1 m at each stage (90 s). The repeated sprint ability test required
the participants to perform 7 * 34.2 m maximal effort sprints separated by 25 s
recovery. During the intermittent treadmill test, the initial velocity of 9.0 km
. h(-1) was increased by 1.2 km . h(-1) every 3 min until volitional exhaustion.
No significant difference (P > 0.05) was observed between Carminatti's test peak
running velocity and speed at VO(2max) (v-VO(2max)). Peak running velocity in
Carminatti's test was strongly correlated with v-VO(2max) (r = 0.74, P < 0.01),
and highly associated with velocity at the onset of blood lactate accumulation (r
= 0.63, P < 0.01). Mean sprint time was strongly associated with peak running
velocity in Carminatti's test (r = -0.71, P < 0.01). The intraclass correlation
was 0.94 with a coefficient of variation of 1.4%. In conclusion, Carminatti's
test appears to be avalid and reliable measure of physical fitness and of the
ability to perform intermittent high-intensity exercise in soccer players.
PMID- 22098563
TI - Relationship between monocyte/macrophage activation marker soluble CD163 and
insulin resistance in obese and normal-weight subjects.
AB - CONTEXT: The relationship of monocyte/macrophage activation to insulin resistance
in obesity is unknown. OBJECTIVE: To investigate a marker of macrophage
activation, soluble CD163 (sCD163), in relationship to insulin resistance and
metabolic parameters in obese and normal-weight subjects. DESIGN AND
PARTICIPANTS: Ninety-five healthy subjects (65 obese and 30 normal-weight) were
studied. Plasma concentrations of sCD163 were assessed, as well as markers of
glucose homeostasis, anthropometrics, cytokines and adipokines. The relationships
between sCD163 and these parameters were investigated, and multiple regression
modelling assessing the contribution of sCD163 to insulin resistance (HOMA-IR)
was performed. RESULTS: Soluble CD163 was significantly increased in obese
subjects compared with normal-weight controls [974 (657, 1272) ng/ml vs 599 (423,
892) ng/ml, median (IQR); P < 0.0001]. sCD163 was strongly associated with HOMA
IR (Spearman's rho = 0.37, P = 0.0003) and other metabolic parameters. In
multiple regression modelling for log HOMA-IR, sCD163 remained significantly
associated (P = 0.005) controlling for known mediators of insulin resistance
including age, gender, visceral adiposity and inflammatory markers (model R(2) =
0.54, P < 0.0001). Additional nested multiple regression models for log HOMA-IR
showed that sCD163 added more than other adipokines and inflammatory markers to
the prediction of HOMA-IR. CONCLUSIONS: Monocyte/macrophage activation, as
reflected by sCD163 levels, is strongly associated with HOMA-IR in normal-weight
and obese subjects after controlling for known mediators of insulin resistance.
Moreover, sCD163 adds to standard risk markers for predicting insulin resistance.
These data suggest that monocyte/macrophage activation may be an important
determinant of insulin resistance in obesity.
PMID- 22098564
TI - High density lipoprotein in patients with liver failure; relation to sepsis,
adrenal function and outcome of illness.
AB - BACKGROUND AND AIMS: High density lipoprotein (HDL) plays an important role in
the transport of cholesterol to the adrenal gland for steroidogenesis and may
have actions that modulate response to infection and critical illness. The
clinical relevance of HDL level in patients with liver failure remains poorly
characterised. METHODS: In 164 critically-ill patients with acute (ALF) and acute
on chronic liver failure (AOCLF) we evaluated the relationship between HDL levels
measured on admission to intensive care unit (ICU) and survival, predisposition
to sepsis and adrenocortical function assessed through the cortisol response to
short synacthen testing (SST). RESULTS: In acute liver failure and acute on
chronic liver failure, high density lipoprotein levels were significantly lower
in non-survivors (P < 0.01). Levels correlated closely with biochemical markers
of liver function and the duration of liver failure. However, predictive accuracy
was not superior to conventional markers and on multi-variate analysis did not
show independent association with survival. Low HDL concentration was not
associated with an increased incidence of sepsis either precipitating or
complicating ICU admission. Evidence of adrenocortical insufficiency was present
in more than half of patients undergoing SST and HDL level but not other lipid
parameters correlated closely with cortisol increment after SST (r = 0.364, P <
0.0001). CONCLUSIONS: High density lipoprotein levels are low in patients with
liver failure and reflect its severity. Levels are lower in non-survivors but do
not offer an advantage as early indicators of prognosis over conventional
markers. No evidence of a major predisposing role for infection was found, but
findings suggest a close link to adrenal function.
PMID- 22098565
TI - The role of noninvasive imaging in early diagnosis of clinically masked
prepulseless inflammatory phase of Takayasu's Arteritis.
AB - Diagnosis of early-phase Takayasu's arteritis (TA) is extremely difficult and
overlooked as most often presentation is nonspecific and mimics various other
diseases. Early diagnosis and initiation of proper therapy could alter the
natural course of the disease. We describe an adolescent male presenting with
pyrexia of unknown origin and clinical features simulating idiopathic dilated
cardiomyopathy. Transthoracic echocardiography was suggestive of dilated
cardiomyopathy with severe left ventricular dysfunction. Later vascular
ultrasonography and CT aortography showed extensive thickening of intima-media of
aorta and its major branches suggestive of inflammatory phase of TA. We discuss
the role of noninvasive imaging in diagnosis of clinically masked prepulseless
inflammatory phase of TA.
PMID- 22098566
TI - New preparation of benzylic aluminum and zinc organometallics by direct insertion
of aluminum powder.
AB - The reaction of commercial Al-powder (3 equiv) and InCl(3) (1-5 mol %) with
benzylic chlorides provides various functionalized benzylic aluminum
sesquichlorides under mild conditions (THF, 20 degrees C, 3-24 h) without
homocoupling (<5%). These new benzylic organometallics reacted smoothly with
various electrophiles (Pd-catalyzed cross-couplings, or Cu-mediated acylations,
allylations, or 1,4-addition reactions). Electron-poor benzylic chlorides or
substrates prone to Wurtz coupling can be converted to benzylic zinc compounds by
the reaction of Al-powder in the presence of ZnCl(2).
PMID- 22098567
TI - Modeling erotomania delusion in the laboratory with hypnosis.
AB - Erotomania is the delusional belief that one is loved from afar by another person
(the target). This study used hypnosis as a novel cognitive neuropsychological
research tool to model erotomania. The authors developed 2 versions of a hypnotic
erotomania suggestion and tested their impact by asking subjects to recall and
interpret a story featuring ambiguous scenarios. They also challenged the
delusion by asking subjects to justify their beliefs. The hypnotic erotomania
suggestions successfully recreated the features of the clinical delusion for many
high hypnotizable subjects. They believed that the target loved them, interpreted
ambiguous information consistent with this belief and confabulated evidence in
service of their delusion. Some also resisted all challenges to their delusion.
These features are strikingly similar to clinical cases and highlight the value
of using hypnosis to model clinical delusions. The authors also discuss some
limitations of this approach.
PMID- 22098568
TI - EEG sLORETA functional imaging during hypnotic arm levitation and voluntary arm
lifting.
AB - This study (N = 37 with high, medium, and low hypnotizables) evaluated depth
reports and EEG activity during both voluntary and hypnotically induced left-arm
lifting with sLORETA functional neuroimaging. The hypnotic condition was
associated with higher activity in fast EEG frequencies in anterior regions and
slow EEG frequencies in central-parietal regions, all left-sided. The voluntary
condition was associated with fast frequency activity in right-hemisphere central
parietal regions and slow frequency activity in left anterior regions.
Hypnotizability did not have a significant effect on EEG activity, but hypnotic
depth correlated with left hemisphere increased anterior slow EEG and decreased
central fast EEG activity. Hypnosis had a minimal effect on depth reports among
lows, a moderate one among mediums, and a large one among highs. Because only
left-arm data were available, the full role of the hemispheres remains to be
clarified.
PMID- 22098569
TI - Hypnotizability-related differences in written language.
AB - The study analyzed the writing products of subjects with high (highs) and low
(lows) hypnotizability. The participants were asked to write short texts in
response to highly imaginative scenarios in standard conditions. The texts were
processed through computerized and manual methods. The results showed that the
highs' texts were more sophisticated due to a higher number of abstract nouns,
more intense and imaginative due to a larger number of similes, metaphors, and
onomatopoeias, and less detailed due to a higher nouns-to-adjectives ratio. The
differences in the use of abstract nouns and highly imageable expressions are
discussed in relation to the preeminent left-hemisphere activity of highs during
wakefulness and to a possibly different involvement of the precuneus, which is
involved in hypnotic phenomena.
PMID- 22098570
TI - The cognitive demands of hypnotic response.
AB - This study tests the proposal that hypnotic responding is effortless. The authors
compared the responses of high and low hypnotizable participants (N = 70) in and
out of hypnosis on a dual-task paradigm in which they were required to maintain
hypnotic blindness during presentation of visual stimuli of varying salience
intensities while simultaneously completing a secondary task. Whereas high
hypnotizable participants in both hypnosis and wake conditions reported
comparable levels of conviction in the hallucination suggestion, hypnotized highs
performed poorer on the secondary task when the stimulus was present. Performance
on the secondary task deteriorated when the visual stimulus was intensified.
These findings contradict the notion that hypnotic response is not demanding on
cognitive resources and suggest that increased effort is required to resolve the
extent of conflict between reality and suggestion.
PMID- 22098571
TI - Cerebral blood flow evaluation during the hypnotic state with transcranial
Doppler sonography.
AB - Cerebral blood flow was measured in normal waking (alert relaxed mental imagery)
and hypnotic states. Mean flow velocity (Vm) in the middle cerebral artery (MCA)
was significantly increased in hypnosis (Condition II) from Condition I (5
minutes before hypnotic induction). Vm decreased in Condition III (hypnotic
imagination). After hypnosis, Vm values returned to baseline. Pulsatility index
values and resistive index values showed significant variations during
sonographic monitoring between Conditions I and IV (5 minutes after the
completion of hypnosis). Both values were significantly higher in Condition I
than IV. These findings show that hypnotic status can modulate cerebral blood
flow.
PMID- 22098572
TI - Electromyographic investigation of hypnotic arm levitation: differences between
voluntary arm elevation and involuntary arm levitation.
AB - Thirty-three volunteers were randomly exposed to 3 conditions: hypnotic arm
levitation, holding up the arm voluntarily without hypnosis, and imagined arm
lifting without hypnosis. Trapezius, deltoid, extensor digitorum, flexor
digitorum profundus, biceps brachii, and triceps brachii muscles were measured.
Strain and muscle activity during lifting and holding up the right arm for 3
minutes were used as dependent variables. During hypnotic arm levitation, the
total muscle activity was lower than during holding it up voluntarily (p < .01);
the activity in the deltoid was 27% lower (p < .001). Without hypnosis, the
muscle activity showed a positive correlation with strain. However, there was no
such correlation in the hypnotic condition. Apparently, it is possible to reduce
strain and to objectively measure muscle activity in an uplifted arm through
hypnotic arm levitation.
PMID- 22098573
TI - Hypnotherapy intervention for loin pain hematuria: a case study.
AB - Loin pain hematuria is characterized by chronic loin pain, hematuria, and
dysuria. There are no known effective treatments for loin pain hematuria and
longer term use of analgesics and surgical options are often ineffective or
associated with negative side effects. This article reports on a 17-year-old
female patient diagnosed with loin pain hematuria who presented with unilateral,
uncontrolled loin pain following numerous unsuccessful attempts at controlling
her symptoms with traditional medical interventions, including antibiotics,
opioids, and renal denervation. The patient received 8 sessions of hypnotherapy.
Baseline, endpoint, and follow-up measures administered included the General
Health Questionnaire, Hospital Anxiety and Depression Scale, McGill Pain
Questionnaire, Pain Discomfort Scale, and visual analogue measures of pain,
academic interference, and social interference. At follow-up, results indicated
clinically significant decreases in pain, anxiety, and depression with nearly
complete remission of presenting symptoms.
PMID- 22098574
TI - Herbert Spiegel, MD, a man for all seasons: early personal and professional
development, 1914-1946.
AB - Herbert Spiegel, MD, was a pioneer in American psychiatry and the field of
hypnosis, which he first started using as an army psychiatrist posted at Fort
Meade, Maryland. He served as a battalion surgeon during the invasion of North
Africa and later in the Tunisian campaign. On the battlefield, Spiegel used
hypnosis for quick symptom resolution and pain control. He was wounded in action
on May 7, 1943, and was awarded a Purple Heart for his courage and bravery. When
Spiegel was evacuated back to America, he began writing about short-term
treatment strategies based on cognitive restructuring, hypnosis, and other
clinical techniques. This article details his early life and career.
PMID- 22098575
TI - Conformational variability of organophosphorus hydrolase upon soman and paraoxon
binding.
AB - The bacterial enzyme organophosphorus hydrolase (OPH) exhibits both catalytic and
substrate promiscuity. It hydrolyzes bonds in a variety of phosphotriester (P-O),
phosphonothioate (P-S), phosphofluoridate (P-F), and phosphonocyanate (F-CN)
compounds. However, its catalytic efficiency varies markedly for different
substrates, limiting the broad-range application of OPH as catalyst in the
bioremediation of pesticides and chemical war agents. In the present study, pK(a)
calculations and multiple explicit-solvent molecular dynamics (MD) simulations
were performed to characterize and contrast the structural dynamics of OPH bound
to two substrates hydrolyzed with very distinct catalytic efficiencies: the nerve
agent soman (O-pinacolylmethylphosphonofluoridate) and the pesticide paraoxon
(diethyl p-nitrophenyl phosphate). pK(a) calculations for the substrate-bound and
unbound enzyme showed a significant pK(a) shift from standard values (DeltapK(a)
= +/-3 units) for residues His254 and Arg275. MD simulations of protonated His254
revealed a dynamic hydrogen bond network connecting the catalytic residue Asp301
via His254 to Asp232, Asp233, Arg275, and Asp235, and is consistent with a
previously postulated proton relay mechanism to ferry protons away from the
active site with substrates that do not require activation of the leaving group.
Hydrogen bonds between Asp301 and His254 were persistent in the OPH-paraoxon
complex but not in the OPH-soman one, suggesting a potential role for such
interaction in the more efficient hydrolysis of paraoxon over soman by OPH. These
results are in line with previous mutational studies of residue His254, which led
to an increase of the catalytic efficiency of OPH over soman yet decreased its
efficiency for paraoxon. In addition, comparative analysis of the molecular
trajectories for OPH bound to soman and paraoxon suggests that binding of the
latter facilitates the conformational transition of OPH from the open to the
closed substate promoting a tighter binding of paraoxon.
PMID- 22098576
TI - Protein candidates for Q fever serodiagnosis.
AB - The discriminatory diagnosis of Q fever remains difficult because of the
unspecific clinical presentations of the disease. Additionally, the diagnosis is
often delayed because serodiagnosis is not sensitive enough in the early stages
of the disease when the immune response is not yet efficient. Similarly, the
diagnosis of Q fever endocarditis can only be performed in approximately 35%,
mainly via serology, which was a criterion postulated by Duke. Owing to the
discriminatory diagnosis of Q fever and the high number of tests requested, we
focused on expressing several proteins for ELISA studies with Coxiella burnetii
infected sera. Previously, we selected a list of 31 candidates [Sekeyova et al.
(2009) Eur J Clin Microbiol Infect Dis 28: 287-295], of which we have
successfully cloned and expressed 21. Finally, 15 recombinant proteins were
prescreened with the sera of patients with acute Q fever and Q fever
endocarditis, respectively. Sera from a control group were also screened. The
nine most immunoreactive proteins from the first assay were tested with the sera
from a larger group of patients. Our study identified CBU_0092 as the best marker
of acute Q fever but failed to isolate a highly specific and sensitive marker of
Q fever endocarditis.
PMID- 22098583
TI - Can cell death biomarkers predict the outcome of acute liver failure?
PMID- 22098584
TI - Bilingualism and academic achievement.
AB - Using the Early Childhood Longitudinal Study, Kindergarten Cohort, this study
examines the role that bilingualism plays in children's academic developmental
trajectories during their early school years, with particular attention on the
school environment (N = 16,380). Growth-curve results showed that despite
starting with lower math scores in kindergarten, Mixed Bilingual children fully
closed the math gap with their White English Monolingual peers by fifth grade.
However, because non-English-Dominant Bilinguals and non-English Monolinguals
started kindergarten with significantly lower reading and math scores compared to
their English Monolingual peers, by fifth grade the former groups still had
significantly lower scores. School-level factors explained about one third of the
reductions in the differences in children's academic performance.
PMID- 22098585
TI - Experiences of abdominal massage for constipation.
AB - AIM: This study aims to illuminate participants' experiences of receiving
abdominal massage for constipation. BACKGROUND: Abdominal massage has been found
to decrease the severity of constipation and abdominal pain, but little is known
about how patients experience receiving abdominal massage. DESIGN: The present
study is a qualitative descriptive study, based on individual interviews. METHOD:
Nine adults receiving abdominal massage for constipation were invited to
participate. Semi-structured interviews were conducted in Sweden between 2005
2007. The interviews were transcribed and subjected to qualitative content
analysis. RESULTS: Four themes were formulated: 'being on one's guard', 'becoming
embraced by safe hands', 'being touched physically and emotionally' and 'feeling
vulnerable'. The participants reported that they were on guard, i.e. they were
sceptical about whether or not abdominal massage was effective and suitable.
However, as the massage sessions continued, they found the massage pleasant and
began to feel embraced and in safe hands. They described how the abdominal
massage made them feel as 'being touched physically and emotionally' and their
bowel habits were improved. Along with the improvements, their agony was gone and
they felt relieved. However, they considered their new condition fragile and they
felt vulnerable to relapse. CONCLUSIONS: Abdominal massage was experienced as
pleasurable, and after treatment, the participants felt more comfortable with
their bowel function. Participants described abdominal massage as affecting the
whole person. RELEVANCE TO CLINICAL PRACTICE: Abdominal massage has been shown to
be an effective intervention for constipation. A crucial aspect is that nurses
need to be sensitive and respect the intimacy associated with the abdomen.
PMID- 22098586
TI - Crystalline assemblies and densest packings of a family of truncated tetrahedra
and the role of directional entropic forces.
AB - Polyhedra and their arrangements have intrigued humankind since the ancient
Greeks and are today important motifs in condensed matter, with application to
many classes of liquids and solids. Yet, little is known about the
thermodynamically stable phases of polyhedrally shaped building blocks, such as
faceted nanoparticles and colloids. Although hard particles are known to organize
due to entropy alone, and some unusual phases are reported in the literature, the
role of entropic forces in connection with polyhedral shape is not well
understood. Here, we study thermodynamic self-assembly of a family of truncated
tetrahedra and report several atomic crystal isostructures, including diamond,
beta-tin, and high-pressure lithium, as the polyhedron shape varies from
tetrahedral to octahedral. We compare our findings with the densest packings of
the truncated tetrahedron family obtained by numerical compression and report a
new space-filling polyhedron, which has been overlooked in previous searches.
Interestingly, the self-assembled structures differ from the densest packings. We
show that the self-assembled crystal structures can be understood as a tendency
for polyhedra to maximize face-to-face alignment, which can be generalized as
directional entropic forces.
PMID- 22098587
TI - Antiadipogenic effect of dietary apigenin through activation of AMPK in 3T3-L1
cells.
AB - Adipocyte differentiation (adipogenesis) is a complex process including the
coordinated changes in hormone sensitivity and gene expression in response to
various stimuli. Natural compounds are known to be involved in the regulation of
this process. Here we investigated the effects of dietary apigenin, a plant
flavonoid, on adipogenesis. Apigenin suppressed adipocyte differentiation of
mouse adipocytic 3T3-L1 cells and reduced the accumulation of intracellular
lipids. Quantitative PCR and Western blot analyses revealed that apigenin
decreased the levels of peroxisome proliferator-activated receptor gamma and its
target genes such as fatty acid binding protein 4 (aP2) and stearoyl-CoA
desaturase. Apigenin decreased or had no effect on the expression of lipolytic
genes such as adipose triglyceride lipase, hormone sensitive lipase, and monoacyl
glyceride lipase, thereby reducing glycerol release from adipocytes.
Noteworthily, apigenin activated 5'-adenosine monophosphate-activated protein
kinase (AMPK) in an apigenin dose-dependent manner, which activation is known to
suppress adipogenesis. These results provide a novel insight into the molecular
mechanism involved in the action of apigenin: the apigenin-induced activation of
AMPK leads to decreased expression of adipogenic and lipolytic genes, thus
suppressing adipogenesis in 3T3-L1 cells. Thus, dietary apigenin may contribute
to lower body-fat content and body-weight gain through the activation of AMPK.
PMID- 22098588
TI - Development and evaluation of an information booklet about breast cancer and
early menopause.
PMID- 22098589
TI - Ethionamide boosters. 2. Combining bioisosteric replacement and structure-based
drug design to solve pharmacokinetic issues in a series of potent 1,2,4
oxadiazole EthR inhibitors.
AB - Mycobacterial transcriptional repressor EthR controls the expression of EthA, the
bacterial monooxygenase activating ethionamide, and is thus largely responsible
for the low sensitivity of the human pathogen Mycobacterium tuberculosis to this
antibiotic. We recently reported structure-activity relationships of a series of
1,2,4-oxadiazole EthR inhibitors leading to the discovery of potent ethionamide
boosters. Despite high metabolic stability, pharmacokinetic evaluation revealed
poor mice exposure; therefore, a second phase of optimization was required.
Herein a structure-property relationship study is reported according to the
replacement of the two aromatic heterocycles: 2-thienyl and 1,2,4-oxadiazolyl
moieties. This work was done using a combination of structure-based drug design
and in vitro/ex vivo evaluations of ethionamide boosters on the targeted protein
EthR and on the human pathogen Mycobacterium tuberculosis. Thanks to this
process, we identified compound 42 (BDM41906), which displays improved efficacy
in addition to high exposure to mice after oral administration.
PMID- 22098591
TI - Mitochondrial transcription factor A regulates BCL2L1 gene expression and is a
prognostic factor in serous ovarian cancer.
AB - Mitochondrial transcription factor A (mtTFA) is necessary for both transcription
and maintenance of mitochondrial DNA (mtDNA). Recently, we reported that mtTFA is
expressed not only in mitochondria, but also in nuclei. However, the function of
mtTFA in the nucleus has not been clearly elucidated. In the present study, we
examined nuclear mtTFA expression in 60 tissue samples of serous ovarian cancer
using immunohistochemical analysis and found that 56.7% of serous ovarian cancer
patients were positive for mtTFA, whereas 43.3% were negative. Univariate
survival analysis showed that the overall 5-year survival rate was significantly
worse for patients with mtTFA-positive cancer compared with mtTFA-negative cancer
(32%vs 42%, respectively; P = 0.021). To elucidate the function of mtTFA in the
nucleus, we investigated BCL2L1, a target gene of mtTFA. There was a significant
correlation between nuclear mtTFA expression and BCL2L1 expression in seven
ovarian cancer cell lines and in specimens of clinical ovarian cancer. Cellular
BCL2L1 was downregulated following transfection of siRNA against mtTFA. BCL2L1
promoter activity was increased after transfection of mtTFA expression plasmid,
but decreased after siRNA knockdown of mtTFA. Chromatin immunoprecipitation
assays showed that mtTFA was bound to the BCL2L1 promoter region. These results
suggest that mtTFA is a prognostic factor for a poor outcome of ovarian cancer
and may function as an antiapoptotic factor, regulating genes such as BCL2L1.
Furthermore, mtTFA may be a promising molecular target for novel therapeutic
strategies for the treatment of ovarian cancer.
PMID- 22098590
TI - Predicting the efficacy of proton pump inhibitors in patients with non-erosive
reflux disease before therapy using dual-channel 24-h esophageal pH monitoring.
AB - BACKGROUND AND AIM: We aimed to determine whether reflux- and symptom-related
parameters can predict the efficacy of proton pump inhibitors (PPI) in non
erosive reflux disease (NERD). METHODS: Twenty-seven NERD patients who had
experienced heartburn more than once a week within the previous month were
enrolled. Intraesophageal pH before therapy was measured simultaneously at 5 and
15 cm above the esophagogastric junction (EGJ) for 24 h. The PPI rabeprazole was
administered at a dose of 10 mg once daily for 4 weeks. In the event that
heartburn was not relieved, the dose was increased to 10 mg twice daily for an
additional 2 weeks, and again to 20 mg twice daily for another 2 weeks. RESULTS:
Univariate analysis demonstrated no significant associations between any reflux-
or symptom-related parameters at either site and complete heartburn relief after
4 weeks, or cumulative complete heartburn relief after 8 weeks. However, post-hoc
analysis demonstrated more satisfactory heartburn relief after 4 weeks in
patients with a high symptom index compared with those with a low symptom index,
at 5 cm above the EGJ (P = 0.009). Cumulative satisfactory heartburn relief after
8 weeks was also greater in patients with a high total number of acid reflux
episodes compared with those with a low total number of episodes, at 15 cm above
the EGJ (P = 0.037). CONCLUSIONS: Pre-therapeutic pH monitoring in the lower and
mid-esophagus is useful for predicting the efficacy of PPI in NERD patients.
PMID- 22098592
TI - Connexin 26 (GJB2) mutations in keratitis-ichthyosis-deafness syndrome presenting
with squamous cell carcinoma.
PMID- 22098593
TI - Comparison of manual and digital microvascular density counting of RECK
expression in glioma.
AB - A microvascular density (MVD) counting method for reversion-inducing cysteine
rich protein with Kazal motifs (RECK) expression, using a digital image analysis
tool, has advantages over manual counting by microscope. Thirty glioma cases with
RECK staining were photographed at a magnification of 200* high power field and
the photographs in RGB images were analyzed, and stained vessels were captured
and were counted automatically. MVD with RECK expression using a digital image
analysis tool showed comparable results to those of the manual method. RECK
intensity expression could show linear correlation with grades of glioma by the
digital method, which was superior compared to the manual method. The present
method is recommended to researchers undertaking MVD study for glioma.
PMID- 22098594
TI - The effects of landscape fragmentation on pollination dynamics: absence of
evidence not evidence of absence.
AB - Animal-mediated pollination is essential for both ecosystem services and
conservation of global biodiversity, but a growing body of work reveals that it
is negatively affected by anthropogenic disturbance. Landscape-scale disturbance
results in two often inter-related processes: (1) habitat loss, (2) disruptions
of habitat configuration (i.e. fragmentation). Understanding the relative effects
of such processes is critical in designing effective management strategies to
limit pollination and pollinator decline. We reviewed existing published work
from 1989 to 2009 and found that only six of 303 studies considering the
influence of landscape context on pollination separated the effects of habitat
loss from fragmentation. We provide a synthesis of the current landscape,
behavioural, and pollination ecology literature in order to present preliminary
multiple working hypotheses explaining how these two landscape processes might
independently influence pollination dynamics. Landscape disturbance primarily
influences three components of pollination interactions: pollinator density,
movement, and plant demography. We argue that effects of habitat loss on each of
these components are likely to differ substantially from the effects of
fragmentation, which is likely to be more complex and may influence each
pollination component in contrasting ways. The interdependency between plants and
animals inherent to pollination systems also has the possibility to drive
cumulative effects of fragmentation, initiating negative feedback loops between
animals and the plants they pollinate. Alternatively, due to their asymmetrical
structure, pollination networks may be relatively robust to fragmentation.
Despite the potential importance of independent effects of habitat fragmentation,
its effects on pollination remain largely untested. We postulate that variation
across studies in the effects of 'fragmentation' owes much to artifacts of the
sampling regimes adopted, particularly (1) incorrectly separating fragmentation
from habitat loss, and (2) mis-matches in spatial scale between landscapes
studied and the ecological processes of interest. The field of landscape
pollination ecology could be greatly advanced through the consideration and
quantification of the matrix, landscape functional connectivity, and pollinator
movement behaviour in response to these elements. Studies designed to disentangle
the independent effects of habitat loss and fragmentation are essential for
gaining insight into landscape-mediated pollination declines, implementing
effective conservation measures, and optimizing ecosystem services in complex
landscapes.
PMID- 22098595
TI - A catalytic tethering strategy: simple aldehydes catalyze intermolecular alkene
hydroaminations.
AB - Herein we describe a catalytic tethering strategy in which simple aldehyde
precatalysts enable, through temporary intramolecularity, room-temperature
intermolecular hydroamination reactivity and the synthesis of vicinal diamines.
The catalyst allows the formation of a mixed aminal from an allylic amine and a
hydroxylamine, resulting in a facile intramolecular hydroamination event. The
promising enantioselectivities obtained with a chiral aldehyde also highlight the
potential of this catalytic tethering approach in asymmetric catalysis and
demonstrate that efficient enantioinduction relying only on temporary
intramolecularity is possible.
PMID- 22098596
TI - Acute transient thyroid swelling after fine-needle aspiration biopsy: three cases
during only 6 weeks - a rare complication?
PMID- 22098597
TI - Echocardiographically detected fibrinous sheaths associated with central venous
catheters.
AB - Several million catheters are annually placed in the United States and worldwide
for a multitude of clinical conditions. Potential delayed complications relating
to central venous catheters include infections, thrombosis and fibrin sheath
formation. Fibrin sheaths form frequently around central catheters but seldom
cause clinical symptoms by themselves that warrant further investigation. It is
likely that with the advent of echocardiographic imaging techniques, these
"sleeves" get detected more often, which may result in early and correct
diagnosis of this potential hazardous condition. Retained fibrin sleeves can
cause malfunction of indwelling catheters, can persist after removal of the
catheter, and be a nidus for thrombus formation or vegetation with a potential
for distal embolization. Future research directed at creating new coatings with
cytotoxic or cytostatic agents is warranted to reduce the incidence of fibrin
sheath formation and hence prevent potential complications. We report three cases
of persistent fibrin sheaths forming at the site of previously inserted tunneled
catheters two of which were complicated by thrombus formation and vegetations.
PMID- 22098598
TI - Synovial sarcoma of the cauda equina.
AB - Primary synovial sarcoma originating from the cauda equina is extremely rare.
Only one case, involving an 11-year-old girl, has been reported. The authors
describe the case of a 23-year-old woman with a primary synovial sarcoma of the
cauda equina. The patient visited a local hospital and described a 2-month
history of low-back pain. She was referred to the authors' hospital for further
evaluation. On physical examination, she had a straight-leg raising result of 70
degrees bilaterally. Motor examination revealed Grade 4/5 strength in the
bilateral extensor hallux longus muscles. There was normal sensation to light
touch and vibration in the lower extremities. Sagittal Gd-enhanced T1-weighted MR
imaging demonstrated an intradural, extramedullary, and uniformly enhancing mass
that extended from L-3 to L-4. The mass was totally resected and adjuvant local
radiation therapy was administered. Reverse transcriptase polymerase chain
reaction (RT-PCR) of a paraffin-embedded tissue sample revealed SYT-SSX fusion
transcripts, and the diagnosis of synovial sarcoma was confirmed. Five and a half
years after surgery, the patient is free of local recurrence and metastatic
disease. The RT-PCR detection of SYT-SSX fusion transcripts played a key role in
establishing the diagnosis of synovial sarcoma of the cauda equina. Complete
resection of the mass with adjuvant local radiation therapy proved to be
effective.
PMID- 22098599
TI - Giant-cell granuloma of the axis.
AB - Giant-cell granuloma is a benign and nonneoplastic lesion with an expansive and
locally destructive behavior. It typically involves the mandible and the maxilla.
Only 1 case arising from the odontoid process of the axis has been reported
previously. The authors report on a 64-year-old man with a giant-cell granuloma
of the axis. They review this uncommon entity, emphasizing the complexity of
differentiating between this lesion and other giant-cell tumors.
PMID- 22098600
TI - Spine clearance.
PMID- 22098601
TI - Survival for colon and rectal cancer in Estonia: role of staging and treatment.
AB - BACKGROUND: International comparisons have indicated low colorectal cancer (CRC)
survival in Estonia, compared to other European countries. The objective of this
paper is to analyse long-term survival as well as staging and treatment patterns
of CRC in Estonia. MATERIAL AND METHODS: The analysis included all incident cases
of CRC diagnosed in Estonia in 1997 (n = 546), identified through the Estonian
Cancer Registry and followed up for 10 years after diagnosis. Staging and
treatment data were retrospectively collected from medical records. Relative
survival rate (RSR) was used to estimate the outcome. RESULTS AND CONCLUSION: The
5-year RSR was 51% for colon cancer and 38% for rectal cancer; the corresponding
10-year RSR was 50% and 39%. We observed no excess mortality for early disease.
For stages II and III, the survival was markedly higher in colon cancer (5-year
RSR 79% and 66%, respectively) compared to rectal cancer (66% and 30%,
respectively). Around 30% of cases were diagnosed with distant disease. Among
radically operated colon and rectal cancer patients, the 10-year RSR was 90% and
70%, respectively. Most patients with available pathological information had one
to four lymph nodes examined. Survival has notably improved for colon cancer, but
not for rectal cancer in Estonia. High proportion of cases with distant
metastasis at first diagnosis along with inadequate staging and low proportion of
patients treated with curatively intended surgery and appropriate chemotherapy
and radiotherapy may have contributed to this outcome. Progress could be achieved
by earlier diagnosis and implementing higher standards for staging and treatment.
These conclusions are likely to be relevant also for other Eastern European
countries.
PMID- 22098602
TI - Modulation of neuromagnetic responses to face stimuli by preceding biographical
information.
AB - When we encode faces in memory, we often do so in association with biographical
information regarding the person. To examine the neural dynamics underlying such
encoding processes, we devised a face recognition task and recorded cortical
activity using magnetoencephalography. The task included two conditions. In the
experimental condition, face stimuli were preceded by biographical information
regarding the person whose face was to be memorized, whereas in the control
condition, nonsense syllables were presented before face stimuli. Behavioral
results indicated that the biographical information about a person facilitated
the recognition memory of their face. Magnetoencephalography signals showed clear
visually evoked magnetic fields mainly in the occipitotemporal cortex, in
response to the face stimuli that were to be encoded. The phasic peak was
observed at 100-200 ms after onset of a face stimulus, which was followed by late
latency deflections (200-400 ms). Comparison of the signal between conditions
revealed that the preceding semantic information does modulate the neuromagnetic
responses to the face stimuli. This modulation occurred primarily at the late
latency component in the sensors over the occipitotemporal cortex. In addition,
the effects of conditions were also observed in the signals from more anterior
sensors, which occurred earlier than the effects in the occipitotemporal cortex.
These results provide insights into the neural dynamics underlying the encoding
of faces in association with their biographical information.
PMID- 22098604
TI - Variation and evolution of the ABC transporter genes ABCB1, ABCC1, ABCG2, ABCG5
and ABCG8: implication for pharmacogenetics and disease.
AB - The ATP-binding cassette (ABC) transporter genes are ubiquitous in the genomes of
all vertebrates. Some of these transporters play a key role in xenobiotic defense
and are endowed with the capacity to efflux harmful toxic substances. A major
role in the evolution of the vertebrate ABC genes is played by gene duplication.
Multiple gene duplication and deletion events have been identified in ABC genes,
resulting in either gene birth or gene death indicating that the process of gene
evolution is still ongoing in this group of transporters. Additionally,
polymorphisms in these genes are linked to variations in expression, function,
drug disposition and drug response. Single nucleotide polymorphisms in the ABC
genes may be considered as markers of individual risk for adverse drug reactions
or susceptibility to complex diseases as they can uniquely influence the quality
and quantity of gene product. As the ABC genes continue to evolve, globalization
will yield additional migration and racial admixtures that will have far reaching
implications for the pharmacogenetics of this unique family of transporters in
the context of human health.
PMID- 22098603
TI - Gene-specific alterations of hepatic gene expression by ligand activation or
hepatocyte-selective inhibition of retinoid X receptor-alpha signalling during
inflammation.
AB - BACKGROUND: Inflammation leads to transcriptional downregulation of many hepatic
genes, particularly those activated by retinoid X receptor-alpha (RXRalpha)
heterodimers. Inflammation-mediated reduction of nuclear RXRalpha levels is a
main factor in reduced nuclear receptor (NR)-regulated hepatic gene expression,
eventually leading to cholestasis and liver damage. AIM: To investigate roles for
RXRalpha in hepatic gene expression during inflammation, using two complementary
mouse models: ligand activation of RXRalpha, and in mice expressing hepatocyte
specific expression of RXRalpha missing its DNA-binding domain (DBD; hs
RxralphaDeltaex4(-/-) ). METHODS: To activate RXRalpha, mice were gavage-fed with
LG268 or vehicle for 5 days. To inhibit RXRalpha function, hs-RxralphaDeltaex4(-/
) mice were used. All mice were injected intraperitoneally with
lipopolysaccharides (LPS) or saline for 16 h prior to analysis of hepatic RNA,
protein and NR-DNA binding. RESULTS: LG268 treatment attenuated the LPS-mediated
reductions of several RXRalpha-regulated genes, coinciding with maintained
RXRalpha occupancy in both Bsep and Ostbeta promoters. Lacking full hepatocyte
RXRalpha function (hs-RxralphaDeltaex4(-/-) mice) led to enhancement of LPS
mediated changes in gene expression, but surprisingly, maintenance of RNA levels
of some RXRalpha-regulated genes. Investigations revealed that hs
RxralphaDeltaex4(-/-) hepatocytes expressed an internally truncated,
approximately 44 kDa, RXRalpha-form. DNA-binding capacity of NR heterodimers was
equivalent in wild-type and hs-RxralphaDeltaex4(-/-) livers, but reduced by LPS
in both. Chromatin immunoprecipitation quantitative PCR revealed that RXRalpha
occupancy to the Bsep RXRalpha:Farnesoid X Receptor site was reduced, but not
absent, in hs-RxralphaDeltaex4(-/-) livers. CONCLUSIONS: There are differential
regulatory roles for hepatic RXRalpha, both in basal and inflammatory states,
suggesting new and complex multidomain roles for RXRalpha in regulating hepatic
gene expression. Moreover, there is an unexpected non-obligate role for the DBD
of RXRalpha.
PMID- 22098605
TI - Linking genetic diversity and temporal fluctuations in population abundance of
the introduced feral cat (Felis silvestris catus) on the Kerguelen archipelago.
AB - Linking temporal variations of genetic diversity, including allelic richness and
heterozygosity, and spatio-temporal fluctuations in population abundance has
emerged as an important tool for understanding demographic and evolutionary
processes in natural populations. This so-called genetic monitoring was conducted
across 12 consecutive years (1996-2007) at three sites for the feral cat,
introduced onto the Kerguelen archipelago fifty years ago. Temporal changes in
allelic richness and heterozygosity at 18 microsatellite DNA loci were compared
with temporal changes in the adult population abundance index, obtained by
typical demographic monitoring. No association was found at the island spatial
scale, but we observed an association between genetic diversity and adult
population indices from year to year within each study site. More particularly,
the magnitude of successive increases or decreases in the adult population
abundance index appeared to be the major factor linking the trajectories of
genetic diversity and adult population abundance indices. Natal dispersal and/or
local recruitment, both facilitated by high juvenile survival when the adult
population size is small, is proposed as the major demographic processes
contributing to such an observed pattern. Finally, we suggested avoiding the use
of the harmonic mean as an estimator of long-term population size to study the
relationships between demographic fluctuations and heterozygosity in populations
characterized by strong multiannual density fluctuations.
PMID- 22098606
TI - The lack of memory B cells including T cell independent IgM+ IgD+ memory B cells
in chronic graft-versus host disease is associated with susceptibility to
infection.
AB - The chronic graft-versus host disease (cGVHD) is associated with a perturbed B
cell homeostasis and an increased infection rate. Aiming to determine the impact
of lymphocyte subsets on cGVHD, blood samples from 98 patients at least 100 days
following allogeneic haematopoietic stem cell transplantation (median 1066 days)
were analyzed, serum levels of immunoglobulins measured and the incidence of
severe infections retrospectively documented. Absolute CD19(+) B cell counts,
including counts of immature (CD10(+) CD38(++) CD20(+) IgM(++)) and transitional
(CD10(-) CD38(++) CD20(+) IgM(++)) as well as class switched memory (CD19(+)
CD27(+) IgM(-) IgD(-)) B cells in patients with active cGVHD (n = 52) were
significantly decreased as compared to those with inactive (n = 18) or without
cGVHD (n = 28). In addition, nonclass switched IgM(+) memory B cells (CD19(+)
CD27(+) IgM(+) IgD(+)) were absent in patients with cGVHD, but not in patients
with inactive (0.4 * 10(6) /l) or without (1.7 * 10(6) /l) cGVHD (both P <
0.001). In line with these results we found significantly decreased lgG levels in
patients with cGVHD, which was associated with a significantly higher rate of
severe infections in cGVHD patients. Our data underline the close association of
diminished B cell counts with cGVHD and the onset of severe infections. The lack
of IgM(+) memory B cells in patients with cGVHD may indicate functional asplenia.
PMID- 22098607
TI - Levofloxacin-induced rhabdomyolysis in a hemodialysis patient.
AB - Rhabdomyolysis is a well-known complication of various drug therapies. We report
a case of levofloxacin-induced rhabdomyolysis requiring hospitalization in a
hemodialysis patient. Physicians should be aware of the risk of this potentially
severe adverse drug reaction.
PMID- 22098608
TI - Asymmetric hydrogenation of 2- and 2,3-substituted quinoxalines with chiral
cationic ruthenium diamine catalysts.
AB - The enantioselective hydrogenation of 2-alkyl- and 2-aryl-subsituted quinoxalines
and 2,3-disubstituted quinoxalines was developed by using the cationic Ru(eta(6)
cymene)(monosulfonylated diamine)(BArF) system in high yields with up to 99% ee.
The counteranion was found to be critically important for the high
enantioselectivity and/or diastereoselectivity.
PMID- 22098609
TI - Hepatoprotective effects of 2',4'-dihydroxy-6'-methoxy-3',5'-dimethylchalcone on
CCl4-induced acute liver injury in mice.
AB - In this paper, the hepatoprotective effects of 2',4'-dihydroxy-6'-methoxy-3',5'
dimethylchalcone (DMC) on CCl(4)-induced acute liver injury in Kunming mice were
investigated. DMC was administered intraperitoneally (ip) (5, 10, or 20 mg/kg of
body weight) for 7 days prior to the administration of CCl(4) (0.1%, ip).
Pretreatment with DMC significantly decreased activities of serum hepatic
enzymes, namely alanine aminotransferase, aspartate aminotransferase, lactate
dehydrogenase, alkaline phosphatase, gamma-glutamyl transferase, and total
bilirubin, and decreased the elevation of lipid peroxidation, malondialdehyde,
reactive oxygen species, and protein carbonyl content. Pretreatment with DMC
markedly increased activities of enzymatic antioxidants such as superoxide
dismutase, catalase, glucose-6-phosphate dehydrogenase, glutathione peroxidase,
glutathione S-transferase, and glutathione reductase and increased levels of
nonenzymatic antioxidant markers such as reduced glutathione, total sulfhydryl
groups, vitamin C, and vitamin E in liver. These results combined with liver
histopathology demonstrate that DMC has potential hepatoprotective effects, which
may be related to the attenuation of oxidative stress, accelerating the
antioxidant cascade and inhibition of lipid peroxidation.
PMID- 22098610
TI - Clinical impact of HFE mutations in Japanese patients with chronic hepatitis C.
AB - BACKGROUND AND AIM: HFE mutations, a common cause of hereditary hemochromatosis
(HH), are reportedly associated with hepatic iron overload, severe liver
fibrosis, and good response to interferon treatment in European patients with
chronic hepatitis C (CHC). HH shows ethnicity-based differences and little is
known about the effects of HH mutations on CHC in the Japanese. Thus, the aim of
this study was to clarify the clinical influence of HFE mutations in Japanese CHC
patients. METHODS: In a total of 251 patients with CHC, we analyzed the
frequencies of H63D and S65C mutations in the HFE gene, and the influence of
these mutations on clinical parameters and response to pegylated-interferon-alpha
2b (PEG-IFN) plus ribavirin therapy. RESULTS: Fourteen patients (5.6%) carried
the H63D mutation; all were heterozygotes. No S65C mutations were found. Only
hemoglobin levels in the H63D heterozygotes were higher than in wild-type
patients. Eleven of 14 H63D heterozygotes achieved sustained virological response
(SVR). On univariate analysis, factors associated with SVR were interleukin 28B
(IL28B) polymorphism, age, hepatitis C virus (HCV) genotype, HCV viral load,
white blood cell count, stage of fibrosis and H63D mutation. All patients with
both TT genotype in IL28B (rs8099917) and H63D mutation in HFE (n = 10) achieved
SVR. CONCLUSIONS: The H63D mutation has little impact on the clinical
characteristics of CHC, but is related to favorable response to PEG-IFN plus
ribavirin therapy, particularly in patients with the TT allele in IL28B.
PMID- 22098611
TI - Cytotoxic withanolide constituents of Physalis longifolia.
AB - Fourteen new withanolides, 1-14, named withalongolides A-N, respectively, were
isolated from the aerial parts of Physalis longifolia together with eight known
compounds (15-22). The structures of compounds 1-14 were elucidated through
spectroscopic techniques and chemical methods. In addition, the structures of
withanolides 1, 2, 3, and 6 were confirmed by X-ray crystallographic analysis.
Using a MTS viability assay, eight withanolides (1, 2, 3, 7, 8, 15, 16, and 19)
and four acetylated derivatives (1a, 1b, 2a, and 2b) showed potent cytotoxicity
against human head and neck squamous cell carcinoma (JMAR and MDA-1986), melanoma
(B16F10 and SKMEL-28), and normal fetal fibroblast (MRC-5) cells with IC50 values
in the range between 0.067 and 9.3 MUM.
PMID- 22098612
TI - Iron metabolism and the role of HFE gene polymorphisms in Wilson disease.
AB - Wilson disease (WD) is a rare inherited disorder of copper metabolism, which can
lead to severe liver failure and to a variety of neuropsychiatric symptoms.
Previous animal studies and case reports suggest that hepatic iron overload and
alterations in iron processing are associated with WD. The aim of this study was
the assessment of iron metabolism and of the frequency of the most common HFE
gene polymorphisms in WD patients. PATIENTS AND METHODS: Data from 143 patients
with WD were analysed. Clinical presentation, liver function and iron metabolism
parameters were recorded. Blood samples of the patients were analysed for HFE
gene alterations (H63D; C282Y). Twenty-seven liver biopsies of these patients
were studied with regard to iron content and fibrosis score. RESULTS: Contrary to
previous reports of HFE gene polymorphisms in WD patients, in our cohort the
allele frequencies (C282Y: 2.1%; H63D: 7.3%) were in line with frequencies
obtained for general population. Male WD patients with decreased serum
ceruloplasmin (Cp), showed increased serum ferritin levels. Hepatic iron content
was normal in most cases. DISCUSSION: Male patients with very low Cp serum
concentrations showed slightly elevated median serum ferritin concentrations,
probably related to lack of ferroxidase acitivity. However, in consideration of
absolute numbers of ferritin concentrations, these changes seem to be of minor
clinical relevance.
PMID- 22098613
TI - Maternal serum interleukin-6 and its association with clinicopathological
infectious morbidity in preterm premature rupture of membranes: a prospective
cohort study.
AB - OBJECTIVE: To analyze the association of maternal serum interleukin-6 (IL-6) with
fetomaternal outcome in preterm premature rupture of membranes (PPROM). METHODS:
Serial serum IL-6 levels were measured in 45 women with PPROM at gestation 24-34
weeks. The women were followed till pueperium and fetomaternal outcome as well as
the histopathology of the placenta and the umbilical cord was studied. The data
were analyzed using t test and chi(2) test. RESULTS: IL-6 levels >= 8 pg/ml were
significantly associated with puerperal sepsis and neonatal sepsis. Histological
chorioamnionitis and funisitis were demonstrated in 48.8% and 13.3% women
respectively and significantly correlated with elevated serum IL-6 levels and
fetomaternal infection. A cut-off value of IL-6 of 8 pg/ml was found to correctly
diagnose 19 out of 23 patients with infectious morbidity and showed the best
sensitivity (82.6%) and specificity (86.3%) as compared to the total leucocycte
count (TLC) and C-reactive protein (CRP) in diagnosing infection in PPROM.
CONCLUSION: Maternal serum IL-6 can be used as a biomarker to predict preclinical
asymptomatic infection in PPROM with good sensitivity and specificity.
PMID- 22098614
TI - Growth hormone, dehydroepiandrosterone and adiponectin levels in non-alcoholic
steatohepatitis: an endocrine signature for advanced fibrosis in obese patients.
AB - BACKGROUND AND AIMS: Liver-related clinical consequences of non-alcoholic fatty
liver disease (NAFLD) are seen only in the minority of patients with advanced
fibrosis. The aim of our study was to generate insight into a potential endocrine
basis of steatohepatitis with advanced fibrosis in NAFLD. METHODS: Biopsy and
blood samples were prospectively collected from patients with medically
complicated obesity. Patients were categorized, according to liver histology,
into: (i) normal, (ii) simple steatosis (SS), (iii) non-alcoholic steatohepatitis
(NASH) with fibrosis stage (FS) 0-1 and (iv) NASH with FS >= 2. A broad panel of
potential biomarkers included DHEA-S, growth hormone (GH), homeostasis model
assessment-insulin resistance (HOMA-IR), leptin, resistin, adiponectin and
cytokeratin 18 (CK-18) fragments. RESULTS: We studied 160 patients (mean BMI 46.8
+/- 8.2 kg/m(2) ). Liver biopsies demonstrated normal histology in 10%, SS in
45%, NASH with FS 0-1 in 37.5% and NASH with FS >= 2 in 7.5%. C-reactive protein,
IL-6, GH, CK-18, adiponectin, HOMA-IR and quantitative insulin sensitivity check
index (QUICKI) were significantly associated with NASH in univariate analysis,
but overall predictivity of these parameters was low (AUC ROC = 0.62-0.68). In
contrast, all patients with NASH with FS >= 2 had insulin resistance, as measured
by QUICKI, and GH levels <0.45 ng/ml and all but one patient with NASH FS 2-3 had
low DHEA levels (<123 MUg/dl). CONCLUSIONS: Low serum levels of GH and DHEA are
very common in patients with NASH with more advanced fibrosis. Other biomarkers,
including CK-18 fragment levels, have predictivity characteristics that would be
of low clinical utility for distinguishing patients with normal histology or SS
from those with NASH. These findings demonstrate an endocrine profile associated
with advanced fibrosis.
PMID- 22098615
TI - Severe hemorrhage because of delayed iliac vein rupture after dialysis catheter
placement: is it preventable?
AB - Mechanical complications of femoral catheterization for hemodialysis include
pseudoaneurysm, arteriovenous fistulae, neurological injury, and vessel
perforation. With regard to the latter, severe hemorrhage is a rare but
devastating and potentially fatal complication. We report the case of a 76-year
old female who underwent femoral catheterization for hemodialysis using
ultrasound guidance. The first hemodialysis session was conducted without
incident. Unfortunately, inadvertent injury and delayed perforation of the iliac
vein resulted in severe hemorrhage and retroperitoneal hematoma. Surgical repair
was performed. The patient was asymptomatic after the procedure and was
discharged 15 days later. Based on this case and a review of the literature, we
present mechanisms and ways to prevent this complication.
PMID- 22098616
TI - Fracture susceptibility of endodontically treated teeth.
AB - AIM: To assess the influence of cervical preparation on fracture susceptibility
of roots. MATERIAL AND METHODS: During root canal instrumentation, the cervical
portions were prepared with different taper instruments: I: no cervical
preparation; II: #30/.08; III: #30/.10; IV: #70/.12. The specimens were sealed
with the following filling materials (n = 8), A: unfilled; B: Endofill/gutta
percha; C: AH Plus/gutta-percha; D: Epiphany SE/Resilon. For the fracture
resistance test, a universal testing machine was used at 1 mm per minute.
RESULTS: anova demonstrated difference (P < 0.05) between taper instruments with
a higher value for group I (205.3 +/- 77.5 N) followed by II (185.2 +/- 70.8 N),
III (164.8 +/- 48.9 N), and IV (156.7 +/- 41.4 N). There was no difference (P >
0.05) between filling materials A (189.1 +/- 66.3 N), B (186.3 +/- 61.0 N), C
(159.7 +/- 69.9 N), and D (176.9 +/- 55.2 N). CONCLUSIONS: Greater cervical wear
using a #70/.12 file increased the root fracture susceptibility, and the tested
filling materials were not able to restore resistance.
PMID- 22098617
TI - Genetic testing and screening of individuals at risk of NF2.
AB - Genetic testing and management of the at-risk individual for neurofibromatosis
type 2 (NF2) is complicated by the well-documented risk of mosaicism that causes
a milder later onset more asymmetrical disease course. Risks of NF2 were derived
from genetic testing of over 1000 individuals through the Manchester NF2-testing
service. Individuals are at risk of NF2 or have 'potential' NF2 if they have
features of the disease that fall short of diagnostic criteria or are the first
degree relative of someone with NF2 or suspected NF2. The present protocol
devised for the Nationally Commissioned Group (NCG) NF2 service in England
addresses the risks, genetic testing and screening protocol for individuals at
risk of NF2. Screening with cranial magnetic resonance imaging is advised until
the risk of NF2 falls below a pragmatic threshold of 1%. Multiple case scenarios
are shown to illustrate how to use the protocol.
PMID- 22098618
TI - Cross-functional E3 ligases Parkin and C-terminus Hsp70-interacting protein in
neurodegenerative disorders.
AB - The study of neurodegenerative disorders has had a major impact on our
understanding of more fundamental mechanisms underlying neurobiology.
Breakthroughs in the genetics of Alzheimer's (AD) and Parkinson's diseases (PD)
has resulted in new knowledge in the areas of axonal transport, energy
metabolism, protein trafficking/clearance and synaptic physiology. The major
neurodegenerative diseases have in common a regional or network pathology
associated with abnormal protein accumulation(s) and various degrees of motor or
cognitive decline. In AD, beta-amyloids are deposited in extracellular diffuse
and compacted plaques as well as intracellularly. There is a major contribution
to the disease by the co-existence of an intraneuronal tauopathy. Additionally,
PD-like Lewy Bodies (LBs) bearing aggregated alpha-synuclein is present in 40-60%
of all AD cases, especially involving amygdala. Amyloid deposits can be degraded
or cleared by several mechanisms, including immune-mediated and transcytosis
across the blood-brain barrier. Another avenue for disposal involves the lysosome
pathway via autophagy. Enzymatic pathways include insulin degradative enzyme and
neprilysin. Finally, the co-operative actions of C-terminus Hsp70 interacting
protein (CHIP) and Parkin, components of a multiprotein E3 ubiquitin ligase
complex, may be a portal to proteasome-mediated degradation. Mutations in the
Parkin gene are the most common genetic link to autosomal recessive Parkinson's
disease. Parkin catalyzes the post-translational modification of proteins with
polyubiquitin, targeting them to the 26S proteasome. Parkin reduces intracellular
Abeta(1-42) peptide levels, counteracts its effects on cell death, and reverses
its effect to inhibit the proteasome. Additionally, Parkin has intrinsic
cytoprotective activity to promote proteasome function and defend against
oxidative stress to mitochondria. Parkin and CHIP are also active in amyloid
clearance and cytoprotection in vivo. Parkin has cross-functionality in
additional neurodegenerative diseases, for instance, to eliminate polyglutamine
expanded proteins, reducing their aggregation and toxicity and reinstate
proteasome function. The dual actions of CHIP (molecular co-chaperone and E3
ligase) and Parkin (as E3-ubiquitin ligase and anti-oxidant) may also play a role
in suppressing inflammatory reactions in animal models of neurodegeneration. In
this review, we focus on the significance of CHIP and Parkin as inducers of
amyloid clearance, as cytoprotectants and in the suppression of reactive
inflammation. A case is made for more effort to explore whether neurodegeneration
associated with proteinopathies can be arrested at early stages by promoting
their mutual action.
PMID- 22098619
TI - Shifting species interactions in terrestrial dryland ecosystems under altered
water availability and climate change.
AB - Species interactions play key roles in linking the responses of populations,
communities, and ecosystems to environmental change. For instance, species
interactions are an important determinant of the complexity of changes in trophic
biomass with variation in resources. Water resources are a major driver of
terrestrial ecology and climate change is expected to greatly alter the
distribution of this critical resource. While previous studies have documented
strong effects of global environmental change on species interactions in general,
responses can vary from region to region. Dryland ecosystems occupy more than one
third of the Earth's land mass, are greatly affected by changes in water
availability, and are predicted to be hotspots of climate change. Thus, it is
imperative to understand the effects of environmental change on these globally
significant ecosystems. Here, we review studies of the responses of population
level plant-plant, plant-herbivore, and predator-prey interactions to changes in
water availability in dryland environments in order to develop new hypotheses and
predictions to guide future research. To help explain patterns of interaction
outcomes, we developed a conceptual model that views interaction outcomes as
shifting between (1) competition and facilitation (plant-plant), (2) herbivory,
neutralism, or mutualism (plant-herbivore), or (3) neutralism and predation
(predator-prey), as water availability crosses physiological, behavioural, or
population-density thresholds. We link our conceptual model to hypothetical
scenarios of current and future water availability to make testable predictions
about the influence of changes in water availability on species interactions. We
also examine potential implications of our conceptual model for the relative
importance of top-down effects and the linearity of patterns of change in trophic
biomass with changes in water availability. Finally, we highlight key research
needs and some possible broader impacts of our findings. Overall, we hope to
stimulate and guide future research that links changes in water availability to
patterns of species interactions and the dynamics of populations and communities
in dryland ecosystems.
PMID- 22098620
TI - Changes in blood vessel maturation in the fibrous cap of the tumor rim.
AB - It is widely accepted that blood vessels in the tumor microenvironment are
immature because mural cell (MC) adhesion to endothelial cells (ECs) is broadly
lacking. Hyperpermeability of the tumor vasculature then results in interstitial
hypertension that mitigates against penetration of anticancer drugs into the
depths of the tumor. It has been suggested that treatment with angiogenesis
inhibitors normalizes blood vessels, resulting in restoration of normal
permeability and improved drug delivery. However, recent reports suggest that
cancer cell invasion is induced from the edge of the tumor into peripheral areas
after treatment with angiogenesis inhibitors. Therefore, it is important to
assess the status of blood vessels in the fibrous cap at the tumor rim after
antiangiogenesis therapy. In the present study, we found that mature blood
vessels in which ECs are covered with MCs are present in the fibrous cap. After
treatment with angiogenesis inhibitors, immature blood vessels were destroyed and
vascular function was significantly improved, but maturing blood vessels in which
ECs were covered with MCs remained visible. These maturing blood vessels showed a
less dilated character after treatment with the angiogenesis inhibitors. It is
widely accepted that well-matured blood vessels are sheathed in extracellular
matrix (ECM) and that cancer cells migrate along tracks made of ECM collagen
fibers. Therefore, our data indicate the importance of destroying maturing blood
vessels outside the tumor parenchyma to prevent cancer cell invasion.
PMID- 22098621
TI - Phagocytic properties in tumor astrocytes.
AB - In glioblastoma multiforme (GBM), the pathophysiological events preceding and
promoting an uncontrolled and remarkable growth is largely unknown. Studies on
gliomas and macrophage expression have shown high levels of phagocytic cells,
that is, microglial cells. It has also been demonstrated that human astrocytic
cells and rat glioma cells are capable of phagocytosis. The purpose of this study
was to investigate a potential phagocytic property in human GBM cells in tumor
biopsies from surgery. With an immunhistochemical double staining using
macrophage markers (CD68 and CD163) and human telomerase reverse transcriptase
(hTERT) as a marker for neoplastic cells, we found high levels of double positive
cells in human GBM. In hematoxylin-erythrosin stained sections, we also
identified fragmented cell components in the cytoplasm of tumor cells. In our
judgement, many neoplastic cells in GBM are also positive for macrophage markers.
We suggest that human astroglial tumor cells may have phagocytic properties or
phagocyte-like properties. This may represent a latent capacity of self-defence,
evoked under certain circumstances. It is likely that these properties
substantially help the tumors thrive and expand.
PMID- 22098622
TI - Thermodynamics of supramolecular naphthalenediimide nanotube formation: the
influence of solvents, side chains, and guest templates.
AB - Amino-acid functionalized naphthalenediimides self-assemble into hydrogen-bonded
supramolecular helical nanotubes via a noncooperative, isodesmic process; the
self-assembly of ordered helical systems is usually realized through a
cooperative process. This unexpected behavior was rationalized as a manifestation
of entropy-enthalpy compensation. Fundamental insights into the thermodynamics
governing this self-assembly were obtained through the fitting of the isodesmic
model to (1)H NMR spectrometry and circular dichroism spectroscopy measurements.
Furthermore, we have extended the application of this mathematical model, for the
first time, to quantitatively estimate the effect of guests, solvents, and side
chains on the stability of the supramolecular nanotube; most significantly, we
demonstrate that C(60) acts as a template to stabilize the nanotube assembly and
thereby substantially increase the degree of polymerization.
PMID- 22098623
TI - Gonadotrophin secretion pattern in anorchid boys from birth to pubertal age:
pathophysiological aspects and diagnostic usefulness.
AB - CONTEXT: The biphasic ontogeny of serum gonadotrophins observed in normal
children also exists in girls with gonadal dysgenesis, although with higher
levels. However, limited data exist in prepubertal boys with anorchia. OBJECTIVE:
To investigate whether the existence of testicular tissue is required for
gonadotrophin downregulation in boys. Secondarily, we analysed the prevalence of
high gonadotrophins and its diagnostic value to assess the presence or absence of
testes in childhood. STUDY DESIGN: In a retrospective, semi-longitudinal study,
we compared serum gonadotrophin levels in 35 boys with anorchia aged 0-18 years,
in 29 bilaterally cryptorchid boys with abdominal testes and in 236 normal boys.
RESULTS: In anorchid boys, follicle stimulating hormone (FSH) and luteinizing
hormone (LH) were abnormally high in the first months after birth, then decreased
progressively. LH decreased more readily than FSH and dropped to normal values in
up to 70% of anorchid patients before the usual age of pubertal onset, when both
gonadotrophins increased again to very high levels. In cryptorchid boys, FSH was
elevated in a significantly (P < 0.0001) lower proportion of cases. Below the age
of 6 years, FSH below 2 IU/l ruled out anorchia and LH above 5 IU/l confirmed
anorchia with high accuracy. Between 6 and 11 years, FSH or LH levels above 5
IU/l were highly specific for the absence of testes. CONCLUSIONS: The U-shaped
pattern of serum gonadotrophins observed in normal males from birth to puberty
was also found in anorchid boys, but with gonadotrophin levels considerably
elevated. Serum gonadotrophin levels may normalize in anorchid boys during late
childhood only to rise again at puberty. The presence of testicular tissue
results in restrain of gonadotrophin secretion in most patients, even if the
testes are cryptorchid.
PMID- 22098624
TI - SOX4 interacts with plakoglobin in a Wnt3a-dependent manner in prostate cancer
cells.
AB - BACKGROUND: SOX4 is a developmental transcription factor that is required for
differentiation and proliferation in multiple tissues. SOX4 is overexpressed in
many human malignancies, but the precise role of SOX4 in cancer progression is
still not well understood. Thus, the identification of additional SOX4 binding
partners is essential for elucidating the mechanism of SOX4-mediated effects in
cancer progression. RESULTS: Here, we have adapted a one-step affinity
purification method that enables rapid purification of SOX4 complexes via
intracellular biotinylation of the amino-terminus of SOX4 to perform large-scale
proteomics analysis. We have discovered that junction plakoglobin (JUP) interacts
with SOX4 in both the cytosol and the nucleus and the interaction between SOX4
and plakoglobin is significantly increased when prostate and breast cancer cells
are stimulated with WNT3A. Interactions between SOX4 and plakoglobin were further
enhanced by the nuclear export inhibitor leptomycin B (LMB), suggesting that
plakoglobin promotes nuclear export of SOX4. The SOX4-plakoglobin complex
affected the expression of Wnt pathway target genes and SOX4 downstream targets,
such as AXIN2, DICER1, and DHX9. In addition, SOX4 DNA binding activity to the
promoters of DICER1, AXIN2, DHX9 and SOX4 itself was reduced by conditions that
promote SOX4-plakoglobin complex formation. Conditions that enhanced SOX4
plakoglobin interactions resulted in reduced transcriptional activity of beta
catenin luciferase reporters. CONCLUSIONS: These data suggest that this newly
identified interaction between SOX4 and plakoglobin is inhibitory and provides
new insights into the role of SOX4 in key pathways in cell proliferation,
development, and cancer progression.
PMID- 22098625
TI - What works to meet the sexual and reproductive health needs of women living with
HIV/AIDS.
AB - It is critical to include a sexual and reproductive health lens in HIV
programming as most HIV transmission occurs through sexual intercourse. As global
attention is focusing on the sexual and reproductive health needs of women living
with HIV, identifying which interventions work becomes vitally important. What
evidence exists to support sexual and reproductive health programming related to
HIV programmes?This article reviews the evidence of what works to meet the sexual
and reproductive health needs of women living with HIV in developing countries
and includes 35 studies and evaluations of eight general interventions using
various methods of implementation science from 15 countries. Data are primarily
from 2000-2009. Searches to identify effective evaluations used SCOPUS, Popline,
Medline, websites and consultations with experts. Evidence was ranked using the
Gray Scale.A range of successful and promising interventions to improve the
sexual and reproductive health and rights of women living with HIV include:
providing contraceptives and family planning counselling as part of HIV services;
ensuring early postpartum visits providing family planning and HIV information
and services; providing youth-friendly services; supporting information and
skills building; supporting disclosure; providing cervical cancer screening; and
promoting condom use for dual protection against pregnancy and HIV. Provision of
antiretrovirals can also increase protective behaviours, including condom
use.While many gaps in programming and research remain, much can be done now to
operationalize evidence-based effective interventions to meet the sexual and
reproductive health needs of women living with HIV.
PMID- 22098626
TI - Acute toxicity study of tilmicosin-loaded hydrogenated castor oil-solid lipid
nanoparticles.
AB - BACKGROUND: Our previous studies demonstrated that tilmicosin-loaded hydrogenated
castor oil solid lipid nanoparticles (Til-HCO-SLN) are a promising formulation
for enhanced pharmacological activity and therapeutic efficacy in veterinary use.
The purpose of this work was to evaluate the acute toxicity of Til-HCO-SLN.
METHODS: Two nanoparticle doses were used for the study in ICR mice. The low dose
(766 mg/kg.bw) with tilmicosin 7.5 times of the clinic dosage and below the
median lethal dose (LD(50)) was subcutaneously administered twice on the first
and 7th day. The single high dose (5 g/kg.bw) was the practical upper limit in an
acute toxicity study and was administered subcutaneously on the first day. Blank
HCO-SLN, native tilmicosin, and saline solution were included as controls. After
medication, animals were monitored over 14 days, and then necropsied. Signs of
toxicity were evaluated via mortality, symptoms of treatment effect, gross and
microscopic pathology, and hematologic and biochemical parameters. RESULTS: After
administration of native tilmicosin, all mice died within 2 h in the high dose
group, in the low dose group 3 died after the first and 2 died after the second
injections. The surviving mice in the tilmicosin low dose group showed
hypoactivity, accelerated breath, gloomy spirit and lethargy. In contrast, all
mice in Til-HCO-SLN and blank HCO-SLN groups survived at both low and high doses.
The high nanoparticle dose induced transient clinical symptoms of treatment
effect such as transient reversible action retardation, anorexy and gloomy
spirit, increased spleen and liver coefficients and decreased heart coefficients,
microscopic pathological changes of liver, spleen and heart, and minor changes in
hematologic and biochemical parameters, but no adverse effects were observed in
the nanoparticle low dose group. CONCLUSIONS: The results revealed that the LD50
of Til-HCO-SLN and blank HCO-SLN exceeded 5 g/kg.bw and thus the nanoparticles
are considered low toxic according to the toxicity categories of chemicals.
Moreover, HCO-SLN significantly decreased the toxicity of tilmicosin. Normal
clinic dosage of Til-HCO-SLN is safe as evaluated by acute toxicity.
PMID- 22098627
TI - Circulating soluble urokinase plasminogen activator is elevated in patients with
chronic liver disease, discriminates stage and aetiology of cirrhosis and
predicts prognosis.
AB - BACKGROUND: Inflammation is a major factor for the progression of chronic liver
diseases. Interactions between urokinase plasminogen activator (uPA) and its
receptor (uPAR) have been functionally linked to hepatic inflammation and
fibrosis in mice. High serum concentrations of soluble uPAR (suPAR) are suggested
to reflect activated immune cells. AIMS: We evaluated suPAR serum levels as a
diagnostic and prognostic biomarker in patients with chronic liver diseases.
METHODS: Prospective, cross-sectional cohort study of 159 patients with chronic
liver diseases (61 without, 98 with established cirrhosis) and 43 healthy
controls. Transplant-free survival was monitored for up to 3 years. RESULTS:
Soluble urokinase plasminogen activator serum concentrations were significantly
elevated in patients with chronic liver diseases compared with controls.
Cirrhotic patients displayed higher levels than non-cirrhotics, closely depending
on stage of fibrosis or cirrhosis. suPAR levels had high diagnostic power to
identify established cirrhosis in chronic liver diseases. Circulating suPAR
closely correlated with liver function, fibrosis markers, but also with systemic
inflammation and renal function. A distinct suPAR elevation was noticed in
patients with alcoholic aetiology of liver disease. suPAR identified alcoholic
origin more precisely compared with classical indicators of alcoholism (mean
corpuscular volume, gamma glutamyl transpeptidase). Strikingly, elevated suPAR
levels were identified as a strong predictor of mortality or need for
transplantation. suPAR levels >9 ng/ml indicated adverse prognosis (sensitivity:
70.7%, specificity: 77.8%, relative risk: 8.5; 95% confidence interval: 3.5
20.3). CONCLUSIONS: Serum suPAR is a potential novel biomarker for the diagnosis
of cirrhosis, identification of alcoholic origin and for determining prognosis in
patients with chronic liver disease.
PMID- 22098628
TI - Illness burden and medical comorbidity in the Systematic Treatment Enhancement
Program for Bipolar Disorder.
AB - OBJECTIVE: Coexisting chronic medical conditions are common in bipolar disorder.
Here, we report the prevalence and correlates of medical comorbidity in patients
enrolled in the Systematic Treatment Enhancement Program for Bipolar Disorder
(STEP-BD). We were particularly interested in associations between variables
reflecting illness chronicity and burden with comorbid medical conditions.
METHOD: We used intake data from the open-label component of the STEP-BD. History
of medical comorbidity was obtained from the affective disorders evaluation, and
its presence was the outcome of interest. The sample size in analyses varied from
3399 to 3534. We used multiple Poisson regression to obtain prevalence ratios.
RESULTS: The prevalence of any medical comorbidity in the sample was 58.8%. In
addition to demographic variable, several clinical characteristics were
associated with the frequency of medical comorbidity. Having more than 10
previous mood episodes, childhood onset, smoking, lifetime comorbidity with
anxiety, and substance use disorders were independently associated with having a
medical comorbidity in the final multivariate model. CONCLUSION: The results
presented here reveal strong associations between variables related to illness
chronicity and medical burden in bipolar disorder. This lends further support to
recent multidimensional models incorporating medical morbidity as a core feature
of bipolar disorder.
PMID- 22098629
TI - Development of a 'toolkit' to identify medical students at risk of failure to
thrive on the course: an exploratory retrospective case study.
AB - BACKGROUND: An earlier study at Nottingham suggested that 10-15% of the medical
student intake was likely to fail completely or have substantial problems on the
course. This is a problem for the students, the Faculty, and society as a whole.
If struggling students could be identified early in the course and additional
pastoral resources offered, some of this wastage might be avoided. An exploratory
case study was conducted to determine whether there were common indicators in the
early years, over and above academic failure, that might aid the identification
of students potentially at risk. METHODS: The study group was drawn from five
successive cohorts. Students who had experienced difficulties were identified in
any of four ways: from Minutes of the Academic Progress Committee; by scanning
examination lists at key stages (end of the first two years, and finals at the
end of the clinical course); from lists of students flagged to the Postgraduate
Deanery as in need of extra monitoring or support; and from progress files of
those who had left the course prematurely. Relevant data were extracted from each
student's course progress file into a customised database. RESULTS: 1188 students
were admitted over the five years. 162 (14%) were identified for the study, 75 of
whom had failed to complete the course by October 2010. In the 87 who did
graduate, a combination of markers in Years 1 and 2 identified over half of those
who would subsequently have the most severe problems throughout the course. This
'toolkit' comprised failure of 3 or more examinations per year, an overall
average of <50%, health or social difficulties, failure to complete Hepatitis B
vaccination on time, and remarks noted about poor attitude or behaviour.
CONCLUSIONS: A simple toolkit of academic and non-academic markers could be used
routinely to help identify potential strugglers at an early stage, enabling
additional support and guidance to be given to these students.
PMID- 22098630
TI - Clinical outcomes of endoscopic submucosal dissection and endoscopic mucosal
resection for laterally spreading tumors larger than 20 mm.
AB - BACKGROUND AND AIMS: Colorectal laterally spreading tumors (LST) > 20 mm are
usually treated by endoscopic submucosal dissection (ESD) or endoscopic mucosal
resection (EMR). Endoscopic piecemeal mucosal resection (EPMR) is sometimes
required. The aim of our study was to compare the outcomes of ESD and EMR,
including EPMR, for such LST. METHODS: A total of 269 consecutive patients with a
colorectal LST > 20 mm were treated endoscopically at our hospital from April
2006 to December 2009. We retrospectively evaluated the complications and local
recurrence rates associated with ESD, hybrid ESD (ESD with EMR), EMR, and EPMR.
RESULTS: ESD and EMR were performed successfully for 89 and 178 LST,
respectively: 61 by ESD; 28 by hybrid ESD; 70 by EMR; and 108 by EPMR. Between
group differences in perforation rates were not significant. Local recurrence
rates in cases with curative resection were as follows: 0% (0/56) in ESD; 0%
(0/27) in hybrid ESD; 1.4% (1/69) in EMR; and 12.1% (13/107) in EPMR; that is,
significantly higher in EPMR. No metastasis was seen at follow up. The recurrence
rate for EPMR yielding >= three pieces was significantly high (P < 0.001). All 14
local recurrent lesions were adenomas that were cured endoscopically.
CONCLUSIONS: As for safety, ESD/hybrid ESD is equivalent to EMR/EPMR. ESD/hybrid
ESD is a feasible technique for en bloc resection and showed no local recurrence.
Although local recurrences associated with EMR/EPMR were seen, which were
conducted based on our indication criteria, all local recurrences could obtain
complete cure by additional endoscopic treatment.
PMID- 22098632
TI - Preparation of phosphate-enriched hemodialysates.
PMID- 22098631
TI - Unique somato-dendritic distribution pattern of Kv4.2 channels on hippocampal CA1
pyramidal cells.
AB - A-type K(+) current (I(A)) plays a critical role in controlling the excitability
of pyramidal cell (PC) dendrites. In vitro dendritic patch-pipette recordings
have demonstrated a prominent, sixfold increase in I(A) density along the main
apical dendrites of rat hippocampal CA1 PCs. In these cells, I(A) is mediated by
Kv4.2 subunits, whose precise subcellular distribution and densities in small
diameter oblique dendrites and dendritic spines are still unknown. Here we
examined the densities of the Kv4.2 subunit in 13 axo-somato-dendritic
compartments of CA1 PCs using a highly sensitive, high-resolution quantitative
immunogold localization method (sodium dodecyl sulphate-digested freeze-fracture
replica-labelling). Only an approximately 70% increase in Kv4.2 immunogold
density was observed along the proximo-distal axis of main apical dendrites in
the stratum radiatum with a slight decrease in density in stratum lacunosum
moleculare. A similar pattern was detected for all dendritic compartments,
including main apical dendrites, small-diameter oblique dendrites and dendritic
spines. The specificity of the somato-dendritic labelling was confirmed in Kv4.2(
/-) tissue. No specific immunolabelling for the Kv4.2 subunit was found in SNAP
25-containing presynaptic axons. Our results demonstrate a novel distribution
pattern of a voltage-gated ion channel along the somato-dendritic surface of CA1
PCs, and suggest that the increase in the I(A) along the proximo-distal axis of
PC dendrites cannot be solely explained by a corresponding increase in Kv4.2
channel number.
PMID- 22098633
TI - Pilotin-secretin recognition in the type II secretion system of Klebsiella
oxytoca.
AB - A crucial aspect of the functionality of bacterial type II secretion systems is
the targeting and assembly of the outer membrane secretin. In the Klebsiella
oxytoca type II secretion system, the lipoprotein PulS, a pilotin, targets
secretin PulD monomers through the periplasm to the outer membrane. We present
the crystal structure of PulS, an all-helical bundle that is structurally
distinct from proteins with similar functions. Replacement of valine at position
42 in a charged groove of PulS abolished complex formation between a non
lipidated variant of PulS and a peptide corresponding to the unfolded region of
PulD to which PulS binds (the S-domain), in vitro, as well as PulS function in
vivo. Substitutions of other residues in the groove also diminished the
interaction with the S-domain in vitro but exerted less marked effects in vivo.
We propose that the interaction between PulS and the S-domain is maintained
through a structural adaptation of the two proteins that could be influenced by
cis factors such as the fatty acyl groups on PulS, as well as periplasmic trans
acting factors, which represents a possible paradigm for chaperone-target protein
interactions.
PMID- 22098634
TI - Lapses in safety in end-stage renal disease patients admitted to surgical
services.
AB - Chronic dialysis patients are a vulnerable population that may be highly
susceptible to medical errors, particularly when they are hospitalized. We
performed a chart review of chronic hemodialysis patients admitted to surgical
services at a tertiary care center in order to characterize lapses in patient
safety. We conducted a retrospective chart review of admissions of patients
receiving chronic hemodialysis to various surgical services at St. Michael's
Hospital from January 1, 2009 to December 31, 2010. For each hospitalization, we
collected data on four process of care indicators of potential safety lapses.
When these lapses were identified, we sought to determine whether: (i) the lapse
was detected and remedied; and (ii) the lapse resulted in an adverse event. Among
the 41 patients, 96 process of care lapses were identified. Multiple lapses were
detected in 83% of the cohort. Failure to order a renal diet (72%) occurred most
often. There was one adverse event. Process of care lapses were detected 39% of
the time, usually within 1.5 days of their occurrence. Patients receiving chronic
hemodialysis admitted to surgical services experience multiple lapses in patient
safety, which often remain undetected. As such, it is imperative that these
patients be closely monitored in order to mitigate against potential adverse
events.
PMID- 22098636
TI - Transition-metal-catalyzed uninterrupted four-step sequence to access
trisubstituted isoxazoles.
AB - We describe herein a novel uninterrupted four-step sequence to access
trisubstituted isoxazoles from readily available propargylic alcohols using
sequentially iron and palladium catalytic systems. The advantages of such a
strategy are illustrated by the high overall yields and the time-saving procedure
that are reported.
PMID- 22098635
TI - Changes in vitamin D binding protein and vitamin D concentrations associated with
liver transplantation.
AB - BACKGROUND: Vitamin D deficiency is associated with fractures, infections and
death. Liver disease impairs vitamin D and vitamin D binding protein (DBP)
metabolism. AIMS: We aimed to determine the impact of liver transplantation on
vitamin D, particularly on DBP and free vitamin D concentrations. METHODS: Serum
25(OH)D, 1,25(OH)(2) D and DBP concentrations were measured in 202 adults before
liver transplantation and 3 months later in 155. Free vitamin D concentrations
were estimated from these values. Risk factors for 25(OH)D deficiency (<20 ng/ml)
and low 1,25(OH)(2) D (<20 pg/ml) were examined with logistic regression, and
changes in concentrations following transplantation with linear regression.
RESULTS: Pretransplant, 84% were 25(OH)D deficient, 13% had 25(OH)D
concentrations <2.5 ng/ml, and 77% had low 1,25(OH)(2) D. Model for end-stage
liver disease score >= 20 (P < 0.005) and hypoalbuminemia (P < 0.005) were
associated with low 25(OH)D and 1,25(OH)(2) D concentrations. Following
transplantation, 25(OH)D concentrations increased a median of 17.8 ng/ml (P <
0.001). Albumin increased from a median of 2.7 to 3.8 g/dl (P < 0.001) and DBP
from 8.6 to 23.8 mg/dl (P < 0.001). Changes in total 25(OH)D were positively and
independently associated with changes in DBP (P < 0.05) and albumin (P < 0.001).
Free 25(OH)D concentrations rose from 6.0 to 9.7 pg/ml (P < 0.001). In contrast,
total 1,25(OH)(2)D concentrations rose only by 4.3 pg/ml (P < 0.001) and free
1,25(OH)(2D concentrations declined (P < 0.001). CONCLUSIONS: Serum total and
free 25(OH)D and DBP concentrations rose substantially following transplantation,
while 1,25(OH)(2) D concentrations showed modest changes and free 1,25(OH)(2) D
decreased. Studies of the effects of vitamin D status on diverse transplant
complications are needed.
PMID- 22098637
TI - Pharmacokinetic interaction of intramammary ceftriaxone and oral polyherbal drug
(Fibrosin((r))) in goats.
AB - BACKGROUND: The aim of the present study was to determine pharmacokinetic
interaction of ceftriaxone and polyherbal drug (Fibrosin((r))) in lactating goats
following single dose intramammary administration of ceftriaxone with 1 h pre
single dose oral administration of Fibrosin((r)). METHODS: Pharmacokinetic
interaction of ceftriaxone and Fibrosin((r)) was evaluated in lactating goats
following single dose intramammary administration of ceftriaxone at 50 mg/kg with
1 h pre-single dose oral administration of Fibrosin((r)) (1.9 g). Estimation of
ceftriaxone and its metabolite, ceftizoxime, was determined by high performance
liquid chromatography. RESULTS: Fibrosin((r)) treated goats showed a typical
absorption-reabsorption phase of ceftriaxone in plasma following intramammary
administration. Neither ceftriaxone nor ceftizoxime was detected in the plasma
and urine of goats without Fibrosin((r)) treatment, however, ceftriaxone
persisted for 36 h and ceftizoxime was present from 48 h to 72 h in the plasma of
Fibrosin((r)) treated goats. Ceftizoxime was also available from 72 h to 360 h
post-dosing in milk in the presence of Fibrosin((r)) following intramammary
administration of ceftriaxone suggesting the polyherbal drug played a major role
in the penetration of ceftriaxone from milk to systemic circulation. Furthermore,
the polyherbal drug increased the bioavailability of ceftizoxime in milk
following the metabolism of ceftriaxone. CONCLUSIONS: Polyherbal drug
(Fibrosin((r))) plays a major role in the penetration of ceftriaxone from milk to
systemic circulation and may be responsible for increased bioavailability of its
metabolite in the mammary gland resulting in higher concentration and longer
persistence of the drug in milk.
PMID- 22098638
TI - Protein hydrolysates and tissue repair.
AB - Protein hydrolysates provide a rich source of protein which is useful in
situations where excess protein is needed, such as during repair of tissue
damage. The consumption of protein hydrolysates has been shown to result in more
rapid uptake of amino acids compared with whole proteins or free-form amino acid
mixtures and some peptides in hydrolysates exhibit biological activity. Early
studies showed that protein hydrolysates are more effectively utilised than
intact proteins or amino acids. In addition, they promote a strong insulinotropic
effect, which reduces protein breakdown and enhances muscle and tissue uptake of
branched-chain amino acids. These effects contribute to benefits of protein
hydrolysates for enhancing repair of tissue damage caused by surgery, ulcers,
burns and muscle-damaging exercise. While there is evidence that protein
hydrolysates may be useful for facilitating tissue repair, additional research is
needed to further examine various roles of protein hydrolysates in this process.
PMID- 22098642
TI - Viscous friction of hydrogen-bonded matter.
AB - Amontons' law successfully describes friction between macroscopic solid bodies
for a wide range of velocities and normal forces. For the diffusion and forced
sliding of adhering or entangled macromolecules, proteins, and biological
complexes, temperature effects are invariably important, and a similarly
successful friction law at biological length and velocity scales is missing.
Hydrogen bonds (HBs) are key to the specific binding of biomatter. Here we show
that friction between hydrogen-bonded matter obeys in the biologically relevant
low-velocity viscous regime a simple law: the friction force is proportional to
the number of HBs, the sliding velocity, and a friction coefficient gamma(HB).
This law is deduced from atomistic molecular dynamics simulations for short
peptide chains that are laterally pulled over planar hydroxylated substrates in
the presence of water and holds for widely different peptides, surface
polarities, and applied normal forces. The value of gamma(HB) is extrapolated
from simulations at sliding velocities in the range from V = 10(-2) to 100 m/s by
mapping on a simple stochastic model and turns out to be of the order of
gamma(HB) ? 10(-8) kg/s. The friction of a single HB thus amounts to the Stokes
friction of a sphere with an equivalent radius of roughly 1 MUm moving in water.
Cooperativity is pronounced: roughly three HBs act collectively.
PMID- 22098643
TI - [Emergencies in ENT imaging].
PMID- 22098644
TI - [Imaging features of temporal bone fractures].
AB - Imaging evaluation of the temporal bone often is neglected at the acute phase in
patients with head trauma due to the urgent need to evaluate the brain. It should
nonetheless be an integral part of this evaluation by the addition of thin
dedicated CT images. Knowledge of the mechanisms of traumatic injuries combined
to multiplanar reconstructions of the acquisition volume lead to accurate
depiction of temporal bone injuries, including lesions of ossicles and footplate,
structures measuring 2 or 3 mm. This comprehensive work-up will then allow prompt
optimal ENT management and may improve the functional outcome.
PMID- 22098645
TI - [Sudden onset hearing loss: imaging work-up].
AB - Sudden onset hearing loss is idiopathic. It occurs in less than 24 h and
spontaneously resolves within 15 days in two thirds of cases. Imaging is
performed to exclude other causes of sudden onset hearing loss (vestibular
schwannoma, vertebral artery dissection, stroke) and evaluate the inner ear
structures. A few anatomical anomalies have been associated with an increased
risk of hearing loss. Morphological anomalies involved the following structures
in decreasing order of frequency: lateral semicircular canal (hypoplasia and
dilatation), superior semicircular canal, posterior semicircular canal, vestibule
and cochlea. Enlargement of the vestibular aqueduct also is frequently observed.
PMID- 22098646
TI - [Sudden onset vertigo: imaging work-up].
AB - Vertigo and dysequilibrium are a frequent cause of medical consultation. Clinical
evaluation is essential. Some cases of vertigo are diagnosed clinically while
others require imaging, sometimes emergently (suspected stroke). MRI is the
imaging modality of choice to assess the labyrinth (labyrinthitis? labyrinthine
hemorrhage?), internal auditory canal (vestibular schwannoma? other tumor?...)
and brain parenchyma including all structures of the auditory pathways:
vestibular nuclei, vestibulocerebellar tract, tracts involved with ocular
motricity, vestibular cortex... Multiple central etiologies exist: stroke,
multiple sclerosis, tumor... However, some etiologies are best depicted with CT,
especially lesions of the labyrinth: cholesteatoma, trauma, suspected dehiscence
of the superior semicircular canal, suspected labyrinthine fistula... Finally,
imaging may be negative (Benign Paroxysmal Positional Vertigo, Meniere's disease,
vestibular neuritis, migraine...), merely reducing the differential diagnosis.
PMID- 22098647
TI - [Skull base osteomyelitis].
AB - Skull base osteomyelitis is a rare but serious infection. It typically afflicts
immunosuppressed patients and should be suspected in patients with persistent
otitis complicated by cranial nerve palsy (VII, IX and XII). The most frequent
germ is pseudomonas aeruginosa. Contiguous spread of infection occurs along
neurovascular structures and weaker regions of the skull base, then into the soft
tissue compartments of the face and nasopharynx. Diagnosis and treatment should
be made early for this disease with poor prognosis and high mortality.
PMID- 22098648
TI - [Intracranial complications from ENT infections].
AB - Sinonasal and temporal bone infections may extend to the skull, skull base,
meninges, pericerebral spaces, brain parenchyma, dural sinuses, deep cerebral or
cortical veins, intracranial arteries and cranial nerves either via contiguous or
hematogeneous spread. The site of infection dictates the sites of potential
complications: orbital with ethmoid sinusitis, cavernous sinus thrombophlebitis
and oculomotor palsies with sphenoid sinusitis, transverse sinus thrombophlebitis
with mastoiditis and superior sagittal sinus thrombophlebitis with frontal
sinusitis. All may result in brain abscess. Congenital and acquired defects of
the skull and meninges, with or without associated meningocele or
meningoencephalocele, perilymphatic fistulas, and some anomalies of the inner ear
may predispose to the intracranial extension of ENT infections.
PMID- 22098649
TI - [Imaging evaluation of head and neck infections].
AB - Infections of the head and neck are frequent and usually have a good prognosis
even though complications may sometimes be life threatening. In addition to
airway compromise, intracranial and thoracic extension may occur. Diagnosis
usually is made on clinical examination and imaging may play a significant role
in assessing the extent of the disease, detecting complications and assist in
surgical planning. The imaging protocol should be appropriate for the proposed
diagnosis and suspected complications. CT of the soft tissues of the neck and
chest is the imaging test of choice. Interpretation requires knowledge of the
anatomy to understand the modalities of local and distant spread of the disease.
Imaging evaluation is important but should not delay emergently needed treatment
for entities such as epiglottitis and necrotizing fasciitis.
PMID- 22098650
TI - [Complications from sinonasal surgery].
AB - Endoscopic sinonasal surgery is the main procedure in sinonasal pathology.
Complications are rare but potentially severe given the close relationship
between the nasal cavities and sinuses and the orbit, skull base and carotid
arteries. The different types of surgeries along with the mechanisms of injury,
presenting signs and symptoms, and imaging features of the different surgical
complications will be reviewed. We will also review the anatomical variants
increasing the surgical risk that radiologists should describe on preoperative
imaging studies.
PMID- 22098651
TI - [Vascular emergencies of the head and neck].
AB - The clinical manifestations of vascular lesions of the head and neck may be
variable (hemorrhagic, ischemic, compressive). Diagnosis often is made at the
time of acute presentation, but delayed manifestations, sometimes long after the
initial presentation, should not be overlooked. Hemorrhagic manifestations are
characterized by epistaxis, corresponding mainly to lesions of the nasal cavities
but involvement of the internal carotid artery should be excluded (life
threatening). In addition, some vascular malformations may lead to severe
hemorrhage. Ischemic manifestations typically result from arterial dissection. A
venous origin is also possible. Carotid-cavernous fistulas rarely lead to
hemorrhagic or ischemic manifestations and tend to result in ocular
manifestations, typically delayed and sometimes misleading.
PMID- 22098652
TI - Monitoring intravesical pressure during gastroschisis closure. Does it help to
decide between delayed primary or staged closure?
AB - INTRODUCTION AND OBJECTIVE: Correction of gastroschisis may be accomplished by
either primary or staged closure or even delayed primary closure after the use of
a preformed silo. However, there is neither a consensus on the best approach nor
established criteria to favor one method over the other. The aim of this paper
was to investigate the role of intravesical pressure (IVP) as a tool to prevent
abdominal compartment syndrome in newborns undergoing correction of abdominal
wall defects. METHODS: We retrospectively analyzed 45 newborns with gastroschisis
in whom trans-operative intravesical pressure was used to choose between primary
or staged closure. A threshold of 20 cm H(2)O was used and the outcomes between
the two methods were compared. RESULTS: In 24 children delayed primary closure
was achieved while the remaining 21 underwent staged reduction and closure. There
was no difference in the frequency of complications, time to begin oral feeding,
length of parenteral nutrition or length of hospital stay between the children of
the two groups. The incidence of temporary oliguria or anuria, averaged 33% and
it was similar in both groups of children. CONCLUSION: The data here presented
suggests that monitoring intraoperative IVP during correction of gastroschisis
may help to select children in whom staged closure is necessary, keeping their
complication rate and overall outcome similar to that of children undergoing
delayed primary closure. Further prospective studies should investigate more
deeply the correlation between type of closure and the development of a
compartment syndrome.
PMID- 22098653
TI - Primary lateral sclerosis: upper-motor-predominant amyotrophic lateral sclerosis
with frontotemporal lobar degeneration--immunohistochemical and biochemical
analyses of TDP-43.
AB - Primary lateral sclerosis (PLS) is clinically defined as a disorder selectively
affecting the upper motor neuron (UMN) system. However, recently it has also been
considered that PLS is heterogeneous in its clinical presentation. To elucidate
the association of PLS, or disorders mimicking PLS, with 43-kDa TAR DNA-binding
protein (TDP-43) abnormality, we examined two adult patients with motor neuron
disease, which clinically was limited almost entirely to the UMN system, and was
followed by progressive frontotemporal atrophy. In the present study, the
distribution and severity, and biochemical profile of phosphorylated TDP-43 (pTDP
43) in the brains and spinal cords were examined immunohistochemically and
biochemically. Pathologically, in both cases, frontotemporal lobar degeneration
with ubiquitin inclusions (FTLD-U) was evident, with the most severe degeneration
in the motor cortex. An important feature in both cases was the presence of
Bunina bodies and/or ubiquitin inclusions, albeit very rarely, in the well
preserved lower motor neurons. The amygdala and neostriatum were also affected.
pTDP-43 immunohistochemistry revealed the presence of many positively stained
neuronal cytoplamic inclusions (NCIs) and dystrophic neurites/neuropil threads in
the affected frontotemporal cortex and subcortical gray matter. By contrast, such
pTDP-43 lesions, including NCIs, were observed in only a few lower motor neurons.
pTDP-43 immunoblotting revealed that fragments of ~25-kDa were present in the
cortices, but not in the spinal cord in both cases. Genetically, neither of the
patients had any mutation in the TDP-43 gene. In conclusion, we consider that
although PLS may be a clinically significant disease entity, at autopsy, the
majority of such clinical cases would present as upper-motor-predominant
amyotrophic lateral sclerosis with FTLD-TDP.
PMID- 22098654
TI - Quality in CKD: the 3 "Cs".
PMID- 22098655
TI - Collegiality and CKD.
PMID- 22098656
TI - Why work together? Developing effective comanagement strategies for the care of
patients with CKD.
AB - The ever-growing population of patients with CKD has prompted an increasing
emphasis on earlier identification and proactive management by primary care
providers. The provision of effective CKD care will necessitate a collegial
relationship between the primary care providers and nephrologists exists. In this
paper, barriers to the development of this working relationship, potential
solutions within existing practice patterns, and newer ideas for effective
communication will be explored.
PMID- 22098657
TI - Complications of progression of CKD.
AB - CKD is a complex comorbid condition with multiple manifestations. It is closely
linked with cardiovascular disease and has a very high mortality rate. Currently,
it consumes 28% of Medicare expenditures. Complications of CKD include
hypertension, diabetes, dyslipidemia, cardiovascular disease, anemia, and bone
and mineral disorders. It is underrecognized and underdiagnosed in primary care
offices. There is strong evidence that controlling blood pressure, blood glucose,
and use of angiotensin-converting enzyme inhibitors and angiotensin receptor
blockers in albuminuric patients, as well as referral to the nephrologist when
glomerular filtration rate is <30 mL/min/1.73 m(2), is associated with lower
mortality, better access to kidney transplantation, improved management of
comorbidities, and less frequent use of catheters for dialysis and to lower
mortality.
PMID- 22098658
TI - Improving blood pressure control among adults with CKD and diabetes: provider
focused quality improvement using electronic health records.
AB - Current evidence demonstrates poor provider knowledge and compliance to clinical
practice guidelines (CPGs) for CKD screening, blood pressure (BP) goals specific
to people with diabetes mellitus (DM) and CKD, and underutilization or incorrect
drug selection for antihypertensive therapy. This 12-week provider-focused
quality improvement project sought to (1) increase primary care provider (PCP)
adherence to CPG in the treatment and control of BP among adults with CKD and DM
by using electronic health records (EHRs) and patient-level feedback
(scorecards); (2) increase PCP delivery of basic CKD patient education by using
EHR-based decision support; and (3) assess whether electronic decision support
and scorecards changed provider behavior. The project included 46 PCPs,
physicians, and nurse practitioners, in a statewide federally qualified health
center that operates 12 comprehensive primary care sites in Connecticut. There
were 6781 DM visits, among 3137 unique, racially diverse patients. There was a
statistically significant increase in CKD screening, diagnosis, and use of
angiotensin-converting enzyme inhibitor/angiotensin-receptor blocker. There was a
statistically, but not clinically, significant increase in CKD basic education
and ancillary service provider use when the provider was aware of the diagnosis
or used EHR enhancements. EHR decision support and real-time provider feedback
are necessary but not sufficient to improve uptake of CPG and to change PCP
behavior.
PMID- 22098659
TI - Geriatric nephrology: a paradigm shift in the approach to renal replacement
therapy.
AB - The population of elderly individuals diagnosed with CKD continues to grow. Many
have multiple comorbid conditions that will impact life expectancy as well as
decisions about whether to pursue renal replacement therapy. Nephrologists are
uniquely positioned to assist their patients and caregivers in this regard and
spend considerable time counseling them about the benefits and risks associated
with dialysis therapy. This article presents an overview of many of the issues
facing nephrologists, and provides tools to assist busy clinicians in helping
their elderly patients in deciding whether to consider dialysis or intensive,
nondialysis care.
PMID- 22098660
TI - Referral and comanagement of the patient with CKD.
AB - CKD is a common condition with well-documented associated morbidity and
mortality. Given the substantial disease burden of CKD and the cost of ESRD,
interventions to delay progression and decrease comorbidity remain an important
part of CKD care. Early referral to nephrologists has been shown to delay
progression of CKD. Conversely, late referral has been associated with increased
hospitalizations, higher mortality, and worsened secondary outcomes. Late
referral to nephrology has been consequent to numerous factors, including the
health care system, provider issues, and patient related factors. In addition to
timely referral to nephrologists, the optimal modality to provide care for CKD
patients has also been evaluated. Multidisciplinary clinics have shown
significant improvements in other disease states. Data for the use of these
clinics have shown benefit in mortality, progression, and laboratory markers of
disease severity. However, studies supporting the use of multidisciplinary
clinics in CKD have been mixed. Evidence-based guidelines from groups, including
Renal Physicians Association and NKF, provide tools for management of CKD
patients by both generalists and nephrologists. Through the use of guidelines,
timely referral, and a multidisciplinary approach to care, the ability to provide
effective and efficient care for CKD patients can be improved. We present a model
to guide a multidisciplinary comanagement approach to providing care to patients
with CKD.
PMID- 22098661
TI - Peritoneal dialysis versus hemodialysis: risks, benefits, and access issues.
AB - Peritoneal dialysis (PD) and hemodialysis (HD) are dialysis options for end-stage
renal disease patients in whom preemptive kidney transplantation is not possible.
The selection of PD or HD will usually be based on patient motivation, desire,
geographic distance from an HD unit, physician and/or nurse bias, and patient
education. Unfortunately, many patients are not educated on PD before beginning
dialysis. Most studies show that the relative risk of death in patients on in
center HD versus PD changes over time with a lower risk on PD, especially in the
first 3 months of dialysis. The survival advantage of PD continues for 1.5-2
years but, over time, the risk of death with PD equals or becomes greater than
with in-center HD, depending on patient factors. Thus, PD survival is best at the
start of dialysis. Patient satisfaction may be higher with PD, and PD costs are
significantly lower than HD costs. The new reimbursement system, including
bundling of dialysis services, may lead to an increase in the number of incident
patients on PD. The high technique failure of PD persists, despite significant
reductions in peritonitis rates. Infection also continues to be an important
cause of mortality and morbidity among HD patients, especially those using a
central venous catheter as HD access. Nephrologists' efforts should be focused on
educating themselves and their patients about the opportunities for home modality
therapies and reducing the reliance on central venous catheter for long-term HD
access.
PMID- 22098662
TI - Transplantation and the primary care physician.
AB - Increasing appreciation of the survival benefits of kidney transplantation,
compared with chronic dialysis, has resulted in more patients with kidney disease
being referred and receiving organs. The evolving disparity between a rapidly
increasing pool of candidates and a smaller pool of available donors has created
new issues for the physicians who care for kidney patients and their potential
living donors. This article outlines current efforts to address the growing
number of patients who await transplantation, including relaxation of traditional
donation criteria, maximization of living donation, and donation schemas that
permit incompatible donor-recipient pairs to participate through paired donation
and transplantation chains. New ethical issues faced by donors and recipients are
discussed. Surgical advances that reduce the morbidity of donors are also
described, as is the role of the primary physician in medical issues of both
donors and recipients.
PMID- 22098663
TI - From CKD to ESRD: a tale of two transitions.
AB - Transitions in levels of health offer important opportunities to affect patient
outcomes. The transition from CKD to ESRD and dialysis dependence is costly in
personal, social, and economic terms. This paper reviews these costs and offers
resources that can be used by physicians and medical teams to help patients and
their families weather the challenges of this process.
PMID- 22098664
TI - The "no dialysis" option.
AB - Increasing numbers of patients are starting dialysis who have limited prognoses
for 6-month survival. The presence of multiple comorbidities, aging, and frailty
contributes to this phenomenon. The rate of dialysis withdrawal has been
accelerating over the past decade, and this calls into question the condition of
patients who are initiating dialysis. One option is to consider and discuss the
"no dialysis" option with patients and family. Patients need to be identified who
may benefit from this option, and their medical management needs to be reviewed.
PMID- 22098665
TI - The patient-centered medical home and the nephrologist.
AB - The patient-centered medical home (PCMH) is a model of practice that has been
proposed to address the many ills of our current health care delivery and
financing systems. At its heart is a primary care practice that provides
comprehensive, coordinated, high-quality, personalized care. Integral to the
success of the PCMH model is a "neighborhood" of specialists who subscribe to the
principles of the PCMH. Nephrologists will have an opportunity to practice within
this framework, either as the PCMH itself or, more likely, as "neighbors" to the
"home." The effective and enthusiastic participation of nephrologists and other
specialists will depend on the details of the model, not the least important of
which is the financial structure. Dozens of demonstration projects around the
country are currently testing the model. If the PCMH model proves to be workable
and is widely adopted, nephrologists could be uniquely positioned to participate,
given our long experience providing coordinated care for complex patients in a
quality-conscious environment.
PMID- 22098666
TI - Sphingosine kinase 1 promotes tumour cell migration and invasion via the S1P/EDG1
axis in hepatocellular carcinoma.
AB - BACKGROUND/AIMS: Sphingosine kinase 1 (SphK1), which phosphorylates sphingosine
to sphingosine-1-phosphate (S1P), is overexpressed in various types of cancers,
and may act as an oncogene in tumorigenesis. However, little is known about the
precise role of the SphK1/S1P pathway in human liver cancer, especially regarding
the metastasis of hepatocellular carcinoma (HCC). MATERIALS AND METHODS: The
expression of SphK1 was detected by quantitative reverse-transcription PCR. In
addition, transwell cell migration and invasion assay were carried out for
functional analysis. Furthermore, the level of S1P was quantified by ELISA and
Rac1/Cdc42 GTPase activation was assessed by western blot analysis. RESULTS: The
levels of SphK1 mRNA are commonly up-regulated in HCC patients and human liver
cancer cell migration and invasion can be promoted by the overexpression of
SphK1. In addition, inhibition of SphK1 with either a SphK1 inhibitor or siRNA
reduced human liver cancer cell migration and invasion. Furthermore,
overexpression of SphK1 increased S1P levels, and the exogenous addition of S1P
increased liver cell migration and invasion through the EDG1 receptor. DISCUSSION
AND CONCLUSION: The results from this study provide strong evidence of a role for
the SphK1/S1P/EDG1 pathway in liver metastasis, thus making it an attractive
therapeutic target for the development of new anti-HCC drugs.
PMID- 22098669
TI - Gestational age of previous twin preterm birth as a predictor for subsequent
singleton preterm birth.
AB - OBJECTIVE: We sought to determine an optimal gestational-age cutoff of preterm
twin deliveries for predicting subsequent singleton preterm birth (PTB). STUDY
DESIGN: We performed a retrospective study of women with a spontaneous twin
delivery who subsequently had a singleton gestation. Univariate and multivariate
analyses determined the risk of a spontaneous singleton PTB after a PTB of a twin
gestation. Different gestational-age cutoffs of the previous twin PTB were
evaluated. RESULTS: Among 255 women, previous twin PTB at <34 weeks' gestation
was associated with an increased risk of singleton PTB (odds ratio, 9.67; 95%
confidence interval, 3.07-30.47). Every twin gestational age cutoff at <34 weeks'
gestation had a significantly higher risk of subsequent singleton PTB, which was
no longer significant at >= 34 weeks' gestation (odds ratio, 1.68; 95% confidence
interval, 0.23-12.19). CONCLUSION: In women with a previous spontaneous twin PTB
at <34 weeks' gestation, there is an increased risk of subsequent singleton PTB.
A twin birth at >= 34 weeks' gestation is not associated with an increased risk
for a subsequent singleton PTB.
PMID- 22098667
TI - Effect of bile duct ligation on bile acid composition in mouse serum and liver.
AB - BACKGROUND: Cholestatic liver diseases can be caused by genetic defects, drug
toxicities, hepatobiliary malignancies or obstruction of the biliary tract.
Cholestasis leads to accumulation of bile acids (BAs) in hepatocytes. Direct
toxicity of BAs is currently the most accepted hypothesis for cholestatic liver
injury. However, information on which bile acids are actually accumulating during
cholestasis is limited. AIM: To assess the BA composition in liver and serum
after bile duct ligation (BDL) in male C57Bl/6 mice between 6 h and 14 days and
evaluate toxicity of the most abundant BAs. RESULTS: Bile acid concentrations
increased in liver (27-fold) and serum (1400-fold) within 6 h after surgery and
remained elevated up to 14 days. BAs in livers of BDL mice became more
hydrophilic than sham controls, mainly because of increased 6beta-hydroxylation
and taurine conjugation. Among the eight unconjugated and 16 conjugated BAs
identified in serum and liver, only taurocholic acid (TCA), beta-muricholic acid
(betaMCA) and TbetaMCA were substantially elevated representing >95% of these BAs
over the entire time course. Although glycochenodeoxycholic acid and other
conjugated BAs increased in BDL animals, the changes were several orders of
magnitude lower compared with TCA, betaMCA and TbetaMCA. A mixture of these BAs
did not cause apoptosis or necrosis, but induced inflammatory gene expression in
cultured murine hepatocytes. CONCLUSION: The concentrations of cytotoxic BAs are
insufficient to cause hepatocellular injury. In contrast, TCA, betaMCA and
TbetaMCA are able to induce pro-inflammatory mediators in hepatocytes. Thus, BAs
act as inflammagens and not as cytotoxic mediators after BDL in mice.
PMID- 22098670
TI - Effect of hemodialysis and hemofiltration on plasma C.E.R.A. concentrations.
AB - C.E.R.A., a continuous erythropoietin receptor activator, is a long-acting
erythropoiesis-stimulating agent approved for the treatment of anemia in patients
with chronic kidney disease. Although the large molecular weight and the
carbohydrate chain make it unlikely that C.E.R.A. could be removed during
hemodialysis or hemofiltration, no such data have been published. In vitro
studies were performed to assess the removal of C.E.R.A. during hemodialysis and
hemofiltration, using both low-flux and high-flux membranes and parameters very
similar to those used in clinical practice. Clinical pharmacokinetic studies of
plasma C.E.R.A. concentrations in patients undergoing hemodialysis were also
performed following subcutaneous injection of C.E.R.A. In the in vitro studies,
plasma C.E.R.A. concentrations were not significantly different from baseline
values in the primed blood reservoir over a 4-hour period during hemodialysis (P
= 0.12). C.E.R.A. concentrations in the plasma obtained from the venous end of
the hemofilter increased proportionally with the plasma total protein
concentrations, reflecting the consequence of hemoconcentration and suggesting
that C.E.R.A and plasma total proteins were retained by hemofiltration membranes
to a similar degree. These in vitro studies showed that C.E.R.A. was not removed
by simulated hemodialysis or hemofiltration either via transmembrane transport or
adsorption to the membrane. The results were corroborated by the clinical
pharmacokinetic data, which showed no detectable changes in plasma C.E.R.A.
concentrations during hemodialysis using either low-flux or high-flux dialyzers.
These results suggest that C.E.R.A. can be administered to patients at any time
during hemodialysis or hemofiltration without appreciable loss in the
extracorporeal circuit.
PMID- 22098671
TI - Oral health of hemodialysis patients: a cross-sectional study at two German
dialysis centers.
AB - The aim of the study was to investigate the oral hygiene behavior and state of
oral health of hemodialysis (HD) patients in Germany. HD patients attending two
dialysis centers were asked to participate in the study. Anamneses and oral
hygiene behavior were recorded in a questionnaire. Dental examination included
the dental status (DMF-T) and the degree of gingival inflammation (PDI:
Periodontol Disease Index). Of 129 patients contacted, 54 (42%), aged 63.9 +/-
13.0 years (23 women and 31 men), took part in the study. At an average, dialysis
was required for 4.1 years. The cause of terminal renal failure was
glomerulonephritis in 30% of patients and diabetic nephropathy in 22% of
patients. Since dialysis therapy, 63% of the patients (n = 34) only visited a
dentist when they had complaints. In 46 cases (85%), the dentist had been
informed about the patient's requirement for dialysis, and in most cases (70%),
the dental treatment took place on the day after dialysis. The mean DMF-T of the
HD patients was 22.1 +/- 6.5. The proportion of carious teeth was low (D-T: 0.7
+/- 1.2), of missing teeth (M-T) high (16.2 +/- 9.3). The median degree of
gingival inflammation (PDI) was 1. Availing themselves of dental treatment after
patients needed to have dialysis was mostly "complaint oriented." In addition to
a high proportion of missing teeth, a good level of restoration of caries was
found. The gingiva showed only a low level of inflammatory changes.
PMID- 22098672
TI - A remarkably stable TipE gene cluster: evolution of insect Para sodium channel
auxiliary subunits.
AB - BACKGROUND: First identified in fruit flies with temperature-sensitive paralysis
phenotypes, the Drosophila melanogaster TipE locus encodes four voltage-gated
sodium (NaV) channel auxiliary subunits. This cluster of TipE-like genes on
chromosome 3L, and a fifth family member on chromosome 3R, are important for the
optional expression and functionality of the Para NaV channel but appear quite
distinct from auxiliary subunits in vertebrates. Here, we exploited available
arthropod genomic resources to trace the origin of TipE-like genes by mapping
their evolutionary histories and examining their genomic architectures. RESULTS:
We identified a remarkably conserved synteny block of TipE-like orthologues with
well-maintained local gene arrangements from 21 insect species. Homologues in the
water flea, Daphnia pulex, suggest an ancestral pancrustacean repertoire of four
TipE-like genes; a subsequent gene duplication may have generated functional
redundancy allowing gene losses in the silk moth and mosquitoes. Intronic nesting
of the insect TipE gene cluster probably occurred following the divergence from
crustaceans, but in the flour beetle and silk moth genomes the clusters
apparently escaped from nesting. Across Pancrustacea, TipE gene family members
have experienced intronic nesting, escape from nesting, retrotransposition,
translocation, and gene loss events while generally maintaining their local gene
neighbourhoods. D. melanogaster TipE-like genes exhibit coordinated spatial and
temporal regulation of expression distinct from their host gene but well
correlated with their regulatory target, the Para NaV channel, suggesting that
functional constraints may preserve the TipE gene cluster. We identified homology
between TipE-like NaV channel regulators and vertebrate Slo-beta auxiliary
subunits of big-conductance calcium-activated potassium (BKCa) channels, which
suggests that ion channel regulatory partners have evolved distinct lineage
specific characteristics. CONCLUSIONS: TipE-like genes form a remarkably
conserved genomic cluster across all examined insect genomes. This study reveals
likely structural and functional constraints on the genomic evolution of insect
TipE gene family members maintained in synteny over hundreds of millions of years
of evolution. The likely common origin of these NaV channel regulators with BKCa
auxiliary subunits highlights the evolutionary plasticity of ion channel
regulatory mechanisms.
PMID- 22098673
TI - Learning in a simple biological system: a pilot study of classical conditioning
of human macrophages in vitro.
AB - Recent advances in cell biology and gene regulation suggest mechanisms whereby
associative learning could be performed by single cells. Therefore, we explored a
model of classical conditioning in human macrophages in vitro. In macrophage
cultures, bacterial lipopolysaccharide (LPS; unconditioned stimulus) was paired
once with streptomycin (conditioned stimulus). Secretion of interleukin-6 (IL-6)
was used as response measure. At evocation, conditioning was not observed. Levels
of IL-6 were higher only in those cultures that had been exposed to LPS in the
learning phase (p's < .05), regardless whether they received the conditioned
stimulus or not at evocation.However, habituation was evident, with a 62% loss of
the IL-6 response after three LPS presentations (p < .001). If further
experiments confirm that simple learning can occur in immune cells, this may have
bearings not only on immune regulation, but also on the brain response to
molecular signals detected in the periphery. Importantly, whether capacities for
simple learning in single cells extend beyond habituation, and how this would be
demonstrated, remain open questions.
PMID- 22098674
TI - Impaired reproductive function of male rats infected with Toxoplasma gondii.
AB - Toxoplasmosis is one of the classical conditions known to have an adverse effect
on female reproductive functions, but a few investigations into male reproductive
parameters have been performed. This work was carried out to study the effects of
Toxoplasma gondii on reproductive function in male rats. Male rats were infected
with the RH strain of T. gondii tachyzoites, and following every 10 days from 10
to 70 postinfection (PI), the percentage of body weight to testis weight ratio as
well as epididymal sperm parameters (number, motility, viability, and morphology
rates), serum testosterone (ST), intratesticular testosterone (ITT), serum
lactate dehydrogenase (SLDH), intratesticular lactate dehydrogenase and fructose
in seminal vesicles and coagulating glands were measured. The results of the
study showed sperm motility, viability and concentration rates were significantly
decreased temporary after infection up to 70 days. Sperm abnormality was also
increased during these days. In addition, temporary alteration in ST, ITT, SLDH,
intratesticular LDH and fructose in seminal vesicle and coagulating gland was
observed PI. These findings suggest that toxoplasmosis can cause impermanent
impairment on the reproductive parameters of male rats.
PMID- 22098675
TI - Changes in patterns of persistent halogenated compounds through a pelagic food
web in the Baltic Sea.
AB - The concentrations and patterns of persistent halogenated compounds (PHCs),
including polychlorinated biphenyls (PCBs), DDT, hexachlorocyclohexanes (HCHs),
hexachlorobenzene (HCB) and polybrominated diphenyl ethers (PBDEs) were examined
in a pelagic food web from the southern Baltic Sea consisting of sediment,
zooplankton, sprat, Atlantic salmon and anadromous brown trout. Lipid-normalized
concentrations generally increased from low trophic levels to high trophic
levels, with the exception of HCHs. Due to high concentrations of PBDEs in some
zooplankton samples, biomagnification of BDE-47 was only observed for
salmon/sprat and trout/sprat. Sprat collected individually and from salmon
stomach had significantly different lipid-normalized concentrations and varied in
their PHC pattern as well, possibly indicating a large natural variation within
the Baltic Sea. The highest lipid-normalized concentrations were found in brown
trout. Salmon and brown trout were similar in their PHC pattern suggesting
similar food sources. Variation in PHC patterns among trophic levels was not
smaller than that among geographically distinct locations, confirming the
importance of comparable trophic levels for the assessment of PHC patterns, e.g.
for tracing migratory fish.
PMID- 22098676
TI - Comparison of multiple estimates of efficacy for influenza vaccine.
AB - Influenza vaccine trials typically report vaccine efficacy for infection
confirmed symptomatic illness. Data on indirect vaccine efficacy for
susceptibility, the degree of vaccine protection to susceptibles, or indirect
vaccine efficacy for illness given infection, are sparse. Using inactivated
influenza vaccine randomized trial data, we calculated indirect vaccine efficacy
for susceptibility of 20% [95% CI 9-30] and indirect vaccine efficacy for illness
among infected persons 12% [95% CI 2-22], values inferior to a direct vaccine
efficacy for infection-confirmed symptomatic illness of 55% [95% CI -21 to 84]
and an indirect effect of 61% [95% CI 8-83]. Such data reveal variance in
protective efficacy of the vaccine for multi-dimensional direct and indirect
efficacy measures.
PMID- 22098677
TI - Adipocyte mitochondrial genes and the forkhead factor FOXC2 are decreased in type
2 diabetes patients and normalized in response to rosiglitazone.
AB - BACKGROUND: FOXC2 has lately been implicated in diabetes and obesity as well as
mitochondrial function and biogenesis and also as a regulator of mtTFA/Tfam. In
this study, the expression of FOXC2 and selected genes involved in mitochondrial
function and biogenesis in healthy subjects and in a matched cohort with type 2
diabetes patients before and after treatment with rosiglitazone was determined.
Quantitative real time PCR was used to analyze both RNA and DNA from biopsies
from subcutaneous adipose tissue. METHODS: Blood samples and subcutaneous
abdominal fat biopsies were collected from 12 T2D patients, of which 11 concluded
the study, pre-treatment and 90 days after initiation of rosiglitazone treatment,
and from 19 healthy control subjects on the first and only visit from healthy
subjects. Clinical parameters were measured on the blood samples. RNA and DNA
were prepared from the fat biopsies and gene expression was measured with real
time PCR. RESULTS: The expression level of genes in the mitochondrial respiratory
complexes I - IV were significantly downregulated in the diabetic patients and
restored in response to rosiglitazone treatment. Rosiglitazone treatment also
increased the relative number of mitochondria in diabetic patients compared with
controls. Furthermore, the transcription factors FOXC2 and mtTFA/Tfam displayed a
response pattern identical to the mitochondrial genes. CONCLUSIONS: FOXC2,
mtTFA/Tfam and subunits of the respiratory complexes I - IV show equivalent
regulation in gene expression levels in response to TZD treatment. This, together
with the knowledge that FOXC2 has a regulatory function of mtTFA/Tfam and
mitochondrial biogenesis, suggests that FOXC2 has a possible functional role in
the TZD activated mitochondrial response.
PMID- 22098678
TI - Molecular cloning and biochemical characterization of the UDP-glucose: flavonoid
3-O-glucosyltransferase from Concord grape (Vitis labrusca).
AB - Glucosylation of anthocyanidin substrates at the 3-O-position is crucial for the
red pigmentation of grape berries and wine. The gene that encodes the enzyme
involved in this reaction has been cloned from Vitis labrusca cv. Concord,
heterologously expressed, and the recombinant enzyme (rVL3GT) was characterized.
VL3GT has 96% amino acid sequence identity with Vitis vinifera VV3GT and groups
phylogenetically with several other flavonoid 3-O-glycosyltransferases. In vitro
substrate specificity studies and kinetic analyses of rVL3GT indicate that this
enzyme preferentially glucosylates cyanidin as compared with quercetin. Crude
protein extracts from several Concord grape tissues were assayed for
glucosyltransferase activity with cyanidin and quercetin as acceptor substrates.
A comparison of the VL3GT activities toward with these substrates showed that the
3GT enzyme activity is consistent with the expression of VL3GT in these tissues
and is coincident with the biosynthesis of anthocyanins in both location and
developmental stages. Enzyme activities in grape mesocarp, pre-veraison exocarp,
leaf, flower bud, and flower tissues glucosylated quercetin but not cyanidin at
high rates, suggesting the presence of additional enzymes which are able to
glucosylate the 3-O-position of flavonols with higher specificity than
anthocyanidins.
PMID- 22098679
TI - cDNA cloning, genomic structure, molecular characterization and mRNA expression
analysis of the Pekin duck interleukin-10 receptor 1.
AB - Interleukin-10 (IL-10) mediates its broad anti-inflammatory and immunoregulatory
effects through two cell surface receptors by which binding to the IL-10 receptor
1 (IL-10R1) is the initial step that leads to recruitment of IL-10R2 and
initiation of the ternary complex signal transduction cascade. The duck IL-10R1
(duIL-10R1) cDNA was obtained by using RT-PCR and 5'RACE. The deduced 574 amino
acid protein has an amino acid identity of 62%, 27% and 28% with chicken, mouse
and human IL-10R1, respectively. Comparison of the duIL-10R1 cDNA with duck
genomic sequences revealed a seven exon-six intron structure of the duck IL-10R1
gene that shares a similar size with the respective exons 1-7 of the chicken and
human IL-10R1 genes, but the avian genes are more compact. Promoter analysis
identified putative binding sites for regulatory elements such as CCAAT enhancer
binding protein-alpha, specificity protein 1 (Sp1), nuclear factor 1 (NF1),
transcriptional regulatory protein Oct-1, nuclear factor (NF) kappaB and
interferon-stimulated gene factor-3 (ISGF-3). A canonical TATA box was absent in
proximity of the transcription initiation site, but a CpG island was present.
Sequence analysis of the predicted duIL-10R1 protein revealed characteristic
features of class-II cytokine receptors (CFR2) family members and a considerable
degree of conservation of residues implicated in ligand binding across higher
vertebrates. The predicted secondary structure of the duIL-10R1 extracellular
domain is compatible with the two-subdomain structure of the human IL-10R1
protein established by its crystal structure. The 3D model structure shows
conservation of the positions of conserved contact residues within four of the
five ligand-binding loops. Within the cytoplasmic domain, residues implicated in
signal transduction were conserved including two redundant peptide motifs GYXXQ
essential for recruitment and activation of STAT3. DuIL-10R1 mRNA expression was
most abundant in spleen, thymus, peripheral blood mononuclear cells (PBMCs) and
lung. Mitogen stimulation of PBMCs transiently increased duIL-10R1 mRNA
expression. Our observations suggest significant evolutionary conservation of the
IL-10R1 genomic organization, protein structure and receptor function through the
JAK/STAT signalling pathway across higher vertebrates.
PMID- 22098680
TI - Comparative effects of processing methods on the feeding value of maize in
feedlot cattle.
AB - The primary reason for processing maize is to enhance feeding value. Total tract
starch digestion is similar for coarsely processed (dry rolled, cracked) dry
maize. Enhancements in starch digestion due to dry rolling maize v. feeding maize
whole may be greater in light-weight calves than in yearlings, and when DM intake
is restricted ( < 1.5 % of body weight). The net energy (NE) maintain (NEm) and
NE gain (NEg) values for whole maize are 8.83 and 6.02 MJ (2.11 and 1.44
Mcal)/kg, respectively. Compared with conventional dry processing (i.e. coarse
rolled, cracked), finely processing maize may increase the initial rate of
digestion, but does not improve total tract starch digestion. Tempering before
rolling (without the addition of steam) may enhance the growth performance
response and the NE value of maize. Average total tract starch digestion is
similar for high-moisture and steam-flaked maize. However, the proportion of
starch digested ruminally is greater (about 8 %) for high-moisture maize. The
growth performance response of feedlot cattle to the feeding of high-moisture
maize is highly variable. Although the NEm and NEg value of whole high-moisture
maize was slightly less than that of dry processed maize (averaging 9.04 and 6.44
MJ (2.16 and 1.54 Mcal)/kg, respectively), grinding or rolling high-moisture
maize before ensiling increased (6 %) its NE value. Substituting steam-flaked
maize for dry processed maize increases average daily gain (6.3 %) and decreases
DM intake (5 %). The comparative NEm and NEg values for steam-flaked maize at
optimal processing (density = 0.34 kg/l) are 10.04 and 7.07 MJ (2.40 and 1.69
Mcal)/kg, respectively. These NE values are greater (3 %) than current tabular
values (National Research Council, 2000), being more consistent with earlier
standards (National Research Council, 1984). When maize is the primary or sole
source of starch in the diet, concentration of starch in faeces (faecal starch, %
of DM) of feedlot steers can serve as an indicator of total tract starch
digestion, and, hence, the feeding value of maize.
PMID- 22098681
TI - Validation of real-time polymerase chain reaction tests for diagnosing feline
immunodeficiency virus infection in domestic cats using Bayesian latent class
models.
AB - The objectives of the current study were to estimate the sensitivity and
specificity of three real-time polymerase chain reaction (PCR) tests for
diagnosis of feline immunodeficiency virus (FIV) infection in domestic cats, both
individually and when interpreted in series with one of two serological tests,
separately in populations of cats at low and high risk of being infected with
FIV. One PCR test targeted the pol gene and two targeted the gag gene of FIV. For
comparison, sensitivities and specificities of the individual serological tests
(IDEXX SNAP((r)) test and AGEN Simplify((r)) test) were also estimated. The study
populations consisted of domestic cats thought to be not vaccinated against FIV.
Low-risk (males aged 4 years or less and females; n=128) and high-risk (males
over 4 years; n=128) cats were selected from those where blood samples were
submitted to a commercial clinical pathology service. Bayesian latent class
models were used to obtain posterior probability distributions for sensitivity
and specificity for each test, based on prior distributions obtained from three
experts. Medians of the posterior sensitivity distributions for the PCR tests
based on the pol gene and two regions of the gag gene tests ranged from 0.85 to
0.89, compared to 0.89-0.97 for the two serological tests. The medians of
posterior specificity distributions for these PCR tests were 0.94-0.96, and 0.95
0.97 for the serological tests. In contrast, the PCR based on one region of the
gag gene had lower median sensitivity. Sensitivities of combinations of these
serological and PCR tests interpreted in series were low; medians of posterior
sensitivity distributions ranged from 0.75 to 0.83. Relative to the low-risk
population, median sensitivities in the high-risk population were lower for all
tests other than the AGEN Simplify((r)) test; specificities were similar in both
populations. We conclude that the sensitivities of the two PCR tests based on the
pol gene and two regions of the gag gene, respectively, in non-vaccinated cats
are probably lower than the sensitivities of the two serological tests we
assessed. We do not recommend screening cats whose FIV vaccination status is
uncertain with one of these serological tests and then testing positives with one
of these PCR tests because in non-vaccinates, the sensitivities of combinations
of these serological and PCR tests interpreted in series are low. Assessment of
the validity of these PCR assays in FIV-vaccinated cats is required.
PMID- 22098682
TI - Toxoplasma gondii associated behavioural changes in mice, rats and humans:
evidence from current research.
PMID- 22098683
TI - Impact of computerized physician order entry (CPOE) system on the outcome of
critically ill adult patients: a before-after study.
AB - BACKGROUND: Computerized physician order entry (CPOE) systems are recommended to
improve patient safety and outcomes. However, their effectiveness has been
questioned. Our objective was to evaluate the impact of CPOE implementation on
the outcome of critically ill patients. METHODS: This was an observational before
after study carried out in a 21-bed medical and surgical intensive care unit
(ICU) of a tertiary care center. It included all patients admitted to the ICU in
the 24 months pre- and 12 months post-CPOE (Misys(r)) implementation. Data were
extracted from a prospectively collected ICU database and included: demographics,
Acute Physiology and Chronic Health Evaluation (APACHE) II score, admission
diagnosis and comorbid conditions. Outcomes compared in different pre- and post
CPOE periods included: ICU and hospital mortality, duration of mechanical
ventilation, and ICU and hospital length of stay. These outcomes were also
compared in selected high risk subgroups of patients (age 12-17 years, traumatic
brain injury, admission diagnosis of sepsis and admission APACHE II > 23).
Multivariate analysis was used to adjust for imbalances in baseline
characteristics and selected clinically relevant variables. RESULTS: There were
1638 and 898 patients admitted to the ICU in the specified pre- and post-CPOE
periods, respectively (age = 52 +/- 22 vs. 52 +/- 21 years, p = 0.74; APACHE II =
24 +/- 9 vs. 24 +/- 10, p = 0.83). During these periods, there were no
differences in ICU (adjusted odds ratio (aOR) 0.98, 95% confidence interval [CI]
0.7-1.3) and in hospital mortality (aOR 1.00, 95% CI 0.8-1.3). CPOE
implementation was associated with similar duration of mechanical ventilation and
of stay in the ICU and hospital. There was no increased mortality or stay in the
high risk subgroups after CPOE implementation. CONCLUSIONS: The implementation of
CPOE in an adult medical surgical ICU resulted in no improvement in patient
outcomes in the immediate phase and up to 12 months after implementation.
PMID- 22098684
TI - Evaluation of transient elastography for fibrosis assessment compared with large
biopsies in chronic hepatitis B and C.
AB - BACKGROUND: Fibrosis determines prognosis and management in patients with chronic
hepatitis B and C (CHB and CHC). Transient elastography (TE) is a promising non
invasive method to assess fibrosis. We prospectively studied the performance of
TE compared to histology and also whether there are differences between CHB and
CHC. Only large biopsies (>= 25 mm) were used. METHODS: We included 241 patients
with CHB (n = 125) and CHC (n = 116), of whom we acquired 257 liver biopsies, all
preceded by elastography. We correlated liver stiffness with fibrosis stage
according to the METAVIR system, inflammation (Histology Activity Index),
steatosis and iron. The impact of gender, age, body mass index, alcohol, alanine
aminotransferase levels, platelet count, viral load and genotype on liver
stiffness was evaluated. RESULTS: The AUROC's for F >= 2 were 0.85 for CHB and
0.76 for CHC. AUROC's for F >= 3 were 0.91 for CHB and 0.87 for CHC and 0.90 and
0.91 for F4 for CHB and CHC respectively. For F >= 2 the cut-off value was 6.0
kPa for CHB and 5.0 kPa for CHC. The cut-off values for >= F3 were 9.0 and 8.0
kPa for CHB and CHC, respectively, and 13.0 kPa for F4 in both CHB and CHC
patients. Besides inflammation, all other remaining factors do not influence
liver stiffness. CONCLUSION: For the diagnosis of fibrosis stages F <= 2 TE is
suboptimal, and inflammation may induce higher values. For stages F >= 3 TE
performance is good and equal in both CHB and CHC patients.
PMID- 22098685
TI - Can a decision-making model be justified in the management of hepatocellular
adenoma?
AB - During recent years, there was a great development in the area of hepatocellular
adenomas (HCA), especially regarding the pathological subtype classification,
radiological imaging and management during pregnancy. This review discusses the
current knowledge about diagnosis and treatment modalities of HCA and proposes a
decision-making model for HCA. A Medline search of studies relevant to
epidemiology, histopathology, complications, imaging and management of HCA
lesions was undertaken. References from identified articles were hand-searched
for further relevant articles.
PMID- 22098686
TI - Excess epicardial fat mass misrepresented as cardiomegaly in dry-weight
assessment of a dialysis patient.
AB - Obesity is a major health problem that has entered the nephrology community and
is challenging our conventional management strategies. In this case report, we
present a morbidly obese dialysis patient whom dry-weight assessment was
disturbed by excess epicardial fat mass due to obesity. This case suggests that
problems related to obesity seem not to be limited to dealing with obesity
associated kidney injury, chronic kidney disease and mortality, but also other
concepts in nephrology such that, as described, excess epicardial fat mass
related to morbid obesity in this patient erroneously suggested a high
cardiothoracic index, and misled patient management. Interpretation of chest X
ray in dry-weight assessment should take into account the patient's body weight
especially in obese cases and alternative diagnostic methods for correct
determination of fluid status are highly needed.
PMID- 22098687
TI - Nocturnality constrains morphological and functional diversity in the eyes of
reef fishes.
AB - BACKGROUND: Ambient light levels are often considered to drive the evolution of
eye form and function. Diel activity pattern is the main mechanism controlling
the visual environment of teleost reef fish, with day-active (diurnal) fish
active in well-illuminated conditions, whereas night-active (nocturnal) fish cope
with dim light. Physiological optics predicts several specific evolutionary
responses to dim-light vision that should be reflected in visual performance
features of the eye. RESULTS: We analyzed a large comparative dataset on
morphological traits of the eyes in 265 species of teleost reef fish in 43
different families. The eye morphology of nocturnal reef teleosts is
characterized by a syndrome that indicates better light sensitivity, including
large relative eye size, high optical ratio and large, rounded pupils. Improved
dim-light image formation comes at the cost of reduced depth of focus and
reduction of potential accommodative lens movement. Diurnal teleost reef fish,
released from the stringent functional requirements of dim-light vision have much
higher morphological and optical diversity than nocturnal species, with large
ranges of optical ratio, depth of focus, and lens accommodation. CONCLUSIONS:
Physical characteristics of the environment are an important factor in the
evolution and diversification of the vertebrate eye. Both teleost reef fish and
terrestrial amniotes meet the functional requirements of dim-light vision with a
similar evolutionary response of morphological and optical modifications. The
trade-off between improved dim-light vision and reduced optical diversity may be
a key factor in explaining the lower trophic diversity of nocturnal reef
teleosts.
PMID- 22098688
TI - Unexpected bilateral massive pulmonary embolism.
PMID- 22098690
TI - Endoscopic Saphenous harvesting with an Open CO2 System (ESOS) trial for coronary
artery bypass grafting surgery: study protocol for a randomized controlled trial.
AB - BACKGROUND: In coronary artery bypass grafting surgery, arterial conduits are
preferred because of more favourable long-term patency and outcome. Anyway the
greater saphenous vein continues to be the most commonly used bypass conduit.
Minimally invasive endoscopic saphenous vein harvesting is increasingly being
investigated in order to reduce the morbidity associated with conventional open
vein harvesting, includes postoperative leg wound complications, pain and patient
satisfaction. However, to date the short and the long-term benefits of the
endoscopic technique remain controversial. This study provides an interesting
opportunity to address this gap in the literature. METHODS/DESIGN: Endoscopic
Saphenous harvesting with an Open CO2 System trial includes two parallel vein
harvesting arms in coronary artery bypass grafting surgery. It is an
interventional, single centre, prospective, randomized, safety/efficacy,
cost/effectiveness study, in adult patients with elective planned and first
isolated coronary artery disease. A simple size of 100 patients for each arm will
be required to achieve 80% statistical power, with a significant level of 0.05,
for detecting most of the formulated hypotheses. A six-weeks leg wound
complications rate was assumed to be 20% in the conventional arm and less of 4%
in the endoscopic arm. Previously quoted studies suggest a first-year vein-graft
failure rate of about 20% with an annual occlusion rate of 1% to 2% in the first
six years, with practically no difference between the endoscopic and conventional
approaches. Similarly, the results on event-free survival rates for the two arms
have barely a 2-3% gap. Assuming a 10% drop-out rate and a 5% cross-over rate,
the goal is to enrol 230 patients from a single Italian cardiac surgery centre.
DISCUSSION: The goal of this prospective randomized trial is to compare and to
test improvement in wound healing, quality of life, safety/efficacy, cost
effectiveness, short and long-term outcomes and vein-graft patency after
endoscopic open CO2 harvesting system versus conventional vein harvesting.The
expected results are of high clinical relevance and will show the safety/efficacy
or non-inferiority of one treatment approach in terms of vein harvesting for
coronary artery bypass grafting surgery. TRIAL REGISTRATION:
www.clinicalTrials.gov NCT01121341.
PMID- 22098691
TI - Unusual presentation of a case of Sjogren's syndrome with neurological and ocular
manifestation.
AB - Sjogren's syndrome is an autoimmune disease that commonly presents to the
ophthalmologist as a dry eye disease. We report an unusual presentation of a case
of Sjogren's syndrome. A 33-year-old man presented with lid swelling,
pseudomembraneous conjunctivitis with central corneal epithelial defect and
history of limb weakness for past 2 years. There was progressive enlargement of
the epithelial defect and conjunctival-scleral necrosis developed during follow
up. Evaluation for underlying connective tissue disorder was positive for SS-B/La
antibody and, the rheumatoid factor, anti nuclear antibody, anti neutrophil
cytoplasmic antibody titres were negative. Patient was diagnosed as a case of
Primary Sjogren's syndrome. Resolution of the conjunctival-scleral necrosis
occurred following treatment with combination of cyclosporine and prednisolone.
Paramedian tarsorrhaphy was performed to promote healing of the corneal
epithelium. The corneal epithelium healed, conjunctival-scleral necrosis resolved
completely and the visual acuity improved to 6/36 in the right eye after 3 months
of immunosuppressive therapy. The immunosuppressive therapy was discontinued
after completion of 6 months of treatment. After 6 months follow-up of stopping
immunosuppressive therapy, the ocular and systemic condition is stable.
PMID- 22098689
TI - Monthly continuous erythropoietin receptor activator treatment maintains stable
hemoglobin levels in routine clinical management of hemodialysis patients.
AB - Once-monthly administration of CERA, a continuous erythropoietin receptor
activator, has shown equivalent efficacy to shorter-acting erythropoiesis
stimulating agents (ESAs) that require more frequent dosing, but data on routine
use of once-monthly CERA in hemodialysis patients are lacking. Study on Efficacy,
Safety and Applicability of Mircera (SESAM) was a prospective, multicenter,
noninterventional trial with a duration of up to 9 months (month 0-5 "titration
phase"; month 6-8 "evaluation phase") to test the stability of Hb control in
hemodialysis patients under routine conditions. Patient selection, Hb targets and
CERA dosing were at the discretion of the local nephrologist. 918 patients from
92 German nephrology centers were included. Ninety-three percent were on ESA
treatment prior to study entry. The mean number of CERA dose changes during the
study was 1.9 +/- 1.9 per patient. Mean Hb level was 11.4 +/- 1.2 g/dL at
baseline and 11.7 +/- 1.4 g/dL at the end of the 8-month study. During the
evaluation phase (months 6-8), 15.6%, 40.3%, and 66.0% of patients had stable Hb
(i.e., at least two values) in the ranges 11-12, 10-12, and 10-13 g/dL,
respectively. The mean intra-individual fluctuation in Hb was 1.4 +/- 0.7 g/dL
during the study (0.5 +/- 0.4 g/dL during the 3-month evaluation phase). More
than 90% of patients, and > 80% of physicians, rated CERA therapy as "very good"
or "good" throughout the study. Four patients (0.4%) discontinued prematurely due
to adverse drug reactions. Once-monthly CERA therapy maintains stable Hb values
with low intra-individual variability and few dose adaptations in hemodialysis
patients when administered entirely according to local practice, and the regimen
was well-tolerated.
PMID- 22098692
TI - A novel model to explain dietary factors affecting hypocalcaemia in dairy cattle.
AB - Most dairy cows exhibit different degrees of hypocalcaemia around calving because
the gestational Ca requirements shift to the disproportionately high Ca
requirements of lactation. Ca homeostasis is a robust system that effectively
adapts to changes in Ca demand or supply. However, these adaptations often are
not rapid enough to avoid hypocalcaemia. A delay in the reconfiguration of
intestinal Ca absorption and bone resorption is probably the underlying cause of
this transient hypocalcaemia. Several dietary factors that affect different
aspects of Ca metabolism are known to reduce the incidence of milk fever. The
present review describes the interactions between nutrition and Ca homeostasis
using observations from cattle and extrapolations from other species and aims to
quantitatively model the effects of the nutritional approaches that are used to
induce dry cows into an early adaptation of Ca metabolism. The present model
suggests that reducing dietary cation-anion difference (DCAD) increases Ca
clearance from the blood by dietary induction of systemic acidosis, which results
in hypercalciuria due to the loss of function of the renal Ca transient receptor
potential vanilloid channel TRPV5. Alternatively, reducing the gastrointestinal
availability of Ca by reducing dietary Ca or its nutritional availability will
also induce the activation of Ca metabolism to compensate for basal blood Ca
clearance. Our model of gastrointestinal Ca availability as well as blood Ca
clearance in the transition dairy cow allowed us to conclude that the most common
dietary strategies for milk fever prevention may have analogous modes of action
that are based on the principle of metabolic adaptation before calving.
PMID- 22098693
TI - Patterns of Long Term Care in 29 European countries: evidence from an exploratory
study.
AB - BACKGROUND: The challenges posed by the rapidly ageing population, and the
increased preponderance of disabled people in this group, coupled with the rising
level of public expenditure required to service the complex organization of long
term care (LTC) delivery are causing increased pressure on LTC systems in Europe.
A pan-European survey was carried out to evaluate whether patterns of LTC can be
identified across Europe and what are the trends of the countries along them.
METHODS: An ecological study was conducted on the 27 EU Member States plus Norway
and Iceland, referring to the period 2003-2007. Several variables related to
organizational features, elderly needs and expenditure were drawn from OECD
Health Data and the Eurostat Statistics database and combined using Multiple
Factor Analysis (MFA). RESULTS: Two global Principal Components were taken into
consideration given that their expressed total variance was greater than 60%.
They were interpreted according to the higher (more than 0.5) positive or
negative correlation coefficients between them and the original variables; thus
patterns of LTC were identified. High alignment between old age related
expenditure and elderly needs characterizes Nordic and Western European
countries, the former also having a higher level of formal care than the latter.
Mediterranean as well as Central and South Eastern European countries show lower
alignment between old age related expenditure and elderly needs, coupled with a
level of provision of formal care that is around or slightly above the average
European level. In the dynamic comparison, linear, stable or unclear trends were
shown for the studied countries. CONCLUSIONS: The analysis carried out is an
explorative and descriptive study, which is an attempt to reveal patterns and
trends of LTC in Europe, allowing comparisons between countries. It also
stimulates further researches with lower aggregated data useful to gain
meaningful policy-making evidence.Please see related article:
http://www.biomedcentral.com/1741-7015/9/124.
PMID- 22098694
TI - Biliary atresia and survival into adulthood without transplantation: a
collaborative multicentre clinic review.
AB - BACKGROUND: Biliary atresia is a progressive biliary injury which occurs only in
infants. AIMS: To review the experience of patients surviving into adulthood
without the need for liver transplantation in childhood. METHODS: A multicentre
review of patients with biliary atresia treated surgically who survived into
adulthood without the need for transplantation. RESULTS: Twenty-two patients were
identified across four centres. Median age at the last follow-up was 25 years
(range: 18-46), and 21 patients had clinical features of portal hypertension. At
last follow-up values of liver enzymes varied from normal to 15 * the upper limit
of normal (ULN) for ALT (median 2.11 * ULN) and 9 * the ULN for ALP (median 2.02
* ULN). Six patients had a serum bilirubin > 50 MUmol/l. Pruritus and jaundice
were noted in 8 of 20 patients (40%) and 11 of 22 patients (50%) respectively.
Thirteen patients (59.1%) were shown to have imaging features of sclerosing
cholangitis, with strictures of intrahepatic bile duct(s) (IHBD), dilatation of
IHBD (n = 8), or stone(s) within the IHBD (n = 5). A history of presumed
bacterial cholangitis was present in 11 patients (50%). Successful pregnancies
were recorded in three of fourteen female patients. Four patients underwent
transplant between the ages of 20-27 years. Twenty-one patients (95.5%) were
alive, including 18 (81.8%) with their native liver at the time of last follow
up. CONCLUSIONS: Some patients treated for biliary atresia will survive into
adulthood with their native liver, but commonly with secondary biliary disease
including cholangitis and portal hypertension.
PMID- 22098695
TI - J-tip guide wire entrapment within the heart during central venous
catheterization.
AB - A 55-year-old female was hospitalized for melena. The patient was suspected of
having uremia symptoms. Use of a tunneled cuffed hemodialysis catheter of the
right internal jugular vein was planned. At one point, the wire could not be
extracted or advanced. In addition, whenever the guide wire was manipulated,
ventricular premature rhythms developed. Fluoroscopy revealed knotting of the
guide wire in the right ventricle. A 7 French guiding catheter was inserted along
the guide wire, which loosened the knotting of the guide wire. The present case
shows that entrapment within the heart can develop due to use of a J-tip guide
wire. If a guide wire cannot be removed despite several attempts with gentle
pressure, this event should be ruled out by fluoroscopy and chest X-ray. Using of
straight or curved guide wire and real-time surveillance using fluoroscopy or
electrocardiography may be important to prevent this complication.
PMID- 22098696
TI - Genetic continuity across a deeply divergent linguistic contact zone in North
Maluku, Indonesia.
AB - BACKGROUND: The islands of North Maluku, Indonesia occupy a central position in
the major prehistoric dispersal streams that shaped the peoples of Island
Southeast Asia and the Pacific. Within this region a linguistic contact zone
exists where speakers of Papuan and Austronesian languages reside in close
proximity. Here we use population genetic data to assess the extent to which
North Maluku populations experienced admixture of Asian genetic material, and
whether linguistic boundaries reflect genetic differentiation today. RESULTS:
Autosomal and X-linked markers reveal overall Asian admixture of 67% in North
Maluku, demonstrating a substantial contribution of genetic material into the
region from Asia. We observe no evidence of population structure associated with
ethnicity or language affiliation. CONCLUSIONS: Our data support a model of
widespread Asian admixture in North Maluku, likely mediated by the expansion of
Austronesian-speaking peoples into the region during the mid Holocene. In North
Maluku there is no genetic differentiation in terms of Austronesian- versus
Papuan-speakers, suggesting extensive gene flow across linguistic boundaries. In
a regional context, our results illuminate a major genetic divide at the Molucca
Sea, between the islands of Sulawesi and North Maluku. West of this divide,
populations exhibit predominantly Asian ancestry, with very little contribution
of Papuan genetic material. East of the Molucca Sea, populations show diminished
rates of Asian admixture and substantial persistence of Papuan genetic diversity.
PMID- 22098697
TI - Homogeneous single-label biochemical Ras activation assay using time-resolved
luminescence.
AB - Mutations of the small GTP-binding protein Ras have been commonly found in
tumors, and Ras oncogenes have been established to be involved in the early steps
of cancerogenesis. The detection of Ras activity is critical in the determination
of the cell signaling events controlling cell growth and differentiation.
Therefore, development of improved methods for primary screening of novel
potential drugs that target small GTPase or their regulators and their signaling
pathways is important. Several assays have been developed for small GTPases
studies, but all these methods have limitations for a high-throughput screening
(HTS) use. Multiple steps including separation, use of radioactive labels or time
consuming immunoblotting, and a need of large quantities of purified proteins are
decreasing the user-friendliness of these methods. Here, we have developed a
homogeneous H-Ras activity assay based on a single-label utilizing the
homogeneous quenching resonance energy transfer technique (QRET). In the QRET
method, the binding of a terbium-labeled GTP (Tb-GTP) to small GTPase protein H
Ras protects the signal of the label from quenching, whereas the signal of the
nonbound fraction of Tb-GTP is quenched by a soluble quencher. This enables a
rapid determination of the changes in the activity status of Ras. The assay
optimization showed that only 60 nM concentration of purified H-Ras protein was
needed. The functionality of the assay was proved by detecting the effect of H
Ras guanine nucleotide exchange factor, Son of Sevenless. The signal-to
background ratio up to 7.7 was achieved with an average assay coefficient of
variation of 9.1%. The use of a low concentration of purified protein is
desirable and the signal-to-background ratio of 3.4 was achieved in the assay at
a concentration of 60 nM for H-Ras and SOS proteins. The need of only one labeled
molecule and the ability to decrease the quantities of purified proteins used in
the experiments are valuable qualities in HTS showing the potential of the QRET
method.
PMID- 22098698
TI - Use of an alteplase algorithm for the management of hemodialysis catheter
dysfunction.
AB - Hemodialysis (HD) catheter dysfunction compromises HD adequacy and increases the
cost of patient care. Repeated administration of alteplase in HD catheters
typically produces only short-term benefits. The purpose of this study was to
design, implement, and evaluate the efficacy of an experimental alteplase
algorithm to manage HD catheter dysfunction. This was a two-part prospective
nonrandomized study. Baseline data of alteplase use and catheter exchange were
collected during part 1 of the study. Part 2 consisted of the alteplase algorithm
implementation and repeat collection of catheter data. Rates of alteplase use and
catheter exchange per 1000 catheter-days were the primary and secondary outcomes
of the study. One hundred and seventy-two catheters in 131 patients were followed
prospectively during the course of the study. The adjusted relative rate (RR) of
alteplase use showed no significant difference between both parts of the study,
adjusted RR: 1.10, 95% confidence interval (CI) (0.73-1.65). Similarly, catheter
exchange rates were not significantly different over the duration of the study
(1.12 vs. 1.03 per 1000 catheter-days). However, waiting time for catheter
exchange increased from 20.36 +/- 14 days in part 1 to 38.42 +/- 28 days in part
2 (P < 0.05). The alteplase algorithm did not significantly reduce alteplase use.
This may be partially explained by repeated use of alteplase in part 2, due to
longer waiting times for catheter exchange procedures.
PMID- 22098699
TI - Primary care physicians' perspectives towards managing rheumatoid arthritis: room
for improvement.
AB - INTRODUCTION: Many people with rheumatoid arthritis (RA) do not receive care from
a rheumatologist. We surveyed primary care physicians (PCPs) to better understand
their attitudes, knowledge, and practices regarding the optimal treatment of RA.
METHODS: Randomly selected PCPs practicing in the US were surveyed. The survey
encompassed their experience with RA, use of disease modifying anti-rheumatic
drugs (DMARDs), and experience with rheumatology referrals. Logistic regression
analyses described the responses and examined the correlation between physician
variables and use of DMARDs. RESULTS: E-mail invitations were opened by 1, 103
PCPs and completed by 267 (25%). Most respondents were men (68%) in practice for
over 10 years (64%) who reported 6 or more RA patients under their care in the
last year (71%). The majority reported some RA training after medical school
(59%), but only one-third felt very confident managing this condition. Most (81%)
reported prescribing DMARDs, but 37% do not initiate them, with only 9% reporting
being very confident starting a DMARD. In unadjusted analyses, several respondent
characteristics were strongly associated with not prescribing DMARDs, but none
was significant after adjustment. Almost half (44%) of PCPs noted that patients
report difficulty getting appointments with rheumatologists. CONCLUSIONS: We
found many PCPs are uncomfortable managing RA with DMARDs, despite common beliefs
that their patients lack access to a rheumatologist. Lack of accessibility to
rheumatologists and discomfort in prescribing DMARDs for patients with RA are
potential barriers to optimal treatment.
PMID- 22098700
TI - A trial for the use of qigong in the treatment of pre and mild essential
hypertension: a study protocol for a randomized controlled trial.
AB - BACKGROUND: Hypertension is a risk factor for cardiovascular disease, and the
prevalence of hypertension tends to increase with age. Current treatments for
hypertension have side effects and poor adherence. Qigong has been studied as an
alternative therapy for hypertension; however, the types of qigong used in those
studies were diverse, and there have not been many well-designed randomized
controlled trials.Our objectives are the following: 1) To evaluate the effects of
qigong on blood pressure, health status and hormone levels for pre- or mild
hypertension. 2) To test the methodological appropriateness of this clinical
trial and calculate a sample size for future randomized trials. METHODS: Forty
subjects with pre- or mild hypertension will be randomized to either the qigong
exercise group or the non-treated group. Participants in the qigong group will
conduct qigong exercises 5 times per week for 8 weeks, and participants in the
non-treated group will maintain their current lifestyle, including diet and
exercise. The use of antihypertensive medication is not permitted. The primary
endpoint is a change in patient blood pressure. Secondary endpoints are patient
health status (as measured by the SF-36 and the MYMOP2 questionnaires) and
changes in hormone levels, including norepinephrine, epinephrine, and cortisol.
DISCUSSION: This study will be the first randomized trial to investigate the
effectiveness of qigong exercises for the treatment of pre- and mild
hypertension. The results of this study will help to establish the optimal
approach for the care of adults with pre- or mild hypertension. TRIAL
REGISTRATION: Clinical Research Information Service KCT0000140.
PMID- 22098701
TI - Antibacterial activities of 4-substituted-2-[(E)-{(1S,2R)/(1R,2S)-1-hydroxy-1
phenylpropan-2-ylimino}methyl]phenol.
AB - A series of norephedrine-based Schiff bases (1a-6a and 1b-6b) were synthesized by
reacting substituted salicylaldehydes with d-norephedrine or l-norephedrine. The
structure of these compounds was confirmed by elemental analyses and
spectroscopic techniques. The molecular structures of 5a and 6a have been
determined by X-ray crystallography, which revealed that the compounds are in the
oxoamino form, with bent intramolecular N-H...O (N...O ~ 2.58 A) hydrogen bonds
and that they are associated in dimers bridged by linear intermolecular O-H...O
(O...O ~ 2.69 A) hydrogen bonds. The density functional theory calculations on 5a
confirmed that the oxoamino form is more stable than the phenolimino form by 12.2
kcal/mol. All the compounds were evaluated for their antibacterial activity using
resazurin dye as indicator by twofold dilution method against four bacteria
namely, Bacillus subtilis (NCIM2718), Staphylococcus aureus (NCIM5021),
Escherichia coli (NCIM2931), and Proteus vulgaris (NCIM2813).
PMID- 22098702
TI - Role transition from mental health nurse to IAPT high intensity psychological
therapist.
AB - BACKGROUND: The Improving Access to Psychological Therapies (IAPT) initiative has
depended on the training of a new NHS mental health workforce. At step 3 of the
stepped care model, capacity building has required the recruitment of a wide
range of mental health professionals into high intensity therapists training
posts. This shift naturally entails role transition on the part of trainees into
delivering cognitive behavioural psychotherapy (CBP), but no previous research
has examined the experience of such transitions. AIM: To describe the lived
experience of transition from mental health nurse to IAPT high intensity
therapist and to identify possible factors which moderate effective role
conversions. METHOD: Six qualified high intensity therapists were interviewed
using a semi-structured interview and the subsequent interviews transcribed.
Thematic content analysis (TCA) was used to analyze personal accounts of role
transition. All participants had previously been mental health nurses and
attended the same IAPT high intensity therapist (HIT) training programme.
RESULTS: Six key themes were apparent from the TCA. Three interconnected themes
concerning supervision (style, impact of approach and historical context) and
three additional themes of the challenge of learning a new clinical approach,
high need for support, and forming a new psychotherapist identity. CONCLUSIONS:
Findings suggest supervision is the most important factor in supporting complex
psychotherapy role transitions. Clinical supervisors may need to incorporate
dedicated time on role and identity shift during CBP training to ensure effective
assimilation and transition. Methodological short-comings are identified and
discussed.
PMID- 22098704
TI - Can 24-h urine sodium excretion be replaced by spot urine sodium/potassium in
patients with decompensated cirrhosis?
PMID- 22098703
TI - Reconstruction versus conservative treatment after rupture of the anterior
cruciate ligament: cost effectiveness analysis.
AB - BACKGROUND: The decision whether to treat conservatively or reconstruct
surgically a torn anterior cruciate ligament (ACL) is an ongoing subject of
debate. The high prevalence and associated public health burden of torn ACL has
led to continuous efforts to determine the best therapeutic approach. A critical
evaluation of benefits and expenditures of both treatment options as in a cost
effectiveness analysis seems well-suited to provide valuable information for
treating physicians and healthcare policymakers. METHODS: A literature review
identified four of 7410 searched articles providing sufficient outcome
probabilities for the two treatment options for modeling. A transformation key
based on the expert opinions of 25 orthopedic surgeons was used to derive
utilities from available evidence. The cost data for both treatment strategies
were based on average figures compiled by Orthopaedic University Hospital
Balgrist and reinforced by Swiss national statistics. A decision tree was
constructed to derive the cost-effectiveness of each strategy, which was then
tested for robustness using Monte Carlo simulation. RESULTS: Decision tree
analysis revealed a cost effectiveness of 16,038 USD/0.78 QALY for ACL
reconstruction and 15,466 USD/0.66 QALY for conservative treatment, implying an
incremental cost effectiveness of 4,890 USD/QALY for ACL reconstruction.
Sensitivity analysis of utilities did not change the trend. CONCLUSION: ACL
reconstruction for reestablishment of knee stability seems cost effective in the
Swiss setting based on currently available evidence. This, however, should be
reinforced with randomized controlled trials comparing the two treatment
strategies.
PMID- 22098705
TI - Personal viewpoint: hemodialysis--water, power, and waste disposal: rethinking
our environmental responsibilities.
AB - While medical health professionals are trained to detect, treat, and comfort,
they are not trained to consider the environmental impact of the services they
provide. Dialysis practitioners seem particularly careless in the use of natural
resources-especially water and power-and seem broadly ignorant of the profound
medical waste issues created by single use dialysis equipment. If the data we
have collected is an indication, then extrapolation of this data to a dialysis
population currently estimated at ~2 million patients worldwide, a "world
dialysis service" would use ~156 billion liters of water and discard ~2/3 of that
during reverse osmosis. This waste occurs, despite the discarded water being high
grade "gray water" of potable standard. The same world dialysis service would
consume 1.62 billion kWh of power-mostly generated from coal and other
environmentally damaging sources. Our world dialysis service, based on ~2 kg of
waste from each dialysis treatment, would generate ~625,000 tonnes of plastic
waste-waste that would be potentially reusable if simple sterilizing techniques
were applied to it at the point of generation. Dialysis services must begin to
explore eco-dialysis potentials. The continued plundering of resources without
considering reuse or recycling, exploration of renewable energy options, or the
reduction of the carbon footprint of the dialysis process . . . is unsustainable.
Sustainable dialysis practices should be a global goal in the coming decade.
PMID- 22098706
TI - DNA methylation changes elicited by social stimuli in the brains of worker honey
bees.
AB - Social environments are notoriously multifactorial, yet studies in rodents have
suggested that single variables such as maternal care can in fact be disentangled
and correlated with specific DNA methylation changes. This study assesses whether
non-detrimental social environmental variation in a highly plastic social insect
is correlated with epigenomic modifications at the DNA methylation level. Honey
bee workers perform tasks such as nursing and foraging in response to the social
environment in the hive, in an age-linked but not age-dependent manner. In this
study, the methylation levels of 83 cytosine-phosphate-guanosine dinucleotides
over eight genomic regions were compared between the brains of age-matched bees
performing nursing or foraging tasks. The results reveal more changes correlated
with task than with chronological age, and also hive-associated methylation at
some sites. One methylation site from a gene encoding Protein Kinase C binding
protein 1 was consistently more methylated in foragers than nurses, which is
suggested to lead to production of task-specific protein isoforms via alternative
splicing. This study illustrates the ability of the neural epigenome to
dynamically respond to complex social stimuli.
PMID- 22098707
TI - Renal involvement of mantle cell lymphona leading to end stage renal disease.
AB - Mantle cell lymphoma (MCL), owing to its insensitivity to chemotherapy, has a
poor prognosis, with a median survival of 3 years to 4 years. MCL frequently
infiltrates other organs. However, reports involving kidney in living patients
are rare. Here, we report a case of MCL with renal involvement leading to end
stage renal disease that required renal replacement therapy. A 69-year-old man
diagnosed with MCL 3 years earlier was admitted to our emergency room due to
uremic symptoms. After eight cycles of chemotherapy, he had displayed complete
remission, but experienced a recurrence 1.5 years later; after refusing
chemotherapy, the patient was lost on follow-up in the final 10 months. On
presentation at the emergency room, the patient's serum blood urea nitrogen was
109.5 mg/dL, and creatinine was 11.1 mg/dL. All serological markers for secondary
glomerulonephritis were negative. Renal biopsy revealed 50% sclerosis of the
glomerulus and small dense lymphocyte infiltration of the tubulo-interstitium.
Similar cells were found on the gastric mucosa. Despite our recommendation for
chemotherapy, he refused all treatments except for hemodialysis, which was
maintained for 12 months until his death. This patient represents the first case
report of the renal involvement of MCL leading to end stage renal disease.
PMID- 22098708
TI - Bed rest or mobilization after rt-PA? A case-crossover study of factors
influencing clinical decision making in stroke services.
AB - BACKGROUND: Acute stroke management is a dynamic field. Treatment with
recombinant tissue plasminogen activator is standard care in Australia, but there
are no evidence-based practice guidelines about first out of bed activity
(mobilization) after recombinant tissue plasminogen activator. AIMS: To identify
factors influencing clinicians' decisions to delay or allow mobilization.
METHODS: Case-crossover design. Using hypothetical case vignettes, we explored
the factors that clinicians consider when deciding to first mobilize a patient
after recombinant tissue plasminogen activator. Acute stroke physicians and
nurses from Australian hospitals known to treat with recombinant tissue
plasminogen activator participated. Information about hospital recombinant tissue
plasminogen activator protocols and perceived benefits and harms of mobilization
after recombinant tissue plasminogen activator were also captured. RESULTS: Fifty
four clinicians, 52% senior nurses, and 48% senior physicians from all states of
Australia participated. Of the factors influencing decisions about mobilization
after recombinant tissue plasminogen activator, neurological decline (0.29;
confidence interval 0.12, 0.46; P = 0.001), neurological decline with symptomatic
intracerebral hemorrhage (0.41; confidence interval 0.24, 0.59; P < 0.0001),
infection of uncertain cause (0.32; confidence interval 0.14, 0.50; P = 0.001),
severe chest infection (0.35; confidence interval 0.16, 0.53; P = 0.0004), severe
stroke (0.29; confidence interval 0.12, 0.46; P = 0.001), drowsiness (0.47;
confidence interval 0.29, 0.63; P < 0.0001), and confusion (0.31; confidence
interval 0.15, 0.47; P = 0.0001) significantly influenced decisions. Falls risk
was a common concern (85%). CONCLUSION: Growing interest in development of clear
protocols that guide first mobilization after recombinant tissue plasminogen
activator prompted this study. We have identified factors that may influence
decisions about when to allow patients to mobilize after recombinant tissue
plasminogen activator. These, combined with emerging evidence of risks and
benefits of early mobilization, should help protocol development in the future.
PMID- 22098710
TI - Increase in periosteal angiogenesis through heat shock conditioning.
AB - OBJECTIVE: It is widely known that stress conditioning can protect
microcirculation and induce the release of vasoactive factors for a period of
several hours. Little, however, is known about the long-term effects of stress
conditioning on microcirculation, especially on the microcirculation of the
periosteum of the calvaria. For this reason, we used intravital fluorescence
microscopy to investigate the effects of heat shock priming on the
microcirculation of the periosteum over a period of several days. METHODS: Fifty
two Lewis rats were randomized into eight groups. Six groups underwent heat shock
priming of the periosteum of the calvaria at 42.5 degrees C, two of them (n = 8)
for 15 minutes, two (n = 8) for 25 minutes and two (n = 8) for 35 minutes. After
24 hours, a periosteal chamber was implanted into the heads of the animals of one
of each of the two groups mentioned above. Microcirculation and inflammatory
responses were studied repeatedly over a period of 14 days using intravital
fluorescence microscopy. The expression of heat shock protein (HSP) 70 was
examined by immunohistochemistry in three further groups 24 hours after a 15
minute (n = 5), a 25-minute (n = 5) or a 35-minute (n = 5) heat shock treatment.
Two groups that did not undergo priming were used as controls. One control group
(n = 8) was investigated by intravital microscopy and the other (n = 5) by
immunohistochemistry. RESULTS: During the entire observation period of 14 days,
the periosteal chambers revealed physiological microcirculation of the periosteum
of the calvaria without perfusion failures. A significant (p < 0.05) and
continuous increase in functional capillary density was noted from day 5 to day
14 after 25-minute heat shock priming. Whereas a 15-minute exposure did not lead
to an increase in functional capillary density, 35-minute priming caused a
significant but reversible perfusion failure in capillaries. Non-perfused
capillaries in the 35-minute treatment group were reperfused by day 10.
Immunohistochemistry demonstrated an increase in cytoprotective HSP70 expression
in the periosteum after a 15-minute and a 35-minute heat shock pretreatment when
compared with the control group. The level of HSP70 expression that was measured
in the periosteum after 25 minutes of treatment was significantly higher than the
levels observed after 15 or 35 minutes of heat shock exposure. CONCLUSION: A few
days after heat shock priming over an appropriate period of time, a continuous
increase in functional capillary density is seen in the periosteum of the
calvaria. This increase in perfusion appears to be the result of the induction of
angiogenesis.
PMID- 22098711
TI - AGA standards for gastroenterologists for performing and interpreting diagnostic
computed tomography colonography: 2011 update.
PMID- 22098709
TI - Microplate-based platform for combined chromatin and DNA methylation
immunoprecipitation assays.
AB - BACKGROUND: The processes that compose expression of a given gene are far more
complex than previously thought presenting unprecedented conceptual and
mechanistic challenges that require development of new tools. Chromatin
structure, which is regulated by DNA methylation and histone modification, is at
the center of gene regulation. Immunoprecipitations of chromatin (ChIP) and
methylated DNA (MeDIP) represent a major achievement in this area that allow
researchers to probe chromatin modifications as well as specific protein-DNA
interactions in vivo and to estimate the density of proteins at specific sites
genome-wide. Although a critical component of chromatin structure, DNA
methylation has often been studied independently of other chromatin events and
transcription. RESULTS: To allow simultaneous measurements of DNA methylation
with other genomic processes, we developed and validated a simple and easy-to-use
high throughput microplate-based platform for analysis of DNA methylation.
Compared to the traditional beads-based MeDIP the microplate MeDIP was more
sensitive and had lower non-specific binding. We integrated the MeDIP method with
a microplate ChIP assay which allows measurements of both DNA methylation and
histone marks at the same time, Matrix ChIP-MeDIP platform. We illustrated
several applications of this platform to relate DNA methylation, with chromatin
and transcription events at selected genes in cultured cells, human cancer and in
a model of diabetic kidney disease. CONCLUSION: The high throughput capacity of
Matrix ChIP-MeDIP to profile tens and potentially hundreds of different genomic
events at the same time as DNA methylation represents a powerful platform to
explore complex genomic mechanism at selected genes in cultured cells and in
whole tissues. In this regard, Matrix ChIP-MeDIP should be useful to complement
genome-wide studies where the rich chromatin and transcription database resources
provide fruitful foundation to pursue mechanistic, functional and diagnostic
information at genes of interest in health and disease.
PMID- 22098712
TI - Insulin resistance increases the occurrence of new cardiovascular events in
patients with manifest arterial disease without known diabetes. the SMART study.
AB - BACKGROUND: Insulin resistance is accompanied by a cluster of metabolic changes,
often referred to as metabolic syndrome. Metabolic syndrome is associated with an
increased cardiovascular risk in patients with manifest arterial disease. We
investigated whether insulin resistance is associated with an increased risk for
cardiovascular events in patients with manifest arterial disease without known
diabetes and whether this can be explained by the components of the metabolic
syndrome or by inflammation. METHODS: Prospective cohort study in 2611 patients
with manifest arterial disease without known diabetes. Homeostasis model of
insulin resistance (HOMA-IR) was used to quantify insulin resistance. The
relation of HOMA-IR with cardiovascular events (vascular death, myocardial
infarction or stroke) and all cause mortality was assessed with Cox regression
analysis. In additional models adjustments were performed for the single
components constituting the metabolic syndrome and for inflammation. RESULTS:
HOMA-IR increases with the number of metabolic syndrome components (mean HOMA-IR
+/- SD in groups with 0, 1, 2, 3, 4 and 5 metabolic syndrome components: 1.4 +/-
0.7; 1.8 +/- 1.2; 2.4 +/- 1.5; 3.1 +/- 1.8; 4.0 +/- 2.6; and 5.6 +/- 3.6
respectively). High HOMA-IR was independently associated with an increased risk
of cardiovascular events (tertile 2 vs. 1 HR 1.92; 95%CI 1.20-3.08) (tertile 3
vs.1 HR 1.78; 95%CI 1.10-2.89) and with all cause mortality (tertile 2 vs. 1 HR
1.80; 95%CI 1.04-3.10) (tertile 3 vs.1 HR 1.56; 95%CI 0.88-2.75). These relations
were not influenced by the individual components of metabolic syndrome or by
inflammation. CONCLUSIONS: In patients with manifest arterial disease without
known diabetes, insulin resistance increases with the number of metabolic
syndrome components, and elevated insulin resistance increases the risk of new
cardiovascular events.
PMID- 22098714
TI - Hospital-based injury data from level III institution in Cameroon: retrospective
analysis of the present registration system.
AB - BACKGROUND: Data on the epidemiology of trauma in Cameroon are scarce. Presently,
hospital records are still used as a primary source of injury data. It has been
shown that trauma registries could play a key role in providing basic data on
trauma. Our goal is to review the present emergency ward records for completeness
of data and provide an overview of injuries in the city of Limbe and the
surrounding area in the Southwest Region of Cameroon prior to the institution of
a formal registration system. METHODS: A retrospective review of Emergency Ward
logs in Limbe Hospital was conducted over one year. Records for all patients over
15 years of age were reviewed for 14 data points considered to be essential to a
basic trauma registry. Completeness of records was assessed and a descriptive
analysis of patterns and trends of trauma was performed. RESULTS: Injury-related
conditions represent 27% of all registered admissions in the casualty department.
Information on age, sex and mechanism of injury was lacking in 22% of cases.
Information on vital signs was present in 2% (respiratory rate) to 12% (blood
pressure on admission) of records. Patient disposition (admission, transfer,
discharge, or death) was available 42% of the time, whilst location of injury was
found in 84% of records. Road traffic injury was the most frequently recorded
mechanism (36%), with the type of vehicle specified in 54% and the type of
collision in only 22% of cases. Intentional injuries were the second most
frequent mechanism at 23%. CONCLUSION: The frequency of trauma found in this
context argues for further prevention and treatment efforts. The institution of a
formal registration system will improve the completeness of data and lead to
increased ability to evaluate the severity and subsequent public health
implications of injury in this region.
PMID- 22098715
TI - Development and piloting of the Fiji Injury Surveillance in Hospitals System
(TRIP Project-1).
AB - INTRODUCTION: Whilst more than 90% of injury related deaths are estimated to
occur in low-and-middle-income countries (LMICs), the epidemiology of fatal and
hospitalised injuries in Pacific Island Countries has received scant attention.
This study describes the development and piloting of a population-based trauma
registry in Fiji to address this gap in knowledge. METHODS: The Fiji Injury
Surveillance in Hospitals (FISH) system was an active surveillance system
designed to identify injuries resulting in death or a hospital admission in Viti
Levu, Fiji. During the pilot conducted over five months in 2005, Accident and
Emergency registers, admission folders and morgue registers from 8 of Viti Levu's
12 hospitals, and an additional 3 hospitals in other parts of the country were
reviewed by hospital staff and medical students to identify cases and extract a
minimum data set that included demographic factors; the mechanism, nature and
context of injury; substance use; and discharge outcomes. The system was audited
to identify and redress difficulties with data quality in a manner that also
supported local capacity development and training in injury surveillance and data
management. RESULTS: This pilot study demonstrated the potential to collect high
quality data on injuries that can pose a significant threat to life in Fiji using
a mechanism that also increased the capability of health professionals to
recognise the significance of injury as a public health issue. CONCLUSION: The
injury surveillance system piloted provides the opportunity to inform national
injury control strategies in Fiji and increase the capacity for injury prevention
and more focused research addressing risk factors in the local context.
PMID- 22098716
TI - Development of a quantification method for digoxin, a typical P-glycoprotein
probe in clinical and non-clinical studies, using high performance liquid
chromatography-tandem mass spectrometry: the usefulness of negative ionization
mode to avoid competitive adduct-ion formation.
AB - Highly sensitive and accurate liquid chromatography-tandem mass spectrometry
(LC/MS/MS) methods have been developed and validated for measuring digoxin (DGX),
a typical P-glycoprotein probe, in human plasma, rat plasma, and rat brain. We
extracted DGX and deuterium-labeled DGX (as internal standard) from sample fluids
under basic conditions using acetonitrile and sodium chloride-saturated 0.1 mol/L
sodium hydroxide. The upper organic layer was diluted with distilled water, and
the resulting solution was injected into an LC/MS/MS system in negative
ionization mode. Chromatographic separation was achieved on a C(18)-ODS column in
the gradient mobile phase, which comprised 0.05% (w/v) ammonium carbonate (pH
9.0) and methanol at a flow rate of 0.7 mL/min. Regardless of the type of
biological matrix, intra-day and inter-day validation tests demonstrated good
linearity of calibration curves within ranges of 0.1-10 ng/mL for plasma and 0.5
50 ng/g for rat brain and gave excellent accuracy and precision of quality
control samples at 4 concentration levels. Unlike existing methods, our approach
uses negative ionization to avoid competitive adduct formation of DGX. Our method
showed higher sensitivity and wider applicability to various types of biological
matrices than existing methods. Our method will support clinical and preclinical
investigation of in vivo P-glycoprotein functionality using DGX.
PMID- 22098717
TI - Capillary electrophoretic determination of DNA damage markers: content of 8
hydroxy-2'-deoxyguanosine and 8-nitroguanine in urine.
AB - A sensitive and low-cost analytical method has been developed to determine 8
hydroxy-2'-deoxyguanosine (8-OHdG) and 8-nitroguanine (8-NO(2)Gua) based on
capillary electrophoresis with amperometric detection (CE-AD) after solid phase
extraction (SPE). Under optimized condition, these two markers were well
separated from other components coexisting in urine, exhibiting a linear
calibration over the concentration range of 0.1-50.0 MUg/mL with the detection
limits ranging from 0.02 to 0.06 MUg/mL. The relative standard deviations (RSDs)
were in the range of 0.1-2.1% for peak area, 0.1-1.5% for migration time,
respectively. The average recovery and RSD were within the range of 100.0-108.0%
and 0.1-1.7%, respectively. It was found that the urinary contents of 8-OHdG and
8-NO(2)Gua in cancer patients were significantly higher than those in healthy
ones.
PMID- 22098718
TI - The siderophore-interacting protein YqjH acts as a ferric reductase in different
iron assimilation pathways of Escherichia coli.
AB - Siderophore-interacting proteins (SIPs), such as YqjH from Escherichia coli, are
widespread among bacteria and commonly associated with iron-dependent induction
and siderophore utilization. In this study, we show by detailed biochemical and
genetic analyses the reaction mechanism by which the YqjH protein is able to
catalyze the release of iron from a variety of iron chelators, including ferric
triscatecholates and ferric dicitrate, displaying the highest efficiency for the
hydrolyzed ferric enterobactin complex ferric (2,3-dihydroxybenzoylserine)(3).
Site-directed mutagenesis revealed that residues K55 and R130 of YqjH are crucial
for both substrate binding and reductase activity. The NADPH-dependent iron
reduction was found to proceed via single-electron transfer in a double
displacement-type reaction through formation of a transient flavosemiquinone. The
capacity to reduce substrates with extremely negative redox potentials, though at
low catalytic rates, was studied by displacing the native FAD cofactor with 5
deaza-5-carba-FAD, which is restricted to a two-electron transfer. In the
presence of the reconstituted noncatalytic protein, the ferric enterobactin
midpoint potential increased remarkably and partially overlapped with the
effective E(1) redox range. Concurrently, the observed molar ratios of generated
Fe(II) versus NADPH were found to be ~1.5-fold higher for hydrolyzed ferric
triscatecholates and ferric dicitrate than for ferric enterobactin. Further,
combination of a chromosomal yqjH deletion with entC single- and entC fes double
deletion backgrounds showed the impact of yqjH on growth during supplementation
with ferric siderophore substrates. Thus, YqjH enhances siderophore utilization
in different iron acquisition pathways, including assimilation of low-potential
ferric substrates that are not reduced by common cellular cofactors.
PMID- 22098720
TI - Super-resolution fluorescence microscopy as a tool to study the nanoscale
organization of chromosomes.
AB - Chromatin organization spans a wide range of structural complexity. Substructures
at the 10-200nm scale are poorly characterized, especially in living cells, due
to the limitations of electron microscopy and standard optical microscopy.
Recently developed super-resolution fluorescence microscopy methods represent an
exciting opportunity to access those substructures, and recent progress with
these techniques has yielded insights into chromatin organization at different
condensation stages. Recent studies have focused on confronting the challenges
that are specific to chromatin super-resolution imaging, such as the high packing
density of mitotic chromosomes and difficulties in interpreting interphase
chromatin images. Building on these first results and with ongoing rapid
technical advances in super-resolution fluorescence imaging there is great
potential to uncover new features with unprecedented detail.
PMID- 22098719
TI - Functional imaging of proteases: recent advances in the design and application of
substrate-based and activity-based probes.
AB - Proteases are enzymes that cleave peptide bonds in protein substrates. This
process can be important for regulated turnover of a target protein but it can
also produce protein fragments that then perform other functions. Because the
last few decades of protease research have confirmed that proteolysis is an
essential regulatory process in both normal physiology and in multiple disease
associated conditions, there has been an increasing interest in developing
methods to image protease activity. Proteases are also considered to be one of
the few 'druggable' classes of proteins and therefore a large number of small
molecule based inhibitors of proteases have been reported. These compounds serve
as a starting point for the design of probes that can be used to target active
proteases for imaging applications. Currently, several classes of fluorescent
probes have been developed to visualize protease activity in live cells and even
whole organisms. The two primary classes of protease probes make use of either
peptide/protein substrates or covalent inhibitors that produce a fluorescent
signal when bound to an active protease target. This review outlines some of the
most recent advances in the design of imaging probes for proteases. In
particular, it highlights the strengths and weaknesses of both substrate-based
and activity-based probes and their applications for imaging cysteine proteases
that are important biomarkers for multiple human diseases.
PMID- 22098721
TI - NOD2 gene variants and spontaneous bacterial peritonitis.
PMID- 22098722
TI - Might cholesterol sulfate deficiency contribute to the development of autistic
spectrum disorder?
AB - Autism is a condition characterized by impaired cognitive and social skills,
often associated with compromised immune function. There has been considerable
concern recently that the incidence of autism is alarmingly on the rise,
especially in Western nations, and environmental factors are increasingly
suspected to play a role. In this paper, we propose a novel hypothesis for a
principle cause of autism, namely insufficient supply of cholesterol sulfate to
the fetus during gestation and the infant postnatally. We hypothesize that main
contributory factors are insufficient sun exposure and insufficient dietary
sulfur, for both the mother and the affected child. A novel contribution is the
theory that endothelial nitric oxide synthase produces not only nitric oxide but
also sulfate, and that sulfate production is stimulated by sunlight. We further
hypothesize that the sulfur shortage manifests as an impaired immune response,
including an increased susceptibility to eczema and asthma. Proposed corrective
measures involve increased dietary sulfur intake for both the mother and the
child, and increased sun exposure.
PMID- 22098723
TI - High prevalence of gout with sleep apnea.
PMID- 22098724
TI - Adriamycin induced spermatogenesis defect is due to the reduction in epididymal
adipose tissue mass: a possible hypothesis.
AB - Adriamycin is an anthracycline antibiotic used as anticancer drug since past few
decades. Though effective against cancer, it is cardiotoxic, nephrotoxic,
hepatotoxic and also toxic for reproductive system. Although a number of
potential toxic mechanisms have been identified following exposure to adriamycin,
the major pathogenic mechanism appears to be the generation of toxic reactive
oxygen species (ROS). Animals treated with adriamycin have shown a decrease in
total sperm count. This implies that adriamycin impairs the process of
spermatogenesis. Epididymal white adipose tissue (EWAT) is necessary for normal
spermatogenesis, and decrease in the EWAT causes disturbance in spermatogenesis.
Factor X is an unknown molecule synthesized by EWAT that plays crucial role in
spermatogenesis. Adriamycin inhibits Kruppel-like factor 4 (KLF-4) and thus
downregulates the adipogenesis process needed to maintain the EWAT mass. Apart
form adipocytes, KLF-4 and peroxisome proliferator-activated receptor gamma (PPAR
gamma) are also found in spermatogonium and testis, implying its vital role in
spermatogenesis. Adriamycin treatment inhibits KLF-4 and thus PPAR-gamma in EWAT
and spermatogonium. Reduction of EWAT might cause a decrease in Factor X level.
Declining of Factor X level, KLF-4 and PPAR-gamma together will lead to
disturbance in spermatogenesis process.
PMID- 22098725
TI - Are SCN1A gene mutations responsible for genetic susceptibility to subacute
sclerosing panencephalitis?
AB - Dravet syndrome, characterized predominantly by myoclonus, has a striking
clinical resemblance to subacute sclerosing panencephalitis (SSPE). Patients with
Dravet syndrome develop significant mental decline with advancing age of affected
child like in SSPE. It is well established that SCN1A gene mutations are
associated with Dravet syndrome. Even periodic EEG complexes have been described
in Dravet syndrome. In addition to Dravet syndrome, several other types of acute
and subacute encephalopathic syndromes having clinical and
electroencephalographic resemblance to SSPE are associated with SCN1A gene
mutations. SSPE is a devastating progressive inflammatory disorder of the central
nervous system. It is caused by persistent infection of the brain by an aberrant
measles virus. Only a few of a vast number of measles infected pediatric
population develop SSPE. There are several reports describing presence of SSPE is
close relatives and it has been described previously in sibling and twin pairs. A
genetic susceptibility for development of SSPE is likely. In fact, a variety of
genetic abnormalities have already been described in patients with SSPE. It can
also be argued that because of striking clinical resemblance between Dravet and
various epileptic and encephalopathic syndromes associated with SCN1A gene
mutations and SSPE, SCN1A gene abnormalities may also be responsible for
susceptibility to SSPE in measles infected children.
PMID- 22098726
TI - The patterns of proximal attachments of the popliteus muscle: form and function.
AB - The proximal attachments of the popliteus muscle exhibit some variability in the
literature, leading to questions regarding function. The anatomic variability of
the proximal attachments of popliteus muscles in Thais was studied in order to
compare with the previous reported literature by carefully tracking its fibers
caudo-cephalically. The sites of the proximal attachments of popliteus muscles
found in this study were at the lateral femoral condyle (100%), the posterior
horn of the lateral meniscus (63%) and the fibular head (52.1%). Our result also
reveals the difference of the strength of the attachment at the lateral meniscus,
having some relationship with the attachment at the fibular head, which
corresponds with the concept of form and function.
PMID- 22098727
TI - Cine-PC MR in assessment of cerebrospinal fluid velocity in the aqueduct of the
midbrain correlated with intracranial pressure--initial study.
AB - We assessed the changes in cerebrospinal fluid (CSF) hydrodynamics caused by
barriers to CSF circulation, and determined the relationship between CSF velocity
and intracranial pressure in the aqueduct of the midbrain. This was determined by
correlating the CSF peak flow velocity with the intracranial pressure (ICP)
obtained from a lumbar puncture (LP) procedure. The CSF peak flow velocity was
measured by finger pulse-gated cine-phase contrast (PC) MR scan 8-12 hours after
LP was performed in 28 patients. All patients were divided into 2 groups based on
the directional patterns of the CSF net flow in the aqueduct of the midbrain over
one cardiac cycle. The CSF peak net velocity (V(net)) was then correlated with
ICP utilizing Pearson correlation analysis method, with significance difference
assigned at the 5% level. Routine MR scanning revealed no abnormal findings in
the brain when the direction of the CSF net flow is caudal. V(net) in the
aqueduct of the midbrain was correlated positively with ICP (y(V)=0.011+0.002
*(ICP), r=0.69, p<0.01). However, varying degrees of the hydrocephalus were
observed in those patients who demonstrated a cranial direction of the CSF net
flow. Our results indicate that non-invasive measurement of the CSF peak flow
with cine-PC MR imaging can be related to the change of CSF circulation caused by
the obstructions to the CSF circulation in the patients with various neurological
disorders. This unique method may be a substantially useful tool to assess the
changes in the ICP in the directional pattern.
PMID- 22098728
TI - Ciprofloxacin as a prophylactic agent against prostate cancer: a "two hit"
hypothesis.
AB - More evidence indicate that prostate inflammation can lead to prostate cancer
development. Prostate cancer affects elderly men. Prostate cancer prophylaxis is
an important issue because life expectancy is very long now. Ciprofloxacin is an
antibacterial agent used mainly in urinary tract infections and prostate
inflammation. This drug acts also against cancer cells by the inhibition of
topoisomerase II. These properties should allow it to inhibit the development of
prostate cancer. Firstly, ciprofloxacin can stop the acute and chronic prostate
inflammation which can lead to cancer development. Secondly, ciprofloxacin can
potentially kill prostate cancer cells in their early stage of development.
Ciprofloxacin accumulates mainly in the prostate after oral intake thus
ciprofloxacin seems to be a perfect candidate as a prophylactic agent.
PMID- 22098729
TI - Trafficking coordinate description of intracellular transport control of
signaling networks.
AB - Many cellular networks rely on the regulated transport of their components to
transduce extracellular information into precise intracellular signals. The
dynamics of these networks is typically described in terms of compartmentalized
chemical reactions. There are many important situations, however, in which the
properties of the compartments change continuously in a way that cannot naturally
be described by chemical reactions. Here, we develop an approach based on
transport along a trafficking coordinate to precisely describe these processes
and we apply it explicitly to the TGF-beta signal transduction network, which
plays a fundamental role in many diseases and cellular processes. The results of
this newly introduced approach accurately capture the distinct TGF-beta signaling
dynamics of cells with and without cancerous backgrounds and provide an avenue to
predict the effects of chemical perturbations in a way that closely recapitulates
the observed cellular behavior.
PMID- 22098730
TI - Coding design of positional information for robust morphogenesis.
AB - Robust positioning of cells in a tissue against unavoidable noises is important
for achieving normal and reproducible morphogenesis. The position in a tissue is
represented by morphogen concentrations, and cells read them to recognize their
spatial coordinates. From the engineering viewpoint, these positioning processes
can be regarded as an information coding. Organisms are conjectured to adopt good
coding designs with high reliability for a given number of available morphogen
species and their chemical properties. To answer, quantitatively, the questions
of how good coding is adopted, and subsequently when, where, and to what extent
each morphogen contributes to positioning, we need a way to evaluate the goodness
of coding. In this article, by introducing basic concepts of computer science, we
mathematically formulate coding processes in morphogen-dependent positioning, and
define some key concepts such as encoding, decoding, and positional information
and its precision. We demonstrate the best designs for pairs of encoding and
decoding rules, and show how those designs can be biologically implemented by
using some examples. We also propose a possible procedure of data analysis to
validate the coding optimality formulated here.
PMID- 22098731
TI - Noise underlies switching behavior of the bacterial flagellum.
AB - We report the switching behavior of the full bacterial flagellum system that
includes the filament and the motor in wild-type Escherichia coli cells. In
sorting the motor behavior by the clockwise bias, we find that the distributions
of the clockwise (CW) and counterclockwise (CCW) intervals are either exponential
or nonexponential with long tails. At low bias, CW intervals are exponentially
distributed and CCW intervals exhibit long tails. At intermediate CW bias (0.5)
both CW and CCW intervals are mainly exponentially distributed. A simple model
suggests that these two distinct switching behaviors are governed by the presence
of signaling noise within the chemotaxis network. Low noise yields exponentially
distributed intervals, whereas large noise yields nonexponential behavior with
long tails. These drastically different motor statistics may play a role in
optimizing bacterial behavior for a wide range of environmental conditions.
PMID- 22098732
TI - Native ultrastructure of the red cell cytoskeleton by cryo-electron tomography.
AB - Erythrocytes possess a spectrin-based cytoskeleton that provides elasticity and
mechanical stability necessary to survive the shear forces within the
microvasculature. The architecture of this membrane skeleton and the nature of
its intermolecular contacts determine the mechanical properties of the skeleton
and confer the characteristic biconcave shape of red cells. We have used cryo
electron tomography to evaluate the three-dimensional topology in intact,
unexpanded membrane skeletons from mouse erythrocytes frozen in physiological
buffer. The tomograms reveal a complex network of spectrin filaments converging
at actin-based nodes and a gradual decrease in both the density and the thickness
of the network from the center to the edge of the cell. The average contour
length of spectrin filaments connecting junctional complexes is 46 +/- 15 nm,
indicating that the spectrin heterotetramer in the native membrane skeleton is a
fraction of its fully extended length (~190 nm). Higher-order oligomers of
spectrin were prevalent, with hexamers and octamers seen between virtually every
junctional complex in the network. Based on comparisons with expanded skeletons,
we propose that the oligomeric state of spectrin is in a dynamic equilibrium that
facilitates remodeling of the network as the cell changes shape in response to
shear stress.
PMID- 22098733
TI - Quantal regulation and exocytosis of platelet dense-body granules.
AB - This study reports how quantal size, or the quantity of chemical messengers
within a storage granule, is regulated in platelet dense-body granules via
dynamic adaption of granule size according to changing levels of granule
contents. Mechanistic studies using carbon-fiber microelectrode fast-scan cyclic
voltammetry and amperometry methods correlated with transmission electron
microscopy analysis reveal the impact of granule structural changes on granular
content secretion kinetics and highlight the dynamic interplay between soluble
granule contents and membrane components in exocytosis. Despite the distinct
chemical profile of platelet dense-body granules, these secretory granules act
according to general biochemical/biophysical phenomena using charge-charge
interactions to sequester chemical messengers and employ known conserved
exocytotic machinery to deliver them; therefore, the mechanistic information
obtained herein further advances the general understanding of exocytosis while
revealing fundamental details about blood platelets.
PMID- 22098734
TI - Single cell analysis of ligand binding and complex formation of interleukin-4
receptor subunits.
AB - Interleukin-4 (IL-4) is an important class I cytokine involved in adaptive
immunity. IL-4 binds with high affinity to the single-pass transmembrane receptor
IL-4Ralpha. Subsequently, IL-4Ralpha/IL-4 is believed to engage a second receptor
chain, either IL-2Rgamma or IL-13Ralpha1, to form type I or II receptor
complexes, respectively. This ternary complex formation then triggers downstream
signaling via intracellular Janus kinases bound to the cytoplasmic receptor
tails. Here, we study the successive steps of complex formation at the single
cell level with confocal fluorescence imaging and correlation spectroscopy. We
characterize binding and signaling of fluorescently labeled IL-4 by flow
cytometry of IL-4-dependent BaF3 cells. The affinity to ectopically expressed IL
4Ralpha was then measured by single-color fluorescence correlation spectroscopy
in adherent HEK293T cells that express the components of the type II IL-4R but
not type I. Finally, IL-4-induced complex formation was tested by dual-color
fluorescence cross-correlation spectroscopy. The data provide evidence for
codiffusion of IL-4-A647 bound IL-4Ralpha and the type II subunit IL-13Ralpha1
fused to enhanced green fluorescent protein, whereas type I complexes containing
IL-2Rgamma and JAK3 were not detected at the cell surface. This behavior may
reflect hitherto undefined differences in the mode of receptor activation between
type I (lymphoid) and type II (epithelial) receptor expressing cells.
PMID- 22098735
TI - How does stochastic ryanodine receptor-mediated Ca leak fail to initiate a Ca
spark?
AB - Spontaneous calcium (Ca) sparks are initiated by single ryanodine receptor (RyR)
opening. Once one RyR channel opens, it elevates local [Ca] in the cleft space
([Ca](Cleft)), which opens other RyR channels in the same Ca release unit (CaRU)
via Ca-induced Ca-release. Experiments by Zima et al. (J. Physiol. 588:4743-4757,
2010) demonstrate that spontaneous Ca sparks occur only when intrasarcoplasmic
reticulum (SR) [Ca] ([Ca](SR)) is above a threshold level, but that RyR-mediated
SR Ca leak exists without Ca sparks well below this threshold [Ca](SR). We
examine here how single RyR opening at lower [Ca](SR) can fail to recruit Ca
sparks at a CaRU, while still contributing to SR Ca leak. We assess this using a
physiologically detailed mathematical model of junctional SR Ca release in which
RyR gating is regulated by [Ca](SR) and [Ca](Cleft). We find that several factors
contribute to the failure of Ca sparks as [Ca](SR) declines: 1), lower [Ca](SR)
reduces driving force and thus limits local [Ca](Cleft) achieved and the rate of
rise during RyR opening; 2), low [Ca](SR) limits RyR open time (tau(O)), which
further reduces local [Ca](Cleft) attained; 3), low tau(O) and fast [Ca](Cleft)
dissipation after RyR closure shorten the opportunity for neighboring RyR
activation; 4), at low [Ca](SR), the RyR exhibits reduced [Ca](Cleft)
sensitivity. We conclude that all of these factors conspire to reduce the
probability of Ca sparks as [Ca](SR) declines, despite continued RyR-mediated Ca
leak. In addition, these same factors explain the much lower efficacy of L-type
Ca channel opening to trigger local SR Ca release at low [Ca](SR) during
excitation-contraction coupling. Conversely, all of these factors are
fundamentally important for increasing the propensity for pro-arrhythmic Ca
sparks and waves in cardiac myocytes at high [Ca](SR).
PMID- 22098736
TI - Free energy simulations of ligand binding to the aspartate transporter Glt(Ph).
AB - Glutamate/Aspartate transporters cotransport three Na(+) and one H(+) ions with
the substrate and countertransport one K(+) ion. The binding sites for the
substrate and two Na(+) ions have been observed in the crystal structure of the
archeal homolog Glt(Ph), while the binding site for the third Na(+) ion has been
proposed from computational studies and confirmed by experiments. Here we perform
detailed free energy simulations of Glt(Ph), giving a comprehensive
characterization of the substrate and ion binding sites, and calculating their
binding free energies in various configurations. Our results show unequivocally
that the substrate binds after the binding of two Na(+) ions. They also shed
light into Asp/Glu selectivity of Glt(Ph), which is not observed in eukaryotic
glutamate transporters.
PMID- 22098737
TI - GluN1-specific redox effects on the kinetic mechanism of NMDA receptor
activation.
AB - NMDA receptors are glutamate-activated ion channel complexes central to the
functioning of the mammalian nervous system. Opening of the NMDA receptor ion
channel pore is initiated by agonist-induced conformational changes in the
extracellular ligand-binding domain (LBD) but the dynamic mechanism of this
process remains unresolved. We studied how a disulfide bond in the obligatory
GluN1 subunit-the sole site of redox modulation in NMDA receptors-controls this
activation gating mechanism. This disulfide bond is located in the hinge region
of the LBD, and presumably constrains agonist-induced cleft closure of the
clamshell-like LBD. Elimination of this bond, by either DTT-mediated reduction or
mutagenesis, enhances gating efficiency such that pore opening now occurs with
higher frequency and longer duration. The most prominent effect was to shift
opening modes to long duration openings reminiscent of a high P(o) gating mode
that the NMDA receptor exhibits under ambient oxidizing conditions. In terms of
preopen gating steps, elimination of this bond has effects only on the fast
gating step consistent with this step being GluN1-specific and reflecting GluN1
gating movements immediately before channel opening. Overall, our results suggest
that the dynamics of the GluN1 LBD have strong effects on late pore opening steps
including regulating the duration of pore opening. This redox-mediated gating
modulation could be an underlying mechanism of NMDA receptor malfunction in redox
dependent disease states and presents a potential target of pharmacologic action.
PMID- 22098738
TI - Simulations of the alternating access mechanism of the sodium symporter Mhp1.
AB - Sodium coupled cotransporters of the five-helix inverted repeat (5HIR)
superfamily use an alternating access mechanism to transport a myriad of small
molecules across the cell membrane. One of the primary steps in this mechanism is
the conformational transition from a state poised to bind extracellular
substrates to a state that is competent to deliver substrate to the cytoplasm.
Here, we construct a coarse-grained model of the 5HIR benzylhydantoin transporter
Mhp1 that incorporates experimental structures of the outward- and inward-open
states to investigate the mechanism of this conformational change. Using the
weighted ensemble path-sampling method, we rigorously sample the outward- to
inward-facing transition path ensemble. The transition path ensemble reveals a
heterogeneous set of pathways connecting the two states and identifies two modes
of transport: one consistent with a strict alternating access mechanism and
another where decoupling of the inner and outer gates causes the transient
formation of a continuous permeation pathway through the transporter. We also
show that the conformational switch between the outward- and inward-open states
results from rigid body motions of the hash motif relative to the substrate
bundle, supporting the rocking bundle hypothesis. Finally, our methodology
provides the groundwork for more chemically detailed investigations of the
alternating mechanism.
PMID- 22098739
TI - Tryptophan scanning mutagenesis of the first transmembrane domain of the innexin
Shaking-B(Lethal).
AB - The channel proteins of gap junctions are encoded by two distinct gene families,
connexins, which are exclusive to chordates, and innexins/pannexins, which are
found throughout the animal kingdom. Although the relationship between the
primary structure and function of the vertebrate connexins has been relatively
well studied, there are, to our knowledge, no structure-function analyses of
invertebrate innexins. In the first such study, we have used tryptophan scanning
to probe the first transmembrane domain (M1) of the Drosophila innexin Shaking
B(Lethal), which is a component of rectifying electrical synapses in the Giant
Fiber escape neural circuit. Tryptophan was substituted sequentially for 16 amino
acids within M1 of Shaking-B(Lethal). Tryptophan insertion at every fourth
residue (H27, T31, L35, and S39) disrupted gap junction function. The
distribution of these sites is consistent with helical secondary structure and
identifies the face of M1 involved in helix-helix interactions. Tryptophan
substitution at several sites in M1 altered channel properties in a variety of
ways. Changes in sensitivity to transjunctional voltage (Vj) were common and one
mutation (S39W) induced sensitivity to transmembrane voltage (Vm). In addition,
several mutations induced hemichannel activity. These changes are similar to
those observed after substitutions within the transmembrane domains of connexins.
PMID- 22098740
TI - Measurement of lipid nanodomain (raft) formation and size in
sphingomyelin/POPC/cholesterol vesicles shows TX-100 and transmembrane helices
increase domain size by coalescing preexisting nanodomains but do not induce
domain formation.
AB - Mixtures of unsaturated lipids, sphingolipids, and cholesterol form coexisting
liquid-disordered and sphingolipid and cholesterol-rich liquid-ordered (Lo)
phases in water. The detergent Triton X-100 does not readily solubilize Lo
domains, but does solubilize liquid-disordered domains, and is commonly used to
prepare detergent-resistant membranes from cells and model membranes. However, it
has been proposed that in membranes with mixtures of sphingomyelin (SM), 1
palmitoyl 2-oleoyl phosphatidylcholine (POPC), and cholesterol Triton X-100 may
induce Lo domain formation, and therefore detergent-resistant membranes may not
reflect the presence of preexisting domains. To examine this hypothesis, the
effect of Triton on Lo domain formation was measured in SM/POPC/cholesterol
vesicles. Nitroxide quenching methods that can detect ordered nanodomains with
radii >12 A showed that in the absence of Triton X-100 this mixture formed
ordered state domains that melt with a midpoint (= T(mid)) at ~45 degrees C.
However, T(mid) was lower when detected using various fluorescence resonance
energy transfer (FRET) pairs. Furthermore, the T(mid) value was Ro dependent, and
decreased as Ro increased. Because FRET can only readily detect domains with
radii >Ro, this result can be explained by domain radii that are close to Ro and
decrease as temperature increases. An analysis of FRET and quenching data
suggests that nanodomain radius gradually decreases from >=150 A to <40 A as
temperature increases from 10 to 45 degrees C. Interestingly, the presence of
Triton X-100 or a transmembrane-type peptide did not stabilize ordered state
formation when detected by nitroxide quenching, i.e., did not increase T(mid).
However, FRET-detected T(mid) did increase in the presence of Triton X-100 or a
transmembrane peptide, indicating that both increased domain size. Controls
showed that the results could not be accounted for by probe-induced
perturbations. Thus, SM/POPC/cholesterol, a mixture similar to that in the outer
leaflet of plasma membranes, forms nanodomains at physiological temperatures, and
TX-100 does not induce domain formation or increase the fraction of the bilayer
in the ordered state, although it does increase domain size by coalescing
preexisting domains.
PMID- 22098741
TI - Membrane-proximal external HIV-1 gp41 motif adapted for destabilizing the highly
rigid viral envelope.
AB - Electron microscopy structural determinations suggest that the membrane-proximal
external region (MPER) of glycoprotein 41 (gp41) may associate with the HIV-1
membrane interface. It is further proposed that MPER-induced disruption and/or
deformation of the lipid bilayer ensue during viral fusion. However, it is
predicted that the cholesterol content of this membrane (~45 mol %) will act
against MPER binding and restructuring activity, in agreement with alternative
structural models proposing that the MPER constitutes a gp41 ectodomain component
that does not insert into the viral membrane. Here, using MPER-based peptides, we
test the hypothesis that cholesterol impedes the membrane association and
destabilizing activities of this gp41 domain. To that end, partitioning and
leakage assays carried out in lipid vesicles were combined with x-ray
reflectivity and grazing-incidence diffraction studies of monolayers. CpreTM, a
peptide combining the carboxyterminal MPER sequence with aminoterminal residues
of the transmembrane domain, bound and destabilized effectively cholesterol
enriched membranes. Accordingly, virion incubation with this peptide inhibited
cell infection potently but nonspecifically. Thus, CpreTM seems to mimic the
envelope-perturbing function of the MPER domain and displays antiviral activity.
As such, we infer that CpreTM bound to cholesterol-enriched membranes would
represent a relevant target for anti-HIV-1 immunogen and inhibitor development.
PMID- 22098742
TI - A conformational transition in the myosin VI converter contributes to the
variable step size.
AB - Myosin VI (MVI) is a dimeric molecular motor that translocates backwards on actin
filaments with a surprisingly large and variable step size, given its short lever
arm. A recent x-ray structure of MVI indicates that the large step size can be
explained in part by a novel conformation of the converter subdomain in the
prepowerstroke state, in which a 53-residue insert, unique to MVI, reorients the
lever arm nearly parallel to the actin filament. To determine whether the
existence of the novel converter conformation could contribute to the step-size
variability, we used a path-based free-energy simulation tool, the string method,
to show that there is a small free-energy difference between the novel converter
conformation and the conventional conformation found in other myosins. This
result suggests that MVI can bind to actin with the converter in either
conformation. Models of MVI/MV chimeric dimers show that the variability in the
tilting angle of the lever arm that results from the two converter conformations
can lead to step-size variations of ~12 nm. These variations, in combination with
other proposed mechanisms, could explain the experimentally determined step-size
variability of ~25 nm for wild-type MVI. Mutations to test the findings by
experiment are suggested.
PMID- 22098743
TI - Millisecond-scale biochemical response to change in strain.
AB - Muscle fiber contraction involves the cyclical interaction of myosin cross
bridges with actin filaments, linked to hydrolysis of ATP that provides the
required energy. We show here the relationship between cross-bridge states, force
generation, and Pi release during ramp stretches of active mammalian skeletal
muscle fibers at 20 degrees C. The results show that force and Pi release respond
quickly to the application of stretch: force rises rapidly, whereas the rate of
Pi release decreases abruptly and remains low for the duration of the stretch.
These measurements show that biochemical change on the millisecond timescale
accompanies the mechanical and structural responses in active muscle fibers. A
cross-bridge model is used to simulate the effect of stretch on the distribution
of actomyosin cross-bridges, force, and Pi release, with explicit inclusion of
ATP, ADP, and Pi in the biochemical states and length-dependence of transitions.
In the simulation, stretch causes rapid detachment and reattachment of cross
bridges without release of Pi or ATP hydrolysis.
PMID- 22098744
TI - Substrate stiffness increases twitch power of neonatal cardiomyocytes in
correlation with changes in myofibril structure and intracellular calcium.
AB - During neonatal development, there is an increase in myocardial stiffness that
coincides with an increase in the contractility of the heart. In vitro assays
have shown that substrate stiffness plays a role in regulating the twitch forces
produced by immature cardiomyocytes. However, its effect on twitch power is
unclear due to difficulties in measuring the twitch velocity of cardiomyocytes.
Here, we introduce what we consider a novel approach to quantify twitch power by
combining the temporal resolution of optical line scanning with the subcellular
force resolution of micropost arrays. Using this approach, twitch power was found
to be greater for cells cultured on stiffer posts, despite having lower twitch
velocities. The increased power was attributed in part to improved myofibril
structure (increased sarcomere length and Z-band width) and intracellular calcium
levels. Immunofluorescent staining of alpha-actin revealed that cardiomyocytes
had greater sarcomere length and Z-band width when cultured on stiffer arrays.
Moreover, the concentration of intracellular calcium at rest and its rise with
each twitch contraction was greater for cells on the stiffer posts. Altogether,
these findings indicate that cardiomyocytes respond to substrate stiffness with
biomechanical and biochemical changes that lead to an increase in cardiac
contractility.
PMID- 22098745
TI - Nonspecific transcription-factor-DNA binding influences nucleosome occupancy in
yeast.
AB - Quantitative understanding of the principles regulating nucleosome occupancy on a
genome-wide level is a central issue in eukaryotic genomics. Here, we address
this question using budding yeast, Saccharomyces cerevisiae, as a model organism.
We perform a genome-wide computational analysis of the nonspecific transcription
factor (TF)-DNA binding free-energy landscape and compare this landscape with
experimentally determined nucleosome-binding preferences. We show that DNA
regions with enhanced nonspecific TF-DNA binding are statistically significantly
depleted of nucleosomes. We suggest therefore that the competition between TFs
with histones for nonspecific binding to genomic sequences might be an important
mechanism influencing nucleosome-binding preferences in vivo. We also predict
that poly(dA:dT) and poly(dC:dG) tracts represent genomic elements with the
strongest propensity for nonspecific TF-DNA binding, thus allowing TFs to
outcompete nucleosomes at these elements. Our results suggest that nonspecific TF
DNA binding might provide a barrier for statistical positioning of nucleosomes
throughout the yeast genome. We predict that the strength of this barrier
increases with the concentration of DNA binding proteins in a cell. We discuss
the connection of the proposed mechanism with the recently discovered pathway of
active nucleosome reconstitution.
PMID- 22098746
TI - A theoretical model for the dynamic structure of hepatitis B nucleocapsid.
AB - The genomic material of hepatitis B virus (HBV) is confined within a fenestrated
nucleocapsid consisting of 240 identical copies of the capsid protein, which has
a rigid core and a positively charged and highly flexible C-terminal domain
(CTD). Although previous mutagenesis studies have demonstrated the importance of
the CTD in viral RNA packaging and reverse transcription, the microscopic
structure of the CTD and its interaction with encapsidated nucleic acids at
various stages of viral maturation remain poorly understood. Here, we present a
theoretical analysis of the radial distributions of the CTD chains and nucleic
acids in the hepatitis B virus nucleocapsid at the beginning and final stages of
viral reverse transcription based on classical density functional theory and a
coarse-gained model for the pertinent biomolecules. We find that a significant
portion of the CTD is exposed at the surface of the RNA-containing immature
nucleocapsid and that the CTD is mostly confined within the DNA-containing mature
nucleocapsid. Large accumulation of cations is predicted inside both immature and
mature nucleocapsids. The theoretical results provide new insights into the
molecular mechanism of CTD regulation of viral reverse transcription and
nucleocapsid trafficking during various stages of the viral replication
processes.
PMID- 22098747
TI - Experimentally derived structural constraints for amyloid fibrils of wild-type
transthyretin.
AB - Transthyretin (TTR) is a largely beta-sheet serum protein responsible for
transporting thyroxine and vitamin A. TTR is found in amyloid deposits of
patients with senile systemic amyloidosis. TTR mutants lead to familial
amyloidotic polyneuropathy and familial amyloid cardiomyopathy, with an earlier
age of onset. Studies of amyloid fibrils of familial amyloidotic polyneuropathy
mutant TTR suggest a structure similar to the native state with only a simple
opening of a beta-strand-loop-strand region exposing the two main beta-sheets of
the protein for fibril elongation. However, we find that the wild-type TTR
sequence forms amyloid fibrils that are considerably different from the
previously suggested amyloid structure. Using protease digestion with mass
spectrometry, we observe the amyloid core to be primarily composed of the C
terminal region, starting around residue 50. Solid-state NMR measurements prove
that TTR differs from other pathological amyloids in not having an in-register
parallel beta-sheet architecture. We also find that the TTR amyloid is incapable
of binding thyroxine as monitored by either isothermal calorimetry or 1,8
anilinonaphthalene sulfonate competition. Taken together, our experiments are
consistent with a significantly different configuration of the beta-sheets
compared to the previously suggested structure.
PMID- 22098748
TI - Spontaneous formation of twisted Abeta(16-22) fibrils in large-scale molecular
dynamics simulations.
AB - Protein aggregation is associated with fatal neurodegenerative diseases,
including Alzheimer's and Parkinson's. Mapping out kinetics along the aggregation
pathway could provide valuable insights into the mechanisms that drive
oligomerization and fibrillization, but that is beyond the current scope of
computational research. Here we trace out the full kinetics of the spontaneous
formation of fibrils by 48 Abeta(16-22) peptides, following the trajectories in
molecular detail from an initial random configuration to a final configuration of
twisted protofilaments with cross-beta-structure. We accomplish this by
performing large-scale molecular-dynamics simulations based on an implicit
solvent, intermediate-resolution protein model, PRIME20. Structural details such
as the intersheet distance, perfectly antiparallel beta-strands, and
interdigitating side chains analogous to a steric zipper interface are explained
by and in agreement with experiment. Two characteristic fibrillization mechanisms
- nucleation/templated growth and oligomeric merging/structural rearrangement -
emerge depending on the temperature.
PMID- 22098749
TI - Kinetics of nanochain formation in a simplified model of amelogenin
biomacromolecules.
AB - We show that the kinetics of nanochain formation of amelogenin molecules is well
described by a combination of translational and rotational diffusion of a
simplified anisotropic bipolar model consisting of hydrophobic spherical colloid
particles and a point charge located on each particle surface. The colloid
particles interact via a standard depletion attraction whereas the point charges
interact through a screened Coulomb repulsion. We study the kinetics via a
Brownian dynamics simulation of both translational and rotational motions and
show that the anisotropy brought in by the charge dramatically affects the
kinetic pathway of cluster formation and our simple model captures the main
features of the experimental observations.
PMID- 22098750
TI - Assembly of the major light-harvesting complex II in lipid nanodiscs.
AB - Self-aggregation of isolated plant light-harvesting complexes (LHCs) upon
detergent extraction is associated with fluorescence quenching and is used as an
in vitro model to study the photophysical processes of nonphotochemical quenching
(NPQ). In the NPQ state, in vivo induced under excess solar light conditions,
harmful excitation energy is safely dissipated as heat. To prevent self
aggregation and probe the conformations of LHCs in a lipid environment devoid
from detergent interactions, we assembled LHCII trimer complexes into lipid
nanodiscs consisting of a bilayer lipid matrix surrounded by a membrane scaffold
protein (MSP). The LHCII nanodiscs were characterized by fluorescence
spectroscopy and found to be in an unquenched, fluorescent state. Remarkably, the
absorbance spectra of LHCII in lipid nanodiscs show fine structure in the
carotenoid and Q(y) region that is different from unquenched, detergent
solubilized LHCII but similar to that of self-aggregated, quenched LHCII in low
detergent buffer without magnesium ions. The nanodisc data presented here suggest
that 1), LHCII pigment-protein complexes undergo conformational changes upon
assembly in nanodiscs that are not correlated with downregulation of its light
harvesting function; and 2), these effects can be separated from quenching and
aggregation-related phenomena. This will expand our present view of the
conformational flexibility of LHCII in different microenvironments.
PMID- 22098751
TI - Molecular simulation uncovers the conformational space of the lambda Cro dimer in
solution.
AB - The significant variation among solved structures of the lambda Cro dimer
suggests its flexibility. However, contacts in the crystal lattice could have
stabilized a conformation which is unrepresentative of its dominant solution
form. Here we report on the conformational space of the Cro dimer in solution
using replica exchange molecular dynamics in explicit solvent. The simulated
ensemble shows remarkable correlation with available x-ray structures. Network
analysis and a free energy surface reveal the predominance of closed and semi
open dimers, with a modest barrier separating these two states. The fully open
conformation lies higher in free energy, indicating that it requires
stabilization by DNA or crystal contacts. Most NMR models are found to be
unstable conformations in solution. Intersubunit salt bridging between Arg(4) and
Glu(53) during simulation stabilizes closed conformations. Because a semi-open
state is among the low-energy conformations sampled in simulation, we propose
that Cro-DNA binding may not entail a large conformational change relative to the
dominant dimer forms in solution.
PMID- 22098752
TI - Improving the physical realism and structural accuracy of protein models by a two
step atomic-level energy minimization.
AB - Most protein structural prediction algorithms assemble structures as reduced
models that represent amino acids by a reduced number of atoms to speed up the
conformational search. Building accurate full-atom models from these reduced
models is a necessary step toward a detailed function analysis. However, it is
difficult to ensure that the atomic models retain the desired global topology
while maintaining a sound local atomic geometry because the reduced models often
have unphysical local distortions. To address this issue, we developed a new
program, called ModRefiner, to construct and refine protein structures from
Calpha traces based on a two-step, atomic-level energy minimization. The main
chain structures are first constructed from initial Calpha traces and the side
chain rotamers are then refined together with the backbone atoms with the use of
a composite physics- and knowledge-based force field. We tested the method by
performing an atomic structure refinement of 261 proteins with the initial models
constructed from both ab initio and template-based structure assemblies. Compared
with other state-of-art programs, ModRefiner shows improvements in both global
and local structures, which have more accurate side-chain positions, better
hydrogen-bonding networks, and fewer atomic overlaps. ModRefiner is freely
available at http://zhanglab.ccmb.med.umich.edu/ModRefiner.
PMID- 22098753
TI - Subdiffraction-limit study of Kaede diffusion and spatial distribution in live
Escherichia coli.
AB - Photoactivation localization microscopy (PALM) is used to study the spatial
distribution and diffusion of single copies of the protein Kaede in the cytoplasm
of live Escherichia coli under moderate growth conditions (67 min doubling time).
The spatial distribution of Kaede is uniform within the cytoplasm. The
cytoplasmic radius of 380 +/- 30 nm varies little from cell to cell. Single
particle tracking using 4 ms exposure times reveals negatively curved plots of
mean-square displacement versus time. A detailed comparison with Monte Carlo
simulations in a spherocylindrical volume shows that the curvature can be
quantitatively understood in terms of free diffusion within a confining volume.
The mean diffusion coefficient across cells is = 7.3 +/- 1.1 MUm(2).s(
1), consistent with a homotetrameric form of Kaede. The distribution of squared
displacements along the long axis for individual Kaede molecules is consistent
with homogeneous diffusion. However, for longer cells, a spatial map of one-step
estimates of the diffusion coefficient along x suggests that diffusion is ~20-40%
faster within nucleoids than in the ribosome-rich region lying between nucleoid
lobes at the cell mid-plane. Fluorescence recovery after photobleaching yielded
= 8.3 +/- 1.6 MUm(2).s(-1), in agreement with the single-particle
tracking results.
PMID- 22098754
TI - Two-color STED microscopy of living synapses using a single laser-beam pair.
AB - The advent of superresolution microscopy has opened up new research opportunities
into dynamic processes at the nanoscale inside living biological specimens. This
is particularly true for synapses, which are very small, highly dynamic, and
embedded in brain tissue. Stimulated emission depletion (STED) microscopy, a
recently developed laser-scanning technique, has been shown to be well suited for
imaging living synapses in brain slices using yellow fluorescent protein as a
single label. However, it would be highly desirable to be able to image
presynaptic boutons and postsynaptic spines, which together form synapses, using
two different fluorophores. As STED microscopy uses separate laser beams for
fluorescence excitation and quenching, incorporation of multicolor imaging for
STED is more difficult than for conventional light microscopy. Although two-color
schemes exist for STED microscopy, these approaches have several drawbacks due to
their complexity, cost, and incompatibility with common labeling strategies and
fluorophores. Therefore, we set out to develop a straightforward method for two
color STED microscopy that permits the use of popular green-yellow fluorescent
labels such as green fluorescent protein, yellow fluorescent protein, Alexa Fluor
488, and calcein green. Our new (to our knowledge) method is based on a single
excitation/STED laser-beam pair to simultaneously excite and quench pairs of
these fluorophores, whose signals can be separated by spectral detection and
linear unmixing. We illustrate the potential of this approach by two-color
superresolution time-lapse imaging of axonal boutons and dendritic spines in
living organotypic brain slices.
PMID- 22098755
TI - Replacement of C-terminal histidines uncouples membrane insertion and
translocation in diphtheria toxin T-domain.
AB - The translocation (T) domain plays a key role in the action of diphtheria toxin
and is responsible for transferring the N-terminus-attached catalytic domain
across the endosomal membrane into the cytosol in response to acidification. The
T-domain undergoes a series of pH-triggered conformational changes that take
place in solution and on the membrane interface, and ultimately result in
transbilayer insertion and N-terminus translocation. Structure-function studies
along this pathway have been hindered because the protein population occupies
multiple conformations at the same time. Here we report that replacement of the
three C-terminal histidine residues, H322, H323, and H372, in triple-R or triple
Q mutants prevents effective translocation of the N-terminus. Introduction of
these mutations in the full-length toxin results in decrease of its potency. In
the context of isolated T-domain, these mutations cause loss of characteristic
conductance in planar bilayers. Surprisingly, these mutations do not affect
general folding in solution, protein interaction with the membranes, insertion of
the consensus transmembrane helical hairpin TH8-9, or the ability of the T-domain
to destabilize vesicles to cause leakage of fluorescent markers. Thus, the C
terminal histidine residues are critical for the transition from the inserted
intermediate state to the open-channel state in the insertion/translocation
pathway of the T-domain.
PMID- 22098756
TI - Structural diversity and changes in conformational equilibria of biantennary
complex-type N-glycans in water revealed by replica-exchange molecular dynamics
simulation.
AB - Structural diversity of N-glycans is essential for specific binding to their
receptor proteins. To gain insights into structural and dynamic aspects in atomic
detail not normally accessible by experiment, we here perform extensive molecular
dynamics simulations of N-glycans in solution using the replica-exchange method.
The simulations show that five distinct conformers exist in solution for the N
glycans with and without bisecting GlcNAc. Importantly, the population sizes of
three of the conformers are drastically reduced upon the introduction of
bisecting GlcNAc. This is caused by a local hydrogen-bond rearrangement proximal
to the bisecting GlcNAc. These simulations show that an N-glycan modification
like the bisecting GlcNAc selects a certain "key" (or group of "keys") within the
framework of the "bunch of keys" mechanism. Hence, the range of specific glycan
protein interactions and affinity changes need to be understood in terms of the
structural diversity of glycans and the alteration of conformational equilibria
by core modification.
PMID- 22098757
TI - The coupled proton transport in the ClC-ec1 Cl(-)/H(+) antiporter.
AB - Using a reactive molecular dynamics simulation methodology, the free energy
barrier for water-mediated proton transport between the two proton gating
residues Glu(203) and Glu(148) in the ClC-ec1 antiporter, including the Grotthuss
mechanism of proton hopping, was calculated. Three different chloride-binding
states, with 1), both the central and internal Cl(-), 2), the central Cl(-) only,
and 3), the internal Cl(-) only, were considered and the coupling to the H(+)
transport studied. The results show that both the central and internal Cl(-) are
essential for the proton transport from Glu(203) to Glu(148) to have a favorite
free energy driving force. The rotation of the Glu(148) side chain was also found
to be independent of the internal chloride binding state. These results emphasize
the importance of the 2:1 stoichiometry of this well-studied Cl(-)/H(+)
antiporter.
PMID- 22098758
TI - An active photoreceptor intermediate revealed by in situ photoirradiated solid
state NMR spectroscopy.
AB - A novel, to our knowledge, in situ photoirradiation system for solid-state NMR
measurements is improved and demonstrated to successfully identify the M
photointermediate of pharaonis phoborhodopsin (ppR or sensory rhodopsin II), that
of the complex with transducer (ppR/pHtrII), and T204A mutant embedded in a model
membrane. The (13)C NMR signals from [20-(13)C]retinal-ppR and ppR/pHtrII
revealed that multiple M-intermediates with 13-cis, 15-anti retinal configuration
coexisted under the continuously photoirradiated condition. NMR signals observed
from the photoactivated retinal provide insights into the process of photocycle
in the ppR/pHtrII complex.
PMID- 22098759
TI - Mechanosensing can result from adhesion molecule dynamics.
AB - When plated onto a substrate, cells spread. Many cell types, including smooth
muscle cells and fibroblasts, spread more effectively on stiffer substrates, and
a simple empirical relationship has been determined that relates a cell's spread
area to the substrate stiffness. In addition, some crawling cells when plated
onto a stiff substrate will not migrate onto soft substrate, a process called
"durotaxis". Here we show that the resistive force predicted previously for
dynamic adhesion molecules can account for both of these mechanosensory effects.
PMID- 22098760
TI - Endogenous factors modified by hemodialysis may interfere with the accuracy of
blood glucose-measuring device.
AB - In Japan, self-monitoring of blood glucose (SMBG) devices are widely used both at
home and in hospitals, but many analytical errors and safety concerns have been
reported about the SMBG devices used in hospitals. Analytical performances of
StatStrip (Nova Biomedical Corporation, MA, USA), a new point-of-care testing
device and Glutest (Sanwa Chemical, Aichi, Japan), a routinely used SMBG device
were compared in glucose measurement of pre- and postdialysis blood samples and
we evaluated which factors in blood modified by hemodialysis affect accuracy of
these devices. Subjects in this study were 44 hemodialysis patients. Blood
samples were obtained from patients just before and just after the hemodialysis.
Blood glucose concentrations of samples were measured by StatStrip and Glutest.
Hematocrit and plasma concentrations of electrolytes, metabolites, etc. of the
samples were measured in the central laboratory. StatStrip showed no difference
between pre- and postdialysis blood samples and showed very little bias from
reference method. On the other hand, Glutest showed difference between pre- and
postdialysis samples. Although there is no problem in the data of predialysis
blood samples by Glutest, however, these of the postdialysis blood samples by
Glutest were >10% less than reference method. Factors in blood modified by
hemodialysis such as hematocrit, uric acid, albumin, potassium, and calcium
affected glucose readings by Glutest. Glucose readings by Glutest of samples from
hemodialysis patients were affected by hematocrit and several factors, which were
modified by hemodialysis. StatStrip is considered as a better device in dialysis
hospitals.
PMID- 22098761
TI - Cardiac autonomic impairment and chronotropic incompetence in fibromyalgia.
AB - INTRODUCTION: We aimed to gather knowledge on the cardiac autonomic modulation in
patients with fibromyalgia (FM) in response to exercise and to investigate
whether this population suffers from chronotropic incompetence (CI). METHODS:
Fourteen women with FM (age: 46 +/- 3 years; body mass index (BMI): 26.6 +/- 1.4
kg/m2) and 14 gender-, BMI- (25.4 +/- 1.3 kg/m2), and age-matched (age: 41 +/- 4
years) healthy individuals (CTRL) took part in this cross-sectional study. A
treadmill cardiorespiratory test was performed and heart-rate (HR) response
during exercise was evaluated by the chronotropic reserve. HR recovery (deltaHRR)
was defined as the difference between HR at peak exercise and at both first
(deltaHRR1) and second (deltaHRR2) minutes after the exercise test. RESULTS: FM
patients presented lower maximal oxygen consumption (VO2 max) when compared with
healthy subjects (22 +/- 1 versus CTRL: 32 +/- 2 mL/kg/minute, respectively; P <
0.001). Additionally, FM patients presented lower chronotropic reserve (72.5 +/-
5 versus CTRL: 106.1 +/- 6, P < 0.001), deltaHRR1 (24.5 +/- 3 versus CTRL: 32.6
+/- 2, P = 0.059) and deltaHRR2 (34.3 +/- 4 versus CTRL: 50.8 +/- 3, P = 0.002)
than their healthy peers. The prevalence of CI was 57.1% among patients with FM.
CONCLUSIONS: Patients with FM who undertook a graded exercise test may present CI
and delayed HR recovery, both being indicative of cardiac autonomic impairment
and higher risk of cardiovascular events and mortality.
PMID- 22098762
TI - Independent genetic loci for sensorimotor gating and attentional performance in
BXD recombinant inbred strains.
AB - A startle reflex in response to an intense acoustic stimulus is inhibited when a
barely detectable pulse precedes the startle stimulus by 30-500 ms. It has been
theorized that this phenomenon, named prepulse inhibition (PPI) of a startle
response, is an automatic early-stage gating process contributing to the ability
to focus attention. Deficits in PPI may therefore contribute to deficits in
attentional processing. Both deficits are observed in schizophrenia spectrum
disorders. Here, we investigated whether there is overlap in genetic control of
PPI and attentional processing phenotypes in the panel of BXD recombinant inbred
strains of mice. Using an individually titrated prepulse intensity to handle
differences in perceived prepulse intensities among strains, we identified a
significant quantitative trait locus (QTL) for PPI at the mid-distal end of
chromosome 17. A measure of attentional processing in the five-choice serial
reaction time task, response variability, mapped to a different locus on proximal
mid chromosome 16. In addition, the estimated genetic and environmental
correlations between PPI and several attentional phenotypes were low and not
significant. Taken together, the observation of separate genetic loci for PPI and
attention and the absence of genetic and environmental correlations indicate that
differences in sensorimotor gating do not contribute to differences in
attentional performance. Therefore, it is worth pursuing the causative genes
residing in both attention and PPI QTL, as these may contribute to separate
molecular pathways implicated in neuropsychiatric diseases, such as
schizophrenia.
PMID- 22098763
TI - Rapid and sensitive hormonal profiling of complex plant samples by liquid
chromatography coupled to electrospray ionization tandem mass spectrometry.
AB - BACKGROUND: Plant hormones play a pivotal role in several physiological processes
during a plant's life cycle, from germination to senescence, and the
determination of endogenous concentrations of hormones is essential to elucidate
the role of a particular hormone in any physiological process. Availability of a
sensitive and rapid method to quantify multiple classes of hormones
simultaneously will greatly facilitate the investigation of signaling networks in
controlling specific developmental pathways and physiological responses. Due to
the presence of hormones at very low concentrations in plant tissues (10-9 M to
10-6 M) and their different chemistries, the development of a high-throughput and
comprehensive method for the determination of hormones is challenging. RESULTS:
The present work reports a rapid, specific and sensitive method using ultrahigh
performance liquid chromatography coupled to electrospray ionization tandem
spectrometry (UPLC/ESI-MS/MS) to analyze quantitatively the major hormones found
in plant tissues within six minutes, including auxins, cytokinins, gibberellins,
abscisic acid, 1-amino-cyclopropane-1-carboxyic acid (the ethylene precursor),
jasmonic acid and salicylic acid. Sample preparation, extraction procedures and
UPLC-MS/MS conditions were optimized for the determination of all plant hormones
and are summarized in a schematic extraction diagram for the analysis of small
amounts of plant material without time-consuming additional steps such as
purification, sample drying or re-suspension. CONCLUSIONS: This new method is
applicable to the analysis of dynamic changes in endogenous concentrations of
hormones to study plant developmental processes or plant responses to biotic and
abiotic stresses in complex tissues. An example is shown in which a hormone
profiling is obtained from leaves of plants exposed to salt stress in the
aromatic plant, Rosmarinus officinalis.
PMID- 22098764
TI - Association of education level with dialysis outcome.
AB - The impact of education on health care outcome has been studied in the past, but
its role in the dialysis population is unclear. In this report, we evaluated this
association. We used the United States Renal Data System data of end-stage renal
disease patients aged 18 years. Education level at the time of end-stage renal
disease onset was the primary variable of interest. The outcome of the study was
patient mortality. We used four categories of education level: 0 = less than 12
years of education; 1 = high school graduate; 2 = some college; 3 = college
graduate. Subgroups based on age, race, sex, donor type, and diabetic status were
also analyzed. After adjustments for covariates in the Cox model, using
individuals with less than 12 years of education as a reference, patients with
college education showed decreased mortality with hazard ratio of 0.81 (95%
confidence interval 0.69-0.95), P = 0.010. In conclusion, we showed that higher
education level is associated with improved survival of patients on dialysis.
PMID- 22098765
TI - Adolescents' risky driving in context.
PMID- 22098766
TI - The epidemiology of HIV among young people in sub-Saharan Africa: know your local
epidemic and its implications for prevention.
AB - BACKGROUND: Broad patterns of HIV epidemiology are frequently used to design
generic HIV programs in sub-Saharan Africa. METHODS: We reviewed the epidemiology
of HIV among young people in sub-Saharan Africa, and explored the unique dynamics
of infection in its different regions. RESULTS: In 2009, HIV prevalence among
youth in sub-Saharan Africa was an estimated 1.4% in males and 3.4% in females,
but these values mask wide variation at regional and national levels. Within
countries there are further major differences in HIV prevalence, such as by sex,
urban/rural location, economic status, education, or ethnic group. Within this
highly nuanced context, HIV prevention programs targeting youth must consider
both where new infections are occurring and where they are coming from.
CONCLUSIONS: Given the epidemiology, one-size-fits-all HIV prevention programs
are usually inappropriate at regional and national levels. Consideration of local
context and risk associated with life transitions, such as leaving school or
getting married, is imperative to successful programming for young people.
PMID- 22098767
TI - HIV prevention in young people in sub-Saharan Africa: a systematic review.
AB - PURPOSE: To systematically review and update evidence on the effectiveness of
youth HIV/AIDS prevention interventions in sub-Saharan Africa (SSA) and to make
evidence-based policy recommendations to guide efforts toward meeting the United
Nations General Assembly Special Session HIV/AIDS goals. METHODS: Recent evidence
(January 2005-December 2008) on the effectiveness of interventions to improve
reported sexual behavior and biological outcomes among youth in SSA was assessed
using the Steady, Ready, Go! (SRG) approach and synthesized with an earlier SRG
review (January 1990-June 2005). RESULTS: A total of 23 studies were included
following screening of approximately 1,200 citations. School-based, adult-led,
curriculum-based interventions showed clear evidence of reducing reported risky
sexual behavior. Interventions in health facilities increased the use of services
when made accessible and more youth-friendly. In geographically defined
communities, both interventions specifically targeting youth and community-wide
interventions reduced reports of risky sexual behavior. CONCLUSIONS: HIV
prevention among youth is a top priority in SSA. The most promising interventions
should be scaled-up now, with careful evaluation, while exploring supplementary
interventions to impact HIV incidence.
PMID- 22098768
TI - The effect of passengers and risk-taking friends on risky driving and
crashes/near crashes among novice teenagers.
AB - PURPOSE: The high crash rates of novice teenage drivers are thought to be caused
by inexperience and risky driving behavior, exacerbated by passengers, driving at
night, and other complex driving conditions. This study examined factors
associated with crash/near crash and risky driving rates among novice teenagers,
including driving at night versus day, passenger presence and characteristics,
and driver psychosocial factors. METHOD: The vehicles of 42 newly licensed
teenage drivers were equipped with recording systems that collected data on
driving performance and occupant characteristics during their first 18 months of
licensure. Survey data were collected at four measurement times. Poisson
regression models with random effects were used to analyze crash/near crash and
elevated gravitational force event rates (i.e., risky driving); incident rate
ratios measured associations with covariates. RESULTS: Crash/near crash rates
among novice teenagers were 75% lower in the presence of adult passengers and 96%
higher among those teenagers with risky friends. Teenage risky driving was 67%
lower with adult passengers, 18% lower with teenage passengers; 20% lower during
early night than day; and 109% higher among teens with relatively more risky
friends. CONCLUSIONS: The low rate of risky driving in the presence of adult
passengers suggests that teens can drive in a less risky manner. The higher rate
of risky driving among those with risky friends suggests that risky driving may
be socially influenced.
PMID- 22098769
TI - An eleven site national quality improvement evaluation of adolescent medicine
based eating disorder programs: predictors of weight outcomes at one year and
risk adjustment analyses.
AB - PURPOSE: This quality improvement project collected and analyzed short-term
weight gain data for patients with restrictive eating disorders (EDs) treated in
outpatient adolescent medicine-based ED programs nationally. METHODS: Data on
presentation and treatment of low-weight ED patients aged 9-21 years presenting
in 2006 were retrospectively collected from 11 independent ED programs at intake
and at 1-year follow-up. Low-weight was defined as < 90% median body weight (MBW)
which is specific to age. Treatment components at each program were analyzed.
Risk adjustment was performed for weight gain at 1 year for each site, accounting
for clinical variables identified as significant in bivariate analyses. RESULTS:
The sites contained 6-51 patients per site (total N = 267); the mean age was 14.1
17.1 years; duration of illness before intake was 5.7-18.6 months; % MBW at
intake was 77.5-83.0; and % MBW at follow-up was 88.8-93.8. In general, 40%-63%
of low weight ED subjects reached >=90% MBW at 1-year follow-up. At intake,
patients with higher % MBW (p = .0002) and shorter duration of illness (p = .01)
were more likely to be >=90% MBW at follow-up. Risk-adjusted odds ratios
controlled for % MBW and duration of illness were .8 (.5, 1.4)-1.3 (.3, 3.8),
with no significant differences among sites. CONCLUSION: A total of 11 ED
programs successfully compared quality improvement data. Shorter duration of
illness before intake and higher % MBW predicted improved weight outcomes at 1
year. After adjusting for risk factors, program outcomes did not differ
significantly. All adolescent medicine-based ED programs were effective in
assisting patients to gain weight.
PMID- 22098770
TI - Trends in body mass index in adolescence and young adulthood in the United
States: 1959-2002.
AB - PURPOSE: This study examined trends in body mass index (BMI) during the
transition from adolescence to young adulthood by gender and race, using national
data from the United States spanning for >40 years from 1959 and 2002. Although
past research has investigated BMI trends separately in childhood/adolescence and
adulthood, this study uniquely focused on the transition to adulthood (12-26
years) to identify the emergence of the obesity epidemic during this critical
life-stage. METHODS: Longitudinal and cross-sectional data were obtained from
four nationally representative surveys: National Health and Nutrition Examination
Survey, National Longitudinal Study of Adolescent Health, National Health
Interview Survey, and National Longitudinal Surveys of Youth (NLSY79 and NLSY97).
The analysis tracked age trends in BMI by time, which allowed for the examination
of how BMI changed during the transition to adulthood and whether the patterns of
change varied by period. Data best suited for trend analysis were identified. Age
trends in BMI by gender and race were graphed and regression analysis was used to
test for significant differences in the trends using the National Health and
Nutrition Examination Survey and National Longitudinal Study of Adolescent
Health. RESULTS: BMI increased sharply in the adolescent ages, beginning in the
1990s and among young adults around 2000. This age pattern of BMI increase was
more dramatic among females and blacks, particularly black females. CONCLUSIONS:
BMI increased during the transition to adulthood and these increases have grown
larger over time. Obesity prevention efforts should focus on this high-risk
transition period, particularly among minority populations.
PMID- 22098771
TI - Acceptability and feasibility of rapid HIV testing in an adolescent clinic
setting: youth testing attitudes, knowledge, and behaviors.
AB - PURPOSE: To assess youths' attitudes, knowledge, and behaviors regarding rapid
HIV testing (RHT) and measure acceptability and feasibility of RHT in an
adolescent clinic setting. METHODS: A 2007-2008 project introduced free RHT at an
urban, hospital-based adolescent and young adult clinic in Boston, MA. Patients
and HIV testing clients were offered either free nonrapid tests or fingerstick
RHT. An anonymous questionnaire assessed youths' testing attitudes, knowledge,
and behaviors (N = 127). Ordinal logistic regression model was used to determine
associations with youth demographic characteristics and testing experience.
RESULTS: Most participants valued rapid results. A minority desired
confidentiality from parents and insurance providers. Older youth were more
likely to know about testing methods (OR: 1.25; CI: 1.04-1.51) and plan for
follow-up (OR: 1.43; CI: 1.14-1.81). Age, gender, and race were unrelated to
testing facilitators such as rapidity, confidentiality, and cost, although
younger clients were more likely to prefer noninvasive methods. Individuals with
previous testing experience were more likely to say that they would contribute to
expenses and value rapidity over cost. CONCLUSION: There was strong support for
RHT among youth receiving HIV testing. Offering RHT to youth may facilitate
routine testing. Future research should focus on increasing RHT access among
diverse populations of youth.
PMID- 22098772
TI - Finding shelter: two-year housing trajectories among homeless youth.
AB - PURPOSE: The aim of this study was to (1) identify trajectories of homeless youth
remaining sheltered or returning to shelter over a period of 2 years, and (2) to
identify predictors of these trajectories. METHOD: A sample of 426 individuals
aged 14-24 years receiving services at homeless youth serving agencies completed
six assessments over 2 years. Latent class growth analysis was applied to the
reports of whether youth had been inconsistently sheltered (i.e., living on the
street or in a squat, abandoned building, or automobile) or consistently
sheltered (i.e., not living in any of those settings) during the past 3 months.
RESULTS: Three trajectories of homeless youth remaining sheltered or returning to
shelter were identified: consistently sheltered (approximately 41% of the
sample); inconsistently sheltered, short-term (approximately 20%); and
inconsistently sheltered, long-term (approximately 39%). Being able to go home
and having not left of one's own accord predicted greater likelihood of
membership in the short-term versus the long-term inconsistently sheltered
trajectory. Younger age, not using drugs other than alcohol or marijuana, less
involvement in informal sector activities, being able to go home, and having been
homeless for <1 year predicted membership in the consistently sheltered groups
versus the long-term inconsistently sheltered groups in the multivariate
analyses. CONCLUSIONS: Findings suggest that being able to return home is more
important than the degree of individual impairment (e.g., substance use or mental
health problems) when determining the likelihood that a homeless youth follows a
more or a less chronically homeless pathway.
PMID- 22098773
TI - Adolescents with low intelligence are at risk of functional somatic symptoms: the
TRAILS study.
AB - PURPOSE: Low intelligence is a risk factor for functional somatic symptoms (FSSs)
in adults, but it is unknown whether a similar association exists in adolescents.
We hypothesized that low intelligence may lead to FSS, and that this association
is mediated by low school performance. In addition, we hypothesized that this
mediation is particularly present in adolescents who perceive high parental
expectations. METHODS: This study was performed in a general population cohort
from the TRacking Adolescents' Individual Lives Survey, using data from the first
wave (n = 2,230, mean age = 11.09 years, SD = .56, 50.8% girls), second wave (n =
2,149, mean age = 13.65 years, SD = .53, 51.0% girls), and third wave (n = 1,816,
mean age = 16.25 years, SD = .72, 53.3% girls). Intelligence was measured using
the Wechsler Intelligence Scale for Children-Revised, which resulted in an
intelligence quotient (IQ) for each participant. FSSs were measured by the
Somatic Complaints Scale of the Youth Self-Report. School performance was
assessed by teacher reports and perceived parental expectations by adolescent
reports. Structural equation modeling was used to test our hypotheses. RESULTS:
We found a significant negative association between IQ and FSS in the whole group
(beta = -.24). This association was significant in the group perceiving high
parental expectations (beta = -.37), but not in the group perceiving low parental
expectations. The association between IQ and FSS was not mediated by school
performance. CONCLUSIONS: Low intelligence is associated with a higher
predisposition for FSS in adolescents, especially in those adolescents perceiving
high parental expectations.
PMID- 22098774
TI - Victimization experiences of adolescents in Malaysia.
AB - PURPOSE: There has been little community-based research regarding multiple-type
victimization experiences of young people in Asia, and none in Malaysia. This
study aimed to estimate prevalence, explore gender differences, as well as
describe typical perpetrators and family and social risk factors among Malaysian
adolescents. METHODS: A cross-sectional survey of 1,870 students was conducted in
20 randomly selected secondary schools in Selangor state (mean age: 16 years;
58.8% female). The questionnaire included items on individual, family, and social
background and different types of victimization experiences in childhood.
RESULTS: Emotional and physical types of victimization were most common. A
significant proportion of adolescents (22.1%) were exposed to more than one type,
with 3% reporting all four types. Compared with females, males reported more
physical, emotional, and sexual victimization. The excess of sexual victimization
among boys was due to higher exposure to noncontact events, whereas prevalence of
forced intercourse was equal for both genders (3.0%). Although adult male
perpetrators predominate, female adults and peers of both genders also contribute
substantially. Low quality of parent-child relationships and poor school and
neighborhood environments had the strongest associations with victimization.
Family structure (parental divorce, presence of step-parent or single parent, or
household size), parental drug use, and rural/urban location were not influential
in this sample. CONCLUSION: This study extends the analysis of multiple-type
victimization to a Malaysian population. Although some personal, familial, and
social factors correlate with those found in western nations, there are cross
cultural differences, especially with regard to the nature of sexual violence
based on gender and the influence of family structure.
PMID- 22098776
TI - Lactococcus lactis subsp. lactis infection in Bester sturgeon, a cultured hybrid
of Huso huso * Acipenser ruthenus, in Taiwan.
AB - Approximately 5300 hybrid sturgeons with an average body weight of 600-800 g were
farmed in 3 round tankers measuring 3m in diameter each containing 28,000 L of
aerated groundwater. According to the owner's description, the diseased fish had
anorexia, pale body color, and reddish spots on the abdomen. The morbidity and
lethality rates in this outbreak were about 70% (3706/5300) and 100% (3706/3706),
respectively. The clinical examination revealed enteritis, enlarged abdomen, and
rapid respiration rate. The gross findings revealed a volume of about 4 mL of
ascites. The histopathological examination showed multiple massive, hemorrhagic
or coagulative necrotic foci in the liver and spleen. Furthermore, there was
diffuse infiltration of glycogen in hepatic cells, and a few polymorphonuclear
and mononuclear leucocytes were observed surrounding the spleen. Some bacterial
clumps were noted around the necrotic foci. We also observed that there was
moderate to severe, acute, multifocal, coagulative necrosis in the renal
parenchyma, with some necrotic foci present beneath the margin of the kidney.
Additionally, multifocal, coagulative necrosis was found in the pancreas. Results
of microbiologic examinations, including biochemical characteristics, PCR
amplification of 16S rRNA gene, sequencing and comparison, and phylogenetic
analysis, revealed the pathogen of this infection was Lactococcus lactis subsp.
lactis, and based on the results of an antimicrobial agent sensitivity test the
bacterium was only sensitive to ampicillin and florfenicol. Additionally, results
of in vivo experimental infections in hybrid tilapia showed that 1*10(8) and
1*10(9) CFU/mL of our isolate caused death in all fish and LD(50) values ranged
from 10(2) to 10(5) CFU/mL. To the best of the authors' knowledge, this is the
first reported case of Lactococcus lactis subsp. lactis infection in hybrid
sturgeon.
PMID- 22098775
TI - TRENTOOL: a Matlab open source toolbox to analyse information flow in time series
data with transfer entropy.
AB - BACKGROUND: Transfer entropy (TE) is a measure for the detection of directed
interactions. Transfer entropy is an information theoretic implementation of
Wiener's principle of observational causality. It offers an approach to the
detection of neuronal interactions that is free of an explicit model of the
interactions. Hence, it offers the power to analyze linear and nonlinear
interactions alike. This allows for example the comprehensive analysis of
directed interactions in neural networks at various levels of description. Here
we present the open-source MATLAB toolbox TRENTOOL that allows the user to handle
the considerable complexity of this measure and to validate the obtained results
using non-parametrical statistical testing. We demonstrate the use of the toolbox
and the performance of the algorithm on simulated data with nonlinear (quadratic)
coupling and on local field potentials (LFP) recorded from the retina and the
optic tectum of the turtle (Pseudemys scripta elegans) where a neuronal one-way
connection is likely present. RESULTS: In simulated data TE detected information
flow in the simulated direction reliably with false positives not exceeding the
rates expected under the null hypothesis. In the LFP data we found directed
interactions from the retina to the tectum, despite the complicated signal
transformations between these stages. No false positive interactions in the
reverse directions were detected. CONCLUSIONS: TRENTOOL is an implementation of
transfer entropy and mutual information analysis that aims to support the user in
the application of this information theoretic measure. TRENTOOL is implemented as
a MATLAB toolbox and available under an open source license (GPL v3). For the use
with neural data TRENTOOL seamlessly integrates with the popular FieldTrip
toolbox.
PMID- 22098777
TI - Neuronal regeneration and protection by collagen-binding BDNF in the rat middle
cerebral artery occlusion model.
AB - It has been well confirmed that brain-derived neurotrophic factor (BDNF) has
therapeutic effects following stroke. However, it is difficult to be maintained
at a sufficient concentration of BDNF in the infarcted hemisphere. We have shown
in our previous work that BDNF fused with a collagen-binding domain (CBD-BDNF)
could specifically bind to collagen. The ventricular ependyma of the brain is
rich in collagen. Therefore, we have speculated that in the infarcted hemisphere,
CBD-BDNF will bind to the collagen of the ventricular ependyma and stimulate the
cell proliferation in the subventricular zone (SVZ). Using a rat middle cerebral
artery occlusion model (MCAO), we injected CBD-BDNF into the lateral ventricle of
MCAO rats. The results demonstrated that CBD-BDNF was retained at high levels in
the infarcted hemisphere, promoted neural regeneration and angiogenesis, reduced
cell loss, decreased apoptosis, and improved functional recovery. In addition,
brain perfusion and metabolism, as evaluated by SPECT and PET, were improved in
the CBD-BDNF treated group.
PMID- 22098778
TI - Alleviation of rheumatoid arthritis by cell-transducible methotrexate upon
transcutaneous delivery.
AB - Rheumatoid arthritis (RA) is a systemic autoimmune disease that is initiated and
maintained by various inflammatory/immune cells and their cytokines, leading to
cartilage degradation and bone erosion. Despite its potent therapeutic efficacy
on RA, the oral administration of methotrexate (MTX) provokes serious adverse
systemic complications, thus necessitating the local application of MTX. Here, we
show that transcutaneous MTX (TC-MTX) can efficiently penetrate joint skin ex
vivo and in vivo, and that TC-MTX can significantly improve the various
inflammatory symptoms associated with RA. Further, TC-MTX preserved the joint
structures in mice with collagen-induced arthritis (CIA), which was also
confirmed by three-dimensional micro-computed tomography scan. TC-MTX markedly
decreased the secretion of inflammatory cytokines both in the serum and in
inflamed joints of CIA mice. Further, its therapeutic potential is comparable to
that of etanercept, a biological agent that block tumor necrosis factor (TNF)
alpha. Importantly, the systemic cytotoxicity of TC-MTX was not detected. Thus,
TC-MTX can be a new therapeutic modality for RA patients without systemic
complications.
PMID- 22098779
TI - Inhibition of cancer stem cell-like properties and reduced chemoradioresistance
of glioblastoma using microRNA145 with cationic polyurethane-short branch PEI.
AB - Glioblastomas (GBMs) are the most common primary brain tumors with poor
prognosis. CD133 has been considered a putative marker of cancer stem cells
(CSCs) in malignant cancers, including GBMs. MicroRNAs (miRNAs), highly conserved
small RNA molecules, may target oncogenes and have potential as a therapeutic
strategy against cancer. However, the role of miRNAs in GBM-associated CSCs
remains mostly unclear. In this study, our miRNA/mRNA-microarray and RT-PCR
analysis showed that the expression of miR145 (a tumor-suppressive miRNA) is
inversely correlated with the levels of Oct4 and Sox2 in GBM-CD133(+) cells and
malignant glioma specimens. We demonstrated that miR145 negatively regulates GBM
tumorigenesis by targeting Oct4 and Sox2 in GBM-CD133(+). Using polyurethane
short branch polyethylenimine (PU-PEI) as a therapeutic-delivery vehicle, PU-PEI
mediated miR145 delivery to GBM-CD133(+) significantly inhibited their
tumorigenic and CSC-like abilities and facilitated their differentiation into
CD133(-)-non-CSCs. Furthermore, PU-PEI-miR145-treated GBM-CD133(+) effectively
suppressed the expression of drug-resistance and anti-apoptotic genes and
increased the sensitivity of the cells to radiation and temozolomide. Finally,
the in vivo delivery of PU-PEI-miR145 alone significantly suppressed
tumorigenesis with stemness, and synergistically improved the survival rate when
used in combination with radiotherapy and temozolomide in orthotopic GBM-CD133(+)
transplanted immunocompromised mice. Therefore, PU-PEI-miR145 is a novel
therapeutic approach for malignant brain tumors.
PMID- 22098780
TI - Induction of ROS, mitochondrial damage and autophagy in lung epithelial cancer
cells by iron oxide nanoparticles.
AB - Autophagy has attracted a great deal of research interest in tumor therapy in
recent years. An attempt was made in this direction and now we report that iron
oxide NPs synthesized by us selectively induce autophagy in cancer cells (A549)
and not in normal cells (IMR-90). It was also noteworthy that autophagy
correlated with ROS production as well as mitochondrial damage. Protection of NAC
against ROS clearly suggested the implication of ROS in hyper-activation of
autophagy and cell death. Pre-treatment of cancer cells with 3-MA also exhibited
protection against autophagy and promote cellular viability. Results also showed
involvement of classical mTOR pathway in autophagy induction by iron oxide NPs in
A549 cells. Our results had shown that bare iron oxide NPs are significantly
cytotoxic to human cancer cells (A549) but not to the normal human lung
fibroblast cells (IMR-90).In other words our nanoparticles selectively kill
cancerous cells. It is encouraging to conclude that iron oxide NPs bear the
potential of its applications in biomedicine, such as tumor therapy specifically
by inducing autophagy mediated cell death of cancer cells.
PMID- 22098781
TI - Womens' attitudes and beliefs of childbirth and association with birth
preference: a comparison of a Swedish and an Australian sample in mid-pregnancy.
AB - BACKGROUND: the rate of caesarean in Australia is twice that of Sweden. Little is
known about women's attitudes towards birth in countries where the caesarean rate
is high compared to those where normal birth is a more common event. OBJECTIVES:
to compare attitudes and beliefs towards birth in a sample of Australian and
Swedish women in mid-pregnancy. PARTICIPANTS: women from rural towns in mid
Sweden (n=386) and north-eastern Victoria in Australia (n=123). METHODS:
questionnaire data was collected from 2007 to 2009. Levels of agreement or
disagreement were indicated on sixteen attitude and belief statements regarding
birth. Principal components analysis (PCA) identified the presence of subscales
within the attitudes inventory. Using these subscales, attitudes associated with
preferred mode of birth were determined. Odds ratios were calculated at 95% CI by
country of care. RESULTS: the Australian sample was less likely than the Swedish
sample to agree that they would like a birth that: 'is as pain free as possible'
OR 0.4 (95% CI: 0.2-0.7), 'will reduce my chance of stress incontinence' OR 0.2
(95% CI: 0.1-0.8), 'will least affect my future sex life' OR 0.3 (95% CI: 0.2
0.6), 'will allow me to plan the date when my baby is born' OR 0.4 (95% CI: 0.2
0.7) and 'is as natural as possible' OR 0.4 (95% CI: 0.2-0.9). They were also
less likely to agree that: 'if a woman wants to have a caesarean she should be
able to have one under any circumstances' OR 0.4 (95% CI: 0.2-0.7) and 'giving
birth is a natural process that should not be interfered with unless necessary'
OR 0.3 (95% CI: 0.1-0.7). Four attitudinal subscales were found: 'Personal Impact
of Birth', 'Birth as Natural Event', 'Freedom of Choice' and 'Safety Concerns'.
Women who preferred a caesarean, compared to those who preferred a vaginal birth,
across both countries were less likely to think of 'Birth as a natural event'.
KEY CONCLUSIONS: the Australian women were less likely than the Swedish women to
hold attitudes and beliefs regarding the impact of pregnancy and birth on their
body, the right to determine the type of birth they want and to value the natural
process of birth. Women from both countries who preferred caesarean were less
likely to agree with attitudes related to birth as a natural event.
PMID- 22098783
TI - Life cycle assessment of the production and use of polypropylene tree shelters.
AB - A detailed Life Cycle Assessment (LCA) has been conducted for the manufacture,
use and disposal of polypropylene tree shelters, which are used to protect young
seedlings in the first few years of growth. The LCA was conducted using Simapro
software, the Ecoinvent database and ReCiPe assessment methodology. Detailed
information on materials, manufacturing, packaging and distribution of shelters
was obtained from Tubex Ltd. in South Wales, UK. Various scenarios based on
different forest establishment methods, with or without tree shelters were
derived and analysed using data from published literature and independent
sources. The scenarios included commercial forestry in northern temperate
conditions, amenity forest establishment in temperate conditions, and forest
establishment in semi-arid conditions. For commercial forestry, a reduction in
required seedling production and planting as well as additional time-averaged
wood production led to significant benefits with tree shelters, both compared to
unprotected and fenced cases. For the amenity forest scenarios, tree shelter use
had a net environmental impact, while for semi-arid forestry, the benefits of
reduction in water use outweighed shelter production impacts. The current
practice of in-situ degradation was compared to collection and disposal and it
was found that in-situ degradation was slightly preferable in terms of overall
environmental impact. Use of biopolymer-based shelters would improve the
environmental performance slightly.
PMID- 22098782
TI - Haptoglobin genotype predicts development of coronary artery calcification in a
prospective cohort of patients with type 1 diabetes.
AB - BACKGROUND: Coronary artery disease has been linked with genotypes for
haptoglobin (Hp) which modulates extracorpuscular hemoglobin. We hypothesized
that the Hp genotype would predict progression of coronary artery calcification
(CAC), a marker of subclinical atherosclerosis. METHODS: CAC was measured three
times in six years among 436 subjects with type 1 diabetes and 526 control
subjects participating in the Coronary Artery Calcification in Type 1 Diabetes
(CACTI) study. Hp typing was performed on plasma samples by polyacrylamide gel
electrophoresis. RESULTS: The Hp 2-2 genotype predicted development of
significant CAC only in subjects with diabetes who were free of CAC at baseline
(OR: 1.95, 95% CI: 1.07-3.56, p = 0.03), compared to those without the Hp 2-2
genotype, controlling for age, sex, blood pressure and HDL-cholesterol. Hp 2
appeared to have an allele-dose effect on development of CAC. Hp genotype did not
predict CAC progression in individuals without diabetes. CONCLUSIONS: Hp genotype
may aid prediction of accelerated coronary atherosclerosis in subjects with type
1 diabetes.
PMID- 22098784
TI - Removal of phthalates from aqueous solution by different adsorbents: a short
review.
AB - This work presents a short review of adsorptive materials proposed and tested for
removing phthalates from an aqueous environment. The objective is not to present
an exhaustive review of all the types of adsorbents used, but to focus on
selected types of "innovative" materials. Examples include modified activated
carbon, chitosan and its modifications, beta-cyclodextrin, and specific types of
biomass, such as activated sludge from a wastewater treatment plant, seaweed and
microbial cultures. Data from the literature do not confirm the existence of a
broad-spectral adsorbent with high sorption efficiency, low production costs and
environmentally friendly manufacture. According to the coefficients of
Freundlich's isotherm, the most promising adsorbent of those mentioned in this
work appears to be the biomass of activated sludge, or extracellular
polysaccharides extracted from it. This material benefits from steady production,
is cheap and readily available. Nevertheless, before putting it in practice, the
treatment and adaptation of this raw material has to be taken into consideration.
PMID- 22098785
TI - Thrombolytic therapy for acute ischemic stroke after recent transient ischemic
attack.
AB - BACKGROUND AND AIM: Safety and efficacy of intravenous thrombolysis in stroke
patients with recent transient ischemic attack are hotly debated. Patients
suffering transient ischemic attack may present with diffusion-weighted imaging
lesions, and although normal computed tomography would not preclude thrombolysis,
the concern is that they may be at higher risk for hemorrhage post-thrombolysis
treatment. Prior ipsilateral transient ischemic attack might provide protection
due to ischemic preconditioning. We assessed post-thrombolysis outcomes in stroke
patients who had prior transient ischemic attack. METHODS: Multicentered
prospective study of consecutive acute stroke patients treated with intravenous
tissue plasminogen activator (tPA). Ipsilateral transient ischemic attack,
baseline characteristics, risk factors, etiology, and time-lapse to treatment
were recorded. National Institutes of Health Stroke Scale at seven-days and
modified Rankin Scale at three-months, symptomatic intracranial hemorrhage, and
mortality were compared in patients with and without transient ischemic attack.
RESULTS: There were 877 patients included, 60 (6.84%) had previous ipsilateral
transient ischemic attack within one-month prior to the current stroke (65% in
the previous 24 h). Transient ischemic attack patients were more frequently men
(70% vs. 53%; P = 0.011), younger (63 vs. 71 years of age; P = 0.011), smokers
(37% vs. 25%; P = 0.043), and with large vessel disease (40% vs. 25%; P = 0.011).
Severity of stroke at onset was similar to those with and without prior transient
ischemic attack (median National Institutes of Health Stroke Scale score 12 vs.
14 P = 0.134). Those with previous transient ischemic attack were treated earlier
(117 +/- 52 vs. 144 +/- 38 mins; P < 0.005). After adjustment for confounding
variables, regression analysis showed that previous transient ischemic attack was
not associated with differences in stroke outcome such as independence (modified
Rankin Scale 0-2) (odds ratios: 1.035 (0.57-1.93) P = 0.91), mortality (odds
ratios: 0.99 (0.37-2.67) P = 0.99), or symptomatic intracranial hemorrhage (odds
ratios: 2.04 (0.45-9.32) P = 0.36). CONCLUSIONS: Transient ischemic attack
preceding ischemic stroke does not appear to have a major influence on outcomes
following thrombolysis. Patients with prior ipsilateral transient ischemic attack
appear not to be at higher risk of bleeding complications.
PMID- 22098786
TI - [Overgrowth with and without obesity: clinical and molecular principles].
AB - Somatic overgrowth is a complex and heterogeneous pathology that is only
partially understood, although developments in molecular biology have allowed the
discovery of the aetiological basis of some of these conditions. The differential
diagnosis of a patient with a possible variant of normality, a chromosomopathy, a
dysmorphic syndrome, a metabolic or an endocrine disease is essential. The
initial clinical evaluation should include a correct anamnesis and physical
examination, as well as complementary laboratory and image analyses that will
help to orient the diagnosis. This should include a full blood counts and
complete biochemical analysis, determinations of IGF-I, IGFBP-3, free T4, TSH and
homocystinuria, as well as a karyotype and an X-ray of the left hand and wrist.
These results should be very beneficial in orienting the diagnosis. Additional
molecular studies should be performed when a monogenic disease is suspected.
Cardiological, ophthalmological, skeletal, psychological and psychiatric studies
should be performed if the clinical information and previously mentioned
complementary studies so indicate. In this review, the aetiological basis and the
diagnostic-therapeutic principles in the most common causes of overgrowth, will
be analysed.
PMID- 22098787
TI - [Penile cystic lesion after intramuscular testosterone injection].
PMID- 22098788
TI - Uterine artery embolization immediately preceding laparoscopic myomectomy.
AB - OBJECTIVE: To determine whether performing uterine artery embolization (UAE)
immediately before laparoscopic myomectomy can facilitate a minimally invasive
surgical approach for larger uterine fibroids. METHODS: In a retrospective case
control study, laparoscopic myomectomy with and without preoperative UAE was
examined. Data were analyzed from 26 laparoscopic myomectomies performed by a
single surgeon at Northwestern University Feinberg School of Medicine between
2004 and 2010. Controls were matched for age, calendar year, surgeon, and number
of fibroids removed. Surgical outcomes included preoperative clinical uterine
size, operative time, operative blood loss, and postoperative myoma specimen
weight. Data were analyzed via 2-tailed Student t test. RESULTS: Twelve women
underwent laparoscopic myomectomy within 169 +/- 16minutes (mean +/- SEM) of
preoperative UAE. Fourteen control patients underwent laparoscopic myomectomy
alone. The UAE group had a greater mean preoperative clinical uterine size (19.7
versus 12.4 weeks, P<0.001) and a greater mean myoma specimen weight measured
postoperatively (595.3 versus 153.6 grams, P<0.05). There were no significant
differences in operative time or blood loss, and there were no intra-operative
complications. CONCLUSION: UAE performed immediately before laparoscopic
myomectomy facilitated minimally invasive surgery for larger uteri and larger
uterine myomas, with no differences in operative time or blood loss.
PMID- 22098789
TI - Reduced maternal mortality in Tunisia and voluntary commitment to gender-related
concerns.
AB - OBJECTIVE: To estimate the number and causes of maternal deaths in Tunisia from
1999 to 2007, and compare the results with the last report (1993-1994). METHODS:
Data on all deaths of women of reproductive age in the public (1999-2007) and
private (2006 only) health sectors were collected and assessed for whether the
death was due to pregnancy. Number of live births was provided by the National
Institute of Statistics. RESULTS: Mean maternal mortality ratio (MMR) in Tunisia
decreased from 68.9 per 100000 live births in 1993-1994 to 36.3 (95% confidence
interval, 27.9-46.5) in 2005-2007 (P<0.001). Causes of maternal death did not
change significantly during the study period (1999-2007): hemorrhage and
hypertensive disorders were the main causes. The gap between urbanized and more
rural regions observed in 1993-1994 had narrowed, although MMR remained higher in
central and western regions than on the east coast. CONCLUSION: The improvement
in MMR can be credited to the voluntary political commitment focused on gender
related concerns that has been made in Tunisia, including access to family
planning; legalization of abortion; and creation of the National Board for Family
and Population, and the Tunisian Safe Motherhood initiative in 1999.
PMID- 22098790
TI - Friction-induced whirl vibration: root cause of squeaking in total hip
arthroplasty.
AB - Squeaking is reported for ceramic-on-ceramic hip arthroplasty, and risk factors
leading to this phenomenon have been investigated empirically in the past, this
way giving hints to when this phenomenon occurs. The aim of this study is to
present an experimentally validated explanation for the dynamical mechanism
underlying the squeak, i.e. a description of what happens when noise is
generated. First the kinematics of the ceramic bearing couple in relative motion
are reconsidered. The relative motion at the contact zone can be understood as
superposition of relative rotation and translation. The relative weight of both
components depends substantially on the instantaneous load vector, which
primarily determines the position of the contact area, and the instantaneous
relative rotation vector. For the investigated gait scenarios, both load vector
and rotation axis vary strongly during the gait cycle. Second, experimental
vibration analysis during squeak is performed. A pronounced micrometer scale
elliptical motion of the ball inside the liner is found. It is shown that the
rotational component of the relative kinematics during gait indeed leads to
friction induced vibrations. We show that a generic whirl type friction induced
flutter instability, also known from similar (non bio-) mechanical systems, is
the root cause of the emitted squeaking noise. Based on the identified mechanism,
the role of THA system parameters (materials, design), patient risk factors, as
well as the role of the gait cycle, will have to be reconsidered and linked in
the future to develop effective measures against squeaking.
PMID- 22098791
TI - Impact of growth hormone hypersecretion on the adult human kidney.
AB - Acromegaly is most often secondary to a GH-secreting pituitary adenoma with
increased Insulin-like Growth Factor type 1 (IGF-1) level. The consequences of
GH/IGF-1 hypersecretion reflect the diversity of action of these hormones. The
genes of the GH receptor (GHR), IGF-1, IGF-1 receptor (IGF-1R) and IGF-binding
proteins (IGF-BP) are physiologically expressed in the adult kidney, suggesting a
potential role of the somatotropic axis on renal structure and functions. The
expression of these proteins is highly organized and differs according to the
anatomical and functional segments of the nephron suggesting different roles of
GH and IGF-1 in these segments. In animals, chronic exposure to high doses of GH
induces glomerulosclerosis and increases albuminuria. Studies in patients with GH
hypersecretion have identified numerous targets of GH/IGF-1 axis on the kidney:
1) an impact on renal filtration with increased glomerular filtration rate (GFR),
2) a structural impact with an increase in kidney weight and glomerular
hypertrophy, and 3) a tubular impact leading to hyperphosphatemia, hypercalciuria
and antinatriuretic effects. Despite the increased glomerular filtration rate
observed in patients with GH hypersecretion, GH is an inefficient treatment for
chronic renal failure. GH and IGF-1 seem to be involved in the physiopathology of
diabetic nephropathy; this finding offers the possibility of targeting the GH/IGF
1 axis for the prevention and the treatment of diabetic nephropathy.
PMID- 22098792
TI - Methods of verifying the output of the treatment planning system used for high
dose rate (HDR) prostate brachytherapy.
AB - OBJECTIVES: To investigate the relationships between the planning target volume
(PTV) and the total reference air kerma (TRAK) required to irradiate the prostate
using the Nucletron microSelection (192)Ir radioactive stepping source
afterloader for the treatment of prostate cancer. METHODS: Using 54 patient
plans, the relationship between the treatment planning system (TPS) TRAK
(TRAK(TPS)) and the estimate and planimetry prostate volumes was investigated.
Linear regression analysis was used to predict the TRAK (TRAK(pred)) using the
prostate gland height, width and length (HWL) measurements. The TRAK was
corrected using a conformal index (COIN) of the plan to improve the accuracy of
the model. A further 54 patient plans were then used to evaluate the outcome of
the linear regression lines. RESULTS: A formula was proposed (HxWxLxpi/5.19) to
estimate the prostate volume, which showed a mean deviation from the planimetry
volume of 0.0139 +/- 3.339 cm(3), where 69% was within +/- 10% of planimetry, and
96% within +/-20%. Scatter graphs of TRAK(TPS) for 54 plans, showed a positive
linear correlation, r=0.98, using the planimetry volume, and r=0.95 for the
estimated volume. The further 54 treatment plans used for evaluation showed the
TRAK(pred) was accurately predicted to +/- 10% for 100% of plans using the
planimetry volume and 81% using the prostate volume estimate. CONCLUSIONS: The
verification method proposed was found to be accurate and independent of TPS
parameters, with suitable acceptance margins of +/- 10%.
PMID- 22098793
TI - IGRT induced dose burden for a variety of imaging protocols at two different
anatomical sites.
AB - BACKGROUND AND PURPOSE: Increase in positioning accuracy and treatment adaptation
is supported by image guidance. The downside is the concomitant imaging dose. In
this study, we report on the total dose picture for different styles of image
guidance. MATERIALS AND METHODS: Dose was measured in the Alderson phantom using
TLD's. IGRT technology investigated included CBCT at the linac and simulator,
multislice-CT and kV and MV planar imaging. Clinically used imaging protocols
were applied and the total dose picture was assessed for four different sequences
of imaging for a prostate and a head and neck treatment. RESULTS: The different
imaging geometries for the various imaging modalities resulted in fairly
different dose distributions. Head and neck doses up to 100 mGy and higher were
found for portal imaging and multislice-CT. Depending on the IGRT sequence used
maximum total dose varies between 120 and 1500 mGy. In prostate maximum doses
between 40 and 100 mGy were found for portal imaging and CBCT at the linac. Here
the maximum total dose varies between 120 and 2250 mGy depending on the sequence
used. DISCUSSION: Factors like patient dimensions, age and sex can influence the
applicability of presented values. Careful consideration of imaging dose
especially for very intense imaging sequences is recommended.
PMID- 22098794
TI - Combined PET/CT image characteristics for radiotherapy tumor response in lung
cancer.
AB - BACKGROUND AND PURPOSE: Prediction of local failure in radiotherapy patients with
non-small cell lung cancer (NSCLC) remains a challenging task. Recent evidence
suggests that FDG-PET images can be used to predict outcomes. We investigate an
alternative multimodality image-feature approach for predicting post-radiotherapy
tumor progression in NSCLC. MATERIAL AND METHODS: We analyzed pre-treatment FDG
PET/CT studies of twenty-seven NSCLC patients for local and loco-regional
failures. Thirty-two tumor region features based on SUV or HU, intensity-volume
histogram (IVH) and texture characteristics were extracted. Statistical analysis
was performed using Spearman's correlation (rs) and multivariable logistic
regression. RESULTS: For loco-regional recurrence, IVH variables had the highest
univariate association. In PET, IVH-slope reached rs=0.3426 (p=0.0403). Motion
correction slightly improved correlation of texture features. In CT, coefficient
of variation had the highest association rs=-0.2665 (p=0.0871). Similarly for
local failure, a CT-IVH parameter reached rs=0.4530 (p=0.0105). For loco-regional
and local failures, a 2-parameter model of PET-V(80) and CT-V(70) yielded
rs=0.4854 (p=0.0067) and rs=0.5908 (p=0.0013), respectively. Addition of
dosimetric variables provided improvement in cases of loco-regional but not local
failures. CONCLUSIONS: We proposed a feature-based approach to evaluate radiation
tumor response. Our study demonstrates that multimodality image-feature modeling
provides better performance compared to existing metrics and holds promise for
individualizing radiotherapy planning.
PMID- 22098795
TI - Electronic portal images (EPIs) based position verification for the breast
simultaneous integrated boost (SIB) technique.
AB - BACKGROUND AND PURPOSE: To develop a method based on electronic portal images
(EPIs) for the position verification of breast cancer patients that are treated
with a simultaneous integrated boost (SIB) technique. METHOD: 3D setup errors of
the breast outline and the thoracic wall were determined from EPIs of the
tangential treatment fields and anterior posterior (AP) verification field. The
method was verified with repeated CT scans of 38 patients with an average setup
error larger than 5 mm. RESULT: The 3D position deviation of the boost volume can
best be determined from the position deviation of the breast outline in the
ventrodorsal direction and the thoracic wall in the lateral and longitudinal
directions from the tangential and AP EPIs. The method gives an average
overestimation of the deviation of the boost volume in the ventrodorsal, lateral
and longitudinal directions by 28%, 20% and 6%, respectively and an average
underestimation of the deviation of the whole breast by 32%, 17% and 39%.
CONCLUSIONS: The described method is superior to using tangential EPIs only and
is recommended for position verification of breast cancer patients that are
treated with a SIB technique if no Cone beam CT (CBCT) or fiducial markers can be
used.
PMID- 22098796
TI - Additional x-ray views increase decision to treat clavicular fractures
surgically.
AB - BACKGROUND: The trauma series for clavicular fractures includes anterior
posterior and 20 degrees cephalic tilt radiographs. Management of clavicular
fractures either nonoperatively or operatively is dependent on radiographs. We
hypothesized that the interobserver and intraobserver reliability of the
treatment decision would be improved with a novel 4-view radiographic series over
the standard 2-view radiographic trauma series. METHODS: Four-view radiographic
analysis was performed and consisted of anterior-posterior, 20 degrees cephalic
tilt, 45 degrees cephalic tilt, and 45 degrees caudal tilt. Radiographs were
collected for 50 consecutive patients presenting with acute midshaft clavicular
fractures. Four blinded orthopedists were asked to judge whether each case should
be treated either operatively or nonoperatively based on the standard 2-view
series and then the 4-view series a minimum of 1 week later. This procedure was
repeated a minimum of 2 months later. The incidence of surgeon treatment
modification was analyzed along with interobserver and intraobserver reliability
of both series. RESULTS: In 17 cases, at least 1 surgeon changed the treatment
decision between 2- and 4-view review. In 13 cases (26%), the treatment was
changed from nonoperative to operative. Significantly greater intraobserver
reliability was observed for the 4- versus 2-view series (R = 0.76 and R = 0.64,
respectively), with no difference in interobserver reliability (intraclass
correlation coefficient of 0.88 and 0.87, respectively). CONCLUSIONS: With the
use of a novel 4-view radiographic series that includes orthogonal viewing
angles, surgeons are more likely to treat clavicular fractures operatively and
their intraobserver reliability is improved, suggesting improved visualization of
anterior-posterior displacement.
PMID- 22098797
TI - Sinonasal inverted papilloma associated with malignancy: the role of human
papillomavirus infection and its implications for radiotherapy.
AB - Sinonasal inverted papilloma (IP) is a benign but destructive tumor originating
from the ciliated respiratory mucosa of the sinonasal tract. It can be associated
with malignancy (squamous cell histology, in particular) and human papillomavirus
infection has been investigated as a potential etiological factor linked to
malignant alterations of IP. Surgery is the treatment of choice for IP, however,
radiotherapy as an adjunct to surgery is indicated for IP with associated
malignancy.
PMID- 22098798
TI - Adaptation of barley to mild winters: a role for PPDH2.
AB - BACKGROUND: Understanding the adaptation of cereals to environmental conditions
is one of the key areas in which plant science can contribute to tackling
challenges presented by climate change. Temperature and day length are the main
environmental regulators of flowering and drivers of adaptation in temperate
cereals. The major genes that control flowering time in barley in response to
environmental cues are VRNH1, VRNH2, VRNH3, PPDH1, and PPDH2 (candidate gene
HvFT3). These genes from the vernalization and photoperiod pathways show complex
interactions to promote flowering that are still not understood fully. In
particular, PPDH2 function is assumed to be limited to the ability of a short
photoperiod to promote flowering. Evidence from the fields of biodiversity,
ecogeography, agronomy, and molecular genetics was combined to obtain a more
complete overview of the potential role of PPDH2 in environmental adaptation in
barley. RESULTS: The dominant PPDH2 allele is represented widely in spring barley
cultivars but is found only occasionally in modern winter cultivars that have
strong vernalization requirements. However, old landraces from the Iberian
Peninsula, which also have a vernalization requirement, possess this allele at a
much higher frequency than modern winter barley cultivars. Under field conditions
in which the vernalization requirement of winter cultivars is not satisfied, the
dominant PPDH2 allele promotes flowering, even under increasing photoperiods
above 12 h. This hypothesis was supported by expression analysis of vernalization
responsive genotypes. When the dominant allele of PPDH2 was expressed, this was
associated with enhanced levels of VRNH1 and VRNH3 expression. Expression of
these two genes is needed for the induction of flowering. Therefore, both in the
field and under controlled conditions, PPDH2 has an effect of promotion of
flowering. CONCLUSIONS: The dominant, ancestral, allele of PPDH2 is prevalent in
southern European barley germplasm. The presence of the dominant allele is
associated with early expression of VRNH1 and early flowering. We propose that
PPDH2 promotes flowering of winter cultivars under all non-inductive conditions,
i.e. under short days or long days in plants that have not satisfied their
vernalization requirement. This mechanism is indicated to be a component of an
adaptation syndrome of barley to Mediterranean conditions.
PMID- 22098799
TI - Obesity: overview of an epidemic.
AB - The obesity epidemic in the United States has proven difficult to reverse. We
have not been successful in helping people sustain the eating and physical
activity patterns that are needed to maintain a healthy body weight. There is
growing recognition that we will not be able to sustain healthy lifestyles until
we are able to address the environment and culture that currently support
unhealthy lifestyles. Addressing obesity requires an understanding of energy
balance. From an energy balance approach it should be easier to prevent obesity
than to reverse it. Further, from an energy balance point of view, it may not be
possible to solve the problem by focusing on food alone. Currently, energy
requirements of much of the population may be below the level of energy intake
than can reasonably be maintained over time. Many initiatives are underway to
revise how we build our communities, the ways we produce and market our foods,
and the ways we inadvertently promote sedentary behavior. Efforts are underway to
prevent obesity in schools, worksites, and communities. It is probably too early
to evaluate these efforts, but there have been no large-scale successes in
preventing obesity to date. There is reason to be optimistic about dealing with
obesity. We have successfully addressed many previous threats to public health.
It was probably inconceivable in the 1950s to think that major public health
initiatives could have such a dramatic effect on reducing the prevalence of
smoking in the United States. Yet, this serious problem was addressed via a
combination of strategies involving public health, economics, political advocacy,
behavioral change, and environmental change. Similarly, Americans have been
persuaded to use seat belts and recycle, addressing two other challenges to
public health. But, there is also reason to be pessimistic. Certainly, we can
learn from our previous efforts for social change, but we must realize that our
challenge with obesity may be greater. In the other examples cited, we had clear
goals in mind. Our goals were to stop smoking, increase the use of seatbelts, and
increase recycling. The difficulty of achieving these goals should not be
minimized, but they were clear and simple goals. In the case of obesity, there is
no clear agreement about goals. Moreover, experts do not agree on which
strategies should be implemented on a widespread basis to achieve the behavioral
changes in the population needed to reverse the high prevalence rates of obesity.
We need a successful model that will help us understand what to do to address
obesity. A good example is the recent HEALTHY study. This comprehensive
intervention was implemented in several schools and aimed to reduce obesity by
concentrating on behavior and environment. This intervention delivered most of
the strategies we believe to be effective in schools. Although the program
produced a reduction in obesity, this reduction was not greater than the
reduction seen in the control schools that did not receive the intervention. This
does not mean we should not be intervening in schools, but rather that it may
require concerted efforts across behavioral settings to reduce obesity. Although
we need successful models, there is a great deal of urgency in responding to the
obesity epidemic. An excellent example is the effort to get menu labeling in
restaurants, which is moving rapidly toward being national policy. The evaluation
of this strategy is still ongoing, and it is not clear what impact it will have
on obesity rates. We should be encouraging efforts like this, but we must
evaluate them rigorously. Once we become serious about addressing obesity, it
will likely take decades to reverse obesity rates to levels seen 30 years ago.
Meanwhile, the prevalence of overweight and obesity remains high and quite likely
will continue to increase.
PMID- 22098801
TI - Obesity, psychiatric status, and psychiatric medications.
AB - This article has shown that obesity is related to several psychiatric disorders,
the most thoroughly researched of which is depression. In both community and
clinical populations, the observed relationship is more consistent in women than
in men, and is stronger in more severely obese individuals. The presence of BED
also is associated with elevated risk of additional psychopathology. Longitudinal
research provides evidence to support a pathway from obesity to depression, as
well as one from depression to obesity. Weight loss, particularly with
nonpharmacologic methods, appears to have favorable group-level effects on mood,
but may be associated with adverse outcomes for some individuals. Persons who
require antipsychotic medications are at risk for weight gain and metabolic
abnormalities, and their management should be informed by consensus guidelines.
PMID- 22098800
TI - Regulation of energy balance and body weight by the brain: a distributed system
prone to disruption.
AB - Maintaining adequate energy supply via regulation of food intake and energy
expenditure is crucial for survival and reproduction. The neural control of
energy balance is highly complex, occurs across distributed central and
peripheral areas, and incorporates multiple domains of control (including
homeostatic and hedonic processes). The sheer number of active compounds (such as
leptin and GLP-1) involved in the regulation of food intake speaks to the
redundancy and complexity of the system. The balance between energy intake and
expenditure is under CNS control. Constant bidirectional communication between
the brain and the GI tract, as well as between the brain and other relevant
tissues (ie, adipose tissue, pancreas, and liver), ensures that the brain
constantly perceives and responds accordingly to the energy status/needs of the
body. This elegant biological system is subject to disruption by a toxic
obesogenic environment, leading to syndromes such as leptin and insulin
resistance, and ultimately further exposing obese individuals to further weight
gain and T2DM. Recent imaging studies in humans are beginning to examine the
influence that higher-order/hedonic brain regions have on homeostatic areas, as
well as their responsiveness to homeostatic peripheral signals. With greater
understanding of these mechanisms, the field moves closer to understanding and
eventually treating the causalities of obesity.
PMID- 22098802
TI - Eating disorders and obesity.
AB - In conclusion, 2 types of disordered eating behaviors affect some overweight and
obese persons. BED and NES present an excellent opportunity to recognize, treat,
and prevent these disorders that, at the least, maintain, and at worst, promote,
overweight and obesity. Articles in this volume by Wilson and co-workers and
Allison and colleagues discuss current treatment options for BED and NES,
respectively. Clinicians are encouraged to evaluate the presence of BED and NES
in all patients who seek treatment for their obesity. Although the prevalence of
these 2 eating disorders is relatively low, both are associated with significant
distress and dysfunction that can be ameliorated with effective treatment.
PMID- 22098803
TI - Treatment of binge eating disorder.
AB - The two specialty psychological therapies of CBT and IPT remain the treatments of
choice for the full range of BED patients, particularly those with high levels of
specific eating disorder psychopathology such as overvaluation of body shape and
weight. They produce the greatest degree of remission from binge eating as well
as improvement in specific eating disorder psychopathology and associated general
psychopathology such as depression. The CBT protocol evaluated in the research
summarized above was the original manual from Fairburn and colleagues. Fairburn
has subsequently developed a more elaborate and sophisticated form of treatment,
namely, enhanced CBT (CBT-E) for eating disorders. Initial research suggests that
CBT-E may be more effective than the earlier version with bulimia nervosa and
Eating Disorder Not Otherwise Specified patients. CBT-E has yet to be evaluated
for the treatment of BED, although it would currently be the recommended form of
CBT. Of relevance in this regard is that the so-called broad form of the new
protocol includes 3 optional treatment modules that could be used to address more
complex psychopathology in BED patients. One of the modules targeted at
interpersonal difficulties is IPT, as described earlier in this chapter. Thus,
the broader protocol could represent a combination of the two currently most
effective therapies for BED. Whether this combined treatment proves more
effective than either of the components alone, particularly for a subset of BED
patients with more complex psychopathology, remains to be tested. CBT-E also
includes a module designed to address what Fairburn terms "mood intolerance"
(problems in coping with negative affect) that can trigger binge eating and
purging. The content and strategies of this mood intolerance module overlap with
the emotional regulation and distress tolerance skills training of Linehan's
dialectical behavior therapy (DBT). Two randomized controlled trials have tested
the efficacy of an adaptation of DBT for the treatment of BED (DBT-BED) featuring
mindfulness, emotion regulation, and distress tolerance training. A small study
by Telch and colleagues found that modified DBT-BED was more effective than a
wait list control in eliminating binge eating. A second study showed that DBT-BED
resulted in a significantly greater remission rate from binge eating at
posttreatment than a group comparison treatment designed to control for
nonspecific therapeutic factors such as treatment alliance and expectations.50
This difference between the two treatments disappeared over a 12-month follow-up,
indicating the absence of DBT-BED-specific influences on long-term outcomes. Both
CBT and IPT have been shown to be more effective in eliminating binge eating than
BWL in controlled, comparative clinical trials. Nonetheless, BWL has been
effective in reducing binge eating and associated eating problems in BED patients
in some studies and might be suitable for treatment of BED patients without high
levels of specific eating disorder psychopathology. A finding worthy of future
research is the apparent predictive value of early treatment response to BWL,
indicating when BWL is likely to prove effective or not. No evidence supports the
concern that BWL's emphasis on moderate caloric restriction either triggers or
exacerbates binge eating in individuals with BED. Initially, CBTgsh was
recommended as a feasible first-line treatment that might be sufficient treatment
for a limited subset of patients in a stepped care approach. More recent
research, however, has shown that CBTgsh seems to be as effective as a specialty
therapy, such as IPT, with a majority of BED patients. The subset of patients
that did not respond well to CBTgsh in this research were those with a high level
of specific eating disorder psychopathology, as noted. A plausible explanation
for this moderator effect is that the original Fairburn CBTgsh manual does not
include an explicit emphasis on body shape and weight concerns. Subsequent
implementation of this treatment has incorporated a module that directly
addresses overvaluation of body shape and weight. Future research should
determine whether an expanded form of CBTgsh is suitable for the full range of
patients with BED. CBTgsh is recommended as a treatment for BED on two other
counts. First, its brief and focused nature makes it cost effective. Second, its
structured format makes it more readily disseminable than other longer,
multicomponent psychological therapies. It can be implemented by a wider range of
treatment providers than more technically complex, time-consuming, and clinical
expertise-demanding specialty therapies such as CBT-E and IPT. The latter
evidence-based therapies are rarely available to patients with BED in routine
clinical care settings. Nevertheless, it must be noted that much of the research
on CBTgsh to date has been conducted in an eating disorder specialty clinic
setting. The degree to which the treatment can be adapted to a range of clinical
service settings remains to be determined. In addition, little is known about the
specific provider qualifications and level of expertise required to implement
CBTgsh successfully. Despite its brief and focal nature, specific provider skills
regarding what and what not to address in treatment are required. Currently
available pharmacologic treatments cannot be recommended for treatment of BED.
Aside from the inconsistent results of existing studies, the striking absence of
controlled long-term evaluation of such treatment argues against its use.As
summarized, the evidence-based treatments of CBT, IPT, and CBTgsh result in
significant improvement and large treatment effects on multiple outcome measures
aside from binge eating in overweight and obese patients. These include specific
eating disorder psychopathology (eg, overvaluation of body shape and weight),
general psychopathology (eg, depression), and psychosocial functioning. Moreover,
these changes are typically well-maintained over 1 to 2 years of follow-up. The
exception to this profile of improvement remains weight loss and its maintenance
over time. These specialty psychological treatments do not produce weight loss,
although successfully eliminating binge eating might protect against future
weight gain. BWL consistently produces short-term weight loss, the extent of
which has varied across different studies. Long-term weight loss has yet to be
demonstrated, however. In this regard, the findings with obese patients with BED
are not different than those on the treatment of obesity in general, in which
there is little robust evidence of enduring weight loss effects of BWL.
PMID- 22098804
TI - Treatment of night eating syndrome.
AB - Although treatment research for NES remains limited, several options are
available for patients whose symptoms require clinical attention. Pharmacotherapy
has received the most empirical support of the proposed treatments. Controlled
trials are needed to confirm the initial results from pilot studies with CBT,
behavioral therapy, and phototherapy, and an extended controlled trial of
progressive muscle relaxation would be useful. In their comprehensive review of
the field, Striegel-Moore and colleagues have questioned the clinical utility of
NES as a diagnostic entity and stress the very limited nature of treatment
studies to date. Research in this field has to provide a systematic examination
of the approaches described here, as well as others yet to be identified. This
pursuit seems warranted given that persons suffering with the cluster of symptoms
identified as NES are approaching health care providers for relief and are often
frustrated by the lack of recognition of this syndrome. Future studies should
test a wider variety of medications that would target serotonin or the circadian
timing of eating. Additionally, trials comparing and combining medication
treatments and CBT (or progressive muscle relaxation alone) would also be useful
in addressing which treatment should be used as a first line treatment. With NES
being considered for inclusion as a Feeding and Eating Condition Not Elsewhere
Classified (FEC-NEC) in the Diagnostic and Statistical Manual of Mental
Disorders, Fifth Edition, it is likely that more clinical attention and studies
will address these important issues in the coming years.
PMID- 22098805
TI - Medical and behavioral evaluation of patients with obesity.
AB - Obesity may be the most significant medical problem that health care providers
will face over the coming decades. Physicians must aggressively address this
chronic disease, providing both preventive and therapeutic care. Since this topic
has not been traditionally taught in medical school or residency training,
physicians and other health providers will need to acquire the knowledge, skills,
and attitudes necessary to be effective obesity care providers. Performing a
detailed initial assessment, including an obesity focused history, physical
examination, and selected laboratory and diagnostic tests is fundamental to the
process of care.
PMID- 22098806
TI - Dietary approaches to the treatment of obesity.
AB - Various dietary strategies can effectively reduce weight, as shown by this
review. Those that are coupled with behavior therapy and ongoing support tend to
produce longer lasting effects. Improvements in health parameters are observed
with each dietary strategy. Improvements in diabetes and CVD risk factors have
been observed with diets ranging from 10% fat to 45% fat. HP diets seem to be
particularly effective in reducing fat mass and TAG, especially in individuals
with dyslipidemia and who are at risk for type 2 diabetes. Likewise, LC diets
have been shown to be effective in decreasing TAG and VLDL and increasing HDL.
Although low-GI diets do not seem to be superior to any other diet for weight
loss, there is evidence to suggest that they may provide some metabolic benefit
for those with type 2 diabetes. Clearly, all of these diets have benefits but
they can be realized only when they are followed. A common theme across studies
is poor long-term adherence and weight regain. Dansinger and colleagues found a
strong association between diet adherence and clinically significant weight loss,
suggesting that "sustained adherence to a diet" rather than "following a certain
type of diet" is the key to successful weight management.
PMID- 22098807
TI - Obesity and physical activity.
AB - Physical activity seems to be an important component of lifestyle interventions
for weight loss and maintenance. Although the effects of physical activity on
weight loss may seem to be modest, there seems to be a dose-response relationship
between physical activity and weight loss. Physical activity also seems to be a
critically important behavior to promote long-term weight loss and the prevention
of weight regain. The benefits of physical activity on weight loss are also
observed in patients with severe obesity (BMI >= 35 kg/m2) and in patients who
have undergone bariatric surgery. Moreover, independent of the effect of physical
activity on body weight, engagement in physical activity that results in improved
cardiorespiratory fitness can contribute to reductions in health risk in
overweight and obese adults. Thus, progression of overweight and obese patients
to an adequate dose of physical activity needs to be incorporated into clinical
interventions for weight control.
PMID- 22098809
TI - Motivational interviewing for weight loss.
AB - MI is a patient-centered directive counseling style that aims to facilitate
patients' likelihood of making behavior change through the exploration and
strengthening of personal motivations. Hallmarks of MI include a collaborative
relationship between patient and practitioner, a focus on the elicitation and
enhancement of change talk, a nonconfrontational style, and a concerted effort to
minimize resistance. MI has been applied to a variety of health-related
behaviors, and a growing body of research suggests that this approach may be
useful in the context of behavioral weight management. Although results are not
uniform, the majority of research suggests that MI delivered as an independent
component in addition to a behavioral weight loss program can augment weight loss
and likely exerts its beneficial effects through enhancement of treatment
engagement and adherence to behavioral recommendations. Furthermore, preliminary
research suggests that MI may be helpful in promoting weight maintenance after an
initial loss has been achieved. Given that behavioral weight management is a
relatively new application of MI, a variety of issues merit further
investigation. Of particular interest are issues related to the type and extent
of provider training necessary to ensure adequate skill development, cost
effectiveness of MI, and translational research to determine the feasibility and
effectiveness of incorporating MI strategies into real-world weight loss
settings.
PMID- 22098808
TI - Behavioral treatment of obesity.
AB - This review has shown that behavioral treatment is effective in inducing a 10%
weight loss, which is sufficient to significantly improve health. Weight loss
maintenance is challenging for most patients. Long-term outcomes have the
potential to be improved through various methods including prolonging contact
between patients and providers (either in the clinic or via Internet or
telephone), facilitating high amounts of physical activity, or combining
lifestyle modification with pharmacotherapy. Innovative programs also are being
developed to disseminate behavioral approaches beyond traditional academic
settings.
PMID- 22098810
TI - Drug treatment of obesity.
AB - Both diet and medications are useful in the treatment of the obese patient.
Weight loss of about 10% below baseline can be achieved with both, and there is
no evidence that the composition of the diet, by itself, has any influence on
weight loss. Presently only 1 drug is approved for long-term treatment of
overweight patients, and its effectiveness is limited to palliation of the
chronic disease of obesity. Combinations of medications and antidiabetic drugs
that produce weight loss are being evaluated.
PMID- 22098811
TI - Surgical treatments for obesity.
AB - Bariatric surgery is currently the most effective and durable treatment option
for extreme obesity. Restrictive procedures, such as AGB and SG, limit gastric
capacity and, thus, food intake while leaving the gastrointestinal tract intact.
Malabsorptive procedures, such as BPD, shorten the length of the intestine to
decrease nutrient absorption. Combined procedures, such as RYGB, include
restriction and gastrointestinal rearrangement. Procedures that bypass segments
of the gut are associated with greater weight loss and greater improvements in
comorbid conditions than is gastric banding. This may be due, in part, to the
differential effects of gastrointestinal rearrangement on the secretion of
orexigenic and anorexigenic gut peptides that regulate appetite, glucose
homeostasis, and body weight. Bariatric surgery is generally associated with low
rates of perioperative and postoperative morbidity and mortality, although
rigorous comparative safety data are lacking. High-quality, long-term,
randomized, controlled trials are needed to compare the efficacy, safety, and
cost effectiveness of the various bariatric surgery procedures with each other,
as well as with intensive nonsurgical weight loss interventions.
PMID- 22098812
TI - Obesity: a public health approach.
AB - Obesity is an epidemic that likely will worsen without substantive changes to the
current environment. Although treatment of the individual has conventionally been
the focus of the obesity field, prevention using a public health model will be
essential for making progress on a population level. There are encouraging signs
that communities across the country are acknowledging the complex causes of
obesity and making impressive reforms to improve their health and that of their
children. Public policy changes long have been used to combat infectious and
chronic diseases and will be vital in the attempt to reduce the toll of poor
diet, physical inactivity, and obesity.
PMID- 22098813
TI - Preface. Obesity and associated eating disorders: a guide for mental health
professionals.
PMID- 22098814
TI - Monitoring and blunting styles in fluid restriction consultation.
AB - Excessive fluid overload is common in hemodialysis patients. Understanding fluid
intake behavior in relation to used cognitive coping style would serve the fluid
restriction consultation. The aim of this study was to explore whether
hemodialysis patients' fluid intake behavior differs as a function of used coping
style. Secondary analysis of data from 51 hemodialysis patients regarding
cognitive coping style (assessed by the Threatening Medical Situations Inventory)
and fluid intake behavior were used. The participants' mean age was 62.9 years
(range 27-84), they had received dialysis treatment for 3.9 years on average
(range 0-22), 63% were male and they had gained 3.6% (+/-1.3) of their dry body
weight during the interdialytic period. There was a significant difference in
fluid intake behavior between coping groups (F = 3.899, d.f. 2, P = 0.027). The
difference (P = 0.028) was isolated between patients with cognitive blunting
style and patients with neutral coping style. Identification of hemodialysis
patients using cognitive avoidance strategies can be advantageous in renal care.
Fluid advice provided may have to be adjusted to the used coping style,
especially for patients with a blunting coping style. However, the findings need
to be confirmed, and the effect of individualized counseling needs to be
evaluated in forthcoming studies.
PMID- 22098815
TI - Tracheobronchial malposition of fine bore feeding tube in patients with
mechanical ventilation.
PMID- 22098816
TI - Serological testing of cattle experimentally infected with Mycoplasma mycoides
subsp. mycoides Small Colony using four different tests reveals a variety of
seroconversion patterns.
AB - BACKGROUND: To study the specific antibody response to infection with Mycoplasma
mycoides subsp. mycoides Small Colony (MmmSC), the agent of Contagious Bovine
Pleuropneumonia (CBPP), we examined three panels of sera collected during three
experimental infection trials in African cattle. The methods used included an in
house complement fixation test (CFT), a commercially available CFT, a competitive
antibody ELISA (cELISA) and the immunoblotting test (IBT). In addition, lung
tissue samples were examined by culture. RESULTS: A total of 89% (51/59) of all
experimentally infected animals tested positive on at least one of the
serological tests throughout the trial. The specific antibody titres to the MmmSC
infection became positive first by CFT (6 to 9 days post infection [dpi]),
followed by IBT (9 to 13 dpi) and cELISA (13 to 16 dpi). Individual animals were
found to display remarkably distinct seroconversion patterns, which allowed their
classification into i) early high responders, ii) late high responders, and iii)
low responders. In accordance with other studies, none of the present serological
tests was capable of detecting all CBPP infected animals. CONCLUSION: Comparison
of the assays' performance in terms of sensitivity and specificity raises serious
questions as to their reliability for identification of infected individuals in
the field. In view of these limitations, a combination of CFT and cELISA can
markedly improve CBPP diagnosis at single-animal level.
PMID- 22098818
TI - A tribute.
PMID- 22098817
TI - Biliary dysplasia in patients with primary sclerosing cholangitis: additional
value of DNA ploidity.
AB - BACKGROUND: Detection of biliary dysplasia in PSC is essential for proper timing
of liver transplantation to prevent the development of cholangiocancer, which is
considered a contraindication for liver transplantation in most centres. In
patients with PSC, differential diagnosis of benign, premalignant and malignant
biliary strictures is difficult. AIMS: This prospective study aimed to evaluate
the role of DNA analysis in combination with brush cytology, scored ERCP
findings, and tumour markers to detect hepatobiliary dysplasia and malignancy.
MATERIAL AND METHODS: Brush samples for cytology and for evaluation of DNA
content analysed with flow cytometry came from 102 consecutive PSC patients
referred for ERCP. Symptoms, serum Ca19-9 and CEA were determined at the time of
index biliary examination. ERCP findings were scored for intra- and extrahepatic
changes. The end-points were liver transplantation or diagnosis of malignancy or
dysplasia. RESULTS: Most of the patients were asymptomatic at the time of ERCP:
73% had no symptoms, and 12% had only mild symptoms. An aneuploid DNA content was
evident in 20 (20%) patients, and cells suspected for malignancy in 22 (21%).
Seven patients had both aneuploidity and cytology (7%) suspicious for malignancy.
An end-point, diagnosis of malignancy or liver transplantation was achieved in 42
patients. Combining DNA ploidity and cytology in patients at the end-point,
sensitivity was 72%, specificity 82%, positive predictive value 86% and negative
predictive value 67%. DISCUSSION AND CONCLUSION: In this mostly asymptomatic PSC
patient population, 33% demonstrated abnormal brush cytology or aneuploidity.
Determining DNA ploidy and brush cytology during ERCP offers a useful tool for
identifying those PSC patients who are at high risk of developing
cholangiocancer.
PMID- 22098819
TI - Introduction and transition.
PMID- 22098820
TI - Letter to the editor.
PMID- 22098821
TI - New-onset psoriasis in a maintenance hemodialysis patient.
AB - New-onset psoriasis is extremely rare in hemodialysis (HD) patients, and several
trials of dialysis therapies (HD and peritoneal dialysis) in psoriasis have
indicated remarkable improvement in skin lesions and well-being even in patients
without renal impairment. We describe a patient who developed severe psoriasis
despite undergoing chronic maintenance hemodialysis for 5 years and was treated
successfully with oral cyclosporin A.
PMID- 22098822
TI - Detection of porcine DNA in gelatine and gelatine-containing processed food
products-Halal/Kosher authentication.
AB - A commercially available real-time PCR, based on a multi-copy target cytochrome b
(cyt b) using porcine specific primers, has been validated for the Halal/Kosher
authentication of gelatine. Extraction and purification of DNA from gelatine were
successfully achieved using the SureFood(r) PREP Animal system, and real-time PCR
was carried out using SureFood(r) Animal ID Pork Sens kit. The minimum level of
adulteration that could be detected was 1.0% w/w for marshmallows and gum drops.
A small survey was undertaken of processed food products such as gum drops,
marshmallows and Turkish delight, believed to contain gelatine. Of fourteen food
products from Germany, two samples were found to contain porcine gelatine,
whereas of twenty-nine samples from Turkey twenty-eight were negative. However,
one product from Turkey contained porcine DNA and thus was not Halal, and neither
was the use of porcine gelatine indicated on the product label.
PMID- 22098823
TI - Preliminary study on the effect of caspase-6 and calpain inhibitors on postmortem
proteolysis of myofibrillar proteins in chicken breast muscle.
AB - The objective was to determine the effect of three different protease inhibitors,
caspase-6 specific inhibitor VEID-CHO (N-Acetyl-Val-Glu-Ile-Asp-al), calpain
inhibitor leupeptin or calpain inhibitor EGTA on protein degradation,
ultrastructure of myofibrils and calpain activity during postmortem (PM) aging of
chicken muscle. Results showed that proteolysis of nebulin, troponin-T and desmin
during 14-days postmortem storage were inhibited significantly by leupeptin.
Inhibitive effects of VEID-CHO and EGTA on these protein degradations were
significant only during 1-day postmortem storage. The activities of calpains were
inhibited noticeably by leupeptin and EGTA, but not by VEID-CHO. Samples treated
with VEID-CHO, leupeptin and EGTA retarded structural disruption of chicken
muscle fibers. These results demonstrate that calpain is a major contributor to
PM tenderization; while caspase-6 plays, if any, a minimal role in the conversion
of chicken muscle to meat.
PMID- 22098824
TI - Abnormal muscle activation during gait in diabetes patients with and without
neuropathy.
AB - The World Health Organization warns that, in 2000, as many as 33 million
Europeans suffered from diabetes, approximately 15% will likely develop foot
ulcers, and approximately 15-20% of these patients will face lower-extremity
amputation. Changes in some gait parameters that appear to be specific in
diabetes have been identified in the literature: shorter stride length, reduced
walking speed, and altered lower limb and trunk mobility. The present study aimed
at evaluating the role of altered muscle activity in gait alterations of diabetic
subjects with and without neuropathy. This study involved 50 subjects: 10
controls (BMI 24.4 +/- 2.8, age 61.2 +/- 5.07), 20 diabetics (BMI 26.4 +/- 2.5,
age 56.53 +/- 13.29) and 20 neuropathics (BMI 26.8 +/- 3.4, age 61.2 +/- 7.7).
The electrical activity of six muscles was collected bilaterally on the lower
limb during gait: gluteus medius, rectus femoris, tibialis anterior, peroneous
longus, gastrocnemius lateralis, and extensor digitorum communis.
Electromyographic activity was represented through linear envelopes. Time and
space parameters were also evaluated by means of two Bertec force plates and a
six cameras motion capture system (BTS, 60-120 Hz). At initial contact and
loading response, an early peak of rectus femoris activity occurred in diabetic
subjects with and without neuropathy. During midstance a delay of gastrocnemius
activity was observed in diabetic non-neuropathic subjects. During terminal swing
a delay of rectus femoris and gluteus medius activity was seen in diabetic non
neuropathic subjects'. The results suggest that important muscle activity
deviations are present in diabetic subjects although these are not directly
related to neuropathy.
PMID- 22098825
TI - Both coordination and symmetry of arm swing are reduced in Parkinson's disease.
AB - OBJECTIVE: A recent study reporting significantly reduced symmetry in arm swing
amplitude in early Parkinson's disease (PD), as measured during single strides in
a gait laboratory, led to this investigation of arm swing symmetry and
coordination over many strides using wearable accelerometers in PD. METHODS:
Forearm accelerations were recorded while eight early PD subjects and eight
Controls performed 8-min walking trials. Arm swing asymmetry (ASA), maximal cross
correlation (MXC), and instantaneous relative phase (IRP) of bilateral arm swing
were compared between PD and Controls. Correlations between arm swing
measurements (ASA and MXC) and Unified PD Rating Scale (UPDRS) scores were
estimated. RESULTS: PD subjects demonstrated significantly higher ASA (p=0.002)
and lower MXC (p<0.001) than Controls. The IRP probability distribution for PD
was significantly different than Controls (p<0.001), with an angular standard
deviation of 67.2 degrees for PD and 50.6 degrees for Controls. Among PD
subjects, ASA was significantly correlated with the UPDRS score for the limbs
(R(2)=0.58, p=0.049), whereas MXC was significantly correlated with the tremor
subscore of the limbs (R(2)=0.64, p=0.031). DISCUSSION: The study confirms
previously reported higher arm swing asymmetry in PD but also shows there is
significantly lower MXC and greater IRP variability, suggesting that reduction in
bilateral arm coordination may contribute to clinically observed asymmetry in PD.
The differential correlation of clinical measures of motor disability with
measurements of arm swing during gait is intriguing and deserves further
investigation.
PMID- 22098826
TI - [Foreword].
PMID- 22098828
TI - Gastric cancer: endoscopic diagnosis and staging.
AB - Gastric cancer is common and is a cause of severe morbidity and mortality. Early
diagnosis can improve the chances of cure and prolong survival because prognosis
is inversely related to the disease stage. Endoscopy plays an important role in
diagnosis. Emerging adjunct technologies such as image-enhanced endoscopy and
magnification endoscopy aid in early cancer detection. Endoscopic ultrasonography
is an additional useful tool for preoperative staging. Endoscopy for screening,
except for high-risk patients, and outside areas of high prevalence, remains
controversial.
PMID- 22098827
TI - [Neurological adverse events under anti-TNF alpha therapy].
AB - INTRODUCTION: Anti-TNF alpha treatments are increasingly prescribed in various
rheumatological or gastroenterological inflammatory diseases. Several adverse
events, including neurological episodes have been reported in the literature.
Relation to treatment is a major concern and guidelines for management of those
patients are not available. The aim of our study is to collect and analyze
neurological adverse events occurring during anti-TNF alpha therapy, and to
propose guidelines for diagnosis of demyelinating-induced diseases. METHODS: All
patients treated with anti-TNF alpha drug, who were addressed in our department
following a neurological event, were collected. We gathered clinical data
including previous neurological history and immunosuppressive treatments.
Paraclinical data included brain and spinal MRI, CSF study and outcome after anti
TNF therapy was collected. RESULTS: Nine patients were included in this study.
Sex ratio was eight and mean age was 49+/-9 years. One patient had previous
history of subarachnoidian hemorrage. All the patients previously received
immunosuppressive drugs, including methotrexate (nine) and leflunomide (four).
Three patients had a brain MRI before initiation of anti-TNF treatment, which was
normal. Clinical episode was stroke-like in three cases, clinically isolated
syndrome (CIS) in five cases, and peripheral neuropathy in one case. MRI showed
lesions suggestive of demyelinating T2 hyperintensities in four cases, vascular
infarcts in two cases, and non-specific T2 hyperintensities in three cases.
Barkhof and Tintore criteria were fulfilled in one of the four CIS cases. CSF
study was available for six patients. It was normal (four cases), showed
oligoclonal bands (one case) and lymphocytic meningitis (one case). Anti-TNF
alpha discontinuation was decided in five cases. Outcome was favorable for eight
patients. One patient, whom MRI fulfilled Barkhof and Tintore criteria, and CSF
showed oligoclonal bands, further developed relapsing remitting multiple
sclerosis. CONCLUSION: Our study is compatible with data found in the literature.
Barkhof and Tintore criteria and CSF study are useful in clinical practice to
diagnose a first demyelinating event. Standardized paraclinical neurological
explorations should be proposed to physicians who are in charge of anti-TNF
treated patients.
PMID- 22098829
TI - Gastrointestinal stromal tumors of the stomach.
AB - Gastrointestinal stromal tumors (GISTs) are relatively rare mesenchymal tumors
located within the submucosa of the GI tract. The defining characteristic of
GISTs is the presence of the cell-surface antigen CD117 receptor tyrosine kinase,
identified by immunohistochemistry. Currently the only cure for GIST is complete
surgical resection. Imatinib has revolutionized the treatment of GISTs and has
been used as adjuvant treatment after resection, and as treatment for locally
advanced, recurrent, and metastatic GIST. Imatinib resistance has become a
significant concern in the treatment of GISTs and other tyrosine kinase
inhibitors that target different pathways are currently being studied.
PMID- 22098830
TI - Familial gastric cancer: genetics, diagnosis, and management.
AB - This article focuses on the diagnosis and management of familial gastric cancer,
particularly hereditary diffuse gastric cancer (HDGC). First, existing consensus
guidelines are discussed and then the pathology and genetics of HDGC are
reviewed. Second, patient management is covered, including surveillance
gastroscopy, prophylactic total gastrectomy, and management of the risk of breast
cancer.
PMID- 22098831
TI - Standard D2 and modified nodal dissection for gastric adenocarcinoma.
AB - The quality of surgical treatment is a major determinant of cancer treatment
outcomes; however, controlling surgical quality is a difficult task. Surgical
treatment of gastric cancers, and especially the benefits of nodal dissection,
has been a topic of debate and no consensus has been reached to date. The D2
nodal dissection defined, standardized, and practiced in Japan is a technically
challenging procedure but carries better locoregional disease control. This
article reviews the current definition of D1, D1 plus, and D2 nodal dissections,
as well as the nodal dissection technique, indications for its modification, and
the learning curve.
PMID- 22098832
TI - Gastric cancer eastern experience.
AB - Several guidelines are used for cancer therapy throughout the world. The Japan
Gastric Cancer Association guideline, whereby standard surgery for T2 to T4
curable gastric cancer is defined as more than two-thirds gastrectomy with D2
dissection, are widely followed, with further data being gathered from either
single institutions or nationwide registry. In the East, D2 dissection shows much
better results than less extended surgery followed by adjuvant treatment.
Adjuvant chemotherapy without radiotherapy shows significantly better survival
results than surgery alone only when D2 dissection is applied. Without good local
control, including regional lymph node metastasis, the cure rate cannot be high.
PMID- 22098833
TI - Surgery for gastric cancer: what the trials indicate.
AB - To optimize the therapeutic value of an operation for cancer, surgeons must weigh
survival value against mortality/morbidity risk. As a result of several
prospective, randomized trials, many surgeons feel that international opinion has
reached a consensus. Reflexively radical surgical hubris has certainly given way
to a more nuanced, customized approach to this disease. But issues remain. This
article critically reviews existing data and emphasizes areas of continued
controversy.
PMID- 22098834
TI - Preoperative and postoperative chemotherapy for gastric cancer.
AB - Radical surgery offers the only chance of cure for patients with operable gastric
cancer; however, outcomes remain generally poor due to a high rate of relapse
post gastric surgery. Multimodality therapy using chemotherapy, radiation or a
combination of both have been evaluated in different parts of the world to
improve outcomes from surgery alone. Perioperative chemotherapy is generally
preferred in Europe in contrast to postoperative chemoradiation in the US or
adjuvant fluoropyrimidine chemotherapy in East Asia. Regardless of these
variations, systemic chemotherapy consistently results in a survival benefit when
used in multimodality treatment of operable gastric cancer.
PMID- 22098835
TI - Phase I and II clinical trials for gastric cancer.
AB - Gastric cancer remains a global public health problem with considerable
heterogeneity in pathogenesis and clinical presentation across geographic
regions. Improved understanding of the molecular biology of this disease has
opened avenues for targeted intervention. An individualized treatment approach is
required for optimal management of this cancer. Overcoming resistance to therapy
requires combining targeted agents with the traditional options of
chemotherapy/radiation therapy, and also targeting more than 1 pathway of
carcinogenesis at a time. Encouraging molecular hypothesis and biomarker-driven
trials will lead to improved patient outcomes and may eventually enable the
therapeutic nihilism associated with gastric cancer to be overcome.
PMID- 22098836
TI - Endoscopic mucosal resection, endoscopic submucosal dissection, and beyond: full
layer resection for gastric cancer with nonexposure technique (CLEAN-NET).
AB - Mucosal cancer in the gastrointestinal tract generally has low risk of lymph node
metastasis. Endoscopic mucosal resection (EMR) and endoscopic submucosal
dissection (ESD) are techniques of local excision of neoplasia confined to the
mucosal layer. Specimens from EMR/ESD contribute to several diagnoses, and
histologic results affect treatment decisions. A combined laparoscopic and
endoscopic approach to neoplasia with a nonexposure technique allows full
thickness resection of the stomach wall without exposing the gastric lumen to the
peritoneal cavity, preventing cancer cell dissemination to the peritoneal cavity.
This article reviews EMR/ESD and describes a new full-thickness resection method
using the nonexposure technique (CLEAN-NET).
PMID- 22098837
TI - Laparoscopic resection for gastric carcinoma: Western experience.
AB - There has been much speculation regarding differences in outcome for patients who
have gastric cancer in the Eastern versus Western world. Among other factors,
these differences have contributed to a unique cohort of patients and experience
in the Western staging/evaluation of gastric cancer and in the application of
minimally invasive approaches for treatment. This review summarizes the current
state of laparoscopic approaches for the staging and treatment of gastric
adenocarcinoma for patients presenting in Western countries, with their
associated unique presentation, comorbidities, and outcomes.
PMID- 22098838
TI - Molecular markers for incidence, prognosis, and response to therapy.
AB - Lung cancer is the most common malignancy in the United States and worldwide. In
2011, it is estimated that more than 221,000 people in the United States will be
diagnosed with cancer of the lung and bronchus. For patients with early-stage
disease, 5-year survival approaches only 50%. Recent advances using molecular,
genetic, and proteomic profiling of lung tumors have enabled refining the
prognosis for patients with non-small cell lung cancer. With targeted therapies,
there is an opportunity to enhance long-term survival. This article discusses
several key molecular markers used in the prognostication and treatment of non
small cell lung cancer.
PMID- 22098839
TI - Management of gastric cancer. Foreword.
PMID- 22098840
TI - Management of gastric cancer. Preface.
PMID- 22098841
TI - Politics and patient care.
PMID- 22098842
TI - CRASH-2 goes viral.
PMID- 22098843
TI - Breast cancer: old and new debates.
PMID- 22098844
TI - The Global Fund: getting the reforms right.
PMID- 22098846
TI - Effect of population-based screening on breast cancer mortality.
PMID- 22098850
TI - Hydroxycarbamide use in young children with sickle-cell anaemia.
PMID- 22098851
TI - Hydroxycarbamide use in young children with sickle-cell anaemia.
PMID- 22098852
TI - Need to realign patient-oriented and commercial and academic research.
PMID- 22098853
TI - MRI for breast cancer screening, diagnosis, and treatment.
AB - MRI is used widely both for screening women who are at increased risk of breast
cancer and for treatment selection. Prospective studies confirm that MRI
screening of women with known or suspected genetic mutation results in a higher
sensitivity for cancer detection than does mammography. However, survival data
are not available. In women with breast cancer, MRI detects cancer not identified
with other types of screening. In two randomised trials, this increased
sensitivity did not translate into improved selection of surgical treatment or a
reduction in the number of operations. Data for longer-term outcomes such as
ipsilateral breast tumour recurrence rates and contralateral breast cancer
incidence are scarce, but to date do not show clear benefit for MRI. MRI is
better than other methods of assessing the response to neoadjuvant chemotherapy,
and is helpful in identifying the primary tumour in patients who present with
axillary adenopathy.
PMID- 22098854
TI - Gene expression profiling in breast cancer: classification, prognostication, and
prediction.
AB - Microarray-based gene expression profiling has had a major effect on our
understanding of breast cancer. Breast cancer is now perceived as a heterogeneous
group of different diseases characterised by distinct molecular aberrations,
rather than one disease with varying histological features and clinical
behaviour. Gene expression profiling studies have shown that oestrogen-receptor
(ER)-positive and ER-negative breast cancers are distinct diseases at the
transcriptomic level, that additional molecular subtypes might exist within these
groups, and that the prognosis of patients with ER-positive disease is largely
determined by the expression of proliferation-related genes. On the basis of
these principles, a molecular classification system and prognostic multigene
classifiers based on microarrays or derivative technologies have been developed
and are being tested in randomised clinical trials and incorporated into clinical
practice. In this review, we focus on the conceptual effect and potential
clinical use of the molecular classification of breast cancer, and discuss
prognostic and predictive multigene predictors.
PMID- 22098855
TI - A strange case of waitress headache.
PMID- 22098856
TI - Non-communicable diseases and surgery at the UN? "Fugetaboutit!".
PMID- 22098857
TI - The forgotten psychosocial dimension of the obesity epidemic.
PMID- 22098858
TI - Nonlinearities in soft tissue strain.
PMID- 22098859
TI - Characterization of the nonlinear behaviour and the failure of human liver
capsule through inflation tests.
AB - This paper aims at describing an inflation test protocol on a human liver capsule
using stereo-correlation. The biaxial tension created by the inflation test is
comparable to the type of loading the capsule would be subjected to during a
liver compression. Confocal microscopy associated to an anti-collagen coloration
reveals that the tissue is isotropic at the meso-scale. Stereo-correlation
provides the strain field of the capsule during the test. It emphasizes the
boundary condition effects on the strain field. The measurement of the shape of
the capsule is used to determine the parameters of two hyperelastic (polynomial
and exponential) homogeneous models. The ultimate first principal strain before
failure is measured locally and its value is 50.5%+/-10.8%. In this protocol, the
light goes throughout the sample and makes the heterogeneities of the material
appear as darker grey levels on the pictures. These heterogeneities also appear
on the strain fields, so we can assume that they have different material
properties.
PMID- 22098860
TI - Modeling failure of soft anisotropic materials with application to arteries.
AB - The arterial wall is a composite where the preferred orientation of collagen
fibers induces anisotropy. Though the hyperelastic theories of fiber-reinforced
composites reached a high level of sophistication and showed a reasonable
correspondence with the available experimental data they are short of the failure
description. Following the tradition of strength of materials the failure
criteria are usually separated from stress analysis. In the present work we
incorporate a failure description in the hyperelastic models of soft anisotropic
materials by introducing energy limiters in the strain energy functions. The
limiters provide the saturation value for the strain energy which indicates the
maximum energy that can be stored and dissipated by an infinitesimal material
volume. By using some popular constitutive models enhanced with the energy
limiters we analyze rupture of a sheet of arterial material under the plane
stress state varying from the uniaxial to equal biaxial tension. We calculate the
local failure criteria including the maximum principal stress, the maximum
principal stretch, the von Mises stress, and the strain energy at the moment of
the sheet rupture. We find that the local failure criterion in the form of the
critical strain energy is the most robust among the considered ones. We also find
that the tensile strength-the maximum principal stress-that is usually obtained
in uniaxial tension tests might not be appropriate as a failure indicator in the
cases of the developed biaxiality of the stress-strain state.
PMID- 22098861
TI - Mechanical events within the arterial wall under the forces of pulsatile flow: a
review.
AB - Under the dynamic conditions of pulsatile flow, the forces exerted by the fluid
on the vessel wall create considerable displacements and stresses within the
thickness of the vessel wall. We review a series of analytical options for
exploring the dynamics of the vessel wall, specifically displacements and
stresses within the depth of the vessel wall, under a range of conditions
including the degree of external tethering and the mechanical consistency of the
wall material. It is shown that one of the most important effects of tethering is
that of drastically restricting radial displacements of and within the vessel
wall. This restriction in turn places limits on the length and speed of the
propagating wave. Specifically, the wave speed is significantly reduced as a
result of tethering. This has important consequences because the wave speed, or
pulse wave velocity as it is referred to in the clinical setting, is used as an
index of vascular stiffening in relation to aging or age related hypertension. It
is found further that the extent of displacements and shear stresses within the
vessel wall depend critically on the relative proportions of viscous and elastic
content within the wall. In particular, loss of viscous consistency leads to
higher shear stresses within the wall, thus putting higher loading on elastin and
may ultimately lead to elastin fatigue. As elastin gradually fails, its load
bearing function is presumably taken over by collagen which renders the vessel
wall less elastic and more rigid as is observed in the aging process.
PMID- 22098862
TI - Anisotropic time-dependant behaviour of the aortic valve.
AB - The complex tri-layered structure of the aortic valve (AV) results in anisotropic
quasi-static mechanical behaviour. However, its influence on AV viscoelasticity
remains poorly understood. Viscoelasticity may strongly influence AV dynamic
mechanical behaviour, making it essential to characterise the time-dependent
response for designing successful substitutes. This study attempts to
characterise the time-dependent behaviour of the AV at different strain and load
increments, and to gain insight into the contribution of the microstructure to
this behaviour. Uniaxial incremental stress-relaxation and creep experiments were
undertaken, and the experimental data analysed with a generalised Maxwell model,
to determine the characteristic time-dependent parameters. Results showed that
the time dependent response of the tissue differed with the loading direction,
and also with the level of applied load or strain, in both stress-relaxation and
creep phenomena. Both phenomena were consistently more pronounced in the radial
loading direction. Fitting of the Maxwell model highlighted that the time
dependent modes required to model the data also varied in different increments,
and additionally with the loading direction. These results suggest that different
micro-structural mechanisms may be activated in stress-relaxation and creep,
determined by the microstructural organisation of the valve matrix in each
loading direction, at each strain or load increment.
PMID- 22098864
TI - A systems based experimental approach to tactile friction.
AB - This work focuses on the friction in contacts where the human finger pad is one
of the interacting surfaces. This 'tactile friction' requires a full
understanding of the contact mechanics and the behaviour of human skin. The
coefficient of friction cannot be considered as a property of the skin alone, but
depends on the entire tribo-system. In this work, frictional forces were measured
using a commercially available load cell. Parameters such as the hydration of the
skin, the normal load on the contact and the roughness of the contacting surfaces
were varied, whilst keeping the other parameters constant. The tests were
performed under controlled environmental conditions. The total friction force is
a combination of forces related to adhesion and to deformation. A commonly made
assumption is that, to describe the friction of human skin, the deformation
component can be ignored and only the adhesive behaviour has to be taken into
account. However, in this study it was found that the forces related to the
(micro-scale) deformation of skin can have a significant contribution to the
total friction force; this is valid both for dry conditions and in the presence
of water, when hydration of the skin causes softening.
PMID- 22098863
TI - Effect of orientation and targeted extracellular matrix degradation on the shear
mechanical properties of the annulus fibrosus.
AB - The intervertebral disc experiences combinations of compression, torsion, and
bending that subject the disc substructures, particularly the annulus fibrosus
(AF), to multidirectional loads and deformations. Combined tensile and shear
loading is a particularly important loading paradigm, as compressive loads place
the AF in circumferential hoop tension, and spine torsion or bending induces AF
shear. Yet the anisotropy of AF mechanical properties in shear, as well as
important structure-function mechanisms governing this response, are not well
understood. The objective of this study, therefore, was to investigate the
effects of tissue orientation and enzymatic degradation of glycosaminoglycan
(GAG) and elastin on AF shear mechanical properties. Significant anisotropy was
found: the circumferential shear modulus, Gthetaz, was an order of magnitude
greater than the radial shear modulus, Grtheta. In the circumferential direction,
prestrain significantly increased the shear modulus, suggesting an important role
for collagen fiber stretch in shear properties for this orientation. While not
significant and highly variable, ChABC treatment to remove GAG increased the
circumferential shear modulus compared to PBS control (p=0.15). Together with the
established literature for tensile loading of fiber-reinforced GAG-rich tissues,
the trends for changes in shear modulus with ChABC treatment reflect complex,
structure-function relationships between GAG and collagen that potentially occur
over several hierarchical scales. Elastase digestion did not significantly affect
shear modulus with respect to PBS control; further contributing to the notion
that circumferential shear modulus is dominated by collagen fiber stretch. The
results of this study highlight the complexity of the structure-function
relationships that govern the mechanical response of the AF in radial and
circumferential shear, and provide new and more accurate data for the validation
of material models and tissue-engineered disc replacements.
PMID- 22098866
TI - A mesostructurally-based anisotropic continuum model for biological soft tissues-
decoupled invariant formulation.
AB - Characterising and modelling the mechanical behaviour of biological soft tissues
is an essential step in the development of predictive computational models to
assist research for a wide range of applications in medicine, biology, tissue
engineering, pharmaceutics, consumer goods, cosmetics, transport or military. It
is therefore critical to develop constitutive models that can capture particular
rheological mechanisms operating at specific length scales so that these models
are adapted for their intended applications. Here, a novel mesoscopically-based
decoupled invariant-based continuum constitutive framework for transversely
isotropic and orthotropic biological soft tissues is developed. A notable feature
of the formulation is the full decoupling of shear interactions. The constitutive
model is based on a combination of the framework proposed by Lu and Zhang [Lu,
J., Zhang, L., 2005. Physically motivated invariant formulation for transversely
isotropic hyperelasticity. International Journal of Solids and Structures 42,
6015-6031] and the entropic mechanics of tropocollagen molecules and collagen
assemblies. One of the key aspects of the formulation is to use physically-based
nanoscopic quantities that could be extracted from experiments and/or
atomistic/molecular dynamics simulations to inform the macroscopic constitutive
behaviour. This effectively couples the material properties at different levels
of the multi-scale hierarchical structure of collagenous tissues. The orthotropic
hyperelastic model was shown to reproduce very well the experimental multi-axial
properties of rabbit skin. A new insight into the shear response of a skin sample
subjected to a simulated indentation test was obtained using numerical direct
sensitivity analyses.
PMID- 22098865
TI - Fiber angle and aspect ratio influence the shear mechanics of oriented
electrospun nanofibrous scaffolds.
AB - Fibrocartilages, including the knee meniscus and the annulus fibrosus (AF) of the
intervertebral disc, play critical mechanical roles in load transmission across
joints and their function is dependent upon well-defined structural hierarchies,
organization, and composition. All, however, are compromised in the pathologic
transformations associated with tissue degeneration. Tissue engineering
strategies that address these key features, for example, aligned nanofibrous
scaffolds seeded with mesenchymal stem cells (MSCs), represent a promising
approach for the regeneration of these fibrous structures. While such engineered
constructs can replicate native tissue structure and uniaxial tensile properties,
the multidirectional loading encountered by these tissues in vivo necessitates
that they function adequately in other loading modalities as well, including
shear. As previous findings have shown that native tissue tensile and shear
properties are dependent on fiber angle and sample aspect ratio, respectively,
the objective of the present study was to evaluate the effects of a changing
fiber angle and sample aspect ratio on the shear properties of aligned
electrospun poly(epsilon-caprolactone) (PCL) scaffolds, and to determine how
extracellular matrix deposition by resident MSCs modulates the measured shear
response. Results show that fiber orientation and sample aspect ratio
significantly influence the response of scaffolds in shear, and that measured
shear strains can be predicted by finite element models. Furthermore, acellular
PCL scaffolds possessed a relatively high shear modulus, 2-4 fold greater than
native tissue, independent of fiber angle and aspect ratio. It was further noted
that under testing conditions that engendered significant fiber stretch, the
aggregate resistance to shear was higher, indicating a role for fiber stretch in
the overall shear response. Finally, with time in culture, the shear modulus of
MSC laden constructs increased, suggesting that deposited ECM contributes to the
construct shear properties. Collectively, these findings show that aligned
electrospun PCL scaffolds are a promising tool for engineering fibrocartilage
tissues, and that the shear properties of both acellular and cell-seeded
formulations can match or exceed native tissue benchmarks.
PMID- 22098867
TI - Fibre-reinforced calcium phosphate cements: a review.
AB - Calcium phosphate cements (CPC) consist of one or more calcium orthophosphate
powders, which upon mixing with water or an aqueous solution, form a paste that
is able to set and harden after being implanted within the body. Different issues
remain still to be improved in CPC, such as their mechanical properties to more
closely mimic those of natural bone, or their macroporosity to favour
osteointegration of the artificial grafts. To this end, blends of CPC with
polymer and ceramic fibres in different forms have been investigated. The present
work aims at providing an overview of the different approaches taken and
identifying the most significant achievements in the field of fibre-reinforced
calcium phosphate cements for clinical applications, with special focus on their
mechanical properties.
PMID- 22098868
TI - In vivo evaluation of micro-rough and bioactive titanium dental implants using
histometry and pull-out tests.
AB - We report on the in vivo histological and mechanical performance of titanium
dental implants with a new surface treatment (2Step) consisting of an initial
grit-blasting process to produce a micro-rough surface, followed by a combined
chemical and thermal treatment that produces a potentially bioactive surface,
i.e., that can form an apatitic layer when exposed to biomimetic conditions in
vitro. Our aim was to assess the short- and mid-term bone regenerative potential
and mechanical retention of 2Step implants in mandible and maxilla of minipigs
and compare them with micro-rough grit-blasted, micro-rough acid-etched, and
smooth as-machined titanium implants. The percent of bone-to-implant contact
after 2, 4, 6, and 10 weeks of implantation as well as the mechanical retention
after 4, and 6 weeks of implantation were evaluated with histometric and pull-out
tests, respectively, as a measure of the osseointegration of the implants. We
also aimed to assess the bioactive nature of 2Step surfaces in vivo. Our results
demonstrated that the 2Step treatment produced micro-rough and bioactive implants
that accelerated bone tissue regeneration and increased mechanical retention in
the bone bed at short periods of implantation in comparison with all other
implants tested. This was mostly attributed to the ability of 2Step implants to
form in vivo a layer of apatitic mineral that coated the implant and could
rapidly stimulate (a) bone nucleation directly on the implant surface, and (b)
bone growing from the implant surface. We also proved that roughness values of
Ra~4.5 MUm favoured osseointegration of dental implants at short- and mid-term
healing periods, as grit-blasted implants and 2Step implants had higher retention
values than as machined and acid-etched implants. The surface quality resulting
from the 2Step treatment applied on cpTi provided dental implants with a unique
combination of rapid bone regeneration and high mechanical retention.
PMID- 22098869
TI - A constrained mixture approach to mechano-sensing and force generation in
contractile cells.
AB - Biological tissues are very particular types of materials that have the ability
to change their structure, properties and chemistry in response to external cues.
Contractile cells, i.e. fibroblasts, are key players of tissue adaptivity as they
are capable of reorganizing their surrounding extra-cellular matrix (ECM) by
contracting and generating mechanical forces. This contractile behavior is
attributed to the development of a stress-fiber (SF) network within the cell's
cytoskeleton, a process that is known to be highly dependent of the nature of the
mechanical environment (such as ECM stiffness or the presence of stress and
strain). To describe these processes in a consistent manner, the present paper
introduces a mutiphasic formulation (fluid/solid/solute mixture) that accounts
for four major elements of cell contraction: cytoskeleton, cytosol, SF and actin
monomers, as well as their interactions. The model represents the cross-talks
between mechanics and chemistry through various means: (a) a mechano-sensitive
formation and dissociation of an anisotropic SF network described by mass
exchange between actin monomer and polymers, (b) a bio-mechanical model for SF
contraction that captures the well-known length-tension and velocity-tension
relation for muscles cells and (c) a convection/diffusion description for the
transport of fluid and monomers within the cell. Numerical investigations show
that the multiphasic model is able to capture the dependency of cell contraction
on the stiffness of the mechanical environment and accurately describes the
development of an oriented SF network observed in contracting fibroblasts.
PMID- 22098870
TI - Bioactive composite for keratoprosthesis skirt.
AB - In this study, the fabrication and properties of a synthetic keratoprosthesis
skirt for use in osteo-odonto-keratoprosthesis (OOKP) surgery are discussed. In
the search for a new material concept, bioactive glass and polymethyl
methacrylate (PMMA)-based composites were prepared. Three different bioactive
glasses (i.e. 45S5, S53P4 and 1-98) and one slowly resorbing glass, FL107, with
two different forms (i.e. particles and porous glass structures) were employed in
the fabrication of specimens. In in vitro studies, the dissolution behaviour in
simulated aqueous humour, compressive properties, and pore formation of the
composites were investigated. According to the results, FL107 dissolved very
slowly (2.4% of the initial glass content in three weeks); thus, the pore
formation of the FL107 composite was also observed to be restricted. The
dissolution rates of the bioactive glass-PMMA composites were greater (12%-17%).
These faster dissolving bioactive glass particles caused some porosity on the
outermost surfaces of the composite. The slight surface porosity was also
confirmed by a decrease in compressive properties. During six weeks' in vitro
dissolution, the compressive strength of the test specimens containing particles
decreased by 22% compared to values in dry conditions (90-107 MPa). These results
indicate that the bioactive composites could be stable synthetic candidates for a
keratoprosthesis skirt in the treatment of severely damaged or diseased cornea.
PMID- 22098871
TI - Enhanced mechanical properties and in vitro corrosion behavior of amorphous and
devitrified Ti40Zr10Cu38Pd12 metallic glass.
AB - The effects of annealing treatments on the microstructure, elastic/mechanical
properties, wear resistance and corrosion behavior of rod-shaped Ti40Zr10Cu38Pd12
bulk glassy alloys, synthesized by copper mold casting, are investigated.
Formation of ultrafine crystals embedded in an amorphous matrix is observed for
intermediate annealing temperatures, whereas a fully crystalline microstructure
develops after heating to sufficiently high temperatures. The glassy alloy
exhibits large hardness, relatively low Young's modulus, good wear resistance and
excellent corrosion behavior. Nanoindentation measurements reveal that the sample
annealed in the supercooled liquid region exhibits a hardness value of 9.4 GPa,
which is 20% larger than in the completely amorphous state and much larger than
the hardness of commercial Ti-6Al-4V alloy. The Young's modulus of the as-cast
alloy (around 100 GPa, as determined from acoustic measurements) increases only
slightly during partial devitrification. Finally, the anticorrosion performance
of the Ti40Zr10Cu38Pd12 alloy in Hank's solution has been shown to ameliorate as
crystallization proceeds and is roughly as good as in the commercial Ti-6Al-4V
alloy. The outstanding mechanical and corrosion properties of the
Ti40Zr10Cu38Pd12 alloy, both in amorphous and crystalline states, are appealing
for its use in biomedical applications.
PMID- 22098872
TI - Shear bond strength of a hot pressed Au-Pd-Pt alloy-porcelain dental composite.
AB - OBJECTIVES: The purpose of this study was to evaluate the effect of hot pressing
on the shear bond strength of a Au-Pt-Pd alloy-porcelain composite. METHODS:
Several metal-porcelain composites specimens were produced by two different
routes: conventional porcelain fused to metal (PFM) and hot pressing. In the
latter case, porcelain was hot pressed onto a polished surface (PPPS) as well as
a roughened one (PPRS). Bond strength of all metal-porcelain composites were
assessed by the means of a shear test performed in a universal test machine
(crosshead speed: 0.5 mm/min) until fracture. Interfaces of fractured specimens
as well as undestroyed interface specimens were examined with optical microscope,
stereomicroscope, Scanning Electron Microscope (SEM) and Energy Dispersive X-Ray
Spectroscopy (EDS). The data were analyzed using one-way ANOVA followed by
Tuckey's test (p<0.05). RESULTS: Shear bond strength of conventional PFM
specimens were in line with the upper range of literature data (83+/-14 MPa). Hot
pressing proved to significantly increase bond strength between metal and
porcelain (p<0.05). For both polished and roughened surface the shear bond
strength values for hot pressed specimens were 120+/-16 MPa and 129+/-5 MPa,
respectively, which represents an improvement of more than 50% relatively to a
conventional PFM. Roughened surface did not have a significant effect on bond
strength of hot pressed specimens (p>0.05). SIGNIFICANCE: This study shows that
it is possible to significantly improve metal-porcelain bond strength by applying
an overpressure during porcelain firing.
PMID- 22098873
TI - Biaxial mechanical modeling of the small intestine.
AB - Capsule endoscopes are pill-size devices provided with a camera that capture
images of the small intestine from inside the body after being ingested by a
patient. The interaction between intestinal tissue and capsule endoscopes needs
to be investigated to optimize capsule design while preventing tissue damage. To
that purpose, a constitutive model that can reliably predict the mechanical
response of the intestinal tissue under complex mechanical loading is required.
This paper describes the development and numerical validation of a
phenomenological constitutive model for the porcine duodenum, jejunum and ileum.
Parameters characterizing the mechanical behavior of the material were estimated
from planar biaxial test data, where intestinal tissue specimens were
simultaneously loaded along the circumferential and longitudinal directions.
Specimen-specific Fung constitutive models were able to accurately predict the
planar stress-strain behavior of the tested samples under a wide range of loading
conditions. To increase model generality, average anisotropic constitutive
relationships were also generated for each tissue region by fitting average
stress-strain curves to the Fung potential. Due to the observed variability in
the direction of maximum stiffness, the average Fung models were less anisotropic
than the specimen-specific models. Hence, average isotropic models in the Neo
Hookean and Mooney-Rivlin forms were attempted, but they could not adequately
describe the degree of nonlinearity in the tissue. Values of the R2 for the
nonlinear regressions were 0.17, 0.44 and 0.93 for the average Neo-Hookean,
Mooney-Rivlin and Fung models, respectively. Average models were successfully
implemented into FORTRAN routines and used to simulate capsule deployment with a
finite element method analysis.
PMID- 22098874
TI - Phantom elasticity reconstruction with Digital Image Elasto-Tomography.
AB - Results from the application of a novel nonlinear hybrid reconstruction algorithm
within a Digital Image Elasto-Tomography (DIET) system are presented. A hybrid
reconstruction algorithm was optimized to solve for the elasticity distribution
of two heterogeneous silicone phantoms using a shape-based parameterization. The
hybrid algorithm achieved comparable performance to Combinatorial Optimization
methods with significantly less computational expense. The specificity of three
parameter reconstruction was confirmed by successful reconstruction of a
homogeneous silicone phantom, indicating the potential suitability of the DIET
system for application to inclusion imaging in elastography.
PMID- 22098875
TI - Study of the behavior of the trabecular bone under cyclic compression with
stepwise increasing amplitude.
AB - This paper presents the results of a study of 61 trabecular bone samples exposed
to a cyclic (1 Hz) compression load. The load was increased stepwise.
Characteristic patterns of the hysteresis loop for the middle cycles of
successive steps of load and for respective steps of load are presented.
Characteristic patterns of secant modulus were also determined. The fatigue life
results recorded were compared with the indices of bone architecture determined
using micro-CT. Using Pearson's correlation, the best relationship between
fatigue life and bone volume ratio (BV/TV) and the maximum load for which there
was also reported a maximal value secant stiffness were identified. Based on
these findings, it was determined that it is possible to use stepwise increasing
load for analysis of the fatigue behavior of trabecular bone.
PMID- 22098876
TI - Fracture characterization of bone under mode II loading using the end loaded
split test.
AB - Fracture energy release rate under mode II loading of bovine cortical bone is
determined using a miniaturized testing device of the end loaded split test. The
energy release rate is evaluated by means of a data reduction scheme based on
specimen compliance, beam theory and crack equivalent concept. Experimental tests
were carried out to evaluate the Resistance curve which provides a successful
method to characterize fracture behavior of quasi-brittle materials like bone. A
numerical analysis including a cohesive damage model was used to validate the
procedure. It was demonstrated that the end loaded split test and proposed data
reduction scheme provide a valuable solution for mode II fracture
characterization of bone.
PMID- 22098877
TI - Effect of high-energy X-ray doses on bone elastic properties and residual
strains.
AB - Bone X-ray irradiation occurs during medical treatments, sterilization of
allografts, space travel and in vitro studies. High doses are known to affect the
post-yield properties of bone, but their effect on the bone elastic properties is
unclear. The effect of such doses on the mineral-organic interface has also not
been adequately addressed. Here, the evolution of elastic properties and residual
strains with increasing synchrotron X-ray dose (5-3880 kGy) is examined on bovine
cortical bone. It is found that these doses affect neither the degree of
nanometer-level load transfer between the hydroxyapatite (HAP) platelets and the
collagen up to stresses of -60 MPa nor the microscopic modulus of collagen
fibrils (both measured by synchrotron X-ray scattering during repeated in situ
loading and unloading). However, the residual elastic strains in the HAP phase
decrease markedly with increased irradiation, indicating damage at the HAP
collagen interface. The HAP residual strain also decreases after repeated
loading/unloading cycles. These observations can be explained by temporary de
bonding at the HAP/collagen interface (thus reducing the residual strain),
followed by rapid re-bonding (so that load transfer capability is not affected).
PMID- 22098878
TI - Similar damage initiation but different failure behavior in trabecular and
cortical bone tissue.
AB - The mechanical properties of bone tissue are reflected in its micro- and
nanostructure as well as in its composition. Numerous studies have compared the
elastic mechanical properties of cortical and trabecular bone tissue and
concluded that cortical bone tissue is stiffer than trabecular bone tissue. This
study compared the progression of microdamage leading to fracture and the related
local strains during this process in trabecular and cortical bone tissue.
Unmachined single bovine trabeculae and similarly-sized cortical bovine bone
samples were mechanically tested in three-point bending and concomitantly imaged
to assess local strains using a digital image correlation technique. The bone
whitening effect was used to detect microdamage formation and propagation. This
study found that cortical bone tissue exhibits significantly lower maximum
strains (trabecular 36.6%+/-14% vs. cortical 22.9%+/-7.4%) and less accumulated
damage (trabecular 16100+/-8800 pix/mm2 vs. cortical 8000+/-3400 pix/mm2) at
failure. However, no difference was detected for the maximum local strain at
whitening onset (trabecular 5.8%+/-2.6% vs. cortical 7.2%+/-3.1%). The
differences in elastic modulus and mineral distribution in the two tissues were
investigated, using nanoindentation and micro-Raman imaging, to explain the
different mechanical properties found. While cortical bone was found to be
overall stiffer and more highly mineralized, no apparent differences were noted
in the distribution of modulus values or mineral density along the specimen
diameter. Therefore, differences in the mechanical behavior of trabecular and
cortical bone tissue are likely to be in large part due to microstructural (i.e.
orientation and distribution of cement lines) and collagen related compositional
differences.
PMID- 22098879
TI - Interface shear strength and fracture behaviour of porous glass-fibre-reinforced
composite implant and bone model material.
AB - Glass-fibre-reinforced composites (FRCs) are under current investigation to serve
as durable bone substitute materials in load-bearing orthopaedic implants and
bone implants in the head and neck area. The present form of biocompatible FRCs
consist of non-woven E-glass-fibre tissues impregnated with varying amounts of a
non-resorbable photopolymerisable bifunctional polymer resin with equal portions
of both bis-phenyl-A-glycidyl dimethacrylate (BisGMA) and triethyleneglycol
dimethacrylate (TEGDMA). FRCs with a total porosity of 10-70 vol% were prepared,
more than 90 vol% of which being functional (open pores), and the rest closed.
The pore sizes were greater than 100 MUm. In the present study, the push-out test
was chosen to analyse the shear strength of the interface between mechanically
interlocked gypsum and a porous FRC implant structure. Gypsum was used as a
substitute material for natural bone. The simulative in vitro experiments
revealed a significant rise of push-out forces to the twofold level of 1147 +/-
271 N for an increase in total FRC porosity of 43%. Pins, intended to model the
initial mechanical implant fixation, did not affect the measured shear strength
of the gypsum-FRC interface, but led to slightly more cohesive fracture modes.
Fractures always occurred inside the gypsum, it having lower compressive strength
than the porous FRC structures. Therefore, the largest loads were restricted by
the brittleness of the gypsum. Increases of the FRC implant porosity tended to
lead to more cohesive fracture modes and higher interfacial fracture toughness.
Statistical differences were confirmed using the Kruskal-Wallis test. The
differences between the modelled configuration showing gypsum penetration into
all open pores and the real clinical situation with gradual bone ingrowth has to
be considered.
PMID- 22098881
TI - Mechanical characterization and numerical simulation of polyether-ether-ketone
(PEEK) cranial implants.
AB - Cranial implants have experienced a significant evolution in the last decade in
different aspects such as materials, method of fixation, and the structure. In
addition, patient-specific cranial implants have recently been started to be
developed. To achieve this objective, efficient mechanical characterization and
numerical modeling of the implant are required to guarantee its functionality on
each patient as well as to facilitate further developments. In this work,
mechanical characterization and numerical models have been performed for patient
specific Polyaryletherketone (PEEK) scaffold cranial implants. Mechanical
characterization has been performed at the scaffold and the whole implant levels
under displacement control tests. Two different implant designs for the same
patient but with different scaffold structure were experimentally characterized,
and finite element models of the implants were developed within the framework of
linear elasticity. Two types of finite element models were developed: a detailed
finite element model with the actual scaffold geometry, and a solid shell-like
model with effective material properties. These effective material properties
were obtained by means of the Asymptotic Expansion Homogenization (AEH) theory
which accounts for the periodicity of the underlying structure of the material.
Experimental results showed a linear response of the material and the implant up
to failure, therefore supporting the use of linear elastic models for simulation.
Numerical models showed excellent agreement with experiments regarding load
displacement response. Models also showed a very consistent behavior with regard
to the location and the value of the maximum principal stress in the implant when
subjected to the maximum load of the experiments. The two numerical models were
compared. The homogenized model gave results that were very close to those
obtained with the detailed model, while reducing the number of degrees of freedom
by 90%, and therefore the overall computational burden. The results showed that
the models are able to reproduce experimental results conducted on actual
implants, offering a valid alternative to be used in the design of customized
cranial implants with a scaffold structure.
PMID- 22098880
TI - Manipulation of mechanical compliance of elastomeric PGS by incorporation of
halloysite nanotubes for soft tissue engineering applications.
AB - Poly (glycerol sebacate) (PGS) is a promising elastomer for use in soft tissue
engineering. However, it is difficult to achieve with PGS a satisfactory balance
of mechanical compliance and degradation rate that meet the requirements of soft
tissue engineering. In this work, we have synthesised a new PGS nanocomposite
system filled with halloysite nanotubes, and mechanical properties, as well as
related chemical characters, of the nanocomposites were investigated. It was
found that the addition of nanotubular halloysite did not compromise the
extensibility of material, compared with the pure PGS counterpart; instead the
elongation at rupture was increased from 110 (in the pure PGS) to 225% (in the 20
wt% composite). Second, Young's modulus and resilience of 3-5 wt% composites were
~0.8 MPa and >94% respectively, remaining close to the level of pure PGS which is
desired for applications in soft tissue engineering. Third, an important feature
of the 1-5 wt% composites was their stable mechanical properties over an extended
period, which could allow the provision of reliable mechanical support to damaged
tissues during the lag phase of the healing process. Finally, the in vitro study
indicated that the addition of halloysite slowed down the degradation rate of the
composites. In conclusion, the good compliance, enhanced stretchability, stable
mechanical behavior over an extended period, and reduced degradation rates make
the 3-5 wt% composites promising candidates for application in soft tissue
engineering.
PMID- 22098882
TI - Equivalent mechanical properties of biological membranes from lattice
homogenization.
AB - The goal of this manuscript is to set up a novel methodology for the calculation
of the effective mechanical properties of biological membranes viewed as
repetitive networks of elastic filaments, based on the discrete asymptotic
homogenization method. We will show that for some lattice configurations,
flexional effects due to internal structure mechanisms at the unit cell scale
lead to additional flexional effects at the continuum scale, accounted for by an
internal length associated to a micropolar behavior. Thereby, a systematic
methodology is established, allowing the prediction of the overall mechanical
properties of biological membranes for a given network topology, as closed form
expressions of the geometrical and mechanical micro-parameters. The peptidoglycan
and the erythrocyte have been analyzed using this methodology, and their
effective moduli are calculated and recorded versus the geometrical and
mechanical lattice parameters. A classification of lattices with respect to the
choice of the equivalent continuum model is proposed: The Cauchy continuum and a
micropolar continuum are adopted as two possible effective medium, for a given
beam model. The relative ratio of the characteristic length of the micropolar
continuum to the unit cell size determines the relevant choice of the equivalent
medium. In most cases, the Cauchy continuum is sufficient to model membranes in
most of their configurations. The peptidoglycan network may exhibit a re-entrant
hexagonal lattice, for which micropolar effects become important. This is
attested by the characteristic length becoming larger than the beam length for
such configurations. The homogenized moduli give accurate results for both
membranes, as revealed by comparison with experimental measurements or simulation
results from the literature at the network scale. A first insight into the
nonlinear mechanical behavior of the hexagonal and triangular networks is lastly
investigated using a perturbative method.
PMID- 22098883
TI - Influence of molecular weight of polymethyl(methacrylate) beads on the properties
and structure of cross-linked denture base polymer.
AB - OBJECTIVES: Denture base polymers are multiphase polymers made of
polymethyl(methacrylate) (PMMA) beads and monomers containing methylmethacrylate
and cross-linking agent. The cross-linking agent is typically dimethacrylate but
methacrylated dendrimers have been tested. The aim of this study was to
investigate the influence of the molecular weight of the PMMA beads on the
mechanical properties of cross-linked denture base polymers. METHODS: Resin
powder with three different molecular weights (Mw 120,000, 350,000, and 996,000)
and a commercial autopolymerizing denture base resin (Palapress, Mw 220,000) were
tested. The resin monomer liquid was applied with a methylmethacrylate mixture
containing 4.6 vol% dendrimer (DD1, VTT Processes). To investigate the flexural
properties, the surface microhardness of the PMMA beads, and the thickness of the
swollen interpenetrating polymer network (IPN) layer on the PMMA beads, test
specimens (3.3*10*65 mm) were fabricated from autopolymerizing resin using a
powder/liquid ratio of 10 g/7 ml. The specimens were polymerized in distilled
water maintained at 55 degrees C under 0.4 MPa pressure for 20 min. The flexural
strength and flexural modulus (n=8/group) were measured with a three-point
bending test at a crosshead speed of 5 mm/min. The Vickers hardness of the area
of the polymer with PMMA beads (n=10/group) was tested using a load of 98.12 mN
for 5 s. In addition, the thickness of the swollen layer on the PMMA beads was
measured (n=10/group). Statistical analysis was performed using a one-way ANOVA
and Tukey's test. RESULTS: The flexural strength of the specimens with Mw 220,000
and 350,000 PMMA beads was significantly higher than the strength of specimens
with beads having other molecular weights. The flexural modulus of specimens with
Mw 120,000 PMMA beads was the lowest. There was no difference in the surface
microhardness among all groups. The thickness of the swollen IPN layer on
specimens with 120,000 Mw PMMA beads was significantly higher than in the other
groups. CONCLUSIONS: The molecular weight of the PMMA beads of multiphase denture
base polymers considerably influences their flexural properties and formation of
IPN layer between the matrix polymer and the PMMA beads.
PMID- 22098884
TI - Role of damage mechanics in nanoindentation of lamellar bone at multiple sizes:
experiments and numerical modeling.
AB - The aim of this paper is to show that damage mechanisms can account for the
response of lamellar bone to nanoindentation tests, with particular regards to
the decrease of indentation stiffness with increasing penetration depth and to
the loss of contact stiffness during the unloading phase of the test. For this
purpose, indentation experiments on bovine cortical bone samples along axial and
transverse directions have been carried out at five penetration depths from 50 to
450 nm; furthermore, a continuum damage model has been implemented into finite
element analyses, which are able to simulate indentation experiments. Experiments
along the axial direction have shown a decrease of about 20% of the indentation
modulus with indentation depth; a similar trend was found along the transverse
direction. All unloading branches of the force-displacement indentation curves
exhibited relevant stiffness loss (curve concavity). The numerical model with
damage was able to correctly predict the indentation stiffness and hardness at
300 nm penetration depth along both axial and transverse directions. Furthermore,
stiffness loss during unloading was simulated with both qualitative and
quantitative agreement with experiments. A final validation has been provided by
simulating axial indentation experiments at the remaining penetration depths
using the same set of constitutive parameters as those used to simulate the
experiments at 300 nm depth. These results support the hypothesis that damage
plays a relevant role in the mechanics of lamellar bone and should be taken into
account when studying bone mechanical properties at multiple scales.
PMID- 22098885
TI - A thermo-mechanical treatment to improve the superelastic performances of
biomedical Ti-26Nb and Ti-20Nb-6Zr (at.%) alloys.
AB - A flash-thermal treatment technique has been developed very recently to improve
both the critical stress to induce the martensitic transformation (MT) and the
recoverable deformation of the metastable beta type titanium alloys. In this
paper, this strategy is applied to both Ti-26Nb and Ti-20Nb-6Zr (at.%) alloys.
Since both alloys have identical martensite start (Ms) temperature, it makes
possible to investigate the effect of Zr on mechanical properties after the flash
thermal treatment. It is clearly shown that a flash treatment of 360 s at 873 K
on heavily cold-rolled samples results in good balance between the tensile
strength, the ductility and the recoverable strains. Such contribution is more
significant in the ternary alloy in which balanced properties combining high
martensitic critical stress over 400 MPa and the large fully recoverable strains
up to 3.0% can be achieved. These improvements are due to the flash treatment
effects, resulting in ultra-fine beta grains with sizes 1-2 MUm with nano-sized
alpha and omega phases precipitation in the beta matrix.
PMID- 22098886
TI - Wear resistance of experimental titanium alloys for dental applications.
AB - The present study evaluated microstructure, microhardness and wear resistance of
experimental titanium alloys containing zirconium and tantalum. Alloys were
melted in arc melting furnace according to the following compositions: Ti-5Zr, Ti
5Ta and Ti-5Ta-5Zr (%wt). Hemispheres and disks were obtained from wax patterns
that were invested and cast by plasma. Microstructures were evaluated using
optical microscopy and X-ray diffraction (XRD) analysis and also Vickers
microhardness was measured. Hemispherical samples and disks were used for 2-body
wear tests, performed by repeated grinding of the samples. Wear resistance was
assessed as height loss after 40,000 cycles. The data were compared using ANOVA
and post-hoc Tukey test. Ti-5Zr presented a Widmanstatten structure and the
identified phases were alpha and alpha' while Ti-5Ta and Ti-5Ta-5Zr presented
alpha, beta, alpha' and alpha" phases, but the former presented a lamellar
structure, and the other, acicular. The microhardness of Ti-5Zr was significantly
greater than other materials and cp Ti presented wear resistance significantly
lower than experimental alloys. It was concluded that wear resistance was
improved when adding Ta and Zr to titanium and Zr increased microhardness of Ti
5Zr alloy.
PMID- 22098887
TI - Computer simulating a clinical trial of a load-bearing implant: an example of an
intramedullary prosthesis.
AB - Computational modelling is becoming ever more important for obtaining regulatory
approval for new medical devices. An accepted approach is to infer performance in
a population from an analysis conducted for an idealised or 'average' patient; we
present here a method for predicting the performance of an orthopaedic implant
when released into a population--effectively simulating a clinical trial.
Specifically we hypothesise that an analysis based on a method for predicting the
performance in a population will lead to different conclusions than an analysis
based on an idealised or 'average' patient. To test this hypothesis we use a
finite element model of an intramedullary implant in a bone whose size and
remodelling activity is different for each individual in the population. We
compare the performance of a low Young's modulus implant (E=20 GPa) to one with a
higher Young's modulus (200 GPa). Cyclic loading is applied and failure is
assumed when the migration of the implant relative to the bone exceeds a
threshold magnitude. The analysis for an idealised of 'average' patient predicts
that the lower modulus device survives longer whereas the analysis simulating a
clinical trial predicts no statistically-significant tendency (p=0.77) for the
low modulus device to perform better. It is concluded that population-based
simulations of implant performance-simulating a clinical trial-present a very
valuable opportunity for more realistic computational pre-clinical testing of
medical devices.
PMID- 22098888
TI - Surface integrity of biodegradable Magnesium-Calcium orthopedic implant by
burnishing.
AB - Magnesium-Calcium (MgCa) alloy as an emerging biodegradable implant material has
received considerable attention in orthopedic fixation applications. The
biodegradable MgCa alloys avoid stress shielding and secondary surgery inherent
with permanent metallic implant materials. They also provide sufficient
mechanical strength in load carrying applications as opposed to biopolymers.
However, the key issue facing a biodegradable MgCa implant is the fast corrosion
in the human body environment. The ability to adjust the degradation rate of MgCa
alloys is critical in the successful development of biodegradable orthopedic
materials. Burnishing as a low plastic deformation process is a promising
technique to tune surface integrity of MgCa implant surface for biodegradation
control. However, the poor ductility of MgCa alloys imposes a great challenge for
burnishing. This study focuses on the basic understanding of surface mechanical
behavior of burnished biodegradable MgCa0.8 (wt%) alloy. The effects of
burnishing parameters, i.e., pressure, feed, speed, number of path, and
burnishing pattern on surface integrity factors such as surface topography,
roughness, microhardness, microstructure, and residual stresses are investigated.
The burnished surfaces are shinier and smoother than the as-machined ones. The
MgCa alloy can be safely burnished at suitable burnishing conditions since no
cracks are produced at the surface and in the subsurface. The microstructure
including grain size does not show a noticeable change after burnishing. The
machined surfaces are harder than the burnished ones down to the deep subsurface
(~200 MUm) as opposed to the shallow hardened depth (~50 MUm) in cutting.
Residual stresses are highly compressive especially at low burnishing pressure.
PMID- 22098889
TI - Computation of axonal elongation in head trauma finite element simulation.
AB - In the case of head trauma, elongation of axons is thought to result in brain
damage and to lead to Diffuse Axonal Injuries (DAI). Mechanical parameters have
been previously proposed as DAI metric. Typically, brain injury parameters are
expressed in terms of pressure, shearing stresses or invariants of the strain
tensor. Addressing axonal deformation within the brain during head impact can
improve our understanding of DAI mechanisms. A new technique based on directional
measurements of water diffusion in soft tissue using Magnetic Resonance Imaging
(MRI), called Diffusion Tensor Imaging (DTI), provides information on axonal
orientation within the brain. The present study aims at coupling axonal
orientation from a 12-patient-based DTI 3D picture, called "DTI atlas", with the
Strasbourg University Finite Element Head Model (SUFEHM). This information is
then integrated in head trauma simulation by computing axonal elongation for each
finite element of the brain model in a post-processing of classical simulation
results. Axonal elongation was selected as computation endpoint for its strong
potential as a parameter for DAI prediction and location. After detailing the
coupling technique between DTI atlas and the head FE model, two head trauma cases
presenting different DAI injury levels are reconstructed and analyzed with the
developed methodology as an illustration of axonal elongation computation.
Results show that anisotropic brain structures can be realistically implemented
into an existing finite element model of the brain. The feasibility of
integrating axon fiber direction information within a dedicated post-processor is
also established in the context of the computation of axonal elongation. The
accuracy obtained when estimating level and location of the computed axonal
elongation indicates that coupling classical isotropic finite element simulation
with axonal structural anisotropy is an efficient strategy. Using this method,
tensile elongation of the axons can be directly invoked as a mechanism for
Diffuse Axonal Injury.
PMID- 22098890
TI - Verification and implementation of a modified split Hopkinson pressure bar
technique for characterizing biological tissue and soft biosimulant materials
under dynamic shear loading.
AB - Modeling human body response to dynamic loading events and developing biofidelic
human surrogate systems require accurate material properties over a range of
loading rates for various human organ tissues. This work describes a technique
for measuring the shear properties of soft biomaterials at high rates of strain
(100-1000 s(-1)) using a modified split Hopkinson pressure bar (SHPB).
Establishing a uniform state of stress in the sample is a fundamental requirement
for this type of high-rate testing. Input pulse shaping was utilized to tailor
and control the ramping of the incident loading pulse such that a uniform stress
state could be maintained within the specimen from the start of the test. Direct
experimental verification of the stress uniformity in the sample was obtained via
comparison of the force measured by piezoelectric quartz force gages on both the
input and the output sides of the shear specimen. The technique was demonstrated
for shear loading of silicone gel biosimulant materials and porcine brain tissue.
Finite element simulations were utilized to further investigate the effect of
pulse shaping on the loading rate and rise time. Simulations also provided a
means for visualization of the degree of shear stress and strain uniformity in
the specimen during an experiment. The presented technique can be applied to
verify stress uniformity and ensure high quality data when measuring the dynamic
shear modulus of soft biological simulants and tissue.
PMID- 22098891
TI - Short-term fatigue testing can predict medium-term pericardium behaviour.
AB - The medium-term fatigue behaviour of calf pericardium (similar to the one used to
manufacture cardiac bioprostheses valve leaflets) has been studied. 96 samples
were tested under fatigue subjecting them to biaxial stress at 1 Hz frequency for
5000 cycles, in 4 series of 24 samples, at several supra-physiological mean
pressures and pressure amplitudes. Short-term damage parameters such as the
accumulated energy consumption in 10 cycles (E10) and medium-term ones after 5000
cycles like total energy consumption (Et) and maximum displacement of the
membrane (Dt) have been evaluated. E10 showed exponential growing tendency with
pressure and linear tendency with pressure amplitude when only one parameter
curve was plotted. Similar results were found when analysing Et and Dt. Linear
correlation models were established between E10 and Et and E10 and Dt. Similar
results were achieved in the four series, with excellent determination
coefficients. The results confirm that the fatigue behaviour from the very first
cycles of the test can predict the medium-term behaviour of the tissue by means
of measurement of suitable damage markers. The tendencies observed between the
parameters seem to show that the results could have been the same ones if the
test had been performed at physiological pressures and amplitudes. This work
opens the door to a non-destructive test of the tissue prior to employ it to
manufacture valve leaflets.
PMID- 22098892
TI - Mobile or fixed unicompartmental knee prostheses? In-vitro wear assessments to
solve this dilemma.
AB - The unicompartmental knee prosthesis is an attractive alternative to total knee
arthroplasty. Current UKP devices can be subdivided into two groups based on
different design principles: fixed bearing knees, where the ultra-high molecular
weight polyethylene meniscal component snap or press fits into the tibial tray,
and mobile bearing designs which facilitate movement of the insert relative to
the tray. The present study was aimed at comparing the in-vitro wear behaviour of
fixed and mobile unicompartmental knee menisci under two configurations: the
femoral components were cemented into a custom-made metallic block or, as a
novelty of the present study, into a synthetic femur (i.e. under conditions which
should better reproduce the in-vivo behaviour). Analyses were performed using a
displacement-control knee wear simulator with "three-plus-one" stations. All the
kinematics tests were set in accordance with the ISO 14243-1,2,3. Fixed and
mobile polyethylene menisci showed a different wear behaviour: the fixation-frame
influenced directional load transfer through each component in a qualitative and
quantitative way. In fact, gravimetric results showed that under the metal block
holder fixation, mobile components worn more than fixed components (weight losses
of 8.7+/-2.0 mg and 2.6+/-1.09 mg, respectively); on the other hand, under the
synthetic femur configuration, differences in wear behaviour were less pronounced
and mobile menisci underwent a slightly lower weight loss than fixed components
(4.5+/-2.2 mg vs. 6.7+/-1.4 mg). This different trend was explained in relation
to the kinematic schemes of the two fixation methods. Raman spectroscopy, used to
evaluate the UHMWPE crystallinity changes induced by mechanical stress, showed
that mobile menisci specimens were more affected than the fixed components in
both their superior and inferior surfaces, independent of the fixation-frame. In
conclusion, if tested under conditions which should better reproduce the in-vivo
behaviour, mobile UKPs did not show a worse wear behaviour than fixed components
in terms of weight losses, although UHMWPE changes at the molecular scale could
be detrimental.
PMID- 22098893
TI - A tri-phasic mixture model of bone resorption: theoretical investigations.
AB - In this paper, for the first time, a tri-phasic model of bone resorption using a
mixture with chemical reactions is proposed. Three constituents (matrix, fluid,
and cells) are considered. Conservation equations and entropy inequality are
provided. The dependent variables in the constitutive equations, such as the rate
of resorption, are assumed to be a function of temperature, deformation gradient,
and the extent of the chemical reactions. Using constitutive equations in the
second law of thermodynamics, a criterion for the thermodynamic equilibrium state
is obtained which contains a bio-chemo-mechanical affinity. Using the proposed
model, one can find a theoretical explanation for some clinically observed
behavior of bone, for instance for the greater rate of bone resorption in
cortical than cancellous bone, using the conservation equations and/or
consistency requirements of continuum mixture theory. This work can be seen as a
first step towards establishing a new theoretical framework which could be
developed in the future by collaborative work, and with the hope of shedding some
light on the multidisciplinary and complex process of bone resorption.
PMID- 22098894
TI - The effect of microstructure on fatigue performance of Ti-6Al-4V alloy after EDM
surface treatment for application in orthopaedics.
AB - Three different microstructures--equiaxed, bi-modal and coarse lamellar--are
prepared from Ti-6Al-4V alloy. Electric discharge machining (EDM) with a high
peak current (29 A) is performed in order to impose surface roughness and modify
the chemical composition of the surface. Detailed scanning electron microscopy
(SEM) investigation revealed a martensitic surface layer and subsurface heat
affected zone (HAZ). EDX measurements showed carbon enriched remnants of the EDM
process on the material surface. Rotating bending fatigue tests are undertaken
for EDM processed samples for all three microstructures and also for
electropolished-benchmark-samples. The fatigue performance is found to be rather
poor and not particularly dependent on microstructure. The bi-modal
microstructure shows a slightly superior high cycle fatigue performance. This
performance can be further improved by a suitable heat treatment to an endurance
limit of 200 MPa.
PMID- 22098895
TI - Investigating a novel nanostructured fibrin-agarose biomaterial for human cornea
tissue engineering: rheological properties.
AB - In this work, the rheological properties of the biomaterial fibrin with different
agarose concentrations, used for the generation of a bioengineered human corneal
stroma by tissue engineering, before and after using a nanostructuring technique,
were analyzed. The transparency of these artificial human stromas was also
investigated. The temporal evaluation of the properties of these biomaterials is
essential for the design of functional biological human corneal replacements. The
nanostructuring technique used for the generation of nanostructured corneal
constructs (NCCs) had a major influence on the rheological properties of the
fibrin-agarose corneal equivalents. For an oscillatory shear stress of 1 Hz, well
in the order of the natural oscillations of the human cornea, the NCCs had
viscoelasticity values higher than those of non-nanostructured corneal constructs
(N-NCCs), but similar to those of an ex vivo native cornea. The model that most
resembled the rheological behavior of the native cornea was a fibrin-0.1% agarose
concentration nanostructured construct. In addition, this artificial cornea model
displayed optimal levels of transparency, similar to the native tissue. All these
properties indicate that the fibrin-0.1% agarose concentration nanostructured
construct might serve as an adequate candidate for the generation of an
artificial complete cornea, not only for transplanting use but also for
conducting pharmaceutical testing and biomedical research.
PMID- 22098896
TI - The effect of different implant macrogeometries and surface treatment in early
biomechanical fixation: an experimental study in dogs.
AB - Implant surface characterization and biomechanical testing were made to evaluate
the effect of different surface treatments along with different implant bulk
configurations expressed as biomechanical fixation at early implantation times.
Three implant surfaces, namely bioactive ceramic electrodeposition (ED), alumina
blasted/acid etched (AB/AE), and resorbable blasting media (RBM) were fabricated
in three implant macrogeometries (cylindrical, small chamber, and large chamber).
All combinations between surface and bulk configurations were placed in the radii
of beagle dogs (n=18), which were euthanized 14 and 40 days after surgery (n=9
animals per time in vivo). The implants were subjected to torque to interface
fracture. Effects of time, surface, and macrogeometry on torque to interface
fracture were evaluated by a GLM at 95% level of significance. The results showed
a significant increase in torque as time elapsed in vivo (p<0.001), and that the
ED surface presented significantly higher values compared to AB/AE and RBM
(p<0.001) at both times. The small chamber only presented a significantly higher
biomechanical fixation compared to other geometries at 40 days in vivo (p=0.02).
Biomechanical fixation at 14 and 40 days was affected by implant surface
treatment, whereas implant design only affected results at 40 days in vivo.
PMID- 22098897
TI - Influences of ionic concentration on nanomechanical behaviors for remineralized
enamel.
AB - This study evaluates the influences of 8DSS peptide and ionic concentrations of
simulated body fluid on remineralization behaviors. The polished enamel specimens
were acid-demineralized, exposed briefly to 8DSS peptide solution, and then
immersed into simulated body fluid (SBF) that favors mineral deposition. At
various stages of treatment, nanohardness and elastic modulus were determined by
nanoindentation. The results show that the nanomechanical properties of the acid
demineralized enamel were greatly improved as increasing the ionic concentrations
of SBF due to the acceleration of mineral deposition. Additionally, the
demineralized enamel, treated with 8DSS peptide and immersed into SBF*2 solution,
possesses the highest values of nanohardness and elastic modulus resulting from
the combinative effects of surface roughness, morphology, microstructure and
crystallinity of the newly formed nanocomposite of calcium phosphate carbonate
and hydroxyapatite. The formation of pores in the subsurface induced a reduction
in the nanomechanical properties for the enamel subjected into SBF*3 solution.
PMID- 22098898
TI - Life expectancy of modular Ti6Al4V hip implants: influence of stress and
environment.
AB - Stress dependent electrochemical dissolution is identified as one of the key
mechanisms governing surface degradation in fretting and crevice corrosion of
biomedical implants. The present study focuses on delineating the roles of
mechanical stress and chemical conditions on the life expectancy of modular hip
implants. First, material removal on a stressed surface of Ti6Al4V subjected to
single asperity contact is investigated experimentally to identify the influence
of contact load, in-plane stress and chemical environment on mean wear rates. A
range of known stress levels are applied to the specimen while its surface is
mechanically stimulated in different non-reactive to oxidizing aqueous
environments. Evolution of surface degradation is monitored, and its mechanism is
elucidated. This phase allows estimation of Preston Constant which is later used
in the analysis. Second phase of the work is semi-analytical and computational,
where, based on the estimated Preston constant and other material and process
parameters, the scratch propensity (consisting of magnitude of scratch depth and
their frequency per unit area) due to micro-motion in modular hip implants is
estimated. The third phase views these scratches as initial notches and utilizes
a mixed-mode fatigue crack propagation model to estimate the critical crack
length for onset of instability. The number of loading cycles needed to reach
this critical crack length is then labeled as the expected life of the implant
under given mechanical and chemical conditions. Implications of different
material and process conditions to life expectancy of orthopedic implants are
discussed. It is observed that transverse micro-motion, compared to longitudinal
micro-motion, plays a far more critical role in determining the implant life.
Patient body weight, as well as proximity of the joint fluid to its iso-electric
point play key roles in determining wear rates and associated life expectancies
of modular hip implants. Sustained aeration of joint fluid, as well as proper
tolerancing of mating surfaces, along with a proper choice of material
microstructure may be utilized to extend implant life.
PMID- 22098899
TI - Failure of silicone gel breast implants: is the mechanical weakening due to shell
swelling a significant cause of prostheses rupture?
AB - Silicone gel-filled breast implants nowadays are commonly used in breast surgery.
Despite the improvements carried out during the years in the device design and
manufacturing technologies, the long-term reliability of such prostheses is still
doubted and the phenomena involved in the prostheses failure not yet clearly
defined. This study investigates rupture causes by analysing the mechanical
properties of failed and intact implants in the recent generation of silicon gel
breast implants. The main scope is to assess whether mechanical weakness of the
shells should be considered as a major cause of breast implant rupture or, on the
contrary, the prosthesis shell damage is likely due to other random factors. Some
tests were performed on the shells of a wide number of explanted prostheses, to
evaluate the mechanical properties as a function of prostheses status at
explantation (intact/ruptured) and variable degree of swelling. A weakening of
the shell mechanical properties, so as a significant difference in the ultimate
strength and stiffness of intact versus ruptured prostheses, was found. This
attenuation of the properties may be justified as a consequence of the shell
swelling phenomenon during implantation and has to be considered as a significant
mechanism for silicone gel breast implant failure.
PMID- 22098900
TI - Relationship between various deformation-induced products and mechanical
properties in metastable Ti-30Zr-Mo alloys for biomedical applications.
AB - Nowadays, there is a significant research focus on the development of bio-implant
materials that have not only a low Young's modulus but also other unique
characteristics such as a changeable Young's modulus and the ability to prevent
calcium phosphate formation. Taking advantage of deformation-induced phases is an
effective way to obtain the changeable Young's modulus. This study investigated
the relationship between the various deformation-induced products and the
mechanical properties-including Young's modulus, microstructure, and tensile
properties-of Ti-30Zr-(5,6,7)mass%Mo alloys subjected to solution treatment (ST)
and cold-rolling (CR). After ST, each alloy is composed of a beta phase and a
small amount of athermally formed omega phase, and exhibits a low Young's
modulus. During CR, deformation-induced phase transformation occurs in all the
alloys. The change in Young's modulus due to CR is highly dependent on the types
of deformation-induced products. The decrease in Young's modulus due to CR is
related to the deformation-induced alpha' phase transformation accompanying with
the disappearance of athermal omega phase, and the increase in Young's modulus is
attributed to the deformation-induced omega phase, which mainly exists in
{332}beta mechanical twins.
PMID- 22098901
TI - Fatigue behavior of TiNi foams processed by the magnesium space holder technique.
AB - While the wide range of applications of TiNi alloys makes them highly appealing
due to their shape memory and superelasticity properties, the production of TiNi
in the porous form further enlarges their application fields. Porous TiNi alloys
have been studied extensively for biomedical applications since their elastic
modulus is similar to that of bone. Accordingly, TiNi foams have been widely
characterized in terms of their various mechanical properties; however, their
fatigue properties have not been well studied, even though this is of vital
importance in structural applications such as medical implants. In the scope of
this study, TiNi foams processed from prealloyed powders by the magnesium space
holder technique were mechanically characterized by monotonic and cyclic
compression tests. TiNi foams with a porosity range of 49-64 vol.%, which is
suitable for bone ingrowth, were determined to have a compressive strength
varying in the range 93.27-273.45 MPa. Moreover, the wide range of elastic
modulus values obtained (2.93-8.71 GPa) is promising for fulfilling various
requirements of different implant applications without causing stress shielding.
On the other hand, the endurance limit of TiNi foams was determined to be
0.6sigmay, where sigmay is the yield strength, independent of the porosity
content. Fractography studies on the failed foams after fatigue testing revealed
that the failure occurs by the coalescence of micro-cracks initiated from pore
walls leading to macro-crack formation aligned at 45 degrees with respect to
the loading axis.
PMID- 22098902
TI - Regional and fiber orientation dependent shear properties and anisotropy of
bovine meniscus.
AB - Imaging of meniscal tissue reveals an extracellular matrix comprised of collagen
fibrils arranged in circumferential bundles and radially aligned tie fibers,
implicating structural material anisotropy. Biochemical analyses demonstrate
regional disparities of proteoglycan content throughout the meniscal body, a
constituent known to affect the shearing response of fibrocartilagenous tissue.
Despite this phenomenological evidence and previous mechanical testing
implicating otherwise, the meniscus if often modeled as a homogeneous,
transversely isotropic material with little regard for regional specificity and
material properties. The aim of this investigation was to determine if shear
stress response homogeneity and directionality exists in and between bovine
menisci with respect to anatomical location (medial and lateral), region
(anterior, central, and posterior) and fiber orientation (parallel and
perpendicular). Meniscus explants were subjected to lap shear strain at 0.002 s(
1) with the circumferential collagen fibers oriented parallel or perpendicular to
the loading axis. Comparisons were made using a piecewise linear elastic
analysis. The toe region shear modulus was calculated from the first observed
linear region, between 3% and 13% strain and the extended shear modulus was
established after 80% of the maximum shear strain. The posterior region was
significantly different than the central for the extended shear modulus,
correlating with known proteoglycan distribution. Observed shearing anisotropy
led to the use of an anisotropic hyperelastic model based on a two-fiber family
composite, previously used for arterial walls. The chosen model provided an
excellent fit to the sample population for each region. These data can be
utilized in the advancement of finite element modeling as well as biomimetic
tissue engineered constructs.
PMID- 22098903
TI - Biomechanics of the stick insect antenna: damping properties and structural
correlates of the cuticle.
AB - The antenna of the Indian stick insect Carausius morosus is a highly specialized
near-range sensory probe used to actively sample tactile cues about location,
distance or shape of external objects in real time. The length of the antenna's
flagellum is 100 times the diameter at the base, making it a very delicate and
slender structure. Like the rest of the insect body, it is covered by a
protective exoskeletal cuticle, making it stiff enough to allow controlled,
active, exploratory movements and hard enough to resist damage and wear. At the
same time, it is highly flexible in response to contact forces, and returns
rapidly to its straight posture without oscillations upon release of contact
force. Which mechanical adaptations allow stick insects to unfold the remarkable
combination of maintaining a sufficiently invariant shape between contacts and
being sufficiently compliant during contact? What role does the cuticle play? Our
results show that, based on morphological differences, the flagellum can be
divided into three zones, consisting of a tapered cone of stiff exocuticle lined
by an inner wedge of compliant endocuticle. This inner wedge is thick at the
antenna's base and thin at its distal half. The decay time constant after
deflection, a measure that indicates strength of damping, is much longer at the
base (tau>25 ms) than in the distal half (tau<18 ms) of the flagellum. Upon
experimental desiccation, reducing mass and compliance of the endocuticle, the
flagellum becomes under-damped. Analysing the frequency components indicates that
the flagellum can be abstracted with the model of a double pendulum with springs
and dampers in both joints. We conclude that in the stick-insect antenna the
cuticle properties described are structural correlates of damping, allowing for a
straight posture in the instant of a new contact event, combined with a maximum
of flexibility.
PMID- 22098904
TI - Neutron diffraction residual strain measurements in nanostructured hydroxyapatite
coatings for orthopaedic implants.
AB - The failure of an orthopaedic implant can be initiated by residual strain
inherent to the hydroxyapatite coating (HAC). Knowledge of the through-thickness
residual strain profile in the thermally sprayed hydroxyapatite coating/substrate
system is therefore important in the development of a new generation of
orthopaedic implants. As the coating microstructure is complex, non-destructive
characterization of residual strain, e.g. using neutron diffraction, provides a
useful measure of through thickness strain profile without altering the stress
field. This first detailed study using a neutron diffraction technique, non
destructively evaluates the through thickness strain measurement in
nanostructured hydroxyapatite plasma sprayed coatings on a titanium alloy
substrate (as-sprayed, heat treated, and heat treated then soaked in simulated
body fluid (SBF)). The influence of crystallographic plane orientation on the
residual strain measurement is shown to indicate texturing in the coating. This
texturing is expected to influence both the biological and fracture response of
HA coatings. Results are discussed in terms of the influence of heat-treatment
and SBF on the residual stress profile for these biomedical coatings. The results
show that the through thickness residual strain in all three coatings was
different for different crystallographic planes but was on average tensile. It is
also concluded that the heat-treatment and simulated body fluid exposure had a
significant effect on the residual strain profile in the top layers of HAC.
PMID- 22098905
TI - Direct comparison of nanoindentation and macroscopic measurements of bone
viscoelasticity.
AB - Nanoindentation has become a standard method for measuring mechanical properties
of bone, especially within microstructural units such as individual osteons or
trabeculae. The use of nanoindentation to measure elastic properties has been
thoroughly studied and validated. However, it is also possible to assess time
dependent properties of bone by nanoindentation. The goal of this study was to
compare time dependent mechanical properties of bone measured at the macroscopic
level with those measured by nanoindentation. Twelve samples were prepared from
the posterior distal femoral cortex of young cows. Initially, dogbone samples
were prepared and subjected to torsional stress relaxation in a saline bath at 37
degrees C. A 5 mm thick disk was subsequently sectioned from the gage length,
and subjected to nanoindentation. Nanoindentation was performed on hydrated
samples using a standard protocol with 20 indents performed in 20 different
osteons in each sample. Creep and stress relaxation data were fit to a Burgers
four parameter rheological model, a five parameter generalized Maxwell model, and
a three parameter standard linear solid. For Burgers viscoelastic model, the time
constants measured by nanoindentation and torsion were weakly negatively
correlated, while for the other two models the time constants were uncorrelated.
The results support the notion that the viscoelastic behavior of bone at the
macroscopic scale is primarily due to microstructural features, interfaces, or
fluid flow, rather than viscous behavior of the bone tissue. As viscoelasticity
affects the fatigue behavior of materials, the microscale properties may provide
a measure of bone quality associated with initial damage formation.
PMID- 22098906
TI - Mechanical parameters of strontium doped hydroxyapatite sintered using microwave
and conventional methods.
AB - The effects of ion substitution in hydroxyapatite (HA) on crystal structure and
lattice stability is investigated in the green state and post sintering. The
effects of ion incorporation on the biaxial flexural strength and hardness are
also investigated. Sintering is carried out at 1200 degrees C using comparative
conventional and microwave regimes. Post sintering, the effects of ion
incorporation manifest as an increase in the lattice d-spacings and a reduction
of the crystallite size. Some HA decomposition occurs with beta-TCP stabilisation
in conventional sintering (CS), but this phase is destabilised during microwave
sintering (MS), generating alpha-TCP. Conventional sintering (CS) allows higher
densification in the undoped samples. Overall, for the Sr-doped compositions, the
MS samples retain higher amounts of HA and experience higher density levels
compared to the CS samples.
PMID- 22098908
TI - Bone marrow modified acrylic bone cement for augmentation of osteoporotic
cancellous bone.
AB - The use of polymethylmethacrylate (PMMA) cement to reinforce fragile or broken
vertebral bodies (vertebroplasty) leads to extensive bone stiffening. This might
be one reason for fractures at the adjacent vertebrae following this procedure.
PMMA with a reduced Young's modulus may be more suitable. The goal of this study
was to produce and characterize PMMA bone cements with a reduced Young's modulus
by adding bone marrow. Bone cements were produced by combining PMMA with various
volume fractions of freshly harvested bone marrow from sheep. Porosity, Young's
modulus, yield strength, polymerization temperature, setting time and cement
viscosity of different cement modifications were investigated. The samples
generated comprised pores with diameters in the range of 30-250 MUm leading to
porosity up to 51%. Compared to the control cement, Young's modulus and yield
strength decreased from 1830 to 740 MPa and from 58 to 23 MPa respectively by
adding 7.5 ml bone marrow to 23 ml premixed cement. The polymerization
temperature decreased from 61 to 38 ?C for cement modification with 7.5 ml of
bone marrow. Setting times of the modified cements were lower in comparison to
the regular cement (28 min). Setting times increased with higher amounts of added
bone marrow from around 16-25 min. The initial viscosities of the modified
cements were higher in comparison to the control cement leading to a lower risk
of extravasation. The hardening times followed the same trend as the setting
times. In conclusion, blending bone marrow with acrylic bone cement seems to be a
promising method to increase the compliance of PMMA cement for use in cancellous
bone augmentation in osteoporotic patients due to its modified mechanical
properties, lower polymerization temperature and elevated initial viscosity.
PMID- 22098907
TI - Ti-Nb-Sn-hydroxyapatite composites synthesized by mechanical alloying and high
frequency induction heated sintering.
AB - A beta-type Ti-based composite, Ti-35Nb-2.5Sn-15-hydroxyapatite (HA), has been
synthesized by mechanical alloying and powder metallurgy. The effects of milling
time on microstructure, mechanical properties and biocompatibility of the
sintered composites were investigated by scanning electronic microscopy (SEM), X
ray diffraction (XRD), microhardness tests, compression tests and cells culture.
The results revealed when milling time increased, the homogeneity and relative
density of the sintered composite increased, but the finished sintering
temperature decreased. The compression Young's modulus of sintered composite from
12 h milled powders was about 22 GPa and its compression strength was 877 MPa.
The cell culture results indicated cell viability for these sintered composites
was very good. These results revealed the Ti-35Nb-2.5Sn-15HA composite could be
useful for medical implants.
PMID- 22098909
TI - Influence of the sliding velocity and the applied potential on the corrosion and
wear behavior of HC CoCrMo biomedical alloy in simulated body fluids.
AB - The corrosion and tribocorrosion behavior of an as-cast high carbon CoCrMo alloy
immersed in phosphate buffered solution (PBS) and phosphate buffered solution
with bovine serum albumin (PBS+BSA) have been analyzed by electrochemical
techniques and surface microscopy. After the electrochemical characterization of
the alloy in both solutions, the sample was studied tribo-electrochemically (by
open circuit potential, OCP measurements, potentiodynamic curves and
potentiostatic tests) in a ball-on-disk tribometer rotating in different sliding
velocities. The influence of solution chemistry, sliding velocity and applied
potential on the corrosion and tribocorrosion behavior of the CoCrMo alloy has
been studied. Anodic current density increases with sliding velocity but wear
rate does not change at an applied anodic potential; on the other hand, BSA
modifies the wear debris behavior (by agglomerating the debris formed by
mechanical removal of particles) thus increasing the mechanical wear volume.
Under cathodic conditions, cathodic current density also increases during
mechanical contact while the wear rate decreases with sliding velocity and BSA
lubricates the contact thus reducing the total wear volume with respect to the
non-containing BSA solution. The work shows how the electrode potential
critically affects the corrosion and tribocorrosion rates by increasing the wear
coefficients at applied anodic potentials due to severe wear accelerated
corrosion.
PMID- 22098910
TI - Cell adhesion to plasma electrolytic oxidation (PEO) titania coatings, assessed
using a centrifuging technique.
AB - The adhesion of bovine chondrocytes and human osteoblasts to three titania-based
coatings, formed by plasma electrolytic oxidation (PEO), was compared to that on
uncoated Ti-6Al-4V substrates, and some comparisons were also made with plasma
sprayed hydroxyapatite (HA) coatings. This was done using a centrifuge, with
accelerations of up to 160,000 g, so as to induce buoyancy forces that created
normal or shear stresses at the interface. It is shown that, on all surfaces, it
was easier to remove cells under normal loading than under shear loading. Cell
adhesion to the PEO coatings was stronger than that on Ti-6Al-4V and similar to
that on HA. Cell proliferation rates were relatively high on one of the PEO
coatings, which was virtually free of aluminium, but low on the other two, which
contained significant levels of aluminium. It is concluded that the Al-free PEO
coating offers promise for application to prosthetic implants.
PMID- 22098911
TI - Principal stiffness orientation and degree of anisotropy of human osteons based
on nanoindentation in three distinct planes.
AB - Haversian systems or 'osteons' are cylindrical structures, formed by bone
lamellae, that make up the major part of human cortical bone. Despite their
discovery centuries ago in 1691 by Clopton Havers, their mechanical properties
are still poorly understood. The objective of this study is a detailed
identification of the anisotropic elastic properties of the secondary osteon in
the lamella plane. Additionally, the principal material orientation with respect
to the osteon is assessed. Therefore a new nanoindentation method was developed
which allows the measurement of indentation data in three distinct planes on a
single osteon. All investigated osteons appeared to be anisotropic with a
preferred stiffness alignment along the axial direction with a small average
helical winding around the osteon axis. The mean degree of anisotropy was 1.75+/
0.36 and the mean helix angle was 10.3 degrees +/-0.8 degrees . These findings
oppose two well established views of compact bone microstructure: first, the
generally clear axial stiffness orientation contradicts a regular 'twisted
plywood' collagen fibril orientation pattern in lamellar bone that would lead to
a more isotropic behavior. Second, the class of transverse osteons were not
observed from the mechanical point of view.
PMID- 22098912
TI - Correlations between age, prestrain, diameter and atherosclerosis in the male
abdominal aorta.
AB - The longitudinal prestrain of arteries facilitates their physiological function.
Remodeling, adaptation and aging result in an age-dependent magnitude of the
pretension. Although the phenomenon is known, detailed statistics, especially for
human arteries, are lacking. This study was designed to propose the regression
model capable of estimating the prestrain of the human abdominal aorta. The
length of the abdominal aorta before, l, and after excision from the body, L, the
diameter, heart weight, thickness of left ventricle and degree of atherosclerosis
were collected in autopsies of 156 male cadavers of known age. Longitudinal
prestrain was quantified by means of the stretch ratio lambda=l/L. Statistical
analysis revealed significant dependence between age, prestrain, diameter and
atherosclerosis, which were best fitted to the power law equation. Longitudinal
prestretch reduced with age significantly; lambdamean=1.30+/-0.07 for age<30
(n=29), whereas lambdamean=1.06+/-0.03 for age>59 (n=31) with p-value<0.0001. Raw
data gave linear correlation coefficients as follows: lambda-age (R=-0.842); l
age (R=0.023); L-age (R=0.476); (l-L)-age (R=-0.811). It was concluded that
longitudinal prestrain decreases nonlinearly with age and both age and diameter
are suitable predictors of the prestrain. Data suggests that unloaded length
elongates with age in contrast to the elastic retraction.
PMID- 22098913
TI - Thiel-fixation preserves the non-linear load-deformation characteristic of spinal
motion segments, but increases their flexibility.
AB - Human cadaveric specimens are recommended as the best option for in-vitro tests.
However, fresh human spine specimens are often difficult to obtain. Further
problems are the potential risk of infection and they can only be used over a
limited test period. Therefore, the use of embalmed specimens is often discussed.
The most common method is formalin fixation. However, this type of embalming can
result in failure, because the biomechanical properties of the tissue is
partially influenced. In recent years the development of the new method, the
fixation according to Thiel, could provide an alternative to fresh or formalin
fixed specimens. The aim of the present study is to compare the biomechanical
properties between fresh and Thiel-fixed spine specimens, and to compare the data
to previous data of a test with formalin fixation. For the study, six L1-L2
spinal segments from 16-week-old calves were biomechanically tested. The
parameters, range of motion and neutral zone, were determined in
flexion/extension, right/left lateral bending and left/right axial rotation. The
results showed that the specimens kept their non-linear load-deformation
characteristic after Thiel fixation. The range of motion of Thiel-fixed specimens
increased relative to the unembalmed state by approximately 22% in flexion
extension, 23% in lateral bending (p<0.05) and 45% in axial rotation (p<0.05). In
conclusion, the results still suggest a preference for fresh cadaveric spine
specimens for quantitative biomechanical in-vitro testing, because they provide
the best physiological conditions. However, for preliminary tests, which may only
be used for orientation, embalmed specimens using the Thiel fixation method might
serve as an alternative. Compared to formalin-fixated specimens which become
approximately 5 times stiffer and completely lose their non-linear load
deformation-characteristic, as found in a previous study; the Thiel fixation
maintains the non-linear load-deformation-characteristic but increases the range
of motion.
PMID- 22098914
TI - Contraction behaviors of dental composite restorations--finite element
investigation with DIC validation.
AB - The objective of this study was to examine the effects of cavity configuration on
the polymerization shrinkage and stress of light-cured composite restorations by
combining local strain measurement and a finite element analysis (FEA). Dental
mesio-occluso-distal cavities of various widths and depths (each for 2 vs. 4 mm),
representing different configuration factors, were prepared on extracted molars.
The displacements of the bonded tooth cusps and cavity floors, caused by
polymerization shrinkage of composite restorations, were assessed utilizing a
digital-image-correlation (DIC) technique. The cervical marginal microleakage was
investigated by examining the resin replicas of these restorations under SEM. The
local material properties of the polymerized composite along the curing depth
were defined by the nanoindentation test and applied in the subsequent FEA. In
the FEA, four models were generated to correspond with the experimental
restorations. In the DIC measurement results, the 4(w)*4(D) mm cavity presented
the greatest values of inward displacements at the cusp and floor. The cavity
depth, rather than the cavity width, was found to significantly correlate to the
floor deformation, the location of shrinkage centers, and also the cervical
microleakage ratio. The FEA simulation results showed that the 2(w)*4(D) mm
cavity presented the maximal von Mises and principal stress located respectively
on the cervical margins and cavity floor. Additional safety factor analysis
showed a high risk of bond failure over the cavity floor in the 4-mm deep cavity.
With the experimental validation, the simulation revealed that the cavity depth
was significant to the formation of contraction stress and the incidence of
interfacial debonding.
PMID- 22098915
TI - The effect of sintering temperature on the microstructure and mechanical
properties of a bioceramic bone scaffold.
AB - Micro and nanostructural properties are believed to play a critical role in the
osteoinductive capacity of bioceramic bone scaffolds. Physical characteristics
also play an important role for optimum biological performance, including
osteoconductivity and strength. In this study microstructural and nano-mechanical
properties of a bioceramic bone scaffold were investigated as a function of the
sintering temperature in the range of 950-1150 degrees C, through the use of
scanning electron microscopy (SEM), X-ray diffraction (XRD) and nanoindentation
testing. Although the samples presented the same crystallographic phase, an
increase in sintering temperature resulted in increased grain size, density and
crystallite size. The intrinsic mechanical properties were measured by
nanoindentation testing and analyzed with the Oliver-Pharr method. The
nanoindentation tests consisted of a series of fourteen partial unload tests
(n=14 per treatment) of twelve steps ranging from 1 to 12 mN. Statistically
significant increases in hardness and elastic modulus were measured for
increasing sintering temperature. These results support the development of
clinically successful bioceramic scaffolds with mechanical properties that
encourage bone ingrowth and provide structural integrity.
PMID- 22098916
TI - Elasticity and viscoelasticity of embolization microspheres.
AB - The present study investigates the mechanical properties of three embolization
microspheres (E-ms): tris-acryl gelatin microspheres (TG-ms), acrylamido
polyvinyl alcohol microspheres (APVA-ms), and polyphosphazene-coated
polymethylmethacrylate microspheres (PP-PMMA-ms). Compression and relaxation
tests were performed on monolayers of particles and their Young's moduli and
relaxation half times (RHTs) were determined. The elasticity of E-ms was
evaluated by applying Hertz theory with the assumptions of incompressibility and
a Poisson's ratio of 0.5. The Young's moduli of TG-ms, APVA-ms, and PP-PMMA-ms
were 39.6+/-5.05 kPa, 18.8+/-4.00 kPa, and 13.6+/-1.98 kPa, respectively. The
RHTs of TG-ms, APVA-ms, and PP-PMMA-ms were 52.3+/-5.56 s, 59.1+/-8.16 s, and
31.0+/-7.01 s, respectively. TG-ms have a high rigidity and deform slightly under
a sustained compression since they have a high elasticity. PP-PMMA-ms are soft
and deform a lot under sustained compression. They are more viscous than the
other two microspheres. APVA-ms have intermediate material properties, having the
same low rigidity as PP-PMMA-ms and being more elastic than TG-ms.
PMID- 22098917
TI - Arsenic, cadmium and neuron specific enolase (ENO2, gamma-enolase) expression in
breast cancer.
AB - BACKGROUND: Neuron specific enolase (ENO2, gamma-enolase) has been used as a
biomarker to help identify neuroendocrine differentiation in breast cancer. The
goal of the present study was to determine if ENO2 expression in the breast
epithelial cell is influenced by the environmental pollutants, arsenite and
cadmium. Acute and chronic exposure of MCF-10A cells to As+3 and Cd+2 sufficient
to allow colony formation in soft agar, was used to determine if ENO2 expression
was altered by these pollutants. RESULTS: It was shown that both As+3 and Cd+2
exposure caused significant increases in ENO2 expression under conditions of both
acute and chronic exposure. In contrast, ENO1, the major glycolytic enolase in
non-muscle and neuronal cells, was largely unaffected by exposure to either As+3
or Cd+2. Localization studies showed that ENO2 in the MCF-10A cells transformed
by As+3 or Cd+2 had both a cytoplasmic and nuclear localization. In contrast,
ENO1 was localized to the cytoplasm. ENO2 localized to the cytoplasm was found to
co-localized with ENO1. CONCLUSION: The results are the first to show that ENO2
expression in breast epithelial cells is induced by acute and chronic exposure to
As+3 or Cd+2. The findings also suggest a possible link between As+3 and Cd+2
exposure and neuroendocrine differentiation in tumors. Overall, the results
suggest that ENO2 might be developed as a biomarker indicating acute and/or
chronic environmental exposure of the breast epithelial cell to As+3 and Cd+2.
PMID- 22098918
TI - Dietary treatments enriched in olive and safflower oils regulate seric and
placental matrix metalloproteinases in maternal diabetes.
AB - OBJECTIVES: Matrix metalloproteinases (MMPs) are proteolytic enzymes involved in
placental development and function, although related to the pro-inflammatory
environment when produced in excess. Previous studies have identified MMP-2 and
MMP-9 overactivities in the placenta from diabetic rats. In this study, we aimed
to determine whether diets supplemented with olive and safflower oil, enriched in
natural PPAR ligands, are able to regulate MMP-2 and MMP-9 activities in the
placenta and serum from diabetic rats. STUDY DESIGN: Diabetes was induced in rat
neonates by streptozotocin administration (90mg/kg s.c.). Control and diabetic
rats were fed with 6% olive oil- or 6% safflower oil-supplemented diets from days
0.5-13.5 of gestation. MAIN OUTCOME MEASURES: On day 13.5 of gestation, placentas
and sera were isolated for further determination of matrix metalloproteinases
(MMPs) 2 and 9 activities by zymography. Placental MMP-2 and MMP-9 protein
concentration and immunolocalization were also determined. RESULTS: Sera from
diabetic pregnant animals showed MMP-2 and MMP-9 overactivities when compared to
controls. Serum MMP-9 activity was significantly decreased when the diabetic
animals received the olive and safflower oil dietary treatments. Placentas from
diabetic rats showed increased MMP-2 and MMP-9 activities and protein
concentrations, and both were decreased when diabetic rats received the olive and
safflower dietary treatments. CONCLUSIONS: This study demonstrates that both
olive and safflower oil-supplemented diets were able to prevent MMPs
overactivities in the placenta from diabetic rats, and that these beneficial
effects are reflected in rat sera.
PMID- 22098919
TI - A potassium channel opener for neuropathy, motor neuron disease and beyond.
PMID- 22098920
TI - Clinical ramifications of bronchial kink after upper lobectomy.
AB - BACKGROUND: Bronchial kink is caused by upward displacement of the remaining
lower lobe of the lung after upper lobectomy, which can cause an intractable
cough or shortness of breath. However, bronchial kink is often overlooked because
of the difficulty in the simultaneous diagnosis of bronchial curvature and
narrowing. METHODS: Screening for bronchial kink with three-dimensional computed
tomography (CT)-based bronchography was done on 50 patients who had undergone
hemilateral upper lobectomy for cancer. Bronchial kink was confirmed if there was
airway angulation and resultant stenosis exceeding 80%. We compared postoperative
changes in spirometry-based ventilatory capacity with CT-based functional lung
volume (FLV) in patients with and without bronchial kink. RESULTS: Bronchial kink
was confirmed in 21 patients (42%). Postoperative FLV and ventilatory capacity
were significantly greater in patients without than in those with bronchial kink
(p<0.05 for both measures). Postoperative FLV and ventilatory capacity were also
significantly greater than the estimated postoperative values for both measures
in patients without bronchial kink (both, p<0.05), representing favorable
compensatory adaptation of the remaining lung, whereas this was not the case in
patients with bronchial kink (both, p>0.1). Patients with bronchial kink
complained more often than those without bronchial kink of an intractable cough
and shortness of breath (76% vs 21%, respectively, p<0.01). CONCLUSIONS:
Bronchial kink after upper lobectomy is a common and functionally unfavorable
condition that can exacerbate postoperative shortness of breath. Computed
tomography-based bronchography is a useful tool in screening for bronchial kink.
Strategies for preventing bronchial kink should be explored in the clinical
setting.
PMID- 22098921
TI - Coronary artery disease in adult congenital heart disease: outcome after coronary
artery bypass grafting.
AB - BACKGROUND: Atherosclerotic coronary artery disease may be seen during repair of
adult congenital heart disease (ACHD). There are few data outlining outcomes of
concomitant coronary artery bypass grafting (CABG) in these patients. METHODS:
Between February 1972 and August 2009, 122 patients (77 men) underwent
concomitant CABG at the time of ACHD repair; median age was 64 years (range 40 to
85 years). Thirty patients (25%) had preoperative angina, 7 patients (6%) had
previous myocardial infarction (MI), and 6 patients (5%) had previous
percutaneous intervention. Most common primary cardiac diagnoses included
secundum atrial septal defect (ASD) in 73 patients (60%), Ebstein's anomaly in 14
patients (11%), and partial anomalous pulmonary venous connection in 8 patients
(7%). RESULTS: Operations included ASD repair in 78 patients (64%),
tricuspid/pulmonary valve procedures in 23 patients (19%), and ventricular septal
defect repair in 10 patients (8%). One bypass graft procedure was performed in 69
patients (57%), 2 bypass graft procedures were performed in 32 patients (26%), 3
bypass graft procedures were performed in 14 patients (11%), 4 bypass graft
procedures were performed in 5 patients (4%), and 5 bypass graft procedures were
performed in 2 patients (2%). There were 4 early deaths (3.3%). During a median
follow-up of 6 years (maximum follow-up, 32 years), actuarial survival was 76% at
5 years and 56% at 10 years. In patients with left anterior descending (LAD)
artery disease, survival was higher when a left internal mammary graft (LIMA) was
used (5 years, 86% versus 66%; 10 years, 66% versus 36%; p<0.05). CONCLUSIONS:
Concomitant CABG may be required at the time of correction of ACHD. Survival is
higher when a LIMA graft is used, and late functional outcome is good, with a low
incidence of late angina and need for reintervention.
PMID- 22098922
TI - Fifteen-year outcome of laparoscopic and open Nissen fundoplication: a randomized
clinical trial.
AB - BACKGROUND: Laparoscopic Nissen fundoplication (LNF) has become the method of
choice in the surgical treatment of gastroesophageal reflux disease (GERD),
replacing its open counterpart without confirmation by the long-term results of
controlled clinical studies. The goal of the present study was to compare the 15
year outcome of a randomized controlled comparison study of LNF versus open
Nissen fundoplication (ONF). METHODS: From 1992 to 1995, 110 consecutive patients
were randomized to undergo LNF or ONF. The 15-year objective results were
evaluated by endoscopy, and the subjective symptomatic outcome was assessed by
interviews. RESULTS: A total of 86 patients (48 in the LNF [LAP] and 38 in the
ONF [OPEN] group) participated in the study. The late long-term symptomatic
outcome was similar in the two patient groups. In the LAP group, 91.7% of the
patients gave a positive evaluation of their surgical result, as compared with
76.3% of the patients in the OPEN group (p=0.0484). A significantly greater
number of disrupted plications (p=0.0115) and incisional hernias (p<0.001)
occurred in the OPEN than in the LAP group. Both the subjective outcome and the
objective endoscopic and clinical findings in the present study were in accord
with our previously reported outcomes at 11-years after laparoscopic versus open
fundoplication. The study found a trend toward (p=0.0851) an increasing need for
the regular use of a proton pump inhibitor with the passage of time after Nissen
fundoplication. CONCLUSIONS: The 15-year results obtained in the present study
define laparoscopic Nissen fundoplication as the procedure of choice in the
surgical management of GERD. The long-term symptomatic outcomes of open and
laparoscopic Nissen fundoplication appear to remain unaltered after the first 10
postoperative years.
PMID- 22098923
TI - Genetic diversity and mycotoxin production of Fusarium lactis species complex
isolates from sweet pepper.
AB - An internal fruit rot disease of sweet peppers was first detected in Belgium in
2003. Research conducted mostly in Canada indicates that this disease is
primarily caused by Fusarium lactis Pirotta. Ninety-eight Fusarium isolates
obtained from diseased sweet peppers from Belgium, as well as from other
countries (Canada, the Netherlands and the United Kingdom) were identified by
sequencing the translation elongation factor 1alpha (EF). Of these 98 isolates,
13 were identified as F. oxysporum Schltdl., nine as F. proliferatum (Matsush.)
Nirenberg and two belonged to clade 3 of the F. solani species complex. Of the 74
remaining isolates, the EF sequence showed 97% to 98% similarity to F. lactis. Of
these isolates, the beta-tubulin (TUB), calmodulin (CAM) and the second largest
subunit of RNA polymerase II (RPB2) genes were also sequenced. Analysis of the
combined sequences revealed that the 74 isolates share nine combined sequences
that correspond to nine multilocus sequence types (STs), while the F. lactis
neotype strain and one other strain, both isolated from figs, form a separate ST.
Together, these 10 STs represent a monophyletic F. lactis species complex
(FLASC). An unusually high level of genetic diversity was observed between
(groups of) these STs. Two of them (ST5 and ST6) fulfilled the criteria for
species recognition based on genealogical exclusivity and together represent a
new monophyletic species lineage (FLASC-1). The seven other STs, together with
the F. lactis neotype ST, form a paraphyletic species lineage in the African
clade of the Gibberella fujikuroi species complex (GFSC). From each of the 10
STs, the mycotoxin production was assessed using a multi-mycotoxin liquid
chromatography mass spectrometry method. Out of the 27 analyzed mycotoxins,
beauvericin and fumonisins were detected in sweet pepper tissue and in maize
kernels. The 10 STs clearly differed in the amount of mycotoxin produced, but
there was only limited congruence between the production profile and the
phylogenetic analysis. Furthermore, the morphological characterization (based on
mycelial growth rate and the length of macroconidia) showed distinct differences
between the 10 STs, but again there was limited congruence with the phylogenetic
results. In conclusion, the data presented in this study demonstrate that 75% of
the isolates obtained from sweet pepper with internal fruit rot belong to a F.
lactis species complex (FLASC), including a new FLASC-1 monophyletic species, and
that the members of this complex display great genetic and phenotypic diversity.
PMID- 22098924
TI - Predictors of hospitalized patients' intentions to prevent healthcare harm: a
cross sectional survey.
AB - BACKGROUND: Patients can play an important role in reducing healthcare harm but
little is known about the factors that may affect patients' willingness to
participate. In order to encourage the 'active' patient it is critical that we
gain a deeper understanding of the antecedents of safety-relevant behaviours.
Doing this will enable the implementation of effective interventions aimed at
supporting patients to work with healthcare professionals in ensuring safe care.
OBJECTIVE: To examine predictors of patients' intentions to engage in two safety
behaviours: (1) reminding healthcare staff to wash their hands and; (2) notifying
healthcare staff if they are not wearing a hospital identification bracelet.
DESIGN: Cross-sectional survey study. PARTICIPANTS: A purposive sampling method
was employed to recruit 80 medical and surgical hospital inpatients aged 18-80
(mean 48) from one inner city London teaching hospital. METHODS: A 42 item survey
that measured the extent that patients' control beliefs, behavioural beliefs,
normative beliefs and perceived susceptibility and severity towards a hospital
acquired infection or a misidentification error could predict their intentions to
ask doctors/nurses about their hand washing compliance or notify doctors/nurses
if they are not wearing a hospital identification bracelet. Data was analysed
using multiple regression analysis. RESULTS: Control beliefs, normative beliefs
and perceived severity were the strongest predictors of patients' intentions to
participate in both behaviours. The regression models accounted for a smaller
percentage of the variance in patients' intentions to ask doctors/nurses if they
have washed their hands (42%/37%) than notifying staff if they were not wearing
an identification bracelet (54%/56%). CONCLUSIONS: If patients understand why a
behaviour is beneficial, they perceive it as acceptable to participate in and
that they have control over the decision to engage in the behaviour, we
hypothesise that more patients will intend to participate in that behaviour. When
designing interventions aimed at encouraging the participation of patients in
promoting their own safety, consideration should be given to the potential
influence of patients' control beliefs, normative beliefs and perceived severity
of errors on their intentions to participate.
PMID- 22098925
TI - Reflections on the ethics of internet newsgroup research.
PMID- 22098926
TI - The impact of term-time paid work on academic performance in nursing students: a
longitudinal study.
AB - BACKGROUND: Nursing students in higher education are spending more time in paid
employment despite evidence that this can impact negatively on academic
performance. OBJECTIVES: To examine the effect of paid work on academic
performance in undergraduate nursing students. DESIGN: Descriptive, correlational
survey with longitudinal follow-up. PARTICIPANTS: Nursing students in
metropolitan Sydney, Australia. METHODS: First year nursing students surveyed at
baseline were followed up at the end of the final year of their nursing program
to examine factors influencing academic performance. RESULTS: Of the 566 Year 1
nursing students who were surveyed in the second semester of their Bachelor of
Nursing program, 182 students (32%) completed the follow-up survey in Year 3. The
percentage of students engaging in paid work during term-time had increased
(p<0.001), from 70% in Year 1 to 84% in Year 3. There was an inverse relationship
between mean hours in paid work during term-time and nursing students' GPA in
their final year. Taking into account demographic factors, the mean hours spent
in paid work during term-time had a negative impact on nursing students' GPA
(p<0.001). CONCLUSION: In view of these findings, we suggest that new models of
undergraduate nursing education be explored to include faculty approved nursing
related employment with defined opportunities for learning. This would
accommodate the dual roles of undergraduate nursing students as students and
employees and therefore not endanger their academic performance.
PMID- 22098927
TI - Mixed brominated/chlorinated dibenzo-p-dioxins, dibenzofurans and biphenyls:
Simultaneous congener-selective determination in food.
AB - Of the 4600 individual poly-halogenated (bromo-chloro) dibenzo-p-dioxins, dibenzo
furans (PXDD/Fs) and 9180 poly-halogenated biphenyls (PXBs), 19 compounds were
selected for analysis in food, based on current toxicological knowledge, chemical
configuration, type and degree of halogenation, and the limited knowledge on
environmental occurrence levels. The selection was also tempered by the
availability of reliable analytical standards. The analytical methodology
designed to allow simultaneous determination of PXDD/Fs and PXBs, was based on
internal standardisation with (13)C(12) labelled compounds and high resolution
mass spectrometry and involved a new separation procedure using dual activated
carbon column fractionation. In order to unambiguously measure these compounds a
practical, higher mass resolution (13,500-15,000 res) was used, coupled with a
judicious choice of analyte ions and relative ion ratios. Further specificity was
incorporated by exploiting the differences in chromatographic retention from
those of potential interferants. The methodology was validated and used to
measure occurrence levels of these contaminants in different matrices such as
milk, meat, fish, eggs, offal, shellfish and soil. The limits of detection
achieved by this methodology ranged from 0.005 to 0.02ngkg(-1) fat for foods. The
analyses revealed the presence of both PXDD/Fs and PXBs, with the latter
occurring to a greater extent, followed by PXDFs. This work represents the first
targeted approach to measuring a range of individual PXDD/Fs and PXBs
simultaneously.
PMID- 22098928
TI - Food contaminant analysis at high resolution mass spectrometry: application for
the determination of veterinary drugs in milk.
AB - Veterinary drugs (VDs) can remain in milk as a consequence of their use in
livestock. In order to control the levels of VD residues in milk, screening
methodologies can be applied for a rapid discrimination among negative and non
negative samples. In a second stage, non-negative samples are classified as
negative or positive samples by using a confirmation method. Pre-target screening
methods in low resolution MS (LRMS) are normally applied, but the number of
analytes is limited, whereas the information obtained by full scan acquisition in
high resolution mass spectrometry (HRMS) is improved. Here, three screening
methods (running time<4 min) based on Orbitrap, quadrupole-time of flight (QqTOF)
and triple quadrupole (QqQ) have been compared, using in all cases ultra-high
performance liquid chromatography (UHPLC). For HRMS, the identification of the
VDs was based on retention time (RT) and accurate mass measurements. Confirmation
was based on the monitoring of fragments generated without precursor selection.
The performance characteristics of the screening method provided reliable
information regarding the presence or absence of the compounds below an
established value, including uncertainty region and cut-off values. Better
results in terms of cut-off values (<= 5.0 MUg kg(-1), except for spiramycin with
a cut-off of 13.4 MUg kg(-1) for milk samples and 43.1 MUg kg(-1) for powdered
milk based, emamectin with a cut-off of 42.2 MUg kg(-1) for milk samples and
doxycycline, with a cut-off value of 15.8 MUg kg(-1) in powdered milk-based
infant formulae) and uncertainty region were obtained using the Orbitrap-based
screening method, which was submitted to further validation and used to analyze
different real milk samples. The proposed method can be used in routine analysis,
providing reliable results.
PMID- 22098929
TI - Use of cation exchange chromatography for human C-peptide isotope dilution - mass
spectrometric assay.
AB - An application of ion exchange chromatography for C-peptide analysis is described
here. At the stage of C-peptide isolation, a strong cation exchanger (SP HP or
MonoS) was used to purify the analyte from ballast proteins and peptides. The
conditions of ion-exchange chromatographic separations were optimized using
theoretical modeling of the net surface electric charge of the peptide as a
function of pH. The purified and concentrated sample was further subjected to LC
MS/MS. In order to improve the reliability of analysis, two fragment ions were
monitored simultaneously both for native C-peptide and internal standard,
isotopically labeled C-peptides analogues (fragments with m/z of 927.7 and
147.2). Using ion-exchange chromatography, it became possible to process larger
sample volumes, important for testing patients with very low C peptide levels,
compared to currently used solid phase extraction methods.
PMID- 22098930
TI - Hydrophilic interaction chromatography of seized drugs and related compounds with
sub 2 MUm particle columns.
AB - The use of hydrophilic interaction chromatography (HILIC) with sub 2 MUm particle
columns for the analysis of drugs and related compounds of forensic interest is
described. This technique uses a high organic/low aqueous buffered mobile phase
with a polar stationary phase, and is excellent for the separation of many of the
charged solutes that are found in forensic drug exhibits. In this study, HILIC is
investigated for 11 solutes of forensic interest, including weak bases, weak
acids, and a neutral solute. In addition, for columns containing either ethylene
bridged hybrid particles with or without an amide bonded phase, the effects of
acetonitrile concentration, buffer type, buffer concentration, linear velocity,
and sample concentration were studied. Based on these studies, HILIC with sub 2
MUm particle columns can offer highly efficient, selective, and rapid isocratic
separations of drugs and related compounds of forensic interest, with excellent
peak shapes and low back pressures. This is in contrast to reverse phase
chromatography (RPLC), where gradient elution is usually required, which can
result in extensive overlap between acidic, neutral, and basic solutes. In
addition, since HILIC exhibits a much greater loading capacity than RPLC, it
could be a preferred technique for drug profiling. Furthermore, because high
organic content mobile phases are highly amenable to mass spectrometric
detection, the use of HILIC with tandem mass spectrometric detection for the
analysis of seized drugs is described.
PMID- 22098931
TI - Selective displacement chromatography in multimodal cation exchange systems.
AB - A library of displacer analogues with varying degrees of electrostatic,
hydrophobic and hydrogen bonding moieties was evaluated for their ability to
enhance the selectivity of multimodal (MM) chromatography under high loading
conditions. The library was screened for displacement of model proteins using a
robotic liquid handling system and selective batch separations were achieved for
proteins that were inseparable with linear gradient chromatography. Trends in
protein displacement were identified and displacers with higher hydrophobicity
and net charge exhibited improved protein displacements. Proteins that interacted
with the resins primarily via electrostatic interactions were more readily
displaced than those that possessed a significant hydrophobic contribution to
their binding. In addition, multimodal displacers were found to be more selective
than single mode electrostatic displacers. Column chromatography studies were
also carried out and baseline separations were achieved for model protein pairs
using selective displacement. Finally, operation of these columns in the
desorption mode resulted in baseline separation of model proteins which were not
separable by selective displacement chromatography. This study indicates that the
inherent selectivity of MM resins can be augmented by the selectivity of the
displacer under non-linear competitive binding conditions, creating new
opportunities for protein separations not possible using traditional gradient
operations.
PMID- 22098932
TI - Enantioseparation of (+/-)-threo-methylphenidate in human plasma by cyclodextrin
modified sample stacking capillary electrophoresis.
AB - The (+/-)-threo-methylphenidate ((+/-)-threo-MP) is widely used for treatment of
attention-deficit hyperactivity disorder (ADHD). According to clinical evidence,
(+)-threo-MP possesses higher potency than (-)-threo-MP. Due to ppb level in
plasma, till now, none of the capillary electrophoresis (CE) methods have been
able to provide adequate sensitivity for therapeutic (+/-)-threo-MP monitoring.
In this study, a cyclodextrin-modified field-amplified sample stacking CE method
(CD-FASS-CE) for enantioseparation of (+/-)-threo-MP in human plasma was
established for clinical applications. Phosphate buffer (50mM, pH 3.0) was filled
into uncoated fused silica capillary (40 cm, 50 MUm I.D.), followed by a water
plug (0.5 psi, 10s). Electrokinetic injection (6 kV, 200 s) was used to load
samples and to enhance sensitivity. Stacking and separation were performed at 20
kV and 200 nm using phosphate buffer (50mM, pH 3.0) containing 20mM HP-beta-CD
and 30 mM triethanolamine. Analytes were separated simultaneously by using CD
FASS-CE and had a lower detection limit of equal to the sub-ppb level. Linear
calibration curves were obtained from 1 to 80 ng/mL (r=0.998). The limit of
detection for both isomers was 600 pg/mL. RSD and RE of precision and accuracy in
intra- and inter-day assays were below 7.89%. This method was further applied to
analyze (+/-)-threo-MP in four healthy Asian volunteers and that provided some
relevant information for clinical treatments.
PMID- 22098933
TI - Phase transfer membrane supported liquid-liquid-liquid microextraction combined
with large volume sample injection capillary electrophoresis-ultraviolet
detection for the speciation of inorganic and organic mercury.
AB - In this paper, a novel sample pretreatment technique termed phase transfer based
liquid-liquid-liquid microextraction (PT-LLLME) was proposed for the simultaneous
extraction of inorganic and organic mercury species. In PT-LLLME, an intermediate
solvent (acetonitrile) was added into the donor phase to improve the contacting
between target mercury species and complexing reagent. Meanwhile, a membrane
supported (MS)-LLLME unit was designed to realize the PT-LLLME procedure. By
using nylon membrane as supporting carrier, larger than 50 MUL of acceptor
solution could be hung up. Following PT/MS-LLLME, the acceptor solutions were
directly analyzed by large volume sample stacking capillary
electrophoresis/ultraviolet detection (LVSS-CE/UV). Accordingly, a new method of
PT/MS-LLLME combined with LVSS-CE/UV was developed for the simultaneous
speciation of inorganic and organic mercury species. Parameters affecting the
extraction efficiency of PT/MS-LLLME were investigated in details. Under the
optimized conditions, enrichment factors (EFs) ranging from 160- to 478-fold were
obtained for the extraction of target mercury species by PT/MS-LLLME. By
combining PT/MS-LLLME with LVSS-CE/UV, EFs were magnified up to 12,138-fold and
the limits of detection (at a signal-to-noise ratio of 3) were at sub ppb level.
The established approach of PT/MS-LLLME-LVSS-CE/UV was successfully applied to
simultaneous determination of inorganic and organic mercury species in biological
samples and environmental water samples.
PMID- 22098934
TI - Separation of small inorganic anions using methacrylate-based anion-exchange
monolithic column prepared by low temperature UV photo-polymerization.
AB - A methacrylate-based anion-exchange monolithic column was prepared by a single
step UV photo-copolymerization of [2-(methacryloyloxy)ethyl]-trimethyl ammonium
chloride, butylmethacrylate, and ethylene dimethacrylate at a low temperature of
15 degrees C. The anion-exchange column exhibited good separation efficiency for
the small inorganic anions of NO(2)(-), Br(-), NO(3)(-), and I(-) in the
isocratic mode. Under the conditions optimized using a mobile phase of 50% ACN
containing 100mM of NaCl, the theoretical plate heights of the anions were within
the range of 12.2-15.6 MUm (N, 64,000-82,000 m(-1); k, 0.2-1.6); and a value of
9.4 MUm (N, 110,000 m(-1)) was achieved for t(0). The flow resistance of the
column was acceptably low with a permeability of 2.7 * 10(-13) m(2). Fast
gradient elution at a flow rate of 32 mm/s resulted in rapid and precise
separation of the inorganic anions of IO(3)(-), NO(2)(-), Br(-), NO(3)(-), and I(
) within 20s.
PMID- 22098935
TI - Investigation of the biotransformation pathway of verapamil using
electrochemistry/liquid chromatography/mass spectrometry - a comparative study
with liver cell microsomes.
AB - The biotransformation pathway of verapamil, a widely prescribed calcium channel
blocker, was investigated by electrochemistry (EC) coupled online to liquid
chromatography (LC) and electrospray mass spectrometry (ESI-MS). Mimicry of the
oxidative phase I metabolism was achieved in a simple amperometric thin-layer
cell equipped with a boron-doped diamond (BDD) working electrode. Structures of
the electrochemically generated metabolites were elucidated on the basis of
accurate mass data and additional MS/MS experiments. We were able to demonstrate
that all of the most important metabolic products of the calcium antagonist
including norverapamil (formed by N-demethylation) can easily be simulated using
this purely instrumental technique. Furthermore, newly reported metabolic
reaction products like carbinolamines or imine methides become accessible. The
results obtained by EC were compared with conventional in vitro studies by
conducting incubations with rat as well as human liver microsomes (RLMs, HLMs).
Both methods showed good agreement with the data from EC/LC/MS. Thus, it can be
noted that EC is very well-suited for the simulation of the oxidative metabolism
of verapamil. In summary, this study confirms that EC/LC/MS can be a powerful
tool in drug discovery and development when applied complementary to established
in vitro or in vivo approaches.
PMID- 22098936
TI - Editorial on "Technology trends in antibody purification" by P.S. Gagnon.
PMID- 22098937
TI - Non-targeted analysis of wastewater treatment plant effluents by high performance
liquid chromatography-time slice-solid phase extraction-nuclear magnetic
resonance/time-of-flight-mass spectrometry.
AB - Extracts of effluents from two different wastewater treatment plants (WWTP) in
Switzerland taken during the application period of pesticides were examined by
coupling an HPLC-MS system to a nuclear magnetic resonance spectrometer using a
post column peak trapping device. By trapping 1 min portions of the chromatogram
onto post column solid phase extraction cartridges (time slice-SPE-NMR) a
comprehensive overview of proton carrying constituents could be achieved. Non
supervised statistical analysis of the NMR spectra obtained by this approach
revealed NMR resonances pointing to contaminants present in decreasing proton
concentration in the extracts. Comparison of exact mass data acquired during the
trapping process to these NMR resonances enabled the identification of the
pesticides Linuron, Metazachlor, Ethofumesate, Isoproturon, Metamitron, Propazine
and Chloridazon. Desaminometamitron, a known transformation product of Metamitron
could also be identified together with unexpected highly concentrated C8, C10 and
C12 fatty acids and their glycerol mono- and di esters. Other compounds
identified were a drug metabolite (3-Carboxymefenamic acid), a sun screen agent
(Ensulizole: 2-Phenyl-1H-1,3-benzodiazole-6-sulfonic acid) and industrial
chemicals (Benzotriazole, N-Benzyl-indole). In addition, a number of well
resolved proton spectra cannot be attributed to a mass response showing the need
of further investigations using 2D-NMR and different ionization techniques.
PMID- 22098938
TI - Relative contributions of osteogenic tissues to new bone formation in periosteal
distraction osteogenesis: histological and histomorphometrical evaluation in a
rat calvaria.
AB - BACKGROUND: The relative contributions of different, potential factors to new
bone formation in periosteal distraction osteogenesis are unknown. PURPOSE: The
aim of the present study was to assess the influence of original bone and
periosteum on bone formation during periosteal distraction osteogenesis in a rat
calvarial model by means of histology and histomorphometry. METHODS: A total of
48 rats were used for the experiment. The contribution of the periosteum was
assessed by either intact or incised periosteum or an occlusive versus a
perforated distraction plate. The cortical bone was either left intact or
perforated. Animals were divided in eight experimental groups considering the
three possible treatment modalities. All animals were subjected to a 7-day
latency period, a 10-day distraction period and a 7-day consolidation period. The
newly formed bone was analyzed histologically and histomorphometrically. RESULTS:
New, mainly woven bone was found in all groups. Differences in the maximum height
of new bone were observed and depended on location. Under the distraction plate,
statistically significant differences in maximum bone height were found between
the group with perforations in both cortical bone and distraction plate and the
group without such perforations. CONCLUSIONS: If the marrow cavities were not
opened, the contribution to new bone formation was dominant from the periosteum.
If the bone perforations opened the marrow cavities, a significant contribution
to new bone formation originated from the native bone.
PMID- 22098940
TI - Quantitative trait loci mapping of dark-induced senescence in winter wheat
(Triticum aestivum).
AB - In order to explore the genetics of dark-induced senescence in winter wheat
(Triticum aestivum L.), a quantitative trait loci (QTL) analysis was carried out
in a doubled haploid population developed from a cross between the varieties
Hanxuan 10 (HX) and Lumai 14 (LM). The senescence parameters chlorophyll content
(Chl a+b, Chl a, and Chl b), original fluorescence (Fo), maximum fluorescence
level (Fm), maximum photochemical efficiency (Fv/Fm), and ratio of variable
fluorescence to original fluorescence (Fv/Fo) were evaluated in the second leaf
of whole three-leaf seedlings subjected to 7 d of darkness. A total of 43 QTLs
were identified that were associated with dark-induced senescence using composite
interval mapping. These QTLs were mapped to 20 loci distributed on 11
chromosomes: 1B, 1D, 2A, 2B, 3B, 3D, 5D, 6A, 6B, 7A, and 7B. The phenotypic
variation explained by each QTL ranged from 7.5% to 19.4%. Eleven loci coincided
with two or more of the analyzed parameters. In addition, 14 loci co-located or
were linked with previously reported QTLs regulating flag leaf senescence,
tolerance to high light stress, and grain protein content (Gpc), separately.
PMID- 22098939
TI - Transcriptional analysis of late ripening stages of grapevine berry.
AB - BACKGROUND: The composition of grapevine berry at harvest is a major determinant
of wine quality. Optimal oenological maturity of berries is characterized by a
high sugar/acidity ratio, high anthocyanin content in the skin, and low
astringency. However, harvest time is still mostly determined empirically, based
on crude biochemical composition and berry tasting. In this context, it is
interesting to identify genes that are expressed/repressed specifically at the
late stages of ripening and which may be used as indicators of maturity. RESULTS:
Whole bunches and berries sorted by density were collected in vineyard on
Chardonnay (white cultivar) grapevines for two consecutive years at three stages
of ripening (7-days before harvest (TH-7), harvest (TH), and 10-days after
harvest (TH+10)). Microvinification and sensory analysis indicate that the
quality of the wines made from the whole bunches collected at TH-7, TH and TH+10
differed, TH providing the highest quality wines.In parallel, gene expression was
studied with Qiagen/Operon microarrays using two types of samples, i.e. whole
bunches and berries sorted by density. Only 12 genes were consistently up- or
down-regulated in whole bunches and density sorted berries for the two years
studied in Chardonnay. 52 genes were differentially expressed between the TH-7
and TH samples. In order to determine whether these genes followed a similar
pattern of expression during the late stages of berry ripening in a red cultivar,
nine genes were selected for RT-PCR analysis with Cabernet Sauvignon grown under
two different temperature regimes affecting the precocity of ripening. The
expression profiles and their relationship to ripening were confirmed in Cabernet
Sauvignon for seven genes, encoding a carotenoid cleavage dioxygenase, a
galactinol synthase, a late embryogenesis abundant protein, a dirigent-like
protein, a histidine kinase receptor, a valencene synthase and a putative S
adenosyl-L-methionine:salicylic acid carboxyl methyltransferase. CONCLUSIONS:
This set of up- and down-regulated genes characterize the late stages of berry
ripening in the two cultivars studied, and are indirectly linked to wine quality.
They might be used directly or indirectly to design immunological, biochemical or
molecular tools aimed at the determination of optimal ripening in these
cultivars.
PMID- 22098941
TI - Dose reduction in digital breast tomosynthesis (DBT) screening using
synthetically reconstructed projection images: an observer performance study.
AB - RATIONALE AND OBJECTIVES: The aim of this study was to retrospectively compare
the interpretive performance of synthetically reconstructed two-dimensional
images in combination with digital breast tomosynthesis (DBT) versus full-field
digital mammography (FFDM) plus DBT. MATERIALS AND METHODS: Ten radiologists
trained in reading tomosynthesis examinations interpreted retrospectively, under
two modes, 114 mammograms. One mode included the directly acquired full-field
digital mammograms combined with DBT, and the other included synthetically
reconstructed projection images combined with DBT. The reconstructed images do
not require additional radiation exposure. The two modes were compared with
respect to sensitivity, namely, recommendation to recall a breast with either a
pathology-proven cancer (n = 48) or a high-risk lesion (n = 6), and specificity,
namely, no recommendation to recall a breast not depicting an abnormality (n =
144) or depicting only benign abnormalities (n = 30). RESULTS: The average
sensitivity for FFDM with DBT was 0.826, compared to 0.772 for synthetic FFDM
with DBT (difference, 0.054; P = .017 and P = .053 for fixed and random reader
effects, respectively). The proportions of breasts with no or benign
abnormalities recommended to be recalled were virtually the same: 0.298 and 0.297
for the two modalities, respectively (95% confidence intervals for the
difference, -0.028 to 0.036 and -0.070 to 0.066 for fixed and random reader
effects, respectively). Sixteen additional clusters of microcalcifications
("positive" breasts) were missed by all readers combined when interpreting the
mode with synthesized images versus FFDM. CONCLUSIONS: Lower sensitivity with
comparable specificity was observed with the tested version of synthetically
generated images compared to FFDM, both combined with DBT. Improved synthesized
images with experimentally verified acceptable diagnostic quality will be needed
to eliminate double exposure during DBT-based screening.
PMID- 22098942
TI - Bureaucracy and the future of residency education.
PMID- 22098943
TI - Comparison of digital with film radiographs for the classification of
pneumoconiotic pleural abnormalities.
AB - RATIONALE AND OBJECTIVES: Analog film radiographs are typically used to classify
pneumoconiosis to allow comparison with standard film radiographs. The aim of
this study was to determine if digital radiography is comparable to film for the
purpose of classifying pneumoconiotic pleural abnormalities. MATERIALS AND
METHODS: Subjects were 200 asbestos-exposed patients, from whom digital and film
chest radiographs were obtained along with chest high-resolution computed
tomographic scans. Using a crossover design, radiographs were independently read
on two occasions by seven readers, using conventional International Labour
Organization standards for film and digitized standards for digital. High
resolution computed tomographic scans were read independently by three readers.
Areas under the receiver-operating characteristic curves were calculated using
high-resolution computed tomographic ratings as the gold standard for disease
status. Mixed linear models were fit to estimate the effects of order of
presentation, occasion, and modality, treating the seven readers as a random
effect. Comparing digital and film radiography for each reader and occasion,
crude agreement and agreement beyond chance (kappa) were also calculated.
RESULTS: The linear models showed no statistically significant sequence effect
for order of presentation (P = .73) or occasion (P = .28). Most important, the
difference between modalities was not statistically significant (digital vs film,
P = .54). The mean area under the curve for film was 0.736 and increased slightly
to 0.741 for digital. Mean crude agreement for the presence of pleural
abnormalities consistent with pneumoconiosis across all readers and occasions was
78.3%, while the mean kappa value was 0.49. CONCLUSIONS: These results indicate
that digital radiography is not statistically different from analog film for the
purpose of classifying pneumoconiotic pleural abnormalities, when appropriate
standards are used.
PMID- 22098944
TI - Layperson-oriented vs. clinical-based models for prediction of incidence of
ischemic stroke: National FINRISK Study.
AB - BACKGROUND: A simplified model not requiring a clinic visit is important for
cardiovascular prevention. We compared such a model, with one requiring clinical
measurements for prediction of ischemic stroke. METHODS: Five population-based
Finnish cohorts comprising 14 296 men and 16 065 women aged 25-64 years were
randomly recruited from 1982, and followed up using the national registers until
the end of the 2007. The final Cox model included age, prior history of diabetes
and hypertension, happy marriage, capability to walk 500 m (self-estimate),
regular exercise, vegetable/fruit intake, smoking, body mass index, and systolic
blood pressure; the layperson-oriented model was developed by taking blood
pressure away from the final model. RESULTS: Four hundred sixty-nine men and 371
women developed ischemic stroke events. The area under the receiver operating
characteristic curve (95% confidence interval) for 10 years incidence of ischemic
stroke was 0.817 (0.791-0.843) and 0.813 (0.787-0.839) for the model with and
without systolic blood pressure in men and 0.815 (0.782-0.848) and 0.812 (0.779
0.844), respectively, in women (P > 0.10). The predicted 10-year events rate
matched well with the observed one across deciles of the predicted risk in men
(chi(2) = 11.57, 9df, P = 0.239) and in women (chi(2) = 11.18, P = 0.263). The
overall net reclassification improvement after adding blood pressure was 8.8% (P
= 0.016) in men and 3.2% (P = 0.234) in women. The predicted 10-year risk of the
ischemic stroke based on global vascular event models that includes coronary
heart diseases did not match well with the observed stroke risk. CONCLUSIONS: The
layperson model performed as well as the clinical-based one.
PMID- 22098945
TI - The e-Health Implementation Toolkit: qualitative evaluation across four European
countries.
AB - BACKGROUND: Implementation researchers have attempted to overcome the research
practice gap in e-health by developing tools that summarize and synthesize
research evidence of factors that impede or facilitate implementation of
innovation in healthcare settings. The e-Health Implementation Toolkit (e-HIT) is
an example of such a tool that was designed within the context of the United
Kingdom National Health Service to promote implementation of e-health services.
Its utility in international settings is unknown. METHODS: We conducted a
qualitative evaluation of the e-HIT in use across four countries--Finland,
Norway, Scotland, and Sweden. Data were generated using a combination of
interview approaches (n = 22) to document e-HIT users' experiences of the tool to
guide decision making about the selection of e-health pilot services and to
monitor their progress over time. RESULTS: e-HIT users evaluated the tool
positively in terms of its scope to organize and enhance their critical thinking
about their implementation work and, importantly, to facilitate discussion
between those involved in that work. It was easy to use in either its paper- or
web-based format, and its visual elements were positively received. There were
some minor criticisms of the e-HIT with some suggestions for content changes and
comments about its design as a generic tool (rather than specific to sites and e
health services). However, overall, e-HIT users considered it to be a highly
workable tool that they found useful, which they would use again, and which they
would recommend to other e-health implementers. CONCLUSION: The use of the e-HIT
is feasible and acceptable in a range of international contexts by a range of
professionals for a range of different e-health systems.
PMID- 22098946
TI - Identification of candidate serum biomarkers for severe septic shock-associated
kidney injury via microarray.
AB - INTRODUCTION: Septic-shock-associated acute kidney injury (SSAKI) carries high
morbidity in the pediatric population. Effective treatment strategies are
lacking, in part due to poor detection and prediction. There is a need to
identify novel candidate biomarkers of SSAKI. The objective of our study was to
determine whether microarray data from children with septic shock could be used
to derive a panel of candidate biomarkers for predicting SSAKI. METHODS: A
retrospective cohort study compared microarray data representing the first 24
hours of admission for 179 children with septic shock with those of 53 age
matched normal controls. SSAKI was defined as a >200% increase of baseline serum
creatinine, persistent to 7 days after admission. RESULTS: Patients with SSAKI (n
= 31) and patients without SSAKI (n = 148) were clinically similar, but SSAKI
carried a higher mortality (45% vs. 10%). Twenty-one unique gene probes were
upregulated in SSAKI patients versus patients without SSAKI. Using leave-one-out
cross-validation and class prediction modeling, these probes predicted SSAKI with
a sensitivity of 98% (95% confidence interval (CI) = 81 to 100) and a specificity
of 80% (95% CI = 72 to 86). Serum protein levels of two specific genes showed
high sensitivity for predicting SSAKI: matrix metalloproteinase-8 (89%, 95% CI =
64 to 98) and elastase-2 (83%, 95% CI = 58 to 96). Both biomarkers carried a
negative predictive value of 95%. When applied to a validation cohort, although
both biomarkers carried low specificity (matrix metalloproteinase-8: 41%, 95% CI
= 28 to 50; and elastase-2: 49%, 95% CI = 36 to 62), they carried high
sensitivity (100%, 95% CI = 68 to 100 for both). CONCLUSIONS: Gene probes
upregulated in critically ill pediatric patients with septic shock may allow for
the identification of novel candidate serum biomarkers for SSAKI prediction.
PMID- 22098947
TI - Accuracy of b-GGT fraction for the diagnosis of non-alcoholic fatty liver
disease.
AB - BACKGROUND: Serum gamma-glutamyltransferase (GGT) activity is a sensitive but non
specific marker of non-alcoholic fatty liver disease (NAFLD). Recently, four GGT
fractions (big-, medium-, small-, free-GGT) were described in humans. AIM: We
aimed to investigate whether a specific GGT fraction pattern is associated with
NAFLD. METHODS: Gamma-glutamyltransferase fractions were determined in patients
with NAFLD (n = 90), and compared with those in control subjects (n = 70), and
chronic hepatitis C (CHC, n = 45) age and gender matched. RESULTS: Total GGT was
elevated in NAFLD as compared to controls (median, 25 degrees -75 degrees
percentile: 39.4, 20.0-82.0 U/L vs. 18.4, 13.2-24.9 U/L respectively, P < 0.001).
All fractions were higher in NAFLD than in controls (P < 0.001). The b-GGT showed
the highest diagnostic accuracy for NAFLD diagnosis [area under ROC curve (ROC
AUC): 0.85; cut-off 2.6 U/L, sensitivity 74%, specificity 81%]. Also subjects
with CHC showed increased GGT (41.5, 21.9-84.5 U/L, P < 0.001 vs. controls, P =
n.s. vs. NAFLD), as well as m-, s-, and f-GGT, while b-GGT did not show any
significant increase (P = n.s. vs. HS, P < 0.001 vs. NAFLD). In subjects with
CHC, s-GGT showed the best diagnostic value (ROC-AUC: 0.853; cut-off 14.1 U/L,
sensitivity 73%, specificity 90%). Serum GGT did not show any value in the
differential diagnosis between NAFLD and CHC (ROC-AUC 0.507, P = n.s.), while b
GGT/s-GGT ratio showed the highest diagnostic accuracy for distinguishing NAFLD
and CHC (ROC-AUC: 0.93; cut-off value 0.16, sensitivity 82%, specificity 90%).
CONCLUSIONS: b-GGT increases in NAFLD, but not in CHC. GGT fraction analysis
might help in improving the sensitivity and specificity of the diagnosis of NAFLD
and other liver dysfunctions.
PMID- 22098948
TI - Spaceflight alters the gene expression profile of cervical cancer cells.
AB - Our previous study revealed that spaceflight induced biological changes in human
cervical carcinoma Caski cells. Here, we report that 48A9 cells, which were
subcloned from Caski cells, experienced significant growth suppression and
exhibited low tumorigenic ability after spaceflight. To further understand the
potential mechanism at the transcriptional level, we compared gene expression
between 48A9 cells and ground control Caski cells with suppression subtractive
hybridization (SSH) and reverse Northern blotting methods, and analyzed the
relative gene network and molecular functions with the Ingenuity Pathways
Analysis (IPA) program. We found 5 genes, SUB1, SGEF, MALAT-1, MYL6, and MT-CO2,
to be up-regulated and identified 3 new cDNAs, termed B4, B5, and C4, in 48A9
cells. In addition, we also identified the two most significant gene networks to
indicate the function of these genes using the IPA program. To our knowledge, our
results show for the first time that spaceflight can reduce the growth of tumor
cells, and we also provide a new model for oncogenesis study.
PMID- 22098949
TI - Knockdown of nucleophosmin induces S-phase arrest in HepG2 cells.
AB - Nucleophosmin/B23 (NPM) is a universally expressed nucleolar phosphoprotein that
participates in proliferation, apoptosis, ribosome assembly, and centrosome
duplication; however, the role of NPM in cell cycle regulation is not well
characterized. We investigated the mechanism by which NPM is involved in cell
cycle regulation. NPM was knocked down using siRNA in HepG2 hepatoblastoma cells.
NPM translocation following actinomycin D (ActD) treatment was investigated using
immunofluorescent staining. Expression of NPM and other factors involved in cell
cycle regulation was examined by Western blotting. Cell cycle distribution was
measured using flow cytometry to detect 5-ethynyl-2'-deoxyuridine (EdU)
incorporation. Cell proliferation was quantified by the MTT assay. Knockdown of
NPM increased the percentage of HepG2 cells in S phase and led to decreased
expression of P53 and P21Cip1/WAF1. S-phase arrest in HepG2 cells was
significantly enhanced by ActD treatment. Furthermore, knockdown of NPM abrogated
ActD-induced G2/M phase cell cycle arrest. Taken together, these data demonstrate
that inhibition of NPM has a significant effect on the cell cycle.
PMID- 22098951
TI - Overexpression of P-glycoprotein induces acquired resistance to imatinib in
chronic myelogenous leukemia cells.
AB - Imatinib, a breakpoint cluster region (BCR)-Abelson murine leukemia(ABL) tyrosine
kinase inhibitor (TKI), has revolutionized the treatment of chronic myelogenous
leukemia (CML). However, development of multidrug resistance(MDR) limits the use
of imatinib. In the present study, we aimed to investigate the mechanisms of
cellular resistance to imatinib in CML. Therefore, we established an imatinib
resistant human CML cell line(K562-imatinib) through a stepwise selection
process. While characterizing the phenotype of these cells, we found that K562
imatinib cells were 124.6-fold more resistant to imatinib than parental K562
cells. In addition, these cells were cross-resistant to second- and third
generation BCR-ABL TKIs. Western blot analysis and reverse transcription
polymerase chain reaction(RT-PCR) demonstrated that P-glycoprotein(P-gp) and MDR1
mRNA levels were increased in K562-imatinib cells. In addition, accumulation of
[14C]6-mercaptopurine (6-MP) was decreased, whereas the ATP-dependent efflux of
[14C]6-MP and [3H]methotrexate transport were increased in K562-imatinib cells.
These data suggest that the overexpression of P-gp may play a crucial role in
acquired resistance to imatinib in CML K562-imatinib cells.
PMID- 22098953
TI - Re: Molecular stratification of clear cell renal cell carcinoma by consensus
clustering reveals distinct subtypes and survival patterns.
PMID- 22098950
TI - Breast cancer resistance protein (BCRP/ABCG2): its role in multidrug resistance
and regulation of its gene expression.
AB - Breast cancer resistance protein (BCRP)/ATP-binding cassette subfamily G member 2
(ABCG2) is an ATP-binding cassette (ABC) transporter identified as a molecular
cause of multidrug resistance (MDR) in diverse cancer cells. BCRP physiologically
functions as a part of a self-defense mechanism for the organism; it enhances
elimination of toxic xenobiotic substances and harmful agents in the gut and
biliary tract, as well as through the blood-brain, placental, and possibly blood
testis barriers. BCRP recognizes and transports numerous anticancer drugs
including conventional chemotherapeutic and targeted small therapeutic molecules
relatively new in clinical use. Thus, BCRP expression in cancer cells directly
causes MDR by active efflux of anticancer drugs. Because BCRP is also known to be
a stem cell marker, its expression in cancer cells could be a manifestation of
metabolic and signaling pathways that confer multiple mechanisms of drug
resistance, self-renewal (stemness), and invasiveness (aggressiveness), and
thereby impart a poor prognosis. Therefore, blocking BCRP-mediated active efflux
may provide a therapeutic benefit for cancers. Delineating the precise molecular
mechanisms for BCRP gene expression may lead to identification of a novel
molecular target to modulate BCRP-mediated MDR. Current evidence suggests that
BCRP gene transcription is regulated by a number of trans-acting elements
including hypoxia inducible factor 1alpha, estrogen receptor, and peroxisome
proliferator-activated receptor. Furthermore, alternative promoter usage,
demethylation of the BCRP promoter, and histone modification are likely
associated with drug-induced BCRP overexpression in cancer cells. Finally,
PI3K/AKT signaling may play a critical role in modulating BCRP function under a
variety of conditions. These biological events seem involved in a complicated
manner. Untangling the events would be an essential first step to developing a
method to modulate BCRP function to aid patients with cancer. This review will
present a synopsis of the impact of BCRP-mediated MDR in cancer cells, and the
molecular mechanisms of acquired MDR currently postulated in a variety of human
cancers.
PMID- 22098954
TI - Re: Feasibility of sentinel node detection in renal cell carcinoma: a pilot
study.
PMID- 22098955
TI - Re: Can we better select patients with metastatic renal cell carcinoma for
cytoreductive nephrectomy?
PMID- 22098952
TI - Multidrug resistance associated proteins in multidrug resistance.
AB - Multidrug resistance proteins (MRPs) are members of the C family of a group of
proteins named ATP-binding cassette (ABC) transporters. These ABC transporters
together form the largest branch of proteins within the human body. The MRP
family comprises of 13 members, of which MRP1 to MRP9 are the major transporters
indicated to cause multidrug resistance in tumor cells by extruding anticancer
drugs out of the cell. They are mainly lipophilic anionic transporters and are
reported to transport free or conjugates of glutathione (GSH), glucuronate, or
sulphate. In addition, MRP1 to MRP3 can transport neutral organic drugs in free
form in the presence of free GSH. Collectively, MRPs can transport drugs that
differ structurally and mechanistically, including natural anticancer drugs,
nucleoside analogs, antimetabolites, and tyrosine kinase inhibitors. Many of
these MRPs transport physiologically important anions such as leukotriene C4,
bilirubin glucuronide, and cyclic nucleotides. This review focuses mainly on the
physiological functions, cellular resistance characteristics, and probable in
vivo role of MRP1 to MRP9.
PMID- 22098957
TI - Re: Effect of dutasteride on prostate biopsy rates and the diagnosis of prostate
cancer in men with lower urinary tract symptoms and enlarged prostates in the
combination of avodart and tamsulosin trial.
PMID- 22098958
TI - Re: Increased Cancer Risks for Relatives of Very Early-Onset Breast Cancer Cases
With and Without BRCA1 and BRCA2 Mutations.
PMID- 22098960
TI - Re: The use of zoledronic acid in men receiving androgen deprivation therapy for
prostate cancer with severe osteopenia or osteoporosis.
PMID- 22098962
TI - Re: Nerve Growth Factor Level in the Prostatic Fluid of Patients With Chronic
Prostatitis/Chronic Pelvic Pain Syndrome is Correlated With Symptom Severity and
Response to Treatment.
PMID- 22098963
TI - Re: Tenderness as measured by pressure pain thresholds extends beyond the pelvis
in chronic pelvic pain syndrome in men.
PMID- 22098964
TI - Re: Pregabalin for the treatment of men with chronic prostatitis/chronic pelvic
pain syndrome: a randomized controlled trial.
PMID- 22098965
TI - Re: A pilot study of botulinum toxin a for male chronic pelvic pain syndrome.
PMID- 22098968
TI - Re: Does urinary stone composition and morphology help for prediction of primary
hyperparathyroidism?
PMID- 22098969
TI - Re: Dorsal onlay skin graft urethroplasty in patients older than 65 years.
PMID- 22098970
TI - Re: Should being aged over 70 years hinder penile prosthesis implantation?
PMID- 22098971
TI - Re: Association between implementation of a medical team training program and
surgical mortality.
PMID- 22098973
TI - Re: Antimuscarinics and bladder outlet obstruction: from a contraindication to an
indication?
PMID- 22098975
TI - Re: Complementary and Alternative Medicine (CAM) Use in Women With Pelvic Floor
Disorders: A Cohort Study.
PMID- 22098976
TI - Re: Can pelvic floor muscle training reverse pelvic organ prolapse and reduce
prolapse symptoms? An assessor-blinded, randomized, controlled trial.
PMID- 22098977
TI - Re: Treatment Outcomes and Resource Use of Patients With Neurogenic Detrusor
Overactivity Receiving Botulinum Toxin A (BOTOX) Therapy in Germany.
PMID- 22098978
TI - Re: Intravesical prostatic protrusion predicts clinical progression of benign
prostatic enlargement in patients receiving medical treatment.
PMID- 22098979
TI - Re: Symptomatic and quality of life response to tolterodine in subgroups of men
with overactive bladder symptoms and presumed non-obstructive benign prostatic
hyperplasia.
PMID- 22098980
TI - Re: Safety of Physiological Testosterone Therapy in Women: Lessons From Female-to
Male Transsexuals (FMT) Treated With Pharmacological Testosterone Therapy.
PMID- 22098981
TI - Re: Behavior and symptom change among women treated with placebo for sexual
dysfunction.
PMID- 22098984
TI - Re: Clinical significance of sperm DNA damage in assisted reproduction outcome.
PMID- 22098985
TI - Re: DNA fragmentation of normal spermatozoa negatively impacts embryo quality and
intracytoplasmic sperm injection outcome.
PMID- 22098986
TI - Re: Y chromosome microdeletions, sperm DNA fragmentation and sperm oxidative
stress as causes of recurrent spontaneous abortion of unknown etiology.
PMID- 22098987
TI - Re: Sperm DNA integrity in cancer patients before and after cytotoxic treatment.
PMID- 22098988
TI - Re: Radical soft tissue mobilization and reconstruction (kelly procedure) for
bladder exstrophy repair in males: initial experience with nine cases.
PMID- 22098989
TI - Re: Pelvic-floor imaging using three-dimensional ultrasonography and magnetic
resonance imaging in the long term follow-up of the bladder-exstrophy-epispadias
complex.
PMID- 22098990
TI - Re: The meatal/urethral width in healthy uncircumcised boys.
PMID- 22098991
TI - Re: eIF4E Phosphorylation Promotes Tumorigenesis and is Associated With Prostate
Cancer Progression.
PMID- 22098992
TI - Re: Fkbp52 regulates androgen receptor transactivation activity and male urethra
morphogenesis.
PMID- 22098993
TI - Man with rash and nausea. Acute generalized exanthematous pustulosis after
cephalexin use.
PMID- 22098994
TI - Is discharge to home after emergency department cardioversion safe for the
treatment of recent-onset atrial fibrillation?
AB - Recent-onset atrial fibrillation, defined as a first detected or recurrent
episode of atrial fibrillation lasting less than 48 hours, is a commonly
encountered dysrhythmia in the emergency department (ED). Cardioversion of stable
patients in the ED with recent-onset atrial fibrillation without antecedent
anticoagulation would allow for these patients to be discharged directly to home.
We searched the literature to determine whether any studies have investigated the
safety of this management strategy and identified five that addressed this
question. These studies are reviewed herein; importantly, not one ED patient who
was cardioverted in any of the five studies suffered a thromboembolic event - the
feared complication responsible for most of the controversy surrounding the ED
management of atrial fibrillation. According to the available evidence, we
conclude that it would be within the standard of care to discharge home stable
patients with recent-onset atrial fibrillation after cardioversion in the ED with
adequate follow-up. It should be noted that although this strategy is safe and
effective, the return visit rate for relapsed atrial fibrillation is 3% to 17%,
and patients should be made aware of this possibility.
PMID- 22098995
TI - Evaluation of the Test-mate ChE (cholinesterase) field kit in acute
organophosphorus poisoning.
AB - STUDY OBJECTIVE: Measurement of acetylcholinesterase (AChE) is recommended in the
management of organophosphorus poisoning, which results in 200,000 deaths
worldwide annually. The Test-mate ChE 400 is a portable field kit designed for
detecting occupational organophosphorus exposure that measures RBC AChE and
plasma cholinesterase (PChE) within 4 minutes. We evaluate Test-mate against a
reference laboratory test in patients with acute organophosphorus self-poisoning.
METHODS: This was a cross-sectional comparison study of 14 patients with acute
organophosphorus poisoning between May 2007 and June 2008. RBC AChE and PChE were
measured in 96 and 91 samples, respectively, with the Test-mate ChE field kit and
compared with a reference laboratory, using the limits of agreement method (Bland
and Altman), kappa statistics, and Spearman's correlation coefficients. RESULTS:
There was good agreement between the Test-mate ChE and the reference laboratory
for RBC AChE. The mean difference (Test-mate-reference) was -0.62 U/g hemoglobin,
95% limits of agreement -10.84 to 9.59 U/g hemoglobin. Good agreement was also
observed between the categories of mild, moderate, and severe RBC AChE inhibition
(weighted kappa 0.85; 95% confidence interval [CI] 0.83 to 0.87). Measurement of
PChE also showed good agreement, with a mean difference (Test-mate-reference) of
+0.06 U/mL blood, 95% limits of agreement -0.41 to 0.53 U/mL blood. Spearman's
correlation coefficients were 0.87 (95% CI 0.81 to 0.91) for RBC AChE and 0.76
(95% CI 0.66 to 0.84) for PChE. Analysis for within-subject correlation of
subjects did not change the limits of agreement. CONCLUSION: The Test-mate ChE
field kit reliably provides rapid measurement of RBC AChE in acute
organophosphorus poisoning.
PMID- 22098996
TI - Commentary: characteristics of LEOs' fatalities in motor vehicle crashes: a lack
of safety culture in LEOs is a loss for us all.
PMID- 22098997
TI - Change of shift. Death by slow, better fast.
PMID- 22098998
TI - Man with shoulder pain after a fall. Necrotizing fasciitis.
PMID- 22098999
TI - Reperfusion is delayed beyond guideline recommendations in patients requiring
interhospital helicopter transfer for treatment of STEMI.
PMID- 22099001
TI - International emergency medicine and global health: training and career paths for
emergency medicine residents.
PMID- 22099003
TI - Unilateral facial swelling with fever. Parotitis.
PMID- 22099005
TI - Adherence to artemether/lumefantrine treatment in children under real-life
situations in rural Tanzania.
AB - A follow-up study was conducted to determine the magnitude of and factors related
to adherence to artemether/lumefantrine (ALu) treatment in rural settings in
Tanzania. Children in five villages of Kilosa District treated at health
facilities were followed-up at their homes on Day 7 after the first dose of ALu.
For those found to be positive using a rapid diagnostic test for malaria and
treated with ALu, their caretakers were interviewed on drug administration
habits. In addition, capillary blood samples were collected on Day 7 to determine
lumefantrine concentrations. The majority of children (392/444; 88.3%) were
reported to have received all doses, in time. Non-adherence was due to
untimeliness rather than missing doses and was highest for the last two doses. No
significant difference was found between blood lumefantrine concentrations among
adherent (median 286 nmol/l) and non-adherent [median 261 nmol/l; range 25 nmol/l
(limit of quantification) to 9318 nmol/l]. Children from less poor households
were more likely to adhere to therapy than the poor [odds ratio (OR)=2.45, 95% CI
1.35-4.45; adjusted OR=2.23, 95% CI 1.20-4.13]. The high reported rate of
adherence to ALu in rural areas is encouraging and needs to be preserved to
reduce the risk of emergence of resistant strains. The age-based dosage schedule
and lack of adherence to ALu treatment guidelines by health facility staff may
explain both the huge variability in observed lumefantrine concentrations and the
lack of difference in concentrations between the two groups.
PMID- 22099004
TI - Strengthening relationships: amyloids create adhesion nanodomains in yeasts.
AB - Budding yeasts adhere to biotic or abiotic surfaces and aggregate to form
biofilms, using wall-anchored glycoprotein adhesins. The process is paradoxical:
adhesins often show weak binding to specific ligands, yet mediate remarkably
strong adherence. Single-molecule atomic force microscopy (AFM), genomics,
biochemistry and cell biology have recently explained the puzzle, with Candida
albicans Als adhesins as the paradigm. The strength of adhesion results partly
from force-activated amyloid-like clustering of hundreds of adhesin molecules to
form arrays of ordered multimeric binding sites. The various protein domains of
eukaryotic adhesins cooperate to facilitate this fascinating new mechanism of
activation.
PMID- 22099006
TI - Attaching and effacing Escherichia coli and Shiga toxin-producing E. coli in
children with acute diarrhoea and controls in Teresina/PI, Brazil.
AB - This 3.5-year prospective study was conducted to ascertain the level of attaching
and effacing Escherichia coli (AEEC) associated diarrhoea in children from
Teresina, a northeastern state of Brazil. Passed faecal specimens from 400
patients (250 with and 150 without diarrhoea) up to 60 months of age attending
from 2004 to 2007 at two public hospitals were investigated. Conventional
microbiology methods and PCR were employed. Escherichia coli was isolated from
390 children, 240 of them with diarrhoea. A total of 117 AEEC strains were
cultivated from specimens from 63 children, 37 with and 26 without diarrhoea. No
association between AEEC and diarrhoea was observed. Atypical enteropathogenic E.
coli (a-EPEC) (79.4%) was more commonly found than typical EPEC (t-EPEC).
Association between EPEC and EPEC subtypes and diarrhoea was not detected. Mixed
infection by t-EPEC and a-EPEC and infection by Shiga toxin-producing E. coli
(STEC) were rare. Enteropathogenic E. coli was more common in males and in
children aged less than 12 months. Correlation between serotyping and PCR results
was 0.19. High resistance rates of AEEC to ampicillin, cephalotin, and
trimethoprim-sulfamethoxazole were found. In conclusion, EPEC is very common in
children with diarrhoea and controls in the population we studied, with a-EPEC
predominating. This diarrhoeagenic E. coli (DEC) pathotype is more common in
infant males and is resistant to drugs frequently used in clinical practice.
PMID- 22099007
TI - Introduction. Demand for central venous access.
PMID- 22099008
TI - A concise history of central venous access.
AB - Central venous access has become a mainstay of modern interventional radiology
practice. Its history has paralleled and enabled many current medical therapies.
This short overview provides an interesting historical perspective of these
increasingly common interventional procedures.
PMID- 22099009
TI - Principles of non-tunneled central venous access.
AB - Non-tunneled central venous access has become an important tool in the management
of the sick patient, particularly in the intensive care unit. Image guidance
allows more precise placement of lines with overall lower complication rates
compared with guidance by physical landmarks. The use of image guidance has
brought a procedure traditionally performed by surgeons into the realm of the
interventional radiologist. Techniques for placement of non-tunneled central
venous catheters, hemodialysis catheters, and peripherally inserted central
catheter lines will be discussed.
PMID- 22099010
TI - Principles of tunneled cuffed catheter placement.
AB - Tunneled cuffed catheters provide reliable and instant long-term intravenous
access for a large variety of therapeutic purposes, including chemotherapy,
parenteral nutrition, and apheresis. The most frequent application is for
patients with renal failure as an access device for hemodialysis. In this
capacity, the rate of catheter use has remained stable in the United States,
despite the promotion of arteriovenous fistulas and arteriovenous grafts. The
latter 2 procedures achieve superior longevity and much higher cost-efficiency.
Tunneled catheters, however, serve as bridging devices during maturation of newly
placed arteriovenous fistulas or as the final option in patients in whom fistulas
and grafts have failed. High-quality vascular access is a hallmark of
interventional radiology, and its significance for patient care and for our
specialty cannot be overestimated. Familiarity with basic concepts of the device
and procedural techniques are crucial to achieve successful long-term venous
access. The following article demonstrates key concepts of tunneled venous
catheter placement by means of dialysis, inasmuch as dialysis catheters represent
the most commonly placed tunneled central venous catheters. The principles of
placement and techniques utilized, however, are applicable to devices that are
used for chemotherapy or parenteral nutrition, such as the Hickman, Broviac,
Groshong, or tunneled peripherally inserted central catheters.
PMID- 22099011
TI - Principles of subcutaneous port placement.
AB - The introduction of totally implantable subcutaneous devices in the early 1980s
provided patients with secure, reliable venous access and also gave them the
ability to move more freely and have a more normal lifestyle with these devices
in place. The most common totally implantable device used today is the
subcutaneous port. These ports consist of an injection port connected to a
catheter. Ports provide a number of advantages compared with other venous
catheters; the most important is the reduced risk of infection. These devices
have significantly lower rates of infection than nontunneled and tunneled
catheters. Additional advantages include less frequent irrigation and minimal
home care, and they are less prone to environmental or cutaneous contamination
when not being accessed. This article will focus on the placement of these ports.
PMID- 22099012
TI - Peripherally inserted central catheter placement in infants and children.
AB - The most common venous access procedure performed in children and infants is the
peripherally inserted central catheter, or "PICC." Placement of a PICC affords
patients stable long-term venous access for medication administration and blood
draws. The Interventional Radiology team faces particular challenges in the
placement and management of these catheters in a pediatric population. This
article discusses our institution's step-by-step approach to operating a hospital
wide PICC service.
PMID- 22099013
TI - Diagnosis and treatment of central venous access-associated infections.
AB - This paper provides readers with a basic understanding of the types of central
venous access-associated infections as well as appropriate diagnostic techniques.
Preventive measures are the most effective way to reduce rates of catheter
associated infection and are discussed in detail. Diagnosis and treatment of each
type of infection are reviewed for nontunneled central venous catheters, tunneled
dialysis catheters, and venous access ports. Readers should be able to employ the
methods described in this paper to reduce the rate of central venous access
associated infections at their hospitals.
PMID- 22099014
TI - Evaluation and management of central venous access complications.
AB - Venous access is 1 of the most common interventional procedures in the USA. Using
image guidance in the last 2 decades, obtaining venous access has become
increasingly routine, and the complications commonly associated with the
procedure have significantly decreased. However, interventional radiologists
still encounter both early and late complications routinely associated with both
central and peripherally inserted access devices. This article discusses the most
common and some unusual complications seen with the placement of these devices.
We also briefly discuss the management of these complications.
PMID- 22099015
TI - Venous access salvage techniques.
AB - For patients who need long-term central venous access but who have developed
obstruction of the usual central veins, "salvage" access techniques offer
successful alternatives. These techniques include translumbar inferior vena cava
access, transhepatic inferior vena cava access, catheterization of small venous
collaterals, and recanalization of occluded veins. Inferior vena cava access
techniques allow a range of devices to be placed, including ports, infusion
catheters, and hemodialysis catheters. Collateral vessels may be too small to
allow for large-caliber devices, such as hemodialysis catheters. Success rates
for these access techniques are high and complications are infrequent. These
access routes are in general quite durable. Adults and children can be treated.
Once placed, devices are managed and used as they would be for any routinely
placed venous access device.
PMID- 22099016
TI - On the origin of hematopoietic stem cells: progress and controversy.
AB - Hematopoietic Stem Cells (HSCs) are responsible for the production and
replenishment of all blood cell types during the entire life of an organism.
Generated during embryonic development, HSCs transit through different anatomical
niches where they will expand before colonizing in the bone marrow, where they
will reside during adult life. Although the existence of HSCs has been known for
more than fifty years and despite extensive research performed in different
animal models, there is still uncertainty with respect to the precise origins of
HSCs. We review the current knowledge on embryonic hematopoiesis and highlight
the remaining questions regarding the anatomical and cellular identities of HSC
precursors.
PMID- 22099017
TI - Conditionally immortalised neural stem cells promote functional recovery and
brain plasticity after transient focal cerebral ischaemia in mice.
AB - Cell therapy has enormous potential to restore neurological function after
stroke. The present study investigated effects of conditionally immortalised
neural stem cells (ciNSCs), the Maudsley hippocampal murine neural stem cell line
clone 36 (MHP36), on sensorimotor and histological outcome in mice subjected to
transient middle cerebral artery occlusion (MCAO). Adult male C57BL/6 mice
underwent MCAO by intraluminal thread or sham surgery and MHP36 cells or vehicle
were implanted into ipsilateral cortex and caudate 2 days later. Functional
recovery was assessed for 28 days using cylinder and ladder rung tests and tissue
analysed for plasticity, differentiation and infarct size. MHP36-implanted
animals showed accelerated and augmented functional recovery and an increase in
neurons (MAP-2), synaptic plasticity (synaptophysin) and axonal projections (GAP
43) but no difference in astrocytes (GFAP), oligodendrocytes (CNPase), microglia
(IBA-1) or lesion volumes when compared to vehicle group. This is the first study
showing a potential functional benefit of the ciNSCs, MHP36, after focal MCAO in
mice, which is probably mediated by promoting neuronal differentiation, synaptic
plasticity and axonal projections and opens up opportunities for future
exploitation of genetically altered mice for dissection of mechanisms of stem
cell based therapy.
PMID- 22099018
TI - Bcl-xL enhances single-cell survival and expansion of human embryonic stem cells
without affecting self-renewal.
AB - Robust expansion and genetic manipulation of human embryonic stem cells (hESCs)
and induced-pluripotent stem (iPS) cells are limited by poor cell survival after
enzymatic dissociation into single cells. Although inhibition of apoptosis is
implicated for the single-cell survival of hESCs, the protective role of
attenuation of apoptosis in hESC survival has not been elucidated. Bcl-xL is one
of several anti-apoptotic proteins, which are members of the Bcl-2 family of
proteins. Using an inducible system, we ectopically expressed Bcl-xL gene in
hESCs, and found a significant increase of hESC colonies in the single-cell
suspension cultures. Overexpression of Bcl-xL in hESCs decreased apoptotic
caspase-3(+) cells, suggesting attenuation of apoptosis in hESCs. Without
altering the kinetics of pluripotent gene expression, the efficiency to generate
embryoid bodies (EBs) in vitro and the formation of teratoma in vivo were
significantly increased in Bcl-xL-overexpressing hESCs after single-cell
dissociation. Interestingly, the number and size of hESC colonies from cluster
cultures were not affected by Bcl-xL overexpression. Several genes of
extracellular matrix and adhesion molecules were upregulated by Bcl-xL in hESCs
without single-cell dissociation, suggesting that Bcl-xL regulates adhesion
molecular expression independent of cell dissociation. In addition, the gene
expressions of FAS and several TNF signaling mediators were downregulated by Bcl
xL. These data support a model in which Bcl-xL promotes cell survival and
increases cloning efficiency of dissociated hESCs without altering hESC self
renewal by i) attenuation of apoptosis, and ii) upregulation of adhesion
molecules to facilitate cell-cell or cell-matrix interactions.
PMID- 22099019
TI - Directing migration of endothelial progenitor cells with applied DC electric
fields.
AB - Naturally-occurring, endogenous electric fields (EFs) have been detected at skin
wounds, damaged tissue sites and vasculature. Applied EFs guide migration of many
types of cells, including endothelial cells to migrate directionally. Homing of
endothelial progenitor cells (EPCs) to an injury site is important for repair of
vasculature and also for angiogenesis. However, it has not been reported whether
EPCs respond to applied EFs. Aiming to explore the possibility to use electric
stimulation to regulate the progenitor cells and angiogenesis, we tested the
effects of direct-current (DC) EFs on EPCs. We first used immunofluorescence to
confirm the expression of endothelial progenitor markers in three lines of EPCs.
We then cultured the progenitor cells in EFs. Using time-lapse video microscopy,
we demonstrated that an applied DC EF directs migration of the EPCs toward the
cathode. The progenitor cells also align and elongate in an EF. Inhibition of
vascular endothelial growth factor (VEGF) receptor signaling completely abolished
the EF-induced directional migration of the progenitor cells. We conclude that
EFs are an effective signal that guides EPC migration through VEGF receptor
signaling in vitro. Applied EFs may be used to control behaviors of EPCs in
tissue engineering, in homing of EPCs to wounds and to an injury site in the
vasculature.
PMID- 22099021
TI - Comprehensive transcriptome and immunophenotype analysis of renal and cardiac MSC
like populations supports strong congruence with bone marrow MSC despite
maintenance of distinct identities.
AB - Cells resembling bone marrow mesenchymal stem cells (MSC) have been isolated from
many organs but their functional relationships have not been thoroughly examined.
Here we compared the immunophenotype, gene expression, multipotency and
immunosuppressive potential of MSC-like colony-forming cells from adult murine
bone marrow (bmMSC), kidney (kCFU-F) and heart (cCFU-F), cultured under uniform
conditions. All populations showed classic MSC morphology and in vitro mesodermal
multipotency. Of the two solid organ-specific CFU-F, only kCFU-F displayed
suppression of T-cell alloreactivity in vitro, albeit to a lesser extent than
bmMSC. Quantitative immunophenotyping using 81 phycoerythrin-conjugated CD
antibodies demonstrated that all populations contained high percentages of cells
expressing diagnostic MSC surface markers (Sca1, CD90.2, CD29, CD44), as well as
others noted previously on murine MSC (CD24, CD49e, CD51, CD80, CD81, CD105).
Illumina microarray expression profiling and bioinformatic analysis indicated a
correlation of gene expression of 0.88-0.92 between pairwise comparisons. All
populations expressed approximately 66% of genes in the pluripotency network
(Plurinet), presumably reflecting their stem-like character. Furthermore, all
populations expressed genes involved in immunomodulation, homing and tissue
repair, suggesting these as conserved functions for MSC-like cells in solid
organs. Despite this molecular congruence, strong biases in gene and protein
expression and pathway activity were seen, suggesting organ-specific functions.
Hence, tissue-derived MSC may also retain unique properties potentially rendering
them more appropriate as cellular therapeutic agents for their organ of origin.
PMID- 22099020
TI - Derivation of functional ventricular cardiomyocytes using endogenous promoter
sequence from murine embryonic stem cells.
AB - The purpose of this study is to establish a murine embryonic stem cell (mESC)
line for isolation of functional ventricular cardiomyocytes (VCMs) and then to
characterize the derived VCMs. By crossing the myosin light chain 2v (Mlc2v)-Cre
mouse line with the reporter strain Rosa26-yellow fluorescent protein (YFP), we
generated mESC lines from these double transgenic mice, in which Cre-mediated
removal of a stop sequence results in the expression of YFP under the control of
the ubiquitously active Rosa26 promoter specifically in the VCM. After induction
of differentiation via embryoid body (EB) formation, contracting YFP(+) cells
were detected within EBs and isolated by fluorescence-activated cell sorting. N
cadherin, the cadherin expressed in cardiomyocytes, and the major cardiac
connexin (Cx) isoform, Cx43, were detected in the respective adherens and gap
junctions in these VCMs. Using current clamp recordings we demonstrated that mESC
derived VCMs exhibited action potential characteristics comparable to those of
neonatal mouse VCMs. Real-time intracellular calcium [Ca(2+)](i) imaging showed
rhythmic intracellular calcium transients in these VCMs. The amplitude and
frequency of calcium transients were increased by isoproterenol stimulation,
suggesting the existence of functional beta-adrenergic signaling. Moreover,
[Ca(2+)](i) oscillations responded to increasing frequencies of external
electrical stimulation, indicating that VCMs have functional excitation
contraction coupling, a key factor for the ultimate cardiac contractile
performance. The present study makes possible the production of homogeneous and
functional VCMs for basic research as well as for cardiac repair and
regeneration.
PMID- 22099022
TI - Clonal multipotency and effect of long-term in vitro expansion on differentiation
potential of human hair follicle derived mesenchymal stem cells.
AB - Hair follicle harbors a rich stem cell pool with mesenchymal lineage
differentiation potential. Although previous studies with rodent cells
demonstrated that hair follicle sheath and papilla cells possess multi-lineage
differentiation potential, human hair follicle derived mesenchymal stem cells
(hHF-MSCs) have not been characterized in detail in terms of their multipotency.
In addition, it is not clear whether these cells are true stem cells that can
differentiate along multiple lineages or whether they represent a collection of
progenitor cells with restricted differentiation potential. Here we report that
hHF-MSCs are highly proliferative cells that can be maintained in culture for ~45
population doublings before they start to show signs of cellular senescence.
Under appropriate culture conditions, hHF-MSCs differentiated along the myogenic,
osteogenic, adipogenic and chondrogenic lineages, as demonstrated by kinetic gene
expression profiling and functional assays. Interestingly, the differentiation
potential decreased with time in culture in a lineage-specific manner.
Specifically, myogenesis and chondrogenesis showed a moderate decrease over time;
osteogenesis was maximum at intermediate passages and adipogenesis was highly
sensitive to long-term culture and was diminished at late passages. Finally, hHF
MSCs were clonally multipotent as the majority of hHF-MSCs clones (73%)
demonstrated bi- or tri-lineage differentiation potential. These results suggest
that hHF-MSCs may present as an alternative source of easily accessible,
autologous stem cells for tissue engineering and regenerative medicine.
PMID- 22099023
TI - Endoglin is a novel endothelial cell specification gene.
AB - Endothelial cells (EC) are important in vasculogenesis and organogenesis during
development and in the pathogenesis of cancer and cardiovascular diseases.
However, few EC specification factors are known and primary EC production remains
inefficient. Based on recent studies implicating endoglin (Eng) in early vascular
development and angiogenesis, we hypothesized that Eng may be an EC specification
gene. Mouse embryonic stem cells (ESC) were treated with recombinant Eng or a
plasmid expressing the Eng ORF, and differentiated in the presence or absence of
bone morphogenic protein 4 (BMP4). Expression of the mesoderm and EC marker
genes, the known mediators of EC specification and their downstream targets was
monitored by quantitative PCR, western blot, immunocytochemistry, and flow
cytometry. Functionality of the differentiated EC was assessed by in vitro
angiogenesis assay and the induction of Icam1 expression in response to TNF-alpha
treatment. Both recombinant Eng and forced Eng expression increased the number of
functional EC expressing the EC marker genes VE-cadherin, vWF, and Tie2, and
enhanced the effect of BMP4. The Eng-induced EC differentiation was independent
of known mediators of EC specification such as Indian Hedgehog (IHH) and BMP4 or
of BMP4/Smad1/5/8 signaling. These studies suggest that Eng is a novel EC
specification gene.
PMID- 22099026
TI - Differentiation of human ES cell-derived neural progenitors to neuronal cells
with regional specific identity by co-culturing of notochord and somite.
AB - Limitations to the in vivo study of human nervous system development make it
necessary to design an in vitro model to evaluate the in vivo effects of
surrounding tissues on neurogenesis and regional identity of the human neural
plate. Rostral neural progenitors (NPs) were initially generated from adherent
human embryonic stem cells (hESCs) in a defined condition and characterized.
Then, to find the role of somites (S) and notochords (N) in rostro-caudal (RC)
and dorso-ventral (DV) patterning of neuronal cells, NPs were co-cultured with
microencapsulated chicken S or N in alginate beads. In this study, N induced more
neurogenesis as evaluated by expression of TUJ1 and MAP2-positive cells.
Additionally, N induced spinal cord ventral brachiothoracic identity as well as
NPs proliferation. We observed a synergic effect on motoneuron induction when S
and N were used together. Moreover, S induced hindbrain identity in
differentiated neuronal cells from NPs. These results indicate that highly
enriched NPs can be generated in an adherent and defined system from hESCs.
Moreover, S and N tissues highly influenced neuronal differentiation in point of
proliferation, neurogenesis, and RC and DV regional identity. These results
indicate a very simple and efficient protocol to mimic in vivo events of human
neural development in vitro which is important in the context of developmental
neuroscience and cellular replacement therapies.
PMID- 22099024
TI - Laminin-511 expression is associated with the functionality of feeder cells in
human embryonic stem cell culture.
AB - Fibroblast feeder cells play an important role in supporting the derivation and
long term culture of undifferentiated, pluripotent human embryonic stem cells
(hESCs). The feeder cells secrete various growth factors and extracellular matrix
(ECM) proteins into extracellular milieu. However, the roles of the feeder cell
secreted factors are largely unclear. Animal feeder cells and use of animal serum
also make current feeder cell culture conditions unsuitable for derivation of
clinical grade hESCs. We established xeno-free feeder cell lines using human
serum (HS) and studied their function in hESC culture. While human foreskin
fibroblast (hFF) feeder cells were clearly hESC supportive, none of the
established xeno-free human dermal fibroblast (hDF) feeder cells were able to
maintain undifferentiated hESC growth. The two fibroblast types were compared for
their ECM protein synthesis, integrin receptor expression profiles and key growth
factor secretion. We show that hESC supportive feeder cells produce laminin-511
and express laminin-binding integrins alpha3beta1, alpha6beta1 and alpha7beta1.
These results indicate specific laminin isoforms and integrins in maintenance of
hESC pluripotency in feeder-dependent cultures. In addition, several genes with a
known or possible role for hESC pluripotency were differentially expressed in
distinct feeder cells.
PMID- 22099027
TI - Induced pluripotent stem cells from hair follicles as a cellular model for
neurodevelopmental disorders.
AB - Disease-specific induced pluripotent stem cells (iPSC) allow unprecedented
experimental platforms for basic research as well as high-throughput screening.
This may be particularly relevant for neuropsychiatric disorders, in which the
affected neuronal cells are not accessible. Keratinocytes isolated from hair
follicles are an ideal source of patients' cells for reprogramming, due to their
non-invasive accessibility and their common neuroectodermal origin with neurons,
which can be important for potential epigenetic memory. From a small number of
plucked human hair follicles obtained from two healthy donors we reprogrammed
keratinocytes to pluripotent iPSC. We further differentiated these hair follicle
derived iPSC to neural progenitors, forebrain neurons and functional dopaminergic
neurons. This study shows that human hair follicle-derived iPSC can be
differentiated into various neural lineages, suggesting this experimental system
as a promising in vitro model to study normal and pathological neural
developments, avoiding the invasiveness of commonly used skin biopsies.
PMID- 22099025
TI - Rare corneal clones in mice suggest an age-related decrease of stem cell activity
and support the limbal epithelial stem cell hypothesis.
AB - The anterior ocular surface comprises the cornea, conjunctiva and a narrow
intermediate region called the limbus. It is widely accepted that the corneal
epithelium is maintained by stem cells but different hypotheses propose that the
stem cells that maintain the mouse corneal epithelium during normal homeostasis
are located either in the basal limbal epithelium or throughout the basal corneal
epithelium. There are no specific markers to help test these alternatives and new
methods are required to distinguish between them. We observed that KRT5(LacZ/-)
transgenic mice produced rare beta-galactosidase (beta-gal)-positive radial
stripes in the corneal epithelium. These stripes are likely to be clonal lineages
of cells derived from stem cells, so they provide a lineage marker for actively
proliferating stem cells. The distributions of the beta-gal-positive radial
stripes suggested they extended centripetally from the limbus, supporting the
limbal epithelial stem cell (LESC) hypothesis. Stripe frequency declined between
15 and 30 weeks, which predicts a reduction in stem cell function with age.
Pax6(+/-), KRT5(LacZ/-) corneas had small patches rather than stripes, which
confirms that corneal maintenance is abnormal in Pax6(+/-) mice.
PMID- 22099028
TI - Is time on cardiopulmonary bypass during cardiac surgery associated with acute
kidney injury requiring dialysis?
AB - It is commonly accepted that the longer the time on extracorporeal
cardiopulmonary bypass (CPB), the higher is the likelihood of developing acute
renal failure requiring dialysis (ARF-D). Nonetheless, previous works elicited
conflicting evidence. We investigated the relationship between CPB duration and
ARF-D occurrence. Data were extracted from a large observational study. All
factors independently associated with ARF-D were detected. Overall, 11,092 case
record forms were analyzed. At the univariate analyses, time on CBP was
associated with an increase in the ARF-D risk (odds ratio of fifth vs. first
quintile of CBP time: 3.84; 95% confidence interval: 2.58-5.7; P < 0.001).
However, after adjusting for confounders, the association between time on CBP and
ARF-D lost its statistical significance. In this large dataset, CBP time did not
predict ARF-D occurrence. These results might suggest that an accurate risk
assessment might be more important than time on CPB in determining ARF-D
occurrence.